>Al_scaffold_0001_1000 pep chromosome:v.1.0:1:3970540:3971345:1 gene:Al_scaffold_0001_1000 transcript:Al_scaffold_0001_1000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKN4] MQGLATSTDRVSLSFFAPRNQSKTHTKPTRVCFFNVGPFPKSKILRQIVPLLANDFATSPSWRLPRRVTASADKNSISPVAENRLQNRLFMVYCCLGFMWMVKKEFLPHYFRFHMIMGLLLEACEFFPWININGSLRVYYTILVMGFSCTLTLVDILWWAAPKIALCFVGAGMAFVQLCKVLGLS >Al_scaffold_0001_1004 pep chromosome:v.1.0:1:3984029:3985936:-1 gene:Al_scaffold_0001_1004 transcript:Al_scaffold_0001_1004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G10280) TAIR;Acc:AT1G10280] MARGGKEEGEKHIGLLKLAQTLSFLLIFMAGIIIGLAASSHIDRYFNSLPRMFSSTTNLQSIPFSSPDYSNCTIIHRDCTGNDENESDDGGVKAEKPKVRDCWSIDGFVRPENLSHGMTDDELFWRASMVPVKEEYPYDRVPKVAFMFLTRGPLPMLPLWEKFFKGNDKYLSVYVHTPPGYDMNVSRDSPFYDRQIPSQRVEWGSPLLTDAEKRLLANALLDFSNERFVLLSESCVPVYNFSTVYTYLINSAYSFVDSYDEPTRYGRGRYSRKMLPDIKLHHWRKGSQWFEVNRKIAIYIISDSKYYSLFKQFCRPACYPDEHYIPTFLNMFHGSMNANRSVTWVDWSIGGPHPATYAAANITEGFLQSIRKNETDCLYNEEPTSLCFLFARKFSPSALAPLMNLSSTVLGF >Al_scaffold_0001_1015 pep chromosome:v.1.0:1:4024329:4025065:1 gene:Al_scaffold_0001_1015 transcript:Al_scaffold_0001_1015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKQ0] MNFRSILIRASGYPQLWKRACTTVAAPLSADLCEGDSKKAKAASRKREKEKMFEKLQSLTGEYLDLITKQQKEKRTSLSVADLAGWAKRLFKDGKLDHALEIYGWMEKKKMKFSASQFADYVEVVGDLKGFEAAKEVFYRIDPEFNQMDLDAKNLPAFLMLVRFDALAFEDPVAERRREHFLVGRRGEYAMFEKRGRRGLPSLEDEDPLPLRRY >Al_scaffold_0001_1024 pep chromosome:v.1.0:1:4053321:4057594:1 gene:Al_scaffold_0001_1024 transcript:Al_scaffold_0001_1024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KKR0] IKRDGLFFPADLLFLSSTNSDGICYVETADLDLDGETNLKIRKALERTWDYLTSKKASEFEVEEEEEERKWWSEEDTQSIDMMESDLSRFLECRHISEEDEKKLDGQHLEKFWAQLQKDVSASLSKRYPELYREEIRNSFFKWRVVAVWASSAVYQSLVCYLFVTVSAAFDGKNSSGKTFGLWDVSTMVFTCLVIAVNLRILLMSNSITRWHHITVGGSILAWLVLTSSLFCFLCVCRRISTYVLMSTFYFYFTLLLVPVGALLADFIYQGVERWFLPYDYQIVQEIHRHEADSSNADQLEIANELTPEEARSYAISQLPREISKHTGFAFDSPGYESFFASQLGFYAPQKVWDVARRASMRSRPKAPKKN >Al_scaffold_0001_1030 pep chromosome:v.1.0:1:4081160:4081333:1 gene:Al_scaffold_0001_1030 transcript:Al_scaffold_0001_1030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKR7] MAYKDEAPKPQLSMEEAGRRARSKLSRGEAEGSGVRGRGGRGGGRGAISFVIIWKIS >Al_scaffold_0001_1039 pep chromosome:v.1.0:1:4115120:4117872:-1 gene:Al_scaffold_0001_1039 transcript:Al_scaffold_0001_1039 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleobase-ascorbate transporter 8 [Source:Projected from Arabidopsis thaliana (AT1G10540) TAIR;Acc:AT1G10540] MVGDGGGNPEPPQKQEDLQPHPVKDQLYSITYCLTSPPPWPETILLGFQHYLVMLGTTVLIPTMLVSKIDARNEDKVKLIQTLLFVSGINTLLQSFLGTRLPAVIGASYTYVPTTMSIVLAARYNDIMDPQEKFEQIMRGIQGALIIASLLQILVGFSGLWRNVARFLSPLSAVPLVAFSGFGLYEQGFPMLAKCIEIGLPEIILLVIFSQYIPHLMQGETYSNFFHRFAVIISVVIVWLYAYILTIGGAYSDTGINTQISCRTDRAGIISAAPWIRVPYPLQWGGPPTFNAGEIFAMIAASFVSLVESTGTYIAVSRYASATPIPPSVLGRGIGWQGFGILLCGLFGAGNATSVSVENAGLLAVTRVGSRRVIQVSAGFMIFFSILGKFGAIFASIPAPIIAALYCLFFSYVGAGGLSLIQFCNLNSFRTKFILGFSIFMGLSIPQYFYQYTTFENYGPVRTSATSFNNIINVPFSSKAFVSGILAFFLDMTLPPKDKATKKDRGLVWWKRFKSFKSDNRSEEFYSLPLNLSKYFPSH >Al_scaffold_0001_1041 pep chromosome:v.1.0:1:4123457:4123816:-1 gene:Al_scaffold_0001_1041 transcript:Al_scaffold_0001_1041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKS8] MSLKNVLLLLVVVCVVVSTNAQLLPQFPFPFPFQPTPGMPGLPDITKCWSSVMNIPGCITEISQAILNGRFSNIGPACCKAFLEAEANCMPKVSFNPFFPPMLKEQCSRLAGSLPPTTK >Al_scaffold_0001_1044 pep chromosome:v.1.0:1:4132534:4134617:-1 gene:Al_scaffold_0001_1044 transcript:Al_scaffold_0001_1044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10580) TAIR;Acc:AT1G10580] MDLIQSYDEEEAVAFSPESSPPRMLKAKSSAPEVDDTALALTVANVNRSKSKPIDPTQHVVLFNPTHEQMWAPIYGPAHPYAKDGIAQGMRNHKLGSVEDASIGSFGFDEQYNTFHKYGYAADPSGMNYVGDVAALKQNDGLSVYNIPQSEQKRRKIEKNKEEREGEEKKEEIEPEAENPATEAWLMKNRKSPWSRKKEVVQGELTEEQKKYAEDHAKKKEEKGQQGEAKGEHYADKSTFHGKEEKDYQGRSWIEAPKDAKANNDHCYIPKRLVHTWSGHTKGVSAIRFFPKQGHLLLSAGMDCKVKIWDVYNSGKCMRTYMGHAKAVRDICFSNDGSKFLTAGYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDDDKQNILLAGMSDKKIVQWDINTGEITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISVHPNGNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHNGVCIGAEWHPLEQSKVATCGWDGLIKYWD >Al_scaffold_0001_1048 pep chromosome:v.1.0:1:4146404:4147103:-1 gene:Al_scaffold_0001_1048 transcript:Al_scaffold_0001_1048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT1G10590) TAIR;Acc:AT1G10590] MANFCSVVIKMAEATPALRKPVFTKVNELRPGTSGHSLNVKVVNTKMVLQRGGGGRPMGPQARQMRIAECLVGDETGIIIFTARNDQVDLMKEGSVLTLRNAKIDMYKGSMRLAVDRWGRVDVAEEPTDITVKDDNNLSLIEYELVSVEA >Al_scaffold_0001_1061 pep chromosome:v.1.0:1:4207895:4208899:1 gene:Al_scaffold_0001_1061 transcript:Al_scaffold_0001_1061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLH4] MLWYLIVGAANIYGVSGRNAVGQATLVATIATSNSSSSSSSSSSSGRPSVKIEEIEGGAAGSGVVIGSEELETNPKTVVASIADETVGDGIPQPIVVDLEESKNGVCADESIDGVDLQYIPPTQIVMLILGTRGDVPPLVA >Al_scaffold_0001_1062 pep chromosome:v.1.0:1:4209626:4212724:1 gene:Al_scaffold_0001_1062 transcript:Al_scaffold_0001_1062 gene_biotype:protein_coding transcript_biotype:protein_coding description:poor homologous synapsis 1 [Source:Projected from Arabidopsis thaliana (AT1G10710) TAIR;Acc:AT1G10710] MAGSLTASTRRGNTEASSEIYRWMIGFARFVHYPSTPSQCPGLRPLGRRELYHSPHGTWFSASSSTLSLQMFDEVNRSDVILSVKLGEKVLEEHYISKLNFTWPQMSCVSGFPSRGSRAIFVTYTDSANKIQKFALRFSTCDAALEFVEALKEKFKGLEEARNQRNETRCEVVSFQSDYNPSNAIIPRAIQKEPNMVKPINSYVPEMQPRIEYEVQNQKSEIRSEVSFQTDYNPSNEIFPRAIEEEPNMVRFFDSYVPEMQPRPEYETGRALYPSQSTLNQIPNLPPSFTSLLSGCFPDSTLDAGQTPVKQDPDLKSQILRYMEDSSFQDMLQKVERIIDEIGDRCAGSTIGRSSKIYVPLCFHDNCVLPFKNDCWCCLAAGTKKDWCWEEKDYPDAKELCMKTCTRVDVLE >Al_scaffold_0001_1063 pep chromosome:v.1.0:1:4213357:4213798:1 gene:Al_scaffold_0001_1063 transcript:Al_scaffold_0001_1063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLH6] MKSLICIIMLFLFALHACGKMEVKEIRRSSKIYLPPCIHETCTAFSGEKNCWCCFQVKHKRDRCWKEKEYPNAKELCFEQCSKQI >Al_scaffold_0001_1066 pep chromosome:v.1.0:1:4227291:4228386:1 gene:Al_scaffold_0001_1066 transcript:Al_scaffold_0001_1066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLI0] MFELNMILGKFGGQLGGGSICYILVFEELMTEIRRLPITVRSRSRSEEKLEEARKVKDSRKEAKRIKERRNEAVGGKARKVKREYETILKMTLQNICDLSDNSVASILAVRT >Al_scaffold_0001_1075 pep chromosome:v.1.0:1:4258699:4259032:1 gene:Al_scaffold_0001_1075 transcript:Al_scaffold_0001_1075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLI9] MKSSLRTSLICILMLSLFALHQCVRMTVREIGRSNKIYIPPCDHVSMTAHATMFSKETVIAAGQNQIFAGMINTIATPIVLL >Al_scaffold_0001_1084 pep chromosome:v.1.0:1:4287357:4290667:-1 gene:Al_scaffold_0001_1084 transcript:Al_scaffold_0001_1084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3755) [Source:Projected from Arabidopsis thaliana (AT1G10820) TAIR;Acc:AT1G10820] MIPMDNHCLPSTSTSGLVFPTNYGVNGINTTSGFHPAVDSSGSVAAGVKQEAALVMDWSVEEQYVLENGLAKLKDEPKISKYVKIAATLPDKTVRDVALRCRWMTRKRRKREDNNAAKNLSNRKTMLLHGKVVDTSPELNMLSNVPQQNALYVMNNTCHSTRMPFEGLSDAVMDLLQQNAQAFSQISFNLSACKDNISLFYQARNNISAILTDMKEMPGIMSRMPALPVSINDDLASNLLSSTTQPISYNIPPSIHLKQEPRI >Al_scaffold_0001_1095 pep chromosome:v.1.0:1:4345321:4348320:-1 gene:Al_scaffold_0001_1095 transcript:Al_scaffold_0001_1095 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein [Source:Projected from Arabidopsis thaliana (AT1G10920) TAIR;Acc:AT1G10920] MAEGVVLFGVQKLWELLNRESSRLNGIDEQVEGLKRQLGRLQSLLKDADARKHESERVRNFLEDVKDIVYDAEDVIESFLLKKFRGKEKGIMRHAERLACFLVDRREFASDIEGITKRISEVIEGMQRLGIQQIIDGGRSLCIQDRQREQREIRQTFPNNTESDLVGVEQSVEELVSHLVENDNTQVVSISGMGGIGKTTLARQVFHHDMVRCHFDGFAWVCVSQEFTRKHVWQRILQELRPHDGSILQMDEYTLQGQLFELMETGRYIVVLDDVWKEEDWDLIKPVFPQKRGWKMLLTSRNESVGLHADPTCFAFRPRILTPQESWKLCERIVFPRRDETEFRVDDEMEAMGKKMVAYCGGLPLAVKVLGGLLAKKRKVPEWKRVCDNIGTQIVGKSGLDDNNLNSVHRVLSLSYEDLPMCLKNCFLYLAHYPEDYKINVKTLFNCWAAEGIITSFYDGSTIRDSGEGYLEELVRRNMNYVKEENFLQIIKVPPSTSTINSQSPSRSRRLSIHGGNALQKLGQKNNKKVRSLLFFGDEDDYCIQSAPSFQCLSLLRVLHLSRVKFEGRKMPSSIGELIHLRFLSLYKAGLSHIPSSLRKLKLLYYLNLRVDVGSPVHVPNVLKEMQELRYLLLPVSMHDKTKLELGDLVNLEYLWRFSTKHSSVTDLFCMTKLRELNVFITGGCNSETLSSSLHQLRGLEVLSLHDRQETRVAYHGGEIVLDCTHLKDLVLAMHMPRFPDQYQFPPYLAHIWLMHCSMEKDPMPILEKLLYLKSLVLTCGAFVGDRMVFSKRGFPQLCALKLSEQEELEEWIVEEGSMPCLPTLTIDKCRKLKELPEGIKYIISLKELKIKGMKREWTGKLVPGGEDYYRVQHIPSAQFIKCDH >Al_scaffold_0001_1104 pep chromosome:v.1.0:1:4380077:4381792:-1 gene:Al_scaffold_0001_1104 transcript:Al_scaffold_0001_1104 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP40/DnaJ peptide-binding protein [Source:Projected from Arabidopsis thaliana (AT1G11040) TAIR;Acc:AT1G11040] MGTPRSPATDFFGISKTACKAYKSLVTKLHPLSSHRKSESHSDADSAIHRRYLEEKFAEEDDLIAARRGLRLQSMDDSTVFKRRSSLLSNSSSRRSHTPQARPTYLSSSASSNRRSAFSRSASRRDEGSSHGGVRSHGLKSRPVSNNASPMTSPFTSPRGKDQTLGDLFGSVQKLSSPPSNSPINGVKQSSPSSISKSASKRDKDERCSASSATSTSLPFSKSKSTRDPAGSIAKSISRRSTTPIVFSQSTPPKKPPAVEKKLECTLEELCHGGVKNIKIKRDIITDEGLIKQQEEMLRVNIKPGWKKGTKITFEGVGNEKPGYLPEDITFVVEEKRHPLFKRRGDDLEIAVEIPLVKALTGCKLSVPLLSGESMSITVGDVIFHGFEKAIKGQGMPNAKEEGKRGDLKITFLVNFPEKLSEEQRSMAYEVLKDCSWA >Al_scaffold_0001_1106 pep chromosome:v.1.0:1:4389688:4394640:1 gene:Al_scaffold_0001_1106 transcript:Al_scaffold_0001_1106 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAPL (Wings apart-like protein regulation of heterochromatin) protein [Source:Projected from Arabidopsis thaliana (AT1G11060) TAIR;Acc:AT1G11060] MMERTYGRRKPGIPRTLSDSLNDSVSQTEYLSSSSSPDIEPIDYSLLPFSSQESSSLWHSSSRSDFREDYPQNGGVVRRAKRVRNGAEAAAFTSTLLEAQEFGELMEHEDEVNFALDGLRKGQQLRIRRASLSSLLSICASQHQRRSLRAQGISQSIIDAILAISLDDIPSNLAAATLFFVLTADGQDEHFMESPKCIKFLIKLLKPVIVTSTEGKPRNIGFKLLSLLKDVDAARDPVKMNDPSSSDILSRVQELLVNCKEMKLNDSYKTETTRPELSTKWVALLAMERACLSKISFDDTSGSVKKTGGNFKEKLRELGGLDAVLEVVMDCHAVMQRWVEYDALSVQEKKDNLHKQSLMLLLKCLKLMENATFLSTENQNHLLGFKKCLGSHDSRMSFTELTISVIKMLSGLYLRGGFPSPNTNNVNSHYSNGGNRDSILEADRKVTNEVVTISSDTCSTFGSISTRNGSVSQRSQSIIHLDFSPTSMSGSQSSVSGNEPTTSKTRVGSTISGSFAGRLASLGNGIARSTSRTSQAGEPICKRIGEFASPDESEDPFAFDLEDAKPSKWAVVSVNQKKSRAQKKKGCYKQSKDESLYQLFSSQEESSNHRLNSQEESSNRDCSTSLQPSSCTNDIDEECLCLLSDCLLTAVKVLMNLTNDNAVGCRQVGGCRGLESMAELIARHFPSFTKSPLFSEMEKTGSSHQKKDKHLTDQELDFLVAILGLLVNLVEKDGVNRSRLASASVPITKPEGLQESEQEMIPLLCSIFLTNQGSAETKEETTTFTLDDEEAVLEGEKEAEKMIVEAYSALLLAFLSTESISIRNSIKDYLPKRNLAILVPVLERFVAFHMTLNMIPPETHKAVMEVIKSCKLP >Al_scaffold_0001_1111 pep chromosome:v.1.0:1:4420245:4422074:1 gene:Al_scaffold_0001_1111 transcript:Al_scaffold_0001_1111 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH and RanBPM domains containing protein [Source:Projected from Arabidopsis thaliana (AT1G11110) TAIR;Acc:AT1G11110] MADYEIDVNVVEEVDDLNQYIVDEGGEENQVEAENEETQISENEEIVILEPTKKLIMSDVWEQYLRTAEIRKEDMNRLVMNFLVVEGYLEAVEKFQKESGTKPEVGFASISDRLAVIEAIESGNLDDAVEKLNATNPEILKTNFFLNQQRFIERIRMGMTDEEALEFAQKELKPLVEQNLAFLEEMAKTMDILRCKDLPNIPEVVGELLANSRWFKTAAEVNAAILTSQTGLKCPKLLHLLKMLIWTQNQLDEKVEYPRMSVLPTGQLTVINPPWPSE >Al_scaffold_0001_1115 pep chromosome:v.1.0:1:4439625:4441120:-1 gene:Al_scaffold_0001_1115 transcript:Al_scaffold_0001_1115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLM8] MESSDKPISKLKFLVDPETNKVVVADARKDFVDVLFGLMALPMGTIVRLLEKNKQNSAPIGCFNNLYKSVFDMDKDDFLSEACKDMLLYPMYVKEKQCRRLKLNIDDTTEVLKAFKVPEGAGCDELFVTGRSSFIITDDMKVEFASLVLTTKILIGLGYNSVAKMEEMFVDLNHERVLSLLHCLFSSETPFTDVFLKKHSSCGPDEVVSLTLFVRKQDMKVLYAESGKDFVDLLFIFLAIPLESVWEITGSNIELGCIGNFCRSLKNLSSSGSTDASSNTCMLPWQYRLQKSLLGVSYLNSDGSSQLTPHCSSGFVKSGVTYMVSDDLTICIKKKFEVNLDDIEEHEINISKTHAIGLLRASFMTSTALTTAFESFLPKKPKEEKL >Al_scaffold_0001_1118 pep chromosome:v.1.0:1:4446569:4448012:1 gene:Al_scaffold_0001_1118 transcript:Al_scaffold_0001_1118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLN1] MVIENKKDTKKKKKKKREASDCSIISAKRRRGGNLLAISSESTIPTLSKQWRSTIESQSFEERHLRIAEQFRVGHPRVMVITEKDPLKLMPLARPDTDIGFRSFCLESGSLLSFTLLNFPQSFAHYIYVSGCCDGLFCIHSPKSEAVYVVNPATQWLRQLPPARFQILMHKFNPTFRDWIDMESVFHLAFVKATNYKLVWLYNSDKYNADASSPNEGVTKCEVFDFRANAWRYLACTPSYRIYHDQIPACANGSVYWFTEPYNDKIEVVAFDIHTESFRLLPKIKPAIAGSDPRHIDKCTLDNGLCMSKREPDTLVQEIWRLKSSEDLWEKIYTIDLLSCSSSRTQFRDEFDWSRKDLVDPSTPVAVCKNKKILLSHRYSRGLVKYDPLTRSLTSFYQHPLSKRKVL >Al_scaffold_0001_1120 pep chromosome:v.1.0:1:4459144:4461953:1 gene:Al_scaffold_0001_1120 transcript:Al_scaffold_0001_1120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF707) [Source:Projected from Arabidopsis thaliana (AT1G11170) TAIR;Acc:AT1G11170] MIHGLPSMKSIKSLKSLVRKKTNAQEVSKSGWKMKPFLALMCTALLIFWYKTTNIQFEQTEIEETDYPFDMAKESETVNDKLKVLPHGIIQPRSDLELKPLWSRSSLRSKGVEMTNRNLLAIPVGIKQKGNVDALVKKFLPANFTIVLFHYDGNMDKWWDLDWSSKAIHIVAQNQTKWWFAKRFLHPDVVSIYDYIFLWDEDLGVENFNPERYLRIVKSVGLEISQPALDHNSTEIHHKITLRSRTKKFHRRVYINRGHKRCSNTSADPPCTGFVEGMAPVFSKAAWFCTWNLIQNDLVHGWGMDMKLGYCAQGDRTKNVGIVDSEYIVHQGIQTLGESVPEKKTTARDVRPRRHGHTTFDSRTEIRRQSTWELQTFKERWSKAVEEDNNWIDPSSSSSMTKRRTSNGNNRRLRRGSSHRVKHKRTQETSTTTKHI >Al_scaffold_0001_1122 pep chromosome:v.1.0:1:4466245:4466490:1 gene:Al_scaffold_0001_1122 transcript:Al_scaffold_0001_1122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMB2] MVEMEQEKVVENTLAVRSRREGKSKLGSCLALLIPLLPSLAPRGTSMDPKPNLLLFISHRHIFINFSEFSVVYWSEIMVIL >Al_scaffold_0001_1125 pep chromosome:v.1.0:1:4475889:4476931:-1 gene:Al_scaffold_0001_1125 transcript:Al_scaffold_0001_1125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF761) [Source:Projected from Arabidopsis thaliana (AT1G11210) TAIR;Acc:AT1G11210] MASWMKAVLISTGLVATAMHLKVIVPVALDFSQDPIILSSFLTWLKPPYLYVITNVIIIVIGVSYRITTVSSHVDGKDYEASYSGDNKFQADHQQIVERPTLRQRTETKDADFGFIGKVLQIVKEPEVVYEEKERPATVEREEEKQCLVVVSKSENQPPVEKPLVTARIGQRKPVVKTTPAERNSMRALRVAKPKRNETLENTWKMIMEGNKSTLPLTSYYKRPDTFGLGGEETKLSGGLKKSESFSDRTNSDQSLPSPPPPLVKVKKVKVTRSRDELNRRVEAFIKKCNDERFASMRLDNEVARHGLSY >Al_scaffold_0001_113 pep chromosome:v.1.0:1:452377:453314:1 gene:Al_scaffold_0001_113 transcript:Al_scaffold_0001_113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQA4] KFNTYKKKITFKKKKKEKKNKTFLKKYKKKRKKKQNIFKKIQKKKRKKKQNIFKKIQKKKEIKNKTFLKKYKKKNKK >Al_scaffold_0001_1131 pep chromosome:v.1.0:1:4505177:4506406:-1 gene:Al_scaffold_0001_1131 transcript:Al_scaffold_0001_1131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMC2] MFKDPFKDSTIVVALKPQSSVDQVGAKTMLKRHRSRENQTKKRLCLSLEFLPHDLVELILQRLPVKPLLRFKSVSKNWKSTIESQRFQEGNLICSRQALGPDVLLMSLCEKGDAGLSGHARTVMFSLATASKVRFPFSGSMFCYGHCDGLVCFYCVYAPSFVMNPATKWHRSFPLSGYQQLVIERYNRLYFKFISFKLGLGRDKFRGIYKAVWLYSSSEYGLDNVTTCELFDFSTNAWRKPEFALLPIAVREKKWLLLQGRDFLDPVVIYNLHSKSYRLFYKPREPVGPVYYLQSLFSA >Al_scaffold_0001_1132 pep chromosome:v.1.0:1:4508654:4509519:-1 gene:Al_scaffold_0001_1132 transcript:Al_scaffold_0001_1132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMC4] MLKRHRSSVVELLPDDVVELILERLPVESLRRFKSVSNKWKSTIASRCFQERQLIRRMQSRGPDVLFVYLTCFGDDGLNTDARRIVQFGSSIVRTVRFPASGSMICHGSCDGLLCIYCFEVPSVVVNPATGWSQRFPLSNIQQLLIDKKLFKSSLRLPFPIYVTLATSPCASLITTCAFPRKTGPPKSYGH >Al_scaffold_0001_1133 pep chromosome:v.1.0:1:4509797:4512901:-1 gene:Al_scaffold_0001_1133 transcript:Al_scaffold_0001_1133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMC5] MSVFYFPCLILLTLFSSYCYAAITTSSPLSIRQTLSSPNESFELGFFSPNSSQNHHYVGIWFKRVTPRVYVWVANREKSVTSLTANLTISSNGSLILLDEKQDIVWSSGREVLTFNECRAELLNSGNLVLIDNVTGKYLWESFEHPGDTMLPLSSLMYSTLNNTRRVLTSWKTNTDPSPGEFVAELTPQVPPQGLVWKGSSPYWRSGPWVDTRFSGIPEMDKTYVNPLTMVQDVVNGTGILTFCALRNFDVSYIKLTSDGSLDIHRSNGGTTGWIKHFEGPLSSCDLYGTCGPYGLCMRSISAPTCKCLRGFVPKSDDEWNNGNWTRGCVRRTELSSCQGNSASTTQGKDTTDGFYRVANIKPPDSYELTSFGDAEQCHKGCLRNCSCLAFAYINKIGCLVWNQELLDTVQFSEEGEFLSIRLARSELARGKRIKIIAVSAISLCVFFILVLAAFGCWRYRVKQNGEARVAMDISEDSWKNGLKSQDVSGSNFFEMHTIQAATDNFSVSNKLGQGGFGTVYKGKLKDGKEIAIKRLSNSSGEGTEEFMNELKLISKLQHRNLVRLLGYCIEGEEKLLIYEFMVNKSLDTFLFDLKKKLEIDWPKRFNIIQGIARGLLYLHRDSFLRVVHRDLKASNILLDEKMNPKISDFGLARMFQGTQNQDNTGRVFGTLGYMSPEYAWTGTYSEKSDIYSFGVLMLEIISGKEISSFSHGKEEKNLVAYAWESWSETGGVDLLDQDIDDSDSIEAVMRCVQIGLLCVQHQAMDRPNIKQVVSMLTSTMDLPKPKQPIFVSDTSDEDSVSLKSIDHKDMCSDDENKQESP >Al_scaffold_0001_1137 pep chromosome:v.1.0:1:4527437:4527622:-1 gene:Al_scaffold_0001_1137 transcript:Al_scaffold_0001_1137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMC9] MYTVVVVAVRKVVMAEVMMVLEEAVVVFVASGDGGVVLTNSNNDFVVVVVLPSRKGEECGG >Al_scaffold_0001_1139 pep chromosome:v.1.0:1:4532052:4535018:1 gene:Al_scaffold_0001_1139 transcript:Al_scaffold_0001_1139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMD1] MRLHESLSPFVYVLVLSCFLLSVSLAQERTFFSGKLNDSETIVSSFSTFRFGFFSPVNSTSRYAGIWYNSISVQTVIWVANKDKPTNDSSGVISVSEDGNLVVTDGQRRVLWSTNISTQAHANSTVAELLDSGNLVLKEASSDAYLWESFKYPTDSWLPNMLVGTNARTGGGNVTITSWKNPSDPSPGSYTAALVLAAYPELFIMNNNNNNSTVWRSGPWNGQMFNGLPDVYAGVFLYRFIVNDDTNGSVTMSYANDSTLRYFYMDYRGSVIRRDWSEARRNWTVGLQVPATECDIYRRCGEFATCNPRKNPPCSCIRGFRPRNLIEWNNGNWSGGCTRRVPLQCERQNNNGSADGFLRLRRMKLPDFARRSEASEPECLRTCLQTCSCIAAAHGLGYGCMIWNGSLVDSQELSASGLDLYIRLAHSEIKTKDRRPILIGTSLAGGIFVVAACVLLARQIVMKKRAKKKGRDAEQIFERVEALAGGNKGKLKELPLFEFQVLAEATNNFSLRNKLGQGGFGPVYKGKLKEGQEIAVKRLSRASGQGLEELVNEVVVISKLQHRNLVKLLGCCIAGEERMLVYEFMPKKSLDYYLFDSRRAKLLDWKTRFNIINGICRGLLYLHRDSRLRIIHRDLKASNILLDENLIPKISDFGLARIFPGNEGEANTRRVVGTYGYMAPEYAMGGLFSEKSDVFSLGVILLEIISGRRNSNSTLLAYVWSIWNEGEINGLVDPEIFDHLFEKEIHKCIHIGLLCVQEAANDRPSVSTVCSMLSSEIADIPEPKQPAFISRNNVPEAESAENSDPKDSINNVTITDVTGR >Al_scaffold_0001_114 pep chromosome:v.1.0:1:454219:455331:-1 gene:Al_scaffold_0001_114 transcript:Al_scaffold_0001_114 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01180) TAIR;Acc:AT1G01180] MKEDRRLPHKRDAFQFLKTKTAYVIFIVLTYAFGYFSAYYSHQPLQQQQPPSPAAVRDVKTIKPQVCSIDNFRVTTRCGNLVPPELIRQTVIDRIFNGTSPYTDFPPPHAEKFLRPKRIKGWGSYGAVFENLIRRVKPRTIVEIGSFLGASAIHMANLTRRLGLEETQILCVDDFRGWPGFRDRFKDVALVNGDVLLMYQFMQNVVSSNVSGSILPVPFSTGSALEKLCEWGVTADLVEIDAGHDFNSAWADINRAVRILRPGGVIFGHDYFTAADNRGVRRAVNLFAEINRLKVKTDGQHWVIDSVKILLFKKI >Al_scaffold_0001_1145 pep chromosome:v.1.0:1:4559117:4562128:-1 gene:Al_scaffold_0001_1145 transcript:Al_scaffold_0001_1145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMD7] MGRFLLIVTLSFFSLRLCLAGDVVSFSTELKDSETLVSDRSTFRFGFFSPVNSTSRYAGIWFNKISAVASMVWVANKDSPINDSSGVIVIAKDGNLVIKDGRGHVHWSTNVSQPVAANTTYARLLNTGNLVLQGISNSGDKILWESFEHPQNAFMPTMILSTDARTGRSLKLRSWNNRSDPSPGRYSAGMISLPFPELAIWKDDLMVWRSGPWNGQYFIGLPELDFGVSLYEFTLANDNRGSVSMSYTNHDSLYHFFLDSDGYAVEKYWSEVKQEWRTGILFPSNCDIYGKCGQFASCQSRLDPPCKCIRGFDPRSYAEWNRGNWTQGCVRKRPLQCERRDSNGSREGDGFLRLKKMKVPNNPQRSEVSEQECPGSCLKNCSCTAYFYGQGMGCLLWSGNLIDMQEYVGSGVPLYIRLAGSELNRFLTKSFIESSSNRSLVIAITLVGFTYFVAVIVLLALRKLAKHREKNRNTRVLFERMEALNNNESGAIRVNQNKLKELPLFEYQMLAAATENFAITNKLGEGGFGSVYKGKLREGQEIAVKRLSRTSGQGLEEFVNEVVVISKLQHRNLVRLLGFCIEGEERMLVYEFMPGNSLDAYLFDPVKQRLLDWKTRLNIIDGICRGLMYLHRDSRLRIIHRDLKASNILLDENLNPKISDFGLARIFRGNEDEASTLRVVGTYGYMAPEYALGGLFSEKSDVFSLGVILLEIVSGRKNSSFYNDEQNLNLSAYAWKLWNDGEIIALVDPVNLDECFENEIRRCVHIGLLCVQDHANDRPSVSTVIWMLNSENSNLPEPKQPAFIARRGSPDAESQSDQRASINNASFTEITGR >Al_scaffold_0001_1146 pep chromosome:v.1.0:1:4562529:4563920:-1 gene:Al_scaffold_0001_1146 transcript:Al_scaffold_0001_1146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G11360) TAIR;Acc:AT1G11360] MTSPGKSPRSDRKSPTVVTVQPSSPRFPIGTPTAGAQRKIGIAVDLSDESAYAVQWAVQNYLRSGDAVVLLHVQPTSVLYGADWGAIDLSPQWDPENEESQRKLEDDFDIFTNKKASDVAQPLVEADIPFKIHIVKDHDMKERLCLEVERLGLSTLIMGSRGFGATKRSSKGRLGSVSDYSVHHCACPVVVVRFPDDKDGEDEKSGESGGENLVESDKLHTVPELAEEEGDKDEYHDASDKQQQHQASMLQKETSLRRHRRKTKRSYALGLCS >Al_scaffold_0001_1151 pep chromosome:v.1.0:1:4578800:4581486:-1 gene:Al_scaffold_0001_1151 transcript:Al_scaffold_0001_1151 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOMAIN OF UNKNOWN FUNCTION 724 2 [Source:Projected from Arabidopsis thaliana (AT1G11420) TAIR;Acc:AT1G11420] MEQTVFIRKDCKVEVYCEEEFKESWYRAILEDDPTESGRKKLKVRNLTLLDKDPWSPLTEFVDQSLIRPVPPEDLNDGVVFEEGLMVDAYLKYGWWTCVVIKTLEDEKCWVYFDSPPDIIEVENKKLRVHLDWTGFKWVRPDNKELVKSVVYSCGTMVELRLDFAWLPVIVIKELENGKRFIVKHWDKSFTCREESGIITVDSLSVRPKQPPFSVGGFDLLDHVEVFSHFEWRQGVVRGIVFEGRYMVSFGATRAASQFSHSDLRPPMEWKDGIWHKITKPKGQKKTSLDGKRNKSVALDTGNVQTKELPGNGMANNVVNEKGSGSHITQGMTATMNKTQGKISPEPMKNQIGSRNEPTREKHYSKVYTRKRKRGQLEHNSDLNETVLSSDRTPNVVKNVGSNVEETQAKDTEMVLPFVKKSPCWKIYESTEVFKRVPQSPHFSPLLKSKEDFREGYALGLMVIYSGLLEKFKDLETDVPVSQLNSLKDSFSELEKYGFSVTTALSRIDKLLALKDRQLDILEELKGFDKEMTDESSNNRKAKQEFDKIERKILELHRQEAALKKQKEAAKEQKDAAYKKICQMESCAKDLDVELEGVEFEFETILSAPW >Al_scaffold_0001_1171 pep chromosome:v.1.0:1:4655219:4657138:1 gene:Al_scaffold_0001_1171 transcript:Al_scaffold_0001_1171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMG5] MISSIKPVSSSLTAIAGVRRSIPAKLRFSPLPIIGNFQKPNPLPQKPLLSAQNLSNFTLAAAQRSGVFRVGAYEADRSRPIEIGIDVPDEQSGQKVKIGIYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLACGSLMMLVSWVTGVAEAPKTDLDFWKTLFPVALAHTIGHVAATVSMSKVAVSFTHIIKSSEPAFSVLVSSLFLGEAFPLPVYLSLLPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMEGKSVSGMNYYACLSMMSLLIVTPFAIAVEGPQMWAAGWQNAVSQIGPNFVWWVVAQSVFYHLYNQVSYMSLNQISPLTFSVGNTMKRISVIVASIIIFQNPVKPVNALGAAIAILGTFIYSQVKNAKQ >Al_scaffold_0001_1180 pep chromosome:v.1.0:1:4689256:4694169:1 gene:Al_scaffold_0001_1180 transcript:Al_scaffold_0001_1180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMH4] RRRRKKKKKKKNKKIKKKKKKKKKSANTIYKQKKEKKKQNKKRKKKFSIMKEISQLIDSKFIT >Al_scaffold_0001_1186 pep chromosome:v.1.0:1:4715492:4718910:1 gene:Al_scaffold_0001_1186 transcript:Al_scaffold_0001_1186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G11730) TAIR;Acc:AT1G11730] MSFKNRGEYNFTPRNVVSRNSVFFMCLASFCLGMFFTNRMWNVVPEARGISRLSKLSLSSSDCHKKNVLEFGNNTIGILDKSISSLEIKLVAARAERESLAGKFNISKEAKKRKYFMVIGINTAFSSRKRRDSVRSTWMPQGEKLEKLEEEKGIIVRFVIGHRYNGEVIVLSRGILDKAIEAEEKTHGDFLKLEHTEGYMELSAKTKTFFATAVSLWDAEFYIKVDDDVHVNLATLKKTLSVHRNKPRVYVGCMKSGPVLARKSVKYHEPEYWKFGEVGNKYFRHATGQFYAISKDLATYILINQDLLHKYANEDVSLGSWFIGLNVEHVDDKRLCCSTSQGKELNNPDCELKAVMGHNCAASFDWKCSGICRSAERMADVHERCGEPQNALWTSNS >Al_scaffold_0001_1189 pep chromosome:v.1.0:1:4730252:4733111:-1 gene:Al_scaffold_0001_1189 transcript:Al_scaffold_0001_1189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMI3] MDNIVDSLNKAYEKFVLASAGVLESKESAGGQKASLTDAALENFKEKWELFRVACDQAEEFVESVKQRIGSECLVDEATGLTTASGNSGGQAPAATGAATSLPPISAVRLEQMSRAVRWLVLELQRGSGAAPGSVHSSSTGYMDSNQSMRLLSSWIGQIGDLGLNLLWRFIHIVVSLWYIVSGISEAIESYAISLGLNKKYSSIDLEKLRCLAVVVDIEAVQDVAKVVELLQWLTTIGVKQVGLFDSQGLLKKSKDLILETVPGSMLLEEIGKDFSPDGKRIALEFISSSDNKEAVMKAANILLQRYLKSSHPENDQGENFFTESHLNEALGVVGENVHVPDLLLVYGPIRSHLGFPAWRLRYTEIVHMGTLKYMRYGSLLKAIHKFTGVHQNYGKSLISHYFIYSLSHTQFKY >Al_scaffold_0001_119 pep chromosome:v.1.0:1:468909:469542:-1 gene:Al_scaffold_0001_119 transcript:Al_scaffold_0001_119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQB0] MSLCFSEEELDIDDIDIDDHHHSQQQEKPKEQGILSRLSKQKMVNRFSNFKRIISFSQVCWNFHQ >Al_scaffold_0001_1195 pep chromosome:v.1.0:1:4746886:4749311:-1 gene:Al_scaffold_0001_1195 transcript:Al_scaffold_0001_1195 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT1G11820) TAIR;Acc:AT1G11820] MAFTSMVSTVPVLFFFFTLLLVSANSSSLSHDIKVQEQDKDPFVGFNIGTDVSNLLSPTELVKFLQTQKVNHVRLYDADPELLKALAKTKIRVIISVPNNQLLAIGSSNSTAASWIGRNVVAYYPETLITAISVGDEVLTTVPSSAPLLLPAIESLYNALVASNLHTQIKVSTPHAASIMLDTFPPSQAYFNQTWHSIMVPLLQFLSKTGSPLMMNLYPYYVYMQNKGVVPLDNCLFEPLTPSKEMVDPNTLLHYTNVLDAMVDAAYVSMKNLNVSDVVVLVTESGWPSKGDSKEPYATIDNADTYNSNLIKHVFDRTGTPLHPEMTSSVYIYELFNEDLRASPVSEASWGLFYANSTPVYLLHVSGSGTFLANDTTNQTYCIAMDGVDAKTLQAALDWACGPGRSNCSEIQPGESCYQPNNVKGHASFAFNSYYQKEGRASGSCDFKGVAMITTTDPEMLMFQVMEAAFSREARRSEIGHRQW >Al_scaffold_0001_1209 pep chromosome:v.1.0:1:4793662:4794831:1 gene:Al_scaffold_0001_1209 transcript:Al_scaffold_0001_1209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KN73] MLKRDRSSVVGSKRHGSSVVLKRHRSSVVELLPHDVVELILEKLPVKPLLRLKSVSKRWKSTIESHRFKERQFICRKQSRGIDVLFVSLGDDEARGMRIVLGSSIVSTVRFPTSCTVFCHGSCDGLLCLYSLNDPSFVVNPATRWHQSFPLSSYRELIFDRLKIKGALDITCAKLGFGRDKVRGTYKPVWLYNSSEYGLENITTCEVFDFSTNAWRFLHQASLYRILGDHYPVYLDGSLYWFTECEETKVLSFDLHTETFQVISKTPFPHVLDPLGFTMCILDNRLCVSETNWPTQVIWSFDSSGGMEPWKKLCSIDLTETISLYGKAVSRLFPIAILDKTKLLLHSRSYLQPAVIYDLQTKSYGVLFTPTTRGSSAFYSQSLFSALSN >Al_scaffold_0001_1215 pep chromosome:v.1.0:1:4819158:4820579:1 gene:Al_scaffold_0001_1215 transcript:Al_scaffold_0001_1215 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G12010) TAIR;Acc:AT1G12010] MEKTIKFPVIDLSKLNGKERDQTMALIEDACQNWGFFELVNHGLPYDLMDNIERMTKEHYKKHMEQKFKEMLRSKGLDTLETEVEDVDWESTFYLRHLPQSNLYDIPDMSNEYRLAMKDFGKMVENLAEELLDLLCENLGLEKGYLKKVFHGTKGPTFGTKVSNYPPCPKPEMIKGLRAHTDAGGLILLFQDDKVSGLQLLKDGDWVDVPPLKHSIVINLGDQLEVITNGKYKSVMHRVMTQKEGNRMSIASFYNPGSDAEISPATSLVDKDSKYPSFVFDDYMKLYAGLKFQPKEPRFEAMKNAEVAADLNPVAAVETF >Al_scaffold_0001_1216 pep chromosome:v.1.0:1:4821759:4823484:1 gene:Al_scaffold_0001_1216 transcript:Al_scaffold_0001_1216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KN81] AEHCADAEVIYKFLEVNEIDKTTVVSGDDLFVHQSISSEKFRSLTVDEAVEFKVEVDNASRLKTVEVSGPEEDVMVEIIKEDMVVMVLALGVVNQVIWREIVLKVVEDTVVVEVVEGTLI >Al_scaffold_0001_1228 pep chromosome:v.1.0:1:4891478:4899589:-1 gene:Al_scaffold_0001_1228 transcript:Al_scaffold_0001_1228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KN93] FSNVKKKTENLRNKTTKKKKKKKKKKHKNAFVQKKKKKKKKHKNNKGGSLHKKKANKEKQRKHTKKIKIADTKKKKKKNSEKKKKI >Al_scaffold_0001_1231 pep chromosome:v.1.0:1:4920010:4922197:1 gene:Al_scaffold_0001_1231 transcript:Al_scaffold_0001_1231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-binding monooxygenase family protein [Source:Projected from Arabidopsis thaliana (AT1G12130) TAIR;Acc:AT1G12130] MTPPPNSISSRNVAVIGAGAAGLVAARELRREGHTVTAFERQKQVGGLWVYTPNVEPDSLNIDPDRTIVHSSIYQSLRTNLPRECMGYSDFPFVTQPDDETRDPRRYPDQREVMRYLQDFAKEFDINEMIRFETEVLRVEPAAENSREWRVQFRSSNGVSGEDIFDAVVVCNGHFTEPRLAHIPVLLSWPGKQIHSHNYRVPDPFKDQVVIVIGNQSSGSDISRDIATLAKEVHIAAKSDAYEKESSIYSNLHIHPTIDRVYADGSVVFQDGKVVFADAIVHCTGYKYCFPFLETNGYVTVEDNRVGPLYKHVFPPALAPGLSFIGLPSMALQFFMFEIQSKWVASVLSGRVKLPSEDKMMEDIIAFYAKLKSLGIPKRFTHFLTNPQWTPMFEKLKPHEAVLISQTEYFNWIAEQCGSPSIERWREEQYNIAIKKDDETFRDEWDDDDLIEEAYRDFAKIKPTKVCCST >Al_scaffold_0001_1233 pep chromosome:v.1.0:1:4924895:4926692:-1 gene:Al_scaffold_0001_1233 transcript:Al_scaffold_0001_1233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF827) [Source:Projected from Arabidopsis thaliana (AT1G12150) TAIR;Acc:AT1G12150] MVNIRVQKGPESPRTMEVGEIDTRAPFQSVKAAVSLFGEVAVSRQRSTPRRSRLSSESVCDKETQLMLVNKEFMKIKQKLANAESTRSRALDDLSKAKKTMEDLSNKLETVNKSKQSAIDTKETVQQREEQLEHDKCHGSPPHHHELDVAREQYISTTVELDAAKQQLNKIRQSFDSAMDFKATAYNQAAEAQRALQVNSAKVNELSKEISDMKDAIHQLKLAAAQNLQEHANIVKEKDDLRECYRTAVEEAEKKLLVLRKEYEPELSRTLEAKLIETTSEIEVLREEMKKAHESEMNTVKIITNELNEATMRLQEAADDECSLRSLVNSLRMELEDLRREREELQQKEAERLEIEETKKLEALKEESLKLEQMKTEAMEARKEAENMNRKIESLKKETEAAMIAAEEAEKRLELVIREVEEAKSAEEKVREEMKMISQKQESKKHDDESSGSKIKITIQEFESLKRGAGETETAIEEKLAAIAAELEEINRRKAEADNMLGANLKAIEEMKQATELAQKSAESAEAAKRMVESELQRWRQQENVQHA >Al_scaffold_0001_1236 pep chromosome:v.1.0:1:4932307:4932765:1 gene:Al_scaffold_0001_1236 transcript:Al_scaffold_0001_1236 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: HSP20-like chaperones superfamily protein (TAIR:AT5G47600.1); Has 8 Blast hits to 8 proteins in 3 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 8; Viruses - 0; Other Eukaryotes - 0 (s /.../ NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G12180) TAIR;Acc:AT1G12180] MSASSSRESTSAAGDRNFKVEIKEYGGGKGPVRYFFDEDSCIARVDVPGCSRFSSVHPLEKTNVGFRTEEVDENNNPLRTYSGFVKFPTVYDPKNAELKVDSGVLWVTVPRKQHRQG >Al_scaffold_0001_1245 pep chromosome:v.1.0:1:4981044:4981916:1 gene:Al_scaffold_0001_1245 transcript:Al_scaffold_0001_1245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNB0] MAEAKGNAAFSSGDFTTAITHFTEAITLAPTNHVLFSNRSAAHASLHQYAEALSDAKETLKLKPYWTKGYSLLGAAYLGLNQFELAVTAYKKGLDIDPTNEALRSGLVDAEASVSSSSGMVLCNLDYPFGIPDKVGINEKKISKYF >Al_scaffold_0001_125 pep chromosome:v.1.0:1:494740:496028:1 gene:Al_scaffold_0001_125 transcript:Al_scaffold_0001_125 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT1G01080) TAIR;Acc:AT1G01080] MAASCFAIPLSSSSRSSHNAIPKYKTLISSSYAYLESLKPQLSSSNSFHLSSLSRLFVAHQLQIKVSSSELSVLDEEEEEEVKGEGEVKTGEGETNGDSVVSEAEPVKKPRPCELYVCNIPRSYDIAQLLDMFQPFGTVISVEVSRNPQTGESRGSGYVTMGSINSAKIAIASLDGTEVGGREMRVRYSVDMNPGARRNPEVLNSTPKKILMYESQYKVYVGNLPWFTQPDGLRDHFSKFGTIVSTRVLHDRKTGKNRVFAFLSFTNSEERDAALSLNGTQYEGRRIIVREGIEKSEA >Al_scaffold_0001_1253 pep chromosome:v.1.0:1:5014585:5016347:1 gene:Al_scaffold_0001_1253 transcript:Al_scaffold_0001_1253 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-phospho-panto-thenoylcysteine synthetase [Source:Projected from Arabidopsis thaliana (AT1G12350) TAIR;Acc:AT1G12350] MSSISGLVEDEISSFFESSPPLKNNEEIVAKLNQFIELNHSCEGGKRRIVCVTSGGTTVPLEQRCVRYIDNFSSGNRGAASTENFVKAGYAVIFLYRRGTCQPYCRYLPDDPFLECFEFPDAKNIQVHGSHSEAVKMAVMDQQAAVAEGRLLKLPFSTIYEYLQMLRLIATVLKDVGPCSMFYLAAAVSDFYVPWKSMTEHKIESGSGPLDIRLAQVPKMLSILRSNWAPKAFCISFKLETDSKILIEKATKALQKYKVHAVVANELLTRKEEVVVVSSSGNVVVRRDNNKPESIVEDNLIRLIVDRHSTYIKESHT >Al_scaffold_0001_1268 pep chromosome:v.1.0:1:5085466:5086226:1 gene:Al_scaffold_0001_1268 transcript:Al_scaffold_0001_1268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KND3] MCEANKIRPILHSGLEWWVSLDGTALFLSANFDELDIGFGKDKITGTYKPVWLYSSLEIGLENARFSTLTTCEVFDFNTDAWRYVSPAAPYRVFGNSKPICIDGSLHWFNDCEETKILSFDLHTETFQVVSKAPFTNVNAFDHCHVQSRQPLLRFQDELADMVIQFRQQDMAQNVFH >Al_scaffold_0001_1271 pep chromosome:v.1.0:1:5093884:5095480:-1 gene:Al_scaffold_0001_1271 transcript:Al_scaffold_0001_1271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KND6] MGMARKISKEEVVEKLKDDGDFDRLRLKIIRRLKDNEELRNNMIAIVKESTALKRQGAQNMKTRQLSDAIFEEVGSKMLSQLSDGLWGIIRSEDGMKNEIRETVQSVYATLSNPGGEKCGTSARKVEHKIPTPFPETRADSKTSPASKQKQELVQRVVEDNKGEACSDDNEEDPELPPGFG >Al_scaffold_0001_1275 pep chromosome:v.1.0:1:5104906:5107754:-1 gene:Al_scaffold_0001_1275 transcript:Al_scaffold_0001_1275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline (GMC) oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G12570) TAIR;Acc:AT1G12570] MMDRFWSWRLFVALPLFLHSPICSSDKAPNYSFMRDATGSPTTSYYDYIIIGGGTAGCPLAATLSQNASVLLLERGGAPYNNPNITSLSAFGAALSDLSETSPSQRFVSEDGVINARARVLGGGSALNAGFYTRAGTKYVRNMGWDGALANESYQWVEAKVAFQPPMGRWQIAVRDGLLEAGIVPNNGFTYDHINGTKFGGTIFDRNGHRHTAADLLEYADPKDITVLLHATVHRILFRTRDTTKPIANGVVYRDRTGQAHRAYLKEGALSEIILSAGTLGSPQLLMLSGVGPLAQLEAQNITVVMDQPHVGQDMYDNPMNAVFVPSPVPVEVSLIEVVGITGEGTYIEAAGGENFGGGGGGSTGSSSTRDYYAMFSPRATLLESNSMTKLSSAQPFQGGFLLEKVMGPLSTGHLELKTRNPKDNPVVTFNYFQHPDDLKRCVRGIQTIERVVQSKAFARYKYADMSFEYLLNLTASTPVNLRPPRSGPGASLPPSAEEFCQHTVTTIWHYHGGCIVGRVVDGDYKVIGIDRLRVIDMSTVGYCPGTNPQATVMMLGRYMGVRILRERLTKK >Al_scaffold_0001_128 pep chromosome:v.1.0:1:509479:510755:1 gene:Al_scaffold_0001_128 transcript:Al_scaffold_0001_128 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrophosphorylase 1 [Source:Projected from Arabidopsis thaliana (AT1G01050) TAIR;Acc:AT1G01050] MSEETKDNQRLQRPAPRLNERILSSLSRRSVAAHPWHDLEIGPGAPQIFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFVPRTLCEDNDPIDVLVIMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCVDDPEYKHYTDIKELPPHRLSEIRRFFEDYKKNENKEVAVNDFLPSESAVEAIQYSMDLYAEYILHTLRR >Al_scaffold_0001_1280 pep chromosome:v.1.0:1:5141476:5143631:-1 gene:Al_scaffold_0001_1280 transcript:Al_scaffold_0001_1280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KP87] MAASIGVSVAVLRFLLCFVATIPVSFAWRIVPSRLGKHLYAAASGVLLSYLSFGFSSNLHFLVPMTIGYASMAIYRPKCGIITFFLGFAYLIGCHVFYMSGDAWKEGGIDATGALMVLTLKVISCAMNYNDGIRKNRLVQMPSLIEYFGYCLCCGSHFAGPVYEMKDYLQWTEGKGIWDTSEKRKQPSPFGATIRAILQAAICMALYLYLVPQYPLTRFTEPVYQEWGFLRKFSYQYMAGFTARWKYYFIWSISEASIIISGLGFSGWTDDASPKPKWDRAKNVDILGVELAKSAVQIPLVWNIQVSTWLRHYVYERLVQNGKKAGFFQLLATQTVSAVWHGLYPGYMMFFVQSALMIAGSRVIYRWQQAISPKMAMLRNILVFINFLYTVLVLNYSAVGFMVLSLHETLTAYGSVYYIGTIIPVVLILLSYVVPAKPSRPKPRKEE >Al_scaffold_0001_1282 pep chromosome:v.1.0:1:5152606:5154067:1 gene:Al_scaffold_0001_1282 transcript:Al_scaffold_0001_1282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KP89] MARSGLSGVLLYVSLARSASSEELWRDQEFRDLARVGQRPCNEPRFDRQVGLAKRVRPKRRSVWREGDEPWFGPCETARYDVGLDRQSRSSTRPWFIDPGVIRFSEVMRSDFMRSYKDPDRLSLDHPDWRREFALARGLARFGSPDSVSLGMKPEYAWVCTKPEYAWLGTEPEYAWLGTEPEYAWLGTEPEYAWLGTEPEYAWLGTEPDYAWLGTEPEYAWLGTESEYALLGTEPEYAWLGTEPEYAWLGTEPEYAWLGTEPEYAWLGTEPEYAWLGTEPEYAWLGTEPEYVWLGTEPEYAWLGTEPGYSSRH >Al_scaffold_0001_1283 pep chromosome:v.1.0:1:5169874:5173076:1 gene:Al_scaffold_0001_1283 transcript:Al_scaffold_0001_1283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KP90] MDVHALSSSASAAATAAPASYIAGNFPLFPSSVRLRRNKDNLLAKQKKFVVSASKREEPKLNEWDQMELKFGRLLGEDPKLTLAKIVGRKVNPEASFIEIEKSFYKNKAPVPKDGVNLEKPVMKKPNPVLKKPLEAAAVPVAAPKVQRLPNVILRKPSSFYASNDNDEESMLRLKPSLTLKMRNERENERFSDMTLLRKREPVSVEDENAEDKLLSDGLTVEEGEQEDGTYSEYTLLEKPEARPEPMNIEEVVGDSGAVESSEIENNSIQEPEARPEPENIEEEVGDSRAAESSEIENNSIPTEMQLKIQRSSGSSEEKTINSDSLERIPSKPVSQTIVEASLQGKPQRLDPSSAEPSVSTENDWNKAESLVKTELRADVELISSSTRGFAVSYGSLIGFLPYRNLAAKWKFLAFESWLRRKGVDPSLYRQNLGVIGGQDVTSKAPSPDTSLDSEDATTINGEVSSDMKLEDLLMVYDREKQKFLSSYVGQEAYISMRPRENEEEVEKKRNLMAKLRVGDVVKCCIKKITYFGIFCEIVEAKVHQLDFALERIFLSLKEITPDPLTEALESVVGGDNDQFGARLQAAELDAEWPDVESLIKELEMVEGIQSVSKSRFFLSPGLAPTFQVYMAPMFENQYKLLARAGHRVQELIVQAYVFI >Al_scaffold_0001_1290 pep chromosome:v.1.0:1:5199845:5200486:1 gene:Al_scaffold_0001_1290 transcript:Al_scaffold_0001_1290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted to encode a PR (pathogenesis-related) protein. Belongs to the plant thionin (PR-13) family with the following members: At1g66100, At5g36910, At1g72260, At2g15010, At1g12663, At1g12660. [Source:Projected from Arabidopsis thaliana (AT1G12660) TAIR;Acc:AT1G12660] MENKRVAMLVVMMLVMGNTSIEAVALPKMSFKVCYGGCLVACALIAPAIKKPFCPFLCIKDCKRRPILSFEANLKEIDQTDSFCELGCATDRCVSSSSIDDKDHVEKVSVCVDSCSEECSHKN >Al_scaffold_0001_1291 pep chromosome:v.1.0:1:5201892:5202398:1 gene:Al_scaffold_0001_1291 transcript:Al_scaffold_0001_1291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted to encode a PR (pathogenesis-related) protein. Belongs to the plant thionin (PR-13) family with the following members: At1g66100, At5g36910, At1g72260, At2g15010, At1g12663, At1g12660. [Source:Projected from Arabidopsis thaliana (AT1G12663) TAIR;Acc:AT1G12663] MLVAVMIVMVIGNLLAQTTEAQKIPFKDCYPACLIECKAESNFPKYLKCPFTCTKTCLQQPPLPSVSSDNIDDSDYFCKLGCATYHCVSLSSLQNPNMESVSACVDSCSNKCTKDIHV >Al_scaffold_0001_1293 pep chromosome:v.1.0:1:5204866:5205427:1 gene:Al_scaffold_0001_1293 transcript:Al_scaffold_0001_1293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPA2] MESKRVAMLMNIMIVMVIGCLLVQTEAKSSDFKVCYSDCHAVCKSHTTFPKSLLCPFTCLMTCLVPNQPTPSPTSDTDVEKVATCVDSCSGKCSGGKKK >Al_scaffold_0001_1302 pep chromosome:v.1.0:1:5236110:5236653:-1 gene:Al_scaffold_0001_1302 transcript:Al_scaffold_0001_1302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPB2] MNYFPDEVIEHVFDFVASHKDRNSISLVCKSWHKIERFSRKNVFIGNCYAINPERLIGRFPCLKSLTLKGKPHFADFNLVPHEWGGFVLPWIDALARSRVGLEELRLKRMVVTDESLELLSRSFANFKSLVLVSCERFPTDGLASLLSLLSFI >Al_scaffold_0001_1304 pep chromosome:v.1.0:1:5247867:5250054:-1 gene:Al_scaffold_0001_1304 transcript:Al_scaffold_0001_1304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KPB4] TEISLEIFGKLINIYRALGEDRRSFSYYKAIPIIEKFPTRIESVDQLKHFPGIGKAMRDHIQEIVTTGKLSKLEHFETDEKVRTISLFGEVWGISPATALKLYEKGHRTLEDLKNEDSLTHAQRLGLKYFDDIRTRIPRHEVQEVEQLLQRVGEETLPGVNIVCGGSYRRGKATCTYNLDIVITHPDGQSHKGFLTKFVKRLKEINFLREDLIFSTHSEEGTDSGVDTYFGLCTYPGQELRRHIDFKVYPRDIYAFGLIAWTGNDVLNRRLRLLAESKGYRLDDTGLFPATHSSSGNRGARGTASLKLSTEKQVFDFLGFPWLEPHERNL >Al_scaffold_0001_1306 pep chromosome:v.1.0:1:5254300:5255588:1 gene:Al_scaffold_0001_1306 transcript:Al_scaffold_0001_1306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KPB6] MESSEIENNSIPTRCPRDPLRRRPLKAIDSREFLRNQFSQTIVEASLQGKPQLDPSSVEPSVSTKGQPLIVNYEGRQVSVELKGPPTRSSLEENDWNKAESLVKTELRADVELISSSTRGFAVSYGSLIGFLPYRNLAAKWKFLAFESWLRRKGVDPSLYRQNLGVIGGQDVTSKAPSPDSSLDSEVATSINGEVSSDMKLEDLLMVYDREKQKFLSSFVGQKIKVNVVMANRNSRKLIFSMRPRENEEEVEKKRNLMAKLRVGDVVKCCIKKITYFGIFCE >Al_scaffold_0001_1307 pep chromosome:v.1.0:1:5255751:5258313:-1 gene:Al_scaffold_0001_1307 transcript:Al_scaffold_0001_1307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPB7] MADILPPLTTKQALEKVDNSNLTCPVGYDFLDKEAFNILNPDYFRGLYLAYCPGFFDEKLHISSTMGPDHYQLGAYYLDQKLSFYGTVNSHGDLSARMQADITDKLIVHAKTELRNTHLYQAQVNFEYLALNYRAQFQLGSNSAIGATYIQQFSVQRVTPRLSLGGEFFWASMAQESGVGYAARYETDRMFWWDSEEEEEYMVASAKVVSIGRVIMTYVQKISKKVSLATDFVYDCFSRDVKTSVGYEWDISRLGMIDSDGVASAILKKEMNMGLECLLSASLDHKNKDYRLGLSLTYG >Al_scaffold_0001_1314 pep chromosome:v.1.0:1:5294109:5294712:1 gene:Al_scaffold_0001_1314 transcript:Al_scaffold_0001_1314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPC5] MSPMDLKPKNSDPKSQNYQGPLEEQIERYRMFMIEGGCKESFTGNEDCEGNTIECTEKWLKLKKCMEVHIDYYQPYYAVWKKVDELEERNGEPVYPSKEPNERAKQASEFVRGPCKEPLSQQEALDTMYKCMEAYSDYYEAFLADRKNVMNIILRSLTPSWLRRNYRVGILEDPLH >Al_scaffold_0001_132 pep chromosome:v.1.0:1:535629:535835:1 gene:Al_scaffold_0001_132 transcript:Al_scaffold_0001_132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KQC3] FKVLNDEGDTGAGCGCNRGLLEQCPVPPGYDARQVGPCIKRCVENLGYSGPLTIFAVGLLTNIPDDVL >Al_scaffold_0001_1326 pep chromosome:v.1.0:1:5334462:5336104:1 gene:Al_scaffold_0001_1326 transcript:Al_scaffold_0001_1326 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G12860) TAIR;Acc:AT1G12860] MNGDGVWLDGAGESPEVNNNEAASWVRNPDEDWFNNPPPPPQILHSNQNDFRFNGGFPLNPSENLLLLLQQSIDSSCSAPLHPFTLDAASQQQQQQQEQSFLATKACIASLLNVPTSNINTFDEFGFDSGFLSQQFHGNQSPNSMNFAGLNHQGPDFLTSRSLPAPENSSGSCGLSPLFENRAKVLKPLEVLASSGSQPTLFQKRAAMRQSSSSKMCNSESSSEMRKSSYEREIDDTSTGIIDISGLNYESDEHINNKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRAAILGDAIDYLKELLQRINDLHTELESTPPSSSSLHPLTPTPQTLSYRVKEELCPSSSLPSPKGQQPRVEVRLREGKAVNIHMFCGRRPGLLLSTMRALDNLGLDVQQAVISCFNGFALDVFRAEQCQEDHDVLPEQIKAVLLDTAGYAGLV >Al_scaffold_0001_1327 pep chromosome:v.1.0:1:5337268:5337813:-1 gene:Al_scaffold_0001_1327 transcript:Al_scaffold_0001_1327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPD8] MEATASSSSSLVNDVVEEIFLRLPVKSLIRLKSLSKQWRSTIQSRSFAERHLKFAKRSHMEHSKVIAINSREYFENEHRSICFHTLSLEPTPLLSFTLPKSHPKFSSFYVSKSCDGLFCIYSTKSEFIWVVNPATRWFRQLPPARFQILNHRMVQNLDDNWDPDLCYFTTPVTSLQISVVV >Al_scaffold_0001_134 pep chromosome:v.1.0:1:541678:542518:-1 gene:Al_scaffold_0001_134 transcript:Al_scaffold_0001_134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KB60] MFCFFIYFFVLFFFVFFLFFFLFFFCFFFVFLFCFFFCFFFVFFCFFLMFCFFICFFISVKFFNFV >Al_scaffold_0001_1347 pep chromosome:v.1.0:1:5421613:5422187:1 gene:Al_scaffold_0001_1347 transcript:Al_scaffold_0001_1347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPF7] MLNPYFLYNRVLDYTMLTTKEDGSRETGFQSVLVHHTLTVYWTAVEETSELARRSIYHATFRDGASGGVASVYHVGPEGWTKLSGDDVEELHYHYYPVAPAIAEQVMEEATAE >Al_scaffold_0001_135 pep chromosome:v.1.0:1:546617:548110:1 gene:Al_scaffold_0001_135 transcript:Al_scaffold_0001_135 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 49 [Source:Projected from Arabidopsis thaliana (AT3G04430) TAIR;Acc:AT3G04430] MYRCTFNPPEEELINYYLNNKITENDDLVGRQITEVNICHHEPADLPGLAKIESSHTWYFISPVEKFGKLNRTKRASRTGHWKITGNSRTIKDVDGNPIGLKKFLVFQENKRSSSSSSSTTTTQQQKTNWIIHEFHSFLHHPNKMLTENKNEDYGGDYCNGLDELLPSLEQGESSGLLLGENGHAYEQDTTENSNVRPL >Al_scaffold_0001_1368 pep chromosome:v.1.0:1:5525297:5526348:-1 gene:Al_scaffold_0001_1368 transcript:Al_scaffold_0001_1368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KQD6] LISISKSTLRQIVKPRCGNPDTIDGVSEMNGGRKLRTTKWYSFFPGKPRWPKRKRDLTYAFVPQNNLTDDVKRVTPLNFTRSESLLGADIVIGFFSGEHGDGEPFDGAMGTLAHASSPPTGMLHLDGDEDWLISNGEISRRVLPVTSVVDLESVAVHEIGHLLGLGHSSVEDAITCAFGWRVTNSIN >Al_scaffold_0001_137 pep chromosome:v.1.0:1:555254:556901:-1 gene:Al_scaffold_0001_137 transcript:Al_scaffold_0001_137 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 4 [Source:Projected from Arabidopsis thaliana (AT1G02230) TAIR;Acc:AT1G02230] MVNPVGFRFRPTDEEIVDHYLRPKNLDSDTSHVDEVISTVDICDSDPWDLPSKSMIKSSDGVWYFFSLKEMKYNRGDQQRRRTNSGFWKKTGKTLTIMRKRGNREKIGEKRVLVFKNRDSSKTDWVMHEYHATSLFPNQVMTYTICKVEFKGEETEISSSSTGSEIEQIHSLIPLVNNSGGSEGSSYSQELQNPSQFSGFSDVHQEAQLDDATTSTEEWKTWLNDDGDEQMNYIVNMQDDRNDHRPQKPLTGVFIDYSSDDNDSDLLSPNTNFIETWSSCDSFASSNHRIDQIKTQQSPDSTIKLVTLTQEVSQALGQGIEIGEHKLGQETVRKKRASFIYRMIQRLVKKMQLCSSISRT >Al_scaffold_0001_1373 pep chromosome:v.1.0:1:5546072:5549270:-1 gene:Al_scaffold_0001_1373 transcript:Al_scaffold_0001_1373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G47940) TAIR;Acc:AT1G47940] VAVFAPSRSSPYVTITLKTMFEPLYRELYTFDPTFFFTPSFLKAINGDTVESFGSVVSVSSPGIFVSEMLQPKFYEMMLSKVDKFGEWVNVAKIQITKPNTMRKYGTLLDNFGLDSMLENIMEVFPEVCGSKSDSQNGFVVEYGTDRDIDLGFHVDDSELTSNVSLSKHFEGEELYFRGTRCKKHDVNTDTKPEEMFGDSHTPDQAVLRHGDRATTSGHGVDMILWSRRCNHIRRNSQADAVKASEKRNKSKARLLLPEERQKTERASR >Al_scaffold_0001_1374 pep chromosome:v.1.0:1:5572895:5573304:-1 gene:Al_scaffold_0001_1374 transcript:Al_scaffold_0001_1374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQE3] MAVLAAVAGSRPWNAFIQALFLISLSFPIPSSAYRPGDIVRMSKMGQYHSSRITWHDVIGKHCPIFAVNREVLIPIAKPIGYT >Al_scaffold_0001_1376 pep chromosome:v.1.0:1:5587132:5588877:-1 gene:Al_scaffold_0001_1376 transcript:Al_scaffold_0001_1376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endosomal targeting BRO1-like domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G13310) TAIR;Acc:AT1G13310] MTMMQYVIPEPKTKEIVFEKTLQAAGPVTLEEVKDLTSKRKIIEESVNKTRKVVTDATTIGLTSACEKDLHRLGQYIPLLFNLVHYTDKIKRVSNLKIRWSSGLISQTLIQRTCPKFFQVDNIMFELGMVLFVYAVKLRERAMELVSTDSKKSVTVYREASGVFHHLSHELLPSLKLCLPPGKLPELTPPLCSCLSLLCLAEGQAVTIENAEESGKSASLLSKLHFGISQYLSEAYSHLSSRPNGEYKDLSTRFLEYVTTMGALHELKSQKHLAEVLESEDRVGEAVGVLMRALAAAKKSTPSKDDKWISIFKKEREEVAKNMAKYEKLNDSMMLQKIPIDREIPFPKGAKIVNLIPYTPTRLVRELRFKS >Al_scaffold_0001_1391 pep chromosome:v.1.0:1:5649332:5650547:-1 gene:Al_scaffold_0001_1391 transcript:Al_scaffold_0001_1391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQG2] MEKEKRVIASSSRWSSSTTIRRPRGNPLEASEKHLKFVEQSKNDPPKILFASRGHQYMELKTLCLESASLRVFNLLYIPGRLCIEISGSCNGLVCVYRDREVYSKREAPIYVVNPTTRWFRQLPQARFQILMHKATYTRETFTDINPVPRLAFAKATLCDYKLVWLYNSDKYNSDAMSLNDGFTKCEVFDFRANAWRYLTCTPRYRIFNDQTPASANGLVYWLTERYNGETKVIVFDLQTETFRLLPKNPAASSHPDHIDLCILDNRLCMSKRKRGTMIQKIWSLQVSLEETRTKIYTIDLRSCLSWSQSGLIAFSWTRMDVIRPCTPVAILKNKEILLNHRYGDGLVKYDPQTKFYSSIYNHLSCSRVVPYFQTLISHI >Al_scaffold_0001_1393 pep chromosome:v.1.0:1:5658293:5660823:-1 gene:Al_scaffold_0001_1393 transcript:Al_scaffold_0001_1393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQG5] MYVTRRLSEYQRNPAELTQPPPDGPNSGILVIQDQHSQTHPCCFGLCLVVDSFLSGLPLPQNLKLAARFNAGEDDATCDPVLFIPVLGKPLSSNSKRLLVGKRKTESPAAFASYVPEGKPKQLDPYDMYQQFMIHQKKPSSRYYYATSVAPDGVPPWFLKKNKWTVEYSRFQDFELRDDAKGLNTELRTELLGLGMSVVVGKWYVPFIFVKERDVEDQIKRSMYYSMTLEQRWEEVFSYENDKSENRDVVVDVELDTEVVKVDGQEIARGVEANGFVWFGVGEQKIGLGSVVVERMKWEEERFGWTGKGDQERSMTVERVEKSTYGSFWKSYHCYVLIESFVLKRMDKSLVLTYDDPQNTKVTVRFKVGKAVFLDPVAFIPVVHQPPSSNLYYVIRQSGNHIGEACVSAKEGDRASCCMCFTYMPDAEPRPLDPFDINQQFEIHQSGSSTQKFFATSVASDGIPPQFLTKKGWVVISLPIEENALIDDAKGIVDAKLRYEFPDFDKSVVVGKWYVPFLFVKDGDAKDQMNKSMYYSMTLQQRFEEVFFCENVDNNKYREVVVDVEVETEVVKLEGDKIAREIKGVNSDGVVWFSVSATDKIGLGSVVLERMKWEEERFGWSNKGDELRSSIKRTEKFEGGGPHWKSYRCYVLVESFELKRADGSLVLTYEFKHVDKLKSKWD >Al_scaffold_0001_1402 pep chromosome:v.1.0:1:5716668:5717079:1 gene:Al_scaffold_0001_1402 transcript:Al_scaffold_0001_1402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a defensin-like (DEFL) family protein. [Source:Projected from Arabidopsis thaliana (AT1G13605) TAIR;Acc:AT1G13605] MARLIFHFVFALIVAYYLLSVSDAIVREWKEPCFCPSTNPYCNCGDDLQVPTPSVIGPNPKIEKCARCNGNSQCNKVCPATCKYKVCIYNPTCDLHTCYCYIC >Al_scaffold_0001_1407 pep chromosome:v.1.0:1:5729159:5732015:-1 gene:Al_scaffold_0001_1407 transcript:Al_scaffold_0001_1407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13630) TAIR;Acc:AT1G13630] MKVEPEYKIDSVSDESSPTTNFTSDHRDFYQEILFGMKKIGFREFLHGHHFRGLVSELRQIHVEDIMAELMSESSDLSVWFFKELRDIYGFRHSRFSTLLVSHIFAGQRRFKELQVILEQLLQEEGKLCELLSNSFRKWESTGLVWDMLLFLSSRLRMVDESLYILKKMKDRNLNVSTQSYNSVLYNFRETDKMWDVYKEIKDKNEHTYSTVVDGLCRQQKLEDAVLFLRTSEWKDIGPSVVSFNSIMSSYCKLGFVDMAKSFFCTVLKCGLVPSVYSHNILINGLCLVGSIAEALELASDMNKHGVEPDSVTYNILVKGFHLLGMISGAGEVIQDMLDKGLSPDVITYTILLCGQCQLGNIDMGLILLKDMLSRGFELKSIIPYSVMLSGLCKTGRVDEALSLFYDLEAYGLTPDLVAYSIVIHGLCKLGKFDMAVRVYDEMCYKRILPNSRTLGAIMLGLCQKGMLLEARSLLDSLISSGDTLDIILYNIVIDGYAKSGCIEEALELFKVAIESGITPNVATFNSLIYGYCKTQNIAEARKILDVIKLYGLVPSVVSYTTLMDAYANCGSIKSIEELRREMKAEGIPPTNVTYSVIFKGLCRGWKLENCNQVLRERILEKFNHVLRDMESEGITPDQITYNTIIQYLCRVKHLSRAFELFKKMKSQNLDPTSATYNILIDSLCIYGCIRKADRFLYSLQKRNVSLSKFAYTTVIKAHCVKGDPEMAVILFNQLLDRGFNVSIRDYSAVINRLCRRHLAIESKYFFCLMLSRGISPDLDICEVMIKSDELLSWTIKWGLLPDQ >Al_scaffold_0001_1413 pep chromosome:v.1.0:1:5751371:5752009:-1 gene:Al_scaffold_0001_1413 transcript:Al_scaffold_0001_1413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQI7] MFKRHGSSLELLPHDVVELILERLPVNSLLRFKSLSKDWKSTIESKRFEERQLIRRKQSRGPDVLYVSLHDDEAPKRIVFGSSIVSTIKFPTICSIVCYGSCDGLVCLYCVSTPGFVVNPVTRWHQSFPLSSFQQLRMARLNKGDFHAPNYKLGFGKDKVKGTYKLVWLYNSSEYGLDNVTTCEVFDFSTNAWRYLVPAFLFGFFLTINPCT >Al_scaffold_0001_1419 pep chromosome:v.1.0:1:5776600:5779208:-1 gene:Al_scaffold_0001_1419 transcript:Al_scaffold_0001_1419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13750) TAIR;Acc:AT1G13750] MRESLVAILVTVVSVLGAIQQVKSHKDQPLSGIAIHKITFDLNEKAYVKASPTVLGSNGQHSELVLVQYSSPKPSDDDWIGVFSPADFNASTCPGDNKMVQPPLLCSAPVKFQYANFSNPRYTNTGIGSLKLQLINQRSDFSFALFSGGLLNPKLVAISNKVAFENPNAPVYPRLALGKEWDEMTVTWTSGYGLHLAEPVVEWGVKGGELKLSPAGTLTFGRNSMCGAPARTVGWRDPGYIHTAFLKELWPNSKYTYRVGHRLSNGALIWSKEYQFKSSPFPGQNSLQQVVIFGDMGKAEVDGSNEYNDFQRASLNTTKQIIKDLKKTDAVFHIGDICYANGYLSQWDQFIAQIKPIASTVPYMIASGNHERDWPNSGSLYQGLDSGGECGVPAETMFHVPAQNRAKFWYSSDYGMFRFCVVDTEHDWREGTEQYNFIEHCLASVDRKKQPWLIFLAHRVLGYSSTSFYAEEGSFAEPMGRDTLQKLWQKYKVDIAVFGHAHNYERTCPVYQSVCTNHEKSNYKGPLNGTIHVVAGGGGAGLAAFSDLQPNWSLFRDYDYGFVKLTAFDYSNLLFEYKKSSDGRVHDSFTISRDYRDILACTVDSCPATTLAS >Al_scaffold_0001_1420 pep chromosome:v.1.0:1:5780229:5780696:-1 gene:Al_scaffold_0001_1420 transcript:Al_scaffold_0001_1420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQJ4] MKIVCFKMLLLVSLLVAVVQNGHTIQNSESSVNQNSCMPNEPRCSGCPGGGSGGFRGPPPPCCKKDSDCKEHCPEVGYCSHSLTNAIVFVM >Al_scaffold_0001_1422 pep chromosome:v.1.0:1:5785119:5785601:-1 gene:Al_scaffold_0001_1422 transcript:Al_scaffold_0001_1422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQJ6] MKIASFKMLLLVSLLFAVVQNGLSIQNNESLLNQNSCKLNEPRCSGCEGGGSGGDFPGPPPPCCKKDSDCTPHCPEGGYCSKHQCDCVCHVVKVMNNDVRCQVDTDCNMKCSNQGYCKLAS >Al_scaffold_0001_1429 pep chromosome:v.1.0:1:5811580:5812400:-1 gene:Al_scaffold_0001_1429 transcript:Al_scaffold_0001_1429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQK3] MCMGHVQLNGCSTVCRISVEGYDTSVHAYDLKLALRKHFSSCGEVKNVNVPKDFVRGILKRCAYICIVGEGALEKALQLSGSDVRGWTISVKASPFHIPMCIDPGRALVAVAYLSRQEYRMMVTGYDTSLPELDIQIGLCKHFSTCGEVTGVLIPGDRRTGGLNRFVLSNFPTLFSQVISLANSRLVFVDFLTAKLLLLLWEKAQQTRHENLVDVT >Al_scaffold_0001_1446 pep chromosome:v.1.0:1:5876527:5878070:1 gene:Al_scaffold_0001_1446 transcript:Al_scaffold_0001_1446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBF7] MESLISVSPSIILPPTTATTIPVSTSRSVLIRLLPHRSSSFSGLSSSGRRISISRRRHFASCKSTGGGGGSDKLGGDEEESEEVERALHLDGTIPGTSDEFVRQVSSRAYEMRRKLEQTFDSTSYDVLESNPWRGDSKPVYVLTHKENQICTMKTRTNHSEVEKELGLLFPSRISNQKKQSRPKTKFDMLVEDIRDGVLVFEDVNEAVRYCDLLQGGGKGCEGVAEIEASSVFDLCRKTRSLAVLFRRGRTPPTPQTLERNLGSRKRSLEDLRDGK >Al_scaffold_0001_1456 pep chromosome:v.1.0:1:5945835:5946942:1 gene:Al_scaffold_0001_1456 transcript:Al_scaffold_0001_1456 gene_biotype:protein_coding transcript_biotype:protein_coding description:fucosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G14100) TAIR;Acc:AT1G14100] MITIVTCLLLWLLSIFIIFNHQLHNATTNGSTDSRKPRDKLLGRLLTEEFDEDSCLSRYQSSFYRKPSPYKPSRYLVSKLRSYEMLHKRCGPGTKAYKKATESLGENYSSKSVGECRYIVWIAVYGLGNRLLTLASLFLYALLTDRIMLVDQRKDIKDLLCEPFPGTSWLLPLDFTLTDQLDSFDRESPRCYGTMLKNHAINSTTIIPSYLCLYLIPDYVDHDKMFFCEKDQLLIRQVPWLVFNSNLYFIPSLWLIPSFQSELSKLFPQKETVFHHLARYLFHPTNHVWGMITRSYNAYLSRADERLGIQVRVFSKPAGYFRHVMDQILACTQREKLLPEVSV >Al_scaffold_0001_1457 pep chromosome:v.1.0:1:5948481:5950098:1 gene:Al_scaffold_0001_1457 transcript:Al_scaffold_0001_1457 gene_biotype:protein_coding transcript_biotype:protein_coding description:fucosyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT1G14110) TAIR;Acc:AT1G14110] MKLTIAIATCLVLCLVLLLPSSNISNPHKSDLTTNGLNNSERQSEKLLGGLLATGFDEKSCLSRYDQSMSKPSPYKPSGYLVSKLRSYEMLQKRCGPGTKAYKRATKQLGHNELRSSDDECRYVVWMPMFGLGNRMLSLVSVFLYALLTDRVMLVDQRNDITDLFCEPFPEISWLLPLHFPLTDQLDGFNREHSRCYGTMLKNHAINSTTIPSHLYLDIFHDSRDHDKKFFCEEDQAFLGKVPWLVVKSNLYFVPSLWMIPSFQTKLIKLFPQKETVFHHLARYLFHPTNQVWGMVTRSYNAYLSRADARLGIQVRVFSKPAGYFQHVMDQILSCTQREKLLPELATQESQVTNTSRSSKLKAVLVTSLHPEYSDHLKNMLLERPSSTGEIIEVYQPSGEKIQQTDKKLHDQKALAEIYLLSLTDELVTSTRSTFGYVAQGLGGLKPWILYEPRDKKTPNPPCVKAMSMEPCFLRAPLHGCQAKTIKITPFVRFCEDWKTGLKLVDVSDEL >Al_scaffold_0001_1470 pep chromosome:v.1.0:1:5986967:5989434:1 gene:Al_scaffold_0001_1470 transcript:Al_scaffold_0001_1470 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDA1/CD39 nucleoside phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT1G14230) TAIR;Acc:AT1G14230] MQRFNARSGLKFKSDMRDPPEVQTSPGNHRSSPSTVAKPKWKHTKSIVFVIVSCVTIALGLFFVCYSISRSGRNRRVSLRYSIIIDGGSSGTRVHVFGYRIQSGEPVFDFGEESYGSLKLSPGLSAYAENPEGVSESVTELVEFAKRRVPKGKLKKSDIRLMATAGMRLLELPVQEQILDVTRRVLRSSGFEFREEWASVISGSDEGVYAWVVANHALGSLGGEPLKTTGIVELGGASTQVTFVSSELVPSEFSRTLDYGNVSYNLYSHSFLDFGQDAAQEKLSEFLHNSAAANSTGEGIVPDPCTPKGYILKTNLQKDLPGFLADKGKFIATLQAAGNFSECRSAAFAMLQEGKWKCTYKHCSIGSIFTPNLQGSFLATENFFHTSKFFGLGEKDWLSEMILAGNRFCGEDWSKLKVKYPTFKDENLLRYCFSSAYIISMLHDSLGVALDDKRIKYASKAGDDDIPLDWALGAFILNTATATFDYSGKSRKILDLSNVAKYKT >Al_scaffold_0001_1485 pep chromosome:v.1.0:1:6045995:6048437:-1 gene:Al_scaffold_0001_1485 transcript:Al_scaffold_0001_1485 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 28 [Source:Projected from Arabidopsis thaliana (AT1G14380) TAIR;Acc:AT1G14380] MGKTPGKWIKTLLLGKKSPKSNSDNRTQKLKSAKKEELVVSVTEDFSNLTVDPPVVSSQPVPASTAQDVVSPVNDDEPKDTLESRNDLGELELEQAAIKVQATFRAHQARRAFRTLKGIIRLQAVIRGHLVRRQAIATYSCIWGIVKFQALVRGQKARSSDNGIQFQKTHLEASDSEALQLSSTCSWMDTPTKFVLVEKLLASSPTALPLKIQYGPEEPNSAKVWLERWTQLQVWSSGSPVPRIEIPKSQSKKRNYQAVVEAEKTRPKRGIKKPSGTTSGTGSSRFTAESSKPKRNVRKASTLSKDPLRNESDKAKPNSRKSRSGSKEGSPLEIKDEKPSPSLKRSSLSNGSKKATLRSAEKKKKETADSVQIEPEGKVSENVLEGGDSIEFAEKKKDTADSVQIEPEGIVTENVLEGGDSTEFAEKEEDTADSVQIEPEGKVLEGENIESSGKEKDTSDTVQIESEGKVLEGEDNIEFGEKEKDTADAVPIEFDVVKDEKSPVLDRPEEDEPKTAETSDKGEELKCSDVKVSSDNGNVGSDNTKSSERRSLLPGNIENQDDGLTHSGRKIPSYMAPTASAKARVKGEASPRLAQVKTEINGELRRHSLPSPANGKLSTTTMSPRAHKLLLASAKGSMNGEKSFTSSKDISHKSTRTDWKR >Al_scaffold_0001_1490 pep chromosome:v.1.0:1:6065472:6065816:1 gene:Al_scaffold_0001_1490 transcript:Al_scaffold_0001_1490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBK2] MASKFSSTYVRINKSLSTNPLVDMLVSFHCLCLDSGKNGTYKTVCLYNSQELGLQNATTCEVIDFSTNVWKYIISSSPKMTYLLLFAFTDLLFASTSYIVIHRSILYFTKLIGN >Al_scaffold_0001_1499 pep chromosome:v.1.0:1:6111040:6115978:-1 gene:Al_scaffold_0001_1499 transcript:Al_scaffold_0001_1499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBL1] MFEQNVSTFVFLDEIDPAAQRYKIKVQIVKLWRGFQKETGSAIEMVLIDEKGTRMHATVEDKLMNKFKSDLKEDQSILVDTFQLVNNGFEYKTSPHSFKISFFRTTSVTICDDFPNDVPEKYFVDFLKILAGVLDSKTLVDVIGHIVNIGPLEDIMIRGRSTTKLDVELRDTNDARLLCTFWGKYADQVSTYAAEHSADMIVCVVRFAQIKEFRGNRSISNSYNSTRILLDPQTKVAHEFRSNAAAVIYLGGYEIKRKAEYLKSATRVKDLRGLSELLENKTKPLVVAISGRVGSSRPLKCEHSGIWGVFMEEKAKLVFGTRSLHGGLMRHSAEFLLKHKEVPWFLEDSTGRINVVGARFAEGFYDNLKEFVFVEPASELIKKFVKPEGFVKILEHNCFERVHELGKPLTIVGEAVKYSNGALVIKRPTDGSFMFFDGYSSVDKMISLLESQSQALVYFSIALTAVGTLVYASYVMPLIKKSWFLDKSDTTAGQRAETETKHNSMGKAQSNYAFGLCFTSTFSDAANFNYKQTGFMMIVKSTFSALNNLGLRQRTDISSIKVIFSSESICLQIQKERVPAASPYHL >Al_scaffold_0001_1514 pep chromosome:v.1.0:1:6188809:6189820:1 gene:Al_scaffold_0001_1514 transcript:Al_scaffold_0001_1514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBM7] MEIGDVSTGYLEDALIEFSGRGKRRRLSFNGAEDKPNYDIDHSQNHWGLSENYSCTSSQFAEKNDYDEKKRVVYPFGVVKPGGREEDVTLNDINKRILMPPARPVRHPVGDFACRPCVSADGPGLSGKAVVAFTKIQTLGRGTITIIRTKG >Al_scaffold_0001_1515 pep chromosome:v.1.0:1:6192293:6194688:1 gene:Al_scaffold_0001_1515 transcript:Al_scaffold_0001_1515 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP (Suppressor-of-White-APricot)/surp domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G14640) TAIR;Acc:AT1G14640] MFNSMQILPLEAPPADGNLGPLPPSQLTDEEIKEKELQTEQNNSIQAPIAVATHTKTIGIIYPPPDIRKIVETTAHFVSQNGLKFENKVKTEKANNPNFNFLKSDNPYHAFYQHKIAEYCAQIRDGAQGTDFDDTEDPQLDPAASADESHAKPDLQDQFRVPRKTLEAPEPDKYTVRLPIGIMEEELDIIKHTAQFVARNGESFLRGLMSREMNNSQFQFMKPTHSMFTFFTSLVDAYSEVLMPPRDLKEKLRKSVADLTIILERCLNRLEWDRSQEEERKKEEDEKEKERVQMVLIDWHDFAVVESIEFVYEEDEELPVPMTLEEVIRRSKVSAMEEDEIVEPGKAVEMEMDEEEVKLVAERMRAANLEEYVGSVEIENVHCEEAPMRIVKNWKRPEDRFLTERDSSKVVISPITGELIPITEMSEHMRISLIDPKFKEQKDRMFAKIRETTLAQDDEIAKNIVGLARLRPDIFGTTEEEVSNAVKAEIEKKDEQPKQLIWDGHTGSIGRTANQALTQNSNGEQGDGVYGDPNSFPGPAALPPTRPGVPTVRPLPPPLNLALNLPRPSPSVQYLGAPRPLGVPMMQPMYQQHHLSMTGPHGHPSMMMNRPPQMQPVMHVPSPPGSQFAQPYGQLPPHSMGMMHVHPPPMPEMPLPPPPGEAPPPLPEEPETKRQKFDESALVPEDQFLAQHPGPATIRVSKPNENDGQVMEITVQSLSENVGSLKEKIAGEMQIPANKQKLSGKTGFLKDNMSLAHYNVGAGEIVTLSLRERGGRKR >Al_scaffold_0001_1517 pep chromosome:v.1.0:1:6202495:6204889:1 gene:Al_scaffold_0001_1517 transcript:Al_scaffold_0001_1517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:D7KBN0] MRTPTTLLLLVGAILFSGAGHVRSDASDHRYKEGDTVPLYANKVGPFHNPSETYRYFDLPFCIPLKFLTFYIYQSSDFEILYNKDRVIEISARMDPHSLVDLTEDKEVDAEFMYNVKWKETETPFEKRMEKYSMSSSLPHHLEIHWFSIINSCVTVLLLAGFLATILMRVLKNDFMKYAQDEEAADDQEETGWKYIHSDVFRFPTHNSLFAASLGSGTQLFTLTIFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTSASFYCQLEEKSWVRNLLLTGCLFCGPLFLTFCFLNTVAITYTATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPPLPWYRSAIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYIILFIVFIILIIVTAFITVALTYFQLAAEDHQWWWRSFICGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFLRIQGLHLLRTLLNAGNSRLLWSPPLRPSHLSVDQMRVRRSVHQSFHVGFLLLLAPYEFC >Al_scaffold_0001_1541 pep chromosome:v.1.0:1:6297329:6298174:-1 gene:Al_scaffold_0001_1541 transcript:Al_scaffold_0001_1541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCK5] MEAQHLHAKPHAEGEWSTGFCDCFSDCKNCCITFWCPCITFGQIADIVDRGATTCGTAGALYALITAVTGCGCIYSCFYRQKMRAQYNIRGDDCGDCLKHFCCELCALTQQYRELKHRGFDMNLGWAGNMERQQNQGGVVMGAPAFQGGMTR >Al_scaffold_0001_1542 pep chromosome:v.1.0:1:6305045:6305502:-1 gene:Al_scaffold_0001_1542 transcript:Al_scaffold_0001_1542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCK6] MVRKFSECCAAGALYTLINVLTYSAWMFSCFYRGKIRAQYNIRGWAGNAERQQTQGGVAMGACSSRPRWHDPIRFIFFM >Al_scaffold_0001_155 pep chromosome:v.1.0:1:612831:615239:1 gene:Al_scaffold_0001_155 transcript:Al_scaffold_0001_155 gene_biotype:protein_coding transcript_biotype:protein_coding description:gibberellin 2-oxidase 6 [Source:Projected from Arabidopsis thaliana (AT1G02400) TAIR;Acc:AT1G02400] MVLPSSTPLQITGKKTKSLPEYSFPVIDFSLNDRSKLSEKIVKACECNGFFKVINHGVKPEIISRFEHEGEEFFNKPESDKLRAGPASPFGYGCKNIGFNGDLGELEYLLLHANPTAVADQSETISHDDPFKFSSATNDYIRAVKDLACEIIDMTIENLWGQRSSEVSELIRDVRSDSILRLNHYPPAPYALRGVGQIGFGEHSDPQILTVLRSNDVDGLEICSRDGLWIPIQSDPTCFFVLVGDCLQALTNGRFTSVRHRVLANIAKKPRMSAMYFAAPPLEAKISPLPKMVSPENPRRYNSFTWGDYKKATYSLRLGVPRLEFFKTL >Al_scaffold_0001_1551 pep chromosome:v.1.0:1:6346399:6347540:-1 gene:Al_scaffold_0001_1551 transcript:Al_scaffold_0001_1551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCL3] MAAAGNKSINAKLVLLGDVGAGKSSLVLRFVKDQFVEFQESTIGAAFFSQTLAINDATVKFEIWDTAGQERYHSLAPMYYRGVAAAIIVFDVTNQASFERAKKWVQELQAQGNPNMVMALAGNKSDLLDARKFIGFTFFWNLGF >Al_scaffold_0001_1560 pep chromosome:v.1.0:1:6374553:6374945:1 gene:Al_scaffold_0001_1560 transcript:Al_scaffold_0001_1560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCM1] MKIIPPCQILSSERPVYVDGLLYWLIYGNQERDTVVDILCFDLHTEIFSVMSYSPVGKTLHPLVEMSSLDNRLCLSVQTLTGLDVWLATNHIWKKAYIIDNPLGPWLCTNLVDGSSKRFGKEEEEEVTDL >Al_scaffold_0001_1569 pep chromosome:v.1.0:1:6410852:6411267:1 gene:Al_scaffold_0001_1569 transcript:Al_scaffold_0001_1569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KCN0] RADDEVVDPKKYLEESCKPKCVKPLLEYQACVKRIQGDDSGHKHCTGQYFDYWHCIDKCVAPKLFAKLK >Al_scaffold_0001_1582 pep chromosome:v.1.0:1:6447604:6452044:1 gene:Al_scaffold_0001_1582 transcript:Al_scaffold_0001_1582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain;Phox-like;Sorting nexin, C-terminal [Source:Projected from Arabidopsis thaliana (AT1G15240) TAIR;Acc:AT1G15240] MSTQKQGVTVRDLVEEAKKRIVILVICVVGLSYLMSLTSSSVWVNLPAAACLIIFLRYFSFDIEMKRKAAAYNSKPSSLNAPTLNQIPQLPKAAPRSDWRSKVNSQVVEDAIDHFTRHLISEWVLDLWYSRITPDKQGPEELVFIINHVLGELSRRFRNVNLIDLLTRDLIDIICRRVELFRECQAKIERQQRRNLSFEDRDSELKRVMAAEDKLHPALFSPESEHKVLQHIMNSLILLTFRPEDLHCAFFHYTVRELLACCVIRPVLNLANPRFINERIEAAVMSKITTSNRSSTAEEASQSEDLSNVSPDHFSRYLDPSVTGVELVQLKNEQQKNSKRKSATDKQHVPDFSKDPLLSMDTRSSRSWNSFPSTSKIGDGSKDPQGHRGGEGWGDVLDMMSQRKTETLAPEHLESVWAKGRNYKKKEGEKVDERVPPRWSSKDSDFNENTVNARESSQREVVNTDSHLSSYSSAEEDEEQTKSSHSYTSEDEETVTGLNSPGTRVWDGRTKKNLGVSRIHHPLENSGRCFKKTSKGHERYQHVPGHQSGRKRSRISGHTIGDDGSDDSEDGSLTRSYSGMSATSSASYVSVAESDLPNAPKSSLLVDSFAKLRCEVLGANIVKGSSKMFAVYSVAVTDESNHSWSIKRRFRHFEELHRRLKVFPEYNLHLPPKHFLSTGVDIPVIQERCVLLDEYIKLQRISGSIEVWDFLSVDSQTYAFSSSFSIIETLTVKPVSKTSTVTTNMANMTEATPGPLPWRENLSSENGKSGQNMRNNVTVDDEKSKVKTLGSDHLKTPDLDVRNRKENGGLKVGPQHADDVACAGLPTEWVPPKLTLPLLDLVDVVFQLQEGGWIRRKAFWVAKQILQLGMGDALDDWVLEKICLLRRGTVVASGIQRVEQILWPDGIFMTKHPKRQQQSSSSDEEQKQEAERRARFVHELMIGNERPVL >Al_scaffold_0001_1589 pep chromosome:v.1.0:1:6473953:6476667:-1 gene:Al_scaffold_0001_1589 transcript:Al_scaffold_0001_1589 gene_biotype:protein_coding transcript_biotype:protein_coding description:signal recognition particle 54 kDa subunit [Source:Projected from Arabidopsis thaliana (AT1G15310) TAIR;Acc:AT1G15310] MNDCLNEITRALLQSDVSFPLVKEMQTNIKKIVNLDDLAAGHNKRLIIEQDERPVFAPKKAKPSSVVMFVGLQGAGKTTTCTKYAYYHQKKGYKTALVCADTFRAGVFDQLKQNATKAKIPFYGSYTESDPVKIAAEGVDRFKKEKCDLIIVDTSGRHKQEASLCEEILQVAEATEPDLVIFVMDSSIGQAAFDQAQAFKQTVSVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGKGDWSGLVDKLQEVVPKDLQHELVENLSQGNFTLRTMYDQFQCSLQIPLNQVRISCSIVACTIAELMPKGHGDESRAKRYMTMMDSMTNKELDSSNTKVFNESRIMRIARGSGRLVREVMELLEEYKRIAKTMKGIKIPKNGDMSNKVIPPQMLKQMGGMSGLQSLMKQMGSAKDMMGMFSGGDK >Al_scaffold_0001_159 pep chromosome:v.1.0:1:621551:622441:-1 gene:Al_scaffold_0001_159 transcript:Al_scaffold_0001_159 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor D1B [Source:Projected from Arabidopsis thaliana (AT1G02430) TAIR;Acc:AT1G02430] MGTTLGKPLAGFFHKEELRIVFFGLDATGKSSILHKLKTGETLATTMPTVGLNVESVRYKDSILSFWEMGGQQCYKWFPISKHYFQDIAGLVLVVDSTDRDQIEEAKDFLNVVIDEIQGSVPDNVAVLVYGNKHEVPGAMSASEISNKLDLTSLRQKNWQRNWHVQSSCAFSGDGLHEGLDWLLNNAERM >Al_scaffold_0001_1594 pep chromosome:v.1.0:1:6484939:6486019:-1 gene:Al_scaffold_0001_1594 transcript:Al_scaffold_0001_1594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCQ4] MASRIQISPPSSELSTKESKASSSEDNSHQNGELSNASSSKGSDISGVTHVRMQPPSSSEISGLTHVRMQPAPSPPSRLRPVHLMNKGIANYDPGRIPASVFGKNGDVTWSEISNESLFSLKIDGYRKSNAQAPRQSNLKPEEVLMSGEFLKYSPSLVVKPEDAKEKRSEVKEIKNEETRVQSHIHQEKYPSFPDVPLSSIPTNFYCLYAPPIKGQSYSRPVGEKKSKKRKRRKNKTKNKKEKKKKKECCTCMWSWLCSVFSK >Al_scaffold_0001_16 pep chromosome:v.1.0:1:48875:49123:1 gene:Al_scaffold_0001_16 transcript:Al_scaffold_0001_16 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KP39] IMSVFRLLTTSHHLGPSHLETCLVLDSCYLGGTVSAEPNPGSMLFGSIDLSATYFPTGLLLTLKLYSPIHHHPVDVGELNPE >Al_scaffold_0001_1614 pep chromosome:v.1.0:1:6558977:6560114:1 gene:Al_scaffold_0001_1614 transcript:Al_scaffold_0001_1614 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G15540) TAIR;Acc:AT1G15540] MGGNETRELPIINLSDKNLKQNTELWNSTRDSVRKAMEHHGWFVAEYNNFPTELHHSILEAAKELLDLPPEIKIKNESHKARHGYITMISDGQPVHEGLGIDQVNDVQQCRRFTRLMWSDDHHDNDRFCETVHAYAKMQAELEQLVIRMLFESYNVEKHADKYIGGTRYLLRLMKYKRLPNGEPNRKFISHTDKSFISILHQNHITGLMLKSEKEDVWYPFTPSPTRFVVIAGDAIMAWSNDRIKACYHKVEMESVEMRYSLGFFSFQKGMISTPEEMVDKDHPLAYKPFHHDGLLEYYETLGAHLKAHRTMTKAFCGIPQT >Al_scaffold_0001_1622 pep chromosome:v.1.0:1:6593840:6594761:1 gene:Al_scaffold_0001_1622 transcript:Al_scaffold_0001_1622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDH8] MDGPLLTKGEHNVDDVTTHGDSSSNEEHIVDVTTNDDSSSADAQTPHEDVQWSVVFTFRNVLILVEFVVALVQIVAAIVVLTLTKDEQPPQKMFPTLILSYTGCCIAALPILGLRFWQYYRNVSSETRIYEVVDNLKKILEYFFVGWVVVLFWHLINNSASLDIATQQLWLCMAFLAISCILHVFRNLPCAAICFLYPMILRLTQSIDFFDGITEKIEGINWTIAVYIGIFSCILWCITSCCSRLCR >Al_scaffold_0001_1634 pep chromosome:v.1.0:1:6653185:6653554:-1 gene:Al_scaffold_0001_1634 transcript:Al_scaffold_0001_1634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molecular chaperone Hsp40/DnaJ family protein [Source:Projected from Arabidopsis thaliana (AT1G15757) TAIR;Acc:AT1G15757] MAITKKNLIAFVLAILLVISYVHCRTTSDIVSGFGIKEDDHVCFNTSPCLPEQGGEKGCIAFCTRQKFTTGHCLNSELCCCYT >Al_scaffold_0001_1661 pep chromosome:v.1.0:1:6756780:6759385:-1 gene:Al_scaffold_0001_1661 transcript:Al_scaffold_0001_1661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDL9] MYKSQYISGQREKFVRLDDIDSSSSPATGMMMQRNCFGFNLKNRGGEKKKASKSFREGVKKIRSEGLITIGKSVTRAVFPEDLRITEKKIFDPQDKTLLIWNRLFVISCILAVSVDPLFFYLPIVDNSGSSCIGIDTKLAVTTTTLRTIVDVFYLTRMALQFRTAYIAPSSRVFGRGELVIDPAKIAERYLTRYFIVDFLAVLPLPQIAVWKFLHGSKGSDVLPTKTALLNIVIVQYIPRFVRFIPLTSELKKTAGAFAEGAWAGAAYYLLWYMLASHITGAFWYMLSVERNDTCWRFACKVQPDPRLCVQILYCGSKFVSNRETEWIKTVPELLKSNCSAKADDSKFNYGIYGQAISSGIVSSTTFFSKFCYCLWWGLQNLSTLGQGLQTSTFPGEVLFSIAIAIAGLLLFALLIGNMQTYLQSLTVRLEEMRIKRRDSEQWMHHRSLPQNLRERVRRYDQYKWLETRGVDEENIVQSLPKDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPSLYTESTYIVREGDPVNEMMFIIRGRLESVTTDGGRSGFFNRGLLKEGDFCGEELLTWALDPKAGSNLPSSTRTVKALTEVEAFALEAEELKFVASQFRRLHSRQVQQTFRFYSQQWRTWASCFIQAAWRRYSRRKNAELRRIEEEEEEMGYEYEYDEESDKRPMVITRTESSSRLRSTIFASRFAANALKGHRLRSSESSKSLMNLQKPPEPDFDAE >Al_scaffold_0001_1667 pep chromosome:v.1.0:1:6770210:6771901:1 gene:Al_scaffold_0001_1667 transcript:Al_scaffold_0001_1667 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: GPI anchor biosynthetic process; LOCATED IN: integral to membrane, endoplasmic reticulum membrane; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN /.../I biosynthesis protein Pig-F (InterPro:IPR009580); Has 280 Blast hits to 280 proteins in 133 species: Archae - 0; Bacteria - 0; Metazoa - 113; Fungi - 111; Plants - 44; Viruses - 0; Other Eukaryotes - 12 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G16040) TAIR;Acc:AT1G16040] MKEAKKKKTPEISIGVSISALGAFSVYLITGLFLAVGFWVIHNIYFVDLISDPSLTLRLLWIIEFPIVVIIYSLLRRNPEKCSYFRAVGRSIVGLISGALINAFGAVSLGAPIGMQSLPRTIHWSFLMSVFTVVPATAVFGASWTDWHRVFASLKPTGNIEYMILIPAYGAIIGAWFGAWPMPLDWERPWQEWPVCVCYGAIGGCIVGQIVSLSLMILLRKHKNLKLA >Al_scaffold_0001_1668 pep chromosome:v.1.0:1:6775725:6775892:1 gene:Al_scaffold_0001_1668 transcript:Al_scaffold_0001_1668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDM6] MIPSTVLTVRDIYHGQTLSDDVAGGYWLTGSQLGHRFTYMVKCWQLRALKHGPTP >Al_scaffold_0001_1673 pep chromosome:v.1.0:1:6791159:6793506:1 gene:Al_scaffold_0001_1673 transcript:Al_scaffold_0001_1673 gene_biotype:protein_coding transcript_biotype:protein_coding description:wall associated kinase-like 1 [Source:Projected from Arabidopsis thaliana (AT1G16120) TAIR;Acc:AT1G16120] MKTKFYRFLCIVVYVLSLLMNGSSAATPPTSNTSTSCNRTCGGISIPFPFGIGGKDCYLNNWYEVVCNTTTSGSSSTTVPLLSRINKEVVNIYLPDGYPEPYGVVHIKGPVTSLGCSSNTSQVPQKSLSGLNVTGKGSPYFLTDENRLVAVGCGTKALMTDIESEILGCESSCEDSTSSKEVTNSICNGYKCCQARIPTERPQAMGVNIEINNGTGGGGCKVAFLTNTRYLPSNVTEPEKFHADGYAVVELGWYFDTSDSRFRNPLGCINLTRSNGSYFADDNCLCQYGYFSDINYRNCYCGNGFTGNPYIRGGCIDIDECKVPNKCGEDTCENIVGMYKCVPKITKPAKPAVLRGVLIGLLGLLFLVIGIFGLFKVIRKRRRIIRSMKFFKRNGGLLLKQQLTTKDGSVEMSKIFSSRELEKATDNYSIDRVLGQGGQGTVYKGMLVDGSIVAVKRSKVVDEDKMEEFINEVVLLSQINHRNIIAGALTYMHSAASFPIFHRDIKTTNILLDEKYRAKMSDFGTSRSVTTDQTHLTTLVAGTFGYMDPEYFLSSQYTHKSDVYSFGVVLVELITGEKPMSRVRSEEGIGLATYFLEAMKENRAVDIIDIRIREESKQVMAVAKLARKCLNRKGNKRPNMREISMELERIRSSPKDLDVHPEEEEEEEDQLMEINRIYDS >Al_scaffold_0001_1675 pep chromosome:v.1.0:1:6797719:6800353:1 gene:Al_scaffold_0001_1675 transcript:Al_scaffold_0001_1675 gene_biotype:protein_coding transcript_biotype:protein_coding description:wall associated kinase-like 5 [Source:Projected from Arabidopsis thaliana (AT1G16160) TAIR;Acc:AT1G16160] MKTKNYRVLCIVVSVLTLQLMNGSSAATPPNSKNSSTSCNRTCGGISIPFPFGIGGKDCYLNGWYEVVCNPTTSGSSGTTVPFLSRINREVVNISLPDGYGPYGVVLIKGPVTSLGCSSNTSQVPQKSLPDLNVTGKGSPYFITDENRLIAVGCGTKALMTDIESEILGCESSCEDSKSSQEVTNSLCDGYKCCQARIPLERPQAVGVNVERSGGEGCKVAFLSSKRYSLSNVTVPEQFHAGGYVVVELGWYFATSDSRFRNPLGCINMTYSGSYSSDDNCLCDYGYFSEMSYRNCYCSLGFTGNPYLRGGCIDDDDCKRPNICGEGTCVNVRGGYRCYPKPKIIKPAKSLVLQGVLLGLLGLLFLVVGTLGLVIVIKKRRRIISSRKFFKRNGGLLLKQQLTTTDDGNVDMSRIFSSEELKKATDNFSVKRVLGKGSQGTVYKGMMVDGKIIAVKKSKVVDEDKLETFINEIILLSQINHRNIVKLLGCCLETEVPILVYEYIPNGDMFKRLHDESEDYVMTWEVRLRIAIEIAGALSYMHSAASFPIYHRDIKTTNILLDEKYGAKVSDFGTSRSVTIDQTHLTTMEGRGLATHFLEAMKENRVIDIIDIRIKDESKLDQLMAVAKLARKCLSRKGSKRPNMREASFELERIRSSPEDHLEAHFENDDEEDQAMEIIEDV >Al_scaffold_0001_1707 pep chromosome:v.1.0:1:6911260:6912182:-1 gene:Al_scaffold_0001_1707 transcript:Al_scaffold_0001_1707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEG0] MGANEFRFFLSCDINSPVTFRVEKLDGNLPVKKSSDSVVFFFLGEKKPELYIECACIVY >Al_scaffold_0001_1711 pep chromosome:v.1.0:1:6928362:6929983:-1 gene:Al_scaffold_0001_1711 transcript:Al_scaffold_0001_1711 gene_biotype:protein_coding transcript_biotype:protein_coding description:ASYMMETRIC LEAVES 2-like 9 [Source:Projected from Arabidopsis thaliana (AT1G16530) TAIR;Acc:AT1G16530] MRQKAHRHGRTVSPCAGCKLLRRKCVKDCVFAPYFPAKEPYKFAIVHKIFGASNVNKMLQELSENHRSDAVNSMVYEANARVQDPVYGCVGTISSLHRQLETLQTQLAFAQAELIHIRTLHRIDTKPPPYAASTVTFPSNKDFYSDVDMAFVYEDGARDSLWSC >Al_scaffold_0001_1715 pep chromosome:v.1.0:1:6952245:6954949:-1 gene:Al_scaffold_0001_1715 transcript:Al_scaffold_0001_1715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEG9] MGKRGRACVVVLGDLGRSPRMQYHALSLARQASFQVDIVAYGGSIPHEAVLNHPSIHIHTMAQPRFLQLFPKILYPVTLLLKAFIQFTMLLWFLFVKVPAPDIFLVQNPPSVPTLIAVKWASSWRRAAFVVDWHNFGYTLLALSLGRNNLFVSLYRWFENHYGKMATGSLCVTKAMQHELDQNWGVRAQVLYDQPPEFFRPALLEERHELFCRVKKDLCHPIGVYDIISIELENQELNETLFTTKINTDISLKQNRPALVVSSTSWTPDENFGILLEAAVMYDRRVAARSKGSETAEISEDQHLYPNLLFIITGKGPEKEMYEGKIKRLNLRHVAFRTMWLAAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCSVSYSCIQELVKDGKNGLLFSSSSELADQLLILFKGFPGNCDALMSLKAGAMETGSSGRWATEWEDCAKPLITQVVSQIADS >Al_scaffold_0001_1721 pep chromosome:v.1.0:1:6971276:6972748:1 gene:Al_scaffold_0001_1721 transcript:Al_scaffold_0001_1721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEH5] MMRLGLQNGGGSESYPERPIVYILLKNGVCGYMPMMELGVSSNMFDIKKNALKKLSKQQSAYRIKLLSSYKEMVAVVVEMVNASRSLRCYTKLGTESLVQFSCSKEDSSDAGDCGGIPVFNFWNVSTFGKTQKQKFGTCHIDMITKKMAEEFVEMFIREVMIKGLLIMELISLSTEVPQPINNSWSDELYHGEFITSHQMFFLFHGSS >Al_scaffold_0001_1729 pep chromosome:v.1.0:1:6998857:7006034:1 gene:Al_scaffold_0001_1729 transcript:Al_scaffold_0001_1729 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone acetyltransferase of the CBP family 12 [Source:Projected from Arabidopsis thaliana (AT1G16710) TAIR;Acc:AT1G16710] MNVQAHMSGQLSGQVPNQGTIPQNNGNSQMQNVVGGTGVATGAGTATGVRPARNIVGAMDHDIMKLRQYMQTLVFNMLQQRQPSPADAASKAKYMDVARRLEEGLFKMAITKEDYMNRTTLESRITSLIKGRQMNNYNQRHANSSSVGTMIPTPGLSQNAGNPNLMVTSSVDATIVGNTNITSTAVNTGNPLIAGGMHGGNMSNGYQHSSRNFSLGSGGSMTSMGSQRSTGQMIPTPGFVNSVTNNNSGGFSAEPTIVPQSQQQQHTGGQNSHMLSNQMDAGLRPDMQSKPYGVANSSVNGGVGANEKSVDSGSSYTNASKKLQQGNFSLFSFCPDYTYTSQHIESTFHISGEGYSTTNPDPFDGAITSVGTRTNAQNINTASLQRVSRVSSSLSHQQQFQQPPNRFQQQPNQIQQQQQQFLNQRKLKQQTLQQHRLISNAGLGKNQVDSDMITKVKHEPESQAAHSQASERFQLSQLQNQYQNSGEDCHADAQLLPVKSQSDICTPLPQNSQQIQQMLHPQNIGSDSGNSFSNLAVGVKSESNPRGQWPSKSQENTQMSNAISSEKHSQEDFRQRITGMDEAQPNNLTEGSVIGQNHTSTISESHNLQNSIGTTCRYGNVSHDPKFKNQQRWLLFLRHARSCKPPGGKCQDQNCVTVQKLWSHMDNCADPQCLYPRCRHTKALIGHYKNCKDPRCPVCVPVKTYQQQANVRALARLKNESSAVSSVNRSVVSNDSLRANGGSVSGTPRCADTLDNLQPSLKRLKVEQSFQPVVPKTESCKSSIVSTTDAEFSEDAERKDHRLLKSEILEVKVEIPDISVQAGFGIKETKSEPFENVPKPKPVSEPGKHGLSGDSPKQENIKTEKEPGRPKKEDLVESPELTSKSGKPKIKGVSLTELFTPEQVREHIRGLRQWVGQSKAKAEKNQAMENSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMYYTLGGGETRHYFCIPCYNESRGDSILAEGKSIPKEKLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIIEVEQNERKPLLQSAVLGAKDLPRTILSDHIEQRLFRRLKQERTERARVQGTSYDEIPTAESLVVRVVSSVDKKLEVKSRFLEIFREDNFPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECSNPNQRRIYLSYLDSVKYFRPDIKSANGEALRTFVYHEILIGYLEYCKLRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKAAKEGIVAETTNLYDHFFLQTGECRAKVTAARLPYFDGDYWPGAAEDIIYQMSQEDDGRKGNKKGILKKPITKRALKASGQSDLSGNASKDLLLMHKLGETIHPMKEDFIMVHLQHCCTHCCSLMVTGNRWVCSQCKDYQLCDGCYEAEQKREDRERHPVNHKDKHKLFPVEIADIPTDTKDRDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNACHLDIESGQGWRCEVCPDYDVCNACYNKEGCINHPHKLTNHPSLAEQNAQNKEARQLRVLQLRKMLDLLVHASQCRSPLCQYPNCRKVKGLFRHGLRCKIRASGGCVLCKKMWYLLQLHARACKESKCDVPRCGDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGTSG >Al_scaffold_0001_173 pep chromosome:v.1.0:1:684011:686672:-1 gene:Al_scaffold_0001_173 transcript:Al_scaffold_0001_173 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G02610) TAIR;Acc:AT1G02610] MGEVVLFIDETYWKSSFNRCRICHEEEAESYFEAPCSCSGTIKFAHRDCIQRWCDEKGNTICEICLQEYKPGYTTTSKPSRLIEAAVTIRDNLHTARRENGGRRNRRLVNREESDFQECNSGVHRGASCCRFLALIFSVVLLIKHAFDAVYGTEEYPYTIFTVLTLKAIGILLPMLVIIRTIAAIQRSLRYQILESEEDTLSSEEEDHGLEEEEQQQHLA >Al_scaffold_0001_1732 pep chromosome:v.1.0:1:7012170:7013303:1 gene:Al_scaffold_0001_1732 transcript:Al_scaffold_0001_1732 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L20 [Source:UniProtKB/TrEMBL;Acc:D7KEI5] MNKKEIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKREMRGLWIERINAGSRQHGVNYGNFIHGLMKENIQLNRKVLSELSMHEPYSFKALVDVSRKSFPGNKNMVQASRKVDISSINA >Al_scaffold_0001_1740 pep chromosome:v.1.0:1:7040099:7043915:1 gene:Al_scaffold_0001_1740 transcript:Al_scaffold_0001_1740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16830) TAIR;Acc:AT1G16830] MGEMGKAIGLLLSGTLVYHHCANRNATLLSLLSDVLIVLLSSLAILGLLFRQLNVSVPVDPLEWQISQDTACNIVARLANTVGAAESVLRVAATGHDKRLFVKATLGRIISGVTIAYAGKSIMDLSVTSKMLWRCNWVKQRKKILNTLSFSSVHGQYSLENTAGPRSIASKPLTHDNVYSCLRESPSDLKTLNFFFWCARQNNYFHDDRAFDHMVGVVEKLTREYYSIDRIIEELKVYGCEIKPRVFLLLLEIFWRGHIYDKAIQVYTGMSSFGYVPNTRAMNMMMDVNFKVNLVNGALEIFEGIRFRNFFSFDIALSHFCSRGDLVCVKIVLKRMIGEGFYPNTERFGQILRLCCRTGCVAEAFQVVGLMICSGISVSVNVWSMLVSGFFRSGEPQKAVDLFNKMIQISCFPNLVTYTSLIKGFVDLGMVEEAFTVLSKVQSEGLAPDIVLCNLMIHTFTRLGRFEEARNVFTSLKKRKLVPDQYTFVSILSSLCLSGEFDLVPRITHGIGTDFDLVTGNLLSNCFSKIGYYSYALKVLGIMSNKDLALDCYTYTVYLTALCRGGAPRAAIKMYEIITRENKHLGAHFHSAIIDSLIELGRYNTAIHLFKRCILEKYPLDVVSFTVAIKGLMRAKRIDEACSLCSDMKENGIFPNRRTYRTIISGLCKEKETEKVKKILRECIEEGVELDPNTKFKVFSLLSRYRGDFSEFRSVFEKWKSEFTENMDVSDSDDELFVSVG >Al_scaffold_0001_1753 pep chromosome:v.1.0:1:7091975:7092691:1 gene:Al_scaffold_0001_1753 transcript:Al_scaffold_0001_1753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G16960) TAIR;Acc:AT1G16960] MGCSSSRTIAEGKKEKIRRPKTWKHPQPISSAELTQMREEFWDTAPHYGGKKEIWDALRAAAEEEDLSLAQTILESAGVIVQNTDLTICYDEKGSKYELPKYVLRDPSNLIRTK >Al_scaffold_0001_1756 pep chromosome:v.1.0:1:7105820:7107549:1 gene:Al_scaffold_0001_1756 transcript:Al_scaffold_0001_1756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEK9] MEAKGETPWSSILVPSVKEMVKDKMITTVPPRYVRYDQDKAEVVDDSGLISEISIIDMKRLCLSTAVDSEVGNKLDFACKECGFFQLVNHGIDPSFLDKIKSEIQDFFNLPMEERKKLWQQSAVMEGDTLDMYYTQVKSIAKILLAKMARALQIKPEEIEEIFGDDMMQSMRMNYFPRVHNPIRLPIITNGTYRSIEHRAVVNLEKERLSIATFHNTGLDKEIGPARTLVQGQEAAKFRSLKTKDYLNGLFSRELKGKSYLDAMRI >Al_scaffold_0001_1761 pep chromosome:v.1.0:1:7129881:7131047:1 gene:Al_scaffold_0001_1761 transcript:Al_scaffold_0001_1761 gene_biotype:protein_coding transcript_biotype:protein_coding IVGAENPVLISAAEQIFSAGGKRMRPGLVFLVSRATAELAGLKELTVEHRRLGEIIEMIHTASLIHDDVLDESDMRRGKETVHELFGTRVAVLAGDFMFAQASWYLANLENLQVIKLISQVIKDFASGEIKQASSLFDCDVELDDYLLKSYYKTASLVAASTKGAAIFSKVESEVAEQMYQFGKNLGLSFQVVDDILDFTQSTEQLGKPAANDLAKGNITAPVIFALENEPRLREIIESEFCEPGSLEEAIEIVRNRGGIKKAQELAKEKGELALKNLNCLPRSGFRSALEDMVMFNLERID >Al_scaffold_0001_1778 pep chromosome:v.1.0:1:7186601:7187281:1 gene:Al_scaffold_0001_1778 transcript:Al_scaffold_0001_1778 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutathione S-transferase TAU 25 [Source:Projected from Arabidopsis thaliana (AT1G17180) TAIR;Acc:AT1G17180] MADEVILLDFWPSMFGMRTRIALEEKNVIFDYREQDLWNKNPILLEMNPVHKKIPVLIHNGKPVCESLIQMEYIDEVWPSKTPLLPSDPYQRAHAKFWGDFIDKKVYASARLIWGAKGEEHEAGKKEFIEILKTLESELGDKTYFGGETFGYVDIALIGFYSWFEAYEKFGNFSIEAECLKLIAWAKRCVKRERECF >Al_scaffold_0001_1780 pep chromosome:v.1.0:1:7192555:7194033:1 gene:Al_scaffold_0001_1780 transcript:Al_scaffold_0001_1780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFC6] MGKSQRSPPKKPPPKLPPTAVPTSIPSRVPPPPSVSSASPVDSGSNPPASLVASPVASGSSAIPPASPVVSTAVTLGPPITGSVTAPVFVVETNVAASSATNSKIPEPGSKAQLLDIDSSSPVDSASPILKSPWVDVVKGPSSSKMSKGKSQWITDVVEPNTEFLSSSIPNEGAAIDLGSVAVIDASKNVDVVHYSEAKELPSSDTNVMATPAKVFSIITTSASVVQSSANFFAKLLVQINLQCSI >Al_scaffold_0001_1819 pep chromosome:v.1.0:1:7364242:7365739:1 gene:Al_scaffold_0001_1819 transcript:Al_scaffold_0001_1819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFG7] MEVDHGRVVATAIFLNDEVPTTEVLITTSHDNVARGRRRSVYELECIPLWGTVSICGERSEMEDAVRALPHFLKIPIRMLMGDHEGITPTVTCLTSHFFGVYDGHRGAQVADYCHARIHFALVERIKEELCKRNTGEYSRQVQWEKVFVDCYLKVDDEVKGRISRPVSGSGSSDRMVLQAVSPETVGSTAVVALVCSSHIIVSNCGDSRVVLLRGKESMPLSVDHKPDREDEYARIEKAGGKVIQWQGARVSGVLAMSRSIGDEYLEPYVIPVPEVTFMPRAREDECLILASDGLWDVMSNQEACELARKRILMWHKKNGSLPLAERGVGEDHACQAAAAYLSKLALRKGSKDNVSIIVVDLKAQRKFKTRS >Al_scaffold_0001_1821 pep chromosome:v.1.0:1:7370748:7371753:-1 gene:Al_scaffold_0001_1821 transcript:Al_scaffold_0001_1821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14p/L23e family protein [Source:Projected from Arabidopsis thaliana (AT1G17560) TAIR;Acc:AT1G17560] MAAALASRLSKAGRSLLGGLCNAFSGLMSSSNGMMNGSILSQQQHRSFIQMGTILKSVDNSGAKEVMCIQSLRGKKGARLGDIIVGSVKDAIPKGKVKKGMVVYGVVVRAAMQKGRADGSQVRFDDNAVVVVGIKEKKKKNSDGSKRKFEYNQPTGTRVFGPVPHEMRLRKQLKILTLAQHIV >Al_scaffold_0001_1827 pep chromosome:v.1.0:1:7392985:7394218:1 gene:Al_scaffold_0001_1827 transcript:Al_scaffold_0001_1827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS class) [Source:Projected from Arabidopsis thaliana (AT1G17615) TAIR;Acc:AT1G17615] MYSSSSSSTTPQKFDVFLSFRGKDTRRTFISFLYKELIGMSIRTFKDDVELKSGRRIASDLLMAIENSKIAVVIVSKNYPASPWCLQELEMIMDVEKKGSLIVMPIFYNVEPAHVRRQIEKVAQQFRKHENRENYETVVSWRQALTNLASISGHCSRDCEDDSKLLDEITKRITDMLLFSATPPSHSISNQFGFDAHMKELYPLLELTSNEGVRVIGIWARGGNGRSALARYVYQKIFKKFQSHCFLENVKGIPHDCQMSNLRDEFLIRIQGGYSKMKTSGLIKTRLMSQKVLLVANNVDKLEQLDALSEDFNCFGPGSLVIITTQDKQLLLAFGIKVVYEVECLRCFEVRQLFRQIGFRERDLYVGSELSSPISGTESS >Al_scaffold_0001_183 pep chromosome:v.1.0:1:718741:719617:1 gene:Al_scaffold_0001_183 transcript:Al_scaffold_0001_183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBA9] MVTTTHFIPFREKLIETTVTSEVAIAKNWILAVRLAYQEEPTVIISLNSKTNPQDDDAKTSTLQLCIKTKCLILQLLHMNQNTNLGECLSDLFRDERFVFVGIGIAETIAKLGGLVRVVKKVDVRDLVKVNFPFSYGERSRLSLKAMACELLGFGSWKPKREICRSDLANEVLDEEETRLSRLGTSGLTLPILTLRLPNRRPLTVPDNALYAITLPK >Al_scaffold_0001_1832 pep chromosome:v.1.0:1:7406217:7407997:-1 gene:Al_scaffold_0001_1832 transcript:Al_scaffold_0001_1832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFI0] MAAPHFTQLKITLNPLMYSFLILSLLTLALLSFVSAIFFLLKASRSRAALYSQKLLSESETKLEPESSPSDGAQYQTHENDPTHLTNSRLYELLLSDKKEDSDWEGDHVKKKTKKKKKKRGKKKKSDLREDESSGEKQLGEGDGVVLNPRTGSISISEKKPEFVCLYPFTSTSSATQRKIKQQYDQLVKCNNAKGLTLAQVGEFANCLIEAKNELQHKSQVIKRKFSITKALLFKADRSSFDRLRQQIYKLEMEQKRVEEDALVYNWLQQQLKLSPAYKKVLEISASMELKNKSSIELDKPDDEFSDITFEELLEQEKKDSFW >Al_scaffold_0001_1854 pep chromosome:v.1.0:1:7508126:7510447:-1 gene:Al_scaffold_0001_1854 transcript:Al_scaffold_0001_1854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G17850) TAIR;Acc:AT1G17850] MRVSPAATLSVSLTIPLPLASTKARFSGVPFRFKQYVFNYQILSRPGRLVAAKPTRRWTFSCKCRNRGRNGYAKFDDEGEDFIVVNFFRFVSIGDPEAVIEKHLSFLKDLNIRGRIYLNEQGINAQYSGPSKDALAYVEWLKGDERFSDLLVQMSPAINGHAFPKLKLQNKPSLVQYEGGISHLPLLEPPMRAKPLEPSEWKRKLKDLTDDDEASPSSSSGRSCILLDVRNGYEWDVGHFRGARRPEVDCFRNTSFGLSDEKEAPLDPLINVDKEKTDILMYCTGGIRCDVYSTVLRQRGFKNLYTLKGGVSHYLEEEGTAEWVGNLFVFDSRLSLPPAAYSDKAVDKPGSDNAEDKAGRTPQTPVDTSFAKCYICDSQVQEIRHRNCANLDCNRLFLCCAECVVDLKGCCCSNCITAPRLRPVLHGVKRYEKWHVYRDSEVQTAPLV >Al_scaffold_0001_1863 pep chromosome:v.1.0:1:7533011:7534049:-1 gene:Al_scaffold_0001_1863 transcript:Al_scaffold_0001_1863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KG93] MAERSYSEEVKLLGMWASPFSRRIEIAPTLKGVSYEFSEEDITNKSSLLLQLNPVYKMIPVLVHNGKPISEPLVILEYIDETWRDNPILPQDPYERAMARFWAKFVDEHIYVTAMKVVGKIREERDAVVEATRDLLMFLEKEIVGKDFFGGRSLGFVDIVATLVAFWLMRTEEIVGVKIASLNERLLKKAAMNAKKMLVDVLYSFYN >Al_scaffold_0001_1870 pep chromosome:v.1.0:1:7559067:7568896:1 gene:Al_scaffold_0001_1870 transcript:Al_scaffold_0001_1870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KG99] METKQSIPLLAPYKMRLFNLSHRVVLAPLTRSRSYGSIPQPHVKLYYTQRTTPGGLLISEACAVSETSLGYPDIPGIWTREQVEAWKPIVDAVHSQGGIFFCQIWHGDRVFHQDQPNGEAPVSSTDKPLSRHLTYKSTGFDGVEVHGAHGYLIDQFLKDKVNDRSDEYGGSLENRCRFALEVIEAVVNEIGPDRVGIRLSPFADYMESGDSNPEALGLYLVQAMNKHGILYCHLVEPRMKTPEGIFECKESLTPMRIAFKGTFIVALQTTLSSRPILWLMEGRSWRIRICSGDSNSMSR >Al_scaffold_0001_1881 pep chromosome:v.1.0:1:7618753:7621668:-1 gene:Al_scaffold_0001_1881 transcript:Al_scaffold_0001_1881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G18150) TAIR;Acc:AT1G18150] MGGGGNLVDGVRRWLFQRPSSSSNNNHEQPILNSSSFSSSNPGNSGELIIIEDLDFSGLTLIKVPKRNHLPMDPHKKGVQETEFFTEYGEANRYQIQEVVGKGSYGVVASAVDTHTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDVVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYVHAANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLLGKPLFPGKNVVHQLDLMTDFLGTPPPESISRIRNEKARRYLSSMRKKQPVPFSHKFPKADPLALRLLERLLAFDPKDRASAEDALADPYFSGLSNSEREPTTQPISKLEFDFERKKLVKDDVRELIYREILEYHPQMLEEYLRGGDQLSFMYPSGVDRFKRQFAHLEENQGQPGAAGGGRSTALHRHHASLPRERVPAPNGETAEESSDVERRAAAAVASTLESEEADNGGGYSARNLMKSASISGSKCIGVQSKTDKEDTIAEEEDAETVAELTDRVASLHNS >Al_scaffold_0001_1886 pep chromosome:v.1.0:1:7640701:7642318:-1 gene:Al_scaffold_0001_1886 transcript:Al_scaffold_0001_1886 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase homolog A6B [Source:Projected from Arabidopsis thaliana (AT1G18200) TAIR;Acc:AT1G18200] MAEESYDEECDYLFKAVLIGDSAVGKSNLLSRFSRDEFRLDSKPTIGVDFAYRNVRVGDKTIKAQIWDTAGQERFRAITSSYYRGALGALLIYDITRRITFKNIGKWLSELRGFSSPETVVVLVGNKSDLGQSREVEEEEGKTLAESEGLYFLETSALENQNVEEAFLSMIGRIHEVLTQKTAVDNRPNGDGNNESNGAVIPPSKEIVNIHEFTATRPLSTSLPNCCYK >Al_scaffold_0001_1894 pep chromosome:v.1.0:1:7672540:7673352:1 gene:Al_scaffold_0001_1894 transcript:Al_scaffold_0001_1894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G18280) TAIR;Acc:AT1G18280] METVRFAVAVAVVLVFCAVTSSNAQTTPPSGGAGGDAHSLPCIQKLMPCQPYLHLVTPPPASCCMPLNEIVAKDATCLCAVFNNVDMLKSLNLTKENALDLPKACGAKADVSLCKTSAGTNSSSTPPATPKTPPASSTSTGTGSGSTGDAASSTAKPTSSAPAINFGGLSFASAVVATLFF >Al_scaffold_0001_1895 pep chromosome:v.1.0:1:7675079:7676805:-1 gene:Al_scaffold_0001_1895 transcript:Al_scaffold_0001_1895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KGC5] IWYSDVDRLDLSRNQIFGEIPRGIRGWRNLNELNLANNHLFRIITSPAKFASWLCGKASSSVVVLMVVAMWVGSNLARHAPLPQGALLTLLYMSIKLQVDST >Al_scaffold_0001_1900 pep chromosome:v.1.0:1:7697430:7699156:-1 gene:Al_scaffold_0001_1900 transcript:Al_scaffold_0001_1900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G18335) TAIR;Acc:AT1G18335] MDLKKRRKILEKKKTIHDLIKRASSIDDPLSPFDSFRRYRRNDLSLYLESGRGDRLSSSVKQYIQKLLKTNMEGFYGSDWPIQAKLKRKEMSSADAHYIFVRELRFGKAYEISTRRASMEQCNQIAGFVHYRFTLEEEIPVLYVYEIQLESRVQGKGLGEFLMQLIELIASKNRMSAIVLTVLTSNALAMTFYTSILGYRISSISPSKANLLTFSAKYEILCKTFDAEAKFVLENDEEPTKD >Al_scaffold_0001_1902 pep chromosome:v.1.0:1:7702509:7703018:1 gene:Al_scaffold_0001_1902 transcript:Al_scaffold_0001_1902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGD2] MRQKNQPRKMSKEPMVKGIESVSPHERFSRTIDTKHNTKAEEQWPEPKLHSNKIQPQNRQKPHKSKTLRIQKQLGGETAIQSNSGTLKSPDEMRGKEARNSLQNNRGRPWYNLTNAKTEEEKRKQIFFTTTPRAVPDPWLKTAYAAQRGNPPKAGRIHAISGQPPLRRR >Al_scaffold_0001_1903 pep chromosome:v.1.0:1:7704407:7707042:-1 gene:Al_scaffold_0001_1903 transcript:Al_scaffold_0001_1903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGD3] MAANNNPKYLSAPIDEIHAKQLEQPPIIEKDPPIGDNEKDADIFGCSSDTVSDLALDKLSILPCTNREEEVYTEDARQCDHILLGQQQELFFCHELSPGSWFFLPHATRVYNKLMAFIKKEYWKRGYTEVMSPNMYNMKLWETSGHAANEKENMFTFDVDKQEFGLKHVNCPGHCLLFQQRVRSCREHALIQKVMFWQLNKGNGKFYGPSIDVRISDAVKKNIQCGTLQLDFQQPDRFKLEYSSSAEDEMFFILLEHYEGKWPFWLSPHQAIVCSLSEKHRSYAEKVRDQIHEARYYVDVDISGRKIEEKVREAQAAQYNYILAVGDFEAATGQVSVRRREENGSDFVVMSIEALLDVFKAQE >Al_scaffold_0001_1911 pep chromosome:v.1.0:1:7739631:7742097:1 gene:Al_scaffold_0001_1911 transcript:Al_scaffold_0001_1911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminium activated malate transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G18420) TAIR;Acc:AT1G18420] MAAPKLESFRRGSMFDGSFRRGSMFDGSFRQSMRERLIPQQSRSYSNVNDDDQTSVRCCSYRYFSDKITGAVKKSKDVLVTAWELGTSDPRKIIFSAKMGLALTLTSILIFFKIPGLELSSHYLWAILTVVVIFEFSIGATFSKGCNRGLGTLSAGGLALGMAWISEMSGDWGEVLNAASIFVVAFFATYAKLYPTMKPYEYGFRVFLLTYCYVIVSGYKTGEFMETAVSRFLLIALGACVGLVVNTCIYPIWAGEDLHNLVAKNFVNVATSLEGCVNGYLECVAYDTIPSRILVYEAVAEDPVYSGYRSAVQSTSQEDTLMGFASWEPPHGPYKSFRYPWAMYVKVGGALRHCAIMVMALHGCILSEIQAAEDRRREFRNELQRVGIEGAKVLRYIGEQLKKMEKLNPIEDILYEIHQAAEELQSKIDKKSYLLVNAKNWEIGNRPRDSTDEQKISNLDEDLSRILAHKSQSEATLRPPKNWDAVTTTATNLNSATMQPHLQSRTMIHKQPSWPSRISITPGSMFQQPIGGEATLRYESASNLSLATFASLLIEFVARLENLVNAYDELSVVVV >Al_scaffold_0001_1920 pep chromosome:v.1.0:1:7774174:7774995:1 gene:Al_scaffold_0001_1920 transcript:Al_scaffold_0001_1920 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetraspanin 16 [Source:Projected from Arabidopsis thaliana (AT1G18510) TAIR;Acc:AT1G18510] MSEVRTGLLTMATIILICIGLTMTGTGLYYRKTVSQCIRETDGSFVVLGLLLLVIPQFALYAICCRSKRMFTIYIYAMIFVCVVLGGYSLKCFIYNTTFGIAKNPAEEKRTAKQLVGRLVPESKLARVTDCIIYNHDCNFNASQNSNVWRYCCAQPRGCGVTTMFGQPGEWSWKHQHVENHVPEECSYEYCLSCRGCQLSILKAIVHQWKYLSMFSYPSLFLVCLSLAIARSIKDTFDEPDDYRGYYT >Al_scaffold_0001_1930 pep chromosome:v.1.0:1:7812179:7814774:1 gene:Al_scaffold_0001_1930 transcript:Al_scaffold_0001_1930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G18610) TAIR;Acc:AT1G18610] MRWERVRQQQQQVGLGDSSSGPGKRWGHTCNAIKGGSFLYVFGGYGRDNCQTNQVHVFDAVGLLWFTLALTVVILVAAKQIWTQPMINGTPPPPRDSHSCTTVGDNLFVFGGTDGVNPLKDLYILDTSSHTWKCPSVRGEGPEAREGHTATLVGKRLFVFGGCGKSSDINDEIYYNDVYIFNTETFVWKRAVTIGNPPSARDSHSCSSWKNKLVVIGGEDGHDYYLSDVHILDTDTLIWKELNTSGQLLTPRAGHVTVSLGRNIFVFGGFTDAQNLYDDLYVLDVDTCVWSKVLTMGEGPSARFSSAGACLDPHKAGFLVVVGGCNKNLEALDDMFYLHTGLGYDARFDQNVGRLSLKKQLKIKCQEQSHASSLYDKSLVRINMDHQGRGNFGLNTGQFNEGKMMFQARITESYPVGYTMETMIDGKVLRGVLFSNKRSSVLATDQSFSR >Al_scaffold_0001_1932 pep chromosome:v.1.0:1:7821726:7822782:1 gene:Al_scaffold_0001_1932 transcript:Al_scaffold_0001_1932 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-rich RNA-binding protein 6 [Source:Projected from Arabidopsis thaliana (AT1G18630) TAIR;Acc:AT1G18630] MHYMGLFSRAGNIFRQPRALQASNAMLQGNLSLTPSKLFVGGLSPATDVELLKEAFGSFGKIVDAVVVLDRESGLSRGFGFVTYDSIEDANNAMQCMHNKELDGRIIGVSPADSGGGGGSGGGGGFARRGGYGGGRGGFGRGGFGRSGFGGGGYGFVR >Al_scaffold_0001_1938 pep chromosome:v.1.0:1:7841611:7842806:-1 gene:Al_scaffold_0001_1938 transcript:Al_scaffold_0001_1938 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G18680) TAIR;Acc:AT1G18680] MGSSFSAAAAVPPPSPPSSPSRSNVKSNGEERPRFFDGKAKNKCWANADTVPGRHPERWRKDAAGNIVCKRFGNCNGCLCFEYDHIVPYSKGGESIAENCQILQTRVNRFKSAQENVDATTLKSYSCGLQFTDKELDIIEMAVYGDVLRPGKECRCKTVAELLGQSKSKDGKAACVLPS >Al_scaffold_0001_1942 pep chromosome:v.1.0:1:7881765:7881994:-1 gene:Al_scaffold_0001_1942 transcript:Al_scaffold_0001_1942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KH59] MAKYLRYVRSAYKPLLNRVSIQALRLNISAPNGESVSGGADQADQAYKAEQKRIKQI >Al_scaffold_0001_1944 pep chromosome:v.1.0:1:7893493:7893795:1 gene:Al_scaffold_0001_1944 transcript:Al_scaffold_0001_1944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KH62] MKCYTPIKEGELAARRAAFAIESATTLSKRGTKLKVVEEKDSIKQVISCRIALSSLELAFDLREEMRIENPRTSPSAWCCPEPGPCSRKTEHSLVPESMP >Al_scaffold_0001_1978 pep chromosome:v.1.0:1:8051049:8052438:1 gene:Al_scaffold_0001_1978 transcript:Al_scaffold_0001_1978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KH97] MVELKLATGRFEAMLPDEDVSLPSLKTLFLERICFYNTDFCVLGKLLFASPLLEEFTIRCVGSWQYGSCCRNVSSSTLKKLTIVSTPQLDFWDMLFDTPNLAYLEYTGLVPREYPTVNLESLVEAKLDLSFCLGISNPTNLIKGLTNVEVLELSSVKTSEGPLHADECEGEYGLSCPVKVLKITEYGGKSGELKRMKHLLEKLACLELVKVRACAINDKEKSRITKDLLMVPRSFNCNIQLSKVFN >Al_scaffold_0001_1991 pep chromosome:v.1.0:1:8103620:8104398:-1 gene:Al_scaffold_0001_1991 transcript:Al_scaffold_0001_1991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF581) [Source:Projected from Arabidopsis thaliana (AT1G19200) TAIR;Acc:AT1G19200] MTWTLSHMVVPGINSIITSANFTASRTSPLDMKFPSPGSSKRYEDGGGIGLGIVAALEKSGIGINPVCYPTTGSNGYDLVRYRRRVQLATDIDLSDSEEYTCVTTRDGRTKVYYNEEEFEFSQNLSTGDQRLKKSIEMVEVSPVKKREVLRDSPDFLTSCCLCKKKLQGKDIYMYKGDEGFCSRECRSLKIMDDSLNEQHKLRNSPCVGEEIAFPGIFLI >Al_scaffold_0001_1997 pep chromosome:v.1.0:1:8150643:8154103:-1 gene:Al_scaffold_0001_1997 transcript:Al_scaffold_0001_1997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHB7] MTTASSCYASPLRDLLVVACMSGGGDPLALSDTRTSRRSKLLEKCSAFPSPHGSAGLVSSCNNNALSSVFESNNTYFNRKQRRFNRASCTSGQVTLEMEKEAMPYPEFDCSAFPTRIAGEIKSFSTEGLVALKLSKRMDKFMLYLLTAGKKALADGGVTEDVMAELDKSRCGVLIGSAMRGMKIFYDALEALKISYKKMNPFCVPFATTNMGSAMLAIDLGWMGPNYSISTACATGNFCILNAANHIIRGEADVMLCGGSDSVIIPIGLGGFVACRALSQQNDDPTKASRPWDSNRDGFFMGEGVGVLLLEELEHAKKRGATIYAEFLGGSFTSDAYHITEPRPDGAGVILCIEKTLAHAGISKEDINYINAHATSTPAGDLKEYQAFAHCFGQNPELRINSTKSMIGHLLGASGAVEAVATIQAIKTGWVHPNINLENPDKAVDTKLLVGLKKERLDIKAALSNSFGFGGHNSSIIFAPYK >Al_scaffold_0001_200 pep chromosome:v.1.0:1:771817:774951:1 gene:Al_scaffold_0001_200 transcript:Al_scaffold_0001_200 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta glucosidase 11 [Source:Projected from Arabidopsis thaliana (AT1G02850) TAIR;Acc:AT1G02850] MKFLSNSHMLLPLLALALTAVFPLDFVFGSGTSAYQVEGAAEEDGRTPSIWDVFAHAGLISSLYVSLNSWRIWQDVYIYSFTAGHSGVAAGNVACDQYHKYKEDVKLMADMGLEAYRFSISWSRLLPSGRGPINVKGLQYYNSLIDELITHGIQPHVTLHHFDLPQALEDEYGGWLSQEIVRGFTAYADTCFKEFGDRVSHWTTINEVNVFALGGYDQGITPPARCSPPFGLNCTNGNSSIEPYIAVHNMLLAHASATNLYKQQYKFKQHGSVGISVYTYGVVPLTNSVEDKQATARVNDFYIGWILHPLVFGDYPETMKTNVGSRLPAFTEEESEQVKGAFDFFGVINYMTLYIKDDSSSLKPNVQDFTTDMAVEMTCQMTPHRSSLEDTTRVKYLSSYIEAVLHSIRNGSNVKGYFQWSFMDVFELFGGYEKSFGLFYVDFKDPYLKRSPKLSAHWYSSFLIGTLHHPSDHHMLHLDHLTTSMFIMLSCRL >Al_scaffold_0001_2011 pep chromosome:v.1.0:1:8203285:8203511:1 gene:Al_scaffold_0001_2011 transcript:Al_scaffold_0001_2011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KHD1] MAFAMTGALAWQVTNPLIKRSNFWFRGGMRDVTGLVNNTLSWPGSLSLRTVQIDKEDH >Al_scaffold_0001_2016 pep chromosome:v.1.0:1:8222448:8222945:-1 gene:Al_scaffold_0001_2016 transcript:Al_scaffold_0001_2016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHD7] MMCLVSRTGCQSQRYIKGRRKVVGCIPYRLKISSDGTISNEFEVLVISSQKGVFPNVLTFSKSRGTFYEGLMLPIWMKLDEAREACRDCWMKEALDVLVQRLSSPLVKPMEEDKNIPLISIC >Al_scaffold_0001_2017 pep chromosome:v.1.0:1:8235197:8238871:1 gene:Al_scaffold_0001_2017 transcript:Al_scaffold_0001_2017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHD8] MIKRYKVTTKFDPTWLAGKERQNSFCREALSPPLSEVGETWELPSVLIESYNIAGGEGTASCGIFPEIPRAWASVFFVSGWAMCPEYSGEEHAICAVGLAKCRPGVFVEAIQYLLVLATPVELVLVGVCCTEGPYGRDPYAEISVQSLPDYTISSDGVTMTCVTCTNKGRIFMAGRDGHIYELLYTTGSGWNKRCRKVCLTAGVGSMISRLVVPNVFKFGAVDPVVEMALKKVAEERNLLNQKDVSQGNRQSAVAGRSNKPSIHYQMAGGCLSTSSSGSGSTISFSGFNNHRQTPNCLKVVSTRPSPPLGVGVGLGFGAPSIAGRTQNEDLSMKVETAHYSVGTLVLSDSSPPAMSSFLVVSRDSSVHSQVGSSSGPSSRSSRALREVLSSIPIEGRMLFVADVLPSPDTAATVQSLYSELEYCGIEVSGESYEKACGKLWARGDLSTQHILPRRKIVCFTTMGMMELKTSGIQLSKVPSRRFFHPLWSRRSSCHVMLAARIINFEDLISNIVADKAAEAFVGSIPTKPTLKSIMTVLGHAENCCTNQTVELERFSASIVDSLCRLYITCLLHSMQICLEMLYFANYSGDADSSIIRETWARLFDQALSQGGVAETCAVLKRVGSHIYLGDGVVLPLDVLCLHLEKAARERSERTENVRDEVIAKALLAACKGAAEPVLNSYDRLLSNAAVVPPPNPRILLIHSVIVVLREWAMSVLSDRMGSSPTRSSLILGGSFVLENKAALNQGARDKIANAGNKQVCLCHFGSIRYMTEVRRLARPPNKTENVYAGFKELDESLSSPFSF >Al_scaffold_0001_2020 pep chromosome:v.1.0:1:8253168:8254363:1 gene:Al_scaffold_0001_2020 transcript:Al_scaffold_0001_2020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein [Source:Projected from Arabidopsis thaliana (AT1G14820) TAIR;Acc:AT1G14820] MRFLVATRSMDPDKAAKMFVDWQKWRASMVPPTGIPELEVKDELEFRKICLQGPTKSGHPLMLVITSKHFPSKDQNNLSQFVVYVLDKTIASGIKGKEVGDEKLAGVIDLANITYKNLDARGLITGFQFLQSYYPERLAKCYILHMPGFFVAVWKFVCRFLEKATQEKIVIVTDEEEQRKFEEDIGADALPEEYGGRAKLSSSQQSKMFFFLRLLQ >Al_scaffold_0001_2023 pep chromosome:v.1.0:1:8267281:8268643:1 gene:Al_scaffold_0001_2023 transcript:Al_scaffold_0001_2023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHE4] MGLFAPTSPCLMGFHLCREEFGVSPNSSLNSHAVRKGRWRSCLCTHVQLHGRRSSAEESDATVSVKWSLGTPGCPDMSIRRKDRRLGAALIRTHSKNHCKQHFPHTIDKNLPQVAAMAEARLKKGKSQDKPSIAFATSGILRFLGPFHK >Al_scaffold_0001_2029 pep chromosome:v.1.0:1:8287956:8295133:1 gene:Al_scaffold_0001_2029 transcript:Al_scaffold_0001_2029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KI32] MGEQSPSQPSTQSQSRPQSPKPDTHNLIPPESTDACIDSAGVSGSIVSSTTIDAGRITELGNVSSPPSKIPLRPRKIRKLTLDGDVSGEDYKAEDISSQVTSPLATGKSPGKGKLSQSRVITVPRIQARPLTCEGELETAIHYLRNADPLLAALIDVHPPPTFESFKTPFLALIRSILYQQLATKAGNSIYTRFVALCGGENVVVPETVLALNPQQLRQIGVSGRKASYLHDLARKYQNGILSDSAILNMDEKSLFTMLTMVNGIGSWSVHMFMINSLHRPDVLPVNDLGVRKGVQMLYGLDDLPRPSQMEQHCAKWRPYRSVGSWYMWRLIEGKGTPTSAVTPGAAMSLPPLEDIQQEHQQQQLMDPLNSVFSIGYGSICGREAKEYPRVPSPQPSFLVAGTSVDISMDEERPPRSGEEECSISLFDYSVENHLKAVDSISDLCGEANAEIDENDINTFSSSVTFLREWRHYNFEPKIFAFYNEAGKSREPKDANCQNLPQFSSARAPKVKIHDDESSSSSGEISKDFVMHVGGSVWALEWCPRVHGNPDAQAKCEFLAVATHPPDSYSHKIGIPLTGRGIIQIWCIINATCKKDSAHFSEKSKKLTGKSRKIPSGETTETTEPKKPRGRPRKHPIETIETTEPKKPRGRPRKKSTAELPVELDEGVLYVEALSVRYPENSVVPATPLRILRETPVTETKVNNEGSGQVLSSENANIKLPVRRKRQKTKGTEESCKPMLLENSEAVGNVPGEPSPGISQGIALPRVVLCLAHNGKVAWDMKWRPLYANDSLKKHRMGYLAVLLGNGSLEVWDVPMPQATSTLYLSSKKAATDPRFVKLAPVFKCSNLKCGDTKSIPLTVEWSTSGNPDFLLAGCHDGTVALWKFSTTKSSEDTRPLLFFSADTAPIRAVAWAPGESDQESANIVATAGHAGLKFWDLRDPFRPLWDLHPVPRFIYSLDWLQDPKYQSLLYPQLIIQSLDQWFEVLIKYGVLNICRCVLLSFDDGTLRILSLVKVAYDVPATGRPYPNTKQQGLSVYNCSTFPIWSIQVSRLTGIAAYCTGDGSIFHFELTTKAVEKDTRNRTPHFLCGQLTMKDSTFIVHSPVPDIPIVLKKPVGETGEKQRCLRSLLNESPNRYASNVSDVQPLAFGHEEDPGLESEFEGTNNKAPKSKSKKGTKNIGEEDENSRALVCVKEDGGEGRRKEASNNNNGTKVEGFPPKLVAMHRVRWNMNKGSERWLCYGGAAGIVRCQEIAPTSNWKPQR >Al_scaffold_0001_203 pep chromosome:v.1.0:1:781148:782414:-1 gene:Al_scaffold_0001_203 transcript:Al_scaffold_0001_203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBD3] MESLDLGHHPEVKWAERADIVYLTVQLADAKDADVKLDPEGIFSFSAKAGPDNQLYELKLELNDKVNVEESKINIGLRSIVCILEKAEPKWWNKLLRGGKPPHYVKVDWDKWVDEDEDTPTGPGDMDMGGMGGMGGMDFSNFGGMGGMGGMGGMGGMGGMGGMEGLEGLQGLGGMGGLGGMGGMDEFDDSDDEGEEAKSGEKKEETQAPAPATEEAKTEEPTPVKSDK >Al_scaffold_0001_2039 pep chromosome:v.1.0:1:8324984:8325979:1 gene:Al_scaffold_0001_2039 transcript:Al_scaffold_0001_2039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KI41] MEAEKAEASRRYNNPFQNIRSYVEVAGVVALGYCCLPAIVNLLKTKTTEKKEPEIYDQYVAALPPPPTVTPFDYYDILKVGDFGYENNSSYREIVPEFQAVKAVEESRCTYDQEVVEMSSKSYRRTRSEKKKTERMVEFRRTESERVTKTGSWRSQSMDGLSSEEFRMTVETFISEKKKMLIRDSGVVDQWQNGYVHFWEHDYVPQWQNGGVPQLQNGCVPQWQNGGVPQLQNGAVNQWQNDVPEPQNGIVQWQGSRDDGSGRHRHRHGHRSRRHRLEGGSSSKGSGSYLAISN >Al_scaffold_0001_2046 pep chromosome:v.1.0:1:8365825:8367514:-1 gene:Al_scaffold_0001_2046 transcript:Al_scaffold_0001_2046 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G19690) TAIR;Acc:AT1G19690] MAVFQLPNLSPEILLRFSPTPLDFINRRRINFFRPLSAIGDSQSVAPDSESRNRMFILGMGFVGGFFAQQLKEANWVVSGTCRSDPKKKEWEKRGINLHPFSADSPEWSLLLDSVKDYTHLLISIPPLADIGDPMLRNVELLRGKLSSGNLRWLCYLSSTSVYGDCGGAWVDENHPPNPKTQSAKVRLAAEEGWLSLGRDLGVSTQILRLGGIYGPGRSAIDTLLKQERLSEGQKRRASRKFTSRVHVEDICQVLQASTEKPSSGEIYNVVDDDPAPREEVFEYALELIAKRWPEIINTKPFPFLYESREESSLRGEKRVRNEHMKNKLGVKLIYPSYKSGLQSIVENMDNPF >Al_scaffold_0001_2085 pep chromosome:v.1.0:1:8557532:8558080:1 gene:Al_scaffold_0001_2085 transcript:Al_scaffold_0001_2085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KI88] MGRETTYADAEQGESGILAINVADWDEEKQILGGFYGQNGGTWCGISKRGRVAFLVDVMPFDNVSRCELIPLAFLKGALTPEEFGNSVVAERVMYNGLAFHLVVGDIKSNSMVYIAKRHAQ >Al_scaffold_0001_2086 pep chromosome:v.1.0:1:8559753:8560019:1 gene:Al_scaffold_0001_2086 transcript:Al_scaffold_0001_2086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KI89] METVYAFEISDGYIWRLSETTVTTTSKSDLTVWMKPVYLDGTLHWLRNDGSIIAFNPETEQARLISSIFHGGETDMKPFFAKTRRTIV >Al_scaffold_0001_21 pep chromosome:v.1.0:1:72255:72617:-1 gene:Al_scaffold_0001_21 transcript:Al_scaffold_0001_21 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KP45] MSRLFRAPNQRLRQRKFEQQGFDHQESTMPSVPDPDTLLSLEELRERRITDPHLPRSYRNMFLNLLY >Al_scaffold_0001_2100 pep chromosome:v.1.0:1:8606558:8609557:-1 gene:Al_scaffold_0001_2100 transcript:Al_scaffold_0001_2100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT1G20150) TAIR;Acc:AT1G20150] MMKCLTVTVIFFVFLFLSVICESETSKSEDYIIYMGATSSDGSTDNDHVELLSSMLKRSGKTPMHRYKHGFSGFAAHLSEDEAHLMAKQPGVVSVFPDQMLQLHTTRSWDFLVQESYQRDTYFSEINYGQESEVHEGDTIIGFLDSGIWPEAQSFNDRHMGPVPEKWKGTCMRGKKTQPDSFRCNRKLIGARYYNSSFFLDPDYETPRDFLGHGTHVASIAAGQIISDASYYGLASGIMRGGSTNSRIAMYRACSLLGCRGSSILAAFDDAIADGVDVISISMGLWPDNLLEDPLSIGSFHAVERGITVVCSAGNSGPSSQSVFNAAPWMITVAASTIDRGFESNILLGGDESRLIEGFGINIANIDKTQAYPLIHARSAKKIDANEEAARNCAPDTLNQTIVKGKIVVCDSDLDNQVIQWKSDEVKRLGGTGMVLSDDELMDLSFIDPSFLVTIIKPGDGKQIMSYINSTREPIATIMPTRSRTGHMLAPSIPSFSSRGPYLLTRSILKPDIAAPGVNILASWLVGDRNAAPEGKPPPLFNIQTGTSMSCPHVSGIAARLKSRYPSWSPAAIRSAIMTTAVQKTNTGSHITTETGEKATPYDFGAGQVTVFGPSSPGLIYETTPMDYLNFLCYYGFTSDQIRKISNRIPQGFACREQSNKEDISNINYPSISISNFSGKESRRVSRTVTNVASRLIGDEDSVYIVSIDSPEGLLVRVRPRRLHFRKIGDKLSYQVIFSSTTSTILKDDAFGSITWSNGMYNVRSPFVVTSKGDDSKN >Al_scaffold_0001_2116 pep chromosome:v.1.0:1:8663875:8664981:-1 gene:Al_scaffold_0001_2116 transcript:Al_scaffold_0001_2116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ00] MGIVAFQWGEGENQLTLLQNRDNWDSWQVSFIQPFQLFVHLCIVLLISNYDMFIFIRVIKRETKPATWDESNQILSVLPVHSFGTWFGISKQGRLVFLVNPPKLNNLSPVLRPVDFLLREMSPWDFAREWSEKSNLAKIMNRGMTYHIVVADIKSKSMVYISKGSAKDSHVHTEEVGFGVHTLSSSGLDIQFPEYLHRLKTFSCEIMSDIKDKEVTPMKELAERFTMYDPFETDKGESEDIFGKDRQYEITSTIALAVKRNKEVMFHERFWENSKWNPNDFTFNIT >Al_scaffold_0001_2117 pep chromosome:v.1.0:1:8666044:8667077:-1 gene:Al_scaffold_0001_2117 transcript:Al_scaffold_0001_2117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ01] MGIIAFDWAENRLTVLQNRDEQESRQVVFIDLFLNVNEAAWLENGNILAGLGNPDGGTWLGCSKTGRVAFLLDSIPWPRHPTRRGEHLTLDFIEGTRTPDQFAEDVIRMQNKGLAFHLVVADVFGTKSLVYICKKRRDSDHVTKTHVPYGVHTITTNGIDKGEEVRRKFAAVTEWTHSQSLELPMELSLRQIILELPNVQRTMNRLQGIKTPDDHDPIDLTSFFKRDGPLQTNCSTVVVVVPRAAGGGGRCIFHEKYMRNHRKWDEKCFFFDMAPNA >Al_scaffold_0001_2118 pep chromosome:v.1.0:1:8668307:8671725:1 gene:Al_scaffold_0001_2118 transcript:Al_scaffold_0001_2118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KJ02] MIDSSYQPYGTHLAFMSRVISTLYRAQRYGHSHTLLESPTNTGKSLSLLCSVLPWQKSYKSRFPNGNLSHSKTQPSTAASSNVEPPEPAIPTIYYARTHAQITQVILEYRKTGYRVPMTVLVSRKRYCTNSHVQGKENADEKLLLLKDKKNIKCAEYADECGCARDIWILIFSRKCVKPSPIFSKTKGVHCCFNGMLEIMGGRIFSVCVRVVGKNRLVGRNSRVKKAWSFLTEAQDDSKKGSAFLAVCRGKVSEGLDDCAQMIVGIPFPNFSNESLSCQVVELKRKYKDTNKSSKNLGGSEWYCQQAYHALNQAAGRCIRHRFDYGAIIFLIPTTKEQSVYFKVTKAIYQSEIVDIKILESHEQNLSPENQSNEDPEKETSGISAASPCSSSKNESSSLATGLRSLRSPDRFLKRHLSTANFRRPPPSILEAESPLNMSVNSHALKRRKFHTSPVIIDLEEESSNATNTTILDPRITRRIEFVPNTCLGVQVMATVSSNVQFMSKILFFADHPSR >Al_scaffold_0001_2119 pep chromosome:v.1.0:1:8672241:8673422:-1 gene:Al_scaffold_0001_2119 transcript:Al_scaffold_0001_2119 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein [Source:Projected from Arabidopsis thaliana (AT1G20735) TAIR;Acc:AT1G20735] MNDLPFHLLDQILFRLDPKSLGIMRCTNRSINSHISDDPNFVTGYSSEYSSRVGSSFIHLGCYGDFYIFCHHLASSCDSMSVDKRAMLTYHLCYFFGSCSGLLLLYMDGLFVANPLTKRFRRLNHSGSKLLSHVFGATVPFYDALQENKARIERKICLGFVVSPTKGFKIVCILEMETVYGFEISDGDSWRLSETTITTSSKSELATWIKPVYLDGTLHWLRNDGGIIAFNPETEQASFVPSIFHRGEPDTKLLFAEDSKKNCLILVSGTKETISVYTLVKNSKWDLTRQIANVYMNEGELLHWHLVMCDGKCLVVREMKNITCYGVVHVYDLEANTWGVSGSTQAYGSRTIDFYKFTPSLVFVEGDEQEIIASTSKLIISNLTAVMGLIDRT >Al_scaffold_0001_2120 pep chromosome:v.1.0:1:8675566:8677557:-1 gene:Al_scaffold_0001_2120 transcript:Al_scaffold_0001_2120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF833) [Source:Projected from Arabidopsis thaliana (AT1G20730) TAIR;Acc:AT1G20730] MTLAATSSSLTSIRAADWEWDESKVLSARCGETDGTWLGISFRGRVAFLVEAGPVNRDRIIGAERRTLEFLESNESPEDFAKSLAADRGRNTQIAYHLIVADIASNSMLYISKQSFSEDGTVHIMPVGPGVHTLSSAGLDSEVGHRELRLKQSFSERINRKLPEQIWDLAEEIMYDREAIIGDPLSSIFVDDTMIEHEYYGTRNTTALVVRPTKEVSFAERNRAIFNADWTMHAFFFTII >Al_scaffold_0001_2124 pep chromosome:v.1.0:1:8690036:8691217:-1 gene:Al_scaffold_0001_2124 transcript:Al_scaffold_0001_2124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ09] MGIVGFQWGEGNYNQLTLLMNRDNYILSGRCQDNGGSWFGISRGGRVAFLVSGDLLVDRVLPHMGSELYLIEFLAGNMTPQEFANDVARRNEMVYLTTFSLIVADMPLNSMVHIRKLQPMAVESEIVPFGVHTLSAHGGLDGTLPRDLLMRGIYNLMYGNNPLPPLELARRCMSNAEGGPHDALYLDKMVEHFEKFNLTFFMVHPCTITNLFLFNSVQYYGGHFGTTSTTALVVERTGRVRVFERYMMENGTYNTHDFDFDLQIGQ >Al_scaffold_0001_2126 pep chromosome:v.1.0:1:8707637:8708017:1 gene:Al_scaffold_0001_2126 transcript:Al_scaffold_0001_2126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ11] MENLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCGGALDRRSSCFANRNLKNYDLLLVTIFTSSARFRSRFTTVS >Al_scaffold_0001_2128 pep chromosome:v.1.0:1:8720014:8721182:1 gene:Al_scaffold_0001_2128 transcript:Al_scaffold_0001_2128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ13] MILNDLPLYLLDDILFRLEPKSLAIMRCTNKYFQSYISHDSDFSVVRPSLFNLCSYGDTSVFCHRLVSSCDSMSVLKLEKLNFSNRCYIFGSCSGLLLIYINGLFVANPITKTFRLLDHSGSSLLPWIVGGAMFVGFAVNRTTKSFKIVCILEMETVYGFEINDGYCWRLSETTINASSKSDLTTRMKPERREHHSFQSRDRASTLDSFHILSGIGYEVIIRGGHNINRLTLLLGTKETISVYALRKNSKWILARQIKNVSMEDNILERWNMVAYDGKHLVVREMKDRLRVVVPFYDMVVNIKEHHFKGLVHVYDMEANSWRVLGSTWCPSHYDRDFYKFMPSLFPVEEDEQTKVIVASDDQRIRYLSAVMRLIDTTK >Al_scaffold_0001_2129 pep chromosome:v.1.0:1:8724315:8725712:1 gene:Al_scaffold_0001_2129 transcript:Al_scaffold_0001_2129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ14] MDKETLKRVVILTLEEVDNKGKSRAQLNHLLAINRERGIISGASWNGNGQILSGRSADNNGTWFGISRGGRVAFLVSVDTLLDHVDPQAGSELYPIEFLEGNMTPQDFANDVAQGEEVDELLSFSLIVADMTLNSMVHIRKPVQAEWNVMIQPVPFGVHTLSPYEGLDAIEPWVIIKYKCLDLLVFDVFNQMTAELGNNPLPPLKEIAGIMYDDAEEEDAVFLQLAAHPQFGMQLFGTTSTTALAVERTGRVRLFERYRLDGGWHTHDFDFQIQH >Al_scaffold_0001_2140 pep chromosome:v.1.0:1:8765696:8766597:-1 gene:Al_scaffold_0001_2140 transcript:Al_scaffold_0001_2140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis protein of unknown function (DUF241) [Source:Projected from Arabidopsis thaliana (AT1G20520) TAIR;Acc:AT1G20520] MSSQNYKTAQTIFSITVQKQESQQGKENWIEQVSEASLIMLDVCNVSKDVMALVRHGLQDLQLTLRCNGSNLSEKIAAYNQYRNKLKKETLKCLNSLKSIDGGRGMMEMQSIEQNLLFVAEVLREVRRAIVTMVESLFSLVCVPWLERKPSIGSFSSIFTMQFCCFDDAWDKVAMQSANTRLEAAEITVEELEIELECIFRRLIQTRVSLLNILTAKTSPV >Al_scaffold_0001_215 pep chromosome:v.1.0:1:829172:834582:-1 gene:Al_scaffold_0001_215 transcript:Al_scaffold_0001_215 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: CW-type Zinc Finger (TAIR:AT3G62900.1); Has 5847 Blast hits to 4410 proteins in 438 species: Archae - 17; Bacteria - 452; Metazoa - 2463; Fungi - 354; Plants - 306; Viruses - 11; Other Eukaryotes - 2244 (s /.../ NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G02990) TAIR;Acc:AT1G02990] MILVSSSDARSELGLGFGGLGEEIMQDCDFEEESTHSYVSCVDPDVALSYIDEKLENVLGHFQKDFEGGVSAENLGAKFGGYGSFLSMYQRSPVCSRSKTPPDVQQNQLGGRSNCSASSLVPELSISGSSSKPPASDVLVKLKKFVKSSNIGTPDSKQKPDTKISSSAPSNHKTLRLRIKVGSSDLSSLKNVSTYTKEGLNMLPSASRVNCLSEVEQDLLNGICDSPTKILMAMVSFPLHKDQLLSPLSDDLILLGSKEKIFKDAGYGSANKSDSKSTPDDLVVSDSQKRAGKFSIGKKEKLRDRVKYRPPSNKRDRNHTVSNTEKEADKESCEELVSKTMKLPLLSCLSPSYIHPAKEIDKVSDSNVEVISRGTNKDAALMGSKPELEDNVVAFSDRSVKETESINVRKDVYLIKGEPLNSLESNSKREKAPSIEHVDYSSVVKGSQSETRNEDQILKSKLPKAQKSQKSSSSIVSMNSLRGKDAAVNIIKKNVPDKLQEDIGESEHMYKGFFGDSKESKEENQSSPILKAEKAKLSEENALEESFNSVKNDEEACDHLNLVCEPDLKHLIKPSDLNEDRHTTKQSVRREVKNKHSLEGGMENMGMESERELSGVSKKPKTGKSRFSTLDQPGSNKSNQILDVLDTNKTMITQASAENVKDFAKASTHGERDDRKRKLKENEESGDCMRPREAAVIESSGENVRKSKRLKGSSCDEKELPFSSESCDKERRVSQENGRDCTSHLPFIASSPSLCKDLGSEIIKNNVREAKGSLVESVAPSALRVLDSGELKSGRISERDEYHDADSNAGDTLKRCRDGEAYSTIDRPGTTKKAAENSKDRERAYGEDCSIENFKPKKSGRCPGENCIEGDSKQKSREEGSSAPSKENNWGLVNDVQDLGTAVKVKRKESRSKKRPARKVSLESNKEDSREYQDPNTKLDRSGSHFSSRQKPDTANTSRGKSNPLEVTTEKLKNKSASPAGTDQVEVLGHGTGISNTKKQRLRNDNHCVTHDEGSRNQKQNGSRHKDHVGLSPLKKESTSQTASNSIKEATDLKHMADRLKNAVSNHESTGVYFQAALKFLHGASLLESSGIDNATHKTIARSKDIYGSTAKLCEFCAHEYEKNKDMGAAALAYKCMEVAYLRITYTSHGNIRRCRYELQAALQVIPSGESPSFASDGENSNHTLAAEKFALSNNVRSSPSVTGNHVISSGNNSSLSQLLAFSQNVSSAMDASRKAQIALAAAKGKSYETRYSSDGITCIKRALDLNFQDMEKLLHVVRLAMESINR >Al_scaffold_0001_2152 pep chromosome:v.1.0:1:8811885:8812121:1 gene:Al_scaffold_0001_2152 transcript:Al_scaffold_0001_2152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KJ37] ENDPIRRGETRRTDTEALVTPTPAQWKPYYYTCGETGHYPRWCRFYRPYGNPMIRCTLCFEIGHYASSCPIVIGRIIY >Al_scaffold_0001_2158 pep chromosome:v.1.0:1:8844870:8846773:1 gene:Al_scaffold_0001_2158 transcript:Al_scaffold_0001_2158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ44] MAIAATSSSPLCFLKVISGASWSRNGQILSGRCKANNGTWFGITKRGRVAFLVNTSLLLDRVKANSGSELYPVHFLEGNMSPDQFANELRLHEKQSNERHVYSLIVADMTSSSMVHILKPLDTKSDVIIETVPFGVHTLSSYQGLDSTESSRDSRLRGLFSQMIVDLGNIEKSQMEGIAGRFMYDAAGGRDAVFLQTRDDHPSGNLGSQRFGTTSTTALVVKRTKEVMLFERYMEENGAWTKNHFAFNIQ >Al_scaffold_0001_2159 pep chromosome:v.1.0:1:8852589:8853883:1 gene:Al_scaffold_0001_2159 transcript:Al_scaffold_0001_2159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KJ45] CAKTPRRFVEDFPQEEVEAFGPGLKLLVADINTGSMVYVWKRSIHEKRLHVDDVAHGVHTISETGFDSNSLKDNCLRENFNAMIAGHAELPPIQKIVEDLMREPPFFLVTLDIPGKKYRTVRTFGMDIKANRPKARFYERHLNDDEITHRETGVKEAKRPKTKNEYGNIGLIRSLAKGEEYQVL >Al_scaffold_0001_2160 pep chromosome:v.1.0:1:8856766:8857848:1 gene:Al_scaffold_0001_2160 transcript:Al_scaffold_0001_2160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ46] MGTAAVEWRGNEFLLLVNRDNWDHLQSTMTPRGFAHFLDQTEAKSFGLKLHLVLADIGSGSMVYVSKMPGDEGSLRIIDVAHGVHTISSVNGFDSSSHQDTIMRNSIIAKINIADFEKTEVSIEKIVEDVMMDPPVFINMFDSSGNVVVPRTKHTVGLDVKANRPHAHLYEKRLKSAGNWDDRFRQFDK >Al_scaffold_0001_2167 pep chromosome:v.1.0:1:8880503:8882361:1 gene:Al_scaffold_0001_2167 transcript:Al_scaffold_0001_2167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ53] MTPPYSISTRATRIRLPKVISEASWNGNGEILSGRCEDNGGTWFGISRGGRVAFLMSAEILFDRVDQNTGSELYPIEFLESNRSPQDFALHVAQREEERHYNGELDDPADGWSYSLIVADMTLNSMVHIRKPSQQVPIVIIQPVPFGVHTLSPLEGLDSTESPRDLCLKSLFSQIILDLGNNEQAHMNDSAGRFMYDSEGRAYGVFRQTIRNHPSGELGMQRFGTTSTTALVVKRTREVMLFERYMDENGAWKTHDFAFNIQ >Al_scaffold_0001_2172 pep chromosome:v.1.0:1:8907362:8908806:-1 gene:Al_scaffold_0001_2172 transcript:Al_scaffold_0001_2172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ59] MESLPLPLLEKILFKLDPKSLAMMQCTRRSINSHISDDPYFKSKYLSGVGSGLLQISGFGSTTLFCNPFVNSRLFRNKAFLEIKSQILSFCSGLLLLFIDGLCVANPLTKRYRFLDHSKSMFLSRVDRRGNVSFYLRWHKMNRIGFAVDQIDRTTQAFKVVCMNDTDASNPDETMYQFEISTGDSCWRLSETTITCTASGLIKDKKPVYFDGSLHWLRKDGSILAFNPETEQARLIPIKFPPQLSSANLFAAAEKELALISATEELINVYALEDTLTDPKWVLVKQIPNGVLDKETMRCWNVGAYDGEFLVLWEMNNKEWYDGVVHGYDLRANNWGVIGSVPVWCDRNQVFYHFKPSFSSAIELNEKVDVETMVHGDDENKRISTLSKIMRLIDEISPYAKSRREAFLQKNKVLRHGENQQKKKKSSSQAESVLESFVFYAVDGSIFSDHR >Al_scaffold_0001_2183 pep chromosome:v.1.0:1:8946355:8947295:-1 gene:Al_scaffold_0001_2183 transcript:Al_scaffold_0001_2183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ70] MLDLHYKECYFLICLLVFINFVPCYSDERLFDGKILYAGKELWKETLPLKSGSRVYKLQELKSNSWYEVKISYPASIPALFTLQLLKNGVMGLKLNHMRRLLNTEKLIFKTESLEEVDNEDGLYVLVTVEPEGIVAIPNSKERASIIYNIVCEEQLLGIPYSSWSVVVLVVLCLVVALILPRFLPSYLLIKDVDRDR >Al_scaffold_0001_2189 pep chromosome:v.1.0:1:8976921:8978029:-1 gene:Al_scaffold_0001_2189 transcript:Al_scaffold_0001_2189 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G20940) TAIR;Acc:AT1G20940] MAMMRCTNKSIKSYLSDPRFGPEYPSWVRSSLFNVSSYGAVYVYCQPLVSSCGYMSPGNEVELISERCYFFGSCSGLLLLYIGCLFVANPLTKRFRILDHSGSKLIPKIVGGNDNSYPEGNIARTERAMCVGFADQRWRLSETTITTSSKSDLTTRMKPAYLDNTLHWLRNDGSIVSFNPETERACLIPSIFHREPDTKLLFAESVKINRLTLISGTIETISVYTLLGNHKWALTRRIKNISIDENTLECWNIVAYDGKCLVVRVKKRGLESFEGVIHVYDMEANSWGVLGSTTIWASCVRDFYKFTPSLFFVEEDEQQMVLVASNDQRISYLIAIMGLIDTTK >Al_scaffold_0001_2192 pep chromosome:v.1.0:1:8995950:8996826:1 gene:Al_scaffold_0001_2192 transcript:Al_scaffold_0001_2192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJW5] MVSYGSFSDRVLVMGLSDSVGHGDLDMRMLLLTNPWLSLSQVWVSTSIANATLILDVRVFVPLQRSVIVRAMMMFIHGVFLGMSSLFGMAAIIDRVLMQTYGMGRIWDPEITLEAFIVKIANELFDVRRITRWDYTSMKSNFLMRIMTPKRTNWYRIVDFSKLIPGATEFENKEYLVKMNYLSQS >Al_scaffold_0001_2193 pep chromosome:v.1.0:1:9006931:9007693:-1 gene:Al_scaffold_0001_2193 transcript:Al_scaffold_0001_2193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJW6] MGPRRPKDLRILYMHESTTTRSSGSRTQNAKRKTDTATLLEFARVEIRGYIGSRYTSEEEIPETPAAIEVGVVADLKR >Al_scaffold_0001_2197 pep chromosome:v.1.0:1:9034568:9036190:-1 gene:Al_scaffold_0001_2197 transcript:Al_scaffold_0001_2197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein [Source:Projected from Arabidopsis thaliana (AT1G20990) TAIR;Acc:AT1G20990] MMRSKSIVINSNSRNNTSTVAATTALRRSASNTIAAAPVVRTNDLNKTPRPRSVEFPVSPQLIQGEEMVHFGHPQHVLVKVELPDIYTCAGCKEEGAGVRYVCQECDYQLHEFCALAPPQLKSHPFHYQHQLLFFAKPAKGGIVKSKCDVCGRSPKGYTFRCKACSFQMHPGCAMLSPSLSSSSLHHHPLRLLPSSSPGNITGGDSGGFLCGECKRGKRTGRVYRCTVCDYHLHAVCAKDAAVNGLRANGHKGRDKSPAVLGTAARLASQVVIDFLGGIIDGLGEGVGEAIIDGVTRGGGGGGGRGGGNGGVTREIPRVRGG >Al_scaffold_0001_2206 pep chromosome:v.1.0:1:9091120:9093158:-1 gene:Al_scaffold_0001_2206 transcript:Al_scaffold_0001_2206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJY3] MVEQTVAREHIKARVMSLVRPAEPSATSYRNPKLYSLNDNGNNNGVTSAQIFDPDRSKNTCLTDDSYPSQSYEKYFLDSPPDEFVQHPIGSGASVQLIWLFGLVSVSAKTGSWMFHGISEEFDDEQMRSKIQELERALLGDEDEKMVGIDNLMEIDNEWSYQNESEQHHDSPKESSSADSNSHVSSKEVVSQATPKQILISCARALSEGKAELALSMVNELRQIVSIQGDPSQRIAAYMVEGLAARMAASGKFLYRALKCKEPPSDERLAAMQVLFEVCPCFKFGFLAANGAIIEAIKGNQYMTLIRYVAELPGKRPRLSPTLNRRVKNHWFKTGTTCRAVPSKTSIVSPSTLGCKPGETLIVNFAFQLHHMPDESVTTVNQRDELLHMVKSLNPKLVTVVEQDVNTNTSPFFSRFIEAYEYYSAVFESLDMTLPRESQERMNVERQCLARDIANIVACEGEERIERYEAAGKWRARMMMAGFNPRPMSAKVTNNIQNLIKQQYCNRYKLKEEMGELHFCWEEKSLIVRCFSLESGEAYMMMEKTVAAIPQKSFLEFPWRAERETRATVANTAKTQVKIPKIFAFSFFGA >Al_scaffold_0001_2209 pep chromosome:v.1.0:1:9101259:9101971:1 gene:Al_scaffold_0001_2209 transcript:Al_scaffold_0001_2209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KJY6] ASVAGPARLLNSVPWKVSVLTAQVSRPRLKTAPRRHRPVYFRLEKHVPWQGKLFLKSQFHEEAD >Al_scaffold_0001_2216 pep chromosome:v.1.0:1:9136671:9150572:1 gene:Al_scaffold_0001_2216 transcript:Al_scaffold_0001_2216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJZ3] MKFQEGVFLVAIFFFLGYTQLVKGQHQPRHDCQTRCGNLTIDYPFGISTGCYYPGDDSFNINCEEDKPNVLRNIEVRDFNHSGQLRVMLNRSTVCYDEERNNEFNAYQYKLDNLSLSPNNKFTLVGCNAWALLSTFGIQNYSTGCMSLCDSPPPPNSKCNGVGCCRTDVSIPLDSNRVVTRPSRFENMSSVEHFNPCSYAFLVEDGMFNFSALEDLKNLRNVKQFPVVLDWSIGNQTCEQVVGRNICGGNSRCFNSSRGKGYNCKCLDGFDGNPYLSDSDGRCKDPDTCRNKVGGFYCKCPFGYKLIATTESTMRCKRPEYIIWTQIFLGKLPALRLSGAGPSNVDVKIFTEEGMKEATNGYDESRILGQGGQGTVYKGILPDNSIVAIKKARLGDNSQVEQFINEVLVLSQINHRNVVKLLGCCLETEVPLLVYEFIASGTLYDHLHGSMFDSSLTWEHRLRIAIEIAGTLAYLHSSASIPIIHRDVKTANILLDENLTAKVADFGASRLIPMDKEELATMTTKHGKLDQFPEPEEIKHLPGGHIFSAQNDTSCSNYDSINNVPNLDIEAGR >Al_scaffold_0001_2223 pep chromosome:v.1.0:1:9183660:9185845:1 gene:Al_scaffold_0001_2223 transcript:Al_scaffold_0001_2223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJZ8] MSMRPKPVGWSNPTLKNSGLGLKNICSRKKLGLSGRLGAGSARGVCINSFQLLLEVFFSLGFLLGFEILRSRCGASVFGGPSLGGGLPMISGCSRVFARNFVFPPCLVVLLAVRLETRGKSLFFSPLRQLFGLVFSSNGLSRWVLSCSLILFVVCVVGLVDLMLRLSPLDFVWFMVGQVRAWVCHSDGSSLQVLVVGFKAQQWCRSPILLLRGRDWSLSVDGFDWFALSAFVFKVFFELSFAQALYLSGVDVLVFVAVLCCGLVSLYVLSGCCLLCS >Al_scaffold_0001_2227 pep chromosome:v.1.0:1:9213378:9215246:1 gene:Al_scaffold_0001_2227 transcript:Al_scaffold_0001_2227 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycolipid transfer protein 2 [Source:Projected from Arabidopsis thaliana (AT1G21360) TAIR;Acc:AT1G21360] MKRKRYEMEKKKTELQIAIEELSLFIITKPADNTQATHIPLRPLLSFCNLIIQVLDKIGPTMAVLRQDIDQNIQRLEKVCETDSCVYSNLVEILKKEKEEGTSKMVASCSRALFWLTRTMDFTSGLLRLLSKEMSSKMEELVEECYMTTLKPHHGWIASAAFKVCLRLVPDNKTFMDAIGARDESYDTLREDIDTLSSLLTPILKEIYFVLEQYGLSRLRSM >Al_scaffold_0001_2266 pep chromosome:v.1.0:1:9412359:9414020:1 gene:Al_scaffold_0001_2266 transcript:Al_scaffold_0001_2266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:D7KKT5] MQIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTIATDFQRISKIHDRVFIGLSGLATDVQTLYQRLVFRHKLYQLREERDMKPETFASLVSAILYEKRFGPYLCQPVIAGLGDDDKPFICTMDSIGAKELAKDFVVSGTASESLYGACEAMYKPDMEAEELFETISQALLSSVDRDCLSGWGGHVYIVTPTEIKERILKGRMD >Al_scaffold_0001_2269 pep chromosome:v.1.0:1:9432183:9433407:1 gene:Al_scaffold_0001_2269 transcript:Al_scaffold_0001_2269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKT9] MPKKKPTNHRIRSRWMSGKSRPSPLTEPEDVGKETDQPPHEKPMDVGLKPIETNRTALPENPGRRSKGHESTFSLKNMKREEREATFFRKRIQVWIDYDNRSHRIDVTMAPFDSDKPRKPLVSYVRDLSSILLEDMYVGFSSATGSVLSEHFVVGWSFRLNGEAPMLLLSKLPKLPRFEPRRISEFYKIGMPLISLSLIFSIIFLAFYIVRRKKKYEEELDDWETEFGKNRFRFKELYHATKGFKEKDLLGSGGFGRVYRGILPKSIIIKKRRR >Al_scaffold_0001_2273 pep chromosome:v.1.0:1:9448539:9448959:-1 gene:Al_scaffold_0001_2273 transcript:Al_scaffold_0001_2273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G21770) TAIR;Acc:AT1G21770] MATRPAMEKPKIVWNEGRHRFETEDHEAFIEYKMKNDGKVMDLVRTFVPPSKAGLGLASHLCVAAFEHASEHSFSIIPTCSYVSETFIPRYPSWEHLVHSEDDSKNLKSSI >Al_scaffold_0001_2289 pep chromosome:v.1.0:1:9522394:9522941:-1 gene:Al_scaffold_0001_2289 transcript:Al_scaffold_0001_2289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKW2] MDGVRHRPRPLLIMMIAFNIMFNTLRPIIIPPTALNASCPKPEMMTIAEFRVRQVTIKSFWVFSNSAFAFALAAALVQVWTQRGERVSFTLVMVALLCMSISSKCSMVVNFWPSWTVTSANYLSLNLSYQEEGTKGRHTLSLSESVKAPLPSSLSWLMVNVISVMFTVDIVG >Al_scaffold_0001_2291 pep chromosome:v.1.0:1:9547659:9548310:1 gene:Al_scaffold_0001_2291 transcript:Al_scaffold_0001_2291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKW5] MRLKNIVSRGVEDSSLMSESEEDEEEEASEVILSRNVVEKKKKKNLRFGEEEEEERRDGLVLLAQSTQMVRSRSQGTTRRVTPTPLVDVEKPLPNGDLYIGSFSGGFPHGSGKKHGHGQKRYANGDFYEGTWRRNLKDGRGRYVWRNGNQYTGEWRSGVISGKGLLVWPNGNQCLWENVRLGVPKFRLMGLIFTQLALVICEKNNQ >Al_scaffold_0001_2296 pep chromosome:v.1.0:1:9592651:9593540:1 gene:Al_scaffold_0001_2296 transcript:Al_scaffold_0001_2296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKW1] MGSQENVKHLEECTVSNALGTWVFSVLGALVAIPVGIKRKSLGPLVFFGTTGTMLDIIIGVSQCEREHAEHQMKLLQDSQNATTTTNTETEDSSSMT >Al_scaffold_0001_2297 pep chromosome:v.1.0:1:9593982:9595742:-1 gene:Al_scaffold_0001_2297 transcript:Al_scaffold_0001_2297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKX5] MTGDVAYSWVMEFNLWAHLAFRLVIFRTIFAPMVVKLERMRNVREECDVQLLVDSEISMDDDDGGRERREETRRVNDRFFLAVSLAIFTGICKRSAGFDGSYLTETTKNGHVAFYCASELNKMADMCFTVVIIRIGLSMLGLTFAPVVMRRLREESDMKLTMGFICLLISLCFSAYLRLFVVR >Al_scaffold_0001_230 pep chromosome:v.1.0:1:903002:903965:1 gene:Al_scaffold_0001_230 transcript:Al_scaffold_0001_230 gene_biotype:protein_coding transcript_biotype:protein_coding description:responsive to abscisic acid 28 [Source:Projected from Arabidopsis thaliana (AT1G03120) TAIR;Acc:AT1G03120] MAQHQHSPQRLRDQENTRPHELYGDVFSVSGDDDVARKQGVSFSQPDPTVATMGSADTVTIGEALEATALSLGDKPVDHKDAAAIQAAETRATGESMARPGGLARERLWDLEINVVDAAEKLPGDKVVTSEDAEAVVGAELRSSSEMKTTPGGVADSMSAGARLNQQL >Al_scaffold_0001_2300 pep chromosome:v.1.0:1:9600759:9601143:1 gene:Al_scaffold_0001_2300 transcript:Al_scaffold_0001_2300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KKX9] TEKNGGVEPDFVEVIEDTHTNKKTGKIQDGYIAGLVETLKKRKTECLTQLSQNDDGSSSSNVLVREKINRMVLEPKPKQRMKKKTRRS >Al_scaffold_0001_2303 pep chromosome:v.1.0:1:9618006:9618413:-1 gene:Al_scaffold_0001_2303 transcript:Al_scaffold_0001_2303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKY4] FFFVFFCFIFFVFLKNFFVMYFFFILIFLSFYNFFLIFFCFLFVFYLFICFFQFIYIFHLYFLFFFSIFLFWVYIFLFFIFYFFLFFSIYIYFSFVFFVFFFNFRFLVYNFFFVF >Al_scaffold_0001_2309 pep chromosome:v.1.0:1:9645054:9646146:-1 gene:Al_scaffold_0001_2309 transcript:Al_scaffold_0001_2309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKZ0] MDPSSANSVNGFYSFLNRSMEDLERVYLSNNFMSVHFLQRALCLLRTSHSHLTLLVQKLQLPVGDKWLDEYMDESSKLWEACLVIKSAVSSVENFSSAGISIASTLDGHHHHRRLSPQLSRQVIRAISGCRREAIGIEEENRALMENRVQRFPFWSEQTSATAMESSTKLQNGFSGFRGVLYATRNMSSLLLMVLMNGLVYCFPGDAATQTQITQTQSQVGGFAGAMGRLQQRVAAEVGRMGIRKGILMHEYRRSKAALEELKAELERRFCGGGGGGGEREEEEERELRERVENLKGYFGNLRNGTESIVAQIDDFFDEIVEGRKKLLDFCSHR >Al_scaffold_0001_2316 pep chromosome:v.1.0:1:9695317:9696830:1 gene:Al_scaffold_0001_2316 transcript:Al_scaffold_0001_2316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL00] MSRRFGYTKQISRPQRNESDGFDYPDGIPISYNLHRLLHFDCEGKYAKYPYGSLVKFYAMVGLHRYNLLEGKNLQLDTLKSFNMRINCGASSYYITLAARVPDSGLQQTFQVLVHEHRLGSLDVRCTIARPRVTTNVPFLRPHSESEYDYMDNDELPDWPLEIAFNDRKRFQLVKESELRDNDWIRLYLELTLVAHDRSLTVVMHYLSQLEIVQVAIEDVEPPNASLNTKTTFVYITYKDLEKARIGEPVDRKAIVRRIINMTTGLLRLRGDYWSGEKAMNTEEESMHYLPGGGKALSNEQRSKKLKRRLGVHRLWRLSNPRWYQAYKKRGLRSSSY >Al_scaffold_0001_2320 pep chromosome:v.1.0:1:9714958:9716909:-1 gene:Al_scaffold_0001_2320 transcript:Al_scaffold_0001_2320 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 104 [Source:Projected from Arabidopsis thaliana (AT1G22130) TAIR;Acc:AT1G22130] MGRVKLEIKRIENTTNRQVTFSKRRNGLIKKAYELSILCDIDIALIMFSPSDRLSLFSGKTRIEDVFTRFINLPKQERESALYFSDQNRRPDIQNKECLLRILQQLKSENDIALQLTNPAAINSDVEELEHEVCRLQQQLQMAEEELRRYEPDPVRFTTMEEYEVYEKQLLDTLTHVVQRRDHLMSNHLSSYEASTMQQSIGGPFVNDGVEGWLPENGPNQTHLFDASAHSNQLRELSSAMYEPLLQGSSSSSNQNNMSECHVTNHNGEMFPEWAQAYSSSALFASMQQQHGGVGPSIEEMMPAQQGDIPAVTAEAQPDHEVSDYETKVPQLSSQ >Al_scaffold_0001_2321 pep chromosome:v.1.0:1:9717841:9718217:-1 gene:Al_scaffold_0001_2321 transcript:Al_scaffold_0001_2321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL05] MGADNGESPKPTQISISKDQFFAWKRQKDAELLAKQAEVARKRQEDIASGRVPMNGRELFLHEPWVFDDTHH >Al_scaffold_0001_2333 pep chromosome:v.1.0:1:9765795:9766283:1 gene:Al_scaffold_0001_2333 transcript:Al_scaffold_0001_2333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLP3] MSKILVGVNAYPKQPFISHKPLIAVLNEAAIEAENDAAIAAAFAEQMAERELLPYNLQDLRHTLRILRRSETRRLDLNEWDSLISDMGLREYKTKGEIQEETEGVYLLDFKQNFQDLNTWEVVGEVTLEHNDWLMIYGGKRVGE >Al_scaffold_0001_2350 pep chromosome:v.1.0:1:9842376:9843168:-1 gene:Al_scaffold_0001_2350 transcript:Al_scaffold_0001_2350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLR0] MVDFMFFWKPCLMNGGGCSRFYLDNAHRIEFIPKNRVFDEWTIIYMSHEKKSVMSKKVAQSKSKTTVSRRMPRENQIPHLGRAHRGAGGDPETLLQ >Al_scaffold_0001_2367 pep chromosome:v.1.0:1:9946446:9948419:-1 gene:Al_scaffold_0001_2367 transcript:Al_scaffold_0001_2367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G22570) TAIR;Acc:AT1G22570] MKIPEEEVTLLEDYVSDSVDHRGLPAGKSSTGGWRSAWFIIGVEVAERFAYFGIACNLITYLTGPLGQSTATAAVNVNTWSGTASMLPILGAFVADAYLGRYRTIIVASLIYILGLGLLTLSASLIIMRLSEQRNNGSAKPSIWVNTLFFCSLYLVAIGQGGHKPCVQVFGADQFDAYDPKEVIARGSFFNWWFLSLSAGISLSIIVVAYVQENVNWAFGFGIPCLFMVMALAIFLLGRKIYRYPKGGLEDVNSSNTFTINTFARIGRVFVVAFKNRKLRLEHSGLGLDQVLLEDGQSEKREGRLNFLAKAMISREEDAEPCSGRDVEDAKALVRLIPIWITSVVSTIPYAQYITFFTKQGVTVDRRILPGVEIPAASLLSFIGVSILISVPIYERVFLPIARMITKKPFGITMLQRIGAGMVLSVFNMMLAALVETKRLNIAREHGLVDKPDATVPMSIWWFVPQYLLLGMIDVFSLVGTQEFFYDQVPTELRSIGLSLSLSAMGLSSFLSGFLITVIDRATGKDGWFNTNLNRAHVDYFYWLLAAFTAIAFFAFLFISKMYVYRRLD >Al_scaffold_0001_2376 pep chromosome:v.1.0:1:9995648:9997370:-1 gene:Al_scaffold_0001_2376 transcript:Al_scaffold_0001_2376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease-associated (PA) RING/U-box zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT1G22670) TAIR;Acc:AT1G22670] MNLVVLLILTLLLFIVSYVVDAGQVILMNSNITRSFVGIEADFSPLVTTVETGVVYVAEPLNACRNLRNKPEQSPNGTSPFVLIIRGGCSFEYKVRNAQRSGFKAAIVYDNVDRKFLFAMGGDSEGIKIQAVFVTKTAGEILKKYAGLAETEVMLISNSEDSVWSLYASIALILLLAIFCVMAACIFFYRYCTTLRNSTSQFNGMCCKMVNAMPSVTFTYAKIDNTTGFSCAICLEDYIVGDKLRVLPCSHKFHVSCVDSWLISWRTFCPVCKRDARTSADEPPATESTPLLSSSIATSSVVCIDPPPLGSSVSFSHASPSYIQQFVRVSSHRSRSSPINGSRISENLRRQASPLQSSSQRSYLSMKSFHSLGYSTMSPLNAMDMSPYRPYPSNASPGLFSSTNHLLSNYTANTFSPFASAHSLPD >Al_scaffold_0001_2381 pep chromosome:v.1.0:1:10014236:10015457:-1 gene:Al_scaffold_0001_2381 transcript:Al_scaffold_0001_2381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLU7] MSLDIPYFSDHAYHSDYDLKEKKIKRTETRERKARVPERANLDLSRRCLSAARGFRRRVCLDRLGTGFFGRLRSSSIEGEDDAEAVAAGERSRLDPSPGAWWECGAALCSAPPIRDPWSSRSQSLPGVGEVMWSTVAEMRLSSSRRSSEPPQVWSSPSMERGIKAHAARLFRQCTVKYPAIDGLVRRMLESCRFCLVSLVGSFGFSVCFWFKTGTTKAGDPKFSDDASLGVDAIGTRSTR >Al_scaffold_0001_2383 pep chromosome:v.1.0:1:10033976:10035248:1 gene:Al_scaffold_0001_2383 transcript:Al_scaffold_0001_2383 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase homolog G3B [Source:Projected from Arabidopsis thaliana (AT1G22740) TAIR;Acc:AT1G22740] MSIRRRTLLKVIILGDSGVGKTSLMNQYVNNKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNYLKSFDSLDNWHAEFLKRASPRDPMAFPFILLGNKVDVDGGNSRVVSEKKAREWCAEKGNIVYFETSAKENYNVDDSFLCITKLALANERDQDIYFQAIPETGSESEQRGGCAC >Al_scaffold_0001_239 pep chromosome:v.1.0:1:941477:942761:-1 gene:Al_scaffold_0001_239 transcript:Al_scaffold_0001_239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCC8] MKLVRFLMKLNNETVSIELKNGTIVHGTITGVDVSMNTHLKAVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRIKPKKPTAGRKTPARGRGRGRGRGRSRVGGR >Al_scaffold_0001_2400 pep chromosome:v.1.0:1:10096005:10096601:-1 gene:Al_scaffold_0001_2400 transcript:Al_scaffold_0001_2400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive (dirigent-like protein) family protein [Source:Projected from Arabidopsis thaliana (AT1G22900) TAIR;Acc:AT1G22900] MATPFLLLLLPMIFSSILLLTITVTQSEPYSKTMPFQGNKPDKLTHLHFYFHDIISVGRAQRLYASTDMKTFGFFSMVFNLAFTEGELNGSTAAMYGRNPILLEERELPIIGGTGAFRFARGYARPKTYKVVNIDAVVEYNVFIWHFTLLFSNKNSVPSD >Al_scaffold_0001_2408 pep chromosome:v.1.0:1:10127693:10128890:-1 gene:Al_scaffold_0001_2408 transcript:Al_scaffold_0001_2408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KML5] MLWTGESPKAESLTETMESYFNALHGFLLCFHGSTLGAGPTLSSIIHGSVKQIVDSSFRLFQGSVSLYEGSYEKGKKPSIPQLSGAVSEACFSFKKMPITNLVAIGNAISQVAVIIKDVLNEMKKVKPACPLSECEASGDDFSPKQIEVAKMVADIASEAMMVIIVIRVITRTMEEEHPKENSGFVDSLEKLLKLCQRTGVLIEELGTCVYHPPLKIDKMIQTVKVLEGNLDEVKAQVEHMKRSSNAFPGVCRKLRDAIKLMEVELDKRKHLNQILISHQKTIYNTLQLFDPTASPTDEKVNWNDVLRCLITSLNKQP >Al_scaffold_0001_2426 pep chromosome:v.1.0:1:10212384:10213908:-1 gene:Al_scaffold_0001_2426 transcript:Al_scaffold_0001_2426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMN7] MDMMMETAKTEELVQSKMRREITSLDDDELWAEFEPEGIDRVLSGEIKPKTLITNYGVLRYRRFPQRGALIAKEMLSVFPNSTYIKWKWGFSNSLKELIGIAKERACTSLVLARTNSDGYDEIRIVSLLNGAAAEFSVLNLIPREDIPDQASPPIRLYPEVNMGLFTSPASVGAARLIQSLFPKVTDSGPRNGACRRNIAFFQNQKGCIHYRHYWNHAEEVSRVGDGTRVMKVTKKECGPQFVLMLTALSKIAVETGSEKRICVVNITAAITF >Al_scaffold_0001_2427 pep chromosome:v.1.0:1:10216798:10217119:1 gene:Al_scaffold_0001_2427 transcript:Al_scaffold_0001_2427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KMN8] MATNIPPHNLGELVNVLCALIHNPEATLQELLEYMPPAPDFPTGGTIMGNLVLVFFFFF >Al_scaffold_0001_2428 pep chromosome:v.1.0:1:10231644:10234337:-1 gene:Al_scaffold_0001_2428 transcript:Al_scaffold_0001_2428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMP1] MVGGASAQKLTTNAYLKAVKDKFQDQRGKYDEFLEVMKNFKSQRTFQRTPRVDTAGFNTFLPKGFEITLQPEDGQPPLKKRVEFEEAISFVNKTKTRFQGDDRVYISFLDILDMYRNDSKSITEVYQEVAILFRDHNDLLVEFTHFLPDTSATASVHSVKTSVLDRGISLPDKKDQSITPHRDHDYGTEHTDLDRERPLKKENKEHMRGNTKENEHRDARDFEPHSKKEQFLNNKQKLHIRGDDSAEISNQNKFSGAVPSSSTYDEKGYSQDLAIVDRVKEKLNASEYQEFLRCLNLFSKEIISRPELQSLVGNLIGVYPDLMDSFIEFLVQCEKTGLLSGILTKNISISSAEKKTKSILNYTAESLWSEGKYPQPSLDNDRDQEQKRDDGFRDRDHDKERLEKAAANLKWAKPISELDLSNCEQCTPSYRLLPKNYPISIASQKTSPSHQEVKIIHLATCARTSMNEESLFKCEDDRFELDMLLESVKLLAKINSNELKTNSPIHVEDHLTGNISWCKTISSMKVETLVAEGNHRLRNDIKICSVSSRIVKKKWK >Al_scaffold_0001_2429 pep chromosome:v.1.0:1:10234838:10235276:1 gene:Al_scaffold_0001_2429 transcript:Al_scaffold_0001_2429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMP2] MCISLPNYREDEEQVYKNNKASSLYPTKQLRKTKLKGFKSFLITVQETPKLRGSGSVVKCHFKYERIDEEVAHPEKLLALFVKAFKDMDEMLLSEV >Al_scaffold_0001_2437 pep chromosome:v.1.0:1:10272309:10274456:1 gene:Al_scaffold_0001_2437 transcript:Al_scaffold_0001_2437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7KMQ1] MDHKLLLTFPKCVYTKCIITIIYVVSISHLNAHFITSCKQTPYPSVCDHHMSNSPLKTLDDQTDGFTFHDLVVSSTMDHAVQLHRLVSTVKQRHSLHKHARSALFDCLELYEDTIDQLNHSRRSYGQYSSPHDRQTALSAAIANQDTCRNGFKDFNLTSSYSKYFPVQIHRNLTKSLSNSLAVTKAAAEAVAEKYPSTGFTKFSKQRSSGGGGGRRRLLMFSDDKFPSWFPLSDRKLLEDSETTVKADLVVAKDGSGHYTSIQQAVNAAAKLPRRNTRLVIYVKAGVYKENVVIKKSIKNVMVIGDGIDSTIVTGNKNVKDGTTTFRSATFAVSGSGFIARGISFENTAGPEKHQAVALRSGSDFSVFYDCSFKGYQDTLYLHSRRQFLRNCNIYGTVDFIFGDATAILQNCNIYARKPMSGQKNTITAQSRKDPNENTGFVVQSSTVATASETYLGRPWKSYSRTVFMKCNLGALVNPAGWLPWNGEFALSTLYYGEYANTGAGASVSGRVKWPGYHVLKTATEAGKFTVENFLDGNYWITAAGVPVNDGL >Al_scaffold_0001_2440 pep chromosome:v.1.0:1:10280085:10281809:-1 gene:Al_scaffold_0001_2440 transcript:Al_scaffold_0001_2440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMQ4] MTPVRLLEEKEESGHNWVFSADQMDVRGGAHEDAEWQISQSPTSVIGHSNGDEGLAQPMLDCELATS >Al_scaffold_0001_2454 pep chromosome:v.1.0:1:10339495:10341683:-1 gene:Al_scaffold_0001_2454 transcript:Al_scaffold_0001_2454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7KNE4] METLNVDHEDTISSEQEHQTHTTSDTDMPPISVKEAVVARGSCDIHIFLPILAVTQILAGHVNTLALAAVSIQNSVIPGFSVGLMLFAYAVNYFATAKFLQAQSKVIAMAVIAVTVLLQHTLLSWFLMLKLGWGMAGGAVVLNVSWWLIDVAQIVYICGGSCGRAWSGLSWKAFKNILRGFARLSLASAVMVCPEVWYLKALILFAGYLKNPQVSVAALSICMNKLGWPIMVAFGFNAAVSVRVSNELGAEHPRRAKFLLIVAMITSVSIGAMISMTLIVVRDKYPEIFSDDEEVRGHVKQLIPKLALTIVINNIQPVLSGVAVGAGWQGIVAYVNVGCYYLCGITTGLVLGYKMELGVKVRDMDRNANRTCVLLLIIYRTNWNREASLAEARIRKWRGQTDIGEEIEEIKCEEDDTIQNGEYNQRLNKGNEHEEHDTIVNAEMNQINKS >Al_scaffold_0001_2467 pep chromosome:v.1.0:1:10404823:10406927:1 gene:Al_scaffold_0001_2467 transcript:Al_scaffold_0001_2467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C15, pyroglutamyl peptidase I-like [Source:Projected from Arabidopsis thaliana (AT1G23440) TAIR;Acc:AT1G23440] MGSEGPKSITIHVTGFKKFLGVSENPTEKIVTNLKSYVEKRGLPSGLCLGSCSVLDTAGEGAKSKLYEVLESSVVSGDKNNNGTVVWLHLGVNSGATKFAIERQAVNEAHFRCPDELGWQPQRLPIVVEDGSISKAKETSCSTESIVQLLKKKGFEVVQSDDAGRFVCNYVYYHSLRFAEQKGHKSLFVHVPLFSKIDEDTQMQFVASLLEAIAATC >Al_scaffold_0001_2476 pep chromosome:v.1.0:1:10431861:10433084:1 gene:Al_scaffold_0001_2476 transcript:Al_scaffold_0001_2476 gene_biotype:protein_coding transcript_biotype:protein_coding description:similar to RCD one 2 [Source:Projected from Arabidopsis thaliana (AT1G23550) TAIR;Acc:AT1G23550] MAAQVEIEDQASVTNLDNGEIFDSLSDDADSSSFSKTDSLILLGEGNPEHDVIKTCLLSGMGVVSSDTTIVTIRKNSSEGITTRAKFLSFRIFTDAVARKHGGDANVKYGWYAGSKPEIQSIISYGFSNRDVGKFENDGCSHGIGIHLVPSKCSLLAASATEPDEEGLRYLLLCRLILGKPELIISGSKQSYPSSAEFDSGVDDLHNPRNYVIWSCNMNSFILPSYIVSFRSPRLRVSRGGFAARPSSPWVSFAALMSMLSKSMDPSRMNLIIRTYDDFRKRKIRRDQLVRKMREVAGDNLLAEIIKNHRDKNKIKN >Al_scaffold_0001_2486 pep chromosome:v.1.0:1:10483469:10485208:1 gene:Al_scaffold_0001_2486 transcript:Al_scaffold_0001_2486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNI4] MVAASYEPYTYSSPPPPVYDSPAPKVDYKSPPPPYVYSSPSPPPSYSPSPKVDYTSPPPPYVYNSPPPPPYYSPSPKVDYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPTPYVYSSPPPPPYYSPSPKVEYKSPRPAYVYSSPPPPTYYSPSPKVEYKSPPPPYVYNSPPPPAYSPSPKVEYKSPPPPYVYNSPPPPAYSPSPKVEYKSPPPPYVYSSPPPPSYSPSPKTEYKSPPPPPSYY >Al_scaffold_0001_2487 pep chromosome:v.1.0:1:10489579:10491599:1 gene:Al_scaffold_0001_2487 transcript:Al_scaffold_0001_2487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:D7KNI5] MSTESYEDAIKRLGELLSKNSDLGNVAAAKIKKLTDELEELDSNKLDAVERIKSGFIHFKTNNYEKNPTLHNALAKSQSPKFLVFACADSRVSPSHILNFQLGEAFIVRNIANMVPPYDKTKHSNVGAALEYPITVLNVENILVIGHSCCGGIKGLMAIEDDTAPTKTEFIENWIQICAPAKNRIKQECKDLSFEDQCTNCEKEAVNVSLGNLLSYPFVRERVVKNKLSIRGAHYDFVKGTFDLWELDFKTTPAFALS >Al_scaffold_0001_2489 pep chromosome:v.1.0:1:10494305:10494847:1 gene:Al_scaffold_0001_2489 transcript:Al_scaffold_0001_2489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT1G23750) TAIR;Acc:AT1G23750] MADTAKAGLKKPAFTKVDQLRPGTSGHNVNVKIVSTKMVLQKGRADGPQARQLRISECIVGDETGVIVFTARNDQVDLMKEGSTVTLRNAKIDMYKGSMRLAVDKWGRVEVTEPASFKVKEDTNMSLIEYELVNVVE >Al_scaffold_0001_2509 pep chromosome:v.1.0:1:10591843:10593898:1 gene:Al_scaffold_0001_2509 transcript:Al_scaffold_0001_2509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNK5] MNELMKTCLFIKFINKLFTSIAEHCADAEVIYKFLEVNEIDKTTVVSGDDLFVHQSISSEKFRSLTVDEAVEFKVEVDNASRLKTVEVSGPEGEDVMVEIIKEDMVVMVLALGVVNQVIWREIVLKVVEDTVVVEVVEGTLI >Al_scaffold_0001_2511 pep chromosome:v.1.0:1:10610542:10610787:-1 gene:Al_scaffold_0001_2511 transcript:Al_scaffold_0001_2511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNK7] MEESRSSHRKERNRMQTKKKTGRGSGSGSIQIKMRKLRVLIPGGRRLNQPDLLLSKTADYIMHLELRIRFLKALSNMYSLS >Al_scaffold_0001_2517 pep chromosome:v.1.0:1:10632934:10634329:1 gene:Al_scaffold_0001_2517 transcript:Al_scaffold_0001_2517 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing, Lsm domain [Source:Projected from Arabidopsis thaliana (AT1G24050) TAIR;Acc:AT1G24050] MDPAGGASVASDIGGEGEKFAVGNIYSVKVITGDEFRGIVMAYDPIPNFVFFEEGTKPRPGHSKNARMVNANFITGLSYLGKTEDPLDTDNFSVDLNGLRAKEALAIRQAEADAERMGVGVTAEAQSIFDALSKTLPVQWENSDILVMKEVRVRSPYLSDCVFGGTDAANNRVKKVLELERRRLQLFGT >Al_scaffold_0001_2522 pep chromosome:v.1.0:1:10649186:10650594:-1 gene:Al_scaffold_0001_2522 transcript:Al_scaffold_0001_2522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KNL7] DFRKDVICFEIPKSKRVEIPKTKRKIGPRTFLKMLKERNVDMTLTLMKIMMLICKKKKSILQAQTEGITNNCNSFRGQPVFRHHSRKKLIKVDPYAYINSVEKAFQGEPEKYEAFLELFTSAVDAKFNILSSLFLYF >Al_scaffold_0001_253 pep chromosome:v.1.0:1:984534:986948:-1 gene:Al_scaffold_0001_253 transcript:Al_scaffold_0001_253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Tu [Source:UniProtKB/TrEMBL;Acc:D7KCE2] MASVALRNSTSKRLVPFSSQIYLRCGGSIPSISHFVGGDDRCSPSYATSWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAVAFDEIDKAPEEKKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDDIPIIRGSALSALQGTNEEIGRKAILKLMEAVDEYIPDPVRVLDKPFLMPIEDVFSIQGRGTVATGRIEQGTIKVGEEVEILGLSTGLPLKSTVTGVEMFKKILDNGQAGDNVGLLLRGLKREDIQRGMVIAKPGSCKTAKKFEAEIYVLTKDEGGRHTAFFSNYRPQFYLRTADVTGKVELPENVKMVMPGDNVTAVFELILPVPLETGQRFALREGGRTVGAGVVSKVMS >Al_scaffold_0001_2538 pep chromosome:v.1.0:1:10726144:10726942:-1 gene:Al_scaffold_0001_2538 transcript:Al_scaffold_0001_2538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paired amphipathic helix (PAH2) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G24200) TAIR;Acc:AT1G24200] MVGDGGAHKPTTNVAFEYLKAVKAKFQDQHEKYDEFLEIMNDFKCRRVDRLDVIIRMKELLKEHKELLLGFNTFLPKGFRITLLPDDHEQPSHKEPDFKDALTFITKVQTRFQGGRAYKSFLDILSIYRNERNLKSLTQVYDEVSILFRDHTDLLAEFNRFLPATSPTTSLHSATDSSS >Al_scaffold_0001_2540 pep chromosome:v.1.0:1:10731470:10732147:1 gene:Al_scaffold_0001_2540 transcript:Al_scaffold_0001_2540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPH7] MSGGEEDVQNLTTRLHKLQTTSEGGGGDTKNTKVDDACRFLFNVKERFKDDTNDVFSSFIDNLLKLKSGSKSMNEVCHETTIQFREHPDLLLEFAPFLAGFSQERPSS >Al_scaffold_0001_2541 pep chromosome:v.1.0:1:10734911:10735572:-1 gene:Al_scaffold_0001_2541 transcript:Al_scaffold_0001_2541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPH8] MVKRWQRRGGRQAAAAAVDGGENIIEHGERERAKLSVEGARGTNIQISRSADIKTRRRDEGRKEKECPKKSSRMDRERIRTTEERSFTREFAVEAGSPKLRLFPKHEKLEVKTDWIRRERSKAAKRRQSPTPASHSYTGVGKRWLLSRLGNIAWEKRNRLLCFKF >Al_scaffold_0001_2542 pep chromosome:v.1.0:1:10738720:10739089:-1 gene:Al_scaffold_0001_2542 transcript:Al_scaffold_0001_2542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPH9] MDGGRLFDPNKPKPTKEDAYAYVRAVRDHFHNDRKKYEDFLAIMKDFKTRKISRNDCIRAVLELLNGDRDLISGFNAFLPFWMEIMEIN >Al_scaffold_0001_2543 pep chromosome:v.1.0:1:10740589:10746625:-1 gene:Al_scaffold_0001_2543 transcript:Al_scaffold_0001_2543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPI0] MVGRSLSPAFTLDDARSFVNDVKEAFGADETAKYREFLDILQGLRANRIDYPTLVATVEELLKDHQDLLLRFNAFFAVEPKDSKGRVCERVKRVLKGHPNLLRGFRVFVPARSTITIASTKSEQRAASDNNKRIRVANFITKLKARFQGNDRHVYESFLEILTMYQEGNKSVNDLYQEVIALLQGHEDLVMEFEDESGQLGFTIEFLGLASSQIQTMIERRVSPEPTLDDAVSFIDSVKEAFHDEPAKFHEFKALLNDVRDHRVDNASVIARMNELIRGYPKLLRGSRVFLPEAEITIPPKAEQRPESDGDQKKCADLENHMNKLETRLDTLVFPTMTEKTYEGRKSIKELHEEVVFLSEDKITIPPKADRTIPSEANKTIPPEANKGLSQFQTMVGKSVRPKKTINDARSYIYSVKEAFHDEPAKYAEFLKLLDAYRARRVDKDSVIARVEELTKDHRDLLLGLSAFLPAATKTIPHKADELTSLPEANRATPPKASRTIPLKFKITIPPKARRTIPSEAEKPTHSDELNFMNKLKTRFQRIDNHVVGSFRSIMKMYEEGKKSVKEVHEEVYDLLYYHEDLIEDFSRIFPDPFASTSLLRSPVIGRRVSLKLTMDADRLNIASTEKAFHERERTTMRETVTYIAHVKEAFLDEPAKFDEFLKLMKDVCDHKIDEANGSAMMAEIIKGHPNLLLRLGVFFPKYSVNKHKGKRTIPPDDEHGGSAESSNNKKNRAANFMENLEARFQGDGGHVVNSVLQIIRMYTIEGNKSKNEAYHEVGFLVVALLQGHVDLIMEFGEYFSDKLSQPKYA >Al_scaffold_0001_2545 pep chromosome:v.1.0:1:10749702:10750155:-1 gene:Al_scaffold_0001_2545 transcript:Al_scaffold_0001_2545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPI2] MVGGGSKHVGEGSKPKANIDDAYAYLRARWSNRDGCITEVEQRLKGHRDLISGSNAFFIKVFGDQKLLYWGMRG >Al_scaffold_0001_2549 pep chromosome:v.1.0:1:10778362:10778721:-1 gene:Al_scaffold_0001_2549 transcript:Al_scaffold_0001_2549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPI7] MGKSWFSAVKKALSPEPKQKKDQDLKLKCSRLEAHLEKVKRKCSDLEAQLLEEKAKVLAAIAPLSSFDNSVFDDFFEFLCK >Al_scaffold_0001_2550 pep chromosome:v.1.0:1:10785742:10786421:-1 gene:Al_scaffold_0001_2550 transcript:Al_scaffold_0001_2550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paired amphipathic helix (PAH2) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G27250) TAIR;Acc:AT1G27250] MVGGGSKHVGEGSKPKAKIDDAYAYLRAVRAKFHNDRKKYDDFVTVMNNFKARRIDRDGCIKEVEQLLKGHRDLISGFNAFLPKCLEIKNYYIGAGEEREKE >Al_scaffold_0001_2551 pep chromosome:v.1.0:1:10787869:10788276:1 gene:Al_scaffold_0001_2551 transcript:Al_scaffold_0001_2551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KPI9] NLPPPTQVANFIKTQTSIDSVKIFDVNPDILRAFAGTGISVVVTVPNGDIPALTNGRQARRWVSANILPFHPQTKIKYISVGNEILLTGDNNMINNLLPAMRNLNNALVRAGIFLF >Al_scaffold_0001_2553 pep chromosome:v.1.0:1:10791601:10797556:-1 gene:Al_scaffold_0001_2553 transcript:Al_scaffold_0001_2553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPJ1] MAGEGSKPKATIDDAYAYLRTVKSTFHNDRDKYEDFIVIMNNFKARNFTRNACIEEVKDLLKGHRDLISGFNAFLPKCLEIADWYNLEGR >Al_scaffold_0001_2554 pep chromosome:v.1.0:1:10810277:10811435:-1 gene:Al_scaffold_0001_2554 transcript:Al_scaffold_0001_2554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPJ3] MALFTEVTDGTVDMSFVWLRFRRVLLFYGCSEEDLSTRILYSSCPLVDIVNKIWCRRSPEIYRRPLERIKQCDNITIFIMRFTRIEVTRAPLHHLENDLSSKPQGVMENVITLSPELINHHLRCYFSKQRRHMTGRASGPRK >Al_scaffold_0001_2559 pep chromosome:v.1.0:1:10834575:10841706:-1 gene:Al_scaffold_0001_2559 transcript:Al_scaffold_0001_2559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPJ7] MMTTGASVSNPRSRVKWDAFLSFQRDTSHNFTDPLYEALVKKELRVWNDDLERGDNDELRPSLVEAIEDSVAFVVVLSPNYANSHLRLEELAKLCHLRSSLELLVFPIFYEVQPWEVRTHNGPFEKDFEEHSKRFGEEKMDESAASVIILSTNYANSSWCLDELALLCDLRSSLKRPMIPIFYGVNPSDVRKQSGHFEEDFNDGEDTAMEESYEFSRKYPWICLHVGTPYLIHLLICKSCSRIEFWIKPTDEDVKAGKNGEKVDELIGLVVKRVLAQVRNTPEKVADYIVGLESCVEDLVKLLNFKSTSGVQILGLYGMGGIGKTTLAKSFYNKIIVNFKHRVFIESVREKSSDQDGLVNLQKTLIKELFGLVPEIEDVSRGLEKIKENVHEKKTIVVLDDVDHIDQVNALVGETKWYGEGSLIVITTRDSEILSKLSVNQQYEVKCLTEPQALKLFSFYSLRKEKPPTQSLLELSKKIAEVTGLLPLAVKVFGSHLYDKDENEWPVELEKLTNTQPDKLHCVLALSFESLDDEEKKIFLDIACLFLKMEITKDELVDILKGCGFNAEAALRVLIQKSLVTIMTDDTLWMHDQIRDMGRQMVLRECSDDPEMRSRLWDRGEIMNVLDYMKGTSSIRGIVFDFKKKFVRDPTADEIVSRNLRNNPGINSVCNYLRNIFIRFPAEEKPKRSEITIPVEPFVPMKKLRLLQINNVELEGNLKLLPSELKWIQWKGCPLENLPPDILARQLGVLDLSESGVRRVQTLRSKKGDENLKVVNLRGCHSLEAIPDLSNHIALEKLVLERCNLLVKVHRSVGNLGKLLQLDLRRCSSLSEFLVDVSGLKCLEKLFLTGCSNLSVLPENIGSMPLLKELLLDGTAISNLPDSIFRLQKLEKLSLMGCRSIQELPSCIGKLTSLEDLYLDDTALRNLPISIGDLKNLQKLHLMRCTSLSKIPDSINKLISLKELFINGSAVEELPLDTGSLLCLKDLSAGDCKFLKQVPSSIGGLNSLLQLQLNGTPIEALPKEIGALHFIRKLELINCKFLKRLPNSIGDMDTLYSLNLVGSNIEELPEDFGKLENLVELRMSNCKMLKRLPKSFGDLKSLHRLYMQETSVAELPDNFGNLSNLMVLKMLKKPLRRSSESEAPGTSEEPRFVELPHSFSNLLSLEELDARSWRISGKMRDDLEKLSSLMILNLGNNYFHSLPSSLVGLSNLKELLLCDCRELKGLPPLPWKLEQLNLENCFSLDSIFDLSKLKILHELNLTNCVKVVDIPGLEHLTALKKLYMSGCNSSCSFPREDFIHNVKKRLSKASLKMLRNLSLPGNRVPDWFSQGPVTFSAQPNRELRGVILAVVVALKHKKEDDEYQLPDVLEVQAQIHKLDHHICTHTLQLSGVPRKSDDQLHICRYSGFHPLVTMLKDGYTIQVIKRNPPIKKGVELKMHGIHLVYEGDDDFPDKEDTITETYLTVSQKLANFFTSFEEGEASSEGAAT >Al_scaffold_0001_2562 pep chromosome:v.1.0:1:10857847:10862341:-1 gene:Al_scaffold_0001_2562 transcript:Al_scaffold_0001_2562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPK0] MESEVVSKPHRLKYDVFLSFRGADTRDNFGGRLYEALMKKVRVFRDNEGMKRGDEIGSSLQASMEDSAASVIVLSPNYANSHWCLDELAMLCDLKSSSLDRRMLPVFYMVDPSHVRKQSGDFDKDFQKLAKTFSEAEIKRWKDAMKLVGNLAGYVCHKDSKEDDIIELVVKRVLAELSNTPEKVGEYIVGLESPMKDLMDLIVAESSSGVQVLGLYGMGGIGKTTLAKAFYNKIVGNFKQRAFISDIRERSSAEDGLVNLQKSLIKELFRLVTEIEDVSRGLEKIKENVHDKKIIVVLDDVDHIDQVNALVGETRWYGQGTLIVITTRDSEILSKLSVNQQYEVKCLTESQALQLFSYHSLRKEKPTDNLMELSTKIVRISGLLPLAVEVFGSLLYDKKEEKEWQTQLDKLKKTQPGNLQDVLALSFESLDDEEKKVFLDIACLFLRMQITKEEVVEVLKGCGFNAEAALSVLRQKSLVKIFANDTLWMHDQIRDMGRKMDLTEIHGDPSIRSRLWDRAEIMTVLNNMKGTSSIQGIVFDFKKKPAWDPSAEDIALRNLQKSPGIKSVYSYLKNKFIPFREEEKPKSSEITIRVEPFVPMIKLRLLQINHVNLEGNLKLLPPELKWIQWKGCPLENLPPDFLAGQLAVLDLSESRIRRVQSLRSKGVGSLISTNGQVDENLKVINLRGCHSLEAIPDLSNHKALEKLVFERCNLLVKVPRSVGNLRKLLQLDLRRCSKLSEFLEDVSELKCLEKLFLSGCSNLSVLPENIGSMPCLKELLLDGTAISNLPDSIFCLQKLEKLSLMGCRSIQELPTCVGKLTSLEELYLDDTALQNLPDSIGNLKNLQKLHFMHCASLSKIPDTINELKSLKELFLNGSAVEELPLNPGSLPDLSDLSAGGCKFLKHVPSSIGGLNYLLQLQLDRTPIETLPEEIGDLHFLHKLELRNCKSLKGLPESIKDMDQLHSLYLEGSNIENLPEDFGKLEKLVLLRMNNCKKLRGLPESFGDLKSLHRLFMQETSVTKLPESFGNLSNLRVLKMLKKPFFRSSESEEPHFVELPNSFSNLSSLEELDARSWAISGKIPDDLEKLTSMKILNLGNNYFHSLPSSLKGLSNLKKLSLYDCRELKCLPPLPWRLEQLILANCFSLESISDLSNLKFLDELNLTNCEKVVDILGLEHLTALKRLYMSGCNSTCSLAVKRRLSKASLKLLWNLSLPGNRIPDWFSRGPLTFSAQPNRELRGVVLAVVVALNQDTGDDYQLPDVLEVQAQILKLGLALYTHTLHLSGVPRTSYDQLHICRYSDLHPMVMTMKDGYTIQVIKREPPIKKGVKLRMHGIHLVYEGDDDFQGEEHLLTEAQRTVSQKLANFFRFSEEGETSSESDSTVA >Al_scaffold_0001_2570 pep chromosome:v.1.0:1:10900531:10904260:-1 gene:Al_scaffold_0001_2570 transcript:Al_scaffold_0001_2570 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrate transporter 1.6 [Source:Projected from Arabidopsis thaliana (AT1G27080) TAIR;Acc:AT1G27080] MEVVENRKILPEQKRGGWRAITFILGNETLEKLGSMGVSANFMVYLRNVFHMEPVEASNVYYLWMGLTNFAPLLGALISDAYIGRFKTIAYASFFSILGLMTVTLTACLPQLHPPPCNNPRPDECDDPNKLQLGILFLGLGFLSIGSGGIRPCSIPFGVDQFDQRTEQGLKGMASFFNWYYLTLTMVLIFSHTVVVYLQTFSWVIGFSIPTGLMACAVVLFFVGMRFYVYVKPEGSVFSGIARVIVAARKKRNLEIPPVDDGTVEYYEPPVKHGVLSKLPLTDQFKVVPVWSAGIISIVAMTTQATFMVFQATKMDRHMGPHFEIPAASIAVISYITIGIWVPIYERLLVPFLWRIRKFRFTLLQRMGIGIVFAILSMFTAGIVEGVRRTRATEMTQMSVFWLALPLILMGLCESFNFIGQIEFFNSQFPEHMRSIANSLFPLSFAAANYLSSLLVTTVHKVSGTKDQPDWLNKDLDRGKLDYFYYLIACLGVINLMYFWYCARSYQYKAGSQIEDFKEEKPFLDIEPNKRHDQ >Al_scaffold_0001_2573 pep chromosome:v.1.0:1:10910651:10914036:-1 gene:Al_scaffold_0001_2573 transcript:Al_scaffold_0001_2573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPL2] MKALNSKRKKKMTDYATLIQKFNFFWFQNQSHKFPSSCFPPSSHSAFYGSSSMINTETTSTTMDEEDVCESYMMREITKKRKLTPVQVRLLEESFEEEKRLEPDRKLCLAEKLGLQPSQVAVCSSTTVTPLKLAMLSSKLTGKFSFYKTKPSRTRYIFRKLTNQFCRDYYVQQIDETFKQVALLKEKLRMQENLEAQSIERNRLGEEDSWVKSDNTQYSEEEDLENQYSFPELAVLGFCYDPTLTASNLSTSMSRKRDKPYTYRHTPARISKRRRPWAPPSFEHDEIIDKPITKPPPPPALVVTGLPANCSVLELKSRFEIYGSISRIRIDKDGFGSVSYRTAESAEAAIAGSHEPSFGISIDSKKLEVVWATDPLVKCREGVTVGEGKDKTLSSSSKLLRPVMPLRKHGRSSRLASAIVNPRSDNTNEISGGGGVLTPATIRELKQRDIVTYDDIL >Al_scaffold_0001_2574 pep chromosome:v.1.0:1:10916447:10919763:1 gene:Al_scaffold_0001_2574 transcript:Al_scaffold_0001_2574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G27040) TAIR;Acc:AT1G27040] MEVEVQGDVSKWEGYADWRNRAAVKGRHGGMLAASFVLAVEILENLAFLANASNLVLYLKNFMHMSLARSSSEVTTFMATAFLLALLGGFLADAFFSTFVIFLVSASIEFLGLILLTIQARRPSLMPPPCKSSAALPCEVVGGSKAAFLFVGLYLVSLGVGGIKGSLPSHGAEQFDESTPKGRKQRSTFFNYYVFCLSCGALVAVTFVVWLEDNKGWEWGFGVSTISIFLSIIVFLLGSRFYKNKIPRGSPLTTILKVLLAASIVGCSSKTSSNVVSDFSVSPLNHFASREDQSEQGKKTPSQSLTNSLRCLNRAIEGKTHYIWLECTVQQVEDVKIVLKMLPIFGCTIMLNCCLAQLSTYSVHQAATMNRKIINFNIPPASLPVFPVVFMLMLAPAYDHLIIPFARKVTKSEMGITHLQRIGVGLVLSILAMAVAALVELKRKQVAREAGLLDSKETLPITFLWIAFQYLFLGSADLFTLAGLLEFFFTEAPSSMRSLATSLSWASLALGYYLSSVMVPIVNSVTESAGQSPWLGEKLNRSSLDLFYWLMCVMSVVNFLHYLFWAKRYKYISTGSRN >Al_scaffold_0001_2581 pep chromosome:v.1.0:1:10951558:10965407:-1 gene:Al_scaffold_0001_2581 transcript:Al_scaffold_0001_2581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPM0] MGNVWKNGDLAVEIVETQIMGRNRHKFKSLKERINNIEVNVFRSLDTVKSEPSKGSTFFKDCLVEWRELNTAADFILFYEEMLPFVQNLELILLQKEFIFSKLVSGLHMKARFSLDAFLSLIAALSRDLLEDFIPFLSRLANSLLSLLKNGGQEDPEIIEQIFESWSYIMRDLQKYLICDIKSILKVTLELRYYPKDYIIELMSPSMSFLLRTAPDKQLEKGDELLVISFCHVYVRDNPVDSSLLNVSGIKRILSEVADPPKRTGGVTLLYYVMRNTDAKLHSKAGRVLRIVNFYPEAALHGSGSTVEVVSSTLERICKDYKVEELSVIWNCLYQEVNKSILNKQSVHLTRLLTVITSAVRIKKGLKVHDYPSLIEIVSQIVSTFMASSEIVVEGDNLSAVLDEVLRLILCTIDKPISVREMESIALKWAPIFFLKNSSAINNMIWGSPEDVIPQLLSLCNIQQTSHDTVNIIVETFESRYKRIHEFLEENIKKIQKSLENTGLAQIDEAELATVRGVVNCYPYFKVDSSLLICLKNTLRQHLAVSVVNTFSGPELMWQSLLGTSLRSCHKLCSPEGINHNDLEEALSLAKYHKSCAEVLSPVADYLDFVHRPLLANDDSSKAYPELQANKAEDAFDIFSENLRHSNKEIRLLTLRILCHFETFSSFEEHPPKKKMKSEETLKSLPKENVLQLLHKVEESPLTVSTDVKLVNLIKKIRDLSAGRIHEAYVQLVFNGMIGLFHNRFSKLPKPAFECLAILLEKHTAAVWTGFVRYFRQCQLTFQTLHNHSENENYSTSEKYTDRMDRFNSFLSPPSDSTPTAEVVSLLLQTLQNVPTVAQSRASDILPLLLEFLGYNSEHPMRVGLFNGGACKGKEWKKLLVQWLTLLKLMKNPRSSRFSQFVNDVLQNRFLDDDDAEIQMNVLECLMLWNDYLLPYHKHLENLIKENELREELTTWNFSKEIEEAHRSHFFSLVIRILMPKVRKLKNSASHKHKRSIRHRKAVLCFISQLDVNELSLFFALLIKSLKIISEEMMDFFGSSVKSSLDCFQRSNFLKYFTGKTISTLSREKKYGFLHVIEHILEEFNVSHVQPFLDFLMGCVVRLLANYAPNLDEEVNIDKETVSTNHDQAGTSLKQFKEFRSLCLKIFALVLDKYKDFDFGSEFWDLFFSAVNPLIKSFKQEGSSSQKPSSLFKCFLSMSKSRNLVTFLCREEFLIPEIFSILTVTTASKDIKSSTLKFIENLLSLDNELDEDDHMIKGFLDPYIEALINSLHSLFLGDILKRKSIKYHGKREIKILKLLSKHIRDGSSVMKYLDVLLSFLDDSVKDSDIHREALQAIHSLLGTESTTSSLGTESTSRIIKIVSPLLVDAESNVRLCICDLLESLAKFDLSLDLVAKCVRDMNSRSPMEVDDLDYEKIIDAYGKIDVDFFNKSSEQHVMIILSQSIYNISSEELTLKDSAPSILCQEVVKSDARWTGDRILWIMNKFILKHIGDAINRGISCGKGGILLIHKMVATLPDAGNLSAFRPLCSEDDEVDFFKNIFSIQAHRRANAIKRFTKVIKDSSLPEGVVRKVLVSVFFNMLLDGKDEKGKNVQDACKEALASISAHMSWTSYYALLNRCFHEMNKHTDKKKLLLQLISLILNNFHFSKDGYTQEAMEIRTRIEKNVFPKIQKQMDSDDDSVNVDSYVAAVKVLKLLPKEIMDPQLDSIVPKICSYLTNGLESTRDKSRKALAACVEELGLEYLQFVVKILRARLTRGYEVHVLGFTVNFILSKCVSNSTGGKLDHCLGDLLAVVKADILEDVDDQKEELKTAFKKKKETVKRKSPETLKLIAENVTFRKSHVLELLSPVTAQLQRPLTPKLKSKLEEMLRYIAAGIEGNPTVDQEDLFCFIYDGINNKIGLGDQVSSPPSKKKRKSRDLQNTAGAKSCPHLITVFALDLLHNRLKKMNLNNNKTEEVLLSMLDPFVKILVGCLSSKYEDVVSLSVRCFTQLSRLQLPSFKSEADKVKTTMLNIIVQSAMSSSSPLVESCLKLLTVLITSGNFTLSSWELKMLLQLPMFVDLESDLFDTSLSFIKAIVVGKLAVPNIHDIAGQVLKLMIKTHSDHTRKNCAELHLEILVHHTLSEKCLQRHVNILLKHLSYVHSNGREAVLDMLQALIKKLPKASPGKTSFLDQQSQNFFLQLVCCLATDDAKEVLPKIGDVIKLLLGRISKDQVYSSLEYCLVWYKPENSPAIAAQVLGLFIEAMKASGSSIKAMKEIFRKHICNVLHEAKIILESTEQLQDTVQEGSIPFWKEAYRSLVMIEKMLQQFPDLTFGKDFEDIWKMVFKLLLHQHEWLRTISCRLLNYYFKALAGSERGESKKLVADSLLGKPSSLFMVAVSLCVQLEDQRSTGNENYGDITENIVFAVSGLHSMIGQSSDHEFWSSLDNDEQVVFLNAFKELDSGKGMSNILAITSGKRIENDVRNVLIGSLLKRMGKIALDMDSLQMRLVFNVYKAFASQLNQEECGLYAFRILLPLYKVCQGYTGKVITDELKQLAEEVRDSIRDKSLGSQMFVQVYSEIKKSMEAKREKRKREEKLMAVINPERNAKRKLKLAAKNKANKKRRITSNKMDRWARS >Al_scaffold_0001_2590 pep chromosome:v.1.0:1:11023474:11023955:-1 gene:Al_scaffold_0001_2590 transcript:Al_scaffold_0001_2590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KPM9] LLKGGAFGGNRGLRPIPPEKGIFPLDHLHQCDTEKKGYLDCLKSSGHKSEQCRHLSKTYLECRMAKNLMAKQDMSELGFSGVTELDSIVEKER >Al_scaffold_0001_2593 pep chromosome:v.1.0:1:11028416:11029120:-1 gene:Al_scaffold_0001_2593 transcript:Al_scaffold_0001_2593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPN2] MSCLNVARIGNVHNLDDKLLRSLSSVIHLYLCLTKSMVASCNAIKFSRLIEFCFFPSCYVDWLEPLMIFLQISPKLKTLTIDTDYGCLPPSWNQPSSSTPECLSSHLEIFGWRGYRGREDEKQLMTYILANSKCLKTVGIFLLATCNLEETQKELQSMPRNSTSSQLLTSSTQMDWSFTGVL >Al_scaffold_0001_2602 pep chromosome:v.1.0:1:11061363:11061807:1 gene:Al_scaffold_0001_2602 transcript:Al_scaffold_0001_2602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPP1] MVLKLISHLIYFAGLQRPLAHAPPNSQAVNVEPNEQREQAAPVIRDIRLLHPLRRNGAKWFKNNTEVSTRVRKIIEGCFKGPWYSWKRVPPFYKKAWFSTFKVCFNYKFNVYNTFIHYFIYV >Al_scaffold_0001_2604 pep chromosome:v.1.0:1:11083369:11084961:1 gene:Al_scaffold_0001_2604 transcript:Al_scaffold_0001_2604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPP3] MAVEALFKKIFSCCFGQDDDDDDDSFLYGVALLFHEEEVVAQHLIHHDDDALQSAEAHDDAFLYGVAQLFQEEEVVAQHLIHHDDDALQSAEAHDDAFLYGVAQLFQEEEVVAQHLIHHDDDALQSAEAHDDAFLYGVAQLFQEEEVVAQHLALVVPQRLHLKPRLNLPSFARKFNPNRVGLAQKVNHTPSQKKENNRKLTAKKIAKFKKDKEESKKKKGGLYQGIVSQQADW >Al_scaffold_0001_2606 pep chromosome:v.1.0:1:11090988:11091951:1 gene:Al_scaffold_0001_2606 transcript:Al_scaffold_0001_2606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPP5] MGDDIIKSMVPRVYFSSRMNYAIHYHLVTSIITPKIIDKDVVIFHAVQARLRESLNREKGSKRKRKKKQVVPADVAVELKVEKEKREAAKKKEHKNKIHIF >Al_scaffold_0001_2607 pep chromosome:v.1.0:1:11095177:11095521:1 gene:Al_scaffold_0001_2607 transcript:Al_scaffold_0001_2607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KPP6] ASSVTTTLRKSVDQDFDFFYFVLQWPGTYCDSRHSCCYMKVFWFDEQYCKGQREWTMLSCPSNAGIKILTHEWEKHGTYAESALEQT >Al_scaffold_0001_2609 pep chromosome:v.1.0:1:11108237:11108515:-1 gene:Al_scaffold_0001_2609 transcript:Al_scaffold_0001_2609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KPP9] SPLVKMLAERIEAVDLENKSMSYSIIGGEMLEYYKTFKGTITCIPKDGGSLLKWSGEFEMTAHEIDDPHVIKDFAVKNFKEIAEYLLKQSSA >Al_scaffold_0001_2610 pep chromosome:v.1.0:1:11124104:11125655:1 gene:Al_scaffold_0001_2610 transcript:Al_scaffold_0001_2610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQL1] MAVEALFKKIFSCCFGQDDDDDDDSFLYGVALLFHEEEVVAQHLIHHDDDALQSAEAHDDAFLYGVAQLFQEEEVVAQHLIHHDDDALQSAEAHDDAFLYGVAQLFQEEEVVAQHLALVVPQRLHLKPRLNLPSFARKFNPNRVGLAQKVNHTPSQKKENNRKLTAKKIAKFKKDKEESKKKKGGLYQGIVSQQADW >Al_scaffold_0001_2624 pep chromosome:v.1.0:1:11179794:11183108:1 gene:Al_scaffold_0001_2624 transcript:Al_scaffold_0001_2624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQM0] MEATCANPSIVTASRTIQPYRSPALSLRFLTYRNKRFFPYSLTVTRCSTTKSRETGQDSTFESSISLKKGLVLDLGVSKDSWDSEEIGSPVVKRFLSDNEERWYMWYHGSSKQNPVSDCIGLAVSNNGIHWERGKGKVESSDDVGLVMSCGEDWWGFDTASVRPGEVVIMSSSKVRANSSVYWMYYTGYTTETVEFQSQGFTSGLGNPERFHLCDENVEKSRVYRSLPGLAISQDGRHWARIEGEHHSGALFDVGSEKDWDFYYIASPHVVFHGDGDLRMYYHSFDDKPGEFCIGMARSRDGIKWLKLGKILGGRISEKDGSGFFDELGGRYPCVTRNKRDESYVMAYEGVDRNGKTSIGLAVSKDGINDWKRVQDEEAVVAVGEGSAWDNEGVGCPYLIEMDGDSDHQWRLYYRGVGNGGRTGIGLAEIAMGGEQFELEEDRDGPLELLQSLRSKATELLLREEWEESIKVYTEFIDLSRRQVSRNGGSDPNPDSIAKLRRSLCLALCNRAEARARLRDFLEAMRDCDQALEIEKTHFKTLLCKGKVLLGLSKYSLALECFKTALLDPQASDNFETVTGYMEKCKKLEFQAKTGAFDLSDWILSGFRGRCPELAEFIGLIEIKKSEFSGRGLFATKNIVGGTSILVTKAVAIERGILGNGESGEKAQLIMWKNFVEEVTESVRKCGRTRRVVSALSTGQDEDNLEIPDIALFRPDEAFETYDDSKQSLDTEKLLSILDVNSLVEDAVSAKVMGKNKEYYGVGLWTLASFINHSCIPNARRLHVGDYVIVHASRDIKAGEEITFAYFDVLSPLEKRKEMAESWGFRCGCSRCKFESVLYVTNQEIRELEMGLERGVDAGNAVYMVEEGMKRWKVKGKDKGLLRASYWGIYDEIYNSERLMKRWGRKIPTVEVVVDSVSDVVGSDERLMKMAVEGMMKKNGGCSNIVEMEKILKLGKGVYGKVVSKKKAMKTLLGLE >Al_scaffold_0001_2632 pep chromosome:v.1.0:1:11207059:11208465:1 gene:Al_scaffold_0001_2632 transcript:Al_scaffold_0001_2632 gene_biotype:protein_coding transcript_biotype:protein_coding description:emp24/gp25L/p24 family/GOLD family protein [Source:Projected from Arabidopsis thaliana (AT1G26690) TAIR;Acc:AT1G26690] MVLQSLNLCTILLFLAIASQVSQSLHFELQSGRTKCISEDIKSNSMTVGKYTVVNPNEAHPSPPSHKISIRVTSSYGNTYHHAEGVESGQFAFTAVEAGDYMACFTAVDHKPEVTLSIDFDWRTGVQSKSWSSVAKKSQVEVMEFDVKRLIETVNSIHEEMFYLREREEEMQNLNRATNSKMAWLGFLSLFVCLGVAGMQFLHLKTFFEKKKVI >Al_scaffold_0001_2641 pep chromosome:v.1.0:1:11233378:11236335:1 gene:Al_scaffold_0001_2641 transcript:Al_scaffold_0001_2641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF863) [Source:Projected from Arabidopsis thaliana (AT1G26620) TAIR;Acc:AT1G26620] MRDAADSSSYSGYEKDFMKQTMLEHEAVFKNQVHELHRLYRVQRNLVDEVKGQSLNEDMNVSDHTSENAGKRKLPGFLLPNSTYGEGSSTQACNGRLQNGDAFEVRDVKVRRRMIDLQLPADEYLDTDETSDTGENTSFPPYNQSTSGRWDASHRSYPSGSCLDVKNSNGLADLNEPLKWQDSEPVALPRDMYSHYGRNNAHVQGQWLEKYRSQNGWMVLQAGHDRSTQRDQLHLPSHSGQVLSNNAFQPQSYLTTDHSKVKFSGERAYRELEVRSKTPHFSYDSYVESSVASNALRLLNDYRPDFVRPWTHWSSSWETPMSSSHQKSYPVQTNPCMNFDTHARPDSSFENRSHVSNGLYQGFSSGSKQSFFNFPSTGFKPNASLGEVANSQSFVSLQGPKKQEYSAGLPWLKPKPPYKSGMSNGFFDLNASTNQSMDGTDAGDDLTCASALKGLRSASYSNNANMGRVEMSNSQSSTKIIGGPIFGKQFVCKQERTPLISHSLWNANQHKEVNHLVKRDLDINLPCDASVSVDQHGAKAYYVDKKEGKKAANFRHYIDLNSCANEDDEDSGLLSSMSVKTKARTWIDLEAPPTLESEEEGDGSQEKTNEKTWGLMQGQDGNSMNELIKVAAEALVAISMSGHQRHPDDAASSSTDAAAKSPLSWFAEIITSCGDELERKVDGSPEATDFEGYREDYSSGEIDYFEAMTLNIQQTKEEDYMPEPLVPENLKFEDTGINKPRRGQARRGRPKRDFQRDILPGLSSLSRHEVTEDIQMFGGLMKTGDYTWSSGLAGRRNSKRKRLVTNTSQAPVCPSMVQPMNESVSVGGLEDSKLTGWGQATRRPRRQRCPPAGNPATVILT >Al_scaffold_0001_2651 pep chromosome:v.1.0:1:11264760:11266146:-1 gene:Al_scaffold_0001_2651 transcript:Al_scaffold_0001_2651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQP6] MGRSKKPQKFAVMKKLISHKALKHYKEEVLNPNKKDLTELPRNVPSVPSGLFFSHNSSLVPPYRVLVDTNFINFSIQNKIDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLPCVHKGTYADDCLVDRVTQHKCFIVATCDRDLKRRIRKIPGVPIMYVTRRKYSIEKLPEATIGGAPRY >Al_scaffold_0001_2660 pep chromosome:v.1.0:1:11311742:11323557:1 gene:Al_scaffold_0001_2660 transcript:Al_scaffold_0001_2660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQQ5] MATPADSRAVKSLNNSSKGKKRYVFKKFSERINDIDIKKKVFRSLDKVKAEPCQGSTFFKDCLVELRELNTASDFILFYEEMLPFVQNLELILLQKEFIFSKLVSGLHMKARLSLDAFLRLIAALSRDILEDFIPFLPRIVNSLVMLLENGGQKDPDDIEQIFLSWSFIMRDLQKFLIRDIEGILRDTLALRYYPQDYISDKMSDTMSSLLTSAQDNQLKKGDGLLVISSFSCLCQDSPVNPSLLNITGIKRILSEVADPLKKAGGVALLYSVMRGSDSTVEAVSSTLQRICEDFKAEELSVMWNCLYKETEESIANKQSAHLSRLLTVLTSAVRAEKGLKVYDSPYLVGLVSQIVSTFMDSSATVVEGDNFSAVLDKVLGLMLCTIDSPSAVSEMESIASQWAPIFSLKSSSSINNMILGSSEDVLPLLISLCEKQQASPDRVTIIGESFESKFERIHEYLEENIKKIQTKIENTGLAQIDEAELAAVWGAVNCFPYFKVDSSLLICFKNTLRQHLAASDVNTSSAPVLMWQSLLGAALGSCLKFCHNGRLIHSDLEEALSLAKCYKSCAQVLSPVADYLDFVYRPLIANDDSCKACPDLQANKAQETFDVFSENLRHSNKDVRLQTIRILCHFETLSPNPSLEENPPKKKQKTEVIPTSSPKRNVLQLLLTVEKSPHTVSTETKLISLITRIQEDLSTCRIHEAYVPVVFNGMIGLFYSRYSKICVAASKCLAVLMKKHTAMVWNDFVCYLGQCQQKFVAIHNHPECGKYSISEKYTDLMEQFFIFLYPPSDSTSTAEVISMLLQTLQKVPTVAQLRVSEILPLLLEFFGYNSENSERVGLFKGGVCKGEEWKQLLIQWMILLKLMKYTGSSRTSLFVNDVLQDRFLDDNDANIQTNVLECLLEWNDDLRPHCLHLLNLIKPDKLSDELTTWNLSKDIEEASRPHLVSLVIRVLMPKVRNLKNSASRKRTSIPHRKAILRFFITQMDVNELSLFFALLIKPLNIIPEEAMDLFSSSGKSSVGCFQKLNFLKYLTVDTISTLSRKQKSGFLSVILQILEVFDEPHVRPFLDFLMGCVVRLLAGTSLKQFKKLRSLCLKIIAYALKKFGDCNLGSEFWDLFFSAVNPLIKSFKQEGSSSERPSSLFKCIFSMSKSCNLVTFLCREEFLISDIFSILTVTTASKDIKSYALKFIENLLRLDNELDEDEHMIKGFLDPYIEALISSLHSLFFGDILKRKSVKYHGNREIMILKLLSKHIRDGSHVTKYLDVLLSFLDKSVKDSDIHREALLAIQDISLNLGTESTSRIVKILSPLLIDAENEVRLCICNLLESLAKVDPSLVHVAKCVRDMNANSPMEVDGLDYEKIIDAYGKIDADFFNKSSEQHVMIILSQSIYNISSEELMLKDSARNLLCSFIEFSASILCLEASAHSDMIKEVTKSDGSWTGDRVLWIINKFILKHIGDTLNRGISIGKGEILLIRKMVATLPDAGNLSAFRPLCSEDDEVDFFKNIFSIQAHRRARAVKRFTKVVKDSSLPEGVLRRLLVSVFFNMLLDGQDEKGKNVQDACKEALASVSGHMSWKSYYALLNRCFHEMKEHTKKRKILLQLSGLILNNFHFLKDGYTHEAAEIRSCVEKILLPKIQMLIDSDSVNVDSYVAAVKVLNLLPKEIRDPQLGSIVPKICSYLKDGLASTRDVARKALAACVEELGLEYLQFVIKDLRAILKRGSEVHVLGYTVNFILSKCLSNPNPTCGKLDHCLGDLLAVVETDILEDVYEQKEELKTAFKKKKETVKRKSPETLKMIAENVTFRSSHVLKLLSPVTAQLQRPLTPKLISKLKEMLKCIAAGIEGNPSVDQRDLFCFIYERVVDGINNRNGLGDEAWKKKSRDLQVKAGAKSCPHLITVFALDLLHNRLKQIDLNNANEGLVSMLDPFVKLLAGCLSSKYEDVVSLSVRCFTPLSKLQLRSFKSEADKVKTALLTIIAQSATSSSSPLVLSCLKLFTVLLESGKFTLSSWELKMLLQLSMFVDLESDSSEDSSVTSLSLIKAILGRKLAVPNVHDIAGQVLGLMIKTHSDHTRKHCKKLHLEILVHYTPSEKCLQRHVNILLKHLSYEKLTGRLAVLDMLEDLINNFSKPYPGKQSFLDQQSQNFFLQLARRLATDDDKKVLSKICHVIKLLIGRISKDQVDYSLEHCLVWYKHENSRAIAAQVLGLFIEAMQEIFRKHICNVLQEAKMILESTVLLQDTVEEGSIPFWKESYHSLVMIEKMLQQFPDLTFGKDFEESGTSQKLVASSLLGKPSSLFRVAVSLCVQLEDQRSTCIKDITENIVFALSGLHSMIGQSDDEFCSSLDDDEQVLFLNAFEELDSGKGRSNFLALTSGKRSENDARNVLIGSLLKRMGKLALDMDSLQMGIVFNVYKAFASQLNQEDCLLYAFRILLPLYKVCQGFTGKVIPDELKQLAEEVRDSIRDKSLGSQMFVQVYSEIKKSLEAKREKRKREDKLMAVINPERNAKRKLKLAAKNKANKKRRIMSNKMDRRARS >Al_scaffold_0001_2665 pep chromosome:v.1.0:1:11334748:11336236:1 gene:Al_scaffold_0001_2665 transcript:Al_scaffold_0001_2665 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein [Source:Projected from Arabidopsis thaliana (AT1G26400) TAIR;Acc:AT1G26400] MKASLSVLCLVLLVSVLEAAVTTKPNSGIFIGCLRNRTSPENPITDAIFTADNTTTFLSSYVSYTRNKRYSSPNYQKLIAIVAAKHVSHVQATVICAKTNGIQLRIRSGGHDYEGLSYTTLGELYTKINEASQTLAFPAGVCPTVGVGGHISGGGFGNLMRKFGITVDHVIDAQLINCNGKLLDRATMGEDLFWAIRGGGGASFGVILSWKINLVEVPKILTVFKVRKTLEQGGTDVLYKWQLVASKFPEDLFIRAWPQIVKGTKLGKRTIAVVFFAQFLGPTDKLMAIMSQSLPELGLRRDDCHEMSWFYTTLFWANYPVGTPKRVLLDRPSSPGEFFKSKSDNIKKPIPKEGLEKIWKTMLKFNFETENKMTMMKELYEVAGPYVSSNPREALLNFRDVDIGSNPSGVNVDEAKIYGYKYFLGNLKRLMDIKAKCDAENFFKNEQSISPARVM >Al_scaffold_0001_2669 pep chromosome:v.1.0:1:11360510:11362372:1 gene:Al_scaffold_0001_2669 transcript:Al_scaffold_0001_2669 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyl esterase 13 [Source:Projected from Arabidopsis thaliana (AT1G26360) TAIR;Acc:AT1G26360] MGNSFTCISHEQEQRPKKSSGGGRSNSGKYKYVRRLSLMPSFRRRTLLPSLSCSGSSSTTSSKKGGIKAKTKKIRERHHHHQDHEKDSHIIQEQTLAATNLLFNQTPRSSNSVVPPSFRRSTSVVYPSAQPSGTSSGPVLAVQTPKKSNAGFVRSSSSRQRSSTDPLIKPNQLVDKELNKVEGSETKRFVLVHGGGFGAWCWYKTITLLEKHGFQVDAVDLTGSGVSSIDTNNITSLAHYSKPLLHFFESLKPTEKVILVGHDFGGACMSYAMEMFPTKIAKAVFISAAMLANGQSTLDLFNQQVGSNDLMQQAQIFLYANGKKNPPTAVDFDRSLLRDFLFNQSPPKDLALASVSIRPIPFAPVSEKVHLSEKNYGSIRRFYIKTMEDYAVPVLLQEAMIKLNPPEQVFQLKGSDHAPFFSRPQSLNRILVEISQIPFKKSS >Al_scaffold_0001_2671 pep chromosome:v.1.0:1:11367531:11373626:-1 gene:Al_scaffold_0001_2671 transcript:Al_scaffold_0001_2671 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding [Source:Projected from Arabidopsis thaliana (AT1G26330) TAIR;Acc:AT1G26330] MASPRVVSEDRKSDDLEIVSVGALYTGSWDKKYWSSSRGKDRFPYPVGYKAVRAHNGNTYYMEIEEGAKGPLFLIRYLDESWTGQTPDIAWGKFQKTGFSHLKIWHGKRFTCKMGGMEFFGFKNPLVQRLLRELVTNSHGMVESSPSSRASQIPVNDERPVMCANPNLLCYLDMPVARKKRSRKPGITYHNSVVKSGHKKPRFQDSLSGGEILNSAPVSISSVNGEVETVGLQVAPPEQLDSSHATNEYSSQPSEKPPQVKVVIPIQETNRLPDICKSKPLSKFSAEVFHKELLLHGLQEKENKPNDDNFLNESPNMTGSSFCAPDTLDFLQDNTISPAPKIDDDTSCMKKEELTLANMVVGEGLIAELNAEDLADSTLNLTSKNSDSDSVDQETAKSMMSLLLPQAIPLLKKASSKKPPRNDMSDNCKTSQLNDASGTAVSLAIRESSGDDENMQVVAPDSDQDFARNVSIAPDSFDESHLVGPGSGHIISSSKEVYPAVLPKMHIAEKHVAIVNDLSVSALETNNQEAYVKKSMSIPHCTSSANMILSQESKELCAAEGNLLQKEHHSENKEPKSTLCSTEGNGFLVNTTEACSIKKEKHKVYSRKRVSTNQHRRNRNSSYESKNSCRNTGEDDPIRSMSPINPPRILELQPTVSTNSVSDRTNPLGDGSGHVTEQYQGPELVNVNNNTFTTVKSNEACVVPQDMRSAHAFGNASISSSSFPASKFEDCQANIGEALGIQVSEPPSTKSQCKENTSEKRTSVQEFPASSNLEINRDVKINNEMGKTVELLGCYFHPMPVSSVLLKSAGNEIYICVLSFATEDRVRTLFMYKMSAKAPSKGFPSIIGHTPAILPIVDDKSGGNRTLEISNLHFTPDGLHLILIGNIKTPYCRKRETDCSCLICTSACFEENAVRIVQVKTGHVSLVTKLQADDSVQCVVVCDPNNLIAAVKSGNLIVWAMNSHWSGSTEESVILANPCISSCIMELKKIPKCPHLVIGHNGIGEFTIWDISKRSLVSRFVSPSNLIFEFIPTSLFAWHPVHSHSTIEDHVDMILAATKLWFSKGINNKTLVPAEVKDTAIWLLVSTDLESDAKCDRVESPARCWRLALLVKNQLILGNQLDPRADVAGTISGHGVAGTLDGLVYMWDLSTGAKLGSLHDFKGQRVSCISTDDSRNICIASEDGQLLVYCHPEK >Al_scaffold_0001_2701 pep chromosome:v.1.0:1:11502825:11504907:1 gene:Al_scaffold_0001_2701 transcript:Al_scaffold_0001_2701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQU1] MSTLSAARADNFYYPPEWTPDQGSLNKFQGQHPLRERAKKIGEGILVIRFEMPYNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFAMKSPCCKHEIVIQTDPQNCEYVITSGAQKKVEEYEAEDAETMELTAEQEKGKLADPFYRLEHQEVDLQKKKAAEPLLVRLQRVSDARHADDYSLNKALRAQLRGHRKRVAEEEAASRKLGLGIRLLPKSEEDIKAASNVKFKTKFDKNRKDKRALIHASSIFPESSYSMSSSSKKRMELEAKRRKISAASASNLLRGGFKASALSTNPSASKSKVSSVSVRKL >Al_scaffold_0001_2706 pep chromosome:v.1.0:1:11533764:11535029:-1 gene:Al_scaffold_0001_2706 transcript:Al_scaffold_0001_2706 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb-like transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT1G25550) TAIR;Acc:AT1G25550] MMMFKSGDMEDHTQKMKRCHEYVEALEVEQKKIQVFQRELPLCLELVTQAIESCRKELSESSEHVGGQSECSERTTSECGGGGGVVFEEFIPIKWTSSSSDETDKDEEAENNGGDKKKSDWLRSVQLWNQSPDPKPKEDHVINKKTNVIEVKRNAGAFQPFQKEKPKAADSQPLKTITPTSTTTSSSTAETVGGKSELEDPKQSHSNRKQRRCWSPELHRRFLHALQQLGGSHVATPKQIRDLMKVDGLTNDEVKSHLQKYRLHTRRPATPVITNAGENPQQRQFMVVEGIWVPSHDTTNNRVYAPVAVQSSPSGERSSRRCKSPTTSSSTHTPHRLPLS >Al_scaffold_0001_2714 pep chromosome:v.1.0:1:11560775:11563318:-1 gene:Al_scaffold_0001_2714 transcript:Al_scaffold_0001_2714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminium activated malate transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G25480) TAIR;Acc:AT1G25480] MADQTREALLSRKGCSDFGFNDSSIIDDRRSKFRCFRFCSDGITASWKALYDIAAKLYEMGRSDRRKVYFSVKMGMALALCSFVIYLKEPLRDASKYAVWAILTVVVVFEYSIGATLVKGFNRAVGTLSAGGLALGIARLSVSAGEFEELIIIISIFIAGFSASYLKLYPAMKSYEYAFRVFLLTYCIVLVSGNNSRDFFSTAYYRFLLILVGAGICLGVNIFILPIWAGEDLHKLVVKNFKSVANSLEGCVNGYLQCVEYERIPSKILTYQASDDPLYSGYRSVVQSTSQEDSLLDFAVWEPPHGPYKTFHHPWANYVKLSGAVRHCAFMVMAMHGCILSEIQAAPEKRQAFRQELQRVGNEGAKVLRLFGEKVDKMEKLSPGNVLNEVQRAAEELQMKIDSNSFLLVNSESWAAMKEKAEAEEAQQNYHEAKDDESKVIQSLSQIWDNNNNHHHQNPHAGNDSQLWISTESMMLRNRENWPSVSFIGGSMINEIESKVYESASSLSLATFASLLIEFVARLQNIVNAFEELSTKADFKEQVSETQKDIEKAGLWTRFSSCFSSRD >Al_scaffold_0001_2723 pep chromosome:v.1.0:1:11610871:11612550:-1 gene:Al_scaffold_0001_2723 transcript:Al_scaffold_0001_2723 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein [Source:Projected from Arabidopsis thaliana (AT3G20380) TAIR;Acc:AT3G20380] MTRSHYRRNTSPVVYLLFCLIVASASASSFIRQFTDGFNTNLQQENGVGPMPNQDKGNYLHNNEEISSRDYKVSASNVVKGLRDHPPSSYSLKMESFNTLLKSTFTEKYESRPFSVGGYNWTLVVYPNGNKKDSGSGYLSLYVAIDNSTLVAAHQEVYADLRFYIFNKNERKYFTIQDTDVWKFNVFKTMWGFSQVLSIDTFKDPKNGYLYDGDHCEFGVDVTIPSLYKISELFTVTENFHNPRFTWSIRGFSMLLKDSYLSDVFSIGGRNWNIQVYPNGDAAVEGKALSMFLNLDANEKFSPYEKIYVRAKLRILNQLQFNNVEKQLDVWYNGPGYSGFSGWGYNDFISLSDLKDSSKGFVVNDVLMAQVEMEAISSTKYFPN >Al_scaffold_0001_2743 pep chromosome:v.1.0:1:11688831:11690965:-1 gene:Al_scaffold_0001_2743 transcript:Al_scaffold_0001_2743 gene_biotype:protein_coding transcript_biotype:protein_coding description:indeterminate(ID)-domain 16 [Source:Projected from Arabidopsis thaliana (AT1G25250) TAIR;Acc:AT1G25250] MIHYEQNNNHQNLPSSSSNDLLLGINGADATQKRKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRDKKDEEVRKRVYVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSVHKQWVCERCSKGYAVQSDYKAHLKTCGSRGHSCDCGRVFSRVECFIEHQDTCNIREPPPTNHRPLQQHTTGLAAPSRTTSTASFGPLLHGLPLLRPPRPSNQHSPAFAYPFNASSTPFESLELQLSIGMARTSAQAKRNEKGETSFTKERANEEVRKAEETRQEVKRQIEMAEKDFEKAKRIREEAKIELEKAQVVREEAIKRINATMMEITCHSCKQLFQLPVMADESTSSLVMSYVSSATTEGECE >Al_scaffold_0001_2747 pep chromosome:v.1.0:1:11726756:11728000:-1 gene:Al_scaffold_0001_2747 transcript:Al_scaffold_0001_2747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAK1] MCDLPPKLVGEKILTKIPITSLRAVRSTCKLWKTLTKELILGEASAARQQFLGFMTMDSKVCSIRFLLRRSKDKENEKDLVDLSIKQVDLLNQVEISKVFHCNGLLLCVVKDHSRLVVWNPYLGQTKWVRPRTDFNILDRYALGYDKINCHHKILRFVEITRVPRYEIYDFSSNSWRVLEVTRDWEIDPWALGGGGGVSVKGNCYFFACENILNLEADENGEVTDREDFLLCFDFTSETFGRRLPLPFHSCIDEAVTLSCVRDEQLAVLYQNEGLSEDRFSTVEFWVTTGIEPNSVSWSKFLTVDMRPFTLSGVRFDKCMAASFFIDEEEKVAVVFDLDGYLPTETARCHTAFISGQDGYFKPLSLGVAPDVGEPCPITGRMLTRYCPPLVCSSAYYPSLVQLKQPRKRKERDV >Al_scaffold_0001_275 pep chromosome:v.1.0:1:1055704:1057458:-1 gene:Al_scaffold_0001_275 transcript:Al_scaffold_0001_275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT1G03590) TAIR;Acc:AT1G03590] MGGCISKNSWSNEEPMHRPCLGMGCCGSKMGKRGFSDRIVSLHNLVSIPNRIIGNGKSRSSCIFTQQGRKGINQDAMIVWEDFMSKDVTFCGVFDGHGPHGHLVARKVRDSLPVKLLSLLNSIKSKQNGSTGTRTSKSDSLEAEKEESTEEHKLNFLWEEAFLKSFNAMDKELRSHPNLECFCSGCTAVTIIKQGSNLFMGNIGDSRAILGSKDSNDSMVAVQLTVDLKPDLPREAERIKQCKGRVFALQDEPEVSRVWLPFDNAPGLAMARAFGDFCLKDYGVISIPEFSHRVLTDRDQFIVLASDGVWDVLSNEEVVEVVASATSRASAARLVVDSAVREWKLKYPTSKMDDCAVVCLFLDGRMDSESSDNEEQCFSSATNAVESDESQGAEPCLQRNVTVRSLSTDQENNSYGNVIAETDNAEKEKTTVGEQNWSGLEGVTRVNSLVQLPRFPGEKP >Al_scaffold_0001_2755 pep chromosome:v.1.0:1:11750748:11751254:1 gene:Al_scaffold_0001_2755 transcript:Al_scaffold_0001_2755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAK5] MAKGIESVSPHERFSRTFDTKHNTKAEEQWPEPKLHSNKIQPQNRQKPHKKIEINYQSRNQHHHPHIRTHSDKHHRPPRQTRSGSSMVERDSDKTAIENESKAKRNLTQEVDRARQLKLRKL >Al_scaffold_0001_2758 pep chromosome:v.1.0:1:11771433:11772646:-1 gene:Al_scaffold_0001_2758 transcript:Al_scaffold_0001_2758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAK7] MTSLFLSNASHSRCLPLSSVAFLLLRPPRATPPEPPSPPNPPEPPDPPDFQICFTFVDSCTQLASSSVIYGSPSSFSQSPGLVRPNLAHSVSMDLDSFESTIVLSCSAFIALMRSFTAVCGFCLDLSSLVLTSLLWLSDCQFRVQAPSIVPTAILSSVTPGSLDVVLCNLALSVNSWDWMGLVQPCVSSSDMYVAFPCAPTAVDRLSLQWATPALMGSPPHLHDVERTRPHGRGRLGYKVPTNPAIITKGGSVLELLGCSMVTILDLLWVGLVFQGPINGKIHHGSFSRPFVSSILVTEALALKAAIMAALALGVSRLACISDCQELVLLANTGGYANEVDGILTDFFRFMFMSSSVHFVPSAENCGADALAKAGLLSCIPSSISGV >Al_scaffold_0001_2760 pep chromosome:v.1.0:1:11780405:11780638:-1 gene:Al_scaffold_0001_2760 transcript:Al_scaffold_0001_2760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KAK9] GGELAEMLKDFPACERLGTCRSCGDARFVPCTNCDGSTKVFEEQDERFKRCPKCNENGLVRCRVLSLSDLFDQKLCG >Al_scaffold_0001_278 pep chromosome:v.1.0:1:1066754:1068528:-1 gene:Al_scaffold_0001_278 transcript:Al_scaffold_0001_278 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELMO/CED-12 family protein [Source:Projected from Arabidopsis thaliana (AT1G03620) TAIR;Acc:AT1G03620] MKRGKGEKKATKSRDGSGQVVPLTEPVVTATGMVGTRSWIGGLFTRSNRRQEKSVEYTLSPLQEERLQRLQDRMVVPFDETRPDHQESLKALWNVAFPNVNLTGLVTEQWKEMGWQGPNPSTDFRGCGFIALENLLFSARTYPVCFRRLLLKQRGDRAKWEYPFAVAGINISFMLIQMLDLQNNPKPKCLPGMNFLKLLEEDEKAFDVLYCIAFAMMDAQWLAMHASYMEFNEVLQATRNQLERELSLDDIHRIQDLPAYNLLFQ >Al_scaffold_0001_2798 pep chromosome:v.1.0:1:11937862:11940983:-1 gene:Al_scaffold_0001_2798 transcript:Al_scaffold_0001_2798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1664) [Source:Projected from Arabidopsis thaliana (AT1G24265) TAIR;Acc:AT1G24265] MALPLGKLTILIGAGLVGSAFAREGGLPDFSNLVSGAFKMVFKQLKQEEPSKSASKPHNDVLVAQVNSLRHEIQLLGSNRPITIVSTPGSGGKKYGLIIIVGVIGYGYVWWKGWKLPDFMFATRRSLSDACDDVGKQIDGFYSSLSGTKQELGSQVDRVGRRLDANTEVIEQTGREVTELQDGTAIIKDDVKSVFAAVETLANKVYRIEGNQDITLRGVGALHAQCRENKRIQESNKALPSTSSVPALEAAPMTPSSRTLSSPPASPDESQSPSTPNGAQQSRGPLQHTQSMSGLKDINESSSSCETSSNGKYSGGNGASGSSSGVFGRFSIPRIVRARTVVNTVPTTN >Al_scaffold_0001_2803 pep chromosome:v.1.0:1:11956189:11957505:1 gene:Al_scaffold_0001_2803 transcript:Al_scaffold_0001_2803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBN8] TTGERERERERERERERENREGEIKYTNRHKDTDIEKERERERERKEADICLP >Al_scaffold_0001_2825 pep chromosome:v.1.0:1:12032074:12035460:-1 gene:Al_scaffold_0001_2825 transcript:Al_scaffold_0001_2825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3506) [Source:Projected from Arabidopsis thaliana (AT1G27510) TAIR;Acc:AT1G27510] MATTHPCLIGQRISVPQFHLLFSPKNSPIPELSTNKRTNFSVSIGLRHSFASITTWNPKKPSLSCLRNCPAVDGADTSSCEDKWDWDRWNRHFSEIEEVETVVSLLKSQLEDAVEKEDFEEAVKLKQAIAEATVDDAVAEIMRQLQTAISEERYHDASRLCNETGSGLVGWWVGYPRDSEEPFGRIVHITPGVGRFIGKSYSPRQLVAEAAGTPLFEIFVVKDTDGGYVMQVVYLQHVKQNLSISENSYLRAQQSSNTSIDDPSILDVRGSELKSDKKEDIQLNAGEPTEEGIKNVIKFLKDKIPGLKLKVMDVIKIPEEEIVGSDAATEELVGEGIEETNSSDDEEEEEENDSIEEISSMDSADYGKHLNTKLVIGGVLHNIEDSSIDDEIDRVSADIMDAERDSFILHVPGRSKIDIDTRKNGVSKEQVTALAAQGISDLMPPEVAKAFWGSEKASLKVSRNVREIVKLAINQAQKGNRLSEYTSFNRIITPESNLDPFDGLYVGAFGPYGTEIVQLKRKYGRWNDAEGSNSSDIEFFEYVEAVKLTGDPNVPAGEVTFRARIGNGSRMTNHGLFPEELGVLASYRGQGRIADFGFKNPKWVEGKLLKLNGKGMGPYVKGADLGFLYIGPEQSFLVVFNRLRLPE >Al_scaffold_0001_2829 pep chromosome:v.1.0:1:12047532:12048050:-1 gene:Al_scaffold_0001_2829 transcript:Al_scaffold_0001_2829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KBR4] RAVYSKELMEGGSVSELHSNADQLFDTTIEELCKNLCELQSSNQSPSRQSFGSYGDESKIDSDLQHLALGEMRDIDILEDEGDDEDEVAKPISNSIKLDLEVLPKDMEKQVGKKNVNKSNVGGGGMRKEKVGTTKLRTGNEEPSSENVELSRFLLNQARNLVSSGDKYPQSS >Al_scaffold_0001_283 pep chromosome:v.1.0:1:1082169:1084227:1 gene:Al_scaffold_0001_283 transcript:Al_scaffold_0001_283 gene_biotype:protein_coding transcript_biotype:protein_coding description:DTW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G03687) TAIR;Acc:AT1G03687] MRYIAGASVLDFSPSLLFHSRYLGAVGRRRLMTSANSRSQPREFDGCDDGFISVEEWRRWGPVSPLPAAVKQIVEDLKVLECKLDSPIEFGGNGGKLQGPFGAYEDKKHRATYEALDDPEKKFRFFSARQIACRLLGSRGYLCQKCWLAMEDCMCSYVKPCGLWKRIRFWLYMHPRVSIAPTSLGFSNRQFLCIDMRFSCWQDFLRQNNTGKLLWQIFGVQSATLCVFGLAEDEEIMWNEFKRAGKNQVRCLYPNHNSELTFSVKDAFGTTASENPGSSMTDEDKTLHFILLDGTWNNSAAMLKRLKDHAKSVWGDEDLPCISLATGASAMHKLRPQPSWDRTCTAAAAIGLLSELSLLPQLSSYELDKQADAVEEALVILLDSLTGRRLRMGRSITRKGRNTISMC >Al_scaffold_0001_2836 pep chromosome:v.1.0:1:12077855:12079923:1 gene:Al_scaffold_0001_2836 transcript:Al_scaffold_0001_2836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBR9] MRVRGTRGEIMARRGSHHSGKIMITFKFRGNGLQCKRCQIKRYSILKFFQSELQKTKDGYENCKGCKRKTQSSGSLSMEAHGLSCQWRKFQCPRSQTPLRHVRAALQLIHERSQIGTTRTNSNIMTTSDLLPRQTTIPRLEKEKATNLNPSTKNYTSHFHGEEEEIEPNTTRRLTQLPNLRFPVSRGHQNQNQAKRRESIIRQNETINQTKLTTVKEVRSLSAVSTKPQLQTNDSLLTRSEGRPPRTTF >Al_scaffold_0001_2837 pep chromosome:v.1.0:1:12082448:12094405:-1 gene:Al_scaffold_0001_2837 transcript:Al_scaffold_0001_2837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBS0] MATPADSLAVKSLNSSKGKKRYAFKNFSERINDIDIKKKVFRSLDKVKAEPCQDSTFFKDCLVELRELNTASDFILFYEEMLPFVQNLELILLQKEFLFSKLVSGLHMKARLSLDAFLRLIAALSRDILEDFIPFLPRIVNSLVILLENGGQKDPDVIEQIFLSWSFIMRDLQKFLIRDIEGILRDTLALRYYPQGYISDKMSDTMSFLLTSARDNQLKKGDGLLVISSFSVLCQDSLVNPSLLNITGIKRILSEVADPLKKAGGVALLYSVMRGSDSTVEVVSLTLQRICEDFKAEELSVMWNCLYKETEESIVNKKSAHLSRLLTVLTSAVRAEKGLKVYDYPYLVGLVSQIVSTFMDSSATVVEGDNFSTALDKVLGLMLCAIDSPSAVSEMESIASQWAPIFSLQSSSSINNMILGSSEDILPLLISLCEKQQASPDRVTIIGESFERIHEFLEENIKKIQTKIEITGLAQIDEAEFAAVWGAVNCFPYFKVDSSLLICFKNTLRQHLAASVINTSSAPVLMWQSLLGAALGSCLKFCPTGKLIHSDLEEALSLAKCYKSCAQVLSPVADYLDFVYRPIIANHDSCKACPDLQANKAQETFDVFSENLRHSNKDVRLQTIRILCHFETLSPNPSLEENPPKKKQKTEMIQKSSPKRNVLQLLLTVEKSPHTVSTETKLLSLITRIQEDLSTCRIHEAYVPVVFNGMIGLFHSRYSKICVAASKCLAVLMKKHTAMVWNDFVCYLGQCQQKFVAIHNHPECGKYSISEKYTDLMERFYIFLYPPSDSTSTAEVISMLLQTLQKSPTVAQSRVSEILPLLLEFLGYNSENPERVGLFKGGACKGEEWKPLLIQWMILLKLMKNTGSSRTSLFVNDVLQNRFLDDNDAKIQTNVLECLLEWNDDLRPHCLHLLNLIKPDKLREELTTWNLSKDIEEARRPHLVSLVIRILMPKVRNLKNSASRKRTSIPHRKAILRFISQLDVNELSLFFALLIKPLNIIPEEAMDLFSSSGKSSVDCFQKLNFLKYLTLDTISTLSRKQKSGFLSVIQQIFEVFHESHVRPFLDFLMGCVVRLLAGTSLKQFKELRSLCLKIIAYVLHKYEDCNLGSEFWDLFFSAVNPLIKSFKQEGSSSERPSSLFKCFFSMSKSCNLVTFLCREEFLIPDIFSILTVTTASKDIKSYALKFIENLLRLDNELAEDEHMIKGFLDPYIEALTNSLHSLFLGDILKRKSVKYHGNREIMILKLLSKHIRDGSHVTKYLDVLLSFLDKSVKDSDIHRKALLAIQDISLNLGTESTSRIVKRLSPLLIDAENEVRLCICNLLESLAKVDPSLVHVANCVRDMNANSPMEVDGLDYEKIIDAYGTIDADFFKKSSEQHVMLILSQSIYNISSEELTLKDSASTVLCLEASAHSDIIKEVTKSDGSWTGDRVLWIINKFILKHIGDTINRRISSGKGEILLIRKMVATLPDAGNLTAFRPLCSEDDEVDFFKNIFSIQAHRRARAVKRFTKVVKDSSLPEGVVRRLLVSVFFNMLLDVQDEKGKNVQDACKEALASVSGHMSWKSYYALLNRCFHEMKEHTKKRKILLQLSGLILNNFHFLKDGYTHEAAEIRSCVEKSLFPKIQMLIDSDSVNVDSYVAAVKVLNLLPKEIRDPQLGSIVPKICSYLKDGLTSTRDVARKALAACVEELGLEYLQFVVKDLRAILKRGSEVHVLGYTVNFILSKCLSNPNPTCGKLDHCLGDLLAVVETDILEDVYEQKEELKTAFKKKKETVKRKSPETLKIIAENITFRSNVLKLLSPVTAQLQRPLTPKLISKLKEMLRCIAAGIEGNPSVDQRDLFCFIYERVVDGINNRNGLGDEAWKRKSRDLQVTAGSKSCPHLITVFALDLLHNRLKQMDLNNNNEGLVSMLDPFVKLLAGCLSSKYEDVVSLSVRCFTPLSKLQLRSFKSEADKVKTALLTIIAQSATSSSNPLLLSCLKLFTVLLESGKFTLSSWELKMLLQLPMLVDLESDSSEESSVTSLSLIKAILRRKLAVPNIHDIAGQVLGLMIKTHSDHTRKNCKNLHVEILVHYTPSEKCLQLHVNILLKHLSYEKLTGRLAVLDMLEDLINNFSKPYPGKQSFLDQQSQNFFLQLARRLATDDAKKVLSKICHVIKLLIGRISKDQVDFSLEHCLVWYKHENSRAIAAQVLGLFIEAKEEIFRKHICNVLQEAKMILESTVLLQDTVEEGSNPFWKEAYHSLVMIEKMLQQFPDLTFGKDFEESGKSQKLVASSLLGKPSSLFRVAVSLCVQLEDQRSTCIKDITENIVFALSGLHSMIGQSDDEFCSSLDDDEQVLFLNAFEELDSGKGRSNFLALTSGKRSENDARNVLIGSLLKRMGKLALDMDSLQMGIVFNVYKAFASQLNQEECRLYAFRILLPLYKVCQGFTGKVITEELKQLAEEARDSIRDKSLGSQMFVQVYSEIKKSLEAKREKRKREEKLMAVINPERNAKRKLKLAAKNKANKKRRIMSNKMDRWARS >Al_scaffold_0001_2840 pep chromosome:v.1.0:1:12104091:12105562:1 gene:Al_scaffold_0001_2840 transcript:Al_scaffold_0001_2840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBS3] MGRSKKPQKFAVMKKLISHKALKDYKEEALNPNKKDLTELPRNVPSVPSGLFFSHNSSLVPPYYRIDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKIAKDPRFERLPCVHKGTYADDCLVDRVTQIMPQLRFCLPLCPVSLRRCSVYACSLIFCLFLSSVIYQHKCFIVATCDRDLKRRIRKIPGVPIMYATRRKYSIEKLPKATIGGGMHCIISSTVIFEHKLQYTDIGNGTYVDSKNFEKPKLVLV >Al_scaffold_0001_2841 pep chromosome:v.1.0:1:12107103:12107862:-1 gene:Al_scaffold_0001_2841 transcript:Al_scaffold_0001_2841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KBS4] MAAMTEKEAMVDPFLVEALQNPRHRLTILWMEIDIQKFFQNPEQLQLEFLPFPTSYMRFVAHRVAQHYVI >Al_scaffold_0001_285 pep chromosome:v.1.0:1:1086577:1087371:1 gene:Al_scaffold_0001_285 transcript:Al_scaffold_0001_285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCH3] MATNPSDADMEKSSEHETTESTRGVYEDEEEKDEEEDSCESDQAWGFDSFDETDYESEQSDDDEEFEWNRYLCHVYNSRGFKVDSEIIPDRPFQGFRPFNFNGSFLPNISGREYMDNMANLALDKYNQLNQTNVVFDHVVRVVVKLSTGVKSYITFMARESQQGDLVEYQAKTDWKVWQRNAHAILCRPAPEMKPIPERYLPKPLPTHS >Al_scaffold_0001_2852 pep chromosome:v.1.0:1:12151721:12151954:-1 gene:Al_scaffold_0001_2852 transcript:Al_scaffold_0001_2852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KBT5] LLLCYNAKTLSFRVGDDMIKTVNSVFFDHNNNNGGDMLEVEELEPCLLTRPRQRVTQPSRIKTLMLNRWRWWFSWSF >Al_scaffold_0001_2861 pep chromosome:v.1.0:1:12189820:12200292:1 gene:Al_scaffold_0001_2861 transcript:Al_scaffold_0001_2861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBU4] MACAEQPIKKRRLYESIPESQPPPPPQPESQSPSTVVSSFPAPVTPSPPSQEEIQTRSRNREEIRRVHDCYKRLKSCIGQRDGGGRSANLEQAYRSLISASRGCTSVKRLVADLVPRYALYCPTAIADAVQAVIDMHNFSLEALKRGQDADGVAFQTAKACIFGLVDLCSAALSKKTSSPGAREICSAVFRNVLTFFVLSFEGKDIFQIVDKSDLKVQDPDEFFSQLMQKLSDGNSLPLIKLSQFRVLALLKVFFNFPKKSIATCFGFFNSSSTEDVATGRYLITHMTEKINDIDAASNEPEVDENSGQTGSNNIEATGKNAEGLNGVQEASNSLTSCLLGMVTRKSSSIGRWAFFQYKNICSLSSFVDISSAILSVEGIFGFVGKDIKLEDCQMESDEDDYGKFSVSHVKPHSSADNDVRSSAGSVYDAGGSRSMDFETVDQRDLSCGRSSVPRGLINHHTPSPSARGPSDLRSNSVDHRNNFVLAGSPVYQAVPHGPSSGQIVWYLDGDPTAFDIFPASGQLWLGYLGPDETEGHLRFQLDRYGPVDRFFFDPVKGFALAEYRSIIDAIRAREYLRVQFPWRIKFMDIGVGARGSLNGVAYGYCTHLYIGSISSQWERDEIVHESRQALYKGPRMVTDLYYEHALLMEFDTPDDAAIVMAHLRFFRGEKSKFHLASINRPLPHEDGGSHPERHLQIPPSSKQDSGSGEYVSPLMSTDNHCISVPPGATFQQNWPASGSTLVNSAQRGVHEIKTTIPQSQELLHVCPYQLQDSLQCLLHRHLKSLHLPTSSQMQGPPAQQVSGPFMPPPVHPVSQTQGPQVQQFDQLYPPPPLGHSLPSVIQPPLQPQSQPPEPPPEMMPPPPQAPPPPLPHSHPPLVPPPPFSPSSSPRIPPMVTQLCGPEASKQNIGHQWQGALSKSGVHYSTIIAQRLESDICKYIIGSSEPVQWPVKLDMTKRTDMKHVKATFANTQPHKLQDFITYLKQRDCAGVIKIPASSPMWARHLFILPHSQETCSLLSVSPSSSECLIETTTMSNRRSNRQDENTRYIAKGHQQQKFVPKPMNPTPTSNSTPFPVSLSSSLRQSDSSGASSRVSASGGSRVRMGDQGQLVSSKSPAQGGGSFVNYLPQDEAVAAGLGPDDGGLDPVESQGVVDLLNRELTRLLKLNPRDFWREVASDASLHDFLDSFLQFRSRWYDFPFHDRLIGIPVLELLIASVRKIMKDKKLLDLPKLLDICAIYGHENAELTKSLTLVSSANSEDHGHRKLHSDLLEVMDFINDGVVSLDAFISAYTPAVLILACPIETSYGSDELLGSLVRLHDSLLPSLHRGFQVLFKDRDRDSLSDISTSLNMLSTRIGSLCWKILDICYLSNDMFNHETLIPAVTKMFPSRVEDPMVRADILIQTFREISGLSEQSLESKNRLLQKIEKNYRIIDRLRSLQNAGWVSMEDEQLQYLSMIMLHSADTFSLKESPLLLTDGRNTEELMDESAVVMQSKISQIKDIFPEYGNGFLAACLEAYNQNPEEVIQRILEGTLHEDLQRLDTSLETMPQPKSAPTLRSKDKGKGKLIESDTSSSAIYTEQPITRPSLPASSASSTTVGRFVRKPKDDTPSYKILDARKESDRERNTALLAQYEYDDEYDDSFDDLGLSVAESGTEESGVFGNRAGSEPSDATKWGSRKNPQFYVKDGKNYSYKVAGAVAVANANEASLVNEAEGDKILGLGRGGNIPLGAVRKLTEYQAQRDEKGQSNVNVNANPSDGRENGRNWRGGRGRGRGMANREQPQEKSNESNNNNSEVNTEAENGGGRGRGRGRGRGGGGRNHNHKDRAMKKHIASVSGF >Al_scaffold_0001_2876 pep chromosome:v.1.0:1:12275687:12276404:-1 gene:Al_scaffold_0001_2876 transcript:Al_scaffold_0001_2876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBV7] MTRVKKKNCCSPFIAGCFSDFMELLTTPEFSIQQVHIRTPRRNFIFNNLQSSHRNRKGSDLESLKKGLSPLKKGGPENYTNDGGDIDISNQEEKDKSANAAKSSRSMQEAKPANAATSDRRCQKMSQPTPLRKPAMPDDKPTKRR >Al_scaffold_0001_288 pep chromosome:v.1.0:1:1092664:1093509:1 gene:Al_scaffold_0001_288 transcript:Al_scaffold_0001_288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCH6] MATNLSDGDMTDGEKSSERETTESTEASSEDNGSIQKKRKIDADSVDLSEDEEEEKEEEEEEEKDEDEDSCENDQLWGFDSFDETDYESEQSDDDVDFEWNRYLCHLYNSRGFKVDSEIVPDRSFHGCRPFDFDKKFMHNISGREYMDNMAKLALSKYNQHNQTDVMFDHVVRAVVKMCSGIKSYVTFMAKESPQGDLIEYQAKTDWKVWQRNAHAILCRPALAMKPIPARYLPNPLPTEERCETIFKSSLV >Al_scaffold_0001_2882 pep chromosome:v.1.0:1:12298014:12313321:-1 gene:Al_scaffold_0001_2882 transcript:Al_scaffold_0001_2882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G28020) TAIR;Acc:AT1G28020] MVSSVPFVYRNMLAKRLYGAYQNVITVSTLNHRITDALHRNAQIIPVLEQWRQQGNQVNPSHVRVIIKKLRDSDQSLQALQVSEWMSKEKICNLIPEDFAARLHLIENVVGLEEAEKFFESIPKNARDDSVYTTLLNWYARSDKTLCKAEATFQKMRELGLLSRPSPYNAMMSLYIALNDREKVEELLREMKDNDVEADSITVNNVLKLYSAVCDVTAMEKFLNKWEGINGIKLEWLTTLDMAKAYLRARSNGKAIKMLRLTEELADRKSLKSAYDHLMKLYGEAGNREEVLRIWNLYKMNIGQRDNKGYRTVIRSLLKVDDIFGAEEIYKVWESLSLEFDIRIPTMLASGYRERGMTEKAEKLINSKTIKDRKMNKPVTPLLEQWGDQMKPSDLKCLIKNLRDSNQFSKALQVSEWMGEKRVCDLYSEDYAARLYLTENVLGLEKAEKYFENIPENMKDYSVYVALLSSYAKSDKNLENMVEEILREMEENNVDPDSITVNYVLKVYAAASKVEAMEMFMRHWAVEMYGNVAGCKREVHNLWEECKNKKEEKVGDGKECLNVVSTLLKMDDDGYRTVISSLLKLDDVQGAEKVYGEWKPKGPKEGNALKVGEMLKSISKKRNVMHLRMLKEFVVSVLICVAVFVGVLCLLLLDYWACPYRYHRYYY >Al_scaffold_0001_2888 pep chromosome:v.1.0:1:12339251:12339418:1 gene:Al_scaffold_0001_2888 transcript:Al_scaffold_0001_2888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KCS5] VEIRCRGQQLSPLLTMQHVRDSIWTPKSPSSHSFTLLRDSSTTDHVMILHYGRTA >Al_scaffold_0001_2899 pep chromosome:v.1.0:1:12398430:12400214:1 gene:Al_scaffold_0001_2899 transcript:Al_scaffold_0001_2899 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulphotransferase 7 [Source:Projected from Arabidopsis thaliana (AT1G28170) TAIR;Acc:AT1G28170] MDETEIPKKLQNEDEETISLISSLPLDVDFDGTKLFKYQGYWYNDKTLQGVLHFQRGFEPKDMDIIIASFPKSGTTWLKALTVDLLERSKQKHSSDDHPLLLDNPHGLVPFLELRLFTETSKPDLTTISSSLRLFSTHMGFQTLREALKNSPCKIVYVGRNMKDVLVSFWYTNCAQLKIEVERSILDSIMFESFCRGVINYGSSWEHVLNYWRASLEDSKYVLFLKYEELKKEPRDQLNILAEFLGCPFTVEEEESGSVEEILDLCSLGNLKNLEINKTGKTLKGPEHKNFFREGEVGDSKNYLTPEMEKIIDMIIEEKFRGSDLKF >Al_scaffold_0001_2915 pep chromosome:v.1.0:1:12485105:12488191:-1 gene:Al_scaffold_0001_2915 transcript:Al_scaffold_0001_2915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCV0] MGIPSTSIVAAALSSHRRRRHRTNVLNQIEDEMDALRLRSSNTADRAELGLWA >Al_scaffold_0001_2919 pep chromosome:v.1.0:1:12512779:12513750:1 gene:Al_scaffold_0001_2919 transcript:Al_scaffold_0001_2919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCV4] MVKVRGLASSSGDGDSPSYPSENQTTIREREKARLMYEIFGPEITDDSDENGSEEEFMETNLLPLVPLESLKWGKRGKRSMRSGTCKRHMLENHVEQHKNNEMDIQVLLVSMDTSVIPNLQNPQVSCKPNVTMKSLCEMYVAPYVEAQVEEIEMFVVNELVTESRVIDPHKDRVQIVKKEDNVGGLMRMYDFNKGHVIIGYMKTPSDNQE >Al_scaffold_0001_2936 pep chromosome:v.1.0:1:12627209:12628070:-1 gene:Al_scaffold_0001_2936 transcript:Al_scaffold_0001_2936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCX5] MYQLEIRYITYSVGRMPPLTNLVSRGNCTSGTRRVEGALLHIQRHGISKFNCTDHEPVIVDEILMDKEVIKDVRIINTLEEAFQRLPKQPIGADLIHYSGMGTPGKIISYGPKTHGSLFQGYHSVIITFLERIGKELVTEAYLAKVEEFAKLKQKQVKSSDIQRQLNTCVISSSHFMCRHVGPALHQF >Al_scaffold_0001_294 pep chromosome:v.1.0:1:1133298:1136501:1 gene:Al_scaffold_0001_294 transcript:Al_scaffold_0001_294 gene_biotype:protein_coding transcript_biotype:protein_coding description:switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) TAIR;Acc:AT1G03750] MSLLHTFKETLKPCGNFPSSSSLRVSSTQEFEPSRKPPKSSLSQQLLRLDDSYFLPSKHESKISKTQVEDFEFNEDDHKRNIKFDEEEEDDEDDEKSIEFGRPGLSRAEFDYSGPYEPLLLSSMGEIPIIQVPASINCRLLEHQREGVKFMYNLYKNNHGGILGDDMGLGKTIQTIAFLAGVYGKDGDAGETSLLESDKGPVLIICPSSIIHNWESEFSRWASFFKVSVYHGSNRDMILEKLKARGVEVLVTSFDTFRIQGPVLSGINWEIVIADEAHRLKNEKSKLYEACLEIKTKKRIGLTGTVMQNKISELFNLFEWVAPGSLGTRDHFREFYDEPLKLGQRATAPERFVQIADKRKQHLGSLLRKYMLRRTKEETIGHLMMGKEDNVVFCQMSQLQRRVYQRMLQLPEIQCLVKKDNPCACGSPLKQSECCRRIVPDGTIWSYLHRDNPDGCDSCPFCLVLPCLLKLQQISNHLELIKPNPKDEPEKQKKDADFVSAVFGTDIDLVGGISASKSFMDLSDVKHCGKMRALEKLMASWISKGDKILLFSYSVRMLDILEKFLIRKGYSFARLDGSTPTNLRQSLVDDFNASPSKQVFLISTKAGGLGLNLVSANRVVIFDPNWNPSHDLQAQDRSFRYGQKRHVVVFRLLSAGSLEELVYTRQVYKQQLSNIAVAGKMETRYFEGVQDCKQFQGELFGISNLFRDLSDKLFTSDIVELHRDSNIDENKRSLLETGVSEDEKEEEVVCSYKPEIEKPILKDLGIVYAHRNEDIINGGETTISTSERLNGDRDSDENLECADRKKKKRKGCSEEEDMSSSNREQKREKYKMLAEFKGMEILEFSRWVLSASLFDRETLLQDFFGKSQVTISDFLI >Al_scaffold_0001_2941 pep chromosome:v.1.0:1:12644132:12644857:-1 gene:Al_scaffold_0001_2941 transcript:Al_scaffold_0001_2941 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase homolog A1I [Source:Projected from Arabidopsis thaliana (AT1G28550) TAIR;Acc:AT1G28550] MGAYRAEDDYDYLFKVVLTGDSGVGKSNLLSRFTRNDFSHDSRATIGVEFATRSIQCDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAISTEEAKAFAERENTFFMETSALEAVNVDNAFTEVLTQIYRVVSKKALEAGDDPTTALPKGQMINVGSRDDISAVKKPGCCSA >Al_scaffold_0001_2942 pep chromosome:v.1.0:1:12646219:12648417:-1 gene:Al_scaffold_0001_2942 transcript:Al_scaffold_0001_2942 gene_biotype:protein_coding transcript_biotype:protein_coding description:snRNA activating complex family protein [Source:Projected from Arabidopsis thaliana (AT1G28560) TAIR;Acc:AT1G28560] MAMKEAFPEDNVSQKDLEQSLDASHHENPSAGRAKRKRTVNNTEVKKRTEKKTELKKRTEKKTGEAYLAKVEQLAKLKQKQEEDKAAVTLHCFSKTCETGKDVAAPPEGFEQMQSLRFIDNNYTQVKPSDIQGQVDPLFPEVILCLEIYNSRKVKTQEFLVLGRQMLTELKDNIHCATDQVMKKAGKYDPSGYFLIEDVFHNDLRNPSAKDYSYPILDWLWNSKDEALKKWECVLTGELHKKQKLVLGEAKSVDLPRYRTADMQSTRFCDIRFRVGANYLYCHQGDCKHTIVIRDMRLSHPEDVQSRAAYPIMFRPKRRLQKCGVCKIKRASKVAVDDKWANENTCYFCDVCFELLHSEEGPLNRDFPVYDYVYD >Al_scaffold_0001_2945 pep chromosome:v.1.0:1:12659902:12666014:-1 gene:Al_scaffold_0001_2945 transcript:Al_scaffold_0001_2945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCY3] MASLDALLTFLYSTLLVTIVSSETPCQNFKSIISFGDSIADTGNLVGLSNRNNLPVTAFPPYGETFFHHPTGRSCDGRIIMDFIAEFVGLPYVPPYFGSKNGNFDKGVNFAVAGATALESSFLMKRGIHPHTNVSLGVQLKSFKKSLPDLCGSPSDCRDKIGNALILMGEIGGNDYNFPFFERKPIKEVKELVPFVIATISSAITELIGMGAKTFLVPGEFPIGCSVVYLTLYQTSNKEEYDPLTGCLKWLNKFGEYHSQQLKTELNRLRKLNPHVNIIYADYYNALLRLFKEPAKFGFMDRPLHACCGIGGQYNFNFTRKCGSVGVESCKDPSKYVGWDGVHMTEGAYKWIADGILKGPYAIPPFGRSCLRSEIKNKESLDKQFTLAQALEIADLRIYEVLCRFSPYKEKESNLGASPMASPDSLLVMKLVSFLLSTLLVTSANSQTQCRNFKSIISFGDSIADTGNLLGLSDPNNLPASAFPPYGETFFHHPTGRYSDGRLIIDFIAEFLGFPLVHPFYGCQNANFEKGVNFAVAGATALDTSFLEEGGIHSDITNVSLSVQLRSFKESLPNLCGSPSDCRDMIENALILMGEIGGNDYNFALFQRKAIEEVEELVPFVVSAISLAIKELVCMGGRTFLVPGNFPLGCSAAYLTLYQTSNKEEYDPLTGCLTWLNVFSEYYNEQLQKELNRLKELYPHVNIIYADYYNALLRLFPEPAKFGFMNRPLPACCGLGGSYNFNFSRRCGSVGVEYCNDPSKYVNWDGIHMTEAAYRWISEGLLKGPYAIPTFNWSCLSSEIMNKKSLDT >Al_scaffold_0001_2947 pep chromosome:v.1.0:1:12680012:12689192:-1 gene:Al_scaffold_0001_2947 transcript:Al_scaffold_0001_2947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCY5] MASSLKKLISSFLLVLYYTTIIVASSESRCRRFKSIISFGDSIADTGNYLHLSDVNHLPQTAFLPYGESFFHLPSGRASDGRLIIDFIAEFLGLPYVMPYFGSQNVSFEQGINFAVYGATALDRAFLVGKGIESDFTNVSLSVQLDIFKQILPNLCASSTRDCKEILGDSLILMGEIGGNDYNYPFFEGKSINEIKELVPLIIKAISSAIVDLIALGGKTFLVPGGFPAGCSAAYLTLFQTVAEKDHDPFTGCIPWLNEFGEHHNKQLKTELERLQKLYPHVNIIYADYHNTLYRFYQEPAKYGFKKRPLAACCGVGGQYNFTIGKECGYEGVSYCQNPSEYVNWDGYHLTEAAYKKMAEGILNGPYAIPSFDWSCLGSGSVDMAYSLKKLISSFLLVLYSTIIVASSESRCRRFKSIISFGDSIADTGNYLHLSDVNHLPQTAFFPYGESFFHPPSGRASDGRLIIDFIAEFLGLPYVPPYFGSQNVSFEQGINFAVYGATALDRAYFVAKGIECDFTNVSLSVQLDIFKQILPNLCASSSRDCREMLGDSLILMGEIGGNDFFYPSFEGKSIDETKLQDLIIKAISSAIVGAKHFWYPEAEEDYDPLTGCIPRLNELGERDNEQLKTELKRLQKLYPDVNIIYADYHNSLYRFYQEPAKYVSFLLILYSTTIVVASSESRCRRYKSIISFGDSIADTGNYLRLSNVKNLPQAAFLPYGESFFHPPSGRYSDGRLVIDFIAEFLGLPYVPPYFGSQNVSFNQGINLAVYGATALDRAFLVKQGIKSDFTNISLSVQLNTFKQILPNLCASSTRDCREMLGDSLILMGEIGGNDYNYPFFEGKSINEIKELVPLIIKAISSAIMNLIDLGGKTFLVPGNFPIGCSAAYLTLFQTAIVEHDPFTGCIPWLNKFGEHHNEQLKIELKQLQKLYPHVNIIYADYYNSLYRFFQEPAKYGFKNRPLAACCGVGGQYNFTIGKECGENGVSYCQNPSEYVNWDGYHLTEATYQKMAQDLLNGPYTTPAFDWSCLGSYDSVDKDDNFGDSSADTGNYLHLSDVNHLPQSAFLPYGETFFHPPSGRYSDGRLIIDFIAEFLGLPYVPYYFGSQNVSFDQGINFAVYGATALDRAFLVEKGIEFDFTNVSLSVQINNFKQILPNLCTSSSRDCREMLGDSLILMGEIGVNDYNYPFFEGKSINEIKELVPLVIKAISSAIVDLIDLGGKTFLVPGNFPLGCYPAYLTLFQTTAEEDYDPSTGCLRWLNEFVEHHNEELKTELKRLQELYDHVNIIYADYYNSLFLLYQEPVKYGFRNRPLAACCGIGGQYNFTISEECGHREVSYCQNPSEYVNWDGYHLTEATHQKMAQVLLNGPYATPAFDWSCFSFGSVDKEYSVSS >Al_scaffold_0001_2953 pep chromosome:v.1.0:1:12710522:12710960:1 gene:Al_scaffold_0001_2953 transcript:Al_scaffold_0001_2953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCY8] MSCKGDIVVGVEEVLFLLPSNLFNELFLDRTCPFPQSNRGRSYYDRKPRMWYEMNHYSSLVRPSGDTGAARVKPRGTGVFLPERPVSSSEEKRPTKKPCPVTSSHSRQVFLPKEWAY >Al_scaffold_0001_2958 pep chromosome:v.1.0:1:12740011:12747096:-1 gene:Al_scaffold_0001_2958 transcript:Al_scaffold_0001_2958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCZ3] MASLTLFLSFLSLALVSAVNCRVLSSVSEYNNSVLVSDGIQDRASNEFLSLDPPNRISKSACVHVYGFLPCADNIGGYVFQVFSFCCLLIIGEYFLSKGRSKLFVIFEVGFFGGIIFPLLTMFPRIALILSTGLIGSSDIANSMVGNNVAVTVGYSVFALTMQWGACVVFGLSGVREDHSLTSDTTSPRRQVKMSNLLKNLAGASVKADPKNKKAAGIMLLTLLPFVLVTFSETFHSKSWDDNMVLITLIFSGSATVLYFVYLYFDKADQVKSLEHARFELMSEVHKHLQNFSPQSLIRNGQLSRESLKSLFKKFDVNKDGKIQVSELKDLTVDFGVLGRVKCDINELATSLLADFDSNRDGEIDETEFTIGIEKWLKQYKFGFDSTESPREDRAEDDGVLKVEQLRGCLFTKLLTKRTLKAVIEVTIGITIVSFLAMPFMMNIELLSVSAGIPSFYVVFAVIPLARNLKNALSAHFCRKKDKARITSDTFSEIYRDVTLNNLLGTTIILAIVYIRGLTWDYSTEVLIIVIVGLIIVLISGVNCRVLSSLSEKNSILISDGVQDGSSYEFLSLDPRNGSITKNQCIHVYGFLPCADNVGGYVFQVFSFGCLLIIGEYFLTKGRSNLFLIFEVGFYGGIIFPLLTMFPRIALILSTGLVGSREMASSRVGNIIGVTVGYSVFALTMQWGACILFGLSGSKPEQPIESGENSDVNCPRTQVYWKNLLNYVFGFLFVKNSQYFDRADQEKSLDLARFELMSEFHKHLQIFSPKSLIRDGQLNEESLKRLSDRIDVNKDGKIQVSELKDLTVEFGMLGRVKCHIDKLVTTLVADFDRDGDGEINEAEFKIGIKKWLNQYKFSFDSTVPPSEDQAEENPILKIEKPKESLVTKLLSWKTLRAALEVTLGILIVLYLARPFMMNIQLLSESAGVPSFYVVFVAIPLARNLKSTLSAHFCRKKDKARITSDAFSEIYKDVTMNNLMGVSIILAIVYARELIWDYTTEVLIIVIVVLLIGVPAYVRSTYPFWICVLAFALYFISLVVVYFHFMSLDKGNTFTFNE >Al_scaffold_0001_2959 pep chromosome:v.1.0:1:12747886:12749985:-1 gene:Al_scaffold_0001_2959 transcript:Al_scaffold_0001_2959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCZ4] MAQLVLFPTSLFLASILSLNCRVLDPKLSENNPILMITDGFNNQLQFLSLEPPKINVTLTREACVHLYGFLPCAENVIGYAFQVFSFGSLLIVGDYFLSQGRAELLDIFEVGLYGVTGLSTRPEVAQSMIVDFVGATVGSSVFALTIQWGACIIFGTTGVFDTGCDQLVQKEENPTKPRPGLLTRLFDLRPSSDYFSICRNKCGNRFKNQERRTDHASHINSFSHCATFRAIRFAILETHYCSTDADSFYFRNSFALDSFDTSGQKNSLDKARFELMSEVKKKLQRYSLERILQDGQLTRESLKNLFDKFDNDNDGKMEISELNEFTLEFGKLGKLKCDMNALAKTVLKEFDKDNDGMVNEDEFAKGITKWLKERKAGLVTCAAAAVAPSLKVEEQKKSVGYTLIATIKVIAGILIVVFLAKPFMMNISLLSASAGIPSFYVAFAVIPLARNLKNALSLHFCAKREKQEAASLTFSQVHITIVSILAIVYAKGLTCNCSTEVLIVVFLGLIVGLPASITST >Al_scaffold_0001_2967 pep chromosome:v.1.0:1:12791946:12792489:1 gene:Al_scaffold_0001_2967 transcript:Al_scaffold_0001_2967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G29100) TAIR;Acc:AT1G29100] MEVPMDCPGCENKVKKALEKIKGVHDVQIDSKQQKVTVTGSAEQKKVLKVARNVTKRDICLWSYPYNPESNGYNDRYFKKKFRKRINMSVNGEKVSSYNYHKHGYHGHEHGYYQERPYSGLIDQSASSIFSEENPHFCSIM >Al_scaffold_0001_2984 pep chromosome:v.1.0:1:12880252:12882595:-1 gene:Al_scaffold_0001_2984 transcript:Al_scaffold_0001_2984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDR8] MYEKYFTAKGDLPRNLFHAKEHHYLHDHNKTKKQRCQNRRKYVEAIETSISSDDLEDHGGAEEEEEVRKEKEDLNSELPEILPGLRTFLCREEQRRSESSVPRPYLSETWNFYGDNWRRREEKDSMVIDLRLAKLCGETNMKENLKLWPFWMPKYFLEKDKKSSPLPGLEPGSLG >Al_scaffold_0001_2985 pep chromosome:v.1.0:1:12895942:12896639:1 gene:Al_scaffold_0001_2985 transcript:Al_scaffold_0001_2985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDR9] MVIKEVLLYITMLKLEIEALQREYEDLKIIKKEPLHQFQVVKVEKIGEMFQVKIKSPKGENNIVNILEAFEEMGLSVAQARVSCLDSFAMEAIASPQWKDKLCSVDDFTQTLLKAVVKSSAPM >Al_scaffold_0001_2990 pep chromosome:v.1.0:1:12934205:12934922:1 gene:Al_scaffold_0001_2990 transcript:Al_scaffold_0001_2990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDS5] MNFRRVMASGVHLWKRACTTAAALPSAGIKTSNTAPTAAAGVKVDDALKRAKVAYAKNQKRMMFEELLNMDKSGVKETIDQYKSEELTYVSVTKSDLHQWAKRFDKQGKYEHALAIFEWMDGKKMSFTGNQFADYVALIAETKGMEAARRYFKKVDPNFNRMDSNCKNWPAFQKLLRFQHERPGKKGLMYLNYVGKVRPISGL >Al_scaffold_0001_2996 pep chromosome:v.1.0:1:12963692:12964500:1 gene:Al_scaffold_0001_2996 transcript:Al_scaffold_0001_2996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G29380) TAIR;Acc:AT1G29380] MTPHIAPRCFSTIILLWLLYTSPLDVIAQGQGGQGDIPVVNPTAPGGSTTTPTITQPSPPSLTFPGPTTPTTTPTGGYPPLDSTTPTGGYPPLYGTTPPGGGDVGGGGGGYGGGAPGGGGGGDTGAGGGGGGYGGGGGDTGAGGGGSGQWCIAKANASPTSLQVALDYACGYGGADCGQIQQGAACYEPNTIRDHASFAFNSYYQKHPGSDSCNFGGAAQLTSTDPSKTF >Al_scaffold_0001_2997 pep chromosome:v.1.0:1:12964953:12968985:-1 gene:Al_scaffold_0001_2997 transcript:Al_scaffold_0001_2997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDT2] MASLCLSSSRIVSLHHQKPFVSLKLRPRPTDISGLDRCTSAVCFNPLRLSGDRQRTSTVSARVEKRRKSVVCYAAPISVDSLQWISTISCLALMLARGTAIHKSVVVPLFALHAPSSIITWIKGEYGVWAAFLALIARLFFTFPGELELPFIALLLVIVAPYQVMNIRGKQEGAIISIAISCFLAFQHFSRAGSLEKAYEKGSVLATVAIIGVTVKPFLSLKLRPRSSDLSGLGHSTSAVCFNPLRLSADRQPTATVSTRVEKRRKRGSSVVCYATPMLSVQNLQWISTISCVALMFARGTGIHKSFVVPLFALQAPLGIISWMKGEYGIWAAFLALLTRLFFAFPGELELPFIALLLVIVAPYQVMSIRGKQEGAILSLAISCFLAFQHFSRAGSLQKAFDQSSVLATVAIVGVTVVSWSLFWVSLSGAYLVWSAAEVAELGSPVGSATPKGGPEPVMPVVGVVIGGGYVDGGELKSGGLI >Al_scaffold_0001_2998 pep chromosome:v.1.0:1:12969979:12973290:-1 gene:Al_scaffold_0001_2998 transcript:Al_scaffold_0001_2998 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2-like protein 5 [Source:Projected from Arabidopsis thaliana (AT1G29400) TAIR;Acc:AT1G29400] MDIPHEAEAGAWGILPGFGRHHHPSSDATLFSSSLPVFPRGKLQLSDNRDGFSMIDDMAVSRPNKFNESADDFESHSIGNLLPDEEDLLTGMMDDLDLGELPDTDDYDLFGSGGGMELDTDFRDNLSMSGPPRLSLSSLGGNAIPQFNIPNGAGTVAGEHPYGEHPSRTLFVRNINSNVEDSELTALFEQYGDIRTLYTTCKHRGFVMISYYDIRSARMAMRSLQNKPLRRRKLDIHFSIPKDNPSEKDMNQGTLVVFNLDPSISNDDLHGIFGVHGEIKEIRETPHKRHHKFVEFYDVRGAEAALKALNRCEIAGKRIKVEPSRPGGARRSLMLQLNQELENDDLHYLPMIGSPMANSPPMQGNWMLNSPVEGSPLQSVLSRSPVYGLSPTRNGHLSGLASALNSQGPSSKLAPIGRGQSGSNGFQQSSHLFQEPKLDKKYTGNISPSGPLISNGGGIETLSGSEFLWGSPNSRSEPSSSSVWSTSSTGNPLFSARVDRSVPFPHQHQNQSRSHHHFHVGSAPSGVPLEKHFGFVPESSKDTLFMNTVGLQGMSGMGLNGGSFSSKMANNGIINPGSMAENGFSSYRMMSSPRFSPMFLSSGLNPGRFASGFDGLYENGRPRRVENNSNQVESRKQFQLDLDKILNGEDSRTTLMIKNIPNKYTSKMLLAAIDEKNQGTYNFLYLPIDFKNKCNVGYAFINMLTPELIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDMRCRPIIFDAPNNPDSVEQVVVEETKNVDLLDSELSDDGREKS >Al_scaffold_0001_2999 pep chromosome:v.1.0:1:12980852:12983929:-1 gene:Al_scaffold_0001_2999 transcript:Al_scaffold_0001_2999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDT4] MGLSSLASRTIRPVSRLLHHQNTSFFLRTIVSKPELQSPETAAVSQPEPTPILPPRNPVGGARVHFSNPDEAIEVFVDGFPVKIPKGFTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPIAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGILGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRVDSRGPEVMRILPRLNEDINEEWISDKTRFSYDGLKRQRLSDPMIRDADGRFKAVSWRDALAVVGDIIHQVKPNEIVGIAGQLSDAESMMVLKDFVNRMGSDNVWCEGTAVGVDADLRYSYLMNTSIAGLENADLFLLIGTQPRVEAAMVNARICKTVRASNAKVAYIGPPSDFNYDCKHLGTDPDTLKEIAEGSHPFCSALKNAKNPAIIVGAGLFNRTDKDAILSAVESIAQVNNVIRPDWNGLNFHLLYAAQAAALDLGLIQQSPKALESAKFVYLMGSDDVDVDKIPKDAFVVYQGHHGDKAVYRANVILPATAFTEKEGTYENTEGYTQQTVPAVPTVGDARDDWKIVRALSEVSGVKLPYNSIEGVRSRIKTVAPNLVHTDEREPAAFGPTLKPESKETIDTMPFKPVVENFYMTNSITRASKIMAQCSALLLKK >Al_scaffold_0001_3008 pep chromosome:v.1.0:1:13005322:13006759:1 gene:Al_scaffold_0001_3008 transcript:Al_scaffold_0001_3008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDU4] MMNTKELLKMAKKWQQRAALKRKIISFQRPYTTARSSISIENGSYVIYMVDKERFTCPIRWPRNGNKEQPSAWKRISLQTSSATTSSSTTVEKRCFVVYTADKARFVFPKSYLSNSVFQEVLKISEEEFGLSSDGAITLTFDSVFLEYLIKLIQRRMEGDTEKALLMSISSARCSKPYSFQQQEQQLLVI >Al_scaffold_0001_3009 pep chromosome:v.1.0:1:13010739:13012211:-1 gene:Al_scaffold_0001_3009 transcript:Al_scaffold_0001_3009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDU5] MMRLGLQNGGGSESYPERPIVYILLKNGVCGYGSRPTKLELVKSSLLDIAETESMISFVRKLSLMYMPMMELGVSSNMFDIKKNALKKLSKQHSAYRIKLLSSYKEMVAVVVEMVNASRSLRCYTKLGTESLVQFSCSKEDSSDAGDCGGIPVFNFWNVSTFGKTQKQKFGTCHIDMITKKMAEEFVEMFIREVMIKGLLIMELISLSTEVPQPINNSWSDELYHGEFITSHQMFFLFHGSS >Al_scaffold_0001_3014 pep chromosome:v.1.0:1:13021631:13022181:1 gene:Al_scaffold_0001_3014 transcript:Al_scaffold_0001_3014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDV0] MASHKLLLREKNVKPTCCQISKFMATKENPPEAQSNTNQTIMRRCKNLLIRITRSCPRRHYRHLKLQKASSSSSSSSSGKQGTKVLASFFLSFQKKKQKKEKMKRLNELRSFSDAVSERKASNPESRKKVFPSSWLCQGKAHSQEVSQGHDPPRDSTSAFLP >Al_scaffold_0001_3020 pep chromosome:v.1.0:1:13049871:13050341:1 gene:Al_scaffold_0001_3020 transcript:Al_scaffold_0001_3020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDV7] MVGSSETETKEHGEAEERSSRESATTVVSGKETEPRPTYVYLLMMPENHNVDVQQNLQEQRKRNIEKARIEARLKLDQMRRTVVFDEYDRASKMMRELGFTYLTGSFLGRQEMEKWIFRSR >Al_scaffold_0001_3027 pep chromosome:v.1.0:1:13091987:13092913:1 gene:Al_scaffold_0001_3027 transcript:Al_scaffold_0001_3027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDW4] MMEDGNKLDRKKIMTMNWEGLGDFEDEDDDRFFETHDRLSSALAFDMSAASSSDEDEDFDDCRLSFSSAVSSLTTASRKLRTLAMSPDYNIWMAAPGSISERRRRLLHGMGLVSNKDMMC >Al_scaffold_0001_3030 pep chromosome:v.1.0:1:13099238:13099549:-1 gene:Al_scaffold_0001_3030 transcript:Al_scaffold_0001_3030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDW7] MIKVSLLCTNSSPSLRPTMSEAVQMLEGEIEVTQVFSDPDLYGHNWSISKRRDIETRGISSTSDVTDQTTTTMRSSFSGYDLYPLYPESMILNSTVEFSSTSL >Al_scaffold_0001_3031 pep chromosome:v.1.0:1:13099556:13104412:-1 gene:Al_scaffold_0001_3031 transcript:Al_scaffold_0001_3031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KDW8] MAQGVSIVPNSERNNTIRCDCSFYNNNTCHITSENRELKTLSLPGKLPPELADLRYLRTDLCRNYLSGTIPMEWASMPHLTSGIEANQFSGPIPDEIGNLTNLTGHIGSNQFTGSLPSSLARLVKLEDRVSDNNFNGTIPGYIGNWSGLKKYLYASGLKGPFPDAVARLENLVEILRNVSLYGSIPSYIWTMQELRISGLLPCAGSINCTRHRFLHINCGGDKIVITNSSYETTYQPDNYKFNAATNQRFGNWGISNTGAFTDYLSEEEQFIFSTSLPLSGNAPDIYKTARRSALSLAYYAFCLENGPYNVKLHFMGIQFSDQELYSRLGRRIFDVYVQGELFLRDFNIKELANGTLKPLVKEIKSVNVSDHTLEIRLYWAGKGTTLIPKRGNYGPLISAISLCHLRAQGLQTVWFTWRRLQAATNNFAQSNKLGEGGFGSVFKYMKPISFVVGLHPSPGKSPLKLDWEARQKICLEIARGLEFLHEGSMIKMVHRDIKTTNVLLEVDFNAKISDFGLARLHEEEHTHISTKVAGTGYMAPEYALWGQLTEKADVYSFGVVAMEIVSGKSNTKQQGSADHVSLINWALTLQQKGDIMEIIDPILEGDFNSKEV >Al_scaffold_0001_3032 pep chromosome:v.1.0:1:13107040:13109885:-1 gene:Al_scaffold_0001_3032 transcript:Al_scaffold_0001_3032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDW9] MAPTLQHFFPKVMTLSGSAQGYCSDTLGVKYLNLSEDPCLTKTLVISQGAPNEGQNSTIRCDCHFENNTTCHITRFVLKTFSLPGRLPPNLNKLQYLESIGDIMEIVDPILEGDFNSDEAVRMIKVALVCTNSSPVDDPPPPSSYTLPLSEDDPPPPSSSYTLPLSVDDPSSSSSSYIYSFFLIFLYASLIFLIAIISNSRSILLAIFLNFVCSVKASG >Al_scaffold_0001_3041 pep chromosome:v.1.0:1:13137723:13139734:-1 gene:Al_scaffold_0001_3041 transcript:Al_scaffold_0001_3041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator/pterin dehydratase [Source:Projected from Arabidopsis thaliana (AT1G29810) TAIR;Acc:AT1G29810] MSRLLLPKLFSISRTQVPAASLLNNLYGRHKRFVHWTSKMSQDSVISSTAGGSASGARTFCSLEDLLAKKCVPCNSKDLRPMTEQSAQDLLQKVTGWDLANDNDTLKLHRSWRVKSFTKGLDFFKRVADIAESEGHHPDLHLFGWNNVKIEIWTHAIGGLTENDFILAAKINELQVEDLLRKKKVAK >Al_scaffold_0001_3061 pep chromosome:v.1.0:1:13220850:13221353:1 gene:Al_scaffold_0001_3061 transcript:Al_scaffold_0001_3061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEP2] MSKKLCLRMDLDWLPHDVVELNLERAPVASLLRFKAVSTQWKSTIESRLFQERQFNHRQQSGDPDVLMVSVRVYEDGFGEPDTEGEGLSGIECLRTLVLSSSSSLQIPTSKSSFDGLVCLYFPDRSGYVVNPITRSHRVLPLSNYQQILIDTSGDILIMPTTPWNLA >Al_scaffold_0001_3062 pep chromosome:v.1.0:1:13223055:13224735:-1 gene:Al_scaffold_0001_3062 transcript:Al_scaffold_0001_3062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF642 [Source:Projected from Arabidopsis thaliana (AT1G29980) TAIR;Acc:AT1G29980] MGRLVINGDFETSPSHGFPDDGVTDGPSDIPSWKSNGTVELINSGQKQGGMILIVPQGRHAVRLGNDAEISQDLTVEKGFVYSVTFSAARTCAQLESINVSVASVNADADDMVASRNVDLQTLYSVQGWDPYAWAFEAEDDHVRLVFKNPGMEDDPTCGPIIDDIAIKKLFTPDKPKDNAVINGDFEEGPWMFRNTSLGVLLPTNLDEETSSLPGWTVESNRAVRFVDSDHFSVPGGKRAVELLSGKEGIISQMVETKADKPYTLTFSLGHAGDKCKEPLAIMAFAGDQAQNFHYMAQANSSFEKAGLNFTAKADRTRVAFYSVYYNTRTDDMSSLCGPVIDDVRVWFSGSKRIGAGFGFGFSVFVLLAIVLV >Al_scaffold_0001_3069 pep chromosome:v.1.0:1:13275532:13277120:1 gene:Al_scaffold_0001_3069 transcript:Al_scaffold_0001_3069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEP9] MGLLTGDYKTVNKKKALGKIKVETTDKKKRQVLRARVLTGFRTAWASAYNELKPSNKTGTPETRRKKQKESTPVDHRSQSDQTLDLFPTAIPLFRRTNPPQASQKVSHSDDRVDGNGSRPSNPSRLELSSLFLRQRAPKSQSLHASIRTVGTPDAADLKDAPPYPRQLPPWTQLPVRRSSLRSIDTTPSVLNQEITASMLLLRHSRATTTSTGKHHTSVDGEAQRLTPGPPSRGLRRR >Al_scaffold_0001_3072 pep chromosome:v.1.0:1:13284019:13284354:1 gene:Al_scaffold_0001_3072 transcript:Al_scaffold_0001_3072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEQ2] MCFSRETFILDLSYWNATAHAHANATVRRERAKSADHNLGSNQFASLISSDEEEDLSDSDNESDSMDLMTPSGKRILRERPVKPSTKAKEMHGQNTCRGRGIGRGKRGGRG >Al_scaffold_0001_3073 pep chromosome:v.1.0:1:13287143:13289094:-1 gene:Al_scaffold_0001_3073 transcript:Al_scaffold_0001_3073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G30080) TAIR;Acc:AT1G30080] MSKMFSRIAMTNSIVLLLFSLTFLEHGLLFQRVSSLGINYGQVGDNLPPPDKVLQLLSSLHINKTRIYDTNPRVLTSFANSNIELFVTVENEMLPSLVDPQQALQWVNTRIKPYFPATKIGGIAVGNELYTDDDSSLIGYLVPAMMSIHGALVQTGLDKYIQVSTPNSLSVLQESYPPSAGCFRPEVAGVMTQLLGFLRNTKSPFWINAYPYFAYKDSPTKIPLDYVLFNPNPGMVDPYTKYHYDNMLYAQVDAVIFAMARLGFKDIEVGVSETGWPSKGDGDEVGATVANAAVYNKNLLRRQLQNEGTPLRPNLSFDVYLFALFNEDLKPGPTSERNYGLYQPDETMAYNVGYKEGKATIDVLDMRLFVGHSHAN >Al_scaffold_0001_3079 pep chromosome:v.1.0:1:13347979:13348668:-1 gene:Al_scaffold_0001_3079 transcript:Al_scaffold_0001_3079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEQ9] MQNSNAIMQSLTRSRPLELNRSEAQNPQLTKANQPTGDPPTREETANPDPPDLATASTPCTNTDTKPPPETTTRQFDAPRAGKTHRKPPQERNQNGTTTEPHHGRRERRQEKLTEAKEKSPLRRRKGMPERQNGKTI >Al_scaffold_0001_3081 pep chromosome:v.1.0:1:13355010:13355604:1 gene:Al_scaffold_0001_3081 transcript:Al_scaffold_0001_3081 gene_biotype:protein_coding transcript_biotype:protein_coding description:jasmonate-zim-domain protein 8 [Source:Projected from Arabidopsis thaliana (AT1G30135) TAIR;Acc:AT1G30135] MQKTCDLELRLFPTSYDCDSSDTTSVVESTSSGNLQPKEESQRMTIFYNGKMCFSSDVTHLQAKSIISIANREMEKKSSSNGSDPPNKSTPFHHNQLPNPKASMKKSLQSFLQKRKSRIQATSPYHQHPRR >Al_scaffold_0001_3082 pep chromosome:v.1.0:1:13362340:13363754:1 gene:Al_scaffold_0001_3082 transcript:Al_scaffold_0001_3082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KER2] MEVDLIDPPTYASKIESRKGEASIDETEGRDGLETEEPPRETENVIVMEKGIVSSRSVACVSSSSLPELASTNVAVIEKESSIRSSSSRYGGRSNQPTYSMEGDLKNEIWIMYKESTSFGSIK >Al_scaffold_0001_3083 pep chromosome:v.1.0:1:13366113:13366557:-1 gene:Al_scaffold_0001_3083 transcript:Al_scaffold_0001_3083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD15] MVAWRSAVSMAIDQGREERRLCMFSVEDIGGYKVYQEWYVVEVFHRQYCLLIQGMHEFKQLGSSDEIRDSKIIQERRGLRLLQNTRSKGKFTIGVDDI >Al_scaffold_0001_3091 pep chromosome:v.1.0:1:13410719:13410889:1 gene:Al_scaffold_0001_3091 transcript:Al_scaffold_0001_3091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KES2] MTKGGGGGSGGGGGSGGGGGSGDSGFSGDAGGSGDGGGNDSGGGGHWWWLVVRAKL >Al_scaffold_0001_3105 pep chromosome:v.1.0:1:13515184:13516659:-1 gene:Al_scaffold_0001_3105 transcript:Al_scaffold_0001_3105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G30350) TAIR;Acc:AT1G30350] MASLVLTVSLLLATFASSLVETAHSNNVTIPRVSLNVIDACWRRNPNWATNRQALAHCAVGYGKAAVGGKHGPIYVVTNPSDNPTSPSPGTLRFAVTQPKPLWITFARDMVIVLKSELMVNSYKTIDGRGAKVEIANGPCLRIKQVSHVIIHGISIHDCKPGSKGWDGDGIRVFQSTHVWIDHCFFSRCQDGLIDVILSSTAITISNNYFTQHDKVILLGHDDNYMGDKIMRVTIAFNTFGPGLIERMPRVRRGYAHVANNRYEKWQMYAIGGSANPIIFSEGNYFVAPDKASNKQVTKRMGAGPDSKRWKWGTAKDVFMNGAFFVPSGGIVRPLYKAGEGFQVAHGSLVPSLTSSAGPLRCYAGRIC >Al_scaffold_0001_3116 pep chromosome:v.1.0:1:13595594:13596728:-1 gene:Al_scaffold_0001_3116 transcript:Al_scaffold_0001_3116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEU6] MIPFTSDSCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKKFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKQRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0001_3118 pep chromosome:v.1.0:1:13616796:13617378:-1 gene:Al_scaffold_0001_3118 transcript:Al_scaffold_0001_3118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEU7] ALSLRTCVEEIVFNFIYPRIDLEVSKKMNHLLKAPFCVHPNTGRVCVPIDPNNCDEFDPLLEVPTLSQIIEEINSAGLNMDVDDD >Al_scaffold_0001_3119 pep chromosome:v.1.0:1:13617575:13618104:-1 gene:Al_scaffold_0001_3119 transcript:Al_scaffold_0001_3119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEU8] MNKDQQSLNTSVFIKGNENSAKNVDLMGHSHQPFLARSCVDFLKNFFEDELHATQSIFSSKEKYEKILGMITDEDIQSELRGEWENSARSSPS >Al_scaffold_0001_312 pep chromosome:v.1.0:1:1221150:1222233:-1 gene:Al_scaffold_0001_312 transcript:Al_scaffold_0001_312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KD92] STLTNEDIKAIWLRKQEGVIKRDRMLKYFRSHRERRNPHMLLESLYTKDMGMRSCRLEHWGKSKSAKSINSFLIPSEMLVPTKTLVMDKISPFSFPRRSFSRLEQSLLEDESWFQSSNGFQPYMSVTGSAREKMRSLSTPRQRVGLMDSLFDNYKKDGDKATKTRGPALLSGLLLSVKIVRSQR >Al_scaffold_0001_3122 pep chromosome:v.1.0:1:13636654:13637937:-1 gene:Al_scaffold_0001_3122 transcript:Al_scaffold_0001_3122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFJ8] MERQVPLPRGVRFSVKDIDLIDDFLRPHVNEEIIQDNVIESKDIYEKEPGELEHRFNQTLLMRDRPYRAVLDNRNGGRWKYRETKKIIRRDRILGHKETVDFVTNQGVTTGWRQHEYRLASDDFQTKVLVHLYYDVAFDKTRQLPIPHEQQRQPRRARQEGIVAPAICENVVAERVEMDARPWYMKCFCCLI >Al_scaffold_0001_3125 pep chromosome:v.1.0:1:13678278:13679208:1 gene:Al_scaffold_0001_3125 transcript:Al_scaffold_0001_3125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G30473) TAIR;Acc:AT1G30473] MANPNQSVRTCILKVDLKCCTGCQKKASMKLRRISGVDEVEYNSEKRLMTVTGDVEPMALVRKLTKYRIKTELFSVKYQLDDDDLNSDDEDGTSSDSTSSYYDPKPMEREFQEKMMQQKKKTGLLTSFLGGCCSSKSNVVQPLPMRNRNWHVPSKFENGPPVFGLPFGNSTTSSQLRPPHPMMPYPPTMQPMMQQQPPPMMMQQQPPPMMQQQAQVPMTGVSMPPNVNMFQPAPQPYFMSKLKMNPKLHYTEEKKK >Al_scaffold_0001_3139 pep chromosome:v.1.0:1:13742232:13745789:-1 gene:Al_scaffold_0001_3139 transcript:Al_scaffold_0001_3139 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase I specific transcription initiation factor RRN3 protein [Source:Projected from Arabidopsis thaliana (AT1G30590) TAIR;Acc:AT1G30590] MGAEEVPSVPFSSNAMDNADYTDTELVHAVRKALASVQNGDTDDYSQLKTVMCHKDYSDFDAVANYDTVLRILSVSVACIDVVHHEDLLRTIFGMSLWFQKPNVMDALVGLIISLAVISGKYLDPCLNMLISNFIQPNFKHEVSQTQLVKKKQEVLPRVHVALHKISYLIPLAPSKLVPILAQNMPSIYEKGPSVVTYVDNLLKLENSSIGKVVGSVILMMVMERMRDLDLEIGCDDILQDDSNRGMFDMELEDAVESTMNEGDEFPVGDLKQNTSGGNVVSELLDKLMVLFFDHLESCQNSGRLDEVFEILFQSFENYILNTYRSKFSQFLMFYACSLDPEYCGVRFASKLLDIYLSRNKPRLTRMSAVAYLASYLSRGKFLPASFVASMLKRLVDDCAEYCKTCNDDVKPEAHQVFYSGCQAILYVLCFQMRSILDIPRFQLQLTSLESILSHKLNPLMVCLPSVVSEFLKQAKAGGLFIVSEAFIFDDLHESELSRAFGGFERLDTFFPFDPCLLKMSSSYISPNFNFWLMEKTTYEEDDDDELCDEVIVNGDADSEEDCDDDVELDSEMNKMFVTPKHSFMQETERLLKMPSRIRPSTSPPESFLI >Al_scaffold_0001_3142 pep chromosome:v.1.0:1:13761842:13762310:-1 gene:Al_scaffold_0001_3142 transcript:Al_scaffold_0001_3142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBZ5] MSTEHNENIEEPMLQPENAEDVQAEKRRKTPAPAASASVNPQRPHSQNSTPAPP >Al_scaffold_0001_3147 pep chromosome:v.1.0:1:13809653:13811854:1 gene:Al_scaffold_0001_3147 transcript:Al_scaffold_0001_3147 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT1G30660) TAIR;Acc:AT1G30660] MSKNGPFHQSSNVGHEDEAGKRAVLSKLVTLLRKLAEQGIDVQNCPPGQRSGLICPTCEGGDSGEKNLTLYIYPDGSSAKWSCRRKCGLKGVVQVDGKLVSKDPMEKVERKITVEGIKLQPLCDEIQDFFAARAISVKTLERNRVMQKRIGDEIMLAFAYWQRGELVSCKYRSLTKKFSQERNTRKILYGLDDIEKASEIIIVEGEIDKLAMEEAGFLNCVSVADGAPVTVSSKEIPSESKVSRIVLATDGDVPGQALAEELARRLGKERCWLVKWPKKSEDEHFKDANEVLMSKGPQLLKEAILNAEPYPLKS >Al_scaffold_0001_315 pep chromosome:v.1.0:1:1231312:1232984:1 gene:Al_scaffold_0001_315 transcript:Al_scaffold_0001_315 gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity kinase 1 [Source:Projected from Arabidopsis thaliana (AT1G03930) TAIR;Acc:AT1G03930] LSHRHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLEALGYVLMYFLKGSLPWQGLKAGTKKQKYDRISEKKVATPIEVLCKNQPSEFVSYFRYCRSLRFDDKPDYSYLKRLFCDLFIREGYQFDYVFDWTVLKYPQIGSTSGSSSRTRNHTTAKPGLSAGPSLEKPERIAGKETRENRFSGAVEAFSRRHPTTSTPRDRSASRNSDDGPLSKHPPGDSERPRSSSRYGSSSRRAIASSSRPSSAGGPSDSRSSSRLVTSTGGVGTVSNHASTSQRIQPGNESKTSSFSRAARNTREDPLRRSLELLSLRK >Al_scaffold_0001_3150 pep chromosome:v.1.0:1:13821090:13822919:1 gene:Al_scaffold_0001_3150 transcript:Al_scaffold_0001_3150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein [Source:Projected from Arabidopsis thaliana (AT1G30690) TAIR;Acc:AT1G30690] MTAEVKVEEKQVESEVVIAPAVVPEETTVKSVVEEEEVKAVEEDESKPKGVEKSASFKEESDFFADLKESEKKALSDLKSKLEEAIVENTLLKTKKKESSPVKEKKEEVVKPEAEVEKKKEEEAEEKVEEEKKYEAVVTEEAAKDETVEVVAAEEVIPKEEVTTVVEKLEEEDNKEEEEKKTEDVVTEEVKAETIEVEDEDESVDKDIELWGVPLLPSKGAESTDVILLKFLRARDFKVNEAFEMLKKTLKWRKQHKIDSILGEDFGEDLASAAYMNGVDRESHPVCYNVHSEEVYQTTFGSEKNREKFLRWRFQLMEKGIQKLNLKPGGVTSLLQIHDLKNAPGMSRTDLWVGIKNVIMTLQDNYPEFVSRNIFINVPFWFYAINAVLSPFLTQRTKSKFVVARPAKVKETLLKYIPADELPVQYGGFKTDDDTEFSNETVSEVVVKPGSSETIEIPAPETEGTLVWDIAVLGWEVNYKEEFVPTEEGAYTVIVQKVKKMGANEGPIRNSFKNSQAGKIVLTVDNVSGKKKRVLYRYRTKTESSS >Al_scaffold_0001_3158 pep chromosome:v.1.0:1:13845872:13846467:1 gene:Al_scaffold_0001_3158 transcript:Al_scaffold_0001_3158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFN9] MQNPIGKEIPTPDTKRRGTTKEPVETPKAKELNPRDQSTGRQLTERRNEQKPSKEKARHIPPETESQNPHEEPKVDLKHKNENLKRKQSKAPRCSSIPLDYSDLKRKTWIRPLRANTLLFIRRYLRLAERRLKGQLHKEDKARTRTYGPLTAPARSTGSRRGQNGDDVFGRLGQPRESFFFF >Al_scaffold_0001_3159 pep chromosome:v.1.0:1:13850555:13850776:-1 gene:Al_scaffold_0001_3159 transcript:Al_scaffold_0001_3159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFP0] MVTPWSECWCSELTALWRWKLSVLTESGMARVVTKPCEERTKLLDCCRSLVVVKELCWGLRESPEVGLRVGSR >Al_scaffold_0001_3160 pep chromosome:v.1.0:1:13854269:13859353:1 gene:Al_scaffold_0001_3160 transcript:Al_scaffold_0001_3160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFP1] KYLDLFYICVKINQFLTYFVLLSFKSFSPFGYFFCFFQLIIDCLLLSFLIYFFLFFFFFNFFFFFFFFFFFFFFF >Al_scaffold_0001_3167 pep chromosome:v.1.0:1:13899810:13900524:-1 gene:Al_scaffold_0001_3167 transcript:Al_scaffold_0001_3167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFP8] MLWIRYDLVGDHFKVFDLVTSPDQFLGFLVHEVITLGRGGRGETPNQVTTAPYYPVTNNGFCINGFLYYAAWARRMRMDPRLGMLVAWESDSVLIEYKGKLASIVRDPLSFSRFDLWILEDVKKHDWSKQTFELPFPLVNRMTSPGTNKAGEIIFAPMFLPYDVEQPFFVFYYNVERKDIRRVRLQGIADNKEFRHRYGLIVAAHMSVSISPEHIESIASL >Al_scaffold_0001_3172 pep chromosome:v.1.0:1:13928816:13932064:-1 gene:Al_scaffold_0001_3172 transcript:Al_scaffold_0001_3172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFQ4] MKGHQLKSWIFELREIVREIKNSHYFLDSWTQFNSVGSFIHIFFHQERFRKLLDPQIFSILLLRNSQGSTSNRYFTIKGVVLFVVAALLYHINNRNMVESKNLYLKGLLPIPMNSIGPRNDTSEESFGSCNINRLIVSLLYLTKGKNISESCFRDPKESTWVLPITQKCIMPESNWSSRWWRNWIGKKRDFCCKISNETVAGIDISFKEKDIKYLEFLFVYYIDDPIRKGHDWELFDRLSPSKRRNIINLNSGQLFEILVKDWICYLMFAFREKIPNEVEGFFKQQGAGSTIQSNDIEHVSHLFSRNKWAISLQNCAQFHMWQFHQDLFVSWGKNLHESEFFRKLSRENWIWLDNVWLVNKDRFFSKVRNVSSNIQYDSTRSSFVQVTDSSQLNGSSDQFIDPFDSISNEDSEYHYHTLINQREIQQLKERSTLLDPSFIQTEGREIESDRFPKYLSGYSSMPRLFTECEKRMNNHLLPEESEEFLGNPTRAIRSFFSDRWSELYLGSNPTERSTRDQKLLKKEHDVSFVLSKRSENKEIVNIFKIITYLQNTVSIHPISSDLGCDMVPKDELDMDSSNKISFLNKNPFFDLFHLFHERKRGGYTLRHGSEERFQEMADLFTLSITEPDLVYHKGFAFSIDSYGLDQRQFLKEVFNFRDESKKKSLLVLPPIFYEENESFYRRIRKNWVRISCGNYLEDPKRVVFASNNIMEARPDRNFEYGIQRDIIGNDTLNHRTIIKDTINQHLSNLKKSQKKWFDPLTFLSQTERSINRDPNAYRYKWSNGSKNFQEHLEHFVSERKSRFQAVFDQLCINKYLIDWSVTCSAFDTFNRFMNVQH >Al_scaffold_0001_3175 pep chromosome:v.1.0:1:13934385:13934534:1 gene:Al_scaffold_0001_3175 transcript:Al_scaffold_0001_3175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFQ7] MIGHTIAIHNGREHLPVYIIDLMVGHKLGEFSPTINFRGHAKNDNRSRR >Al_scaffold_0001_3178 pep chromosome:v.1.0:1:13949422:13950484:-1 gene:Al_scaffold_0001_3178 transcript:Al_scaffold_0001_3178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFR1] MVELSMLEGKAHRTIKPSVFACTTIPQHYIGRRKIIEGHNDVEANHTRLTPLSTLSSHQLRRSVARAMGDKRTRKPSLYLDLIFEKEEEAKSEALKPTSTQNRCYFSPLLEPTNQTLNQTISATSVNESLKSDSRPRANRRKRGHLERGIVEDMSYGTSSKLPNRK >Al_scaffold_0001_3190 pep chromosome:v.1.0:1:14014107:14015284:1 gene:Al_scaffold_0001_3190 transcript:Al_scaffold_0001_3190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFS2] MGIVAFNWTEGNNNQLTLLMNRDNWENRQGSMSPDQFANELRLHEKKSNERHVYSLIVADMTSSSMVHILKPLDTKSDVIIETVPFGVHTLSSYEGLDSTESSRDSRLRGLFSQMIVDLGNNEKPQMQGIAGRFMYDAAGGRDALRSQRFGTTSTTALVVKRTKEVMLFERYMEENGAWTKRHFAFNIQ >Al_scaffold_0001_3193 pep chromosome:v.1.0:1:14074306:14075384:1 gene:Al_scaffold_0001_3193 transcript:Al_scaffold_0001_3193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGG7] MGSTMIPFTSDSCDGGCFHIASLNPNMGEWSVSVKILNCWSVSRGSGRELNMILGDEHFTQIEAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTDRVLSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0001_3200 pep chromosome:v.1.0:1:14106384:14106747:1 gene:Al_scaffold_0001_3200 transcript:Al_scaffold_0001_3200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGH8] MGAKLNSMILLMFVVTMVIAMENVNGETKAQCQDNCILGCATTGARPNMCLQSCYRRCQGKPGRALEFK >Al_scaffold_0001_3201 pep chromosome:v.1.0:1:14109302:14109927:1 gene:Al_scaffold_0001_3201 transcript:Al_scaffold_0001_3201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G30990) TAIR;Acc:AT1G30990] MAMSGTYMTDVPLKGSAEKHYKRWSSENHLVPDAIGHLIHGVTLHDGDWDSHGAIKSWKYTLDGKEQVFKERIEMADEKMTVAFNALDGQVMEELKVYIANLQFIPGSQNGCVCKVSVIWEKRTQDSPEPTMFMKFLEKMVADMDDHILQNEE >Al_scaffold_0001_3205 pep chromosome:v.1.0:1:14132776:14135000:-1 gene:Al_scaffold_0001_3205 transcript:Al_scaffold_0001_3205 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G31050) TAIR;Acc:AT1G31050] MDRPTCHNDNGWVYDTNQVRYDQSSDQRLSKLTDLVGKHWSIAPPNNPDMNHNLHHHFDHDHSQTNDDVSMYRQALEVKNEEDLCYNNGSSGGGSLFHDPTESSRNFLDVRLSRPLTDINPSFKPCFKALNVSEFNKKEQQTASLAAGRLGTTNAGKKKRCEEISDEVSKKAKCSAGSTLSPEKELPKAKLRDKITTLQQIVSPFGKTDTASVLQEAITYINFYQEQVKLLSTPYMKNSSIKDPWGGWDREDHNKMGPKHLDLRSRGLCLVPISCTPIAYRDNSATDYWSPSYRGSLYR >Al_scaffold_0001_3206 pep chromosome:v.1.0:1:14135503:14136055:-1 gene:Al_scaffold_0001_3206 transcript:Al_scaffold_0001_3206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGI4] MLREECTPSSSWWEDVQHHHNDHANSINSTSFYHKNSNKNLNANASCEEDNLSISTVSASNRLDLTAESSNHHSLSAPNQPASSSDELLRDHVVSSHNHLWSLAFLPKNKN >Al_scaffold_0001_321 pep chromosome:v.1.0:1:1252949:1253746:-1 gene:Al_scaffold_0001_321 transcript:Al_scaffold_0001_321 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAK-box/P21-Rho-binding family protein [Source:Projected from Arabidopsis thaliana (AT1G03982) TAIR;Acc:AT1G03982] MSNYKIKSLLKGLSEENEKEQEMQIGSPTDVKHVAHIGWDGGSVNQNPPSWMNDFKVSGNIKEDASCISEDLTRSRDKPGLQKSSRERWSTLGGSPTKEGPRRGSSHCSGNPKVSRRSKESPDIPNDGCRKSRRKKSKDSVNGGSTRSSRRARGSQTESMIDIQCLMQDH >Al_scaffold_0001_3212 pep chromosome:v.1.0:1:14267470:14270147:1 gene:Al_scaffold_0001_3212 transcript:Al_scaffold_0001_3212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGK3] MEICHTTGDFVDDETGGSGDGGPGNGGSTDGGPGHGGSGGGGPGNGGSGDGAPGNRGSGDGGPGNGGPARRDVHCGW >Al_scaffold_0001_3215 pep chromosome:v.1.0:1:14284514:14285594:1 gene:Al_scaffold_0001_3215 transcript:Al_scaffold_0001_3215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGK6] MNIDTDYSWYFFSCLKCSKTAYKIPKVENEIVKKGKKEMFWCPTCKEDTPKVIPSGETIDPLGPTSSTPSSKRSMDAVSEDIEGQGSTTKKACVSSLLGDIEKEEIEGGKN >Al_scaffold_0001_3216 pep chromosome:v.1.0:1:14288086:14288888:1 gene:Al_scaffold_0001_3216 transcript:Al_scaffold_0001_3216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGK7] MKRRKNETVKENNNVMQTPNTKRSRSQLHDENINPNLQNQQDRSDVQCDSEALQTVTGPSSSAAIQKETQGFASTVTYNTSVRSAKKTARTQRRPFQDVQNIINTSQLHSEVHQTPLNPHKPPEKKGKKWSPPSVNSKQAAKGIILTNSRINLRFPKSLAKEKKTSHKSYDTTIEEDSDEILNSKEETYINMSK >Al_scaffold_0001_3243 pep chromosome:v.1.0:1:14437890:14440485:1 gene:Al_scaffold_0001_3243 transcript:Al_scaffold_0001_3243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G31840) TAIR;Acc:AT1G31840] MFLFSSSSLQLRKIFPPIFSVFVKLNCLNSRGFSSDSAKTLAAGVSKAIKEGNFNLLDSVYGSNLQRNETNLVLLSLESEPNSALDTFDGQRSDSFDKLCRGGTVPFGVSAHGFVLDALFRKGEVTKALDFHRLVMERGFRVDIVSCNKILKGLSVDQIEVASRMLSLVLDCGPAPNVVTFCTLINGFCKRGEMDRAFELFKVMEQRGIAPDLIAYSTLIDGYFKAGMLGMGHKLFSQALHKGVKLDVVVFSSTIDVYVKFGYLTTAFDVYKRMLCQGISPNVVTYTILIKGLCQDGRIYEAFGIYCQILKRGLEPSVVTYSSLIDGFCKYGNLRSGFALYEDMIKMGYPPDVVIYGVLVDGLCKQGLMLHALRFSVKTLGQSIRPNVVVFNSLIDGWCRLNRCDEALKVFRLMGIYGIKPDVATITTLMRVTVMEGRLKEGLFLFFRMFKMGLEPDAVAFCTLMDAFCKNMKPTIGLQLFDLMQRNKIPADIAVCNVVINLLFKGQSVEEASKFFNNLLEGKMEPDIVTYNTMICGYCSLRRLDEAARIFEMLKFTPFGPNAVTLTILIHTLCKNSDMDGALRIISPSIISYSIIIDGLCKRGRVDEATNIFHQAIDAKILPDVVAYAILIRGCCKVGRLVEAALLYEHMLRNGVKPDDLLQRALSEYKPPKWLMSKGVWVHDKPIPD >Al_scaffold_0001_3247 pep chromosome:v.1.0:1:14469012:14471548:1 gene:Al_scaffold_0001_3247 transcript:Al_scaffold_0001_3247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGN5] MAAPGTGNKSLKDYLKKYESSDVVEKKKKKKQKKPSKPEPKGVLVVDEDPVWQKPVDPEEDENENDSAEERPLVDEDIDVKRMRRLEEIKARRAHNAIAEDGSGWVTLPLNREDTQSDISPPRRQRTRNDSPSPEPGPRRSVADRVETDMSPPRRRKRHNSPSPEPNRKHTKPVSLDSDMSPPRKRKARNDSPSPEPEAKVPKNLSEDLSPPRRRHVHSPSRESSRYRSDSVELDDDLSPPRRKRDLHGSPVSDVKKKSNDLSPPRRRRYHSPSPEPGRRPSKFIASNADLSPPRRNMNMKGSRDSDLSPQRKTADPRRSSNIDSSPPRRPRRESPPPQTSKEQRKTGLISGKDIGSEYRKKKEDEQLRFKNMDSELTGQNAEAVFRDKITGKRISKEEYLKSKQKKVIEKPKEIKLEWGKGLAQKREAEARLQELELEKEKPFARTRDDPELDQMLKERVRFGDPMAHLVKKKRHEATLVDLGDDEEMKKSGFIIPQSVPKHSWLTRGLEAATNRYGIKPGRHWDGVDRSNGTEKKLIKTTNERKATEIEAYLWSVADM >Al_scaffold_0001_3249 pep chromosome:v.1.0:1:14483615:14486143:1 gene:Al_scaffold_0001_3249 transcript:Al_scaffold_0001_3249 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOD26-like intrinsic protein 3;1 [Source:Projected from Arabidopsis thaliana (AT1G31885) TAIR;Acc:AT1G31885] MIFAGCSAIVVNETYGKPVTLPGIALVWGLTVTVMIYSIGHVSGAHFNPAVSIAFASSKKFPFNQVPGYIAAQVLGSTLAAAALRLVFHLNDDVCSLKGDVYVGTYPSNSTTTSFVMEFIATFNLMFVISAVATDKRATGSLAGIAIGATVVLDILFSGPISGASMNPARSLGPALIWGCYKDLWLYIISPVLGALSGAWTYDLLRSTKKSYSEIIRPNCNKVSSRDHQEASQDEICVLRVVDPANQNYFICSSPNDINGKCNVTCKLA >Al_scaffold_0001_3256 pep chromosome:v.1.0:1:14509598:14512362:1 gene:Al_scaffold_0001_3256 transcript:Al_scaffold_0001_3256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGP3] MAGKFQELPISGEPLAVESPMTNKKKKKSKKNKHTEEIHEVEVPQEVTNGVEEELSNKEKKKKRKREEKEIEKNKKKDVPEKKLEAEDLSVTNGGESEQQKVVVTGKDVEEAKYAPLKTFAESNLPENVLDCCKTFEKPSPIQSHTWPFLLDGRDLIGIAKTGSGKTLAFGIPAIMHVLKKNKKLGGGSKNVNPTCLVLSPTRELAVQISDVLSEAGEPCGLKSICVYGGSSKRPQINAIRSGVDIVIGTPGRLRDLIESNELRLSDVSFVVLDEADRMLDMGFEEPVRFILSKTNKVRQMVMFSATWPLDVHKLAQEFMDPNPIKVVIGSVDLAANHDVMQIIEVLDERARDQRLVALLEKYHKSQKNRVLVFALYKVEAERLERFLQQRGWKAVSIHGNKAQSERTRSLSLFKEGSCPLLVATDVAARGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGKKGVAHTFFTQQNKGLAGELVNVLREAGQVVPDDLLKFGTHVKKKESKLYGAHFKEIAADAPKATKITFDNSDDED >Al_scaffold_0001_3257 pep chromosome:v.1.0:1:14518717:14524554:1 gene:Al_scaffold_0001_3257 transcript:Al_scaffold_0001_3257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHE6] MRNKVSSQKKKSPPAAPLSSPPLREFPASAGAQPPIPSPQSSLPPSQPSIPPPQSSDLLISGRFSSSEASSGSPAKAVAASVLSSKPNVLASPREQSKVTPNPAKSDLKPCCTGTSANAAPPADPVSSVTAKSPDAPWLTAACPRAKAKPKKKVPRSKKSATPPELLLNLPVTSVLLAPSAKGKEIVIGSVLPSPKPALACNVASSSEWIQVKPKSVSKKGISIPASSPTPVQEGLNLFNDLSEKDEQGHSGLLN >Al_scaffold_0001_3273 pep chromosome:v.1.0:1:14603651:14612813:-1 gene:Al_scaffold_0001_3273 transcript:Al_scaffold_0001_3273 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G32140) TAIR;Acc:AT1G32140] MTKMSDLSHDLVEEILCMVPITSLRAVRSTCKLWNVLSKNRILCKAEARNQFLGFTMMNHRLYSMRFNLHGIGLNEDGEEFTDPSIKPIGDILNQVEISKVFYCEGLLLCVTRNHSSKLVVWNPYLGQTRWIQPSNDYHIGVTYALGYDNNKNHKILRFFAGQGSYEIYDLKSNSWSLSYVIPIRGLKIYQPGTSLNGNAYFLTDARKVMEGCDCLLGFDFTTEKFGQLLSLSFSHDFTETGRLSCVNGEKLAALYHRYETFETEIWVTTKIEPNEVSWSKFLAVDMEPFTSLKFHDDVGSFFIEEEKKIAVLFDLDESERYNIVYIIGDNGCLKEVDLDEVVNPQESVEVDRMFYFSPFVCSCSYVPSVVKINQIAEHERKYKKRKRKRTNKKRIKISAFEIECFRKVTTNQHRAEKTVRAGYDFILCFDFTTERFGQILPLPFKHYIWTLSCVKEEKLAVAVLCWENTYVIEIWMTIKIDPNAASWSKFLRVDRKPPIGLGFVFANRDDSFFIDEEKKVAVVFSSDEVETSTAYIIRDNKYLKTVDLEKAANFQESLYCFLPLVCSCSSYYVPSLVQINQIAGHKSKDKKRKRTNKV >Al_scaffold_0001_3284 pep chromosome:v.1.0:1:14703960:14704259:-1 gene:Al_scaffold_0001_3284 transcript:Al_scaffold_0001_3284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHI0] MAVMGRFQLSILLVSGPTEVIRLASCPSGADVVLEASSRHVNYILQYGQESNPDGSTPSWRPLITRRQLLVLNLVLLT >Al_scaffold_0001_3285 pep chromosome:v.1.0:1:14706108:14707349:1 gene:Al_scaffold_0001_3285 transcript:Al_scaffold_0001_3285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHI1] MYMGIRYQSNCNRYQSKLIHGYSQLDKTHYLQTFPYLVRKFGANELMQNFPLQPLTFARNTHVAQASDIANSGMLALYFLEAHATGGISKVYLVQESGMRERAEQLAVEMYEHEK >Al_scaffold_0001_3289 pep chromosome:v.1.0:1:14727002:14732652:1 gene:Al_scaffold_0001_3289 transcript:Al_scaffold_0001_3289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KHI4] MIRSNDVKFQVYDAELTHFNLESNNNLHYNLTLSLSIRNSKSSIEIHSDQFEANVYYMNQWLGAVPMPSFYLGSKNTTLLRALFEGKTPVLLDGDGRKKFEDDRNTGVYRIDVKLSINFRVMVLHLVTWPMKPIVRCYLKVPLALGSSNSTGHKTRLHIGQLVKDTSAKLREASETDHGKDVAQSKKIADAKLAKDFEAVLKEYQKAQHIAAERETSYTPFDPKANLSSEVEIGYDRSQEQRVLMESRQEVVLLDNEISFNEAVIEEREQGIQEVEHQIGEVNDIFKDLAVLVNYQGDIIDIGSHIDNAHSATAQGKSHLVKASKTQRSNSSL >Al_scaffold_0001_3295 pep chromosome:v.1.0:1:14749819:14751028:1 gene:Al_scaffold_0001_3295 transcript:Al_scaffold_0001_3295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHI9] MSIMLTHRYMISNVYAVPFHTKNGIGFHYFSCVSDLHVRVKLVPFFGLKRFRYPQKASFKPSKPSHTVKSSQCKPDPLKPNKPVTRNRIRQARRKTPFERSRSAVPARDPPEDDPRKINQSEAPTHSSPEATNPPETHRCSKKSPTQIRRRKATESMNNYSNK >Al_scaffold_0001_3296 pep chromosome:v.1.0:1:14760180:14761558:-1 gene:Al_scaffold_0001_3296 transcript:Al_scaffold_0001_3296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHJ0] MKTSVYPLSEMETLSINAPTPDSTLPSGVKGWTILPFCLRQECVRGRSATRDENGYQCARVLSQKVPSTAGSCLRKLEGRIVFSDDECGMDNKWAAKVVLDLNFLRKVVLGLIFPK >Al_scaffold_0001_3297 pep chromosome:v.1.0:1:14762180:14762428:1 gene:Al_scaffold_0001_3297 transcript:Al_scaffold_0001_3297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHJ1] MSDNLTANISDHVSKLESIPDLVVLTSFDALVSSRSGYRDHRFWICFSASELESKWILKSKNQNSTTTTISLDREARKWKRS >Al_scaffold_0001_3298 pep chromosome:v.1.0:1:14777294:14777732:-1 gene:Al_scaffold_0001_3298 transcript:Al_scaffold_0001_3298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHJ2] MSSLDQAQDVYSSTVHKAIIAINGGAYALLQVVTNQSWAPTKAAAHRSITIYPGFLGAKSDLRHPSSLRSQAPKQTSYPQFRGTHQSSLWGSRSYHAHLPYFDHFQSHRCCPLSSNPHEANLTEASSQLPI >Al_scaffold_0001_3299 pep chromosome:v.1.0:1:14794430:14796971:-1 gene:Al_scaffold_0001_3299 transcript:Al_scaffold_0001_3299 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDR1/HIN1-like 8 [Source:Projected from Arabidopsis thaliana (AT1G32340) TAIR;Acc:AT1G32340] MRRTHGGSGRNHDRRYIRRDAGIVNPINDHTQSEDTTSTDSSSAAFPSTSQPFQNLDHPTKPSKSHRNRRSRGSNSKPRPVEKSEVNFAESDAVDCLADELSSLKVKQSSNSIMEEKFHHSSGDHSNCEELEVKRAEIEEIADGADEYEKNEDIMLTILKDLTSSVIEPELTEEQLKMNDQLQEDELLALGYIYGGNMFIFDRHKDMRYFQVHVNVEATSEYNISAKLKLQADSSKESEDFLYSFKAQHLPPIVLTCLLPNAYPSHLPPYFLISVQWMNPDKISSLCSMLDSIWMEQPGQEVLYLWTDWLQNSSISHLGFDKEIFLGPYGVTCSRDKRAVSGSRSPDSDIPYIRSYDDEKRHESFLQSLHECCICFTESAGIDFVKLPCQHFFCLKCMKTYTDIHVSEGTVNKLMCPDSKCGEIVPPGILKRLLGEEAYERWETLMLQKTLESMTDVAYCPRCETPCIEDEEQLALCFKCYFSFCTLCKEKRHVGVACMSPELRLQILQERQGSSRLGEEQRRKEKEMINEIMSVKVIMKSAKQCPSCKIAISRTGGCNKMVCNNCGQYFCYRCNKAITGYEHFREGTCDLFPQEAIQEWNERMNERQVIGQIQAQLFAQHGQFPQRGQLCPNCRQFNAKAGNNNHLFCWACQAHFCYLCKKVVKKSAQHYGPKGCKQHTDG >Al_scaffold_0001_3306 pep chromosome:v.1.0:1:14824934:14829214:1 gene:Al_scaffold_0001_3306 transcript:Al_scaffold_0001_3306 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G32415) TAIR;Acc:AT1G32415] MADVPGYLRTCLDMGKIAFLAILVSTGIVLQILMRALRVKKVCGFLSKLSLSSSISCRRFYGSNYGSHRRGFSNEEALILRRLSEGGLVHARHLLDKIPQRGSISRVIYCTSLLTKYAKAGYLDEARVLFEVMPERNIVTCNAMLTGYVKCRRLNEAWTLFREMPKNVVSWTVMLTALCDDGRSDDAVELFDEMPERNVVSWNTLVTGLIRNGETEKAKQVFDAMPSRDAVSWNAMIKGYIENGGMEEAKLLFENMSEKNVVTWTSMVYGYCRYGDVHEAYRLFCEMPERNVVSWTAMISGFAWNEFYREALMLFLEMKKDVDAISPNGETLISLAYACGGLGVGFRRLGEQLHAQVISNGWESVDHDGRLAKSLVHMYASFGLIASAQSLLNESFDLQSCNIIISAYLKNGDFERAETLFRRVESLHDKVSWTSMIDGYLDAGDVSRAFDLFQKLHDKDGVTWTVMISGLVQNELFAEAASLLSDMVRCGLKPLNSTYSVLLSSAGATSNLDQGKHLHCVIAKTTACYDPDLILQNSLVSMYAKCGAIDDAYEIFSKMVRKDIVSWNSVIIGLSHHGLADKALKLFKEMLDSGMKPNSVTFLGVLSACSHSGLITKGLELFKAMKETYSIQPGVEHYISMIDLLGRAGKLKEAEEFISALPFTPDHTVYGALLGLCGLNWRDRDAAGIAERAAMRLLELDPVNAPGHVALCNMYAGLGRHEMEKEMRKEMGIKGVKKTPGCSWVVVNGRANVFLSGDKSASEAGQMVLSIFCGNEMLDEEEEKPLSLLCHC >Al_scaffold_0001_3312 pep chromosome:v.1.0:1:14841175:14842446:-1 gene:Al_scaffold_0001_3312 transcript:Al_scaffold_0001_3312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHK4] MANKEKLPWDMVEEILSRVPPTSLVRFRTVCKRWNALFNDKTFINNHKMTFQFILTTTSKIYSVSVKPKVEVRELTLNTPGLKAQIPEFLVDTSGFLLCDVGNGAVVWNPWLRQTRCIEPEVNQPSLDFVGIGYDNNKRVEEIVYKTLSVYMKDLGSSDTWKIHDFASDTWKDEDLDEAKYSVTIKYNLTSVVSLNGTLYWVAYNDDKTHDTDPFLFYLLSFNFSSEEFLKFCDLPSGKNNACDALVVRVFREDRFSLLKQCHVTKKIKIWVTKNKIDNQYGGDVKWMSFMEVSIPNMPDLVQTKFYYQPSYFIDDKRLVICSCDETGQAWIYVLGEEKLINKVRLDSVADPWPLHCTFFPNLVLIPGGSSKPLAYNLSWPRIRL >Al_scaffold_0001_3322 pep chromosome:v.1.0:1:14904838:14908897:-1 gene:Al_scaffold_0001_3322 transcript:Al_scaffold_0001_3322 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G32530) TAIR;Acc:AT1G32530] MGCTVREKHVKPNRRIRTAAFRSDPPLCFIEKIALSQSIVESSLKNLVYHPGVTDSGSVNSNSGNENLEENLWGYCTEEHLEEILLKHLEFLYNQAVSKLLELGYEERVAIKAVLSTGHCYGELDVLTNIVNNSLSYLNSSSGGGGCGGGSNNGNGEDHSETGFTDLRDLEEYSLAGMIYLLQQVKPNLSKGDAMWCLLMSELHVGRASTMDVPTNRSSCTKEDSNVEDVGSGTLDIAGFMAPALCRFHGGWDFGNGGGPEFSGNGFSMNGAELKLQREIDCPKRFNFSPSMKSLLNRNVAAVAAGYRASMKQKKSETSGDSLSCNDLARVEACEQQPRKSGSEESVSSVLEKFRDLNLDDNLESVGKDDKDCVIVNLLHQVKDLEKKLKERKDWAQKKAMQAAQKVSEELAELKSLSSEREGIQLLKKGKQAVEESTVKRLSDKENELRKASGQNDRANAIVRKLENQNAEIRAEREGSKLSASESLKACMDASKKEKKILKKLVAWEKQKLKVQDDIAAEKENIKALYRTLAQITQDEKEIEAKWRQEQKAKEEALAQMEEEQRSKEAAEGHNKRKLETLRLKIELDFQRHKDDHQRLEQELSRLQASSDTDPSHLSNNAWKPEKSQGENIAKLLEELDKLEGSYDNEANYDRECIICMKDEVSVVFLPCAHQVVCGSCSDSFFASNNGGSKVTCPCCRGRELKCCYKASIDGFSATKFHERCDFKGPCVIIAYTKEKSFKFGGFSPEGYRSTDDYYDTFDAFLFYWLDDCDDPIVLSKVGGSGAALFDYARGGPQFGADGLLIGPPLAPVMGGFAGPDTNSGIGDLRMAKSRLGLSYAKRKDGKESIFGDENKVSLDDVLVFCSPYIASLY >Al_scaffold_0001_3325 pep chromosome:v.1.0:1:14928424:14928947:1 gene:Al_scaffold_0001_3325 transcript:Al_scaffold_0001_3325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, group 1 protein [Source:Projected from Arabidopsis thaliana (AT1G32560) TAIR;Acc:AT1G32560] MQSAKQKISDMASTAKEKIVMCQAKADEKAEQAMARTKEEKEIAHQRRKAKEAEANMDMHMAKAAHAEDKLMTKQSHYHVGHGPHVPQHGPIPAPAPVMGHGYGHNPTGVASVPPPVYPPTGHHQAYPPTGHRNNYGNDLL >Al_scaffold_0001_3333 pep chromosome:v.1.0:1:14961733:14962545:1 gene:Al_scaffold_0001_3333 transcript:Al_scaffold_0001_3333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KHM4] MKRKDDDNDRFYSSPSKLDPIPLDLKMTTVPSKSHMKKSHQNKLEEDENDTTNPSKLDSLPLDLKMAILTRMPAKSLMKLRCVSKMWSSIIRSRGFIDSYYATSSRQSRFIVGFSNGVFGTPEERLTFFFSFSHDEGQESSSLLPTFEMAVPTYSFNNHTRFLASY >Al_scaffold_0001_3339 pep chromosome:v.1.0:1:15005923:15007952:-1 gene:Al_scaffold_0001_3339 transcript:Al_scaffold_0001_3339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KHM7] DSPVEVPPVAAVDITQFVLVDPPDEPLDVFPPMDVNAEVMPTRSLPHGIPVLPSPSILPSQRSKTRCSKRLRSSAAPEVNPPAPAPKLRLRHTSRDVKLEAACSKLLNTLHKPSPTKVSTLMSQLRRSTKSDYSICGTVFPATLFFDLLKPQQWVSSMQHMDLLISFVWDTYNPFFITRRITILDSMFTSIISNKYMSFKQHNNNKAFVWHPLLISYVKGQVSPRRPELQWMRDVDTVYLPMNWGTRHWVGLAIDLKKGHIDILDPFEDLTSARKVVSFMSPFAQMLPEHILSVCGSIPALWPDTAFTFTRVPGLAQNKRGGDCGPLSVKFMEFTMLGLQSSLLNITPTQIDNARLRYALDIYETYVCLGLLEVCLGFYQESIARIDSFISSVGSFRQADAIGSTIFKHIMQIGPVKIRSHANTWHYGDIPTCFAT >Al_scaffold_0001_3340 pep chromosome:v.1.0:1:15007988:15009087:-1 gene:Al_scaffold_0001_3340 transcript:Al_scaffold_0001_3340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHM8] MVVLYPHPDGPPFGSSESEDDKVGNLERLIFAGFPFTKAFWCSGDGSLPSLYTSRRRKEITATSTTSDSDSSEMQRQRKSSNPKFINTAEDVTTLLDKKLKGFKASLLADLRGMMRANESPPAVQSPTGKSPSHVSEARSSEPSRVTRSGRVGQYVRVPTAPGHSLSQRDGTRSDTIEPEGSTSATCNAKKNSHPPSSRPTSPTAVPQFSSQPPSPSSTAREQPLEPPAVSTVKQQFRFAQKRTPAKS >Al_scaffold_0001_3341 pep chromosome:v.1.0:1:15043380:15045354:1 gene:Al_scaffold_0001_3341 transcript:Al_scaffold_0001_3341 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT1G32700) TAIR;Acc:AT1G32700] MGAEEENNKTWPPWLKPLLREKFFVQCKLHADSHKSECNMYCLDCTNGPLCSLCLSFHKDHHAIQIRRSSYHDVIRVSEIQKFLDITGVQTYVINSAKVVFLNERPQPRPGKGVINTCEVCYRSLVDSFRFCSLGCKISGISKKKRKEWTNNLSDSDDSYSSTSIGRLKKNDDIMNNGFMPSTPPLSAVNRRIAKRRKGIPHRAPFGGLIIEY >Al_scaffold_0001_3342 pep chromosome:v.1.0:1:15046679:15047005:-1 gene:Al_scaffold_0001_3342 transcript:Al_scaffold_0001_3342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIB6] MWILKFCVTFLTSSRESNGQDYIIRSNYMPFGTIGWAKEDDDNESHKMPIKKLKKPTLSTSRCRWTLSFLFLYSSKTTSLASSLSSHYRLPISSLAQHVKSPHVHNSC >Al_scaffold_0001_3343 pep chromosome:v.1.0:1:15048357:15049170:1 gene:Al_scaffold_0001_3343 transcript:Al_scaffold_0001_3343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase, subunit Vib family protein [Source:Projected from Arabidopsis thaliana (AT1G32710) TAIR;Acc:AT1G32710] MSSAQMDPHDKMRSRDISKVARGQQAPRPAHTPGTVSPPPQNEATFRAKRGEDSGESGEEVDSTVEKRFPVTNETRHCFNRFMQYNKCIEKNGRDAYDCNNLRDYVRSMCPKDLVDKWEEQRKSGTLPSSIRRLDSVLKNSSVLLQSLIQV >Al_scaffold_0001_3344 pep chromosome:v.1.0:1:15056515:15060212:-1 gene:Al_scaffold_0001_3344 transcript:Al_scaffold_0001_3344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIB8] MIAVLSQSLRKIITKGASYLFWTKRGNDELGKHDYRTNRGEGVLPLVGQHEHRTNRGKRSVAMSWATRTLTQPQGSVFHRVGQRKLQANRWKIALVEEFLDEFEEPGEQMATGFLFRDSDIDEPMWKGPDGVLYEFNSDDSCLSTMDSFSSMSLGTDLGISDAPSEDNASRDSDCLVFLPTEYGIPRQALAYNMVPPSEVFATPPGPPSLDFVELSSDDELADAKESGIPNFVKAASLRMSTPPRKFTTRTWYPGYYGEEGVESSHANLENLVGDQEVPDVTANCETLFATPPCVEELGVLFPPNETLHEPTLGLSSLDAMIQNMIDAGTLGVEPIRPPEGMPVWNERIRRSANGTIMTVMEREDGCSSNSSENSSIESSESTTKSETGTLPRFPPKSTRGTTLSDSTLFSTISSNSSGSSDGSDSNELFEPTMSLVEMDSTEVTEGLVAMKDSDSDPSNIRLTCSDGITESS >Al_scaffold_0001_3350 pep chromosome:v.1.0:1:15119050:15119324:1 gene:Al_scaffold_0001_3350 transcript:Al_scaffold_0001_3350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIC4] MGLRDDLSYLKRRMMRSSFSLLSQTTEENTLVLAASGFTSTGSASTQEAVLLSQHSNHSLRMLTGR >Al_scaffold_0001_3358 pep chromosome:v.1.0:1:15162461:15165789:1 gene:Al_scaffold_0001_3358 transcript:Al_scaffold_0001_3358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KID1] MRTKPVAEMKIGEDVQLAGDEALADVIAPVEVLEQFWKPADPKFRVSILVQRRIETLALNSGPDVEEAERLIGKPKPTGDVDPNCPFHILRRDLLRFDIVNYAVASLGFSPLISQQVSTLTWLKAWLNNDLTSVPKLAIYNQNSVVQGYDLLLPTGDSRVVQQNGPAVLRFLQSKCKGDPGVYWLYKSAEEDEIKLFDFSTTSKNHSSCASSLPLVLHACAREQLARLIMTNKKLFEPKTAEKESFLAVDHISQAIKFLTDMQKQLPSSEQEGDWLSTSIIDKKLWGLIMLLGESYLSLGEAYKEEEKLDQALRTIKEACSIYGSLPHSYDKTLFDSTLRDSISRPFDIPKFAKWVKEEEYSTEEVKDDTSLKQLSPKHLFWAKVWLLVGDIYAKFIIIPLSSEGSEVVTEKKVVKEEVRLHNEKVGNKLKNKLTTCRHECASCLLVNCLCPVDKGKGKGGKSKSKSKSKEEESKSKSKSKEEERGGIFKYLKESRKNDAETNLFTALHCYNQVQKALPSGCKLLISLHVRKAWVWHRISMEYYKIANFKECEDAMVKSAQACMDSGDYANLIGCYCSLGSLRQNLGSEKEEQMMKYKLKARKKTHLELATKEYIRSLQYYMEAKKGVSRALEKRRMLPETTRDDVQLKLAVTYLVLGRLLCVNFTTVDAPTELKSTSENTQGSLRLSADDAVKEASALLESLGKDKVAYAHDMLAAHHGSCYASILEANEQAGLAIKKWNDAANEQADLAFKNWNDAMECYGPEINHPDSVFVSIVTKRSALYFKSQRQSEFMIDLELRRFLVCHRIFEEDEKKIDGKDVQNFLQQLRNILKEMLLNGKSSKKLEDLYCKSQNATSLSSLKDMHDTWTS >Al_scaffold_0001_3359 pep chromosome:v.1.0:1:15166200:15168240:-1 gene:Al_scaffold_0001_3359 transcript:Al_scaffold_0001_3359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KID2] MVSTNHGNESLSGATVHQEKNNTKSMLSSSEDKKQKLETRKKLEAAVIRISSSRTGTQVDDLKRLDDEFERKFDEIKSEKMIEQNRKARSLDKEIPDELGLPMPHPAFVVETKGAAESDQGQNIEAPIEPQCAGSETVETTDCAASNQVEQSTYASGVELSAGVTASVPSLLNNGTGQSAVQPVPQILFRVFDDPFLHELEKLQRESENSKKTFEEKVSCPQYSVASSFGLFSHYSLTACEHKSILKAELERKMAEKPNITPERQSTKIEASKNLVIMNKLIRVLRSCPNVPTRRHLPQQLQVHLAQRAAQVNALRNYTAPAPAPAALQLQSSLFPTPALALLQLQASSFPAPALLQPQASSFTSSVSRPSGLPLNSTVCPMPQPRQPLISNTAPTPSDSPATNQIANASLHSPAPHLSSYRPAPSVPVDTATLTLSLPPQALTYSAVLIQQQQEQQPQQSLSSGLQGNNDVVCLSDDE >Al_scaffold_0001_3368 pep chromosome:v.1.0:1:15206486:15207092:1 gene:Al_scaffold_0001_3368 transcript:Al_scaffold_0001_3368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIE1] MEASFAFLQAICFFIALPTHSWLWLISIFNSIQVVMSHDKRQNERAQSDGASGIFFSTLLEDLLIIYKWLYNDGNTIESWVRIVTEDGGGPRSGGEDVVEEVIVVECC >Al_scaffold_0001_337 pep chromosome:v.1.0:1:1313873:1315823:-1 gene:Al_scaffold_0001_337 transcript:Al_scaffold_0001_337 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein [Source:TAIR;Acc:AT1G04150](projected from arabidopsis_thaliana,AT1G04150) MTEAKTGTGNEKLVVEIVGAHNLMPKDGEGSSSPFVEVEFENQRLRSKVKPKDLNPIWNEKLVFHVIDVNDLRHKTLEISVYSEKRSSNSRNFLGKVRVLGSSVGREGESVVQLYTLEKRSLFSSVRGEISVKHYMTTTGENGENVRRVNGSGGSKKSKKVQNLSSSMAIQQQQQQQQQIALHNHNKGNQQQSQQNGQGQRMLPFYPNQGEIKPLVITALPGPMPGPRPVVYSNGSSEFSLKETKPCLGGTGTGVGGLTNHKDKTSSTYDLVEQMQYLYVRIVKAKDLSVSGEVVSEVKLGNYRGVTKKVSLNSSNPEWNQVFAFSKESIQSSVVELFVKEGNKDEYTGRVWFDLSEIPTRVPPDSPLAPQWYKIENRNGGRSNGEIMVSVWFGTQADEAFAEAWHSKAGNVHIEGLSSIKSKVYLSPKLWYLRVSVIEAQDVAIMDKGSGLMRFPELSAKLQVGSQILRTPVASTSPTQSFSNPYWNEDLMFVVAEPFEDCITIVVEDRLGGGALGGQNDVAVGRVQIPVSAVERRTGDTPVGSRWFSLDNGNNNNRFGSRIHLRLSLDGGYHVLDEATMYSSDVRPTAKELWXXXXXCLKIDA >Al_scaffold_0001_3370 pep chromosome:v.1.0:1:15224093:15227281:1 gene:Al_scaffold_0001_3370 transcript:Al_scaffold_0001_3370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:Projected from Arabidopsis thaliana (AT1G32950) TAIR;Acc:AT1G32950] MRNFRSSVLVVLSLIIVLNVARASAKSKVHIVYLGEKQHDDPEFVTESHHQMLSSLLGSKDDAHKSMVYSYRHGFSGFAAKLTKSQAKKIADSPEVIHVIPDGYYELATTRTWDYLGLSADNSKNLLNDKNMGDQTIIGVIDTGVWPESESFNDNGVGPIPSHWKGGCEPGENFISTNCNRKLIGAKYFINGFLAENQGFNTTESPDYISARDFDGHGTHVASTVGGSLVPNVSYKGLAKGTLRGGAPRARIAMYKACWYLNELDGVTCSFSDIMKAIDEAIHDGVDVLSLSLGGRIPLNSETDLRDGIATGAFHAVSKGIVVVCAGGNAGPASQTVVNTAPWIVTVAATTLDRSFATPIILGNNQVILGQAMYTGPELGFTSLVYPEDPGNSYDTFSGVCESLNLNPNHTMAGKVVLCFTTARDYAVVSRAASLVKAAGGLGLIIARNPGYNLAPCSDDFPCVAIDYELGTDILFYIRYTGSPVVKIQPSRTLVGEPVGTKVATFSSRGPNSISPAILKPDITAPGVSILAATSPNKNLNAGGFVMLSGTSMAAPVISGVIALLKSLHPDWSPAAFRSAIVTTAWRTDPFGEQIFAEGSSQKVADPFDYGGGLVNPEKAAEPGLIYDMGPQDYILYLCSAGYNESSISLLVGKVTVCSNPKPSVLDINLPSITIPNLKDEVTLTRTVTNVGPVNSVYKVVVEPPLGVRVAVTPATLVFNSKTKSVSFRVRVSTKHKINTGYLFGSLTWTDSVHNVVIPVSVRTQILQNYYDEN >Al_scaffold_0001_3375 pep chromosome:v.1.0:1:15243767:15246845:1 gene:Al_scaffold_0001_3375 transcript:Al_scaffold_0001_3375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIE9] MEQNDQACNEEAIFVDESPKRKVFSKFTLLQIKKPYYPWRTVSTISSETEDAEVDDEDVGGEGKSGVFATETGEDGEESGTEDKISRSGGDLDGVDKADGAVASGVMAASSLEEDNNGEVEVRPNGESNASRKSSSSRSRCMNGVVVNLGVET >Al_scaffold_0001_3381 pep chromosome:v.1.0:1:15257811:15259749:-1 gene:Al_scaffold_0001_3381 transcript:Al_scaffold_0001_3381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIF3] MKHYSHGIDGLRSGLSPSAKPFAIGSSSSPSFSLKSEHEDSIWGDYTLDLSFLSSDDQRSGLDDDDSLSNLSRDVETKKEGLVLEEKIASSGKVLVNPNPIFSKLPEVLIKPSNVAGDAKLGLSCVSEKSSTESDEDDSEEDSPCWIGMHSHKSLASGAKAVASRRSTDDLSGFHRLNPLAPQFIPSNSKKKVETDGEKCEENSSSSLKKSLSSTFPSSSGEFDLTDPPPEDGRNDAAKLTSILTHNADKIFGFVSSDSGSKTLSILDSRNEFQPSKRLDPLAPVFVPSSAKLSPSVHEKQGAFEANAHPTSALTSSDDKSLNKVKVATSSGEVGHSFKSRYGGHDLSSTSSYNPWIESNVGITEYAKLGSSSNKSQGQRRLNPLASQFSLADTKPKAYDYEKNQTADDLSLVVNTTGLYIPARYREDHNVVDADLAQSSVYVERFIPNMSHGSSSLMSPKADSNIGSTKWFAVEPNPTFSVKGNRDLKHPLPFHVVDTAASSSTSDMKALPGPSPKMDVKKLLTTMHGLSELLTLAHGSESSDSPNVEELDLINSTVQNLNLYIQNNTQAGNQSVAQCNSYDLKLLPNKSKVWCSNCFYVYKLLA >Al_scaffold_0001_3385 pep chromosome:v.1.0:1:15279027:15284963:1 gene:Al_scaffold_0001_3385 transcript:Al_scaffold_0001_3385 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G33090) TAIR;Acc:AT1G33090] MAGGGGELTAALLKKTFENGGEEKDELGLKEKVWIESKKLWVVAAPSIFTKFSTYGVSLVTQGFVGHLGPTELAAYSITFTVLLRFSNGILLGMASALGTLCGQAYGAKQYHMLGIYLQRSWIVLTGCTICIMPVFIFSGPILLVLGQEERIVRVARVIALWLIGINFTFVPAFTCQIFLQSQSKNKIIAYVSAVTLGLHVFFSWLLVVHFNFGITGAMTSTLVAFWLPNIVQLLFVTCGGCKDTWKGFTMLVFKDLWPVFKLSLSSGGMVCLELWYNSILVLLTGNMKNAEVALDALAICINVNALQMMISLGFLAAVSVRVSNELGMGNPKGAKFATLIAVFTSLSIGIVLFFVFLFLRGRISYIFTTSEAVAAEVADLSPLLAFSILLNSIQPVLSGVAVGAGWQGYVAYVNLACYYFLGIPVGVILGYVVGLQVKGVWIGMLFGIFVQTCVLSIMTLRTDWDQQVSTSLRNINRWVVPESRDANQISSEE >Al_scaffold_0001_3391 pep chromosome:v.1.0:1:15336599:15337464:-1 gene:Al_scaffold_0001_3391 transcript:Al_scaffold_0001_3391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIG2] MHPLQCLYLPCLNDISLEKIRTIPGSNIEKATLALISDAGEASAHRRWNLSLSARKFCNRFIALVSFRSLSPSFRSFVLLASFEYGSNDSDIGQRRGPTTPFYFLPCSHPPGEALTTKFVSILPIMIKSDLCRSLPPLDFVDGKSLYSWIDSFTMSGGVIDGALCCLIMSARVIYDMMVVTSKINQ >Al_scaffold_0001_3392 pep chromosome:v.1.0:1:15351533:15356652:1 gene:Al_scaffold_0001_3392 transcript:Al_scaffold_0001_3392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33220) TAIR;Acc:AT1G33220] MVYSSKKLLLFFLSCIMLIFNYNTSGFVAAANSIGLNYANSIGLNYGLLGDNLPAPSNVLNLYKSIGITKIRIFDPNTEVLNALRGHNNIEVTVGVRDQDLAALAASEEAAKDWVATNIEPYLADVNISFITVGNEVIPGPIGPQVLPVMQSLTNLVKSRNLPIAVSTVVAMSNLKQSYPPSAGKFTSQAREQLVPVLKLLSQTSTPILVNIYPYFPYASDPTNIPLDYATFNTEVVVVQDGPLGYSNMFDAIFDAFVWAMEKEGVKDLPLVVSETGWPSAGNGNLTTPDIAGTYHRNFVKHVLSGKGTPKRPNNGMDGFLFATFNENQKPAGTEQNFGLYNPSDMKPIYKLF >Al_scaffold_0001_3393 pep chromosome:v.1.0:1:15363996:15364172:-1 gene:Al_scaffold_0001_3393 transcript:Al_scaffold_0001_3393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KIG4] LLLNFLQLSLPFSQIHDFFLGSSENLRFVRKVREVSEVSGEIEPVRLMSTRTSRVTVA >Al_scaffold_0001_3394 pep chromosome:v.1.0:1:15364780:15367590:-1 gene:Al_scaffold_0001_3394 transcript:Al_scaffold_0001_3394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIG5] MRQGSCSEEGCFTLLKLFEHVLESDPLIDEVGFIHPSQFNMLDEEAGSSSVYQNEHQPNNETSRKFWNQDHKLGISTDILVQLCKDAKHVFLLAFEEYKRHGNACNESQIENFSCSPGTPEIEVMRHSQAVLLLSSDFGTAWNARKLILSKKDQLSAFTEELRLAGLILSNSPKSESTWSHRRWIIKMISQRFSTPQVIITKESELVESIGERSKMNYRAWYHRCWLVSYMAIEQVIQELNKSKRWAGLHVADSSCFHYRRRLMLKILESLYVKGGNAYDKSEARKIWKEELDWNKELVERYVGREALWLHRRFLSLNWIIYFACNDSDVSPEPGESIIMNEEIAIFIDNEIHLLESSMIVPDTKFEDFQAQALHAGVYMLWLTKKMPELWRMVEEKLGTEKVKCVLSTIAQERPSLLHHLVNV >Al_scaffold_0001_3399 pep chromosome:v.1.0:1:15393192:15394397:-1 gene:Al_scaffold_0001_3399 transcript:Al_scaffold_0001_3399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIG9] MAPTCRQISERSPLVNQQRQITSFFGKYASFSSPSPSPSPPLSNKKTPKSINPNPNSPFPSPSRAAEALVGKNLELDVKSSEGARRSTRLRLQPLRKPKSSPKKKKPVKLHSKMPKKPPTAFFFFLKHTTKHLLYMHFPLASYCLIFLVPKGGFPETISRGESGGNGIPVSPEVANGLKNDEAYGVVLMRVVILGRLRWKAGAIKTGRYGFYARCDVWLRFNPSPNGQVPLLAPSTCKVDV >Al_scaffold_0001_3406 pep chromosome:v.1.0:1:15422437:15428439:1 gene:Al_scaffold_0001_3406 transcript:Al_scaffold_0001_3406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIH6] MAFLERMEEYLQCSLPFLRFLYHKTPYMPILHRGTEREALLETEEKRCHRESKVLNVVVHIGRNQVRMKANSFSSSTAVACRKDDDKAKQTEESLRTVMYLSCWGPN >Al_scaffold_0001_3409 pep chromosome:v.1.0:1:15435296:15435871:-1 gene:Al_scaffold_0001_3409 transcript:Al_scaffold_0001_3409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIH9] MKQTSLALLGLFFVTLLVGNIKTTEGSLPSAFDTPGSLLSELWRDRFPDPFRVLEQIPLGLERDQSLALSPVRVDWKETPEEHVIRLDVPGMKKDEVKIEVEENRVVRVSGERKREEEKEGDHWHRVERSHGKFWRQFRMPDNVDLDSVKAKLDNGVLTITINKLSQDKVKGPRVVDIAFEEDQTGKVSSA >Al_scaffold_0001_3426 pep chromosome:v.1.0:1:15517909:15519150:1 gene:Al_scaffold_0001_3426 transcript:Al_scaffold_0001_3426 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G33530) TAIR;Acc:AT1G33530] MAFSIVKLVNLKRKKWQSKLQNTKKQVLGRCLANFSRLLSFRKKQERNGKNPRCSKTTLAVVVHPISSAIQEDLVEDICKKQERSGKSPSYSETTLPVELPEDLVEEILHRLPVKYLVRLKSVSKVWKSLIESSHLAEKHLRLLEKKYGVKEMKITVKWSTSKSICIKFFSRRSGMDSNSEGLLRVPGSCNGLVCVYELDLVYIYLLNPMTGVTRTLTPPRGTKLSVGFGSDIVTGTYKVVVLYGFDRVGTVVFDLSTSKWRRRYKTAGPMPLSCIPTPERNPVFILVMDLHTEKFRTLSQPNDMDDVDVSSGYIYMWSLEDRLCVSNFRQGLHSYVWVLVQDELSERTRFNLLGHVLPPLSLDSAWFSQTLVSPYQSSSSTCIGSRQRQNRTSALCQ >Al_scaffold_0001_3428 pep chromosome:v.1.0:1:15535777:15536616:1 gene:Al_scaffold_0001_3428 transcript:Al_scaffold_0001_3428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ82] NKKKVKLERRKKKDKLEKIRKKLKQKKSEIGKKKKKKDKLEKIRNIKIKTKKSEIGKKKEKKDKLEKHKFSKLKILNKLKQTLMQ >Al_scaffold_0001_3431 pep chromosome:v.1.0:1:15565268:15591431:1 gene:Al_scaffold_0001_3431 transcript:Al_scaffold_0001_3431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ87] MTSCSFTLFIFAVIIFLQSLSSTGATTCHPDDEAGLLAFKSGITQDPSGMLSSWTKNTSCCSWKGITCLNSDRVTNLDLVGFLKKPERSLSGTLSPSLAKLQHLNVVSLGDHGNITGSFPKFLLKLPKLRYVDIQNNRLSGPLPTNIGVLNTLEQFFLQGNKFTGPIPNSISNLTRLSYLIFGGNLLTGTIPLGLANLKLMQHLALGDNRLSGTVPEIFESMTLLKFLDLSRNGFSGKLPLSIASLAPTLLALKLSQNNLSGAIPDYISRFNRLEKLDLSKNRFSGVVPKGFVNLTNINNLDLSHNLLTNQFPELNVNTIEYLDLSYNQFQLETIPQWVTSLPSLFLLKLAKCGIKMSLDDWKPAEPLYYHYIDLSKNEISGSLERFLNQTWYLLEFRAAGNKLQFDMGNLKFARTLKTLDLSRNLVFGMVPAMVAGLQRLNLSQNHLCGKLPATKFPASAIIFLRCLCFTGAATCHPDDEAGLLGFKSSITKDPSDILSSWKKGTNCCFWRGIICFPRDRVTQLNVNGDVYLGLTFLSGTISPMLAKLQHLEGIYLTSLRKIAGPFPQFLFRLPKLKYVSIQGNLLSGPLPANIGELSQLKTLVIEGNLFTGQIPSSLLSGPLPANIGELSRLENSISNLTRLSWLNLSNNRLSGTIPNIFKSMIELQSLDLSRNKFSGKLPPSIASLSLTLTILNLGQNNLSGTIPNYLSRFEALSTLVLSKNHYSGFVPMSFTNLTNITILDLSHNHLTGAFPVLNSIDGIESLDLSYNKFHLKTIPKWMISSPFIYSLKLAKCGINISLDDWKLAGTYYYDFIDLSENEISGSPARFLNQMKYLKDFRAAGNKLRFDLGKLTFVERLETLDLSRNLIFGKVPATVAGLKTLNVSQNHLCGKLPATKFPASAFAGNDCLCGSPLSPCRVLMSQQLVKQ >Al_scaffold_0001_3436 pep chromosome:v.1.0:1:15619946:15621406:-1 gene:Al_scaffold_0001_3436 transcript:Al_scaffold_0001_3436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KJ91] MDSSSTSNFSPGASLLKRNSNDVRWEYGILYDSKNPDRVKCKLCGKEMGGGVYKIKEHIAHRKGNVSSCPRSTKEDQFKCMKAILEAKNKKRKMKREADMFSLEVYEQNREDDSDEDPMDKFVMAVTTEDSLGGETGQENTNDVVSEERMSSVHQSCGRWIYQSGIPFNVIESDSFRSFCEALGRFGPGWVPPNQCELRETLLEEEEEIIKEKLKSLEVEQEQNGCSILIDVWSDTKKNIMNLCVNSRGGTCFVSSKEVSKEPQTGKFIFEYVDKCIEDVGAEKVVQVITDSAENNLAAAKMLKEKRPGIFWSSCAADTVKLMLEDIVKLPKISKYIEKAKAVTMFIYGHEKTLAMMRCHTKERDIGRSAYYTCPAFWDGVKRVVKVLEPLVKVLRMVDGEKKPSMGFIYGELVEAKRSIKAATKNLERYYQPILDIIDEKIK >Al_scaffold_0001_3437 pep chromosome:v.1.0:1:15626073:15627874:-1 gene:Al_scaffold_0001_3437 transcript:Al_scaffold_0001_3437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ92] MEDGLLLLRLHGALLVRLHDPLLLQLHSCHGPHPCHQIPLQFKRQISLLLRLLPHLKLKRITKWGNDYVDRQTYEAERKRNNDLVTRIHGYDYLFDIVAQDFPALAAALRAQRPPTQAAEAQTEEPQDQTEEAATAQGTPQA >Al_scaffold_0001_3440 pep chromosome:v.1.0:1:15638054:15638440:-1 gene:Al_scaffold_0001_3440 transcript:Al_scaffold_0001_3440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KJ95] NQTTEWFKSKPKILQACYVLFHLENDIDSYEDEMRRGEVANGVNCYMKQHGVTKELAVSEIKKMIRDNHKTVMEEFLTTKCVPHPVLVRCLNMVRLIKLYYTEGDGYTNPRRKIKDLITSLFFHPLPL >Al_scaffold_0001_3449 pep chromosome:v.1.0:1:15702239:15702514:1 gene:Al_scaffold_0001_3449 transcript:Al_scaffold_0001_3449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJA3] MYPSCKTIGTYLDPDEEVSYTGFTVSSTADTLTYRYKVNARAYQIPLLTGVRDIMMSLVTRLSTSRKD >Al_scaffold_0001_3450 pep chromosome:v.1.0:1:15705652:15708773:1 gene:Al_scaffold_0001_3450 transcript:Al_scaffold_0001_3450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJA4] MAQKLEAKGVKGGNQWDDLADHDHVTKIYVQDGRKGIQYVKFDYVKNGQPQTGSLHGLMGRRLMKKFEIDPASEYLVSVEGYYDDAKGIIQTLKFKTNKKTSDMIGYNATGLKFSLKVNGKKIIGFHGYADTNLNSLGAYFTTAPPTKFDCQGGSGSQLWDDGSNYSGVRKVSFALDDTEIRKIKINYDKGGLVERREYGGNVGRQEEFVVDYPSEYIIYGRITSPIFGKVATRKFVFESNGSALIGFHGRAAVALDAIGAFVSPFILPHPYSPHPSLPPSAETLQAKGGEGGDPWNDGVFNGVRKIYVGQGGNGVSAVKFVYDKDSHVAEGNDHGNQTLLGYEENILVSTSPRWKFDKIFGSGGGVITMLKFKTNKRISPPFGLETTSSFVLGKEGYKIVGFHGKSSHELHQLGVYVVPISQ >Al_scaffold_0001_3451 pep chromosome:v.1.0:1:15709843:15710815:1 gene:Al_scaffold_0001_3451 transcript:Al_scaffold_0001_3451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF579) [Source:Projected from Arabidopsis thaliana (AT1G33800) TAIR;Acc:AT1G33800] MRTKSQSSLNLKVIFIGSSILILLIIYLARSNISSSSSKPISKTNLSQEEEETQQKPEGCPTTTQQCTKMPLSLSDALVHYVTSNVTPQQTFDEVSVSKRVLDKKSPCNFLVFGLGHDSLMWASLNHGGRTLFIEEDEAWISIVTKKFPNLESYHVVYDTKVKDSDKLMELGRSEECRSVSDPRNSKCDLALKDFPADFYETKWDLIMVDAPTGYHEEAPGRMSAIYTAGLLARNREDGETDVFVHDVNRPVEDEFSATFLCKGYMREQNGRLRHFTIPSHRARAGRPFCPVEVDRRR >Al_scaffold_0001_3452 pep chromosome:v.1.0:1:15730159:15731599:-1 gene:Al_scaffold_0001_3452 transcript:Al_scaffold_0001_3452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJA6] MDRSATKGLDLNGSDAADSPENCSIGWVRPNYLSSFGCVRPNYPSSIGRVQPSYCTSFSRICVEGYDTSLHEYDLKLALRKHFSSCGEITHIYVPRDFERNILKSFAFIHIAGEGAEEKALALSGSDAGGWNVFAKSSPYDGQYVDPGRATAESPRFSLPQRKMIVTGYDTSLPEIDIQIRLSNHFSSCGEITNVMIPKDANGGLDSTALISILGAGSVEKALELGGCDVGGWNIAVVTVLPPLGTTIRYCSSSSLSSPIKSKMTTRTKKKKSTME >Al_scaffold_0001_3454 pep chromosome:v.1.0:1:15747836:15748301:-1 gene:Al_scaffold_0001_3454 transcript:Al_scaffold_0001_3454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJA8] MIDDQEKDLEKETEMGTKEKDGDEEAKSEKPKKKKRSKKGKTPAKVDVLVANNVVLWLF >Al_scaffold_0001_3459 pep chromosome:v.1.0:1:15762959:15763698:-1 gene:Al_scaffold_0001_3459 transcript:Al_scaffold_0001_3459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJB2] MSNEEEVEEARQMIVFGIDASAPQLDVKIKLCEHFSSCGEVRHVMVCGDGKSDSGICFARVSIRGQDAVDKAKNLKGPDENITFGSVFPTNAEHKQRVEHRTHLCNTTGLYFHHYGISKLPICCQYVPAVHTLTHKNEDD >Al_scaffold_0001_3462 pep chromosome:v.1.0:1:15788111:15789072:-1 gene:Al_scaffold_0001_3462 transcript:Al_scaffold_0001_3462 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33830) TAIR;Acc:AT1G33830] MAEQEWPVTNLILLGRAGNGKSSTGNTIIDQKYFEVNFLGEDMDQRCKMFRAVIKDGPIINVIDTPGLLESSVSGDYLSKEIMNCLTMAEEGIHAVLFVLSITNRISQREEFTFNILQHIFDDKILDYFIVVFTGRDELEADNQTLDDYLREGCPEFLTRVLKLCGGRKVLFNNKTKDKGKRTKQLKQLLAHVTDIRKQNGGIPYTENMHRKIKVKKNCV >Al_scaffold_0001_3463 pep chromosome:v.1.0:1:15789668:15790196:-1 gene:Al_scaffold_0001_3463 transcript:Al_scaffold_0001_3463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJB7] MKKRGRLAGEDDSTKTQNPVTKKKRGLTGEDDLPKTRSPEKRRRGIFLPFRVLLQEERK >Al_scaffold_0001_3468 pep chromosome:v.1.0:1:15815150:15816198:-1 gene:Al_scaffold_0001_3468 transcript:Al_scaffold_0001_3468 gene_biotype:protein_coding transcript_biotype:protein_coding description:phloem protein 2-A4 [Source:Projected from Arabidopsis thaliana (AT1G33920) TAIR;Acc:AT1G33920] MHHTMIIYGQKHMIYARDLSIAWSDNEEYWSWLPLRYDISSQELTDAAVLEAVCWLDVNGKFDTRELTPATTYEVVYVVKLEDTAYGWKIPVNLKLTLPDSKKRPQERSVCLKEHIGKRWVDISAGEFVTSLDNAGEISFSMYETKSGCWKGGLFVKGVEIRPKN >Al_scaffold_0001_3469 pep chromosome:v.1.0:1:15818953:15820308:-1 gene:Al_scaffold_0001_3469 transcript:Al_scaffold_0001_3469 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33910) TAIR;Acc:AT1G33910] MSDREQPSASEPVRNIVLVGPTGNGKSSTGNSLIGKEVFTSETVECKTCKAKTLDGLKINLIDTPGLFDLSVSTDYMNKEISNCLTLTEGGLHAVVLVLSVGTDILKEEESALNTLQLLFGSKIVDYLVVLFTGGDVLEMENKTLDDYLSRGCPEFLKTVLRLCGGRRVLFNNKTMDEVKKIEQVKQLLAHVEAIEKLNGGKALFTEENDLNVKRQGEMLMEQQKEVQSKKPEKTEVEELKKQLEITYGQQMSMMAQMVEDTLKESSASHERMLLALREKVERSYLENEDMHNETKRVCNIL >Al_scaffold_0001_3480 pep chromosome:v.1.0:1:15875524:15876286:-1 gene:Al_scaffold_0001_3480 transcript:Al_scaffold_0001_3480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJD3] MWRIWKSRNDFLFQKVNRRPESTAQKGIHDVNDWIAATQEQEEETRTLSTSTDPPPRRRNRDGQDCRGQVILSGCARLQSSTSALQAEALGFLHVLQVA >Al_scaffold_0001_3484 pep chromosome:v.1.0:1:15890111:15890443:1 gene:Al_scaffold_0001_3484 transcript:Al_scaffold_0001_3484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJD5] MAKNLNTVSVTALLLVLLMASTGILETEAACFKFLGECGAVPFTGSNADCKSCCEGKFGSAAVCAGRVEAEGGVNHCHCYGTS >Al_scaffold_0001_3485 pep chromosome:v.1.0:1:15894343:15897637:-1 gene:Al_scaffold_0001_3485 transcript:Al_scaffold_0001_3485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJD8] MDRRVNSWSRTADEPGMGVSEPRGPPFTQEVLVITRMTGPNDLSSGWTTFPVFSTRV >Al_scaffold_0001_3486 pep chromosome:v.1.0:1:15909383:15909744:-1 gene:Al_scaffold_0001_3486 transcript:Al_scaffold_0001_3486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJD9] MRRGGEERDCGEFVSQTRAIKGKKGLRCGQQIHHRERAKLHRRRERKRKGKERGDGGDVMEEERSPAPPHGSGGGERKREFGGGDRKREERRAVLWPEGEREKRMD >Al_scaffold_0001_3487 pep chromosome:v.1.0:1:15911695:15912165:-1 gene:Al_scaffold_0001_3487 transcript:Al_scaffold_0001_3487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJE0] MDPKMMDRRVNSWSRTADEPGMGVSEPRGPASTQEVLVITRMTGPNDVCMVKMNVMNV >Al_scaffold_0001_3492 pep chromosome:v.1.0:1:15956789:15958708:1 gene:Al_scaffold_0001_3492 transcript:Al_scaffold_0001_3492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJE5] MLGLIPISSPDSLKKVLETLSGKWGDVVEDLECIQVKTMKGAMTNEVFMVTWPTKDNDFHHRKLLVRVYGEGVGDLLFNRKDEIRTFEVVSRYGHGPKLLGRFAGGRIEEFINARTLSAADLRDMEASARVAAKLREFHGINIPGDKNVLIWDRMRNWLRQAKSLCTPEDLAEFGLDKIEAEINLLEHELQDKCKQKEIGFCHNDLQYGNIMIDEDTNAITIIDYEYASYNPVAYDIANHFCEMAANYHSKTPHILDYTLYPGEEERSRFIHNYLSSSGEEPKEEDIKQLLDDAEKYTLASHLFWGLWGIISGYVNKIEFDYAEYSRQRFKQYWLRKPELLFSSQMYVSNTK >Al_scaffold_0001_3494 pep chromosome:v.1.0:1:15971148:15972380:-1 gene:Al_scaffold_0001_3494 transcript:Al_scaffold_0001_3494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJE7] MSQAIENQGVLGFFGIFIEWFDGIFIFGIRLVLRNILVVKAGCQWVRGDSISWGKQEYGCEEANDRSGRVKLCIGGQWRREVKIVSGKMESRSARYGVVSWILIRGTRRGVKEIRSVHGSFSKWSQWWVGDSIWVVSGELLNGGVLVLILILEYECELKELRCLRGEVLSRDRWWLVWGRILKLDCVLKEIKSIQADALYGYGDEKDGDKEVDSRVLHPIMRSSFVSIMFCFRFGSSRVILRVISNGYVSGFEVEAFNGGLQCFETEDQALFFNWKIWVTRLQNLGDYGNEDHKPTHHGTVKGFTGGRNPPKHKVYK >Al_scaffold_0001_350 pep chromosome:v.1.0:1:1380345:1383103:-1 gene:Al_scaffold_0001_350 transcript:Al_scaffold_0001_350 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04280) TAIR;Acc:AT1G04280] MGYKDVKISPLIERKDSGRRSNLERFSHYVARQLGFEDPNEYPQLCKLANGYLLKTKGYDENVYEYLANEAEADSLYVHLLEEFERCILTYFAFNWTQSSSLISQALSDESDQKVPKLKDFVMAATRKQRFERVTKDLKVTRVISTLVKEMRTIGSGSGEPHCTEVMSPVAHSKRSPVLLLMGGGMGAGKSTVLKDIFQESFWSEAEADAVVIEADAFKETDVIYRALSSRGHHDDMLQTAELVHQSSTDAASSLLVTALNDGRDVIMDGTLSWEPFVEQMIEMARNVHKQKYRMGVGYKVSEDGTITEKYWEEEEETKENGKQKIFKPYRIELVGVVCDAYLAVARGIRRALIVKRAVRVKPQLNSHKRFANAFPKYCELVDNARLYCTNAVGGPPRLIAWKDGNSKLLVDPEDFECLKRVSSLNPDAESIYELYPDPSQLSKPGSVWNDVVLVPSRPKVQKELSDAVRRIEKAQPKN >Al_scaffold_0001_3500 pep chromosome:v.1.0:1:15996465:15999652:-1 gene:Al_scaffold_0001_3500 transcript:Al_scaffold_0001_3500 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUXIN RESPONSE FACTOR 13 [Source:Projected from Arabidopsis thaliana (AT1G34170) TAIR;Acc:AT1G34170] MENNEELNAQPELLGIIDRTQTYMYEQLWKICAGPLCDLPKPGETIYYFPQGHIELIEASTKDELDQIRPHFDLPSKLRCCVDDIQLKIDQNTDDVYAEIYLMPDTTDVITPITTMDNQRPMVYSFSKILTSSDANTHGGLSILKRHATECLPPLDMSQRTPMQHLVAKDLHGREWTFKHSFRGTPRRHLFTSGWSLFATTKRLIVGDAFVFLRGENGELGVGIRRARHQLGHKPSLVISTQCMKDGVIASVVNAFKSKCKFIVVYKPSSSQFVVNYDKFVDAMNNKFIVGSRFRMRFEGQDFSEKRYSGTIIGVNDMSPHWKDSEWRSLQVQWDELSPFPRPDKVSPWEIEHLIPSSSISQPTVLQKKRARQCNEIGSTSSNLLTGQEIGQSSLSSPKSVPEFSCRDAVEDSKFPSDWLMSDLVPAIPKPNNNNNQLVQQTKEKITTEATTSCILFGVDLTKASKTKDPMGPIEATETTTSCILSQDKKLDQTLTWTSPKEVQSSKFNSTRRRIKVQMQGVVIGRAVDLTVFHGYNQLIQKLEELFDLKDELRSRNQWEIVFINNEGNVMPLGDDPWPEFCNMAKKIFIGSKEEIEKMKSRNKVSQAKSTVLTSSSDVPPNVKA >Al_scaffold_0001_3504 pep chromosome:v.1.0:1:16015272:16019233:1 gene:Al_scaffold_0001_3504 transcript:Al_scaffold_0001_3504 gene_biotype:protein_coding transcript_biotype:protein_coding description:somatic embryogenesis receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G34210) TAIR;Acc:AT1G34210] MGRKKFEAFCLVCLISLVFLFNSLWLASSNMEGDALHSLRANLVDPNNVLQSWDPTLVNPCTCDLGNADLSGQLVPQLGQLKNLQYLELYSNNITGPVPSDLGNLTNLVSLDLYLNRFTGPIPDSLGKLFKLRFLRLNNNSLTGPIPMSLTNITSLQVLDLSNNRLSGSVPDNGSFSLFTPISFANNLDLCGPVTGRPCPGSPPFSPPPPFIPPPIVPTPGGYSATGAIAGGVAAGAALLFAAPALAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSQLPLAWPIRQQIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLARLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQSNYTEAEVEQLIQVALLCTQSSPMERPKMSEVVRMLEGDGLAEKWDEWQKVEVLRQEVELSSHPTSDWILDSTDNLHAVELSGPR >Al_scaffold_0001_3509 pep chromosome:v.1.0:1:16054985:16055971:1 gene:Al_scaffold_0001_3509 transcript:Al_scaffold_0001_3509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KK43] MPLSLPARFSNYLCCHLNNPKSFLSFWFRQQPTAATVSSAITNPHHHHRRCYATAPEPNRKAKSNSSLTKLLPSLPQQHSPSPAPVSATHSLSNHFSNVVRWIPDGSLEYYADFASKLAEDGRIEDVALIVTYSLIEDVASRKRRPLKGFPGYLLSHCFSTAI >Al_scaffold_0001_3510 pep chromosome:v.1.0:1:16056178:16057467:1 gene:Al_scaffold_0001_3510 transcript:Al_scaffold_0001_3510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KK44] MWRIRVSLFDATKVAKVTKAKVNEYYEKIEAVASRIAAQVTDTEFSYENFAKDSTSDSSPNIEDDTRSPTSPQLKRRIVPLCSKEPSYDADPSKPIKLDTAAQARIDKQRKLQEDLTDEMVVLARQLKERSQMISQFVQNTEKILDSTEEAIEQSLASTGHATVRATKIYSESSKTICFQWLLIFAMTCVFIMVVLLIRVT >Al_scaffold_0001_3512 pep chromosome:v.1.0:1:16063372:16063716:-1 gene:Al_scaffold_0001_3512 transcript:Al_scaffold_0001_3512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KK46] VVIVLIWTLVTSPLLVLGGIAGKNSKAEFQASCRTTKYPREIPPLPWYRSAIPQMAMAGFFPFSAIYIELYYIFASVWGHRIYTIYSIVFIILITFTAFITVALTYFQLAAEDH >Al_scaffold_0001_3517 pep chromosome:v.1.0:1:16098290:16098816:-1 gene:Al_scaffold_0001_3517 transcript:Al_scaffold_0001_3517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KK52] MTQDNQIALSSFMSKYRMVNGTPMTQDNQLALSSFMSKESADRLALGLCEMRGAALKFGKILSIHDESLVPALVFHMSNLQLMLRQEFAIIFHRHANYKSKVGYLDAGFRNLGRPS >Al_scaffold_0001_353 pep chromosome:v.1.0:1:1399763:1401787:-1 gene:Al_scaffold_0001_353 transcript:Al_scaffold_0001_353 gene_biotype:protein_coding transcript_biotype:protein_coding description:ethylene response sensor 2 [Source:Projected from Arabidopsis thaliana (AT1G04310) TAIR;Acc:AT1G04310] MLKTLLVQWLVFFFFVIGSVATTAEDDGSLSICNCDDEDSLFSYETILDSQKVGDFLIAIAYFSIPIELLYFVSRTNVPSPYNWVVCEFIAFIVLCGMTHLLAGFTYGPHWAWVMTAVTVFKMLTGIVSFLTALSLVTLLPLLLKAKVREFMLSKKTRELDREVGIIMKQTETSLHVRMLTTKIRTSLDRHTILYTTLVELSKTLGLKNCAVWIPNEIKTEMNLTHELRPRIDDENENENVSGYAGFSIPITESDVVRIKRSEEVNMLSAGSVLASVTSRGKPGPTVGIRVPMLRVCNFKGGTPEAIHMCYAILVCVLPLRQPQGWTYQELEIVKVVADQVAVAISHAVILEESQLMREKLAEQNRALQVARENALRANQAKAAFEQMMSDAMRRPVRSILGLLPLILQDGKLPENQTVIVDAMRRTSELLVQLVNNAGDINNGTIRAAETHYFSLHSVVKESACVARCLCMANGFGFSTEIYRALPDYVVGDDRKVYQVILHMLGVLTNRKIKGNVTFWVFPVNGNSEVSERKDIQEAVWRQCYSKEYIEVRLGFEVAAEGEESSSSSNLEEEEEIPSLNVCENIVKYMQGNIRVVEDCLGLVKSVSVVFRFQLRRSIMSRGGGGYSGETFRTSTPPSTSDHWREEVGRVM >Al_scaffold_0001_3539 pep chromosome:v.1.0:1:16379761:16380968:-1 gene:Al_scaffold_0001_3539 transcript:Al_scaffold_0001_3539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KK77] MVNLDRVWLLTKIKVREFEGIKKYASEILAILLQNSTANQKRLGQMNGVDAVLEGVAMNKSKDPKTPDKEEMLDNLFDCLCCLLMPLENKERFVNAEGVELMIIIMKQKKYAYGSAIRALDFAMTNYPPACQSFVDVMGLKTAFAAFMGKASFSIPFPLTIFINSLVAYWIPLSKRIKRERYEEELEERVISLIASLFAGILRGSRRDRLLSKFVENEFEKIDRLMELYMRYSDRVRLEAERLDQLELDDLELDEDEKYNRELESGL >Al_scaffold_0001_3546 pep chromosome:v.1.0:1:16499412:16502915:1 gene:Al_scaffold_0001_3546 transcript:Al_scaffold_0001_3546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KK84] MTCLLWIHFFLILVLKNSSAEFTILSSCKSFCGNVSIPYPFGIGNGCYLNHWYEINCTEISSRKFIPFLRKINKEVVQIDLPSPIKPEEDSSLYGSLRIKTNIASMGCSGGHGQNLEEILNFTGTPFTISNKNNLMAFGCNNKATLTNVEPRIIGCISTCGTFDPWLYTLMDAFLANTTCVGNECCNASTPTEGRYVIGVKIESIHGNNPREGCNVAFVTDEYGQPSLWRNRTDSRRLHALKYATVHLKWEAMATNDSFKESLGCRDYFEDSNTTNPCYCDWDLPTQIRCACIYGYEGNPYIKNDCKDIDECKKRKDGRPEVCVNSDQICLNTPGTYQCVTKKRVSIGLSVLMVGVGIWLYIFIKKYRKTKRREKFFKRNGGLLLQQQLDSREGYVEKAVVFSSKELEKATESFSVNRVLGHGGQGTVFKGMLADGRIVAVKKSKLVDQDKVEEFINEVSILSLINHRNIVNILGCCLETEVPLLVYEYIPNGNLFQLLHEEDDHTLITWELRLRIAIDTAGALSYLHSAAASPIYHRDVKSSNILLDENYRAKVSDFGTSRSIRVDQTHLTTAVIGTTGYVDPEYFQSCQFTEKSDVYSFGVVLVELMTGEKPFAFQRFGENRTLVTYFNLALKEKRLYDIIDARIRNDCKLGQVMLIANLAKRCLNLNGKKRPSMREVWSQLESSRHGDLHTEVEVLSSDDDDDDD >Al_scaffold_0001_3548 pep chromosome:v.1.0:1:16518839:16520611:1 gene:Al_scaffold_0001_3548 transcript:Al_scaffold_0001_3548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KK86] MGYHVVRNAQKPHVVCVPYPAQGHINPMMKVAKLLYVRGFHVTFVNTVYNHNRFLWSYGSNALDGLPSFRFESIPDGLPETDMDTTQDITILCESTMNNCLAPFKNLLQRINARDNVPPVSCIVSDSCMSFTLDVAEELGVPGVLLRTTSACAFLAYLHFYLFIEKGLSPLKDESYLTKEYFDIVIDFIPSMKNLKLKDIPSFIRTTNPNDVMLKLALRETARAKRASAIMVNSFDDLEHDVIQAMKSILPPVYSIGPLHLLANREIEESSGIGMMNSNLWKEEMECLDWLDTKAQNSVIYINFGSITVLSAKQLVEFSWGLAGSGKDFLWVIRPDLVAGEKALVPPEFLKETTNRSMLPSWCPQEKVLSHPAIGGFLTHCGWNSILESISGGVPMVCWPYFADQQTNCKFCCDEWEVGIEIGGDVKREEVEAVVRELMDGEKGKKMREKAEEWRRLGEAATEHKHGSSAMNFEMVVSKILLGHKYED >Al_scaffold_0001_3555 pep chromosome:v.1.0:1:16542194:16543218:1 gene:Al_scaffold_0001_3555 transcript:Al_scaffold_0001_3555 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT1G31250) TAIR;Acc:AT1G31250] MANQYFRIAFLLSLFLSLSYQSIRIEARVGKGCIGKCYRSPTPSPSMIDSEEQANKEQINLKRLTVSPIASASVKLTPPYASPSVRLTGTTPNASPSVRLSPPNPSPSVRLAGTPNPSPSVSLTPPNPSPSVRLAGTTPNGSPSLTPPNPSPSVVSPNASPSFHT >Al_scaffold_0001_3565 pep chromosome:v.1.0:1:16605982:16607377:1 gene:Al_scaffold_0001_3565 transcript:Al_scaffold_0001_3565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKA6] MHPELIDFTEISNNLDEEENMTFPMGGDMEDYDKPRVEASDCFDFDGIINSSALVAHHCEGDECRKHTYLALVKLYARAGLHLYNMLNETNLKLDHLKRFNKQMRFLSSYYITLLAFDPTSRLQETFQVKVDDDCFNSLDFICPIARPKPLVITKEPFIPPRDYTVVLPFYKGSLPEWPPEDAFNDRKRVYVVNESELQNTDWIYLYLELVICSRHRQISDGDLSKLKIVNVAIETIEEVEPPNERLNAKRAIVYITFKGLAIGRTSEHIERKAIVRRVINEREGYLSLKGDIWS >Al_scaffold_0001_3568 pep chromosome:v.1.0:1:16614180:16616294:1 gene:Al_scaffold_0001_3568 transcript:Al_scaffold_0001_3568 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO guanyl-nucleotide exchange factor 14 [Source:Projected from Arabidopsis thaliana (AT1G31650) TAIR;Acc:AT1G31650] MITYDGLETCIINNQSYEEESGTSRGDGCLTDSLDDDAFSSCSSSKDASSSFSSKWLPMKNDEHSCDGLNLSGRSHYFDAKEKKQGYGSTQHFDGKEKKPGYVYCHLDVEAMKEKFSKLLLGEDVTGGCKGVQVALALSNAVTHLATSIFGELWKLEPLCEEKKQKWRREMDWLLSPTNYMIELVPSKQNDANGRSLEIMTPKARTDIHMNLPALQKLDSMLIETLDSMVNTEFWYSEIGSRAEGKNKTTSESKRWWLPSPQVPKPGLSNSGRKKLLDKGKVVYQVFKATKAINENILLEMPVPVVIKEAIPKSGKNSLGDELYKMLAVESATVDEIFISLNLGNEHAALETINKLESAVFAWKERITEQSSSGKSPVRASWSFAKDPLSEIGRNESLLNRTEALRNQIKAKHPNLPHSFLDATKIQYGKDIGHAVLEAYSRTLANLAFRILSRMGEILKEDSLSNPNSPAPPSCFPSSRDPYRTPERPLLSSRVRHSLTDDMNKADGTETGLDFLFADAKARSVNTTPSRSSRLWCLSKVPSDTSS >Al_scaffold_0001_3577 pep chromosome:v.1.0:1:16645713:16646855:-1 gene:Al_scaffold_0001_3577 transcript:Al_scaffold_0001_3577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKB5] MVILARLPAKSLMKLRRVSKIWSSIIRSRGFINSFYSISSKQSRFIVALSNSVFGKPAEKLTFFFLFSHDDGDDSSSLLPNFEMAIPNCSFSGFSYLASFHGILAVRADHKWLMCNPSTEQFVKLPATTAFVGYDPIDDQYKVLSWNRTRYDSNGHLQHKVLTLGDGEVWRHIKDTPLPYTYRATQTNICVNGFLYYVAWLTQTKDMVLVCFDVRSEKLSFINAPKDVVQWGRKAVFIEYKGKLASIVRDPYDRFHSTNKAGEIIMAPKRLSSDFRPFYIFYYIVETKNIRRVRLLGIGDSEEFRRSYGFQRTAGSRQSLVLIAHQHVESVGFFKDPRINLT >Al_scaffold_0001_3592 pep chromosome:v.1.0:1:16698956:16700293:1 gene:Al_scaffold_0001_3592 transcript:Al_scaffold_0001_3592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT1G31450) TAIR;Acc:AT1G31450] MATKTLLYCSLLAITIFFTSTSSAHRKNLSVELIHRDSPHSPLYNPQHTVSDRLNAAFLRSISRSRRFSTKTDLQSGLISNGGEYFMSISIGTPPSKFLAIADTGSDLTWVQCKPCQQCYKQNTPLFDKKKSSTYKTESCDSITCNALSEHEEGCDESRNACKYRYSYGDESFTKGEVATETISIDSSSGSPVSFPGTAFGCGYNNGGTFEETGSGIIGLGGGPLSLVSQLGSSIGKKFSYCLSHTSATTNGTSVINLGTNSMTSKPSKDSAILTTPLIQKDPETYYFLTLEAITVGKTKLPYTGGGGYSLNRKSKKTGNIIIDSGTTLTLLDSGFYDDFGAVVEESVTGAKRVSDPQGILTHCFKSGDKEIGLPTITMHFTGADVKLSPINSFVKLSEDIVCLSMIPTTEVAIYGNMVQMDFLVGYDLETKTVSFQRMDCSGNL >Al_scaffold_0001_3598 pep chromosome:v.1.0:1:16716416:16717631:1 gene:Al_scaffold_0001_3598 transcript:Al_scaffold_0001_3598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL18] MEEQYEKKITWTIKNFSSLPSDKICSDNFVVGDSKWRLVAYPKGHGDSLNKSLSLFLAVADSESLPYGWKRDTKYRQTVVNQTSEKLSQQKGKPWFNQNCVSWGFQSMVPLTELLDINGGFLVNGEIKIVAEVGVLEVVGKSDVLEETSLVNESIDVNGFQVLPSQVESVKSLFIKHPDIASQFRPKNPHLRTAYLNSILSLSESLCQSPEELSNADLANAYCTLTCVTKAGFKLDWLEKKLKEIGEARLQEIEEELKDMKQTCVDMETLLVFLR >Al_scaffold_0001_3606 pep chromosome:v.1.0:1:16756476:16756715:1 gene:Al_scaffold_0001_3606 transcript:Al_scaffold_0001_3606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KL26] KTIEQALDCFHEQEKRSAAEESQSTPQTKASLRWRKSSPKTKAKESLTGYDTYNIEFKFEWAYKDYIPIQSPIDNKERC >Al_scaffold_0001_3610 pep chromosome:v.1.0:1:16802733:16806497:-1 gene:Al_scaffold_0001_3610 transcript:Al_scaffold_0001_3610 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARGONAUTE 3 [Source:Projected from Arabidopsis thaliana (AT1G31290) TAIR;Acc:AT1G31290] MERGGYRGGRGDGRGRGGVGYRGRGYSGRGRGFDQDRDGGLVNRGQSSGGQVHGGRGTQLQQPRPQAVSQSSSQVQVTQGVVAGGVGRGGVGDRGQSQLGPSFGHGGRGTQLQQPRPQAVPPSTSQARVSQAFATGGVGRGGVGDRGRGYGGGERGRGRGFNQGGDGGLVNRGQSSGGQSQLGPSSGRPQPIPQSSSQAQVAQAVAAGGAWARRPQLFSDSAVLPSSSSTVVASQTASGSQVMTPKPSSSDKKEPMKRPDRGGSKLVQRVNLSVNHFNVSFPSESESVIRHYDVDIKGENPLKKISRYELAMVKEKVFTDNPDKFPFAMTAYDGQKNIFSAAELSTGSYKVEFPETDEMRARSYTFTIKQVNDELKLRDLEDYIRGSSSFIPRDVLQGMDVVMKEHPSKRMMTVGKSFFTREPDEDFRFGVVAAKGYRHTLKPTAQGLSLCLDYSVLAFRNAMSVIDYLKLYFGWSDMRQFRNCRRDVEKELTGLKVTVNHRKNKQKLTIVGLSEYNTKDITFDLIDHAGDEPPRKVSIVKYFMEKYGKDIRYKDIPCLSLGKKGRQNYVPMEFCNLVEGQIYPKEKLKGNSASRLKHLSLVNPQRRKENIENMIKLRDGPSGGDIIGNFGLKVATNMTTVEGRVLKAPTLMLTDQKGNPVTEEPRKNNQWNLTIKRVTKGSKIKHWAVLDFTASKKPHNYKMPDNFVEELTARCSRLGMTLENPIVRKTLSMDTLSNGNDLEELLRSVIDEALLNYRARPTLVLCAMSGKVDGYKTLKWLAETKLGLVTQCFLTGSANRGGDQYLANLALKINAKVGGTNVELVDNYFSFFNKEDEVMFIGADVNHPAAHDKMSPSIVAVVGTLNWPEANRYAARVKAQTHRKEEIQGFGETCLELVNAHSNATKKRPNKIVIFRDGVSDGQFDMVLNVELQNVKDTFKKIEYNPLITVIVAQKRHQTRFFPATSNDKDNVLSGTVVDTKIIHPFEYDFYLCSHHGAIGTSKPTHYYVLYDEIGFKSDQIQKLIFDVCFTFTRCTKPVALVPPVSYADKAASRGRLYYEASFMEKNSKQSRGASSSSAASVASSSSSLTVEDKEIFKVHTEIENNMFFV >Al_scaffold_0001_3626 pep chromosome:v.1.0:1:17023511:17024215:-1 gene:Al_scaffold_0001_3626 transcript:Al_scaffold_0001_3626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL47] MEEDTFSLGGKKRRLSVDQVNTLEMNFKEENKLEQERKVRIADETGLHPKQVAVWFQNRRARWKTKQLERDYCVLKAHYDSLKLEYNNLEHETQVLTSKLKELRAKVREEKREEEHTMSLKEQKRETEEENDKIFQMVPTSHNGLCSSTTLGSMAEPCQFRNYPEKEIIMVKLKELRNLNYVDYASNLQW >Al_scaffold_0001_3629 pep chromosome:v.1.0:1:17041075:17042596:-1 gene:Al_scaffold_0001_3629 transcript:Al_scaffold_0001_3629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G35250) TAIR;Acc:AT1G35250] MFQATGMAAPVMHVVFPRSWRRRHILPLRSAKTFKPLACLELRGSKGISGFHEIELKVRDYELDQFGVVNNAVYANYCQHGRHEFMDSIGINCNEVYRSGGALAIPELTIKFLAHLRSGCRFVVKTRISGLSVVRIYFEQFIFNLPNQEPILEAKGTAVWLDNKYRPARVPSQVRSYFGHFQRQHVVD >Al_scaffold_0001_3632 pep chromosome:v.1.0:1:17055475:17055950:-1 gene:Al_scaffold_0001_3632 transcript:Al_scaffold_0001_3632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KL54] IQGCDLLEGEWGKVGSILLWKLTFDGEPRVSKDRIEGIDVENNVIQWRVLEGPLKKEYKSFLKTMKVSPKQGGTGSVVKWNMKYERIDEKVVHPERLLKFFVEVITEVDQYLLSED >Al_scaffold_0001_3633 pep chromosome:v.1.0:1:17056408:17058909:-1 gene:Al_scaffold_0001_3633 transcript:Al_scaffold_0001_3633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL55] MGKCLALMIFLSSSLLFVLQNLEVVNAVRCSGSFFKGNSSYSENRHKLFSTLANKVVTNGGFYNASLGKSPNTVYALVLCARGYQRQACSSCVEKVTQGTQSSCPDRMDTFKWDNDDEDHVSCLVRSTNYSTLGTLQLGPPVIFPSPLSIDPSRDMTLFKQQWETTVNRTLEAATKAENSSVIKYYSAVQAEFTEFPNVYMLMQCTPDISSRDCKRCLGDCVTYFTKQFWGRQGGEVSRPNCVFRWDLYAFHGAFDNVTRVPAPPQQVKGSSITEKKGRSIGYSKIIAIIAVPTFINILVYIGLIKVYAWRRKSNNGIDVGRAEYSDSDGQFMLRFDLGMILVATNDFSSENALGQGGFGTVYKGTLLNGQEIAVKRLTRGSGQGDMEFKNEVSLLTRLQHRNLVKLLGFCNEGDEEILVYEFVPNSSLDHFIFDEEKRSLLTWELRCRIIEGIARGLLYLHEDSQLKIIHRDLKASNILLDAEMNPKVADFGTARLFEADETRAETRRIAGTRGYMAPEYLNHGQISAKSDVYSFGVMLLEIISGERNNSFVGEGLAAFAWKRWVEGNTESIIDHFLIDQPRNEIIKLIQIGLLCVQENAAKRPTISSVIVWLGSETIIIPLPRAPAFTRSQSQSENGIRSMSDDVFTELSCR >Al_scaffold_0001_3636 pep chromosome:v.1.0:1:17074628:17077517:1 gene:Al_scaffold_0001_3636 transcript:Al_scaffold_0001_3636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL59] MFQATGRAAPAMHVMFPCSSRRPVIILLRNLTTFKPLACLELKRGKGKSSFPEIELKVRDYELDPFGVVNNAVYANYCQHGRHEFMESIGINCDEVSRSGEALAISEFTIKFLAPLRQSGCKFVMKSRISGVFVARIYFEQFIFKLPNLEPILEAKGTGVWLDNKYRPARVPSHIRSHFVHFQRQDMRS >Al_scaffold_0001_3637 pep chromosome:v.1.0:1:17094537:17095470:-1 gene:Al_scaffold_0001_3637 transcript:Al_scaffold_0001_3637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL60] MSILSDLLILLSTILQIMVVKKSTTWMVDRDTNRDDDGVVVISTMMEWRTEEAHMKVWHKEKIECGPRKCQNGQEEVVIDQHTDHLD >Al_scaffold_0001_3638 pep chromosome:v.1.0:1:17100634:17111420:1 gene:Al_scaffold_0001_3638 transcript:Al_scaffold_0001_3638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL61] MVEEEVEADVEIKTTADKVYLFARRSQNVVSATRYIQGCDLLEGEWDKVGSIIFWKLAFDGEPRVSKDRIETVDMEKNVIQWRVLEGPLKKEYKTFLKTVKVSPKQGGSGSVVKWNMKYERIDEKVAHPKRLLQFFVEVIKEVDRYLLSEE >Al_scaffold_0001_3639 pep chromosome:v.1.0:1:17114354:17115424:1 gene:Al_scaffold_0001_3639 transcript:Al_scaffold_0001_3639 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G35330) TAIR;Acc:AT1G35330] MTIGKSPILGRHHVVFLLLLVLQVTGQQKPGTIGPPYSAQRPKQIPAVIIGMLMFTLLFSLFACCVCFKYTSTSPHGTSSDTEEGGHGEVAFTRRTSRGLGKDVITSFPSFLYSQVKGLKIGKGGVECAICLNEFEDEETLRLMPPCSHVFHASCIDVWLSSRSTCPVCRASLLPKPGSDQISLYPFIRPHDNQDMDDLETGNARRSVLESPDQHLLDRLTWSNNTRANRPPRSRSTGLSNWRITEILFPRSHSTGHSLVPIGENLDRFTLQLPEEVRRQLSHTTTLPKARSSRQGYRSGSVGSERRAKGKEREFGEGSFDRLKPEMV >Al_scaffold_0001_3645 pep chromosome:v.1.0:1:17159797:17160506:-1 gene:Al_scaffold_0001_3645 transcript:Al_scaffold_0001_3645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KL66] ILRSNRKPPSSSSTAVSENEQRELLETITSNLPLDKSFISSTRFLFGLLRTAIILNASEICRATLDDLLVPSYSYLNETLYDVDLVERILAHFLDTLEQSNTAVVEADGKSLSLMLVGKLIDGFLAEIASDANLKSDKFYNLAISLPDQARLYDDGLYRAVNVYLKAHPWVSEAEREKICGVMDCQKLTLETCTHAAQN >Al_scaffold_0001_3647 pep chromosome:v.1.0:1:17174406:17175161:1 gene:Al_scaffold_0001_3647 transcript:Al_scaffold_0001_3647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1184) [Source:Projected from Arabidopsis thaliana (AT1G35365) TAIR;Acc:AT1G35365] MESMSITHRVVRHDKRLPQSRYSPYILGSVTTTIPYTKETDLTIERQKDEAIRLGVELSLFVAEAMFILSDDLRSMLLFFPLVVKYAGYKNTNDGPVRESSFGDVVKLQTDFEHYNQELKKLEETLSSAKDVSEANGFAREAIKSNILHMWESLFETPSKLIHPKVRMLEMFRPLLNQSRENICSRLIASLHI >Al_scaffold_0001_3653 pep chromosome:v.1.0:1:17216250:17217356:-1 gene:Al_scaffold_0001_3653 transcript:Al_scaffold_0001_3653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL78] MEVIFVLRLLTLTWFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNVTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKQRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTTIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0001_3654 pep chromosome:v.1.0:1:17223345:17251137:-1 gene:Al_scaffold_0001_3654 transcript:Al_scaffold_0001_3654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL79] MAYFHDVSILRPCITGWHIRVKVLRMITVCINPRNALRLVLVDDKGFKIDCWINSEYAKHYAEFLKEDRWFSFTAFRVLENSDRVRLTNHHFRMSVFGTTVVLPADPPSTEPRDSFTPFSSIIDGTVDESVLIDLIGVLSDVGELVNVGTNPSDLTGFRLSFRIRGPWKKTLKCCAYGGVAIECHDRFKNVIGTDVTCVLRWWKVYQLLDGWRHVRIYSHPPYSNILVQSDADNVEHS >Al_scaffold_0001_3655 pep chromosome:v.1.0:1:17251761:17253271:-1 gene:Al_scaffold_0001_3655 transcript:Al_scaffold_0001_3655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL80] MSEQIYLSNLARGRTVKYVRVKILSLWRVRIYGFRCKTEMLLADEQGTKIEGTIGCGPFHNVDMRELREDAWYTISDFVVSVPIRRTPNTLHPFHIKFHSDTKMTLIYNLYSLNFFVFAKYSVTKRRLLEANRPFDLCGVVVYVSEIKRMTYVPGEYDASTACNYLYFRLMDQKGRELPCFALGHYAADFMNMWTSRGYQASFRYQPVFCVLRFWKVDEFMGEPSISTRIGCSKIYLEPTLPEIKDLRMMSVFIARVTWAIEEGEDCDDAGEVVDQNV >Al_scaffold_0001_3658 pep chromosome:v.1.0:1:17294234:17295280:1 gene:Al_scaffold_0001_3658 transcript:Al_scaffold_0001_3658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL84] MAIKPPISPIPMSGSKITVHQRKRKRFRDPLYQAHVDLQRFSSLSNTRRMDAYGLWDLVKEIGEKENSSVLNLEILKELIALCGIGYAMYALRVLYYQSESRWSVGSVCDLVLMHERLEKKKAEYAERMKKKVAAIHKEAEQRRH >Al_scaffold_0001_3659 pep chromosome:v.1.0:1:17295717:17296246:-1 gene:Al_scaffold_0001_3659 transcript:Al_scaffold_0001_3659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL85] MKTKAVAADPMDAAGKLDKPKSNPNLTRTETKITDRRTAKTSRRTFNSTTGSERLTYSEINPGRKKKVEGEDDFRKPTSSSNSGQRQAITATQIQTKQGKIFTTSQKTVETKKEKQLLHGETSTSAVEATSNRSQAESTYSGG >Al_scaffold_0001_3661 pep chromosome:v.1.0:1:17313706:17315113:1 gene:Al_scaffold_0001_3661 transcript:Al_scaffold_0001_3661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL87] MSRFWIYITSKPLLRSFPSAFSDMVKSLNLRKLTTAADYRYLGKSKMLCHIECHMLNLSMDLINDRNIKEARMKIDVDLWEAAHDPILIERMENYKPPHQLSDILEID >Al_scaffold_0001_3662 pep chromosome:v.1.0:1:17317404:17318381:-1 gene:Al_scaffold_0001_3662 transcript:Al_scaffold_0001_3662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL88] MKKWLGTQASINIAKVLSIQELMNSTPRHYYDEHGVVGPFIDNEVGILNGCERIISSICDVHSIYDYIADYGGEDGVSMFHQELEYQLREGGPLSIQFEVYPSYYTTQGNLYNPSNSELEFIPLGGHYVITTALGTLDGFLALECQDTLLENKYFIFNDFPTKYAM >Al_scaffold_0001_3664 pep chromosome:v.1.0:1:17338360:17341447:-1 gene:Al_scaffold_0001_3664 transcript:Al_scaffold_0001_3664 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPla/RYanodine receptor (SPRY) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G35470) TAIR;Acc:AT1G35470] MNSSPPPANSANGDTTNNVVNGQDLNLNFLDKIRLSATRDAKEDEGEDLPTELNTINSAGGFLVVSPDKLSVKYTNTNLHGHDVGVVQANKPAPIKCLTYYFEIFVKDSGIKGQIAIGFTKESFKMRRQPGWEVNSCGYHGDDGFLYRGQGKGEPFGPKYTKDDTVGGGINYVSQEFFFTKNGAIVGKIPKDIRGHLFPTVAVHSQNEEVSVNFGKKRFAFDIKVCLMSVLGTGVTESMAMGYEATERNKQQMAIEKISTPPNIGYGLVKTYLLHYGYEETLNAFNLATQTTVPPIHIDQENAIDEDDSSYALKQRKNFRQLVRNGEIDTALAELRNLYPQIVQDDKSVVCFLLHCQKFIELVRVGKLEEGVKYGRLELAKFVGLTGFQDIVEDCFALLAYEKPEESSVRYFLEDSQRELVADAVNAAILSTNPNKKDVQRSCHLQSHLEKLLRQLTVCCLERRSLSGDQGETFRLHHFLNNNR >Al_scaffold_0001_3669 pep chromosome:v.1.0:1:17365982:17377143:-1 gene:Al_scaffold_0001_3669 transcript:Al_scaffold_0001_3669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLV6] MESTMSMTDRVHVVRHNNSKTDLNNEKEKQKEEAIRLGVELSLFVAEAMFLLSDDLRSIFEYYNQEMKKLEEKLRSAKEFSEANGFVRDEIKSNIFHLWKSLFETTPEVINPNKPILFELFRPVRDEDLRRRLASLLI >Al_scaffold_0001_3670 pep chromosome:v.1.0:1:17382363:17382749:-1 gene:Al_scaffold_0001_3670 transcript:Al_scaffold_0001_3670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like (DEFL) family protein [Source:Projected from Arabidopsis thaliana (AT1G35537) TAIR;Acc:AT1G35537] MVSSRKCVFLVFLCLVVLLIPKSTKAKDIDGRKPLLIGTCVEFPAERCNKTCIDSNFASGKCVHVGQSLDFVCVCYPKYKI >Al_scaffold_0001_3677 pep chromosome:v.1.0:1:17445556:17447275:1 gene:Al_scaffold_0001_3677 transcript:Al_scaffold_0001_3677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLW2] MMKKRLKYQSTIKKTNKQRPEKNHAVHLMKIHTRMLLKKREPRMRFHMLLKKAIHNARMSMIREESCSPPDEDSHENVNDEKVAQEEGATDEVPHATEESDPQCSNVNDKVSQKKEKQHEEMRNMDGAPQGTQESDSQRPNVNDKVAQTEEAQHNKMGHTEEPSQESDSQQSKVNDKEEEARLKKEHSDRKLSEIEQKEIDNRVILWAKNKNFIFMMSSLHQIIWSNSSWETVHHFDLLNNDNEIDLAKRKALLTLHPDKQHGASTEQKYLATRLFSVIKQEWDTYIKKKKV >Al_scaffold_0001_3678 pep chromosome:v.1.0:1:17451647:17457175:1 gene:Al_scaffold_0001_3678 transcript:Al_scaffold_0001_3678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLW3] MKISTDLRLTFCSSAVKVMRVAEIFDVSFMIDKRSKTVYVSGKIDPQLILEKITKGGINVVLVWSNKGQNKQNRKEYLMEQCYASGYMNAPNGFSNPQPYIQTFAPPPPYTRQLHPQPPAPPPYEFHQKEPVAKSFPPTPAPPKNFTMGDLQLGCRIM >Al_scaffold_0001_3682 pep chromosome:v.1.0:1:17472524:17474041:-1 gene:Al_scaffold_0001_3682 transcript:Al_scaffold_0001_3682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLW5] MNCRLITIMFLLVISQLASAKVVLIGENTILSFEYVEATFTPIVRRSGEYGSLYAAEPLDACSDLTNMAEKGSRFRSSYVLIVRGGCSFEEKVIKAQKAGYKAAIVYNNGYDELLVPRNSSGVHIHGLLVTRASGEVLKGYSGRSEMELWLIPGFGISLWSIMCITFISLLTISAVLATCFVFHMHRIRRSVRDLHLPYGGQGLSRMPRNLLQSMPTEVYTGVLEESSTSVTCAICIDDYCVGEKLKILPCKHKFHAVCIDSWLGHCRSFCPVCKRMPRTGNDVPPASETTPLLSPSPNSITSLQSFYDLPIAVKVYL >Al_scaffold_0001_3683 pep chromosome:v.1.0:1:17478559:17478723:-1 gene:Al_scaffold_0001_3683 transcript:Al_scaffold_0001_3683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLW6] MDSNELDTNVIIAHEEATGVGPQEEGDPDNIMDLTMNPTMKTQGSETLLKSRRL >Al_scaffold_0001_3684 pep chromosome:v.1.0:1:17483948:17484733:-1 gene:Al_scaffold_0001_3684 transcript:Al_scaffold_0001_3684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KLW7] VETSSRILANTRFTASRRRGRIGIIGGSVRFPPPISLRRVTISATNLPEAPFSDSPAAPMTDPQPSNPSHSDLSLKPPDLAKSKFSEHNPPQSGPVEATSRWQPEDGGSNIHPTATTRESHLRSLRIYPLCRMSREKNQAGHWRPQIKATTTNPLSHQKGAATASNRERNHPTPLTEKKMHSPLLPICKSTTSSEDQAKLNPRSKREKRRETERVFFFKRGTIVRLPSDLFKMFRPTHS >Al_scaffold_0001_3686 pep chromosome:v.1.0:1:17503236:17503803:1 gene:Al_scaffold_0001_3686 transcript:Al_scaffold_0001_3686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLW9] MLLAHPGWRSSSHKLEFVSTTIWAWSTLAAGGLPTIYHQTLHHQMRARDRYANGPVSRVLETINQLEAKGIMASVANISTLIQQNHPGARINLRESLNIAVKISRVTKNNSEEEGTPLFYVTRRGAQIDSFIDTSALAESKAYQKAATTSSIHNMRTTHGLCPEGF >Al_scaffold_0001_3687 pep chromosome:v.1.0:1:17516525:17519114:1 gene:Al_scaffold_0001_3687 transcript:Al_scaffold_0001_3687 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium-dependent protein kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G35670) TAIR;Acc:AT1G35670] MESKPNPRRPSNTVLPYQTPRLRDHYLLGKKLGQGQFGTTYLCTEKSTSANYACKSIPKRKLVCREDYEDVWREIQIMHHLSEHPNVVRIKGTYEDSVFVHIVMEVCEGGELFDRIVSKGHFSEREAVKLIKTILGVVEACHSLGVMHRDLKPENFLFDSPKEDAKLKATDFGLSVFYKPGQYLYDVVGSPYYVAPEVLKKCYGPEIDVWSAGVILYILLSGVPPFWAETESGIFRQILQGKLDFKSDPWPSISEAAKDLIYKMLERSPKKRISAHEALCHPWIVDEQAAPDKPLDPAVLSRLKQFSQMNKIKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFEELKAGLKRVGSELMESEIKSLMDAADIDNSGTIDYGEFLAATLHMNKMEREENLVAAFSYFDKDGSGYITIDELQSACTEFGLCDTPLDDMIKEIDLDNDGKIDFSEFTAMMRKGDGVGRSRTMMKNLNFNIADAFGVDGQKSDD >Al_scaffold_0001_370 pep chromosome:v.1.0:1:1462024:1463001:1 gene:Al_scaffold_0001_370 transcript:Al_scaffold_0001_370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDF1] EKKKKKEKKNKNIKKKKKKEKKNKNIKKKKKQEVLKKKKKEMNDERWILLF >Al_scaffold_0001_3703 pep chromosome:v.1.0:1:17596094:17598068:-1 gene:Al_scaffold_0001_3703 transcript:Al_scaffold_0001_3703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLY4] MKYTGSGCGDGVLSQFLDVTSDSSCLPDVEESVKEYLDDVSDAVDLSSVLHVEASAAFLSLDEFKDVLSDLGALSADKDYVLAVLYLEAKSLDPLMQTKKRFVAAGMKPYPSDGLECDEILMNTNEDTLECINDIVISSWRLCPAYLRLRFAESLILYKSINVHTVWDAWYRVLSGDVLAEQKIARNNPAVSQKGVLFITLGLELSDVQLEWYGFRVMRKVMDDLGFHHAGFEGVRLGIVRRLLTYKCDDMSM >Al_scaffold_0001_3706 pep chromosome:v.1.0:1:17610335:17615141:-1 gene:Al_scaffold_0001_3706 transcript:Al_scaffold_0001_3706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLY7] MFTSKTYDSVWRLNPSKYEWVVETKVLCSWTRRLENSGRRLVFVLADREGSRIHATVEDKNIKKFDSVLKEGDVVTLNPFKLIKYSGDYKSNSLSFKILFYRTTQVKPCDDFPKEVPEKYFVEFGDVLNGSRDTRVFVDVIGQIVNVGPIEDIKIRGKSTPKLDVELRDRGNVRLICTLLADFAKQVKVYTEANPAAVVCVIRCAQVKEWKGNWTISNAMGATRVLLDPPGVFVDEFRSGLPTDGVVLTNHDNSELFAGSTVSIRDQFLVKNHKRTVRDIVEALEEGMCVTMVTVGSVERTSKWYYVSCKMCNKSVEPYPENSGDDGNPPLYYCGICDKDVSAVVFRYRLVLEVSDATNYKARFLLFDAMGSTLLRRTAQELYNAVSENDPSILPLEIGALVGRRFLFKVSIGGDNLKSDRSHYVVQLFSDDDELIKEYSDGLDSEVLVPLPVTPITKEASVRRGGVSVENPKPPAKKIKIEGMKEESFATT >Al_scaffold_0001_3707 pep chromosome:v.1.0:1:17618191:17619397:-1 gene:Al_scaffold_0001_3707 transcript:Al_scaffold_0001_3707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLY9] MDEHVDDLKPIKLCWSIQVKVLNKWRERSEAGYRALRMILCDKRGSMIEAKINSELITEYDSEFKDGDWISLHHFELDLVTGDMRTTRHAYRINFLPSTILNLIPDKDDLHYTLYPSSILDVLDDKLIRTYLINLIGYVVDIEDIKYSDPSKRSAGYAMTSFKIKDANNYVLPCVAIGDLAEHFHDEWKRTRSSRVVCVLRWWGVYKVSGHMVIQSVGRCSRLDLDPDMPEVADFRVKSSASTGSSRYRA >Al_scaffold_0001_3710 pep chromosome:v.1.0:1:17623273:17624339:-1 gene:Al_scaffold_0001_3710 transcript:Al_scaffold_0001_3710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLZ1] MSQNSSHDITSDVDQPDVCVDFADLGPGMVTMRVKVRIIRRFVCPAYGARFVEFVLEDPKGQKIHAVIGGDVAQRFSSILIEGNCIILSDFVVRMALGRFRLSSHRFRLGSNALTSVNLIRPFSQSDNFHFAKFSDIKEGHLNPCFCVDLIGRLLVTFDFRRSESNRVKHRFFSDERPKKWIGDFQILIVRFAKLEVVKGNVTATTACTCTQFFFDHECPEFLVMRRFFTRK >Al_scaffold_0001_3712 pep chromosome:v.1.0:1:17640751:17641287:1 gene:Al_scaffold_0001_3712 transcript:Al_scaffold_0001_3712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLZ4] MKDSTGISVDTTTSVISASNSWWQEREPQFSVNQRREQLSNDGLDNDEGHVYFETYDGDMQDSQVPETQENEEVYRVNIDDETRQLNAFIRENLHQNSSPAAPFQIPPSKFNNEVE >Al_scaffold_0001_3714 pep chromosome:v.1.0:1:17663501:17668123:-1 gene:Al_scaffold_0001_3714 transcript:Al_scaffold_0001_3714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLZ6] MSDPENSVSPLGDSSSSQIKQQSYATVVNKRPALKKHDFEVSFVDGVPTIEVPSERFPSKVKVVEIEEGEVVEENGLARAESPVSVSGAVRFDSNGKSKEVPQDKTSEKTTEQESPLKINDTEGWSLVSPEKGRKSSEEKKNSLEVGQVTILSASRFSVLNDQLDELPAKEDESTLMTSKDQSEPIRNKADITATIETEKKSILVEKQSSLRATRNTKKSQKATSESLPQQAMMLLRIPTSIFAILDRS >Al_scaffold_0001_3717 pep chromosome:v.1.0:1:17696198:17696530:-1 gene:Al_scaffold_0001_3717 transcript:Al_scaffold_0001_3717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLZ9] MGYKNAHIVDPEGLSGGLALFWKDSYNVEILFSDSRIIDAKVKLGSLIFYISCVYGDPVAHLRQIVWDKLIDISVLRNDPWLVLGDLNEIMNNSEKLGGPARVESSFYGI >Al_scaffold_0001_3724 pep chromosome:v.1.0:1:17760793:17761724:1 gene:Al_scaffold_0001_3724 transcript:Al_scaffold_0001_3724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KM04] MKPSFVLLSIALLLSSSLSHASDFGSPSHPPVMSPTPEPSNSIDCSSVIYSMVDCLSFLTVGSTDPSPTKTCCVGIKTVLEYNPKCLCSALESSRAMGFVLDDTKALAMPKICNVPIDPHCDVSNPVATTPISPPVEPPTTSPPSAKSPAMTPSSPAVSHSPPPVSHSPPPVRHSPPPVRHSPPPVRHSSPVEAASSPLKAVSSSTAIASSPMAASPSPSPSISSTGILSVSKQFLAAVMVSSFVYIFA >Al_scaffold_0001_3726 pep chromosome:v.1.0:1:17778118:17778440:1 gene:Al_scaffold_0001_3726 transcript:Al_scaffold_0001_3726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KM06] MWDRGALSSLLRSFSVSGDFFLILLFLGRKFFHWGASTKWVVVAKPWQNCDHFVTTSKNGEKLNLLESRTACLRVYCKVYYRIANSFIR >Al_scaffold_0001_3734 pep chromosome:v.1.0:1:17881438:17882746:1 gene:Al_scaffold_0001_3734 transcript:Al_scaffold_0001_3734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KM15] MADAKRERSRAASKIEDPIQLFTNQMQQQTIAIYGFPFGLQLLAYRNISGLLDKILGSSDERTFLEWHSIGIPKNNLSLNEVHLLERVLDLDVVRHDFAESVKKPEIYEDAKISVHKFSRSRQSTCPPSRKVRIAAKHPIMSKSPGKAAVDSLNIRTPPSSPLTSMHEEENAVSGQPAIFVDDITWRQITSQKMNSTVKEVVEAENFGNTNMDSVTSPQNYLVMGI >Al_scaffold_0001_3737 pep chromosome:v.1.0:1:17892472:17893307:1 gene:Al_scaffold_0001_3737 transcript:Al_scaffold_0001_3737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KM17] MERVTEMKSEGRDHDRKMRKAAGSSQAPKEKKTKKGKKNQEHYKRNTKHKSKAKAQSHFVITKAKAQSHYKS >Al_scaffold_0001_3743 pep chromosome:v.1.0:1:17948342:17948929:-1 gene:Al_scaffold_0001_3743 transcript:Al_scaffold_0001_3743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KM23] MNKVVIYVLALSFLLFSELPNTALARVQYGSPSSRKEIGKGVWDQKVFNEIKIKVGGSNSEHALGCARSCVPRPKK >Al_scaffold_0001_3746 pep chromosome:v.1.0:1:17970416:17971006:-1 gene:Al_scaffold_0001_3746 transcript:Al_scaffold_0001_3746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KM27] MAKGIESVSPHERFSRTFDTKHNTKAEEQWPEPKLHSNKIQPQEQTKTPQKRRNRYPEQLWNPKNARRNERKRGEEQLTKQQGKTMPMPHKEETHQNLVASTPFLASLHSVEDEANNRSARFLPSPRFKTTKLSWKGKREANHGDDKKRRPPE >Al_scaffold_0001_3747 pep chromosome:v.1.0:1:17991215:17991748:1 gene:Al_scaffold_0001_3747 transcript:Al_scaffold_0001_3747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KM28] MMETRQNSVQFQRPTFLPMLCSRPSIKNVTLPAKSHQEDSYQQADPLSPKISCIGQVKRSNKIVGFPTTTSISITPASHHRYFKLKRLFSGKNLSFSAPTTTTTRTSRGRIRKEDFGNKKIAVIDVAELDPPLPVVKKTHDGGAGDKAAENLWKRRSGGSCQLRSLQIQPNGDHQLK >Al_scaffold_0001_3749 pep chromosome:v.1.0:1:18007966:18010987:1 gene:Al_scaffold_0001_3749 transcript:Al_scaffold_0001_3749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:D7KM30] MESGNVVNAQPELSGITVDESKSYMYEQLWKLCAGPLYDIPKVGEKVYYFPQGHIEILSLSLSLSLSLSLSLSLSLSLSLSRVIAIQLKVEKNSDETYAEITLMPYTTQVVIHNQNDNHYRPSVNSFTKVLTASDTSAHGGFSVPRKHAIECLPPLNMSEPLPAQELLTTDLQGNQWRFKHSYRGTPPRHLITTGWNAFTTSKKLVAGDVIVFLRGECGELRVGIRRAGHQQGNRPSSLISIDSMGHGVIASAVHAFDNQCMFIVVCKPSIRSSQFIVSYDKFLDAVNKKFNVGSRFTMRFEGDDLSERRYSGTIIGVKDFSPHWIESEWRSLEVKFSFTLYNIILRVKWDEFASFPRPDKVSPWEIEHLTPLSNVLRSSLLKNKRSREVNEIGSTSSHLLPPISTQDQEIGQPSMIYPMNVLPSYRDATEDAEIPSRLLISYPVPTMPKLTYNNNQMVTPLEKNITTNASASCRLFGVSLATPSEIKDPIDQQDSYQISEISKLSQEKKFGLGQTLTSAREIQSKQLSSTRSCTKVQMEGVTVGRALDLSVLNGYDQLILELEKLFDLNGQLQTRNQWEISFIDNEGDKMFVGDDPWPEFCNMVKRIIIYTKEKVKNLKSEQSLKLNK >Al_scaffold_0001_3751 pep chromosome:v.1.0:1:18019355:18021483:-1 gene:Al_scaffold_0001_3751 transcript:Al_scaffold_0001_3751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMR6] MDKGEHSLAPEWLRSSGHASGGGSSNHLLVSSSSHSDSASLQHNSRNRNSRSKSDVDSIHSPFLDRSSSTNSRRGSSNGSAKHAYSSFNFNRSQRDKDRSRDKDRVSYVDPWDLDTSIPLRTILTGRDPDPLRRSHSMVTRKQGDHLSRGLTVGLKNGSTGNSYNGNGVLSGPSIGNSFQRTGFDKDFPSLGAEEKQNGQDVVRVSSPGISSAVQNLPVGNSALIGGEGWTSALAEVPNVIEKACAGSLTSPKANAVSTGTLTGTTGLNMAEALVQAPARTHTPPQGSVKTQRLEDLAIKQSRQLIPVVPSAPKCSSLNSSDKSKTKQVVRTGETCLAPSRNAQQQPSVLLGNFQSNPSGQIKPEKKLLVLKPARENGVSAVKESGSPSANTNTRAGSNQQMSNAQSTQSAPVRSINSPKELKGASAFSIISGQTIEKKSSTAQTQSRSAFYSALKQKKTASTSISTDPVDSSTSVSSSVEGKVNSSKDLKASDPSSPQATSGLEVTERVQVASHTSGFEATDTPDEEEAEFLRSLGWDENNGEDEALTEEEIKTFYEQYKELRPSLPQNLSMIHEAREDVV >Al_scaffold_0001_3752 pep chromosome:v.1.0:1:18067379:18067606:1 gene:Al_scaffold_0001_3752 transcript:Al_scaffold_0001_3752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMR7] MMRIDGDGGRDVAEKKGSSSPQRRSIERKRYGSMTVRISYNEEDQSIPQLGKTKEKQRRKKMKEKGQIRVRVLCD >Al_scaffold_0001_3761 pep chromosome:v.1.0:1:18233828:18234181:-1 gene:Al_scaffold_0001_3761 transcript:Al_scaffold_0001_3761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMS2] MAKQCMFLRLMIPAMMSAFVPINIRKKSNAMLDVKKITKKVPLNKDPGDCAIYTLKYIECLALGKSFDGLCDENINAIRVKLAAELFDEVREAAKPSNLDLCGVGFKIPSLMDESIE >Al_scaffold_0001_3763 pep chromosome:v.1.0:1:18236900:18237211:1 gene:Al_scaffold_0001_3763 transcript:Al_scaffold_0001_3763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMS3] MNRTLEKEDVAKQIQRDSIGGGNQRLGFRGNAGDDWKIRKTTGSRRTREVGGGFPRERAPSSMAASVIGGDCCCSARTKEHRLLWLVFCNRRLRVFVGVAISI >Al_scaffold_0001_3764 pep chromosome:v.1.0:1:18243610:18245628:-1 gene:Al_scaffold_0001_3764 transcript:Al_scaffold_0001_3764 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2 C-terminal RRM only like 1 [Source:Projected from Arabidopsis thaliana (AT1G37140) TAIR;Acc:AT1G37140] MEKKKPIKSLNPNAPEYLPRFSKNPNLYYPPPSCPSVFNFNKPFPCSTKPCKAITGADVKPEKEPFRYGFCHQRCLPPRLLKKQVVPLPENKLAGKTSVMVKNIPNCLGRTDLLMILDNHCRKHKTESSYDFLYLPMDFVKRANLGYAFVNFTSSVAAERFRREFENFSWGNLGYRKKICEITVAKYQGKEELSQHFKNSRFTCHTDEYLPVVLSPPSNGFTAYTFTTLGDRVSLRGRGSRLR >Al_scaffold_0001_3768 pep chromosome:v.1.0:1:18421892:18422820:-1 gene:Al_scaffold_0001_3768 transcript:Al_scaffold_0001_3768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMS7] MDQKESSIWSRWIDLIARKTQQLSVLRYEVYPARKSTCKLVKNHSFHESRKILSVKNIAVSGRSFGNTFPVIPEPLYTNRRWLQTEWVSGKLPEPLDQSEKKLKHVRLIACI >Al_scaffold_0001_3772 pep chromosome:v.1.0:1:21521490:21522944:1 gene:Al_scaffold_0001_3772 transcript:Al_scaffold_0001_3772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KMT5] AVCQFWLIQNAHDPSKLMAETPCKLLRYLVSDNNNIDADAEVEVMKMCMPLLSPASGAGELIAKLDLNDPSAVRKVEPFHGGFPRLGLPTAISGKVHQRCAATLNVARMILADYEDKVDEVVQDLLNCLDSPELPFLQWQECFAVLATRLPKNLRNMAHVSSCDEKERGALARLIEPLMSLAKSYEGGRESHARVIVHSLFEEYLSVEELFNDNMLADVIERMRQQYKKDLLKIVDIVLSHQGIINKNKLVLRHLEQNKREGLVSMISKALTKPFL >Al_scaffold_0001_3776 pep chromosome:v.1.0:1:21611616:21620078:1 gene:Al_scaffold_0001_3776 transcript:Al_scaffold_0001_3776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein [Source:Projected from Arabidopsis thaliana (AT1G42470) TAIR;Acc:AT1G42470] MQILFVGYGVEAKQSAGYCAMYDICGARSDGKVLNCPFNIPAVKPDDLLSSKIQSLCPTITGNVCCTETQFDTLRSQVQQAIPFIVGCPACLRNFLNLFCELTCSPDQSLFINVTSTTKVKNNSTVDGIQYYITDDFGAGMYESCKNVKFGSSNSRALDFLGAGAKNFKEWFAFIGQKAGVNVPGSPYGIAFLPTPPVSSGMRPMNVSTYSCGDESLGCSCGDCPSAATCSSKAEVPTQKKHSCSIKIGSLEAKCVDFILAIVYIVLVSLFLGGGLLHRVRGKKKTSQMRPSSEAGGEQNSANLQKPDTIHAQMLQNTPQRNWGQLSTVQGHLANFYRKYGIWVARHPTLVLCLSVSVVLLLCVGLIRFKVETRPDKLWVGSGSRAAQEKQFFDTHLAPFYRIEQLIIATVQKSSHEKAPEILTDDNIKLLFDIQKKVDGLRANHSGSMVSLTDICMKPLGEDCATQSVLQYFKMKPENYDDFGGVDHVKYCFEHFTSTDSCLSAFKGPLDPTTALGGFSGNSFSEASAFLVTYPVDNAVDNKGNKTEKAVAWEKAFIQLAKDELLPMVKAKNLTLSFSSESSIEEELKRESTADVITIAISYLVMFAYISLTLGDSPRLNSFYITSKVLLGLSGVLLVMLSVLGSVGFFSAVGMKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQEQELPLERRISNALMEVGPSITLASLAEILAFAVGAFIKMPAVRVFSMFAALAVLLDFLLQITAFVALIVFDFQRTEDKRVDCFPCIKTSKSSNSADKGVGQRKAGLLTRYMKEVHAPVLSHWAVKILVIAFFFGLAMAGIALSTRIEPGLEQQIVLPQDSYLQGYFNNISTYLRIGPPLYFVLKNYNYSSESRQTNQLCSINKCDSNSLLNEIARASLTPELSYIAKPAASWLDDFLVWLSPEAFGCCRKFTNGTFCPPDDQPPCCFPDQGSCGLSEVCKDCTTCFRHADLSSDRPSTTQFKEKLPWFLNALPSADCAKGGHGAYSSSVDLQGYENGIIQASSFRTYHTPLNKQADFVNSMRAAQEFSAKVSRSLKMEIYPYSVFYMFFEQYLDIWKTALINLSIAIAAVFVVCLIITCSFWSSAIILLVIAMIIIDLLGVMAVFHIQLNALSVVNLIMSVGIAVEFCVHITHAFSHWGQKPSDERGVGWDGSFSFQRNYIDEASWGDCARFLKIRSLCGLLLQDVSGTSPPRFLARPCIFTGVLEHVWSFSKTCRRGKARSSTFAAHPFVNG >Al_scaffold_0001_3779 pep chromosome:v.1.0:1:21643133:21646392:1 gene:Al_scaffold_0001_3779 transcript:Al_scaffold_0001_3779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7KMU3] MKQLWALLFLSCLCTGLFTKAHSEKPKVVKIGSIFSFDSVIGKVAKIAIDEAVKDVNSNPDILRGTKLSVSMQNSNCSGFMGMVEALRFMEKDIVGIIGPQCSVVAHMISHMANELHVPLLSFAVTDPVMSPLQFPYFIRTTQSDLYQMDAIASIVDFYGWKEVIAVFVDDDFGRNGVAALNDKLASRRLRITYKAGLHPDTVVNKNEIMNMLIKIMLLQPRIIVIHVYSELGFAVFKEAKYLGMMGNGYVWIATDWLSTNLDSSSPLPAERLETIQGVLVLRPHTPDSSLKREFFKRWRKVSGASLDLNTYALYAYDSVMLLVRGLDKFFKDGGKISFSNHSMLNTLGKSGNLNLEAMTVFDGGETLRKDILGTRMVGLTGQLQFTPDRSRTRPAYDIINVAGTGVRQIGYWSNYSGLSTISPESLYTKEQPNMSSGTTSQKLRHVIWPGETFTKPRGWVFSNNGKELKIGVPRRVSYKEFVSQIRGTENMFKGFCIDVFTAAVNLLPYAVPVKFIPYGNGKENPSYTHMVEMITTGNFDGVVGDVAIVTNRTKIVDFTQPYAASGLVVVAPFKKLNSGAWAFLRPFNRLMWAVTGCCFLFVGIVVWILEHRTNDEFRGPPKRQCVTILWFSFSTMFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIESLKERDDPIGYQVGSFAESYLRNELNISESRLVPLGTPEAYAKALKDGPSKGGVAAIVDERPYVELFLSTNCAYRIVGQEFTKSGWGFAFPRDSPLAIDLSTAILELAENGDLQRIHDKWLMKNACTLENAELESDRLHLKSFWGLFLICGVACLLALFLYFVQIIRQLYKKPSNDAIARDQQQNHDSSSMRSTRLQRFLSLMDEKEESKPGSKKRKIDGSMNDTSAGSTRSRGFDRERSFNSVNPLD >Al_scaffold_0001_3782 pep chromosome:v.1.0:1:21654828:21655491:-1 gene:Al_scaffold_0001_3782 transcript:Al_scaffold_0001_3782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KMU6] LFAGVVDGRITWANDFAASLSTLQALEDIVGNDKLVVSTSCSLLHTAVDLINETKLDDEIKSWLAFAAQKVVEVIALAKALAGQKDEALFSANAATLASRRFSPKSHQQGCSEGRCCFEGI >Al_scaffold_0001_3786 pep chromosome:v.1.0:1:21676872:21677530:-1 gene:Al_scaffold_0001_3786 transcript:Al_scaffold_0001_3786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMV0] MKNLFEEYVQRLCNNSQAESTGNKSGEVDDLAPLFEEDDVLESKDSLYDELATETVNDVATRSKTVADDADLPP >Al_scaffold_0001_3787 pep chromosome:v.1.0:1:21685694:21686340:-1 gene:Al_scaffold_0001_3787 transcript:Al_scaffold_0001_3787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMV1] MGDIPIGIDEIRTSVFYSVGSVRMSETEDNNEAFLGEEVDGTTESSARRRRPTTERSSSDVPKPKKAKKKQAHRAEVW >Al_scaffold_0001_3788 pep chromosome:v.1.0:1:21687312:21688436:1 gene:Al_scaffold_0001_3788 transcript:Al_scaffold_0001_3788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMV2] MHSSPPATAKRDLLVAIENHVGCFGGWFSLWVRLVIVEMAMTDLVLRVTLVNTVWCLDGWLCLWVRMVTLVSVVKKAFSRWFQRDNGCVLGFDCKSVKTKLGRVEFRIWPQWCGISKLRIDWVKFGIWFISRGVLTSEGESLLVQHASGGGFNRESWGVGVWMIFQRKRFNMRFNGFQRVEMGVLFLLLEVVFVDSRGTKVGWSWIAGFRRTAGFRRFNKVVSLFLNWWADRNYKIRENRVWQEQTRILWVMGQTAKPVREYREELNHQSQNQINEST >Al_scaffold_0001_3789 pep chromosome:v.1.0:1:21694030:21696480:1 gene:Al_scaffold_0001_3789 transcript:Al_scaffold_0001_3789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 (formin homology 2) family protein [Source:Projected from Arabidopsis thaliana (AT1G42980) TAIR;Acc:AT1G42980] MASNCATMLSKIKIPLPDMLNAVLDLDSSALIIEQIKNLIKICRSKEEMDLLRNSAGGDKEMLGKFEEIFGELMKVPRIEPKLRVFAFKVDYSSRVKDLRIWLNTIIAATKEIMGSVKLLRIMQTISTLEILGGSNAECVLASLVRLSDNVDLMHDFYKLVGEKMPELLDFGKDLVHLEAASKIELNTVAENMQQLYDIEREVDDEFIASENDGANFVGFRNVLYDFLGRIDADAQLLNILYSEAGRIVDSYISEYPTSVSFEEVTNILNRFVETFYKSREEIERQAEAEREILEKETMNINQNGTGNH >Al_scaffold_0001_3791 pep chromosome:v.1.0:1:21741181:21741535:1 gene:Al_scaffold_0001_3791 transcript:Al_scaffold_0001_3791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMV6] MYVGDESVDALGGQTQPILVDVEVNQMDGREDTTTFDEGEEECGKLRGQKGTTSQGWGQSAEMSLELTESLGPPKGQNQPILVEVEVERKVETCQSNWGRPE >Al_scaffold_0001_3792 pep chromosome:v.1.0:1:21755143:21756036:1 gene:Al_scaffold_0001_3792 transcript:Al_scaffold_0001_3792 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT1G43000) TAIR;Acc:AT1G43000] MENDDVMIPPWLKPMLRADYFVTCSIHAKSSKSECNLFCLDCLGNAFCSYCFDDHRDHRVVQIRRSSYHNVVRMSEIQKHIDISCIQTYVINSAKIFFLNERPQCKTGKSVNKTCQICSRNLLDSFRFCSLACKLECVKSGEDPNLTFCLGDSSKIRNTGICSRLINGISIAVDDQRSETAAVLSPKTPSIESHRNYPMKSRRKGIPHRAPF >Al_scaffold_0001_3797 pep chromosome:v.1.0:1:21800142:21802348:-1 gene:Al_scaffold_0001_3797 transcript:Al_scaffold_0001_3797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMW1] MSFIASAFKALCLSLLFVVAASRLTMRPKVFNVRRHGSKPDGKTDNANAFTSVWKRACTRISGSSKIYVPKGTFYLGGVEFVGPCKNPIEFVIDGTLLAPANPRDIKLDTWINFRYINNLSISGSGTLDGQGKHSWPLNDCHKNTNCPKLAMTMGFAFVNNSRIKDITSLNSKMGHFNFFSVHHFNITGITITAPGDSPNTDGIKMGSCSNIHISNTHIGTGDDCIAILSGTTNLDISNVECGPGHGISVGSLGKNKDEKDVKDLTVRDTVFNGTSDGIRIKTWESSASKILVSNFVYENIQMIDVGKPINIDQKYCPHPPCEHEQKGESHVQIQDIKLKNIYGTSKNKVAVNLQCSKSFPCKNVELIDINLKHNGLEDGPSTAVCENINGSIRGKMVPQHCLD >Al_scaffold_0001_3799 pep chromosome:v.1.0:1:21809909:21813481:-1 gene:Al_scaffold_0001_3799 transcript:Al_scaffold_0001_3799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMW3] MTYPLSTSSRWIVDENGQRAKLMSCGGERTKQAKQPVDAVAKKIVEMSFNCVRLTWPSDLMTNETLAYNVTVRQSFQSFGLNDDIVGFKTNNPSIIDLSLIEAFICVTEVNVTFRTHNTRDLCLAFFLFCSKSNDVMVILDNHLTKPGWCCADNDGNDFSGDKFFDPTVWAAALSKMTATFDGVSNVVGMSLRNELTGPKQNVNDWFKYMQQGAEAVHSANKNVLVILSGLTFDTDLSFVRCLPVNLSFTGKLVFELHWYSFSSKPERNSGGFLLNQGFPLFLSEFGMDKREINANDDRYFGCLTGWTAENDVDWSIWALTGSYILNTSVRKSSFLEKISLLQSTLQGPGPRTDDYNLVLDPLTRLCLSSGPENLVKMTRTGCSGPGSKWQTDSASRMHLSSTTSNKLHFALMWTQPTMS >Al_scaffold_0001_3801 pep chromosome:v.1.0:1:21857036:21859897:-1 gene:Al_scaffold_0001_3801 transcript:Al_scaffold_0001_3801 gene_biotype:protein_coding transcript_biotype:protein_coding description:polypyrimidine tract-binding protein 3 [Source:Projected from Arabidopsis thaliana (AT1G43190) TAIR;Acc:AT1G43190] MAESSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDVSSAISALQFFTNVQPTIRGRNVYVQFSSHQELTTIEQNIHGREDEPNRILLVTIHHMLYPITVDVLHQVFSPYGFVEKLVTFQKSAGFQALIQYQVQQCAASARTALQGRNIYDGCCQLDIQFSNLEELQVNYNNDRSRDYTNPNLPAEQKGRSSHPGYGDVGVAYPQMANTSAIAAAFGGGLPPGITGTNDRCTVLVSNLNADSIDEDKLFNLFSLYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGAVLFGKRLEVNFSKHPNITPGTDSHDYVNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDVTEEEVMNHVQEHGAIVNTKVFEMNGKKQALVQFENEEEAAEALVCKHATSLGGSIIRISFSQLQTI >Al_scaffold_0001_3805 pep chromosome:v.1.0:1:21912242:21913418:-1 gene:Al_scaffold_0001_3805 transcript:Al_scaffold_0001_3805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMW9] MPMWMDPEVWKGLKVFWIDPHSEDKSDDYVDRQTYEAERKRNNDLLRRIHGYDYLFEIVAQDFPALATALRAQRPPTHGAEAQTE >Al_scaffold_0001_3806 pep chromosome:v.1.0:1:21915505:21915839:-1 gene:Al_scaffold_0001_3806 transcript:Al_scaffold_0001_3806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMX0] MNLSSLPLKHNKGRIVGVSDEVPLQNTQGEIHVPEHALESIILIDQNNRELEEAGDYSEVEDEVYDEFDEDSESYDSGSDDEDCEN >Al_scaffold_0001_3807 pep chromosome:v.1.0:1:21920934:21921986:-1 gene:Al_scaffold_0001_3807 transcript:Al_scaffold_0001_3807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMX1] MVKTRSERLREASLTWNSSCLTPTVVHRSEGPNISTTSERGTQANSSLSLCRFGSTVETEVRFPNRRSMYIVAVRSMAESPTAEKNQPYSSGFLQFFFSCNFEIDFSWGTASVLENAGIYVTKVEKLTQFVEMASFFP >Al_scaffold_0001_3815 pep chromosome:v.1.0:1:22009385:22011057:-1 gene:Al_scaffold_0001_3815 transcript:Al_scaffold_0001_3815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KMX9] LDVWKEHIDVENVGMQANTAKILFKPFLHHRPRKPSEVMFGFIAAAGRNTEEHRLLSWELSSTLDIEKADSKIGLVVGISVSGFFLLIVLVHTIVVVWSRKQRKKKDRDIANMISINEDLEREAGPRKFSYKDLELGEGGFGAVYEGNLKEINTMVAVKKLSGDSRQRKKHRNMVQLIGWCNEKNEFLLIYELVLNGSLNSHLFGKRPHLLSWDIRYKIALGLASALLYLHEEWDQCVLHREIKASNIMLDSDFNVKLGDFGLARVMNHEHGSHTTGLAGTFGYMAHEYVTKGSASKESDIYSFGIVLLEIVTGRKSLERTQEDNSDSESNEKSLVEKVWKLYGKQEGNEFDNKEAECLLVLGLLTQMEF >Al_scaffold_0001_3816 pep chromosome:v.1.0:1:22011585:22012539:-1 gene:Al_scaffold_0001_3816 transcript:Al_scaffold_0001_3816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMY0] MFCRAWEVLSTKLLDESGVDDNRTRFGKMSSKGHHSQQHPLHLNGFTYVVFGTKKHGNGKIDELERHIESLIWETVKERERECVGLDDYEKLEKNRVL >Al_scaffold_0001_3819 pep chromosome:v.1.0:1:22074838:22075314:1 gene:Al_scaffold_0001_3819 transcript:Al_scaffold_0001_3819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMY5] MESCCYPLSHHDNFKNQRQQKIADELKEHIISELKSTAEKNKLRKFEYIKAVAVEAKPFDDVEI >Al_scaffold_0001_3823 pep chromosome:v.1.0:1:22113773:22114760:1 gene:Al_scaffold_0001_3823 transcript:Al_scaffold_0001_3823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMY9] MGSTMIPFTSDSCDGGYFHIASLNPNMGECILRPVPNRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSIFSGIVNPNICVDVIGKILNVRELVFVPSVEHSQGGYFELCFGLRDTECIHLECRLTGDLAVEFYVLWKRRSRNTVICIIKFVKLELSQEHRWRCTNVTGCTRIMLNPDLSITD >Al_scaffold_0001_3825 pep chromosome:v.1.0:1:22117329:22118536:1 gene:Al_scaffold_0001_3825 transcript:Al_scaffold_0001_3825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMZ1] MMIWYSSRFSDKSTSQLVIESLSSCTVWILSPRTPQIGGHQHEPRSCLEAPQIEISNHELYISDDHHLDNTSDTNDPSHVTGEGTGRRRGKTAFICGGGELTSCGCEGIRLEEISGKPRKTSSQK >Al_scaffold_0001_3835 pep chromosome:v.1.0:1:22183853:22184453:1 gene:Al_scaffold_0001_3835 transcript:Al_scaffold_0001_3835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KNN0] SQEFCNCDWFLFVCQSQDTSLPSTDVEAATLNLMELMGAFDGREVALVERFQTLAIAETHLLEKKQASPTKDECLEKTRLLNSHISDLEDLKLTLSSSASTTENDLIKSLWMLESFKEKHSK >Al_scaffold_0001_3836 pep chromosome:v.1.0:1:22188141:22189007:1 gene:Al_scaffold_0001_3836 transcript:Al_scaffold_0001_3836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNN1] MAYFHPYYLKFVPKTVEMGTNSLCGKRNRPNSRSGNRPFALHGKRTSRIHHGFHSNVKKPWTKQRSNGGWLWLHEVVDEEDEQLNKLKGEWGEEVHNEVKTDLEEMNEYNASGRYTNLELWNFKEGRKAILKEVFSFILDDIKTLKRKRT >Al_scaffold_0001_3837 pep chromosome:v.1.0:1:22190543:22191072:1 gene:Al_scaffold_0001_3837 transcript:Al_scaffold_0001_3837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNN2] MSVNIKAPVCQTCGDIGFEEALVFCDSCKIESIHRYCIGITPTPFTEYITWICEDCDASDSDSDCNEVDQTPKLTNILKKKTKKKKKKKKKKKSRIHTLLVSKCRVLTFL >Al_scaffold_0001_3838 pep chromosome:v.1.0:1:22194046:22195911:1 gene:Al_scaffold_0001_3838 transcript:Al_scaffold_0001_3838 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G43770) TAIR;Acc:AT1G43770] MSLHIKAPVCQTCGDIGFEEALVFCDSCKIESIHRYCIGITPTPFTEYITWICEDCDASDSDSYCNEVDQTAKLTHILKKKKKKKKKRRNRTPLVLAEDNGLQDATNVEPVEGSFSPIQETVGSKREESSGSRKPHELTGLDGDRASVSEADKSSSVPDHSSCTSKRKEVDQTGHNLEKSEKKKKKKKKKSSNHSPPVLAVEEHEIRDTTNVEHVGVSSSSPTKEMMESKRQENSDSRKPHELTGLVGDRASVSETANSSSVPDYNSCVTKKRKLSSGSIPVAENRQLADGNSSCKVAESNTPQTTERLSSRHYRAQPIKIPIWRGLMSVKGGNNCIMDGIVAHVSSLACPKVHETASSLKGSLSAEVLPRLEVWPKTFLKNGGPKDESIALFFFPSSESNDEKVFNSLVDKMKTNDSAMRFVLNDAELLLFTSYMLPKDSWTKKGDYRLQLLTRSITCGASSSPDRLLDISHSRLATFNSL >Al_scaffold_0001_3839 pep chromosome:v.1.0:1:22203453:22206353:1 gene:Al_scaffold_0001_3839 transcript:Al_scaffold_0001_3839 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine carboxypeptidase-like 44 [Source:Projected from Arabidopsis thaliana (AT1G43780) TAIR;Acc:AT1G43780] MAGGKWRFLEVAVVVMVLQWWWGYNGNLAEGFPIEDLVTKLPGQPEVAFRQFAGYVDIDVKAGRSLFYYFVEAEKQPHSKPLTLWLNGGPGCSSIGGGAFTELGPFYPIGNGRGLRRNPKSWNKASNLLFVDSPAGVGWSYSNTTSDYTTGDESTAKDMLVFMLRWLEKFPQFKTRNLFLAGESYAGHYIPQLADVILEYNQQRTNRFKFNLKGIAIGNPLLKLDRDIPAMYEFFWSHGMISDELGLTIMNQCDFEDYSFTGSHNISKSCEAVVSQAGTIITQYVNYYDILLDICYPSLFEQELRLKKMGTKMSFGVDVCMSYEEQLYLTLPEVQKALHANRTQLPYQWSMCSSLLKYNYTDGNTNMLPILKRIVKSKVHVWVFSGDQDSVIPLLGSRTLVKELADDLNFNTTVPYGAWFDKGQVGGWVIEYGNLVTFATVRGAAHMVPYSQPSRALHLFTSFVLGRRLPHKSPPALHD >Al_scaffold_0001_3840 pep chromosome:v.1.0:1:22242271:22245774:-1 gene:Al_scaffold_0001_3840 transcript:Al_scaffold_0001_3840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNN5] MGPKKVTNRGGRKKKKDSEEPEFLGTINPPQPDHKLQHNQRLEGVQPLEEQDQHPSEEQPVEAQPLEEQDQNPSEEQPVEAQPLEEQAQHPSEEQPVEDQPGVNDGTNEHDH >Al_scaffold_0001_3847 pep chromosome:v.1.0:1:22311506:22313529:-1 gene:Al_scaffold_0001_3847 transcript:Al_scaffold_0001_3847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT1G43900) TAIR;Acc:AT1G43900] MKKIRNVASSPIECVHLRTKPTTLVRSFFFFFFNSQTISSFIIFYLFLCFFFWFCQSQNLTNPSPPPLPPLSVAPLRGDANSPPPESSSSPAANSNHPSSMISSRDPDALFSGGGISFLAGVRTVKFSYGYSSLKGKRATMEDYFETRISDVNGQMVAFFGVFDGHGGARTAEYLKNNLFKNLVSHDDFISDTKKAIVETFKQTDEEYLIDEIGQLKNAGSTASTALLIGDKLIVANVGDSRVVASKNGSAVPLSDDHKPDRSDERQRIEDAGGFIIWAGTWRVGGILAVSRAFGDKQLKPYVIAEPEIQEEDISTLEFIVIASDGLWNVLSNKDAVAIARDISDAEAAARKLVQEAYARGSFDNITCIVVRFEVS >Al_scaffold_0001_3852 pep chromosome:v.1.0:1:22342905:22344172:1 gene:Al_scaffold_0001_3852 transcript:Al_scaffold_0001_3852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNP5] MAEVLSTLVPVKVLAEVVVSAEAVVPAAAVLETVVPVAVMFLPSSPEIIEKDLSFGETNAALELDGIQQLDKDERYGRQR >Al_scaffold_0001_3858 pep chromosome:v.1.0:1:22371517:22372061:1 gene:Al_scaffold_0001_3858 transcript:Al_scaffold_0001_3858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KNQ0] ILTRSRTGNISKQERDPRKARAERVHFSQGPKPNLMTRDPLHQRKERQHKRRFDDSFPIDSRQSRIPPGKHYERNGGAESSQRVPPADVQSCGDPDSVQTIAGSTMLQFAKTNYTT >Al_scaffold_0001_3860 pep chromosome:v.1.0:1:22392362:22393203:1 gene:Al_scaffold_0001_3860 transcript:Al_scaffold_0001_3860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNQ2] MKLLKKKSRRRSNVVKSSEKRQVYSSPEKVIEIASNPPEPESTSMGIWFSMLQEEEKAGPQTSTKEADMSDSKIDGLDYGIPSDQEKVKSDGMKRNQRRMQLWKEVMLKFMIVYSPLNMFKQK >Al_scaffold_0001_3864 pep chromosome:v.1.0:1:22426994:22427432:-1 gene:Al_scaffold_0001_3864 transcript:Al_scaffold_0001_3864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNQ5] MFRFVQLREDDADKLQKAEYCAGRTKLKAENTLQHKECNIFKNAMKVEIQKLREREGITHHQAENAFSETEIEIFAIFTIIDITVTTITIIILPLDNHYRAVATATAMTTVPPPPSQHH >Al_scaffold_0001_3865 pep chromosome:v.1.0:1:22430348:22431844:-1 gene:Al_scaffold_0001_3865 transcript:Al_scaffold_0001_3865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNQ6] MASITCLESQEIVRFRRSRSTSSPVVFIIIGDVKRLFWWQDSRQLGPQSLGPVVVTAQVWKSRFVEVSQICFWLGSQVSRVLVAVRSDRDLICSMDSFEAFYNRVPSISPYPGVQL >Al_scaffold_0001_3868 pep chromosome:v.1.0:1:22481101:22482891:-1 gene:Al_scaffold_0001_3868 transcript:Al_scaffold_0001_3868 gene_biotype:protein_coding transcript_biotype:protein_coding description:gibberellin 20-oxidase 5 [Source:Projected from Arabidopsis thaliana (AT1G44090) TAIR;Acc:AT1G44090] MYIDKTVLNRTVTVCPYLNPFKLKGPNSREMNSSDVNFSLLQNQPNVPAEFFWPEKDVAPSEGDLDLPIIDLSGFLNGNEAETQLAAKAVREACMAHGTFLVVNHGFKSGLAEKALEISSLFFGLSKDEKLKAYRIPGNISGYTAGHSQRFSSNLPWNETLTLAFKKGPPHVVEEFLTSRLGNHRQEIGQVFQEFCDAMNGLIMDLMKLLGISMGLKDRTYYRRFFEDGSGIFRCNYYPPCKQPEKALGVGPHNDPTAITVLLQDDVVGLEVFAGDRWQTVRPRPGALVVNVGDTFMALSNGNYKSCYHRAVVNKEKVRRSLVFFSCPREDKTIVPPPELVEGKEASRQYPDFTWAQLQKFTQSGYRVDNTTLHNFSSWLVSNSDKKST >Al_scaffold_0001_3878 pep chromosome:v.1.0:1:22595470:22596141:-1 gene:Al_scaffold_0001_3878 transcript:Al_scaffold_0001_3878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNS1] MRSRSDLWSRDKERTKSIPTTPKKINSRTSNRTETKETTTTAIDAQIQQGRNTMNRQKQPKTSLQLETPQNLTDDRSTTRSRLKLSREQSLRESRVLLHRHQLCERSHHQNTPPTLHKPNKLTNNYTSEQERPCSGAVEATGASQRDLDLFLERRMRF >Al_scaffold_0001_3888 pep chromosome:v.1.0:1:22686253:22687139:-1 gene:Al_scaffold_0001_3888 transcript:Al_scaffold_0001_3888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNT0] MAKGGGGCSGKDSGFGDGGASGFGEDGGFGDGGSSGFGDGGVSSGGGGSNQIGLDQLWIMQNSSEKQANLETPKAKAAVMATFKASASATRTEETEGREEDPFWITESCGFLIIHPTPQS >Al_scaffold_0001_3896 pep chromosome:v.1.0:1:22767104:22769357:1 gene:Al_scaffold_0001_3896 transcript:Al_scaffold_0001_3896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNT8] METEERNQNSGKSNSKDIDATEIKFKILAALRSRVTCLRNKADCFTIVSVRRMLEKDIGLEKFALDVYKSFVKEKLVQCLEEAGGNNDENSQETEREDEDDVIPIKEVVELSEEHEAKNDTGEEKTSKRVAKDIKDKGNKEALQSDIRRALRERTSYIKANSETITIASLRRLLEEDLKLEKESLDPFKKFINKELDEVLQLPEAPKRSTESIGKKLKKKVKSTLSKKVSSEENSNSDAEGAVDDEGVAVKKTMARKGKLSKPEMMGKRKSENGKHVSGRKKAKHTETDSENDSDAGDSEKSLKQTKETATDVYGKRVEHLKSVIKSCGMSVPPNIYKKAKQAPEEKREVTLIEELEQILAKEGLSSDPSEKEIKEVKKRKGISKELEGIDTSNIVWSSRRKSSTSFAPPPKPKITAESESESDEPEDTENEEDAEDEEEGNEKAEDGSQSKEESNSGENLDLLSK >Al_scaffold_0001_39 pep chromosome:v.1.0:1:152834:155670:-1 gene:Al_scaffold_0001_39 transcript:Al_scaffold_0001_39 gene_biotype:protein_coding transcript_biotype:protein_coding description:subtilase family protein [Source:Projected from Arabidopsis thaliana (AT1G01900) TAIR;Acc:AT1G01900] MHRFLLMLLYPFSDNRPMMFFRSFVVFFFLIFFTSNVSSHKQTYVIHTVATSTKHIVTSLFNSLRTENIYDDGFSLPEIHYIYENAMSGFSATLTDDQLETVKNTKGFISAYPDELLSLHTTYSHEFLGLEYGIGLWNETSLSSDVIVGLVDTGISPEHVSFRDTHMTPVPSRWRGSCDEGTNFSSSSCNKKIIGASAFYKGYESIVGKINETTDFRSARDAQGHGTHTASTAAGGIVPKANYFGQAKGLASGMRFTSRIAAYKACWALGCANTDVIAAIDRAILDGVDVISLSLGGSSRPFYVDPVAIAGFGAMQKNIFVSCSAGNSGPTASTVSNGAPWLMTVAASYTDRTFPAIVRIGNRKSLVGSSLYKGKSLKNLSLAFNRTAGEGSGAVFCIRDSLKRELVEGKIVICLRGASGRTAKGEEVKRSGGAAMLLVSTEAEGEELLADPHVLPAVSIGFSDGKTLLTYLASAANATAAVRFRGTTYGATAPMVAAFSSRGPSVAGPEVAKPDIAAPGMNILAGWSPFSSPSLLRSDPRRVQFNIISGTSMACPHISGIAALIKSVHGDWSPAMIKSAIMTTARITDNRNRPIGDRGAAGAESAATAFAFGAGHVDPTRAVDPGLVYDTSTVDYLNYLCSLNYTSQIILLFSGTNYTCPSNGVVLSPGDLNYPSFAVNFVNGANLKTVRYKRTVTNVGSPACDYMAHVEEPKGVKVRVEPKVLKFQKVRERLSYTVTFDAEASRNTSSSSFGVLVWMCDKYNVRSPISVTWE >Al_scaffold_0001_3900 pep chromosome:v.1.0:1:22854823:22855032:-1 gene:Al_scaffold_0001_3900 transcript:Al_scaffold_0001_3900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNU3] MMKENIEKYGDVLAKRRVGWVGLLELRDAWEGRERTRCAKVVETTGGSNWVYRGLGQSLWWWSSMSGQI >Al_scaffold_0001_3911 pep chromosome:v.1.0:1:22931042:22932243:-1 gene:Al_scaffold_0001_3911 transcript:Al_scaffold_0001_3911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPQ7] MRMLVLRTPTGPVSAVKFIAKSKPSAFSSPDSWLVPAPNTPRRRQRVVLALSNPDGSVKSKPSTSVSQIPSSGDDTVFVGQENVPLEGVIQFDKPSSSTSSSNITKWGRVALLAGGDVLALLIFSAIGRFSHGFPVFSIETLHTADPFIAGWFLSAYFLGGYAEEGRGMKGRSKAVVAAAKSWIVGAPLGIIIRSASSGHIPASSFVLVTMGSTAVLLIGWRALLFSVLPTESKKKDDTYRKGSAFELFELLTSLIRRW >Al_scaffold_0001_3917 pep chromosome:v.1.0:1:22973009:22974185:-1 gene:Al_scaffold_0001_3917 transcript:Al_scaffold_0001_3917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPR6] MSTRLGEIRFFFIVLLFVRASEALNRWPPGGIENARAAHYVAGCPYQEEIKIGILWLPNQREGQIRILCCGLVTQRNGIESFGSRKPSLVLHLSLGWQSEIDFRQEFGCENGDMVRLAFSAESLMRPEITCFFACPYSFMVWLGFVGDLLQTEPDPDWNGTIFRGCSHIHLRVTITFSSGWLFKQHYITYELWREKNERRHNKHYRTHNQLACTTEKTDTVANHVSEIL >Al_scaffold_0001_3923 pep chromosome:v.1.0:1:23034788:23046389:1 gene:Al_scaffold_0001_3923 transcript:Al_scaffold_0001_3923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPS2] MDSSFFVSSVVTAIVMLFGTIFPVVYRKFRSHQENNITVFSLPPSSSRSSPSRNWKHHVFPSFHGADVRKAFLSHILKELKSKGIDPFIDNDIERSKAISPALIEAIRGSRITIVVLSRNYASSTWCLNELVDIMKCMDEFGQIVMTISMKWIHQTDTEAVMVGKIATDISNKLNNSTPSRDFIGLVGMGAHMEKMKPLLCLESDEVRMIGIWGPSGIGRGLYKKEFLFLVILDDVDRLGQLDALAKETRWFGPGSRVIITMEDRKLLQGHGINHIYKVDFPSTEEAVQIFCMNAFGQNSPKDGFEGLAWEVANLAGELPLGLKVMGSYFRGMSKEEWKSALPRLRTSLDGEIESIINFSYDALSDKDKELFLHIACFFNHKEMEKVEEHLAKKFSYLKQGLHVLADKSLISINSTYMEMHNLLAQLGREIVCRQSINEPGQRQFLIDSREICEVLTDDATGSRNVIGIELNFGESEDELNISERGFEGMSNLQFLRIYSDHINPGKMFLPQGLNYLSRKLRLLHWIHFPMTCFPSIVNPEFLVELVMCHSKLEKLWEGIKPLRNLKWMDLSSSVNLKVLPDLSTATNLKELDCSFCSSLVKLPFSIGNAINLEILNLYDCSNLVELPSSIGNLINIKKFNFRRCSSLVELPSSVGKATKLEELELGNATNLKELYLYNCSSLVKLPFSIGTFSHLKKFKISGCSNLVKLSSSIGNATDLKELDFSFCSSLVELPSYIGNATNLELLDLRGCSNLVQLPSSIGNAIVTLDRLDFSGCSSLVAIPSSIGKAINLKYLEFSGYSSLVELPASIGNLHKLSSLTLNRCSKLEVLPININLQSLEALILTDCSLLKSFPEISTNISYLDLSGTAIEEVPLSISLWSRLETLHMSYSENLKNFPHALDIITDLHLSDTKIQEVAPWVKRISRLRRLVLKGCNKLLSLPQLPDSLSELDAENCESLERLDCSFLDPQARNVIIQTSTCEVSVLPGREMPTYFTYRANGDSLRVKLNERPFPSSLIFKACILLVNNNDVETGDEDIVFLDCCIVDKKSSVDVPCSPSNHILPPPLTEHLYIFEFEADVTSNDLFFEFSISSVRWVIKECGVHNVNTKKRMRVTRNLSPFTFKDTKRTSDVLVNGGSEVEEKVNGDESERQRRDKNE >Al_scaffold_0001_3927 pep chromosome:v.1.0:1:23096599:23103142:-1 gene:Al_scaffold_0001_3927 transcript:Al_scaffold_0001_3927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G45160) TAIR;Acc:AT1G45160] MAEENRKDRGVSSTVAIPSGLNRIKTRLASSGLRPEDSSDTVVKPPFNRNQKIIVPRGYGRTTGSSKQERKGTKLSRWLASYKPKYSCHPPKYACSSTTSSEDIKLRGKNCGKDEEMIIKVSETNLPCSKSMGIKSFSHELGPRGGVQTPYPRPHSYNDLKELLGSLHSRFDVAKETVDKKLDVFVIDVKEAMEKMDPPCPEDREMAEELLDVARACMEMTSAQLRATCESIVHDLTRKRKQCQAGLVKWLFSQLLFILTHCTRVVMFQKETEPIDESSFRKFKECLERIPALETDWGSTPRVDDSGSGYPKYQRDEAGQKFKRRETESLESETTFDYVIPNDHSNNAATEGYAVAKQEFPSQEPQFDSKVVQQRFYLSDEYEHKMLNEPVKELGRSDYVICRICEEEVPLSHLEPHSYICAYADKCEINCLDVDERLLKLEEILEQIIDSRSLNSFTQAGGLENSVLRKSGVASEGCSPKINEWRNKGLEGMFEDLHEMDTAFIDESYTYPINLKSHVGAKICHHATSSSTGSITSVSSTNTPRTSHFDSYWLERHCPEQEDLQLMMDLSDIARCGASTDLSKEGSCDYIMACMQDIQAVLKQGKLKALVIDTFGGRIEKLLCEKYLYARELTADKSSVGNVKESEDVLEHASATPQLLLKDRISIDDFEIIKPISRGAFGKVFLARKRTTGDFFAIKVLKKLDMIRKNDIERILQERNILITVRYPFLAEHLILLMQVRFFYSFTCRDNLYLVMEYLNGGDLYSLLQKVGCLDEEIARIYIAELVLALEYLHSLKIVHRDLKPDNLLIAYNGHIKLTDFGLSKIGLINNTIDLSGHESDVSPRTGSHHFQKNQEEERIRHSAVGTPDYLAPEILLGTEHGLDTTLYLGFSEAIGNYIQLLGLAGYASDWWSVGIVLFELITGIPPFTAARPEIIFDNILNGKMPWPDVPGEMSYEAQDLINRLLVHEPEKRLGANGAAEVKSHPFFQGVDWDNLALQKAAFVPQPESIADTSYFVSRFCENSASDSETDNNSGSFPDSGDELDECTNLEKFDSPPYYLSLINFSFKNLSQLASINHDVLLQKDPAKGGGGDSPFKSHGT >Al_scaffold_0001_3939 pep chromosome:v.1.0:1:23228590:23228844:1 gene:Al_scaffold_0001_3939 transcript:Al_scaffold_0001_3939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPU1] MQHEFVSSVWLWKSLLDGGNPISKSRRSSQSVDYKLKRKETSTATCSGSSEGAPKANESGAEDTDSQDMKSKISPSREQSLMIE >Al_scaffold_0001_394 pep chromosome:v.1.0:1:1562090:1566178:-1 gene:Al_scaffold_0001_394 transcript:Al_scaffold_0001_394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KE64] MEKNAMKILEEIKSSDWEDFTCLDVSQCLLNKAILPVTSKYLALDRPDCSHYFLSFGIKVSQWCAKHLNMSVMSMEESQEEEHSNIFFQLLLDYLRFSASSFTAIGKTCFMTDEASAVTVHKFVSEQLNLTKEVIMNAKKVESFSSEIFKAAQVVIESTVRLCKEYSPAVNHDVEMKTNGNLGKAKMEEGNTVGNSVSMITLGVKSLSELGMLAARDGGNLVAILNTSWKGVITLLQIDKQTLVSKVDVGEIILKLISLIKESLRFAAEAWSCSVKENISATEARRVFLPVKFYLINAVKVVALFPSQASMVFKEIALCILMVSAFKVSLSQQTLGKSAGEVMTDLLEKTTVDLLGALLNAAELTQEFRLTLLDSLFVDEQGFSNQICKKQSHDSHTKTSLVDILSLSVESATSARDLLLARVILFQSVMRYSSELDKDAKLAITTKLQWFLDILADKEIYSSVLSSQLPMADGSGKIIIWESMYSALLLSLKTLVIILSSTPAWEELETFILQNLLHPHFLCWQIVMELWCFWVRHATEDLVVDMINQLCTFMMSMPSSETPLCPDSMLRRTTKSICFLLTHSPKSLTVQVYKNISTESRSDSAPGVYLALLLDGFPLNFLPDRIKNDAKRQIFADFFNFIEKFIEKPSYSSRDTVLGAPVFTLSACLRILKTSISEIDAKTLNFVVALIQKYRNSKDETTKDRYSEILSETLSIISRSDQLYTCQEMDNVITELQKLFISETDHHHNHLRKSKPNLALFLSGLSKYEMSETETCPKSRAVWELYHLLLKKRHWALVHHAVTAFGYFCARTSCNQLWRFVPEDAALAFDIPSGKEAKTERFMSELKMFLEKEQALLSITPSEEELKLLAKEGTKVKATVQKLLEGRSQRSMEVEKRPNKKRKLPEGICRGMELLQNGVKRINEGLSELRSDENESEEFQKSLLNQFSCLEDLVSHLLSLTAASD >Al_scaffold_0001_3941 pep chromosome:v.1.0:1:23234639:23235779:-1 gene:Al_scaffold_0001_3941 transcript:Al_scaffold_0001_3941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar histone methyltransferase-related protein [Source:Projected from Arabidopsis thaliana (AT1G45248) TAIR;Acc:AT1G45248] MAPKGRKRIGLRRQDAARDRMKEFGFDKRVINESIKQVLKVYGEDQWFLIEDANYDALLNICLEKKEEQDNQLAAEEHKETAQEEEEEEEKKADALLITNEAVMNSSPPAFQFVEAPVDFAHQSVGGAHSSHCGWLSSEEETDPDEDEDSDEMIELTPEPLCEELEELLREVYGQKKMKYN >Al_scaffold_0001_3952 pep chromosome:v.1.0:1:23306510:23307754:-1 gene:Al_scaffold_0001_3952 transcript:Al_scaffold_0001_3952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPV5] MCHITCFETHRDSAEGLTLLGFNDLQHPRLHNLVLDSTQLHSTPLHSNHLDSSIENRPCRRIRFLGRILDQIASRHSFLDHRRGSSQISRSFLSTPQQHLRSGGLSQHVRVSAIWTDMYVLRTERRHVSGAASAPRDKYWSDKNQSCSTNSLRNHPMVGRYFPIRPAVRSLSSCGTVGFVRVNPDTSGYVRIVSNKVPRRPFNHCGRLVLRGSSINSSSVASSSSVVVSSSCSGGLS >Al_scaffold_0001_3955 pep chromosome:v.1.0:1:23342553:23346802:-1 gene:Al_scaffold_0001_3955 transcript:Al_scaffold_0001_3955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPV8] MNKLPCFFMTQVYGLPTDRIYSTYFEGDVKAGLQPDNEAGDIWLKFLPSGRVMPFDCKDNFWEMGDTGPCGPCTEIHYDRDAASLVNKDDPTCLEIWNLVFIQFNNSGKVGADDVDRVGTAYRVVADPIRTLLIAIVNGSRPGSEGLQHDLRSILRGAVRYGKDILKAEEGYFNAVLYPGTRPTVIRIRFKFFLATLLHWLVSVVFEIAFNLRTELLWVICGGCFFQLMNWVVRSWIYRDPLFVNTSVSLLHSIITSASVVFILLNQCLAKGLDEMFDHSELWDMLQYRLYSGLIPSIVVHHLILLVCFTLALYRNVTINYLILTLICEMHSIFLHVRKLRRMAGIRDSNTALVKLEWVLNWTAFVFARCIPHILISVKLIKDAHKFGKGLELPMLWLNG >Al_scaffold_0001_3963 pep chromosome:v.1.0:1:23439081:23441978:1 gene:Al_scaffold_0001_3963 transcript:Al_scaffold_0001_3963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPW6] MEGYKFWFFHGERPDYASTSEPYVADSLEEHRTEVDFGVETIHMVNDVYRENMQSLGEDRDTLIFVDFTLQFLGFPLSLLFAISDRHKTQISEKFQPLCSLRIFLRFSHLPCMLDLFGNPTKSKSNQYPNTSLVQIEGVNTQEKFELIRREQTLSDSDRLFGTVPKNLAALNPWLMLLQLLSSISVLKPKKELSTQLLTCFMKARGFQFRILV >Al_scaffold_0001_3966 pep chromosome:v.1.0:1:23458957:23459208:-1 gene:Al_scaffold_0001_3966 transcript:Al_scaffold_0001_3966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KPX0] SFRIYPYADDVYTTATWRSLYEETINPIGVPEDEWSIPEVVESAKVLPPETRRQPGRRRKRRYESAEDKIYKSITTVTIIKKA >Al_scaffold_0001_3970 pep chromosome:v.1.0:1:23479145:23479593:1 gene:Al_scaffold_0001_3970 transcript:Al_scaffold_0001_3970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KAP7] MSHETTIGEDVKQKQIENHVGLKLSSSSSSPSISLLPKLISFASALSLTSSSPALAIPSFSSSQPLTTPFSTQSNKIRPCPSTNPGCVSTNPTSSSFSFPLTIRETDAQDPIQ >Al_scaffold_0001_3984 pep chromosome:v.1.0:1:23576257:23576659:1 gene:Al_scaffold_0001_3984 transcript:Al_scaffold_0001_3984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a defensin-like (DEFL) family protein. [Source:Projected from Arabidopsis thaliana (AT1G47317) TAIR;Acc:AT1G47317] MATLKTTIFIIFVLYISCTLFANIFGVQADASCLTKRECERRCSDDEAQCIRGQCQCPHLKVEIEPTKAIRCKTDRDCPDSHQCPKDYYYACLNNGECTCISI >Al_scaffold_0001_3991 pep chromosome:v.1.0:1:23624244:23624932:1 gene:Al_scaffold_0001_3991 transcript:Al_scaffold_0001_3991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAS2] MSSIFFCEHMDRRDFDITVQILTTLIHGSGVARNKIKTRQFGAIKVMKEMEEKGIEPDSVTFHSMFIGMMKSKEFGFNGVCEYYHKMKERCLVPKTPTVVMLMKLFCQNGEVNLGLDLWKYMLERGYCPHGQALELLTTALCARRRGNDAFECSRQTVERGRCVSEPVYRMLETSLSSNNELKKLEELKGKIQKLHSFLPPPGTQLI >Al_scaffold_0001_4 pep chromosome:v.1.0:1:10652:11944:-1 gene:Al_scaffold_0001_4 transcript:Al_scaffold_0001_4 gene_biotype:protein_coding transcript_biotype:protein_coding description:metacaspase 1 [Source:Projected from Arabidopsis thaliana (AT1G02170) TAIR;Acc:AT1G02170] AVICGISYRFSRHELKGCINDAKCMRHLLINKFKFSPDSILMLTEETDPYRIPTKQNMRMALYWLVQGCTAGDSLVFHYSGHGSRQRNYNGDEVDGYDETLCPLDFETQGMIVDDEINATIVRPLPHGVKLHSIIDACHSGTVLDLPFLCRMNRLLVPQLLLKGAGQYVWEDHRPRSGLWKGTAGGEAISISGCDDDQTSADTSVSRTSLIIRLAVVALSKITSTGAMTFCFIQAIERSAQGTTYGSLLNSMRTTIRNTGNEGGGIGGSGGVVTTVLSMLLTGGSAIGGLRQEPQLTACQPFDVYAKPFTL >Al_scaffold_0001_4004 pep chromosome:v.1.0:1:23673755:23674463:1 gene:Al_scaffold_0001_4004 transcript:Al_scaffold_0001_4004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAT7] MVFCGDDVATGVKDAFIYCYRCKGRKITVSDHEDNDDNKPIGDFDYDHEVVVFEIRSRAMEKLRKKRRTGIEGLTCKFMKEFDIEKEEGNEFCSVRSSFSECCMSDMSIEGYMTAKTEFSRSSSLNGLELENQWKMHYVNEIRKGSVIQEFCHCQGWPFGLGRKVALLPPLPKSPAESWSWRKPTTRVTPIPFV >Al_scaffold_0001_4015 pep chromosome:v.1.0:1:23791104:23792567:1 gene:Al_scaffold_0001_4015 transcript:Al_scaffold_0001_4015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAU7] MPRRRLFAVARTFAFWDVHSWHPSKDSIFFSDPGYIGLAFRLPLYEEGVRSLRSIDAYCGRDMFSEDQMLKCEDVGINVMLLGNTRSAFVNLMLWDIASMARSQRFGSKKPNLVVFSKISEHTEMMTKALVSLRRVGCNVLFAMPNDTNKSYGDFGVSLSEDEYGNVSLALLSDPSSKVPVVSCPDLFDKEKVLANVYDPDEEEEEEDDVMDVEEVERRVSPEPFIPPEAEAESSTCFVLPEKVGTCVFWDVQDYQVPLGLQPETFIQNIKTALGTEDDILILAYGADEKSFPDSYRTHSIFTSVSADKYTRLTVMMVDIICWVVKNRSACPNLLVIANASVEDPQFWPFLLGLGYRGFNIFATIPDTDLPDDKTETAERLMFNKSLLSWKNLSCKRKRKGIEDTVSGSDD >Al_scaffold_0001_4020 pep chromosome:v.1.0:1:23819346:23820610:-1 gene:Al_scaffold_0001_4020 transcript:Al_scaffold_0001_4020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAV2] MGLHIESPDRITQEEEANIGVENQPRATTSIALDRSQNLKTRNWWICIFVCSGLVVTGRVLSTLLLNFYFIQTGRDTCDDPKQFKGTWLQSFVQNAAFPSIAFIFLLWRSSFSTHRETQSSSSFFGKLFILYLSLGFLSAAYSQLYAIGRTHCVFFFWIFTTQLIFTSIFTAIINKHKFNRWIILSIVLSGVATGITSSDDAYYPCESEGWKMSYGAWCAFFGTVAFSLSLCIMQLGFQKVIPNTESRVSTVMLMQTNASMIATLICLVGLFISSEYKDIKEDFETFKKGKPLYVLSLIGLSLAWHVMSLGLVGLVCLASSIFSNVVNFSATPLANIFVVLAFRFMDDDIEWFKGGALLAGILGFASYVYSLYKATTKQEIASQTELVRV >Al_scaffold_0001_4024 pep chromosome:v.1.0:1:23866951:23867355:-1 gene:Al_scaffold_0001_4024 transcript:Al_scaffold_0001_4024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAV7] MNRPIFSHEIDPDSQEVPKDVAISPAIEYDMEISHHYSHKQLDFLEACADKPSTKCGNEVFKNMLDETTPITDACCRDILKMGKDCHLGLVQIIFATYEFKNFSSKGIPKSKQTWNDCVRRVGNKIGAPDSFEQ >Al_scaffold_0001_4025 pep chromosome:v.1.0:1:23868257:23868829:1 gene:Al_scaffold_0001_4025 transcript:Al_scaffold_0001_4025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KAV8] MELLPCSSGSAPIIRIFGVTREVYVAVFMGLSLIFTLRAHVYKIGLSIEERMRKSNRSSKVSKFVHHIELVQKKSIMYYQQQKSENFLKIVIALPSMESTCL >Al_scaffold_0001_4027 pep chromosome:v.1.0:1:23875683:23878092:-1 gene:Al_scaffold_0001_4027 transcript:Al_scaffold_0001_4027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAW0] MASSLAKPNDKAKNKIKIREDTETPDFVSTHICIKRIHTTQTLDREVILRRIRQRRPKSKKVMPIKIPMILQGCHDVWKKIQLNKGNVEKDLHDVEHEMKTLPCKIDTCEALASYGNKVQAHLRVINMKIRELKGNVEKDLHDVEHEMETLPCKTHTSEALANSYGNEIQALKTNLRVCDMKIRNLEAVINCCDKEIKELRCCKDLIVFGLVLFMAYFFIFA >Al_scaffold_0001_4031 pep chromosome:v.1.0:1:23921385:23922308:1 gene:Al_scaffold_0001_4031 transcript:Al_scaffold_0001_4031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAW3] MDSHFGVETPAEKKLEDVMPIATGHEKRSLKLNWRVEESSNTATKRKEGGRDVVVAESEELRIEESSNTVEESETEAENEEKTELTIEEDDDDWEGIERSIIDESGKAK >Al_scaffold_0001_4037 pep chromosome:v.1.0:1:23944600:23944896:1 gene:Al_scaffold_0001_4037 transcript:Al_scaffold_0001_4037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAW9] MGLSRTTTKAKTSYKIANRRFTGRKRSSPFVDRCPQSNRSLTSGGLKTHSFVATTEDREISAKETGFRHQLHTSDEPTHHKSHGKLVTPTKHIDGNRS >Al_scaffold_0001_4052 pep chromosome:v.1.0:1:24101397:24102335:1 gene:Al_scaffold_0001_4052 transcript:Al_scaffold_0001_4052 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein [Source:Projected from Arabidopsis thaliana (AT1G47810) TAIR;Acc:AT1G47810] MAETKKNLQSLDHPIPIDMLFEIFLNLPAKSLARFVCVSKLWAKIIRNQDFIRSFSLRSSLKNKQHRLLFAFKNQIKGYQENWYFFSKSTHVSTPLFEPNLLVLPDRFAARWYDNLAQNINEFEALVGEEPPDFESSTVCHLKQMRYQKPSYVHGLISFLYGKEQIICNPSIGKSINLPTLESSETIIGSFLGYDPIHAQYKVLCLTNVTMIQCSSPHYPGTISVCIDGVLYYSASSSFTMDEPLFLVRFGLRSESLEVASKFPEGLESSNRITLINYHGKVAVADVSEYRYGDF >Al_scaffold_0001_4054 pep chromosome:v.1.0:1:24109466:24111249:1 gene:Al_scaffold_0001_4054 transcript:Al_scaffold_0001_4054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBX1] MYIEISGLKRHRHFVKKPSDVRDLIKDLCDTDQFSEALEDADSTTTLIIVYINHCLTRRSYKTVSAVKTHLERWRELGDQVKPSYVRGLVEDFRSTEQFSKALEASEWMCEQRVFNVFPEDYAARLNLVETVLGLEEAEKFFERIPENMRDYSVYENLLRSYTKSEKTLDKAEDTFEKMRDLGFLSKPSPFDTMISLYRKLNKRDMVKKLKYEMMENNVKIDRPIELNVLSIVSDFKRDYLDPLWNKYKKEGKLMDDEYRIRISYLLKLDDVQGAEKIYQKWQPTGPKLDMSVPGLLISRFRAEGNESKVEEMVNSIRMKRIVMHLATLTLPLINLGLTIVVLAIMGAIITAIVKCNVWLFQQYPLLLVTR >Al_scaffold_0001_406 pep chromosome:v.1.0:1:1618856:1619321:-1 gene:Al_scaffold_0001_406 transcript:Al_scaffold_0001_406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KE76] MSLDFTDTTVFSSRISCMFSVLRCAANNIPQTQINVFLRLPKLKLLNMSNIFAISIRPAYFPLFQAFLGLCPHLEVAFETARLAGDGRAASSTVSLRRLMLSAPSSSSSSGAKLTIFVFRVGFINNFVRTSSP >Al_scaffold_0001_4060 pep chromosome:v.1.0:1:24145613:24146724:1 gene:Al_scaffold_0001_4060 transcript:Al_scaffold_0001_4060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KBX7] MGEVLKNESSEESLSWEPSERGNQRHRREYSERSEGNKRFKFQAQKKQGAPNFKGKGKMVKGSGSEPKANPLIECYSCGEFGHISRNCSVQKSSVMNEFPHITCYVCGEVGHYANKCMAAYPEAAVKK >Al_scaffold_0001_4061 pep chromosome:v.1.0:1:24152956:24155379:-1 gene:Al_scaffold_0001_4061 transcript:Al_scaffold_0001_4061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBX8] MAPLPFIPEQTHSQTRLSSDTKKKNRNKKTSQPPPQTQTLKQKEKKKTVPTSSSSSSWSQIKNLLSCKQIEGPRVHEPSKITSSSCGTSLCRFSDVVYGNARVIHRSDHSPESSNLGQDARLLTRKPVTRGSSSSVRSNGCGAYTSYSTSKAMHFRNLSGCYECHMIVDPSRYPIAPRICACPQCGEVFPKLETLELHQAVRHAVSELGPEDSGRNIVEIIFKSSWLRKDSPIYKIERILKVHNTQRTIQRFEDCRDAVKSHAHGSTRKEPRSAADGNELLCFHCTTVSCSLGSCGSTSICSAIPGCRVCTIIRHGFHAKTVRVGNGEGKEEIKGVRTTASSGRAHDALRCFDQRRAMLVCRVIAGRVRRVQSDVPEDESGSGSYDSVAGAAGVYTSLDDLVVYNPKAILPCFVVIYKVSEP >Al_scaffold_0001_4062 pep chromosome:v.1.0:1:24167826:24170107:-1 gene:Al_scaffold_0001_4062 transcript:Al_scaffold_0001_4062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBX9] MALMQHLMVVFEDADMLYPWDIENVQKMALMQHLMVVFEDADTLYPWDIENVQSRKYCYSRKQRRGF >Al_scaffold_0001_4066 pep chromosome:v.1.0:1:24231540:24233912:1 gene:Al_scaffold_0001_4066 transcript:Al_scaffold_0001_4066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KBY7] GKLGDEEQIAEQNAEDVEAAPEGVEEDDEDVEAAPKGVAKDEDSVAKDEVAKKIGQVIAKYCLDKGITKLAFECGGSPYHVCLEALAAAAK >Al_scaffold_0001_4069 pep chromosome:v.1.0:1:24256297:24256681:-1 gene:Al_scaffold_0001_4069 transcript:Al_scaffold_0001_4069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBZ3] MNTIIYAVRNLKSCIIQVENMHPSGASEIDIMEKAKKLLVQVEKLKKGFKFDHIWALLKDIPKFTDNVSVGIPDTPNTESDIASSPTSQSPGMSSFSIN >Al_scaffold_0001_4071 pep chromosome:v.1.0:1:24268156:24268624:-1 gene:Al_scaffold_0001_4071 transcript:Al_scaffold_0001_4071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBZ5] MSTEHNENIEEPMLQPENAEDVQAEKRRKTPAPAASASVNPQRPHSQNSTPAPP >Al_scaffold_0001_4079 pep chromosome:v.1.0:1:24330651:24331530:1 gene:Al_scaffold_0001_4079 transcript:Al_scaffold_0001_4079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7KC04] MTWNFIFEPCAMASTRSGRIRSTKTRKEAINATSRSGRFVIYVKQGIYYEYLEIQNTNVMLRGDGKGKTIITGRRSFVGGTTTSARPGANNRQAVALKSMWIDLFSINAVLKAHLCFTPTANFTAMASRIADEYRLQF >Al_scaffold_0001_4085 pep chromosome:v.1.0:1:24359525:24360129:-1 gene:Al_scaffold_0001_4085 transcript:Al_scaffold_0001_4085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KC10] MPCNKASDECRILTLGSADQESWRTVKTNYKHRPCRGNRKDNYGPWRCINGVLYYRAEIGPHRVIMSFDVKSEMFHAIRLPWDEDAKKGEWSTYNFLPLSHYDRGSENHFKLIGMTNDGELIYVPNTVFESFDVIYIDPIRKTFRRVKYKGIADKDFRQTLHCSPKN >Al_scaffold_0001_4089 pep chromosome:v.1.0:1:24375091:24375536:-1 gene:Al_scaffold_0001_4089 transcript:Al_scaffold_0001_4089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G48070) TAIR;Acc:AT1G48070] MYLVGSGFSQGEVKWLSNEVEWRLILTTTQLPIVVTVTSSLCRGRCAILDDQLARLSETYGSLIILRKVNILTNPFFKILYNLANAPVIIVFQTGKEIGRLVDDLSWGAITDIIE >Al_scaffold_0001_4097 pep chromosome:v.1.0:1:24446578:24447969:-1 gene:Al_scaffold_0001_4097 transcript:Al_scaffold_0001_4097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KC21] MDSGTVNIKKWVVIYPVYINSKKTVAEGRRISVSKSCENPNCIEISDCCKHLKLPSAVEIDKAYPRDFMQVGRVRVQLKREDGTLLNPSITSRKHLLQKIAELVPRHPERVKKQEAQKAKKQEPQATTSTSGTSSKSGKGGKKKR >Al_scaffold_0001_4098 pep chromosome:v.1.0:1:24448514:24449345:1 gene:Al_scaffold_0001_4098 transcript:Al_scaffold_0001_4098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KC22] METEYDQVLRSVAQVNIADQIGDSGEVDGDGVKVLCFTEVFDDVTIQFQIIRLAKQIYVWVGCNSAKFGSLYAAASTRPSNTVSVTSVLGGTSDNTGSGIARRLVLKTGLNIILACNIPKNNPLLEAKAEKVLIRKLIDLGYTMSKPVRAT >Al_scaffold_0001_4103 pep chromosome:v.1.0:1:24461798:24462502:-1 gene:Al_scaffold_0001_4103 transcript:Al_scaffold_0001_4103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KC27] MANKIAMFLSEAMNNNVVINTCLGVSFVVLGLRSDKQQKYVEALAEQKDSLFKSNKEMKVTMWEWKQQLFAEAASAGNAAVVPLSTLKAIYGEATTTTQSGDTVKEESKVSTPKIMI >Al_scaffold_0001_4104 pep chromosome:v.1.0:1:24463705:24469005:1 gene:Al_scaffold_0001_4104 transcript:Al_scaffold_0001_4104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KC29] MSCFGWCGSEDFRSAADTGPRPAHNPAGYNGGHYQRADPPMNQPVIPMQPISVPAIPVDELRDITDNYGPKALIGEGSYGRVFYGVLRSGGAAAIKKLDSSKQPDQEFLSQISMVSRLRHDNVTALLGYCVDGPLRVLAYEFAPKGSLHDTLHGKKGAKGALRGPVMTWQQRVKIAVGAARGLEYLHEKVSPQVIHRDIKSSNVLLFDDDVAKIGDFDLSDQAPDMAARLHSTRVLGTFGYHAPEYAMTGTLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKLCVDARLLGEYPPKAVGKLAAVAALCVQYEANFRPNMSIVGVMGCFCFGGHHQRADSSMNQPVIHMQPIAVPAIPVDELKDITDNFSSEVLIGEGSYDKLFYGVLKSGKEAAIKKLYPTKHSDQEFLSQVSMVSRVQHENVVALMGYCVDGPLRVLAYEYAPKGSLHDVLHGQNGVTGALQGPVLTWQQRVKIAVGVARGLEYLHKKVNPQVIHREIRSSNILLFDDDVAKIGEFYLYYQYQSPDMAARVHLSCLDRLLLRLLPFHCPEFTKTGILTTKSDVYTFGVVLLELLTGRKPFDNTLPRGQERLVTWATPKLSEDKVKQCVDARLLGEYPLKAVAKLAAVAALCVQYDPDLRPDMSIVVKTLQPLLNPPCSSPQTPVST >Al_scaffold_0001_4111 pep chromosome:v.1.0:1:24508844:24510008:1 gene:Al_scaffold_0001_4111 transcript:Al_scaffold_0001_4111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KC38] MEVSGVVLRQIPCVSSGSVACLRLVSEFSGNTRTVGFRTKKFRGIVCNNEFADKGHMSYYIEPTRCGEEKEKVKVMEKEKKALKKKEKVLKSLSKNLNMFSSIGFGLDPEAGLVGEIQTKTISEATEILVKQLEQLKAEEKLLKKQRKEEKAKAKAMKKMTEMDSESSSSSESSDSDRDKGKVVDMSSLRNKTKPVLEPLQPEATVATLPRIQEDAQSCKNTSEALQIALQTSTVFPSMPNPVQTLKTVEAVSVVGLPLKRVEVCMGGKCKKSGGALLLDEFQRAMTGFQGSAVACKCMGKCRDGPNVRVVNETDSVMTDSVRTPSKTVCVGVGLQDVETIVTSFFDEECSREGLGYVSH >Al_scaffold_0001_4120 pep chromosome:v.1.0:1:24549916:24552729:1 gene:Al_scaffold_0001_4120 transcript:Al_scaffold_0001_4120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD04] MTVQEEETLVAKESFSTLHGFVQYSVTVEEAEKNRKLSDILGALDFNQLLVTVKSLSRAEELISLMGRDGVEFRRVNIIIHYDTPETEEAFLHQGFASKGVSSPCDEDILGQIKKNHEIVIYDLPKQIDTSTGSTYR >Al_scaffold_0001_4122 pep chromosome:v.1.0:1:24562908:24563730:-1 gene:Al_scaffold_0001_4122 transcript:Al_scaffold_0001_4122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD07] MERIQMNLKRLSLTDIVIDINRVPKKKNLIEATDKAVSKPLARNLKVCGNKGLGSQAEDIWAKENCQGNSWRGADESENGGTTRGFHIGGYF >Al_scaffold_0001_4123 pep chromosome:v.1.0:1:24565150:24565737:-1 gene:Al_scaffold_0001_4123 transcript:Al_scaffold_0001_4123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD08] MEVSTCDKHKNIEELVSQLMTANWEKDTAKHELQRREQKLQEASKTIDLLKKLVMLLDMEKEVALEETANLGYKLTSLLEELATEALFMKKEAVRLARCVLKMRDEHFHKVCHLQNQIYTLQSSRESVYENVSSPSCFGLDNSKNKSKKRKMSETRSEHGEKKRYKWLKRLNTINPFPKCSITRLSSSPLHHAAL >Al_scaffold_0001_4131 pep chromosome:v.1.0:1:24614849:24615293:1 gene:Al_scaffold_0001_4131 transcript:Al_scaffold_0001_4131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD15] MVAWRSAVSMAIDQGREERRLCMFSVEDIGGYKVYQEWYVVEVFHRQYCLLIQGMHEFKQLGSSDEIRDSKIIQERRGLRLLQNTRSKGKFTIGVDDI >Al_scaffold_0001_4157 pep chromosome:v.1.0:1:24751217:24752103:1 gene:Al_scaffold_0001_4157 transcript:Al_scaffold_0001_4157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD41] MWPLRVCWLLLLCPLVVLISPLGVPLLQYAGSVPVLIRFHFLPVYLSCELVRAILWKSRNLLVFENRAISEEDTALKAITAAKEWQEANLAVHGHSRNRAQALPLIEDIPTPLPHSEDPSLILPLIEALSLNLPLIEAPFHRIPRDEVISCYTDAARIASSGSCGMGWIFKTQDHRVIHRGSATRLHTPSALAAEALALRSALIAASRMEFTSIKVFSDSQVLISLLNTETSTNELQGILHEIAFFSRSLSSIKFPFVPRKSNMLADALVKAALSSLPVLTTSAL >Al_scaffold_0001_4162 pep chromosome:v.1.0:1:24780600:24791249:-1 gene:Al_scaffold_0001_4162 transcript:Al_scaffold_0001_4162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD46] MSKGEIVATVCHRASGDVVYSSGTSGGKQKIFPVNDKYFKNMSFINGLCSSILSKYIDGVRKGKVMTFLNTRPLSTTPSGLPIAPLSTSFLMSDYFKNLPSKCYSDQQPPPVCQFLTRLRAF >Al_scaffold_0001_4163 pep chromosome:v.1.0:1:24799718:24805868:-1 gene:Al_scaffold_0001_4163 transcript:Al_scaffold_0001_4163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD47] MAVDGDETVGGEDSQPPVTTAAATTEMATARLSRFPNMDHICDNYGDLKLEFSSSVLSSLEKYLPPEMLTANREAKAKFMSDILRKYISREECSKAKWRNNYRQRIIAKYQPLYRGWCNFDPELFLLPAFRNAVSENTEESFRRIISEPFPGVLVFQMFQPDFFENLILEVENVRKWAHETNFPIRRPNKTIFFPEECGAMFDSYHGFFIENDEDRDAPLGYHVDDSEITFNVCVRKQFEGGEISFVGTRCQKHKETNIKPEIAKWLSNEGNNYFSLKQEVFRYFHTQGQAILHRGRHRHGARATAPSCYRANMILFCRNSLFREMETYKKEFPEWCHEKKEKESQSLAAKRKGMIRIVGGGKAR >Al_scaffold_0001_4173 pep chromosome:v.1.0:1:24873726:24876772:1 gene:Al_scaffold_0001_4173 transcript:Al_scaffold_0001_4173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid cyclases/Protein prenyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G48800) TAIR;Acc:AT1G48800] MEAIRVFGPKLGSKLSIRSQTSAFPPCKLSPFPLTQISSKPLHLIPLRATTIPLACDDKENNRKFEKLGPSEWGHQFLSAHVDLSEMEALGREIEALKPKVRDMFKSFKGMKSNKKNFLLIYLLVSLGLAHHFEDEIEKSIKDDSQEMEEMMAGENDLYTVSIIFWVFRTYGHNISSDVFNRFKGDNGKFKECLATDAKGILSLYEAAHMGTTTDYILDEALSFALSYLKSLAASGICKPNLSGHKNVEILVAKEYIRFYKQEEDCDNTLLKFAKLNLKFLQLHYLQELKILSKWYKEQDFESKLPPYYRDRIAELHLATLAYINPKYSRVRIFLTKIYTIQIILDDTCDRYASLRQPSKDYLKSVAKFIFNTFQEFEREVGSESGGSYSLKATIEDCKRMMRSNLQLAKWAVTGHLPGFDEYLDVAGVEIAIDFTLAGILLGMDNINKKEAYEWLIFRDKLVRAMSTKARLVNDLFGYKDDMRRGYVTNSINCYKKQYGVTEEEAFRKLHQIVAEGDKMMNEEFLKPINVPHQVLKAVLDTLRAVNICYDNEDGFTRLDGNLKNYITSMYVDI >Al_scaffold_0001_4175 pep chromosome:v.1.0:1:24882535:24890093:1 gene:Al_scaffold_0001_4175 transcript:Al_scaffold_0001_4175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid cyclases/Protein prenyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G48820) TAIR;Acc:AT1G48820] MEAITIFRSKLSIRSETKTLPACKVSRFPLTSFPGKHAHIVPLKATTITSLAYCDDEENNRKYKEMAPSEWGHRFLSAHVDFSEMDVLGREIEALKPQMRDMLMSSKGINKSSKKKILFIYLLVSLGLAFHFEDEIEESLKDGLREIEEIMAGEDDLYTVSIIFWVFRTYGHNISSGDNGKFKECLAKDAKGILSLYEAAHMGTTTDYILDEALSFTLSNLESLAASGTCKLNLSRRIRKALDQPQHKNMEILVAKEYIRDRIVEMHLVTLTYFEPKYSRVRIFLTKIYTIQIIVDDTCDRYASLGEVESLADTIERWDPDDHGIDELPDYLKSVVKFIFDTFQEFERECKRMMRSNLQLAKWAVTGHLPSFDEYLDVSGVEIALYFTLACILMGMENICKKEAYEWLKSRDKLVRALTAKARVLNDILGFEDDMSREYVTNSINCYNKQYGVTEEEAFRKLHQMVADLDKMMNEEFLKTIYYLKIFIIDTLRAANVSYEKDDEFTRPGEHLKNCITSLYVDLQGL >Al_scaffold_0001_4179 pep chromosome:v.1.0:1:24899171:24900305:-1 gene:Al_scaffold_0001_4179 transcript:Al_scaffold_0001_4179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD61] MIPFTSDSCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRLVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKQRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0001_4183 pep chromosome:v.1.0:1:24920552:24921860:-1 gene:Al_scaffold_0001_4183 transcript:Al_scaffold_0001_4183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KD65] MNKDQQSLNTSVFIKGNENSAKNVDLMGHSHQPFLARSCVDFLKNFFEDELHATQSIFSSKEKYEKILGMITDEDIQSELRGEWKNSARSSPSEEATSLLRWEQLKTLQSKNNKALSLRTCVEEIVFNFIYPRIDLEVSKKMNHLLKAPFCVHPNTGRVCVPIDPNNCDEFDPLLEVPTLSQIIEEINSAGLNMDVDDD >Al_scaffold_0001_4186 pep chromosome:v.1.0:1:24937407:24937899:1 gene:Al_scaffold_0001_4186 transcript:Al_scaffold_0001_4186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD68] MRHGGGGENTTLATQATEVNHGGDIDCVNGDDGGDDSGGGGVDDGCGGTNGDNDEGGSVLDVGGGGDNNSGDVVVEVMTK >Al_scaffold_0001_4187 pep chromosome:v.1.0:1:24943395:24945787:1 gene:Al_scaffold_0001_4187 transcript:Al_scaffold_0001_4187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD69] MSASGRKRVSKWDTKEEDTHHLTGIDYTGKSGYKDPEHSRFYPEGNGRNGSRRSGPDDDDEHLKSRQHSGEAWPPRSRVLHDDGDAMMGYYDPRKSSEQDESRQQYVRQSPSRDRSRARRSGSRSNSTSRSRSRSPLQRVRRDGGGSYDKHKSRARVSPRSGREFDGRYNRAGDYDWEAKNRKTRDTNYYTEDSREQQPMRVGGRTDYSSDFLEENHNSRRETFDPTVRSHRNEFAREREMQRRDVPEGEFHRSSNVPCRFFASGSGYCRNGNNCRFSHHGAPSARGSPERKPQNEIYSRQENNNHSGTTERMRNSHRWNDIERSDAGKSSEVEISRVSKGMSEAKGNNSSWIDDMEMSPDWNYGVKTLKKPVNEEHGGVSQSSQSQVLKDALAPAYEHGAAAIAQQDGNQRNIGMFSTVGEKTVASTHHNFSNNLANSAPPVQAFSQNIENHSAVPYQSTPLAVGGSQVLLPAATNLPGGLNSSNPENGNAQNTVSREELNHISNISASLAQFFGNGQPIPHLQSTLNPKQAMQVPEVYGTKEQSTHTQSDLPSNNSIHTGGVSAVTALPINNSDTEQLRIPEIVISLAGNPKASSEENREKKTDEEASKEPDGRKTGEEKDAAEDGENVVEEEEDDGAVDEDNKKEKDPKGMRAFKFALVEIVKELLKPAWKEGGMNKDAYKNIVKKVVDKVTGAIQTGNIPQTQEKIDHYLSASKPKLTKLVQAYVSKVKKS >Al_scaffold_0001_4189 pep chromosome:v.1.0:1:24954761:24955060:-1 gene:Al_scaffold_0001_4189 transcript:Al_scaffold_0001_4189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KD71] DPAKEPPLTSSQSGETHRSKAQLPLLAGATITSRRAVRNKIQSTVVLNQQNEGTASLAAVKESHQQVMSQRPNHTSHHRRRKTLTTASLPHPSTDTEDQ >Al_scaffold_0001_4204 pep chromosome:v.1.0:1:25037034:25037428:1 gene:Al_scaffold_0001_4204 transcript:Al_scaffold_0001_4204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDY9] MTISTFFLFIMRNCWQSKILADYRFCKCMCLDFGLDSVNWLRVLIEYPEVGGHALTPHQNDKYE >Al_scaffold_0001_4217 pep chromosome:v.1.0:1:25105341:25105890:-1 gene:Al_scaffold_0001_4217 transcript:Al_scaffold_0001_4217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KE02] MCSSRTYFNLTEFVSILSGIVNPNICVDVIGKILNVRELVFVPSVEHSQGGYFELCFGLRDTECIHLECRLTGDLAVEFYDLWKRRSRNTVICIIKFVKLELSQEHRWSCTNVTGCTRIMLNPDLSITD >Al_scaffold_0001_4218 pep chromosome:v.1.0:1:25128587:25129492:-1 gene:Al_scaffold_0001_4218 transcript:Al_scaffold_0001_4218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KE03] MEDIRKEIDFGDWHSNLAHDEWTPLPVSGSPASARYKFLPKPFRVFSGSRNGRYLSDVQVFDLRSLTWSSLKLKTESSSTENIQEDDGSSLREAFSAISDHRMIKWGNKLLLIGGHSKKSSDNMSVWFIDLETHLCGVIDVSGNVPASRGGHSITLVGSGVLVFGGEDKNRRLCSRT >Al_scaffold_0001_4237 pep chromosome:v.1.0:1:25262789:25263099:-1 gene:Al_scaffold_0001_4237 transcript:Al_scaffold_0001_4237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KE25] MGTMRKALYNVGFWIRETGQALDRLGCRLQGKKHFREHRTNYNGYYERRRI >Al_scaffold_0001_4248 pep chromosome:v.1.0:1:25341329:25342300:1 gene:Al_scaffold_0001_4248 transcript:Al_scaffold_0001_4248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G49330) TAIR;Acc:AT1G49330] MTTNPINKEPVNESRNDEIRPKTSPVRKAPPPEFAGPMTTWPTPADLLAARSMPPYPTPPPHLIPSWMSDYPDHQRPNPPQLFTNFFPPSSFAPTPSNLTTPPAIRPVTASVRGYRSQSTANQKSGTISPPFPWATNYRGQIQSLEYLESKQITTITGEVQCRHCENVYPVSYNLKERFAEVEKIFLNRKRIMRERAPSIWLNPEQRRCELCGREKAVKPVIAERKSQINWLFLLLGQTLGFCTLEQLKNFCKHSKSHRTGAKDRVLYLTYLGLCKMLQPKCDLFTRDSTGSKR >Al_scaffold_0001_4251 pep chromosome:v.1.0:1:25360695:25361398:1 gene:Al_scaffold_0001_4251 transcript:Al_scaffold_0001_4251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KE32] MVLFSSRYIMRWKLEEIYEKAKKEIDSLERKCEEHIETTKKDRASLNQKLEVMETCKLISDADEILEILGRTSQATNVQDKST >Al_scaffold_0001_4254 pep chromosome:v.1.0:1:25377725:25378338:-1 gene:Al_scaffold_0001_4254 transcript:Al_scaffold_0001_4254 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:D7KE37] MVEVPSSVGTTASLSLRLGQTVLAFGSLLFMTIGVRFYQFTAFCYLVTIMSLAIPWNLTLAVVDIYCVLLNQPFQKPRILLVISIVDWVVSVLALASASSAASVVDILRSDESSCPPTICNRYQFAATLAFLTWFLSLSSSLFNLWLLPSLI >Al_scaffold_0001_4258 pep chromosome:v.1.0:1:25395467:25398932:-1 gene:Al_scaffold_0001_4258 transcript:Al_scaffold_0001_4258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KE41] MVGQSNLLKSLVLVIGAGGLGSSAFLVVSCSMWCWILPLEDLISPKPKPEVQPQPQTNGKPTWEQSELPVSQPQDEIHPQPQAQIQPPPIKRPPGRPRKDGALPTVKTAASVSGGVEIVKRRGRPPSGRAAGRERKPTVISAPASVFPYVANGGVRRRGRPKRVDAGASSVAPPPQPPNVESGGEEAAVKKRGRGRPPKIGGVIRKPMKPKRSFTRTGRPVGRPRKNAVSMRASGQQDGDYGELKKKLELFQARAKDIVTVLKAEIGGTENQAVVQAIQDLEGTTGTTTETTNKPQHMEEVQLPDEEHPETEPEPVGQGQGQGQTQTEAEAMQEALF >Al_scaffold_0001_4259 pep chromosome:v.1.0:1:25408307:25409190:-1 gene:Al_scaffold_0001_4259 transcript:Al_scaffold_0001_4259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KE43] HSQHPRAQVGVPNVVWDTTVATYALNYANSRKVDCSLTNSGGPYGENLARGSSAIFTGVSAVASWVAEKPYYNHTSNSCIGGQQCKHYTQVVWSNSVKIGCARVPCNNGWYFVSCNL >Al_scaffold_0001_4263 pep chromosome:v.1.0:1:25437748:25439873:1 gene:Al_scaffold_0001_4263 transcript:Al_scaffold_0001_4263 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G52470) TAIR;Acc:AT1G52470] MAASSSTNVPAQQGDQRVTIVWLHDKDAYDFGSNIKQDDREAIDSAAKLVAGFLLAEPLNVVKGVGGFGMGAVVALQFATNCALGHYPLSPRVVVGINGWLSIAGIESTVGAVARAAQQKILFSRPTDDRILPYTSEKEVVDSLNEAGFGEVYFLPLSRLEPIVPDTPSVTSLVKLWLTLNLPISEYSAQ >Al_scaffold_0001_4265 pep chromosome:v.1.0:1:25453900:25456540:1 gene:Al_scaffold_0001_4265 transcript:Al_scaffold_0001_4265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G51040) TAIR;Acc:AT1G51040] MFNSFNFIFGMQRLVEGYLLRATQRSDIFAHILIWHLQGESVQETPKEGSIDKNAAFQEILPEVRQHIIDGFNPTALDMFTREFDFFDKVTSISGVLFPLPKEECRAGIRRELEKIEMQGDDLYLPTAPNKLVRSIRVDSGIPLQSAAKVPIMITFNVVDRDGDHSDVKPQACIFKVGDDCRQDVLALQVISLGVLPTGAERGIIEVVPNTRSRSQMGETTDGGLYEIFQQDYGPVGSTTFETARENFLISSAGYAVASLLLQPKDRHNGNLLFDDSGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLGVMKSKTWHQFVSLCVKGYLAARRHMDGIICTVQMMLESGLPCFSRGDPIGNLRKRFHPEMSEREAAHFMIHVCTDAYNKWTTAGYDLIQYLQQGIEK >Al_scaffold_0001_4266 pep chromosome:v.1.0:1:25457816:25459928:1 gene:Al_scaffold_0001_4266 transcript:Al_scaffold_0001_4266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEV1] MEYKEKRKENGVTRDKIKRQNNRSISLVTTVLFTPGGVGRNVARLRILRREDISTPIVSLVYDTNGEVAAGVDAVEKFLTPEWIQRFKYNISSAPVLMVDANLTTLALEASCKLAVEFNVPVWFEPVSVTKSQRIASVAKYITVVSPNQDELIAMANALCAKNLFHPFKSEENTLSIEGIFRALKPAIWILLENGIKVVIVTLGSNGALLCSKGNPKKALNINRKFIRSGEIFKRVQSVCPPNRFSELLSNRSPSLFAMHFPTIPAKVKKLTGAGDCLVGGTVASLSDGLDLIQSLAVGIASAKAAVESDDNVPPEFNLDLVTDDAELVYYGAKMLVVHQSML >Al_scaffold_0001_4270 pep chromosome:v.1.0:1:25480779:25481446:-1 gene:Al_scaffold_0001_4270 transcript:Al_scaffold_0001_4270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G49420) TAIR;Acc:AT1G49420] MKLKTAPLNSEKNLSKVKNALCSLPQVRDQKFDEENNTVTIKVVCCSPEKVMDKLCSKGRGAIKLIETIDPPKPAAQKPKEPEKPKEAEKPKEAEKPKPAPAQADPSSQRVIHGYNPASMMGQPVPWSDGPNYGWSRPNGYDERPIFDSYGSWRPPPLQYGYGSRSHYQEESPCSIM >Al_scaffold_0001_4278 pep chromosome:v.1.0:1:25543232:25545027:1 gene:Al_scaffold_0001_4278 transcript:Al_scaffold_0001_4278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEW0] MGRIHEQLFKMRSRARLQSGKQRYVVNGVFYMTCSEISPTTRSHIISRPFIPLGTPLTTSPTCQDWLFVIKFGGNSRVVKMVASPLTRLAETGFAWKVRLPRELRAVSTHEGETYLQVDFHFRGADLELPGKKFMVADSITSVLCLAMGSSNGMSVFGNAQHLNYNGMIVLRKRCHVFPLNVENCRF >Al_scaffold_0001_428 pep chromosome:v.1.0:1:1709685:1711406:-1 gene:Al_scaffold_0001_428 transcript:Al_scaffold_0001_428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KE99] MNRLRGRGASLLGSAVVPQLKKKALNSLVAVQDSYLSTKDLFERHRVVFTVGTSIASVATAWIGYSLRHYNETRINQRLESIENAMKNTQELERGELKKLVDPVGSRFTTTIATAGTTLILGYGLGWRGGIWYANRKFRREQMRLAGQLKPREWKLLGRIKPRAWPTTKFLRRPFPRQNKTAENALKAPESAS >Al_scaffold_0001_4280 pep chromosome:v.1.0:1:25571066:25573807:-1 gene:Al_scaffold_0001_4280 transcript:Al_scaffold_0001_4280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7KEW3] MLVHTKLSAVFIVIIMFSQAHSDLVRLEDDNINGFITTVIGVCKGYDDKQSCQNILLELKRVSSSLSEMKCRELLIIVLKNSVWRIDMAMIGVMEDTKLLEQMGNDMLGVKEDTNLFEEMMESAKNRMIRSVEELLGGESLNLGSYENVHTWLSGVLTSYITCIDGIGEGAYKRRVEPELEDLISRARVALAIFISISPRDDTELKSVVSNGPSWLSNVDKKCLYLNPEVLKKNADVVVAKDGSGHYNTVNAAIAAAPEYSRKRFVIYIKTGVYDEIVRIGITKTNLTLIGDGQDSTIITGNLSCNVGRSTFYTATVASNGDGFIGIDMCFRNTAGPTKGQAVALRVSGDMSVIYRCRIEGYQDTLYPHQYRQFYRECFITGTVDFICGKAVAVFQFCQIVARQPRRGQSNVITAQSRESRPWRKYSTVVVLQSFIGDLVDPAGWTPWEGETGLSTLYYGEYQNMGPGAVTSKRVKWTGFRVLTDPNEAAKFTVSKLLDGESWLKASGVPYEKGL >Al_scaffold_0001_4284 pep chromosome:v.1.0:1:25590408:25591958:1 gene:Al_scaffold_0001_4284 transcript:Al_scaffold_0001_4284 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine gamma-lyase [Source:Projected from Arabidopsis thaliana (AT1G64660) TAIR;Acc:AT1G64660] MAHFLETQQPLVFSGKKRTDRDDDDGDALAAKKSALAVRDVDPAAALSSIRHEFGEHGGVNMSIEASATFTVMEPDTMRRMFSGELGPDNDFFVYSRHFNPTVLNLSRQMAALEGTQAAYCTSSGMSAISSVMLQLCSSGGHVVAASTLYGGTHALLSHFLPRTCNITTSFVDITDHGAVANAIVEGRTEVLYFESVANPTLTVADIPELSRMAHEKGVTVVVDNTFAPMVLSPAKLGADVVVHSISKFISGGADIIAGAVCGSEKLVKGMMDLRGGSLMLLGPTMNAKVAFELSERIPHLGLRMREHSRRAQVYAERMRELGLKVIYPGLETHPQHRLFKGMVNRDYGYGGLLSIDMETEEKANKLMTYLQNATQFGFMAVSLGYYETLMSCSGSSTSSELDPSQKEAAGISPGLVRMSVGYVGTLEQKWTQFEKAFLRM >Al_scaffold_0001_4286 pep chromosome:v.1.0:1:25602554:25604041:-1 gene:Al_scaffold_0001_4286 transcript:Al_scaffold_0001_4286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEW7] MGDKEKQYNQWSPEETKVLIELLVEGIQRGWRESCGIMNKATVEHRILPVLNERLGCQKTHKHYLSRMKYLKAQYLSSGDLQRNSSVWHNYLKAHPNHKFMRYDSNDQFEDLKIIFDCATANGSSSIGLGDTTDARTYSVGDSQVKENLNFFDESNEDGYAFSSQKQAPEADASPFSETSLKGRAEKLVPRKRSRVEAVNNLDELNSDRNDFMIAVSNKILSVIQQREERQQKEAEQREEKLRLEVEKREAEKKKNNVWDAMKEVPNLDQRIKFKAVTLIYSLGMKDVFADMSVEERYDLQPAELM >Al_scaffold_0001_4288 pep chromosome:v.1.0:1:25612423:25623015:-1 gene:Al_scaffold_0001_4288 transcript:Al_scaffold_0001_4288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEX0] MVAYVDKKFSSACLVVLLFFVASSYARFSTMVTKDEIHTICTKQDINSSLCFEVLKATPEIGRLDLSDLAKFLLNYQAQNISDTLKQFKLSGGYTRDIESKYSVCIEEYEDALENRDYALKYLAAKDYDGLNTMVSATMTDMFTCTDDLSTMKPIPQLFVTKSNVISDLSNIIFVILECFLREKKKLCNSRDL >Al_scaffold_0001_4289 pep chromosome:v.1.0:1:25631223:25633515:-1 gene:Al_scaffold_0001_4289 transcript:Al_scaffold_0001_4289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7KEX1] MVIGVCKGYDNKQSCQNLLLELKTVSSSLSKMRCRDLLIIVLKNSVWRIDMAMIGVMEDTKLLEEMENDMLGVKEDTNLFEEMMESAKDRIIRSVEELLRGESHNLGSYENVHTWLSGVLTSYITCIDEIGEGAYKRRVEPVLEDLISRARVALAIFISISPIDDTELKSVVPNGPSWLSNVDKKYLYLNPEVLKKIADVVVAKDGIGDYNTLNEAIAVVPEYSRKRFVIYIKTGVYDEIVRIGSTKANLTLIGDGQDSTIITGNLSYNDGKTTFQTATVASNGNGFIGIDMCFRNTAGPAKGPAVALRVSGDMSVIYRCRIDGYQDALYSQRDRQFYRECFITGTTDFICGNAAAVFQFCQIVARLPMKGHSNVITAQSRTSMEDNSGFSIQKCNITASSDLDPVKATVKTYLGRPWRNYSTVVVLQSFIGDLVDPAGWTPWKGETGLSTLYYGEYQNSGLGAVTSKRVKWTGFRVITDPKEATTFTVTKLLDGESWLKASGVPYEKGL >Al_scaffold_0001_429 pep chromosome:v.1.0:1:1712005:1714138:-1 gene:Al_scaffold_0001_429 transcript:Al_scaffold_0001_429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein [Source:Projected from Arabidopsis thaliana (AT1G04990) TAIR;Acc:AT1G04990] MRPPMSDTQHVQSSMVSIRSSDKIEDAFRKMKVNDNGGEESNPYPDRPGERDCQFYLRTGLCGYGSSCRYNHPAHLPQDVAYHKEELPERIGQPDCEYFLKTGACKYGSTCKYHHPKDRNGAQPVMFNVIGLPMRQGEKPCPYYLRTGTCRFGVACKFHHPQPDNGHSTAYGMSSFPSAGLQYASGLTMMSTYGTLPRPQVPQSYVPIMVSPSQGLLPPQGWAPYMPASNSMYNVKNQPYYSGSSAPMAMAVALNRGLSESSEQPECRFFMNTGTCKYGDDCKYNHPGVRISQPPPNLINPFVLPARPGQPACGNFRSYGFCKFGRNCKFDHPMLPYQGLTMASSLPTPYASPVSTHQRISPSPNRSDSKSLSNGKPDVKKESSETEKADKDSGQLNNSEVQDLSENPLSP >Al_scaffold_0001_4297 pep chromosome:v.1.0:1:25675626:25676243:-1 gene:Al_scaffold_0001_4297 transcript:Al_scaffold_0001_4297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KEX8] YNSTTSESSTPIQAPTPSSETTQVPTPSSESYQAPSLSPIQAPTPVQAPTKSSETSQVPTPSSESNQSLISSPIEAPTPILEPVQAPTKSSETSQAPSPSPESFQSPSQAPTPILEPVHAPTPNSKPDQSPIPSNEPVSSPKQSEEGEAPEQSPATPSSPSSSPPSDTAVPPPENNDDDDDGDFVLPPHIGFQYASPPPPMFQGY >Al_scaffold_0001_4306 pep chromosome:v.1.0:1:25736890:25738638:1 gene:Al_scaffold_0001_4306 transcript:Al_scaffold_0001_4306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G49570) TAIR;Acc:AT1G49570] MDHKISMYLFVSYLAVFTLFFKGFVSSFPSGYNNGYNNGHGYGLTSNLNYRFYDRSCPRLQTIVKSGVWRAFKDDSRIAASLLRLHFHDCFVNGCDGSILLNDSEDFKGEKNARPNRNSVRGFEVIEDIKSDIESSCPLTVSCADIVALAAREAVVLTGGPFWPVPLGRRDSLTASEQAANTNLPSPLEPLENITAKFVTLGLDLKDVVVLSGAHTIGFAQCFVFKHRLFNFKGSGQPDPNLAASSALLSKLKDTCPNVDSSDSKLAALDAASSVKFDNAYYVNLVNNIGLLDSDQTLMTDPTAAALVKSYSENPYLFSKDFVVSMVKMGNIGVMTGSDGVIRAKCGFPG >Al_scaffold_0001_4310 pep chromosome:v.1.0:1:25754225:25755135:-1 gene:Al_scaffold_0001_4310 transcript:Al_scaffold_0001_4310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEZ2] MADHGENRDGASASVRVLNHHSEDLDTISSLPDVILHQILSLFQTKYAIRTSILSKRWRYVWFETPSLYFDDCYKLDADSVEKTLDHYRARKIMTFQLCATSVVDLPYNEWIEFAMSRNVENLFLNFGYLKLLQNSPELKKLTLHTMDCDMDTIHDKDVSNYLCGLNLNHCWVFGNVFHWNVESKHVASFMELMIKTTKALEKMVVRLESYLDGRDFEELLEMVPMLSQNNNVSIEFSSTSAWLS >Al_scaffold_0001_4314 pep chromosome:v.1.0:1:25762932:25765752:-1 gene:Al_scaffold_0001_4314 transcript:Al_scaffold_0001_4314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEZ5] MENRGLPSRIFADLEEPAGDRVNQYFKLNTIRVVLRALQPEELDQIRPPLPPIDQSLVKCPPGVTPYWFTLFGGEEFVTAEMLQAKLRRPRTLTSELRVKYACLMLVDGLLCRRSFNMKIPKEHVEMIRSLDVFLNYPWGRYSFDMTMQCIKSRAVNQLAQETVAIQGFIHALQLVFVEAVPDVLDVVGDATDPESGGEEVFPVISLKLDKVWDLDAQGEVQVLPIIPANEEGDVVDEGLADCSWPDEVGDPSVEFMLHQLEEGVVFKRDMFVGGFRGLAAPAQPPSRVVKKGKRKCNAKLLPKEMSNGEASSSKKLKMRSQRAKFDSVDPNSKLLAAVSSQIRAGLKESQSAVYANLCIDIKEMELLLQQSFKQNIFSVVAEYLAAKDIVNTVVDALGSGGGRPVSHLNQDPDTTPTVQGETGSSSAAASGEKSSGGDTVVQFSEQLPDSATEIDPSSDNFKLLITHLVPTMELSVGEGLVLKDKDVLNIPIIIPPDSPQVMDSCVLVLRDSLFNNVDPASDPRAEFMRSNFPGSFAVLYAKFKKTSRKELFDFDPKVLAAVIDRSKLNGWEWIIDIDFLYFPFNIDKNRWIAVMVNLRNHVLTVFDPNADACCGSRLKPQLDFVCEMFPYFVRKVGLNDMMSSFSLEPLAFHRDTSVVQASVRSNTGILSLLFMEAHAFGGLEKVYKVNESAIRSRAESLAVELYEHCCGELVVE >Al_scaffold_0001_4322 pep chromosome:v.1.0:1:25795874:25796558:1 gene:Al_scaffold_0001_4322 transcript:Al_scaffold_0001_4322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KF04] VFRENLLSKDFVNIHTPKLLAGSSEGGSAVFRLEYQGQPACLAQSPQLHKQMTIYNGPCGRFLEKTLRLTFEEGVQILKEAGVEIDPLGDLNTESQRKLGQLVLEK >Al_scaffold_0001_4338 pep chromosome:v.1.0:1:25884401:25887401:1 gene:Al_scaffold_0001_4338 transcript:Al_scaffold_0001_4338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KF21] MVKTRNMWLRDAVARVSGRTDKSNLQLPNCGSKNLSSRLLRPRCPLPKPPSHTTVGSPHNRPSRNSSSPTCIDSSAHAGSHTPSVTSSVSVSASDLGTNASDIQITPLLRPVDTLCPPDTASSSSVSSSQDGLYVKDSLPSRLFQTDRYPTKGRVNSYSKLQYLIDILSILEGTPELTFRMLKVGEKVRSQSHLLNKLKQHSLAIHGFPMAIQLLLFKSIPALLQYLPGADDLRTFLDKPVAVLPPLKTFHSENILQLENSRDLFVACPRGPFSIEEKVRLPVTFDGDQNVACLVTLLDSGHRWCKADWVGGDASLLENAPVRKRFAAEDCESTEIAKLKAALARISNQNAARFLAFRPQINPQNPCATVLHFPPINPAQ >Al_scaffold_0001_4339 pep chromosome:v.1.0:1:25892712:25895197:-1 gene:Al_scaffold_0001_4339 transcript:Al_scaffold_0001_4339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KF22] MAFHNDLSDQISHSMQRMCKATVLDEITMKICLIKISRALLDADVATVLVNNMERNIQKYINTFLLDAKTEKSFSEESQQIQAWNCDYKRVQYMILEKATDSRKGSGKQPIQKLRLNFQRVMCRVAAFNELCKMLDPGKSELACYLGLNPAKVARQGIEKFRKENRDLIIVDTNSRHNHYSTSLFEEMSQIAKIMKPDVVLVMDSRIGQAASAQAFKESFHDGSVILTNIRGNTKATGALS >Al_scaffold_0001_4340 pep chromosome:v.1.0:1:25897829:25903501:-1 gene:Al_scaffold_0001_4340 transcript:Al_scaffold_0001_4340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KF23] MEDTHTNKKTKQLQDPVAKEVVENSKKRKQEYLLTQLSIDGVENNDVPMEIVNKIVFEETPKRKGRIFGLGKLGVARYKSHSTSSCSCDPMLEASLKEKEARIEALETQMAEEKAENKRRTDEMAKEIAENKKSSEAMMRFMGDMRMRFPNSSS >Al_scaffold_0001_4344 pep chromosome:v.1.0:1:25916799:25935572:-1 gene:Al_scaffold_0001_4344 transcript:Al_scaffold_0001_4344 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G49830) TAIR;Acc:AT1G49830] MRGTTEEEDGFTSFSDVLMLFDDVLSSGDVGEDGFGFTGNETSQMKKRISSGDEHDRVCDPKPGKRCKRDQTKSSVGNAKVKKEKVGERITALQQLVSPYGKTDTASVLHETMGYIKFLQDQVQVLSTPYFKHNPLVRRRRHRRSKPDDESERVEKQWFMSCSTSLDRTRNGVDLWSSAIYLVTSHTKSEPVETVEVKSYKDKLFDAYKYFCLSVDT >Al_scaffold_0001_4359 pep chromosome:v.1.0:1:25997521:26002236:1 gene:Al_scaffold_0001_4359 transcript:Al_scaffold_0001_4359 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G49980) TAIR;Acc:AT1G49980] MDNGESSSTNNSSRPWESYNTFFTNAKAGMEGVDKEKVQRIVYEMSKGSKFFQNEERKEALMKQKIEHMRDRCAKLSSSDLSNYHKVVDKRILELEATRDLSRIWLHVDMDAFYAAVETLSDPSLKGKPMAVGGLSMISTANYEARKFGVRAAMPGFIARKLCPDLIFVPVDFTKYTHYSDLTRKVFRNYDPHFIAGSLDEAYLDITEVCRERGLSGGEIAEELRSSVYSETGLTCSAGVAANRLLAKVCSDINKPNGQFVLQNDRSTVMTFVSSLPVRKIGGIGKVTEHILKDALGIKTCEEMVQKGPLLYALFSQSSADFFLSVGLGLGGTDTPQVRSRKSISSERTFAATGDERLLYSKLAEIAEMLSHDMKKEGLTARTLTLKLKTASFEIRSRAVSLQRYTCSSDDILKHATKLLKAELPVSVRLIGLRMSQFVGEIRNSDPSQGTITKFIVQKDSSRQDLDDNDSFDLDASKNCLSNDLSLSFGSHETSYAHLKDVVEYEERSQIDSEKVIPNQECVKKKKGRNSFSISANGPLYWVDGYKCVLCGIELPPSFVEERQEHLLIFILLRDFKTKNLDLVLPQHLQNEGQLTEKVNSKRKKQKPDQKDSSKHIPIHTFFTKSNQNSNETQRK >Al_scaffold_0001_4360 pep chromosome:v.1.0:1:26002303:26003462:1 gene:Al_scaffold_0001_4360 transcript:Al_scaffold_0001_4360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFU3] MSDILTSSKARKLDLKIQTLGPFFRVTGKNADTGGEVGRAEGVVRPWFGRGLVLHLDTIRLTKETVAMDKSVLGVGLYVGAVAIRHGYDCGCRTAQLLAIYDSDLYHSKLVRFYRRIGFEEVKEVSGSSIGDMADMLVWGGVGTRMDANIHHLLLKWYKVFLKSHS >Al_scaffold_0001_4363 pep chromosome:v.1.0:1:26009484:26011490:-1 gene:Al_scaffold_0001_4363 transcript:Al_scaffold_0001_4363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFU6] MRALAAASRPLFSDLPSLVRSWLPPSSFKSFASVSSSPPSDSDLRNQSRGGLPRFFSDDLPSRKGGVVRVQGSEFWHMAKVLRLKQEDRVELFNGKGGLVEGCIQSIDKTGVDFIAQEDQKVILPQGIQWQVFAAFGTLKGGRADWLVEKCTELGASSVTPLLTERSSIISENRVDRLERVSFAAAKQCQRLHQMVLNPPIKFDTLLGHISKSKLCLVATAEATPLLNAVNSSTKETSGILIVGPEGDFTKKEVEMMLEAGSTAVGLGPHRLRVETATIALLATLVMWSDSQETV >Al_scaffold_0001_4367 pep chromosome:v.1.0:1:26039714:26041340:1 gene:Al_scaffold_0001_4367 transcript:Al_scaffold_0001_4367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1005) [Source:Projected from Arabidopsis thaliana (AT1G50040) TAIR;Acc:AT1G50040] MDPCSFVRIIVGNLAVRFPRSSSSSSSSSGPSVSDVSSGNCYCKIKFKSFPRQIVSVPVLLRTESESESRCCSGNVSTVAACFSLSKSQIETSLKKPKWSVLSVEVYSRGGASCGFVAASGEKLIGRFEVTLDLKAAETKTCFAHNGWVDLGTKSKNKKKSESDPELHVSVRVEPDPRFVFQFDGEPECSPQVFQVQGNTKQAVFTCKFGFRNSGDRNLSLSLSSLTSGKEQFLKERKGWSITIHDLSGSPVAMASMVTPFVPSPGSNRVSRSNPGAWLILRPDGYTWKPWGRLQAWREPGVSDVLGYRFELYKDGIAIAVSASSSISTKFGGSFSIDGSTATTTTRTASLSSSEGSFDLSSWSSIRSSRTDSGSGSDFRFSLSQAQQNLGFVMSTRVEGVEKQSKPKVEVGVKHVTCREDAAAHVALAAAVDLSMDACRLFSHKLRKELRQLSRVDVV >Al_scaffold_0001_4368 pep chromosome:v.1.0:1:26076243:26076974:1 gene:Al_scaffold_0001_4368 transcript:Al_scaffold_0001_4368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFV1] MEVFSRCNHSYNPIEGSEQKRPYKKAVLAFAAALESRKITASVRQTRGLDASAACGQLRNKFQKSPLLAETDGQESQPEASCRMLMPWITNLRFCHW >Al_scaffold_0001_4369 pep chromosome:v.1.0:1:26080970:26081381:-1 gene:Al_scaffold_0001_4369 transcript:Al_scaffold_0001_4369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFV2] MRLKSYDGGPGVSLLLFSFSGGEDYPHRCGRGSSLVEYELCSGGFRVEDAMGCFKAP >Al_scaffold_0001_4383 pep chromosome:v.1.0:1:26187116:26190501:-1 gene:Al_scaffold_0001_4383 transcript:Al_scaffold_0001_4383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane single C2 domain protein [Source:UniProtKB/TrEMBL;Acc:D7KFX0] MIPQSSFSSFDFRLPVDVSRPLLCPCSYELRAVVSPRSRVLRRNFKVLNFRLVSCGVRGDSKNLRLTDSSRKAANRFLFSRFSNEFKVEETSQESLIHDDQNNYSNFREDPIVDKLRTQLGVIHPLPSPPLNRTVIGLFVFFFFVGVAFDKLWTWRQTGGDGGQRGLGPWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRAGIENWLVGLLQPVIDDLKKPDYVQRVEIKQFSLGDEPLSVRNVERRTSRRANDLQYQIGLRYTGGARMLLMLSLKFGIIPIVVPVGVRDFDIDGELWVKLRLIPTQPWVGAVSCSFVSLPKVTFQLAAFRLFNLMGIPVLSMFLTKLLTEDLPRLFVRPKKIVLDFQKGKAVGPVSEDLKSGEMQEGNKDFVGELSVTLVDAQNLRYMFSGKTDPYAILRLGDQVIRSKRNSQTTVIGAPGQPIWNQDFQFLVSNPREQILQIEVNDRLGFADMAIGTGEVDLRFLQDTVPTDRIVVLHGGWSLFGKGSAGEILLRLTYKAYVEEEEDDKTNVKAIDADASDDEMSDSEELGSFVRNEKVSSDDIGQESFMNVLSALIVSEEFQGIVSSEAGDSIISGGDSLGAPVPSKADSSNGSESNADVSDSDLLVDNSGRGTGGDGGLALLWFGIITGILVLVAINMEGTRFFNP >Al_scaffold_0001_4384 pep chromosome:v.1.0:1:26191185:26192040:-1 gene:Al_scaffold_0001_4384 transcript:Al_scaffold_0001_4384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFX1] DAFKPEIYGDTLIIERRISDSTSLTVLKDHQGRKISSRREELRQLVEHYNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFYKATLLQQVDDLLQSIGIKLKSANALMDEMEKTIKPIEKEISELLEKIKNMEHVEEITQQVLHLKNKLAWSWLMGI >Al_scaffold_0001_4386 pep chromosome:v.1.0:1:26207929:26208799:-1 gene:Al_scaffold_0001_4386 transcript:Al_scaffold_0001_4386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFX3] MYLRHIMKNEPFNVVHINKKPMMKSSVLAIPTKAEAGFNRSFTASDVDFPPSKFSISFLSSRDFHSFRSRGVGSVTPPFVFPGVLVCSPA >Al_scaffold_0001_4390 pep chromosome:v.1.0:1:26241000:26242790:1 gene:Al_scaffold_0001_4390 transcript:Al_scaffold_0001_4390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G50270) TAIR;Acc:AT1G50270] MFHQLKALLDLPLLFLHLKQIHCLVLTSPIFYTRRDLFLSRLLRRCTAATQFRYARRLLCQIQTPSIQLWDSLVGHFSGRVTLNRRLSFLSYRQMRRNGVVPSRHTFPPLLKAVFKLRDANPFQFHAHILKFGFDSDLFVRNSLISGYSNCGLFEFGSRVFDGTEDKDVVSWTAMIDGFVRNDSSLEAMTYFVEMKRSGVAANEMTVVSVLKATRKAEDVRFGRSIHGFYLEAGRVRCDVFIGSSLVDMYGKCGCYDDAQKVFDEMPSRNVVTWTALIAGYVQGRCFEKGMFVFEEMLKSDVAPNEKTLSSVLSACAHVGALHRGRRVHCYVIKNSIEINTTVGTTLIDFYAKCGCLEEAILVFERLREKNVYTWTAMINGFAAHGYAIGAVDLFHTMLSSHVSPNEVTFIVVLSACAHGGLVEEGRRLFLSMKERFNLEPKADHYACMVDLFGRKGLLEEAKALIERMPMEPTNAVWGALFGSCLIHKDYELGKYAASRVIKLQPSHSGRYTLLANLYSESQNWDDVARVRKQMKDQQVVKSPGCSWIEVKGKLHEFIAFDDKKPLESDDLYKTLDNVGVQMRLPDELEDLTSQS >Al_scaffold_0001_4395 pep chromosome:v.1.0:1:26258450:26258860:-1 gene:Al_scaffold_0001_4395 transcript:Al_scaffold_0001_4395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFY4] MKSSPQIATLDISDLAKFLINYDFQKTLDLMKHFQSLTNSTTDRSSKDSYKICSDLFSLGIHSLEIAFKALTTNDYDTLNRTVGNMSDYAEDCGSELSSVIKPIPQLLKGVSIVQNVSDIFLVILECFLRKEKTLC >Al_scaffold_0001_4396 pep chromosome:v.1.0:1:26260200:26261806:1 gene:Al_scaffold_0001_4396 transcript:Al_scaffold_0001_4396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFY5] MVKAWYDPWLPLHPPRPPVKKTRVYKMLWSAIGSMKQKKIGMLKRHHWSAPEPGWIKCNFDGSFVNSGVPSEAGWILRDQNGTYIGSSQEIGRNTETTLESELQELLIAMQHCWCKGYKQTCFEGDN >Al_scaffold_0001_4397 pep chromosome:v.1.0:1:26262223:26264888:-1 gene:Al_scaffold_0001_4397 transcript:Al_scaffold_0001_4397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7KFY6] MEIQLSVFILSLIPFFALASSTSDVIEMVVPSDDFPSWLTDFNPTKTLRGHADLIVSQDGTGDYKTINEAVAAAPTGSKTRFIIYVKRGTYKEIVHIGELKTHLTIVGDGSDATILTGSLNFKDGTKTFDSATVAIDGDWFMAQDLWIQNTAGPAKGQAVALRVSGNYVVIYQCRIDAYQDTLYAHSNTQFYRDCFITGTVDFICGRASAVFQNCQIEARKPTEGQSNVITAQQRGKDDKHSGFTFQNCSIKASSDLAPLKRMVKTFLGRPWGDLSTVVFMESYMDDLIDPTGWTPWNSSTTRRLSTIFYGEYRNKGPGANTNQRVDWKGFKVITDPIEAGKFTVGEFINRDSWLNATGVPFYEGL >Al_scaffold_0001_4401 pep chromosome:v.1.0:1:26289784:26289945:1 gene:Al_scaffold_0001_4401 transcript:Al_scaffold_0001_4401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFZ0] AWTRGRRHSLSRDSYAWSSSPLRYSSLLPRSSSSSPPLYSTVVIFFSFFFLVL >Al_scaffold_0001_4411 pep chromosome:v.1.0:1:26354300:26355340:-1 gene:Al_scaffold_0001_4411 transcript:Al_scaffold_0001_4411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KG01] MVGSAEDDVLEDVSVKTVVDLIRYLIILGNYAPGKLTQRKSQQRERRIPARISPLKIRPPKIRQQSDVEEVGSLLLQRLKRWYEN >Al_scaffold_0001_4419 pep chromosome:v.1.0:1:26393889:26395514:1 gene:Al_scaffold_0001_4419 transcript:Al_scaffold_0001_4419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGP9] MAAITVDFQICFIFILLWPFSLFCLYGFFFKKPKEPRLQGCGLPPSPPSLPVIGHLHLLLSVPCHKSFQKLSSKYGPLLHLRAFNIPIVLPERFMTSPSEGKEDERAQLALNFIPFGSGRRGCPGENLGYIFIGVAIGTMVQCFDWRIDGDKVNMEETGELALSMAHPLKCTPVTRINPLASFESADP >Al_scaffold_0001_4428 pep chromosome:v.1.0:1:26425879:26427835:-1 gene:Al_scaffold_0001_4428 transcript:Al_scaffold_0001_4428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3537) [Source:Projected from Arabidopsis thaliana (AT1G50630) TAIR;Acc:AT1G50630] MADIDIDVGKEPLINHENNNKKKIFNRCVSHQQDELHSFRKYLRWMCVDHSSPWTAILSWTMFVVFTLVVPAISHFLLACADCDSYHSRPYDSVVQLSLSSVATVSFLCLTRFVSKYGLRRFLFFDKLWDESETVRRNYTNQLNTSLHIVSYFVIPCFSAMSAYKIWWYASGGSQIPFLGNVVLSDTVACIMELCSWLYRTTVIFLVCVLFRLICHLQILRLQDFAKLFQIDSDVGSILSEHLRIRRHLRIISHRYRSFILCLLILVTGSQFSSLLITTKAYTEVNMYRAGELALCSMTLVTALLILLRSASKITHKAQAVTCLAAKWHVCATLESFDQTVDGETPTLVARNNNNDNNNGHDVITLTESDSDEYGDEEDDLDNNNIIPAYAFSTMSFQKRQALVSYFENNRAGITVYGFTLDRGTLHTIFGLELSLVLWLLGKTIGIS >Al_scaffold_0001_4429 pep chromosome:v.1.0:1:26436557:26437077:1 gene:Al_scaffold_0001_4429 transcript:Al_scaffold_0001_4429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGQ8] MKQEGKRHDLMAGKKSQGERQAANTEGRRETTYQPTTELQAPPKNHPRRSRPRKGREGRSEDQSSTNPLAGRSTVPEQAGEKKRDLWSEGMPSFTEKNHKRPKSCQSHDEPPKEIQPAAQLRPLNSFAGEEVPNRQCGA >Al_scaffold_0001_4431 pep chromosome:v.1.0:1:26459060:26459581:-1 gene:Al_scaffold_0001_4431 transcript:Al_scaffold_0001_4431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific Stig1 family protein [Source:Projected from Arabidopsis thaliana (AT1G50650) TAIR;Acc:AT1G50650] MMTIKLTFCALIFFILNSLLHQVIGHNNQLNTTSSWLKSHTKAAATTNWGRPKPPMCKPWICKRSGPPMGRMRCCRNQCVDVLSDPNHCRFCFRSCRFALSCCDGDCVDTNNDPSNCGQCGNECESGASCEFGMCGYAAPSSQPGKRHRRPKFHRPHPPPSPDSKHYDDHDDE >Al_scaffold_0001_4437 pep chromosome:v.1.0:1:26483317:26483887:1 gene:Al_scaffold_0001_4437 transcript:Al_scaffold_0001_4437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin/monellin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G50690) TAIR;Acc:AT1G50690] MDPQVVVDKKSEEPDLKRQKLDEVDEKCEEMSSYSDSTCSFDSEDERLVKEELNRSGDYDFDTTKQRRFVMRYPVNFEDSDVVDEPDTDGDLVHRLSKIALQKHNEYNLTNLELVRAVKANRHYGAGFIFYITFEAKDNDSHIIIPFQATVRYLPLQVTVYRVNPKP >Al_scaffold_0001_4441 pep chromosome:v.1.0:1:26521816:26524268:-1 gene:Al_scaffold_0001_4441 transcript:Al_scaffold_0001_4441 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 1379 [Source:Projected from Arabidopsis thaliana (AT5G21140) TAIR;Acc:AT5G21140] MAPLSREHQTLLDALISLGPLKETEFASILTSAIGRNLGVAKNIFDSEFLREINTELSYVHSELRSCRDQYDGQVCYGVVNNVSDEQSNLGTKDSVPQIAFFKGIIEEIAQAEPAQGCISSFDALNIRFENQLPSEASSNQQQVPPAFLNFSMSQKEETLKELVRDKWLCHPNEGNIGLGIRTLLDLRSWFKNNDIPSCEVCNEFGVKADLCPNEGCTVRIHMYCLRDLSSPKDVNLCSGCGKPWPLGTITKVEAVEEAAADGILSLNPSAGELDWKKAEHTLKNRKIQTLHDDKVYTVFGLTKDHCNEQ >Al_scaffold_0001_4453 pep chromosome:v.1.0:1:26606967:26616398:1 gene:Al_scaffold_0001_4453 transcript:Al_scaffold_0001_4453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7KGT3] MHAVCKGYDDKQSCQNLLLELKRVSSSLSEMRCCDLLIIILKNSVWRIDMAMIGVMEDTKLLEEMENDMLGVKEDTNLFEEMMESAKDRMIRSVEELLGGESLNLGSYENIHTWLSGVLTSYITCIDGIGEGAYKRRVEPELEDLYSKARVALAIFISTSPRDDTELKSVVPNGPSWLSNVDKKYLYLNPEVLKKIADFVVAKDGSGNYNTVNAAIAAAPEHGRKRFVIYIKTGVYDEIVRIGSMKTNLTLIGDGQDSTIITGNLSCNDGKSTFQTATVVYSFLFFIPNYYILNNHNYTKHTIAASNGDGFIGIDMCFRNTAGPAKGQAVALRVSGDISVIYRCRIEGYQDTLYPHRHRQFYREFFITGTVDFICGNAAAVFQFCQIVARQPRKGQSNVITAQSRESEDDNSGFSIQKCNITTSPDLDLVKATVKTFFGRPWKKYSTVVILQSFIGDLVDPAGWTPWEGTTGLSTLYYGEYQNMGPGAVTSKRVKWRGFRVLTDPNEAAKLTVSKLLDGKSWLKASGAPYKKGL >Al_scaffold_0001_4456 pep chromosome:v.1.0:1:26626514:26627071:1 gene:Al_scaffold_0001_4456 transcript:Al_scaffold_0001_4456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGT5] MVAYVAKNFSSACLVVLLFFVVSSYARFSTMVTKDEIHFICTQQDINSSLCFEILKPNPEITRLDFSGLFKFLLNYQARNISDTLKQFKLSGGYTRDIESKYSVCIEVYEDALANRDKALKYLAAKDYASVNSMVSATMTNMSTCTDDLSTMKPIPKLFITKSNVIADLSNIIFVILEHCIRKKN >Al_scaffold_0001_4469 pep chromosome:v.1.0:1:26702181:26706999:1 gene:Al_scaffold_0001_4469 transcript:Al_scaffold_0001_4469 gene_biotype:protein_coding transcript_biotype:protein_coding description:gibberellin 2-oxidase 7 [Source:Projected from Arabidopsis thaliana (AT1G50960) TAIR;Acc:AT1G50960] MASQPPFKTNFCSIFGSSFPKQISDSNTNTSTIQTGGLKLPVIDLSHLTSGEEVKRKRCVKQMVAAAKEWGFFQIVNHGISKDVFEMMFLEEKKLFDLPFSAKVRETFSDLSKNSYRWGNPNATSPAQYSVSEAFHITLSEISKFSDDRNNLRTTVETYVQEITRVAQMICEVLGKQVNVNSEYFENIFELKNSFLRLNKYHPRVFGSEVFGLVPHTDTSFLTILSQDQIGGLELKKNGEWINVKPCSEALTVNIGDMFQALSNGVYESVRHRVISPANIERMSIAFFVCPYLETEIECFGYPKKYKRFSFKEYKEQSERDVKETGDKVGLSRFLI >Al_scaffold_0001_4470 pep chromosome:v.1.0:1:26708872:26717616:-1 gene:Al_scaffold_0001_4470 transcript:Al_scaffold_0001_4470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGV0] MESVPHHVVERILERLPVNTLLRFKAVSKQWKSSIESTSLQGRQLMQRQQSCDPDVLIVSLRPQDVIDPYVESLTTLVLASSSSLKIPTSWENTLYLVSSASCDGLVCLYEAHESGVAYFKLKHPHFKLAFGKDTFSGTFKPVWLYNSSEISIQNATTCEVFDFSTNAWRYVTPSAPYRVLGLPDPVFLDGSLHWFTDCQETKILSMDLHTEAFQVISKAPFADHVVRPYDIVMCNLDNRLCVSEMKWPNQLIWSFNSPTRHGTNSVPLIFISLLMGLVATGMVVVRGNEVDKRFKHRDNEWDLKTWNCIQCPSMQRQILFFSSAYRDPVVQDTPGMRLLWLGLCSRHAYEPSFFRLVIFLVQKRKGKVLQD >Al_scaffold_0001_4471 pep chromosome:v.1.0:1:26718423:26718572:-1 gene:Al_scaffold_0001_4471 transcript:Al_scaffold_0001_4471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGV1] MTKTNCLCSPTTHHGSLRCRIHQSLSLQRTKSIEAASLLDSPPKPADSP >Al_scaffold_0001_4481 pep chromosome:v.1.0:1:26809737:26814419:1 gene:Al_scaffold_0001_4481 transcript:Al_scaffold_0001_4481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGW0] MCFDPYNPLGYENVENYFAQEWEYMADGEYCSNRYKKRMRQEALDVIIETIRKGDEKVKPFVPYLAMNYFDCYLSRNGGLLLKRSKNGKYIQGREVHDVEKVIENGLKGRMKSITPMCFIGYFLKACEPQDEALEVRQSITHIILETQSDIRFSCYKPSIIAGSAVIAFFSDRSPEYSQIYEEKVERLLGYIDKNKLKNCLVLMKETYDKKNLRADIGMRKLSVQGGENGLHVSIDYQLQWKVSDEIEEILKTLGAGTRVSIQLAKVYKRMMGEAEEIAIFLSEIENAGDVDQYAIEDLTGMLENFGRLSKRMEEVIIKSTHYREDPQ >Al_scaffold_0001_4483 pep chromosome:v.1.0:1:26852862:26854073:-1 gene:Al_scaffold_0001_4483 transcript:Al_scaffold_0001_4483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGW2] MQLKLNPHCTHRDIKPLINIAVARSLRELRIEMICESFELPKSFYMFSQLETVILEKVSLEDVPRNAHFPCLKRLHLLSVKFTGDESVKKLLSLCPILEELVVKRSSLTNILTLYVLLHLQTPDSYLPTGTSFVFLDHLELCLCSTEWWNLLTCILVDAPKLRVLKLKLYRKHCVRYNDVMDQWNQPDYVPMCLSSYLEIFEWRQYNGKEKEREVAKYILANASRLKKATFYSESGEKDGILKELEYMARGSTTCKLVFD >Al_scaffold_0001_4485 pep chromosome:v.1.0:1:26873770:26877842:1 gene:Al_scaffold_0001_4485 transcript:Al_scaffold_0001_4485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KGW4] AVNQLVNHFKRNWDVPSITDVRVKLENIKQILKSHVFSDFSSLATGKETEEMSLLKKLSDACLVVDALEPSVREELVNNFCSKDLSSYEQKIIEAELTNLDEIQRIYNQIHCHIRTNQEIWKIFPALWHVPYGLCIKICKITRGQVESILVNMKGKPAAVARLLQELERTLKFELELEMKFGGVPTKHIGDDIEETVDGESYSRNVSEIHMKFGANHDLVETFNFRGIISSCFEPHLTKYIEEELMQCLERVVQEETWDTDEENLNNILSSSREVFQRVLQAYATKLFFKLSKGGTRKQIEVLCTAFCFPYVLFDVVKLFFNTPMQVSGIDERLICYIVNSAEYCRKTSGDLAEEVSTIIDPLYADGVDMSEVQDKLSCVITKALMTLVRGLETKFDTEMQEMACVSWGTLESVGDHSQYVNGIYTILNNSFPVLGKLLAPVYFQFFLEKLASSLGLRFYANIFRCKKISETGAQQMLLDTQAMEMILLKVPSLDRQTVSAASYSEFVKRQMSRAEAVLKGLTKANQQRVLDDFNKHAPRITQPSVAAEMPQPVPIPPAPPLEIIAKSEDVTTRLATASY >Al_scaffold_0001_4502 pep chromosome:v.1.0:1:26965647:26966478:-1 gene:Al_scaffold_0001_4502 transcript:Al_scaffold_0001_4502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHN9] MVNWDLDLFEFFVRIGYGLETHKSKERIFGLGNRSQISSSSRFSGFSYAPSFDYHQAMEEKNDKRIAALEKEMEEHKVKKERRDVEYKRRDDEMLTFMNEMRARVIRQKKAPTNYR >Al_scaffold_0001_4512 pep chromosome:v.1.0:1:27026784:27031454:1 gene:Al_scaffold_0001_4512 transcript:Al_scaffold_0001_4512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHQ0] MSHRPGKEVQITGTEASVGSLTPSNRIEYKAVQWIHESCRRMSAVAFNDFDHFEDYIAVAGGYQVTCYMLLTSDDCAFTKFTMPSYFDEDKNESFYAVSWARQGMNGFPMIVAGGLNGILRVIEFDDRKESHSLTFDKTLVGHEGAVNEIKPYLFALPLVLSASKDVNPMDTDWIISSGADKTIKIWSLKEHRVFVKESSKWTGEASNFPTKYVSSPMYEVSLGADYVDCNRFSYDGDMLFSQSNGKPNIVKKFPVPESGPPCKFSCNMEDKVAIGNKKGQIYVWNFKSSPPELIAILSDPDSKTTITQTAMTRDGRVIFSINEKGVIIRWNDTTLEQTKSIIYQLIHKLKVIN >Al_scaffold_0001_4517 pep chromosome:v.1.0:1:27053313:27054095:1 gene:Al_scaffold_0001_4517 transcript:Al_scaffold_0001_4517 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein [Source:Projected from Arabidopsis thaliana (AT1G51320) TAIR;Acc:AT1G51320] WCQSTFHARLLASKNRYPITYDIGYDNKKQYKMLNYYKYEGDPSTKSRIYEIGLYAKKFKEFGCDSTWSIFQSNCVSLNGTLYWAGVDFNNGIFIRSFSFSTERQTTFCRLPFKYDDDNVLALAVFRKDHLSLLNLCNKTSTIKIWLTKNKINNREVGLEEDVVWIKLMTVLIPNFPKFSFYWYNRPDLTYFLDNDDAKRLVICCYDETNQAYIYIVKGDTFEKIKIDQYEVLSDQSHPHLHTYIPSLVRPSPVKEDNKN >Al_scaffold_0001_4523 pep chromosome:v.1.0:1:27087624:27089068:-1 gene:Al_scaffold_0001_4523 transcript:Al_scaffold_0001_4523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHR4] MGKSGRPKKKPPGWVSPSAHSKIPSSPSSSNSPASGMDSPVSPSSVAQSPPVDSALTEAQSSVSAAVVESGVSKAKLSSALLSRDALASAGVTPLPKQSGSLMSEEMLPQIDASLKVSAKVVSTTDPEVVGSMKNKSASAKIVSAMDPVASKNLSDAAKVDISTDPVQKKCKELPRVESKAPVICRS >Al_scaffold_0001_4530 pep chromosome:v.1.0:1:27107901:27108650:-1 gene:Al_scaffold_0001_4530 transcript:Al_scaffold_0001_4530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KHS1] SETRRVKSASKAQGRRCSCSITFFILTRMVWSLVRRFDQPQKYKPFVSRCTVIGDPEIGSLREVNVKSGLPATTSTERLELLDDEEHILCIKIIGGDHRLKNYSSIVTVHPEIIEGRVGTMVIESFVVDVPEGNTKDETCYFVEALIRCNLKSLADVYERLASQDLTQSLHYQ >Al_scaffold_0001_4531 pep chromosome:v.1.0:1:27109867:27111732:-1 gene:Al_scaffold_0001_4531 transcript:Al_scaffold_0001_4531 gene_biotype:protein_coding transcript_biotype:protein_coding description:sucrose-phosphatase 1 [Source:Projected from Arabidopsis thaliana (AT1G51420) TAIR;Acc:AT1G51420] MERLTSPPRLMIVSDLDETMVDHHKDPENLSLLRFNSLWEDAYRHDSLLVFSTGRAQTMYKKLRKEKPLLTPDVIITSVGTEIAYGNSMVLDDNWVEILNNKWDRGIVEEETRKFPELTLQGETEQRPHKLSFNIDKSKVKAVTKELSQRLEKRGVDIKIIFSGGNAFDVLPQGGGKGQALAYLLKKLKTEGKHPVNTLACGDSGNDTELFTIPNVYGVMVSNAQEELLEWYAENAKENPNIIHANERCAGGIIQAIGHFKLGPNLSPRDVSDFLECKADNVNPGHEVVKFFLFYERWRRGEVENCETYTASLKASCHPAGVFVHPSGAEKSLRDTIDELGKYYGDMKGKKFRVWTDQVLATDTTPGTWIVKLDKWEQIGDERKCCTTTVKFTSKEGEGFVWEHVQQIWSEETEIKKDDSNWII >Al_scaffold_0001_4538 pep chromosome:v.1.0:1:27152398:27155080:1 gene:Al_scaffold_0001_4538 transcript:Al_scaffold_0001_4538 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta glucosidase 36 [Source:Projected from Arabidopsis thaliana (AT1G51490) TAIR;Acc:AT1G51490] MAIPKPHYSLAIIVVLFAFSSSQKVCNPECKAEEPFNCDKTLAFNRNGFPKNFTFGAATSAYQIEGAAHRALNGWDYFTHRYPEKVPDRSTGDLACNSYDLYKDDVKLLKRMNVQAYRFSIAWSRVLPKGRLIGGVDENGITYYNNLINELKANGIEPFVTIFHWDVPQTLEDEYGGFLSPRIVEDFKNYAELLFQRFGDRVKFWITLNQPFSLATKGYGDGQYPPGRCTDCEFGGDSGTEPYIVGHHELLAHAETVSLYRKRYQKFQGGKIGTTLIGRWFIPLNETSNLDKAAAKRAFDFSVGWFLDPLVYGQYPKIMREMLGDRLPKFTPEESALLKGSLDFLGLNYYVTRYATYTPPPMPTQPSVLTDSGVTIGFERNGVPIGIKARFRQILNHIKNNYKNPLTYITENGVADADFGNVTIANALADNGRIQFQCSHLSCLKCAMQDGCNVAGYFAWSLMDNYEFGNGYTLRFGMNWVNFTNPADRREKASGKWFSRFIAK >Al_scaffold_0001_4560 pep chromosome:v.1.0:1:27242869:27244214:1 gene:Al_scaffold_0001_4560 transcript:Al_scaffold_0001_4560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHU6] MALPPYDPNFTIAFSIGRRENFENDPEHDESASAAIVAAELISSARLALKLDSVHTEYSAQYLVDKAGSSRRRRRRGKLTVKDCLFFALKKGGIPKAEDWPPLGSESKPPSSYKPALVSMKGEVIEPKDMDQVRDLLVHQPAAGAKLHVFSPHIELQQDAIYCGSSGEYTRYVGLRDAIIVGTEKIQGKSMAIVKVWYKNKFTFLKVALSRMFFWAGVGPSELLVDFCVPRLSID >Al_scaffold_0001_457 pep chromosome:v.1.0:1:1821175:1822376:1 gene:Al_scaffold_0001_457 transcript:Al_scaffold_0001_457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7KEC7] MASKNLLALVVLLSVLGVSVAYPKLIPTLDYYQSKCPDAERIVRRVTEQYVSRKPSLAASLLRMHFHDCFVRGCDGSVLLKTPKNDAERNAIPNLTLRGFEVVDAAKTALEKKCPNLVSCADVLALVARDAVAVIKGPWWPVPLGRRDGRISKLTDALQNLPSPFADIKTLKKNFADKGLNAKDLVVLSGGHTIGISSCALVNTRIYNFTGKGDFDPSMNPSYVRALKKKCSPTDFKSVLEMDPGSAKKFDPHYFTAVAQKKGLFISDSTLLDDLETKLYVQTANEVTFNKDFSDSMVKLGKVQILTGKNGEIRKRCAFPN >Al_scaffold_0001_4577 pep chromosome:v.1.0:1:27334261:27335397:-1 gene:Al_scaffold_0001_4577 transcript:Al_scaffold_0001_4577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIJ8] MIPFTSDSCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0001_4583 pep chromosome:v.1.0:1:27398263:27399126:1 gene:Al_scaffold_0001_4583 transcript:Al_scaffold_0001_4583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIK6] MTTSPLGGSCSTSGLTHGPQCQPPTRQKNVYFYQQNLSSNDLHVLIPKRLLESTKRIGYTKILFFFRGAYTVSIINAQTFFFRCPGWYYLFPLRDLYSASTSPISVFRLT >Al_scaffold_0001_4587 pep chromosome:v.1.0:1:27446310:27446974:-1 gene:Al_scaffold_0001_4587 transcript:Al_scaffold_0001_4587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45920) TAIR;Acc:AT3G45920] MVHRDIKTTNILLNEHLQAKLADFGLSRSFPIEGETHVSTVVAGTIGYLDPEYYRTNWLTEKSDVYSFGIVILEIITNKPVIDQNREKRHIAEWVGQMLTKGDIKSITDPSLHGDYDSSSVWKAVELAMSCLNPSSINRPTMTQVVSELNECLASENLRGGQSQEMDSQSSIEVSMTFEPEANPAAR >Al_scaffold_0001_4602 pep chromosome:v.1.0:1:27551234:27554736:-1 gene:Al_scaffold_0001_4602 transcript:Al_scaffold_0001_4602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein [Source:Projected from Arabidopsis thaliana (AT1G51900) TAIR;Acc:AT1G51900] MFGFLLCRRKKAKAFTWKVLKMLQSRLLLLKHQKYAKARHIRMDIADYIRSNESTNALLRTEQLFLVENSISIYDLLLKFTDFIIRRFSPIRKHGELVNDDTSEAVSTLIYASVKCKDIPEMLTLSELVGQRYGQRYVTTAIQVLPGNLVNTEIKKKLSIYSVSEHVKCRMVDEIAKESGYRLEILRLEHKSENENKVFDVKEKKVMDLDKEERSKKESPQEVYKFILTDVKDERSKKETSMKDDYIEDVMKSRLLAEKAKKSGHRLEILRPEYKPEIENQVYEEEEKKVIDPDMDITSYEEPPHEVYKFSITNFEEEIMEDDYVEDVKCRTLDEIMKKSGHRLEISRRKYKPENEKQVYEEEEKKVIDPDVDIRSYEESPHEVYKFSLTDFEEEIMEDDYIEDVKCRMLDEITKKSGHRLEISRREYKPENEKQVYEEVEKKVIDPDVDMRSYEESPHKVYKFSLTDFEEEIMEDDYIDDVKCRLLDEIMKSGHRLEISSREYKPEIEKQVNEEKEKNVMNMDIRCADQSPYEVYKISLTDVEEERSKEDTSMEDDYIEDGQVGKDQRVFRFRKSSEEKRKPSSPLSTLMEFRNTESLTYYMRQTRTHQRRRRSSTSPHCRHCVEYNEFKVKKEEEEEEEEERQSLKTKNVHSKLHEYEKFLTQFKKKKEAENERRRLFPKGFEPTLPDYDEVITRFRALEAQEEERQRLAATKHIHPNLPDYDQIVTQFKALKEEEKQGQRIRTK >Al_scaffold_0001_4606 pep chromosome:v.1.0:1:27564752:27565079:1 gene:Al_scaffold_0001_4606 transcript:Al_scaffold_0001_4606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIL9] MAFHSGTSIFLKIFVFALLLLLPLSQSIPTRIPRAPISSRRPICPACVCCEPAPLGSCCRCCASPIVTQTQSP >Al_scaffold_0001_4614 pep chromosome:v.1.0:1:27604378:27604584:-1 gene:Al_scaffold_0001_4614 transcript:Al_scaffold_0001_4614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIM7] MIFEGIGISKELCAAREVSASERVSEEMSLSRSGGIQRQQSESGLSDKAASNELNLDLENGKRPCCVF >Al_scaffold_0001_4617 pep chromosome:v.1.0:1:27610243:27611470:-1 gene:Al_scaffold_0001_4617 transcript:Al_scaffold_0001_4617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIN0] MSWDDGKHTKVKRVQLTFDDKLEAQGGKEGNQSDDGADHDGVMKIHVAAGGLGIEQCRFDYVKNGQSKEGPFHGVKGRGGSSTFVINHPEEYLVSVEGWYDSSNIIQGIQFKSNKHTSQYFGYVYSGDGKQFSLQVNDKKIIGFHGFAESHLNSLGAYFVPISSSSSSLTPPPNKVKAQGGNYGETFDDGAFDHVRKVYVGQGDSGVAYVKFDYEKDGKIETREHGQMTLLATEEFEVDLDDFITSMEVYVDKVYGYKSEIVVALTFKTFKGKTSPRFGVETENKYEVKDGKGGKLSGFHGKASDILYALGAYFTPTAN >Al_scaffold_0001_4633 pep chromosome:v.1.0:1:27736112:27737613:-1 gene:Al_scaffold_0001_4633 transcript:Al_scaffold_0001_4633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIP4] MAGLDIIGSFEDSFKLVTLKAYLAEFISSLLFVFAGVGSAIAFGKLTENAALDASGLVAVSIAANHSGGHVNPAVTFGLVVGGKVTIITGVFYWIAQLLGSTVACFLLKFVTGGLVWRFQSTDHNVAAGVGTAQGVVMEIIITFALVYTVYATAIDSNNGTLGTIAPLAIGLIVGANILAAGPFSGGSMNPARSFGPALAVGDFSGHWVYWVGPLVGGGLAGVIYSNAFIESKPEPTESERVPLISSA >Al_scaffold_0001_4637 pep chromosome:v.1.0:1:27757013:27758912:1 gene:Al_scaffold_0001_4637 transcript:Al_scaffold_0001_4637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIP7] MSRFAGSEEHRDGPETTLVMCNEMIDAMIGAKGYEDAIALFHYYFNECKLIPSIVSFNHIIKVHCYMNRVDKALQLYRHAKDSILENQDSDLDYEDTYRILTKGLVDAGRIYEALGMIKAVSLWDSVAYSYLIRGFLDLGNFHKADELSHEFVRNRPSYPYDFNEIAMVDAEFVDYWFRQGNNEEAMKFYSSIISTKDRKFMCATNFLRIFLKHGKISEAWALFEEMINNAKTREPKYSDEFGRDSFDSETINMMVNECFKIAGRLDEAMDRLKPIGFPNLSSNMCKFSYLSSDVCKPLLEGLLRQGDVEKVNEVLGDVLGSSHVYDDRYDRVAIFSYTYMKYWFEQGKEEKAMDCYSLLQLGKLREATALNALLVLFLKYGKKSEAWSLFNEVSHSKEMNNFDENTLNIMVNECFKIGRFDWAIQIFYKVKASKLKNPDVTCYRNIITRLNKQGILFEAEYLFEEMCSDRLLPPDVSTHTTMIDAYLKAGKTEDALRISNKMVDAFLGQVAWLACL >Al_scaffold_0001_4641 pep chromosome:v.1.0:1:27781455:27782557:1 gene:Al_scaffold_0001_4641 transcript:Al_scaffold_0001_4641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIQ1] MKVVEMEQNCRDGSEREMGSRVPIETIEEDEEFDWEAAVKEIDLACLKTSNASSSFHFTPLAHPPITRNSTKPPVKRQSTLDKFIRRTEHKPENQVVSESNFDEFECGGGNDKSPIVGIDPEAAKTWIYPVNGSVPLRDYQFAITNTALFSNTLVALPTGLGKTLIAAVVMYNYFRLFPEGKIVFAVPSRPLVMQQIEACHNIVGIPRTFRYIFEKWTIDLTGQTCPSKRAFLWKSKRVFFVTSQVLEKDIQSGDARIYYIEILS >Al_scaffold_0001_4642 pep chromosome:v.1.0:1:27799802:27801715:-1 gene:Al_scaffold_0001_4642 transcript:Al_scaffold_0001_4642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIQ5] MLDFGIGLRAMSLINGSISGFKCSASLTSLALSRNSLSGPVTTLTGLDVSRCVTLKFLDVSSNNFSIGIPFLGDCLALQHLDISGNKLSGTVPPFFGSCSLLESHVLSSNNFSGKLPMDTLLKMRGLKYSICLSMSFPANLSASLLTLDLSSNYFSGPIFPNLCRNPKNTLQELYLQNNGFTGKIPPTLSNCSELVSLHLSFNYLSGTIPSSLGSLSKLQDLKLWLNMLEGEIPHELMYVKTLETLILDFNDLTGEIPF >Al_scaffold_0001_4659 pep chromosome:v.1.0:1:27854728:27890557:1 gene:Al_scaffold_0001_4659 transcript:Al_scaffold_0001_4659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJG2] MEFCITWEVPSTKLSDEGGVDEQRARFGEVLDELISRFVSVYWKQVTAGVSFSRRCTNDMFYFNKTTRDWRRRVVAPSCFTDRVGFCKHVLSWLKYSLRPNILKERTEIGSKARSYSSIYLLFSYIAKGSDVSVDRYGSYPLVFDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0001_466 pep chromosome:v.1.0:1:1859456:1861426:-1 gene:Al_scaffold_0001_466 transcript:Al_scaffold_0001_466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7KED7] MKYRRISLSLSIGIAIIAILASKPLFKTHPEAVMHNPFDILHGIKSISYSVKKSFYGHHHHHHHNHHHHHHRPSDTKKKVSICDDFPKNIPPLDTDTTSYLCVDKNGCCNFTTVQSAVDAVGNFSQRRNVIWINSGMYYEKVVIPKTKPNITLQGQGFETTAIAWNDTAYSANGTFYCASVQVFGSQFVAKNISFMNVAPIPKPGDVGAQAVAIRIAGDESAFVGCGFFGAQDTLHDDRGRHYFKDCYIQGSIDFIFGNAKSLYQDCRIISMANQVSPGSKAVNGAVTANGRSSKDENSGFSFVNCTIGGTGHVWLGRAWRPYSRVVFVSTTMTDVIAPEGWNNFNDPSRDATIFYGEYNCSGPGADMSKRAAYVQKLNETQVALLINTSYIDGDQWLQFSDL >Al_scaffold_0001_4664 pep chromosome:v.1.0:1:27924133:27926220:-1 gene:Al_scaffold_0001_4664 transcript:Al_scaffold_0001_4664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJG7] MSRRSNPNLLGSLVFPPFPLDRLSIPHSVYTMARRRLFAVARTFAYWDVHSWHPPKDSIFFADPGYIGLAFRLPLYEERVRSLRSIDAYCGRDMFPDDQILKCEDVGINVMLLGNTRSAFVNLMLWDIASMARNQRFGSKKPNLVVFSKISEHTEMMTKALVSLRRVGCNVLFAMPNDTNTSYGDFGVSLSEDEYGNVSLALLSDPSSKVPVVSCRDLFDEEKVLANVYDPDEEEEEEEEEDVMEVEEVESRVSPEPYIPPEAEAESSSSFVLPENVGTCVFWDVQDYPVPLGLQPETFIQNIKTDLGTEDDILILAYVADEKSFPDSYRTHSRFTSVSADKYTRLTVMMVDIICWVVKNRSACPNLLVIANASVEDQQFWPFILGLGYRGFNIFATIPDTDLPDDKTETAERLMLNKSLLSWKNLSCKRKRKGIEDTVSGSDE >Al_scaffold_0001_4669 pep chromosome:v.1.0:1:27961024:27962108:-1 gene:Al_scaffold_0001_4669 transcript:Al_scaffold_0001_4669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KJH3] KVKSNVKFVDLVEKHSEELASLETWDNGKPYQQSKTVEIPMFARLFRYYAWADKIHGLTVPADGNYHVQTLHEPIGVAGQIIPGNFPLLMFAWKVGPTLACGNTTVLKTAEQTPLTAFYAGKLLLEAGLPPGVLNIVSGFGATEGMPSRLAFTGSTDTVKVILGLAANSNLKPVTLELGGKSPFIVFEDADIDKAVLHTLPSSSTDNLAARGLGHLFMRKCMMSLLRNQRHGH >Al_scaffold_0001_4670 pep chromosome:v.1.0:1:27965886:27967769:1 gene:Al_scaffold_0001_4670 transcript:Al_scaffold_0001_4670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJH4] MAASGSRNRGDVLDSVVQPKGEHRVTIVWLHDKDEHFTDSVQFVKKLNRKNVKWICPSLVFPDSWNKPGYKINQYVREALYPTAELVNKLSLEEPENVIKGVGGFGMGAAVALHFATSCALNHYPINPRVVVAISGWLAKAWSVKNSIEFYTLVAKSRAALQSIFLTHGIDDPVVPHSCSCGEEAAASLINAGFGEVRFLPLARFGPTAHEINRSEMVKSWLEEKLPLA >Al_scaffold_0001_4681 pep chromosome:v.1.0:1:28023770:28026366:-1 gene:Al_scaffold_0001_4681 transcript:Al_scaffold_0001_4681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:D7KJI4] MEEFLLHGRLHATIYEVDRLHAEGGRSGFLGSILANVEETIGVGKGETQLYATIDLEKARVGRTRKITKEPKNPKWFESFHIYCGHMAKHVIFTVKDANPIGATLIGRGYIPVEDILHGEEVDRWVDILDNDKNPIAGGSKIHVKLQYFGVEKDKNWNRGIKSAKFPGVPYTFFSQRRGCKVSLYQDAHIPGNFVPKIPLAGGKNYEPGRCWEDIFDAITNAKHLIYITGWSVYTEISLVRDSRRPKQGGDVTIGELLKKKASEGVKVILLVWDDRTSVDLLKKDGLMATHDEETENFFRGTDVNCILCPRNPDDGGSIVQNLQISTMFTHHQKIVVVDSEMPSGGSRSRRIVSFVGGLDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFTGAAITKGGPREPWHDIHCRLEGPIAWDVLYNFEQRWSRQGGKDILVKIRDLGDIIIPPSPVLFSEDHDVWNVQLFRSIDGGAAAGFPDSPEAAAEAGLVSGKDNIIDRSIQDAYIHAIRRAKDFIYIENQYFLGSSFAWSADGIKPEEINALHLIPKELSLKIVSKIKAGEKFKVYVVVPMWPEGIPESGSVQAILDWQKRTMEMMYKDVIKALRETGLEGEDPRDYLTFFCLGNREVKKDGEYEPSEKPEPDTDYIRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPYHLSTRQPARGQIHGFRMSLWYEHLGMLDETFLDPSSQECIQKVNRVADKYWDLYSSESLEHDLPGHLLRYPIGIASEGNITELPGCEFFPDTKARILGAKSDYMPPILTT >Al_scaffold_0001_4695 pep chromosome:v.1.0:1:28071556:28073350:-1 gene:Al_scaffold_0001_4695 transcript:Al_scaffold_0001_4695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJK0] MASSSGIACRNTKIVYPTGIHKATIVWLHDIGQKGFDSTKFVKWICPVAPKRPVTSWGGIETTAWCDVTEISENMEDDLVSLNSIAAFVINLLRDEPENVKIGLGGIGLGAAVALYLATFYITGRKIRNLSFIVGINGWLPAWRNLLHNLSFDYGSPSLAPSVSILLTHGTSDDIVPFPLGRKSSDTLRMAGFPVTFIPNEGDHLPIVPQVINDVRLWIATKLQP >Al_scaffold_0001_4698 pep chromosome:v.1.0:1:28088791:28090734:1 gene:Al_scaffold_0001_4698 transcript:Al_scaffold_0001_4698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJK4] MESGFEILFGIDSGGFSKARKRKERRVSEYYKKQERLLEGFNEMETINETCFASGVPTKEEIKKLAKSERLAVHISNATNLVLFVAKVYASMAMLTANAMRKLNQFHYLIGKRRMQHVVLIVKVHGFR >Al_scaffold_0001_4713 pep chromosome:v.1.0:1:28142983:28143282:-1 gene:Al_scaffold_0001_4713 transcript:Al_scaffold_0001_4713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJL4] MAMSRNSKTNKAAYTQRFTGCSVAVAATRSASVVVAFDFYVSSSQNYSHYVSLASHLLF >Al_scaffold_0001_4718 pep chromosome:v.1.0:1:28163280:28164493:-1 gene:Al_scaffold_0001_4718 transcript:Al_scaffold_0001_4718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJM0] MDSYPYSHGSGFVNLLTSQQEVHNLEANPYDDVPVFPSQADSPSQAAHKPKDRQVASLATREAKRQVDKKGTC >Al_scaffold_0001_4721 pep chromosome:v.1.0:1:28187633:28188303:-1 gene:Al_scaffold_0001_4721 transcript:Al_scaffold_0001_4721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toll-Interleukin-Resistance (TIR) domain family protein [Source:Projected from Arabidopsis thaliana (AT1G52900) TAIR;Acc:AT1G52900] MISRVFNFMKQSKTAQLNNKLFLDLLSSSSSAKPKVLHDVFINHRGSDTKRNIATLLYDNLKYRNLRPFLDCKNMKPGDKLFDHINSAILTSKVAVTVFSPNYCDSYFCLHELALIMESRKRVIPIFCDIKPSQLDVMIERVTCSDDEIQRFRWALQEAKDIVGLTFDSYKGNLSEVVTIASDVIVERLVELEAS >Al_scaffold_0001_4725 pep chromosome:v.1.0:1:28202334:28203981:-1 gene:Al_scaffold_0001_4725 transcript:Al_scaffold_0001_4725 gene_biotype:protein_coding transcript_biotype:protein_coding description:G protein coupled receptor [Source:Projected from Arabidopsis thaliana (AT1G52920) TAIR;Acc:AT1G52920] MGERFSRNELPEFVPEESAGEDETVTAGKDSLTKLLSLPYKSFSEKLQSYALSLKDKVVWETWERSGKRVRDYKLYTGVLGTAYLLFKSYQVTRNEDDLKLCLEIVEACDVASRDSERVTFICGHAGVCALGAVAAKHLGDNQLLDRYLARFRGIRLPSYLPYELLYGRAGYLWACLFLNKHIGKDSVSSERMRSVVEEIFRAGRQLGNRGTCPLMYEWHGKRYWGAAHGLAGIMNVLMHMELEQDEIKDVKGTLSYMIQNRFPSGNYLSSEGSESDRLVHWCHGAPGVALTLVKAAQVFKTKEFVEAAMEAGEVVWNRGLLKRVGICHGISGNTYVFLSLYRLTGKAEYLYRAKAFASFLLDKSEKLISEGKMHGGDRPFSLFEGIGGMAYMFLDMNDPTQALFPGYEL >Al_scaffold_0001_4727 pep chromosome:v.1.0:1:28206411:28207300:-1 gene:Al_scaffold_0001_4727 transcript:Al_scaffold_0001_4727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJM7] MSNRHVSVILLVVVAMLLFFIQGVLSLNQTNAYLQHICVNSDGIYKSDSSYESNVKHLLEFMSSPLDYGFTSGTSSNDIYAKFQCRGDVSESKCRSCLTTAFSAIRRRCPNNKGRIIWYDNCVLDLSSIYTYDKVDYKHSFYVYNAKDVSGNTKSFNKNTNTLLYKLKEKATRKEQEQYTKDYMYATGEESLGTMKLYAMVQCTQDLSIKNCSVCLDWIMGKLPRCCNGKQGGRVYNPNCNFRYELYPFCKNLKDCLMRAPKKDIQGYM >Al_scaffold_0001_4728 pep chromosome:v.1.0:1:28207623:28208647:-1 gene:Al_scaffold_0001_4728 transcript:Al_scaffold_0001_4728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJM8] MLAIFQKTFVHPPEELNSPASNFTGENPKLPGETLSDFLSHHPDTAFSMNFGDSAVLAFVRSQNSHHQRVFSGIDGIYCVFLGALNNLCNLNKQYGLSGKTSNEAMFVIEAYRTLRDRGPYPADQVLRGLDGSFAFVVYDTQTSSVFAALGSDGEESLYWGIAADGSVVMSDDLKIIKKSCAKSFAPFPTGCMFHSETGLMSFEHPKNKMKAMPRIDSEGVICGANFKVDACSKINSIPRRGSEANWSLANSR >Al_scaffold_0001_4740 pep chromosome:v.1.0:1:28274529:28275257:1 gene:Al_scaffold_0001_4740 transcript:Al_scaffold_0001_4740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Legume lectin family protein [Source:Projected from Arabidopsis thaliana (AT1G53060) TAIR;Acc:AT1G53060] MTFHGDAEYAPEPDGMSKSGALGLSRDNVPFSHGRAIFINPIPFKPNASSSSVYSFKTSFTFVISPRRNNPNPGHGLAFIVVPNDKNDSASGLGYLSLVNRFNNGNPKNHLFAVEFDVFKDKSLGDINDNHVGININSVNSMVSKKAGYWIHSRTGGKSRWLFKELKLSENGYRAWIEYENGKVTVTIGRSQEKPKRPLIEARVDLSKVFLEKMYAGFAGSMGRGVERHEILDWSFENSAKN >Al_scaffold_0001_4743 pep chromosome:v.1.0:1:28287810:28290678:1 gene:Al_scaffold_0001_4743 transcript:Al_scaffold_0001_4743 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA1-related 4 [Source:Projected from Arabidopsis thaliana (AT1G53090) TAIR;Acc:AT1G53090] MKGSSESNSRGLNNTSGVSEFCTDGSKSLSHIDYVRSLLGSHKEDNLVGLDDDSIVRALECEDVSLRQWLDNPDRSVDAFECFHVFRQIVEIVNAAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGSDEDATTKSREIGSSRKDEFLLEEVTKQPFPMKQILAMEMTWYTSPEEDNGSPSNCASDVYRLGVLLFELFCPVSSREEKSRTMSSLRHRVLPPQILLNWPKEASFCLWLLHPEPSYRPSMSELLQSEFINEPRENLEEREAAMELRDRIEEQELLLEFLFLIQQRKQEAADKLRDTISLVSSDIDQVVKRQLVLQQKGSDVRSFLASRKRIRQGAETAAAEEENDEEESKLDDTLESTLLESSRLMRNLKKLESVYFATRYRQIKAAAAAEKPLARYYSALSSNGRSSEKSSMSSPAQPPKDPINDSRQGGWIDPFLEGLCKYLSFSKLRVKADLKQGDLLNSSNLVCAIGFDRDGEFFATAGVNKKIKIFECESIIKDGRDIHYPVVELASRSKLSGICWNSYIKSQVASSNFEGVVQVWDAARSQLVTEMKEHEKRVWSIDYSSADPTLLASGSDDGSVKLWSINQGVSIGTIKTKANICCVQFPSESGRSLAFGSADHKVYYYDLRNPKLPLCTMIGHNKTVSYVRFMDSSTLVSSSTDNTLKLWDLSMSISGVNETPLHSFMGHTNVKNFVGLSVSDGYIATGSETNEVFVYHKAFPMPVLSYKFKTIDPVSDLEVDDASQFISSVCWRGQSSTLVAANSTGNIKILEMV >Al_scaffold_0001_4773 pep chromosome:v.1.0:1:28460835:28461227:-1 gene:Al_scaffold_0001_4773 transcript:Al_scaffold_0001_4773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKG5] MQPSRLLPYQPPSSQISLDSLQPPIRDSPETYRGRTTPPTQATIYQKELSFQTKESMMIEKSVTVHQKSPDPLDTGVSLRRDLLRIPVTCPDMTLSGALINRNTVPINLICSGERGLLQEQRIGMAALKS >Al_scaffold_0001_4788 pep chromosome:v.1.0:1:28571154:28574148:1 gene:Al_scaffold_0001_4788 transcript:Al_scaffold_0001_4788 gene_biotype:protein_coding transcript_biotype:protein_coding description:mechanosensitive channel of small conductance-like 4 [Source:Projected from Arabidopsis thaliana (AT1G53470) TAIR;Acc:AT1G53470] MAVDSNDQRRDVVVKIDGEDNGDSEKFWRESSINFWHTDKSSKPPGGGEDDGSFDFMRRRSDKSEEPDPPSKLINQFLNKQKASGDEISLDMEANMPELQSNTIPPSLGAVSGSASPVTATATASYRNGTGDAIRRRQNRVTLSPSVKDSDSSGDEENRVDGLEVVKCSSNRSTMRTKTLMKTKTRSRLMDPPTPTYPEMVSGRTPKSGNLKPGFSGRNTKPGTPNQGGAMDMEEEEDPFSEEDLPEGLRKEKLCVWVIMEWIFLILIIAGLICSLVIPYLRGKTLWDLALWKWEVMVLVLICGRLVSSWFVKLFVYFVESNFLWRKKVLYFVYGIRKAVQNCLWLGLVLIAWHFLFDKKVEREMRSTVLKYVTKVLICLLVAVIIWLIKTLLVKVLASSFHMSTYFDRIQESLFTQYVIETLSGPPRIEIHIEEEKVANDIKTFEIAGRKLSPLGPKAASSSPQGTVGSGRLQKSPSRVGKSPVLSRCGSKKEGEKEGIRIDHLQRMNTKNVSAWKMKRLMNVIRKGTLSTLDEQIQDTTTQEDDKATQIRSEFEAKLAARKIFQNVAEPGSRYIYMEDFMRFLSEDESERAMDLFEGASESHKISKSCLKNWVVNAFRERRALALTLNDTKTAVNRLHRIVDVLVSIVILIIWLLILGIATTKFLLVISSQLLLVVFVFGNSCKTIFEAVIFVFVMHPFDVGDRCEIDGVQLIVEEMNILTTVFLRFDNQKIVYPNSLLGTKPIANYYRSPDMQDAIEFFVHIATPPEKTTALKQRILSYVDNKKDHWHPSPMIVFRDMCGLNSVKIAMWPTHKMNHQDMGERYVRRGQLLEEIGRLCRELDIEYRLYPLNINVKSLPAATPITSDRIPPSWNQQRSV >Al_scaffold_0001_4789 pep chromosome:v.1.0:1:28575462:28577103:1 gene:Al_scaffold_0001_4789 transcript:Al_scaffold_0001_4789 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53490) TAIR;Acc:AT1G53490] MRCNACWRDLEGRAISTTCGHLLCTEDASKILSNDGACPICDQVLSKSLMKPVDINPNEEWINMAMAGISPQILMKSAYRSVMFYIAQRDLEMQYKMNRVVAQCRQKCEGMQAKFSEKMEQVHTAYQKMGKRCQMMEQEVENLTKDKQELQEKFSEKSRQKRKLDEMYDQLRSEYESVKRTAIQPANNFYPRHQEPDFFSNPAVNMMENREPIRKDRSFFSPATPGPKDEIWPARQNSSNSGPFDISTDSPAIPSDLGNRRAGGGHPVYGGGGTSNPQSTLRNLILSPIKRSQLSRSRPQLFT >Al_scaffold_0001_4800 pep chromosome:v.1.0:1:28615671:28617202:-1 gene:Al_scaffold_0001_4800 transcript:Al_scaffold_0001_4800 gene_biotype:protein_coding transcript_biotype:protein_coding description:glyoxalase II 3 [Source:Projected from Arabidopsis thaliana (AT1G53580) TAIR;Acc:AT1G53580] MGSSSSFSSSSSSKLLFRQLFEKESSTFTYLLADVSHPDKPALLIDPVDKTVDRDLKLIDELGLKLIYAMNTHVHADHVTGTGLLKTKLPGVKSVISKASGSKADMFLEPGDKVSIGDIYLEVRATPGHTAGCVTYVTGEEADQPQPRMAFTGDAVLIRGCGRTDFQGGSSDQLYESVHSQASIFTLPKDTLIYPAHDYKGFEVSTVGEEMQHNPRLTKDKETFKTIMSNLNLSYPKMIDVAVPANMVCGLQDVPSQAN >Al_scaffold_0001_4803 pep chromosome:v.1.0:1:28637005:28637329:-1 gene:Al_scaffold_0001_4803 transcript:Al_scaffold_0001_4803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL93] MEPVVNVIIAVSVCVIFALIVIGCVSHRKKEPLSQPRDLETGVTGTKDGGLVVLTVNDATTAAVVMVEEMAAVVEVAAAVEVKGSVYCWI >Al_scaffold_0001_4804 pep chromosome:v.1.0:1:28638078:28638398:-1 gene:Al_scaffold_0001_4804 transcript:Al_scaffold_0001_4804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL94] MEPVVNVIIILGACGIFVLTVICCVSHRKKEPLSQPRDLEKGLAGIKDGGLVVLTGTAVVGAAVTTARSGGCGGGGDGGGCEGDAGGGDGAGGDGGGCGGCGGCGG >Al_scaffold_0001_4809 pep chromosome:v.1.0:1:28699123:28699377:-1 gene:Al_scaffold_0001_4809 transcript:Al_scaffold_0001_4809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL98] MGLLLCYMCRKEEEPMSSPPRDDAKKGETAGTKDGGLVVMSSSGKSKTKGCCCGGGDGRSPFRGGGGGCGGGGCGGGGGCGGGG >Al_scaffold_0001_4812 pep chromosome:v.1.0:1:28713976:28718632:-1 gene:Al_scaffold_0001_4812 transcript:Al_scaffold_0001_4812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G53645) TAIR;Acc:AT1G53645] MFKKLNPEAKEFFPSYKKNTNQSLSSDDFVIAKKPSGEDNKKDGINRRRRNNYNQGRRVRLPGRASKAQREVSIRRTVYVSDIDQSVTEEVLAGLFSSCGQVVDCRICGDPNSVLRFAFVEFSDDQGARAALSLGGTMIGYYPVRVLPSKTAILPVNPTFLPRSEDEREMCTRTIYCTNVDKNATEDVVKTFFQSACGEVTRLRLLGDQVHSTRIAFVEFAMAESAVAALNCSGIVLGSQPIRLSQMRSALGRRFSNPNGFTIASIVKQTPFLIQSTSHFSSSSDSSGRGRGRGSGEDGGFPAAGRGQFGVNREPVAPGREPSSAGGYGHGRGRPIHSDSISPAFSSFVKSDSPSVGRGRGSVGSDSVSPFAPEPPRQPPPPPQQQQSQSQQLRSPPQQPPRLQTQPNDESQGSPVFVKLQEMQDATSSPLTPESKSGQADPPDNIFNALGSEFSHPIGAGRGKPLVESAPIQQEDNRQIRRPQPPPPPQQQQQQRAQPQQKRAPTVKDEAPKPQLSREEAGRRARSELSRGEAEGGGVRGRGGRGRGRGARGRGRGRGGDGWRDDKKEEEGEQEAMSIFAGDSADGEKFAQKMGPELMKTLAEGFEEVCEKALPSTTHDAIIDAYDTNLMIECEPEYIMADFGSNPDIDEKPPMSLRECLEKVKPFIVAYEGIKDQEEWEEAVNEAMAQAPLMKEIVDHYSGPDRVTAKKQNEELDSIATTIPASAPDSVKRFADRAALTLKSNPGWGFDKKYQFMDKLVLEVSQSYK >Al_scaffold_0001_4813 pep chromosome:v.1.0:1:28720085:28722124:1 gene:Al_scaffold_0001_4813 transcript:Al_scaffold_0001_4813 gene_biotype:protein_coding transcript_biotype:protein_coding description:nodulin MtN21 /EamA-like transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G53660) TAIR;Acc:AT1G53660] MADRNRIRSFLKDEHVTYASILLYITLSSGQIFFNKWVLSSKEINFPYPLGLTLLHMSFSSVLCFLLTKVFKVMKVEEGMTLEIYVTSVIPIGAMFAMTLWLGNTAYLYITVAFSQMLKAIMPVAVFILGVCVGLEIMSCKMLMIMSVISFGVLVASYGELNINWVGVVYQMGGIVSEALRLILMEILVKRKGIKLNPLSLMYYMSPCSAICLFIPWIFLEKSKMETWNFHVLVLSLNSLCTFALNLSVFLVISQTSALTIRIAGVVKDWLVVLVSALLFAETKLTIINLFGYAIAIAGVAAYNNHKPKNGERITLVSQSPTNSDKKPGGPL >Al_scaffold_0001_4815 pep chromosome:v.1.0:1:28724902:28725642:1 gene:Al_scaffold_0001_4815 transcript:Al_scaffold_0001_4815 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutathione S-transferase TAU 28 [Source:Projected from Arabidopsis thaliana (AT1G53680) TAIR;Acc:AT1G53680] MGKEDSKVVVLAFWASPYAMRTKIALREKGVEFEVQEEDLSNKSELLLKSNPVHKKVPVLIHNDTPISESLIQVQYIDETWTDAASFLPTDPQSRATARFWADFADKTISFEGGKKIWGNKKGEEQEKGKKEFLESLKVLEAELGDKSYFGGETFGYVDITLVPFYSWFYALEKCGDFSVEAECPKIVAWGKRCVERNSVAASLPESEKVYQQVLKLRQIFGVE >Al_scaffold_0001_482 pep chromosome:v.1.0:1:1930838:1931802:1 gene:Al_scaffold_0001_482 transcript:Al_scaffold_0001_482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KF44] MKPPPSSQAAEAPTVVYWDIDRCPVPCGFDAGQVGPCIVRFLRNLGYSGPLIITAVGILTDVPEDVLRKVSSTGIFLHHGAYSYRDMNMVLYGVTNHVELPANVMVISTPPSYTDTLSLLDEWGFNVIYPFSYDFPQEPSSINSLWSNFLRAGVCLSSDSGPPLECSNTGQWVCIVCNDLDGLGAESLIRHLSSREHACELWEWLPPCGCSKLPLDSTIETPRYSRSSLRATMDMDDSDMDDSDMVHKQPKASFNI >Al_scaffold_0001_4824 pep chromosome:v.1.0:1:28774875:28775300:-1 gene:Al_scaffold_0001_4824 transcript:Al_scaffold_0001_4824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLB3] MLPVDSESETGLRTATRDELIVSFTLAWLHSTLEGFGASCSTPLPLSPGLQAGPAGQIVGSVSRIVMPPAVVGTEHNLIVSPSTIGPSCGDVVCSLSTKSCQSASYSSSEESPSLFAET >Al_scaffold_0001_4832 pep chromosome:v.1.0:1:28842936:28846223:1 gene:Al_scaffold_0001_4832 transcript:Al_scaffold_0001_4832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLC5] MVKIHPDKVFTVDSSAGEDKISPYLTTGKESFTIWMRSLVFHSKGCTVYDSKGNLIYRVDNYNSKSCSEVYLMDLFGKILFTLRQKKLRLFKSWEGYNSTGTRFRLRKNFKISPRGSSSSYKVVMVPRRANDDQQQSCYKIVSRKSIFTIEDGSGRLMAEVKKKQSSIKSLDLGKDVLTMMVEPQIFEEFTKMWRESASFILDKHQNNKPISLTHSTDSPPPPSASMADENPNPNPISAYYQTRAAHHGIVTSEWLEQAQAAVRRYPDHDSSVSGRPFSVIEEFNSWRQQPDLAEAVAAIRALAAVIRASEATTMMELEIELKKASDTLKSWDTTSISLTAGCDLFMRYVTRTSALEFEDFNSAKSRVLERAEKFGEISCKARTIIAMLSQDFIFDGCTILVHGFSRVVFEILETSAQNKKLFRVLCTEGRPDKTGVLLANELAKLDIPVKLLIDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHSMNKPVYVAAESYKFARLYPLDQKDLEPALRPIDFNVPVPPKVEVERSARDYTPPQYLTLLFTDLGALTPSVVSDELIQLYL >Al_scaffold_0001_4837 pep chromosome:v.1.0:1:28883139:28883621:-1 gene:Al_scaffold_0001_4837 transcript:Al_scaffold_0001_4837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53930) TAIR;Acc:AT1G53930] MDGKTTINLEVDSSKTIDLKIDEDPTSCRNIPQDIRHSKVMQIFIKTVGEITMINLEVDSYKIIDLKIDENPIRPNNGPDFRHGEGMLIFIKTLTGRRIVLEVENRDTIENVKAKIQDKEGIPVGRQRLMFKSKQLEDGLTVADYNIQNDSILHLILLPH >Al_scaffold_0001_4839 pep chromosome:v.1.0:1:28903679:28905440:-1 gene:Al_scaffold_0001_4839 transcript:Al_scaffold_0001_4839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLD1] MRQGQLEGRFKDEEDEEPESTPLSFEYVASWDKVKDGPKKRELEITLKKMEAKQAELCMIRIEFVADAANVMFKQDNTSSSEVKVFDTIKSIKEKIQEKEDFLFADQRLIYPGKQLEDDRTISDCHIQDGSTLILFVRDGRYDLAQGNVTSGVN >Al_scaffold_0001_4849 pep chromosome:v.1.0:1:28935570:28937750:1 gene:Al_scaffold_0001_4849 transcript:Al_scaffold_0001_4849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancy/auxin associated family protein [Source:Projected from Arabidopsis thaliana (AT1G54070) TAIR;Acc:AT1G54070] MGFLHKLWDETVAGPTPDNGLGKLRKHDSLSTVRSSPPSLSSDQVTRSIMVTKGNNNVRGLRKLKMDPDRVPDSPTGSISNPGTPLTPGTPCYDLGPFTAGKIPSSGEDDAASLTTYEWIVINALDR >Al_scaffold_0001_4860 pep chromosome:v.1.0:1:28977511:28978733:-1 gene:Al_scaffold_0001_4860 transcript:Al_scaffold_0001_4860 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear factor Y, subunit A5 [Source:Projected from Arabidopsis thaliana (AT1G54160) TAIR;Acc:AT1G54160] MQVFQRKESSWGNSIIPTNSNIQGSESFSLTKDMMMSTTQFPGTKHSGLQLQDQDSTSSQSTEESGGGEVASFEEHNRYGCSIVNTNLSGYIENPGKPIENYTKSTTTSSMVSQDSVFPAPTSGQISWSLQCAETSHFNGFLAPEYASAPTVLPHLEMMGLVSSRVPLPHNIQENEPIFVNAKQYHAILRRRKHRAKLEAQNKLIKCRKPYLHESRHLHALKRARGSGGRFLNTKKLQESANSLCSSQMANGQNFSMSSRGGGSGLGSSSITPSSNSNRINMFQNPQFRFSGYPSTHHASALMSGT >Al_scaffold_0001_4862 pep chromosome:v.1.0:1:28989305:28991392:1 gene:Al_scaffold_0001_4862 transcript:Al_scaffold_0001_4862 gene_biotype:protein_coding transcript_biotype:protein_coding description:BREVIS RADIX-like 3 [Source:Projected from Arabidopsis thaliana (AT1G54180) TAIR;Acc:AT1G54180] MLTCIACTKQLNTNNGGSTREEDEEDGVIGTPRTKQAIKSLTSQLKDMAVKASGAYKNCKPCSGTSNRNQNRNYADSDVASDSGRFHYAYQRAGTASSTPKIWGNDMESRLKGLSSEEGTPTSMSGRTESIVFMEEDEAKEWVAQVEPGVLITFVSLLQGGNDLKRIRFSREMFNKWQAQRWWVENFEKVMELYNVQQFNQQSEPLPTPPVSEDGVSQIQSAKDSPVTPPLERERPRSNIPGSSGLASTPKLSSISGTKTETSSIDGSARSSSVDRSEEVSVSNASDMESEWVEQDEPGIYITIRALPDGNRELRRVRFSRDKFGETQARLWWEQNRARIQQQYL >Al_scaffold_0001_4869 pep chromosome:v.1.0:1:29033129:29036938:-1 gene:Al_scaffold_0001_4869 transcript:Al_scaffold_0001_4869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase, long form protein [Source:Projected from Arabidopsis thaliana (AT1G54220) TAIR;Acc:AT1G54220] MAYASRIINHSKKLKDISSLLHREHAATVRYFSNTNRAPLNRQDSFSARLGYSPLERVSKCSIGTVPVCGISTTRTILSSAMGRPIFGKQFSCYMQSARGFSSGSDLPPHQEIGMPSLSPTMTEAWTFIYLLIETYGNIARWLKKEGDKVAPGEVLCEVETDKATVEMECMEEGYLAKIVKAEGSKEIQVGEVIAITVEDEEDIGKFKDYTPSSTADVAPPKAEPTPAPPKEEKVEQPSSPPEPKASKRSVSPTGDRVFASPLARKLAEDNNVPLANIKGTGPEGRIVKADIDEYLASSGTGATAKPSKSTDSKAPALDYVDAPHSQIRKVTASRLAFSKQTIPHYYLTVDTCVDKLMGLRSQLNSFQEASGGKRISVNDLVVKAAALALRKVPQCNSSWTDDYIRQFKNVNINVAVQTENGLYVPVVKDADKKGLSTIGEEVRLLAQKAKENSLKPEDYEGGTFTVSNLGGPFGIKQFCAVVNPPQAAILAVGTAEKRVVPGNGADQFNFASYMPVTLSCDHRVVDGAIGAEWLKAFKGYIENPESMLL >Al_scaffold_0001_4872 pep chromosome:v.1.0:1:29047417:29048944:1 gene:Al_scaffold_0001_4872 transcript:Al_scaffold_0001_4872 gene_biotype:protein_coding transcript_biotype:protein_coding description:winged-helix DNA-binding transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT1G54240) TAIR;Acc:AT1G54240] MDPGDSLSDANDIGDLPPPAASSSSSSSEPSAENLPYADQLERAMIDTEDDRGPSGFTTDELIYQALETVYADGNGLDIDGIFNFIEEKYELQEDFRDRLEVQLGNLVSEGQVEMVGNLYKIPHGLFDTQIVSVVASNLPQTMSPGGSTGAKTQDTPSTCASFAPAATKEDPRIEAVAKEVAEAEHLEFEAKEAQELADRHVQLLNLESNKILQLAVEILNRCANGEKIFLL >Al_scaffold_0001_4877 pep chromosome:v.1.0:1:29066909:29068441:1 gene:Al_scaffold_0001_4877 transcript:Al_scaffold_0001_4877 gene_biotype:protein_coding transcript_biotype:protein_coding description:eif4a-2 [Source:Projected from Arabidopsis thaliana (AT1G54270) TAIR;Acc:AT1G54270] MAGSAAEGTQFDTRQFDQKLNEVLEGQDEFFTSYDEVHESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGVLQQLDYTLVQCQALVLAPTRELAQQIEKVMRALGDYQGVKVHACVGGTSVREDQRILQAGVHVVVGTPGRVFDMLRRQSLRPDCIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINFDLPTQPENYLHRIGRSGRFGRKGVAINFITRDDERMLFDIQKFYNVVVEELPSNVADLL >Al_scaffold_0001_4880 pep chromosome:v.1.0:1:29080380:29081766:1 gene:Al_scaffold_0001_4880 transcript:Al_scaffold_0001_4880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KM49] MLMQIYAKTLTEKTITLDVESSDTINNVKAKIQDIEGIPLDQQRLIFSGKLLDDGRTLADYSIQKESILHLALRLRGGMQIFIKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNLKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSF >Al_scaffold_0001_4885 pep chromosome:v.1.0:1:29103580:29105809:-1 gene:Al_scaffold_0001_4885 transcript:Al_scaffold_0001_4885 gene_biotype:protein_coding transcript_biotype:protein_coding description:indeterminate(ID)-domain 7 [Source:Projected from Arabidopsis thaliana (AT1G55110) TAIR;Acc:AT1G55110] MMMNRDMLFHHQQQQQQVEENMSNLTSASGDQASVSSGNRTETSGSNINQRQEQCFVPQSSLKRKRNQPGNPDPEAEVMALSPKTLMATNRFICEVCNKGFQRDQNLQLHKRGHNLPWKLKQRSNKDVIRKKVYVCPEPSCVHHHPSRALGDLTGIKKHFFRKHGEKKWKCEKCSKKYAVQSDWKAHAKTCGTKEYRCDCGTLFSRRDSFITHRAFCDALAEESARVIPNPSMIQASNSPHHLHHHQTQQNISFSASSSQNITSNSNLHGPMKQEESHHHFQNIPPWLVSSNPNPNVNNGNLFPPLASSANTGRSSFHQPSPAMSATALLQKAAQMGSTKSTTPEEEERSSRSSYNNLITTTMAAMMTSPPEPGFGFQDYYMMNHQHHGGVEAFNGAFVPGEDKNDVVDDGGGETRDFLGLRSLMSHNEILSFANNLGNCLNTSASEQEQQHSHQD >Al_scaffold_0001_4892 pep chromosome:v.1.0:1:29133878:29134431:1 gene:Al_scaffold_0001_4892 transcript:Al_scaffold_0001_4892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KM63] MKKKPLSPTENNVPESNPPKRNRGNEPYTRLRNISQFNLPIIRNGSVPLSTAFGSAFRDITNLPDPQVSPIENSADANTSSSAKQNSKNQGSLRGKMSKPCSPYTRPNPLLTPSGLSAMTNTSQSLFSRTPTTPIMPPPSVTPKRIGG >Al_scaffold_0001_4899 pep chromosome:v.1.0:1:29169823:29172397:-1 gene:Al_scaffold_0001_4899 transcript:Al_scaffold_0001_4899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:D7KM67] MELEEQKKFFHGTLEITIFDATPFSPPFPFNCICTKPKAAYVTIKINKKKVAKTSSEYDRVWNQTFQILCAHPVSDTTITITLKTRCSVLGRVRISAEQILTSDSAVINGFFPLIADNGSTKRNLKLKCLMWFRPAYLEPGWCKALEGDSFQGIRNASFPQRSNCRVILYQDAHHKATFDPRVHDVPFNARNLWEDVYKGIESARHLVYIAGWALNPNLVLVRDEETEIPHAVGVTIGELLKRKSEEGVAVRVMLWNDETSLPIIKNKGVMRTNVETALAYFRNTNVVCRLCPRSHKKLPTAFAHHQKTITLDTRVANSSTKEREIMSFLGGFDLCDGRYDTEEHTLFRTLGTEADFYQTSVAGAKLSKGGPREPWHDCHVSVVGGAAWDVLKNFEQRWTKQCNPSVLVNTSGIRNLVNLTGPTEENNRKWNVQVLRSIDHISATEMPRGLPVEKSVHDGYVTAIRKAERFIYIENQYFMGSCDHWGSKNDKICSGCTNLIPVEIALKIAAKIRTRERFAVYIVIPMWPEGPPESETVEEILHWTRETMSMMYQIIGEAIWEVGDKSHPRDYLNFFCLANREEKRDGEFEAVSSPHQKTHYWNAQRNRRFMVYVHSKLMIVDDTYILIGSANINQRSMDGCRDTEIAIGCYQTNTNNTKEIRAYRLSLWYEHTGGQITADDLSSSEPESLECVRGLRTIGEQMWEIYSGDKVVDMLGIHLVAYPISVTRDGAVEEVGDGFFPDTKTLVKGKRSKMFPPVLTT >Al_scaffold_0001_490 pep chromosome:v.1.0:1:1973289:1974312:1 gene:Al_scaffold_0001_490 transcript:Al_scaffold_0001_490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KF55] MHFNYNIGDCDPTLLVVGKITVTDVSLRYPSMFSLRSRFDYSRMNRNKPLKKRSGGGLLPVFDESHVMASELAGYLLYRRITPHEISMFPFSLQNSSMAASVLLNHAQSLSVGSHGFSFSD >Al_scaffold_0001_4900 pep chromosome:v.1.0:1:29173147:29173478:-1 gene:Al_scaffold_0001_4900 transcript:Al_scaffold_0001_4900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KM68] MKQIGLKKGLSPLKKGGPENYTNDGGDIDISNQEEKETREKPGQRRRERPDETRTRVSCSREISQPTPLKVAGRCRKLSQPTPPQVTDDARR >Al_scaffold_0001_4911 pep chromosome:v.1.0:1:29215843:29217287:-1 gene:Al_scaffold_0001_4911 transcript:Al_scaffold_0001_4911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 family protein [Source:Projected from Arabidopsis thaliana (AT1G55280) TAIR;Acc:AT1G55280] MATESICLKPVSFARLTKNPSNQFRKRRKLSSRIHRFRFGVICSKSSDYQDFQSYATPLRLLSAEEVKVCRGNPSFTVSETRSLYKVKLETSNLFGSGISDMNARVLICLIDAKGDSVLQTIPANLSSLDPEVVENGESFRFQRGSVDEFTFEGPKLDKIRAFWIGLESGQWRIGRVSLRVVNPRGEGLSLGETDAETFCYRYDFEVDEILLGESSYLSMVELRPTRITELADSDQISSFTAVDLDRTTVSNEESMEEYANLKRSLLLYDAILTLLGSLVFSFSLGENSAIAFFFGGTMGFFYLLLLQRSVDELQAPGSSSSENSNKILSGLNIPVWSLALAIGLTVLAVRGHIGGDLTAFAVTPKEIVVGTLGFLVCKVAVVLAAFKPLKDGS >Al_scaffold_0001_4919 pep chromosome:v.1.0:1:29266792:29268027:1 gene:Al_scaffold_0001_4919 transcript:Al_scaffold_0001_4919 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 5 [Source:Projected from Arabidopsis thaliana (AT1G55370) TAIR;Acc:AT1G55370] MALLHSVNVSRSTFPLSRSSKIVLSSSFASLPLQFHRNFKRLESSVPPSASAAASPAFPIDVEYLRQEFSGHGATFEDIGETCIAKLKLDNGSSANVMLTRGMITSYKVRVWHGGKVELLHTWVEQEEEEEDVVIRGGVSTAFNSSDSDDINEWRLHGISGDSKDCVQMELRRSDKKIKEIELKQIISLREETLSIELFVTNKGISPIRLEGCSLVSYLTVSTPEATYAVGLEGSDFVETTPFLPRFRVVQGEDEEEKTTGFGGEEESNYKQLNEEMSRIYTFAPKSFTVIDRGRRNSVIVGREGFEEVYMYSPGSRLESYTKSAYVCIGPSSLLNPISLEPACVWRGVLHLHNPNS >Al_scaffold_0001_492 pep chromosome:v.1.0:1:1978340:1989609:-1 gene:Al_scaffold_0001_492 transcript:Al_scaffold_0001_492 gene_biotype:protein_coding transcript_biotype:protein_coding description:callose synthase 1 [Source:Projected from Arabidopsis thaliana (AT1G05570) TAIR;Acc:AT1G05570] MTWQFLLTVGSLGEAMLDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENETTLAGRQKSDAREMQSFYQHYYKKYIQALLNAADKADRAQLTKAYQTAAVLFEVLKAVNQTEDVEVADEILETHNKVEEKTQIYVPYNILPLDPDSQNQAIMRLPEIQAAVAALRNTRGLPWTAGHKKKLDEDILDWLQSMFGFQKDNVSNQREHLILLLANVHIRQFPKPDQQPKLDDRALTIVMKKLFRNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGSVSPMTGEHVKPAYGGDDEAFLQKVVTPIYQTIAKEAKRSRGGKSKHSVWRNYDDLNEYFWSIRCFRLGWPMRADADFFCHTAEELRIERSEIKSNSGDRWMGKVNFVEIRSFWHIFRSFDRMWSFYILCLQAMIVIAWNGSGELSAIFQGDVFLKVLSVFITAAVLKLAQAVLDIALSWKARHSMSLYVKLRYVMKVGAAAVWVVVMPVTYAYSWKNASGFALTIKNWFGGHSHNSPSLFIVAILIYLSPNMLSALLFLFPFIRRYLERSDFKIMMLMMWWSQPRLYIGRGMHESALSLFKYTMFWIVLLISKLAFSYYAEIKPLVGPTKDIMRIHISVYSWHEFFPHAKNNIGVVIALWSPVILVYFMDTQIWYAIVSTLVGGLNGAFRRLGEIRTLGMLRSRFQSIPGAFNDCLVPHDKSEDTKKKGFRATFSRKFDQLPSSKDKEAARFAQMWNKIISSFREEDLISDREMELLLVPYWSDPDLDLIRWPPFLLASKIPIALDMAKDSNGKDRELKKRLAVDSYMTCAVRECYASFKNLINYLVVGEREGQVINDIFSKIDEHIEKETLITELNLSALPDLYGQFVRLIEYLLENREEDKDQIVIVLLNMLEVVTRDIMEEEVPSLLETAHNGSYVKYDVMTPLHQQRKYFSQLRFPVYSQTEAWKEKASLFHLSHSCFHHSGAFDLFGMRNIKRLHLLLTVKESAMDVPSNLEARRRLTFFSNSLFMDMPAAPKIRNMLSFSVLTPYFSEDVLFSISGLEQQNEDGVSILFYLQKIFPDEWTNFLERVKCGSEEELRAREDLEEELRLWASYRGQTLTKTVRGMMYYRKALELQAFLDMAKDEELLKGYKALELTSEEASKSGGSLWAQCQALADMKFTFVVSCQQYSIHKRSGDQRAKDILRLMTTYPSIRVAYIDEVEQTHKESYKGTEEKIYYSALVKAAPQTKPMDSSESVQTLDQLIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLEKHGGVRCPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRVLASPLKVRFHYGHPDIFDRLFHLTRGGICKASKVINLSFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDLYRLGHRFDFFRMLSCYFTTIGFYFSTMLTVLTVYVFLYGRLYLVLSGLEEGLSNQRAFRNNKPLEAALASQSFVQIGFLMALPMMMEIGLERGFHNALIEFVLMQLQLASVFFTFQLGTKTHYYGRTLFHGGAEYRGTGRGFVVFHAKFAENYRFYSRSHFVKGLELMILLLVYQIFGQSYRGVVTYILITVSIWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWIYNRGGIGVPPEKSWESWWEKELEHLRHSGVRGIILEIFLALRFFIFQYGLVYHLSTFKGKNQSFWVYGASWFVILFILLIVKGLGVGRRRFSTTFQLLFRIIKGLVFLTFVAILITFLALPLITIRDLFICMLAFMPTGWGMLLIAQACKPLILQLGIWSSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKDRSSKNKE >Al_scaffold_0001_4925 pep chromosome:v.1.0:1:29314835:29315200:1 gene:Al_scaffold_0001_4925 transcript:Al_scaffold_0001_4925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KM96] MNRKDYWLFEGIIVKVMSKALAEKGYYKQKGVVKKVIDKYVGEIEMLDSKHVLRVDQVELETVLPQIGGIVKIVNGAYRGSNAKLLGVDTEKFCAKVQIEKGVYDGRVIKSIEYEDICKLA >Al_scaffold_0001_4943 pep chromosome:v.1.0:1:29402637:29409294:1 gene:Al_scaffold_0001_4943 transcript:Al_scaffold_0001_4943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMZ9] MMNSSYRSKSERSCPNLTEEDRLRLRIERAELARKEAEEEELFRVPQAATKIFHSSNSDQFLREDLRRDKSHPKEGKVDSRKEERYNDQPLKNGHHWDSRRTDDSERSTRLTRTENYKKEYHRDQMVDRRPSRQSPSFYTQEREHKPVWQRLEANHNYHYPRNRENFNSYHSDYRKRRHDESVATSTWVPKSGRQQKGDYRDRKVQRVTSEDTRDARHTLDRKRLSDQYKREGYREGSRYDKSPRREPFRQPSPRVKGKEGLPAEDGSRTITASDLHRKMDIGRVYYKEKESSRSGTANAIERAAGFVAPMEEEKTPKAKTVLTSTKDLTSAMKEKDHSQEDLAPLTEEEELQLKEWEEFEAKQINTDLMETAEKSPDKEDNDEDKLLMQQEELDNDDLLEDNLQEEDAQVSGEHTVSEQIQPVSRRKQPLSPSSLSLNSKAAPSPTRRPAKERIEYPKASGSKASHRRRRAEAKSQTNKGPTELLGMASKKMNILNAGSPKKRAEAKSQTARSRNGKPSSSLPRSGVFPSAINKKSKSRSDSKKNLTDI >Al_scaffold_0001_4953 pep chromosome:v.1.0:1:29453484:29456030:-1 gene:Al_scaffold_0001_4953 transcript:Al_scaffold_0001_4953 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation exchanger 5 [Source:Projected from Arabidopsis thaliana (AT1G55730) TAIR;Acc:AT1G55730] MGCCKVPALIQAQVEMGLVNDVEHKSLFRRETDSPQRKASSLMEQGSLSASFRERATKTPMNSVLQSFKIVILSNKLNLLLPFGPLAILLHYLTDNKGWIFLLSLVGITPLAERLGYATEQLACYTGSTVGGLLNATFGNVTELIISIFALKNGMIRVVQLTLLGSILSNMLLVLGCAFFCGGLVFSQKEQVFDKGNAVVNSGLLLMAVMGLLFPAVLHYTHSEVHAGSSELALSRFSSCIMLVAYAAYLFFQLKSQPSSYTPLTEETNQNEEASDDDEDPEISKWEAIIWLSILTAWVSLLSGYLVDAIEGASVSWKIPISFISVILLPIVGNAAEHAGAIMFAMKDKLDLSLGVAIGSSIQISMFAVPFCVVIGWMMGAQMDLNFQLFETAALFITVIVVAFFLQEGTSNYFKGLMLILCYLIVAASFFVHEDPHQDDI >Al_scaffold_0001_4956 pep chromosome:v.1.0:1:29479319:29482625:-1 gene:Al_scaffold_0001_4956 transcript:Al_scaffold_0001_4956 gene_biotype:protein_coding transcript_biotype:protein_coding description:seed imbibition 1 [Source:Projected from Arabidopsis thaliana (AT1G55740) TAIR;Acc:AT1G55740] MTVGAGISVTDSDLVVLGHRVLHGVPENVLVTPASGNALIDGAFIGVTSDQTGSHRVFPLGKLEDLRFMCVFRFKLWWMTQRMGTNGKEIPCETQFLIVEAKEGSDLGGEDQSSSYVVFLPILEGDFRAVLQGNDANELEICLESGDPTVDQFEGSHLFFVAAGSDPFDVITKAVKAVEQHLQTFSHRERKKMPDMLNWFGWCTWDAFYTNVTAKDVKQGLESLKAGGVTPKFVIIDDGWQSVGMDETSVEFNADNAANFANRLTHIKENHKFQKDGKEGHRVDDPALSLGHVITDIKSNNSLKYVYVWHAITGYWGGVKPGVSGMEHYESKVSYPVSSPGVMSNENCGCLESITKNGLGLVNPEKVFSFYNDLHSYLASVGIDGVKVDVQNILETLGAGHGGRVKLAKKYHQALEASISRNFPDNGIISCMSHNTDGLYSAKKTAVIRASDDFWPRDPASHTIHIASVAYNTLFLGEFMQPDWDMFHSLHPMAEYHAAARAVGGCAIYVSDKPGQHDFNLLRKLVLRDGSILRAKLPGRPTSDCFFSDPVRDNKSLMKIWNLNEFTGVIGVFNCQGAGWCKNEKRYLIHDQEPGTITGYVRTNDVHYLHKVAAFEWTGDSIVYSHLRGELVYLPNDTSLPVTLKSREYEVFTVVPVKKFSDGSKFAPVGLMEMFNSGGAIVSLRYDEDGTNFVVRMKLRGSGLVGVYSSVRRPRNVKVDSDGVEYRYQPESGLVTFTLGVPEKELYLWDVVIEL >Al_scaffold_0001_4966 pep chromosome:v.1.0:1:29525893:29528156:-1 gene:Al_scaffold_0001_4966 transcript:Al_scaffold_0001_4966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KN26] MEEESRDILMSTLMESGVLIPGDFSSVGEFTPEALVSISAQLLNLIDPSASFSDELPDSLPERFGICTDIAQSVKNLGYINDISYYKMCCLRQFLHPSEDDSYRLVRFLVERLSEKNEGRKTSTAGDIASRPKMENFRGISEDMMVNEDKDETFDMHLQKVEAVLKDLTMASEISHSPDSLAKNTSTNGSTIVDFFSRKTDDPVTDVPSDLSLRESSGYEENPYEDPSETNYETVELQNQHNVLLVELESGSSELCSLESELELLKMATERLLDDKQPGGSYLEQLNQQLVVKRCNIMDLKKQWDDVRLTLETKKLLLLDQLHVEEPEAKEKFHQLRKTELDLQSLSSEIQKREDERCNLYNELERQPKAAPRKSYIHGIKEITKNSRKLDTDIQRISGETRELQLESNSIQERLHRSYAVVDEMVTREVKKDPAVRQVYKLLTSIHSIFEQISEKILMTDRFRRETVDYEKKLGSITARGMSLEKLQADLDAIRKENESLKK >Al_scaffold_0001_4971 pep chromosome:v.1.0:1:29558644:29564022:-1 gene:Al_scaffold_0001_4971 transcript:Al_scaffold_0001_4971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KN32] MSMAIKISTLFLLFLLVESCTARYIPADLHEVNEEGRIVLKAPDESKRRYFRLFIWEVEDLHREADEKYPLWKSTNHLARSLFLLEKAQDYVQLWDKWRKGQFFAGNPYGDLRNNVQGDLWPKHYDYCRQSEGTNLLTYLMYDFCRQSEGANLLKYMTYGLSPEAYGLIP >Al_scaffold_0001_4975 pep chromosome:v.1.0:1:29573186:29574253:1 gene:Al_scaffold_0001_4975 transcript:Al_scaffold_0001_4975 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc transporter 11 precursor [Source:Projected from Arabidopsis thaliana (AT1G55910) TAIR;Acc:AT1G55910] MSRPLVFFFFLFLVLVVPCLSHGTGGDHDDDEAPHVESSDLKSKSLISVKIACLVIIFVLTFISGVSPYFLKWSQGFLVLGTQFAGGVFLATALMHFLSDADETFRDLLTAEGESELSPAYPFAYMLACAGFMLTMLADSVIAHIYLRTQNDLELQGEDKSNQTSATTETSIGDSILLIVALCFHSVFEGIAIGISETKSDAWRALWTITLHKIFAAIAMGIALLRMIPDRPLFSSITYSFAFAISSPIGVAIGIVIDATTQGSIADWIFAVSMSLACGVFVYVSVNHLLAKGYRPNKKVHVDEPSYKFLAVLFGVVVIAIVMIWDT >Al_scaffold_0001_4979 pep chromosome:v.1.0:1:29587575:29590261:1 gene:Al_scaffold_0001_4979 transcript:Al_scaffold_0001_4979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KN42] MNSFVTGDVNFGYTELYEIGIGSKRICFAMCIMGLVERNVFSIIQFGAVSGAVCASTLARNGVSVTIFDSGRGRMSQRREIGEDGKELMFDHGAPFFCVGNSDAMALVHEWESRGFVSEWKQVFRSFDYASNKFLGIQQEGDAKKYVGVPGMNSISKALCNESGVKSMFGTGIAKLEWLEEEIPWLLTDSKGENLGRFDGVVASDKNIVSPRFTQVTGLSPPLDLSLVPELATKLQNIPVPPCFSLMLAFKEPLSSIPVKGLSFKNSEILSWAHCDSTKPGRSTDSERWILHSTPDYDNSVIAKTGLQKLSSETLNKISEEMFKEFQCSGLVSSLPFFMKAHRWGSAFPAKSIAVEERCLWDRNRNLAICGDFCVSPNVEGAILSGLAAASKLLQTSSFL >Al_scaffold_0001_4982 pep chromosome:v.1.0:1:29605860:29608100:1 gene:Al_scaffold_0001_4982 transcript:Al_scaffold_0001_4982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KN48] MIRDHIWQSYVALAFRSPKSVAVHNAQEVARIVVRPVAWLSLILYPVGRVVTYLSMGILKILCLKGRSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDGSGSLVDFHNFWVTHQYSRVPVFEQRIDNIVGIAYAMDLLDYVPKGNLLESTTVVDMAHKPAFFVPDSMSVWNVLREFRIRKVHMAVVLNEYGGTIGIITLEDVVEQIVGEIFDENDSKEEIQKKTGYIVMRAEGIYDVDANTSIDQLSEELNIKMLEYETVSGFVCEAFGYIPKTCESVTVVLEKENWEENDEQDEGKHESQDQKEKHQVYRLEILAGNARKVSTVRFERVNNMDEVSEARDVKNMVPKFVRKWSSEEDTDGNLQAKNAVFDEHLIAETESMKKE >Al_scaffold_0001_4989 pep chromosome:v.1.0:1:29630379:29631617:1 gene:Al_scaffold_0001_4989 transcript:Al_scaffold_0001_4989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KN56] EHLELQFLSDEFELAITDRAETPRLDEIYQVNHGQNCVSVTTEPSPGSAVLLSPYAKATPKAVMKPMNVESLTIYQVKSHLQKYRLAKYMPERKQEKKNGNSEDKKPASNTNEADGRKKGAIQLTEALRMQMEVQKQLHEQLEVQRSLQLRIENMLNTWRRSWKKSENLIKPMHLNLKMTNVKLLENVLDLKTEHPYR >Al_scaffold_0001_499 pep chromosome:v.1.0:1:2009210:2009981:-1 gene:Al_scaffold_0001_499 transcript:Al_scaffold_0001_499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF313) [Source:Projected from Arabidopsis thaliana (AT1G05615) TAIR;Acc:AT1G05615] MGSIHVEPLTACGDETECYGGNREGRQSFHSISTKEENLEDSYLYSFLYYCTRSLFFWNERADAAVAGEVDEGKIKDFDFLSPAEKRIIEEHANNEREEGVDVILVASDLREFKLNLRRWVMGTSPLYILVSGWNKVVKECRLEEGNKIRLWSFHVNDQLYIAMVPLSPTESG >Al_scaffold_0001_4992 pep chromosome:v.1.0:1:29642296:29644328:-1 gene:Al_scaffold_0001_4992 transcript:Al_scaffold_0001_4992 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 1 [Source:Projected from Arabidopsis thaliana (AT1G56010) TAIR;Acc:AT1G56010] METEEEMKESSISMVEAKLPPGFRFHPKDDELVCDYLMKRSLHNNPRPPLVLIQVDLNKCEPWDIPKMACVGGKDWYFYSQRDRKYATGLRTNRATATGYWKATGKDRAILRKGKLVGMRKTLVFYQGRAPRGRKTDWVMHEFRLQGSYHPPNQEDWVLCRVFHKNTEGVICRDNMGSCFDETASASLPPLMDPYINFDQEPSSYLSDDHHFIINEHVPCFSNLSQNQTLNANLTTSVSELKIPCKNPNPLFTGGSAPATLTGLDSFCSSDQMVLRALLSQLTKIDGSLGAKESQSYGEGSSESLLTDIGIPSSAWNC >Al_scaffold_0001_4994 pep chromosome:v.1.0:1:29655883:29657945:-1 gene:Al_scaffold_0001_4994 transcript:Al_scaffold_0001_4994 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G56030) TAIR;Acc:AT1G56030] MELGWKAEIPCNYRKTSITSLVTSSSITLARQFLRKCQIELENFSIETDLPLVYVDESNYWRFIKTVRVLADVFKNMKITGTTRKSIIQVLMNPILPPERSTDAMKLFLSTIGKLADLQFSDENFNQLFLSSRLNLQATIELENKYNDEVEVNLRKEAEDALARKFKEVVDLTERLLEPYEKKQGQLQLQVEALEHKHEAGLQLRTETEIAVAIEGDFMRWKAEEFESEYKNEVVLRRESETALDKERKELEGIKELLETCVTEQENLNSLVITWQDKYDQESIIRKEKEDALSTKKTELETVKGLVESYKQEADAMRQERDNALKTAQEIVDEQQPPPSFFCPITQEVMQDPHMAADGFTYELEAIKNWINTGHQTSPMTNLPLPHLNFVPNRALRSAIEELG >Al_scaffold_0001_50 pep chromosome:v.1.0:1:191251:193076:1 gene:Al_scaffold_0001_50 transcript:Al_scaffold_0001_50 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenosine deaminases;RNA binding;RNA binding;adenosine deaminases [Source:Projected from Arabidopsis thaliana (AT1G01760) TAIR;Acc:AT1G01760] MEEDWGERVSEKVVSAYMSLPKKGKPQGREVTVLSAFLVSSPSQDLKVIALGTGTKCVSRSLLSPRGDIVNDSHAEVVARRALIRFFYSEIQGMQLTSDKSSEAKRQRLDCETSSVLESADSSCPGEVKYKLKSGCHLHMYISQLPCGYASTSSPFYALKKIPSTQVDDSFLVQASDIGSSRHSDVPQIGSNSHTGNGSQVADMVQRKPGRGETTLSVSCSDKIARWNVLGVQGALLCQVLQPVYISTITVGQSLHIPGNFSLADHLRRSLYERILPLSDDLLTSFRLNKPLFFVAPVIPSEFQHSETAQATLTCGYSLCWNYSGLHEVILGTTGRKQGTSAKGALYPSTQSSICKQRLLELFLKETHGHERESSKSKKSYRELKVRVMLILLCCFILG >Al_scaffold_0001_5007 pep chromosome:v.1.0:1:29711690:29724475:-1 gene:Al_scaffold_0001_5007 transcript:Al_scaffold_0001_5007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNW2] MPRLILSFVLWFVLMSGLFHVVRPQNRTRATTDPDEARALNNIFRTWKITATKAWNISGELCSGAAIDDSVSIDNLAFNPLIKCDCSFVDSTICRIVALRARGMDVAGPIPEDLWTLVYISNLNLNQNFLTGPLSPGIGNLNRMQWMTFGANALSGPVPKEIGLLTDLRSLAIDMNNFSGSLPLEIGNCTRLVKMYIGSSGLSGEIPSSFANFVNLEEAWINDIQLTGQIPDFIGNWTKLTTLRILGTNLSGPIPSTFGNLISLTELRLGEISNINSSLQFIREMKSISVLVLRNNNLTGTIPSNIGDYLWLRQLDLSFNKLTGQIPAPLFNSRQLTHLFLGNNKLNGSLPTQKSPSLSNIDVSYNDLAGDLPSWVRLPNLQLNLIANHFTVGGSNRRAFRGLDCLQKNFRCNRGKGVYFNFFVNCGGPDIRSSSGALYEKDEGALGPATFFVSKTQRWAVSNVGLFTGSNSNQYIFVSPTRFANTSDSELFQSARLSASSLRYYGLGLENGGYSVTVQFAEIQIQGSNTWKSLGRRVFDIYVQGKLVEKDFDMHRTANGSSIRVIQRVYKANVSENYLEIHLFWAGKGTCCIPAQGTYGPLVSAISATPDFIPTVKNKLPSKSKKKIGIIVGAIVGAGMLSILVIAIILFIRRKRKRAADEEVLNSLHIRPYTFSYSELRTATQDFDPSNKLGEGGFGPVFKGKLNDGREIAVKQLSVASRQGKGQFVAEIATISAVQHRNLVKLYGCCIEGNQRMLVYEYLSNNSLDQALFEEKSLQLGWSDRFEICLGVAKGLAYMHEESNPRIVHRDVKASNILLDSDLVPKLSDFGLAKLYDDKKTHISTRVAGTIGYLSPEYVMLGHLTEKTDVFAFGIVALEVVSGRPNSSPELDDDKQYLLEWAWSLHQEKRDLELVDPDLTEFDKEEVKRVIGVAFLCTQTDHAIRPTMSRVVGMLTGDVEVTEANAKPGYVSERTFENAMSFMSGSTSSSWILPETPKDSSKSQDEEHGRRHMLKLRRYLCLLLTVWFLCNSGSVYVVRAQNRTVATTHPDEARALNSIFAAWRIRAPREWNISGELCSGAAIDASVQDSNPAYNPLIKCDCSFENSTICRITNIKVYAMEVVGPIPQQLWTLEYLTNLNLGQNVLTGSLPPAIGNLTRMQWMTFGINALSGPVPKEIGLLTNLKLLSISSNNFSGSIPDEIGRCTKLQQIYIDSSGLSGRIPVSFANLVELEQAWIADMELTGQIPDFIGDWTNLTTLRILGTGLSGPIPASFSNLTSLTELFLGNNTLNGSLPTQKRQSLSNIDVSYNDLSGSLPSWVSLPNLNLNLVANNFTLEGLDNRVLSGLNCLQKNFPCNRGKGIYSDFSINCGGPEIRSVTGALFEREDEDLGPASFVVSAGQRWGASSVGLFAGSSNNIYIATSQSQFVNTLDSELFQSARLSASSLRYYGLGLENGGYTVTLQFAEIQILGSTSNTWRGLGRRRFDIYVQGRLVEKDFDVRRTAGDSTVRAVQREYKANVSENHLEVHLFWAGKGTCCIPIQGAYGPLISAVGATPDFTPTVGNRPPSKGKSMTGTIVGVIVGVGLLSIFAGVVIFIIRKRRKRYTDDEEILSMDVKPYTFTYSELKSATQDFDPSNKLGEGGFGPVYKGKLNDGREIAVKLLSVGSRQGKGQFVAEIVAISAVQHRNLVKLYGCCYEGDHRLLVYEYLPNGSLDQALFGTHRNMIIDLCFCQPKSTHYVLVVGLNVAGEKTLHLDWSTRYEICLGVARGLVYLHEEARLRIVHRDVKASNILLDSKLVPKVSDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKTDVYAFGVVALELVSGRPNSDENLEDEKRYLLEWAWNLHEKSREVELIDHELTDFNTEEAKRMIGIALLCTQTSHALRPPMSRVVAMLSGDVEVSDVTSKPGYLTDWRFDDTTGSSISGFRIKTTEASESFMSFVAPGSEISPRNNDSKPMLGAQMNEGR >Al_scaffold_0001_5008 pep chromosome:v.1.0:1:29725884:29726464:1 gene:Al_scaffold_0001_5008 transcript:Al_scaffold_0001_5008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNW3] MILDINGGALTSTSSIVARKIPPYDASANTPREAYPLDKIIEKEEWDFVQQESVAVTNAYPYICTQQIAQVARISRTIPKSRCFLLPYRSSLIWLNSRTRTQQMVLIQLKAISFEESFVRNV >Al_scaffold_0001_5033 pep chromosome:v.1.0:1:30204119:30204550:-1 gene:Al_scaffold_0001_5033 transcript:Al_scaffold_0001_5033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNY8] MANLALPIRMFCMSGEPDDKRKINIYFVLKYLGTVKEALKKHEKEWSQLSFLVQFAHYMLSRQPVTVKKHEIWMDFGVTPIRFLIQEFSAAMGLYCSEIPKIPQNLDEDDTTNWDDLIGDDVKEIDDS >Al_scaffold_0001_5038 pep chromosome:v.1.0:1:30224340:30224614:-1 gene:Al_scaffold_0001_5038 transcript:Al_scaffold_0001_5038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNZ0] MEAVFEMVVTNDLVNGMMTVAYGGSWRQMTEKPSSYTSEKEMELKQLKPK >Al_scaffold_0001_5039 pep chromosome:v.1.0:1:30234632:30235647:-1 gene:Al_scaffold_0001_5039 transcript:Al_scaffold_0001_5039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNZ1] MRGRRENCGSGGGGDVGGGLDNGDGGGGSSGGGDCHGGCGGGGSDSDSGDGDVMVIAVVVVTLWWSLWCRNSGGGDVIVVAVVVVVTKMMVVVVVVMVRHGVRGDGSGDYNNVAMVVVV >Al_scaffold_0001_5043 pep chromosome:v.1.0:1:30265501:30265743:1 gene:Al_scaffold_0001_5043 transcript:Al_scaffold_0001_5043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNZ4] MISICFVILADQRPHRSLAMLDPVLKMAAEELTLIHQVYSFLVPSVTLPRWYNNSPFLLVLRPKPPARPLLTLIISSPPS >Al_scaffold_0001_5045 pep chromosome:v.1.0:1:30301013:30302298:-1 gene:Al_scaffold_0001_5045 transcript:Al_scaffold_0001_5045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNZ5] MSSANFVYIFCILRYQWILGIPHDDREIHARLRNPSAYLKSPTTYMTNGVARDTMTELETNTKRNDVDYREFIDKIKLTPSLLIDYSTFRALDLDNDVIWMLDTLGLRHFMESIHREIYEEETRQFLATVTLAFPRTSSPLARDGVLYFTIHGSHFTISIPHLGRALGFDYQDAIDFGPETHGDTWQRIGKGPFSSRKNKSALTSHPAIRCVHKLLATSIFARTAHNNVLGDELLVLKTPFVDFPRRVNYASLFDKGLVKIKQEAIHYTDDDASLSFGGVITTILEAAGVDLTDRPFTAEAHYFDLERLGTMRIFEGARIDPDCFGYRYHVSPRLICTIMLPCSTIPRLRNGATRWDPESSEFLSLQFGE >Al_scaffold_0001_5046 pep chromosome:v.1.0:1:30302970:30303329:1 gene:Al_scaffold_0001_5046 transcript:Al_scaffold_0001_5046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNZ6] MFPIKGWALKRKGGKRKLTCCGAYSFGFSENRNLQRISCRERIGLHELVSCIRCRDIGSRSCNGRMKKEIGIEYEVQSVRAPQVTPITTCHGRSGLNWVPRSRASVTGLSLHDGPGHGH >Al_scaffold_0001_5049 pep chromosome:v.1.0:1:30508597:30510379:-1 gene:Al_scaffold_0001_5049 transcript:Al_scaffold_0001_5049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KP00] MKYSFAGILRCHALKVLDKKDVRRIPSSYILNGWSKEAKSRNISSYRSETFNGTVTQSIGKSYSHLCHNFREIASVAAEHVELTMCANEDASNAWMLPTSNVEHVEREEEDEEVLNQTDITDRAILLTSATTTSNPTVQTGSFSSQVFQGVDELGSPLGVNLRIILSQEKSLPSCSCRAAPSPKAREIVVLSRRFTPAMWYDFTTLSFHRSLDLLKELSAIDISNLHSIKCIGW >Al_scaffold_0001_5051 pep chromosome:v.1.0:1:30525928:30526251:-1 gene:Al_scaffold_0001_5051 transcript:Al_scaffold_0001_5051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KP01] MPSSRNYLTLCIMQQTTYCLQLDTKTDFPNKIEDGQGKLLVSVEEASFTSDEHQQQVNNLEENHQAEARDHQDHLFSSHHEPWPMFIAQAGCSITTLTNPSPSPTFY >Al_scaffold_0001_5055 pep chromosome:v.1.0:1:30571476:30574277:-1 gene:Al_scaffold_0001_5055 transcript:Al_scaffold_0001_5055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT1G54450) TAIR;Acc:AT1G54450] MESITLDIELLQLPETSPMSMKSNQDFVKKLFDQWLALPETNRLVTSLVNDAKAGVALNVMCGGGSSGTNSGSNSPLASMFPARNGPPLSPRNSTGSPRITRQRTGLSNLSSPLKVVSDHVKELIPQFYFEDGRPPPNDLKEQCIAKINSLFYGHEDGLQLQEFKLVTTEICKVPSFFSTTIFKKVDTNNTGFVKREDFIDYWVKGNMLTKEITSQIFTILKQPDHKYLVQDDFKPVLQELLATHPGLEFLQGTPEFQDRYAETVIYRIYYYINRSGNGHLTLRELKRGNLVDAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYSNHALTYRIVDRIFSQVPRKFTSKSEGKMGYEDFVYFILAEEDKSSEPSLEYWFKCIDLDANGVLTRNELQFFYEEQLHRMECMAQEAVLFEDILCQLFDMVKPEDEGFICLNDLKGSKLSGNVFNILFNLNKFMAFETRDPFLIRQERANPTWTEWDRFAHREYIRLSMEEDVEDASNGSAEAWDDSLEVPF >Al_scaffold_0001_5057 pep chromosome:v.1.0:1:30615066:30615415:-1 gene:Al_scaffold_0001_5057 transcript:Al_scaffold_0001_5057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KP08] MAQREILELSVTMLQTTHVTPLETHNNILPDYYSDTRGQTSPPTITLRAGVNSEESPLPPEYQLDKAKLVAESSQMVNRWRTGCA >Al_scaffold_0001_5065 pep chromosome:v.1.0:1:30723167:30723824:-1 gene:Al_scaffold_0001_5065 transcript:Al_scaffold_0001_5065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KP14] MYGFLLALQLLAYQNISGLLDKISGSSDPSNLHGMAFNSRKNLSLNEVHLVDRVPDETPSKSKASTSRQGKKRKFELIDDAQPDDIKEWVNSEFVAVRHELAETIKKLTA >Al_scaffold_0001_5070 pep chromosome:v.1.0:1:30754483:30755424:-1 gene:Al_scaffold_0001_5070 transcript:Al_scaffold_0001_5070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KP18] MDPQENLRTCKHKSIDLCRFVNVQTGSKKIDETAEHLFFNCNYVSQIWIQYTCKNPLIPGHVPSFRSALEASKFMTCLPPTSLGGGPLFPSIIWSILSARNTDIQQSTTGLADYYLKSQHRLPLKRPAESRLHPNLIIYNTYVTWKDNNSSEFGWIFSTKSGRKVDYGSTLAPIISSPLMAEATVVFLAIQHAHNLGVNHLYIASDSSQLIKALHSEVQHKELHEILNDIFSISFNFVVIS >Al_scaffold_0001_5071 pep chromosome:v.1.0:1:30757322:30757707:-1 gene:Al_scaffold_0001_5071 transcript:Al_scaffold_0001_5071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KP19] MAVTEEFSTHMIKMKATKKKPTDDTTSQDPKNLLHQHNPTSSFDEDAIGEEAGGKGKIMCSASSSKQIQSL >Al_scaffold_0001_5075 pep chromosome:v.1.0:1:30778419:30778856:-1 gene:Al_scaffold_0001_5075 transcript:Al_scaffold_0001_5075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KP22] MAGGMAGGGFCPRECLGRCSNKSFVIVGKLVMKNAWEGVVTKREKITATLKKEEEFEHPVLYSFLQDPF >Al_scaffold_0001_5078 pep chromosome:v.1.0:1:30834028:30834609:-1 gene:Al_scaffold_0001_5078 transcript:Al_scaffold_0001_5078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G54620) TAIR;Acc:AT1G54620] MFCYVIRKKKTMKFLIYLVVFVLLFNGLTANRVENSLVQKYCNQSSQYGERHFYKFCIASLEENVESRKARNVDDLTLIGTNNALSNLTNVKRIVEKILNERKYKSKLSKKLLQNCLKLYSEGYEALTSALKYIKMHDLLKLSFRLKKAKEAASICEMGFNGDNQQISPVMKENEVLFDVINIPYMFNFNRHR >Al_scaffold_0001_5081 pep chromosome:v.1.0:1:30843972:30845742:-1 gene:Al_scaffold_0001_5081 transcript:Al_scaffold_0001_5081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G54650) TAIR;Acc:AT1G54650] MEKRDEAGEYHSKDFEWEFLQNIVENDPSLSHHLHHHQDFSNYSPNSQPWQDFHSRHSSGKFFKERRYLLKEFPELVSCGENSKLLEIGCGNGSTVLPILRGSKNITVYACDCSSEALVRTKENIDRAIDLVDNFRSFCCDFSTSEFPNWVACDHCRDKFMVNHSGRSEGMQVNYKCLLNEHCIGGVEFVTLIFTLSAVSKERMPRAIKECFSVLKPGGLLLFRDYGLYDMTMLRFEPEKRVGFREYVRSDGTLSYFFCLDTARKLFTDAGFIEVELEYCCVKAVNRRKGKDMYRVWVHGKFQKPFYN >Al_scaffold_0001_5082 pep chromosome:v.1.0:1:30852719:30853272:1 gene:Al_scaffold_0001_5082 transcript:Al_scaffold_0001_5082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPY1] MKKKPLSPTENNVPESNPPKRNRGNEPYTRLRNISQFNLPIIRNGSVPLSTAFGSAFRDITNLPHPQVSPIENSADANTSSSAKQNSKNQGSLRGKILVPCSPYTRPNPLLTPSGLSAMTNTSQSLFSRTPTTPIMPPPSVTPKRIGG >Al_scaffold_0001_5088 pep chromosome:v.1.0:1:31043841:31046223:1 gene:Al_scaffold_0001_5088 transcript:Al_scaffold_0001_5088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KPY7] MTQKKDQQWSAAIVIKPRGKLLLNSDSDFTAMQHESDDPIVPVDSLQVGLPGDYGFDPLGLSDPEGTGGFIEPRWLAYGEIINVLADPVNNNVLTSLKFH >Al_scaffold_0001_5091 pep chromosome:v.1.0:1:31073824:31074196:1 gene:Al_scaffold_0001_5091 transcript:Al_scaffold_0001_5091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KPY9] HQPTLVFYGRLTKKNRKATLADELVSDPKTSQYRKRKVREIEEKSRAVTNKKWKKKGNQSKNTKQTRN >Al_scaffold_0001_5095 pep chromosome:v.1.0:1:31101158:31102472:-1 gene:Al_scaffold_0001_5095 transcript:Al_scaffold_0001_5095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPZ3] MFPIIPPLVFRYHQNFPYMLLTIVANFVFTSGGPSKTNDSDGGSSQKDGTSGDNECTSSDTGDYANDEAKSFKMLNFSFMQSNFGYQETVNSVSVPTNPTTEDNHTALSVDNISMTQCEQQLEGSLSVPKKDNGQQVIQGTPSERESNEDGHGGGLVDQMMTLSTEDASQEDNPVEEAPPPVSAASFAPELEEHAINPDAIDEGI >Al_scaffold_0001_5097 pep chromosome:v.1.0:1:31123680:31130286:1 gene:Al_scaffold_0001_5097 transcript:Al_scaffold_0001_5097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G54820) TAIR;Acc:AT1G54820] MNNTIVKMQNKSHSHHHPSSRSIHERNSHGLISINAMIIIGISIISIFIIFAILLIILLLHRLKSARDKAQELSCKESFNNMNNGGTSTNYSYTSSPDDIKRDCLYSRNPTSFRQLPQQTKSCRRSRAEGVEVYTYKELEIATNNFSEGKKIGSGGYGDVYKGVLRDGTVAAIKKLHMLNDNASNQKHEERSFRLEVDLLSRLQCPYLVELLGYCADQTHRILIFEYMPNGTLEHHLHDHSCKNLKDQSQPLDWGTRLRIALDCARALEFLHENTVSTVIHRNFKCTNILLDQNNRAKVSDFGLAKTGSDKLNGEISTRVLGTTGYLAPEYASTGKLTTKSDVYSYGIVLLQLLTGRTPIDSRRPRGQDVLVSWALPRLTNREKISEMVDPTMKGQYSQKDLIQVAAIAAVCVQPEASYRPLMTDVVHSLIPLVKAFNKSTESSRFPSRRESLSFDDIMP >Al_scaffold_0001_5101 pep chromosome:v.1.0:1:31139345:31140231:-1 gene:Al_scaffold_0001_5101 transcript:Al_scaffold_0001_5101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoprotein membrane precursor GPI-anchored [Source:Projected from Arabidopsis thaliana (AT1G54860) TAIR;Acc:AT1G54860] MVLITKMSLSFYIIHLLIFSLIPTCVICNQAEDNLLQGLNSYRTAQRVPPFAKNEKADCVADEIADKLEDQPCTNHTTASTVTPGSVPPRLTNYQDILSECKIDPNTTRDALILPVCIPNRIPTLALTNYTQTGYARYLNDSRYVGAGVGSEKEWMVVVLTTSTPGGSFTAGVAAGEATSVRVMAGLGLMGLLFSCLVLF >Al_scaffold_0001_5105 pep chromosome:v.1.0:1:31159493:31163043:-1 gene:Al_scaffold_0001_5105 transcript:Al_scaffold_0001_5105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ03] MSSRHTRLRGGRPWRFSSSARPSNNLYGNNDGSSEDSVIPSDYVRRMLEGSQSESSMAMSPSVTSIESIPTREMEGSDSSVETDPREDLDEFEDDDVETSAESDEVVPLVALGGSFENVLITDFVVLSDDSDGDSSEESKEQMAIGFLFGDSEIDEPMWEVPDGVLYEFNSDDSWITRQALAYNMVSPDVEFATPPSPPTLNFAEISSDSELSDADELGSGVPFSKSVKTEISRMSISPKRFASRTWYDGYDGATSEMFHISSEDEEVDEEVDEEVPDPTFECDTLPDTLPLVEEPGVLFPPDESIREPVTLGSSSMATIFENMIAAGTLGIEPIRPPEGIPVWNERIRKSVDSTVVTEIEREDGRRSEPYSVTPFWFTCGQDGHYPKACLYVRHYHPYARPYVICYECGGEGHYATVCPIKRPENPGPSSPSPKEKCGDEMLKSIVMCECTWKIRRDILGFGVHDRGDFRRVLDQGSQSKYFMATSPPVFSIESILTREMERLDSFVETDPSEDLDEFEDDDVETSAESDEVLPLVALGGNFGNVSITDFVVLSNDFDGDSSVESEEQMATGPDGVLYEFNSDDSWQPGVHPNPYHYPPTPDSLLLLDSFSSMSLGTDFGVSDAPSEEYGIPRHALAYNMVLPDVKFATPPSPPTLNFVEISSDSELSDADELGNGEPFSNCVKSEMPRMSILPRRFASRTWYDGYDGATSEMFHMSSEDEGVDEEVDEEVPDPTFECETLPDTLPPVEEPRVLFPIDESIREPMTLGSSFMATMIENMIDVGSHIIESIRPPEGMPVWNERIRRSTDRTIVTEMEREDERRSEPYSMTPFCFTCGQDGHYPGPCPYVCHYHPYARPYVICYECRGEGHYATVCPKKRPENPGLSSPSPITSSKKKKNLSIN >Al_scaffold_0001_5107 pep chromosome:v.1.0:1:31187979:31191257:-1 gene:Al_scaffold_0001_5107 transcript:Al_scaffold_0001_5107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ05] MTIPHELDLATSHDRGNNDGSSDDSVIPSEHVRRMLEGSELESFMATSPSVTSVESIPTREMEGSDSSAETDPSEDLDENFGNVPIIDFVALSDDSDGDSSEESKEQMVTGFLFGDSEIDEPMWEGPDRVLYEFNSDDSCSMSLGTDFCVSDAPSEGNASRDSDCVMFMPPEYGIPRQALAYNMVSLDVEFSTLSSSPTLNFVEISSDSELSDADELGNGVPFSNCVKSEMSRMSILPRRFASRTWYDGYDRATSEMFHMSSEDEGVDEEVDEEVPDLTFECETLPNTLPPVEEPGVLFPLDESIREPVTLGCSSMATMIENMIFVGTLGTEPIRPPEGMPIWNERIRRSPDGTVVTEMEREDGRRSEPYSVTPFCSLAAKMVITRELVLMLVTIILMLARSVQRTLVRQAQARVRPRRRRKTLVLTRTTLNLQIVGFQREEKYGDEMRKSIVMCECTWKYKREILCCDISSRHTRLRGGRPWRFSSSAGPSNNLYGNNDGSSDDSVIPSDYVRRMLEGSQSESSMAMSPSVTSIESIPTREMEGSDSSVETDLREDLDEFEDDDVETSAESDEVVPLVSLGGSFKNVLITDFVVLSDDSDGDSSEESKEQMATGFLFGDSEIDEPMWDGADGVLYEFNSDD >Al_scaffold_0001_5114 pep chromosome:v.1.0:1:31340928:31341358:1 gene:Al_scaffold_0001_5114 transcript:Al_scaffold_0001_5114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ13] MRFDDAGVPQVRVNMRLLTPFQVIQQIVADVEDVFVDLDLDHDGRLDVEDTFKAIFGLRYSFNGEQASQLIAEMDEDGDGFVTLKELKRRFSPPYGSSAIWSAFVHASIKYNH >Al_scaffold_0001_5117 pep chromosome:v.1.0:1:31368230:31368893:1 gene:Al_scaffold_0001_5117 transcript:Al_scaffold_0001_5117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ16] MVEKKRRRREEEEEDKKKRENYVEKIWISKRNGKVVAKSSGDATRWMAITKVDIDIWLNHFDPIKTKTGR >Al_scaffold_0001_512 pep chromosome:v.1.0:1:2056506:2057177:1 gene:Al_scaffold_0001_512 transcript:Al_scaffold_0001_512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic protein of unknown function (DUF842) [Source:Projected from Arabidopsis thaliana (AT1G05740) TAIR;Acc:AT1G05740] VDHKAVAEEQIVLERIRRKIEEVNASGQSQLSPIQEHISFTLLIDAPLNNDELEQAYFKCANECFEKRRKQEVTTNCVELCRVPVVNSQQQFDSDKAKFQDRMNRSLMVCQDKFEAAKLLNMNRIDAAKDMEGCVNDAAAALLGG >Al_scaffold_0001_5125 pep chromosome:v.1.0:1:31412081:31412245:1 gene:Al_scaffold_0001_5125 transcript:Al_scaffold_0001_5125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KQ23] VRRSWSGPRQWVNSSTKSNRFNGILRDGCDFYIHTKWQSDKILQHRNRTIEPLPM >Al_scaffold_0001_5127 pep chromosome:v.1.0:1:31415807:31416829:-1 gene:Al_scaffold_0001_5127 transcript:Al_scaffold_0001_5127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G54980) TAIR;Acc:AT1G54980] MLTNHDKQKFLSIALQVAFLLQFLVVSSSTLNSTKYIDKLCEMPSIDDKAFCLQTLSAYPLAASATGLLPLAEVVIRGIDIPYAKLLVKSADRAAEKVPALKEQFKACRDAYFLIVMSLKSAASELKISPETANYDAMVCFDQTTLVQKLIGKNKDLTSKSLMEMTLRMDKLIRLAIGATEVVGG >Al_scaffold_0001_5132 pep chromosome:v.1.0:1:31465043:31467060:-1 gene:Al_scaffold_0001_5132 transcript:Al_scaffold_0001_5132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ28] MSKQKFSDINLRREHRSEVREEDGGATSLQPSPTELKKPIEGGEGVEEEVPMLSPVLEADEEENEEEESGNDEEENEEGRGNGEDGGETSGSSTTLLDSSSDESIKDEIANGNRVKNAAEMNRKTLRYLGKTGRTENTIMCDIHVELGNTVLYFLTSIVIGHTKTE >Al_scaffold_0001_5134 pep chromosome:v.1.0:1:31469769:31470085:1 gene:Al_scaffold_0001_5134 transcript:Al_scaffold_0001_5134 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant defensin 1.5 [Source:Projected from Arabidopsis thaliana (AT1G55010) TAIR;Acc:AT1G55010] MAKFSTTITLILAALVLFADLEAPVIVKAELCKRESETWSGRCVNDYQCRDHCINNDRGNDGYCAGGYPWYRGCFCFFSC >Al_scaffold_0001_5136 pep chromosome:v.1.0:1:31515382:31520795:1 gene:Al_scaffold_0001_5136 transcript:Al_scaffold_0001_5136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ33] MYEPDLPVSPSREVSSSQIKQQSYASVVNKRPTLKKHDFEVSPSKVKTVEIEEGEVVEEVVQEKTESSVLVLESVKQVSIDSESGKLGSAEEPQNTVQEQVTDPETQAPMHDTEGWSSVSPRKSCKSNGKDSSPLKFGQVTILSASRFSVLNDTAEETDDQADVRTSVVGISEEEMGKTSEAEMSKNKDEILDNVSKQITVTEKTSSTRATLPRNSKNRQKSTSESLSQQAKMQCTLESKLPQTEWVIFFL >Al_scaffold_0001_5137 pep chromosome:v.1.0:1:31544323:31551475:1 gene:Al_scaffold_0001_5137 transcript:Al_scaffold_0001_5137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ34] MASPSSLKSRNYRFNIFSSFHGPDVRKSFLSHLRKQFNYNGITMFDDQGIERSETIAPSLIQAIRESRILIVILSTNYASSSWCLNELVEIMECKKVMGQIVMTIFYGVDPTHVRKQIGDFGKAFSETCSRNTDVEMRKWSKALTDVSNILGEHLLNWDNEANMIEKVAGDVSRKLNATPSRDFADMVGLEEHLKKIEFLLHLNHDDGAMIVGICGPAGIGKTTIARALHSLLSSSFQLSCFMENLRGSYNSGLDEYGLKLCLQQQLLSKILNQNGMRVYHLGAIHERLCDRKVLIILDDVNDLKQLEALADETRWFGPGSRIIVTTEDQELLQQHGINNTYQVGFPSKEISLKILCRYAFRQSFPHHGFKELALRLTKLCGNLPLGLRVVGSSLRGKKEEEWEEVMCRLETILDHRDIEEVLRVGYESLHENEKSLFLHIAVFFNHKDGDIVNAMLAETNLDIKHGLRILVNKSLIYISTKREIVMHKLLQQVGRQVIHRQEPWKRQILIDAHEICDVLENDTGNRAVSGISFDTSGIAEVIISDRALRRMSNLRFLSVYKTRYNGNDRVHIPEEIEFPPRLRLLHWEAYPKKSLPLRFCLENLVELYMRDSQLEKLWEGAQPLTNLKKMDFSSSRKLKELPDLSNATNLKRLQLNGCTSLVEIPSTIANLHKLEDLVMNSCVNLEVVPTHINLASLERIYMIGCSRLRTFPDMSTNISQLLMSETAVEKVPASIRLWSRLSYVDIRGSGNLKTLTHFPESLWSLDLSYTDIEKIPYCIKRIHHLQSLEVTGCRKLASLPELPSSLRLLMAEDCKSLENVTSPLRTPNAKLNFTNCFKLGGESRRVIIQSLFLYEFVCLPGREMPPEFNHQARGNSLTIINEKDCSFSGSSKFKVCVMISPNHHHHTKENRELRLKYGIIGKSGYRYPIFIVHPRESPGIRTDHLCIFHCDFPGEEILLDVGSKILFEFSSRYCEIIECGVRILTKDEEGSNNNRSNKYRLDQVSEDKDNWSYEFEPGESILDKVSDEEEDNVEGKEHTNCWSWLFACFNLFHIGCLGWGTKR >Al_scaffold_0001_5138 pep chromosome:v.1.0:1:31555472:31556135:1 gene:Al_scaffold_0001_5138 transcript:Al_scaffold_0001_5138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ35] MTLFCDEVGVRKRPINLMKKKQWWRKIQGEKLHSIVEVIWIKVTMVVTEVPIVDTNEESKVTYLVKAVVVEVDTMVVVTEEEVMMEKIRPQIRPMVVEIKEEKEKII >Al_scaffold_0001_5139 pep chromosome:v.1.0:1:31570898:31577887:1 gene:Al_scaffold_0001_5139 transcript:Al_scaffold_0001_5139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ36] MALELVSFGIQKLWDLLSQEYEKFEGVDDQVIELKRELNLLSSFLKDAYAKKHTSAVVRNCVEEIKEIVYDAEDIIETYIIKEELGKTSGIKKSIRRIACIVSDRRENALDIGGIRKRISNVIRDMQSFGVQQIIADGGYMLHVHDRLREMRQTFPRDYESDLVGLEESVQKLVTYLVEEDDIQVVSITGMGGVGKTTLARQIFNHGTVKHKFDGIAWVCISQEFTRLHVWQAILQNLRPREGKDGILHMQEAILQGELFRLLETSKSLIVLDDIWKEEDWDRIKPIFPPGKGWKVLLTSRNESVAVRGDATYINFKPQCLTVEESWKLFQKIAFPRKDLFESMVDKEMEEMGKQMINHCGGLPLAVKVLGGLLSTKYTLHDWKRLSKNITSHIVGKTDFNDNNDSSVYYVLSLSFEELPIYLKHCFLYLAHFPEDYPINVEKLSYYWAAEGIPKPRYYDGATIRDVADGYIEELVTRNVVIAERDIRTLRFVTFHLHDMMREVCLAKAREENFLQLSVDSSPMAHSQSPCRSRRLVFHGPTKLHITRDIKNPKLRSLLVMSGIRFPHSPVMQETRCMLSSLSFTRLQLLRLLDLSKSKFEGGKLPSSIGNLIHLRYLSLENSMVSHLPYSLRNLKLLIYLNLDVKWDSVIYMPDFFMGMRELRYLSLPWALSKKTKLDLSNLVNLETLKNFLTRNCSFGDLHGMTNFKTLEISFYHGMSVETISSSVGGLRNLENLTIIDHRANRFDANIIKGFVLDCIHLNKLDLKIYMPKLPEVQHFPSHLKTITLTECCLEEDPMPILEKLFQLKEVHLKYQSFCGKRMVCSGNGFPQLQFLSIFELKEWEEWIVEEGSMPLLHTLTIWSCGKLKELPDGMRYITSLKELYIGMMQTEWKERLSERGADFYKVQHIPSVYLQRY >Al_scaffold_0001_5149 pep chromosome:v.1.0:1:31665218:31665635:1 gene:Al_scaffold_0001_5149 transcript:Al_scaffold_0001_5149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ42] MAIAFLSAERSKDPNRHVGACLVSQNGVILGIGYNGFPRGCSDDKLPWAKVLRSFDIQECLHKLSTKTH >Al_scaffold_0001_5153 pep chromosome:v.1.0:1:31712028:31712402:-1 gene:Al_scaffold_0001_5153 transcript:Al_scaffold_0001_5153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ47] MLKPYHEDTKNQSRGESNQAPPIMTNSFFKEIEEVLSSEVVRKRGLPRRTHYLIKWKDLPESEASWEPEEELWKFQDQHKAFTTTRTSPNQVGKMSRPILLNIPPGRTTRTFYTFMGFFLHFMA >Al_scaffold_0001_5156 pep chromosome:v.1.0:1:31764207:31765143:1 gene:Al_scaffold_0001_5156 transcript:Al_scaffold_0001_5156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAX9] MEKRKVAPALPNKPRRRGLFGQVDTADEACHERELCAPEEREQLKNITTMLQYIGGSEPSRRCAEFAHDKPRWWVYLTVNVARDAAHIRKMSLDNCPDPGEEYLHGPDRRNISCGFEDGRLGPCLSDGAVQL >Al_scaffold_0001_5167 pep chromosome:v.1.0:1:32106818:32108374:-1 gene:Al_scaffold_0001_5167 transcript:Al_scaffold_0001_5167 gene_biotype:protein_coding transcript_biotype:protein_coding description:drought-induced 19 [Source:Projected from Arabidopsis thaliana (AT1G56280) TAIR;Acc:AT1G56280] MDADSKRFLATLRSRSEMLMGFEEIDGDDDFQEEFACPFCAESYDIIGLCCHIDDEHTLESKNAVCPVCSLKVGVDIVAHITLHHGSLFNLQRKRKSRKSGTNSTLSLLRKELREGDLQRLLGFTSRNGSVASSGTPDPLLSSFISPTRSQSSPVTRETKKVSEEKQIERKRQVCISPVSSKDREERRQKSEFVQRLLSSAIFDMV >Al_scaffold_0001_5170 pep chromosome:v.1.0:1:32126744:32129306:1 gene:Al_scaffold_0001_5170 transcript:Al_scaffold_0001_5170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G56310) TAIR;Acc:AT1G56310] MGLDSEEEAELVVIKDEKAEANTVCLHSFSDLTYVSPVVFLYLLKECYKHGSLKATKKFQALQYRVHQVLANKPQPGPATFIIKCLTVLPMFGVYGEGFSHLVISALRRFFKSVSEPTTEEDMSSARKLAAQFFLATVGGSLTYDEKVMMHTLKVFDVGLTSIDEALSVSEVWQGYGFASGNAFLEQYISDLIKSKSFMTAVTLLEHFSFRFPGETFLQQMVEDKDFQAAERWATFMGRPSLCILVQEYGSRNMLKQAYHVINKNYLQQDFPELYHKCKESALKVLAEKACWDVAENKTKGDRQLLKYLVYLAMEAGYLEKVNELCDRYSLQGLRKAQEAEVAFVQKSFLRLNDLAVEDVVWVDEVNELRKATSFLEGCRVVGIDCEWKPNYIKGSKQNKVSIMQIGSDTKIFILDLIKLYNDATEMLDNCLSQILQSKSTLKLGYNFQCDVKQLALSYGDLKCFERYDMLLDIQNVFKEPFGGLAGLTKKILGVSLNKTRRNSDWEQRPLTQNQLEYAALDAAVLIHIFRHVRDHPPHDSSSETVQWKSHIVSTSYKSPYLLSGNSRR >Al_scaffold_0001_5174 pep chromosome:v.1.0:1:32136759:32140072:-1 gene:Al_scaffold_0001_5174 transcript:Al_scaffold_0001_5174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KAZ6] VAAEKGPNWNELELALNSCRRSWSLEKRKMLGLLFVVHYDRTSYAIHGCPHVLNIWAFDSIPHLRKSYGNKAPDDGDGNEDEDEPEPVPLLQWNESGFDDVPEIEKKTVKRKKAVDSEDDFVDPPTKRKAETEKWGRSSEEHGMERLFKMIGSLSTEMKTLNTDFVAGLEKVDNKCEALKKSVTDLQGDVEKLRKKAEEKNDDIEEESANGSKEGIGTNDESWHKQEKQTSQEGFLISVVVRKAKGKGKRKAGSRSPLPFPEEKLADWDRRDTRSSGGVMSNSMLHNLKNFPLGRCINNKPSSPKKRLTSLSEKKKPEPDLDSEWSDPKEKEKDKKLTATIDKMVELCSKEDFVPRPCRSRNLASTQLDPYIGSSIVKRILRGKILSHAAYDPFEPVTPEKMDNLEPFIDHDLENPIDSTNSSAMFYLKIMTQKEQWLVGELEYGWLTDVVYNGKSPNNGKTYKKWVKDIDILYLTHNIGKYHWVTLEVNLAMRRIKVYDSICSCYSDGQIYEACEKFTRMIPALIQVMSPVEERKKLGAAAFSIYRVKTAPQNYQTGDCSVYSIKFIECLAIGISFEGLCDSAMPCIRLKLVVEVFDEVPDSGCFVQMLHPRGDDTYRRCRVHLPERPFLIYS >Al_scaffold_0001_5178 pep chromosome:v.1.0:1:32186602:32189364:1 gene:Al_scaffold_0001_5178 transcript:Al_scaffold_0001_5178 gene_biotype:protein_coding transcript_biotype:protein_coding description:calreticulin 1a [Source:Projected from Arabidopsis thaliana (AT1G56340) TAIR;Acc:AT1G56340] MGKLNSKFISIILFALVVIVSSEVIFEERFDDGWEKRWVKSDWKKDNNTAGEWKHTAGNWSGDANDKGIQTSEDNRFYAISSEFPEFSNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKNFGGDTPYSIMFGPDKCGDNTKKVHAILTYNGTNHLIKKEVPCETDQLTHVYTFVLRPDATYSILIDNVEKQTGSLSSDWDLLPAKKIKDPSAKKPEDWDDKKYIPDPEDTKPAGYDDIPKEIPDTDAKKPEDWDDEKSGEWTTPTIPNPEYKGVWKPKKIKNPNYKGKWKAPMIDNPDFKDDPELYVFPKLKYVGVELWQVKSGSLFDNVLVSDDPEYAKKLAEETWGKNKDAEEAAFEEAEKKRQEEESKELLAEVESDDKEEAENDDNEGDDSDNKSKFEETKEFDAAHDEL >Al_scaffold_0001_5184 pep chromosome:v.1.0:1:32268756:32269141:1 gene:Al_scaffold_0001_5184 transcript:Al_scaffold_0001_5184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KB06] MVSIMKITIIMVLLVVGVSAKTVEECKRTTCATKCHDSKSFACSDCLLHCAFPGSESKISQARAFCLRDCDVACQPSNDCYQRCIKHCPPKPLI >Al_scaffold_0001_5186 pep chromosome:v.1.0:1:32279199:32280035:-1 gene:Al_scaffold_0001_5186 transcript:Al_scaffold_0001_5186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KB09] MSIRKNSYVLPFGRFMSGVCRWYFRFVTGEFPPTQWYGGFTLVFDPGIHDVTTLLKRFGLLRYQIRSKDINSGIDDFLMTLPVGYIQETEKNRFFLVMMHLNLQKQNLRIMDSQMPHTWVVGNDDVDHVVGSNTSQVGSLREETMNLLLCSDLWSSRVIFRDLSKSGKAISSKNTVETTTMVILFVILLKRITESSSFRKTLVRTLWYHCVYISEFFMDTSGLKLRFAKIKFSYKLLHANRNREKSNMKLDNNGSYGRLASKTTLRKASSISLLLYFS >Al_scaffold_0001_5191 pep chromosome:v.1.0:1:32333310:32334040:1 gene:Al_scaffold_0001_5191 transcript:Al_scaffold_0001_5191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KB14] MERGKRVMQPEADFDLNMVPHEAAFDLNMEVPKADSDLTIKSMDEKEDRIITMEEKTRICEIEAGLDHVMVTSKKEKERKKELKKKLIEYIEEYDIPIETKKVEKIEDPNILCESIIFLKELMNMDPKVSSPQSAFSNDKKNQ >Al_scaffold_0001_5193 pep chromosome:v.1.0:1:32339735:32344352:1 gene:Al_scaffold_0001_5193 transcript:Al_scaffold_0001_5193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KB16] MSSRASMDQMSVRHFSAMIDKIARDISDKLNATPSRDFDGMVGLDAHLKEMESLENYKIGFGEYGLKLRLQEQLLSKILNQNGMRIDHLGVIQERLHDQKVLIILDDVESLDQLDALANMRWFGAGSRVIVTTENKEILQQHGVSATYQVGFPSEREALMIFCLSAFRQTFPPHGFIALADEVATICGNLPLALHILGSSLRPCGLDGKLESVLKVDYKSLHEKDQALFLHVAIFFNHEHVDHVASMLAKSNLNVRHGLKVLANRCLIQIDHESKVVMHRLLQVMARQVISRQAPWKRQILVYVSIDLEENSELMISARAFQRMHNLFFLKVYNAGRTGKRQLYVPEEMEFPPRLRLLYWDAYPRKSLPRRFFAENLVKLNMKDSELEKLWEGTQTLANLKEMDFTLSSHLKELPDLSNAINLERLNLSACSALVELPSSISNLHKIAELQMVNCSNLEVIPSLINLTSLNSINLLGCSRLRRFPDLPINIWTLYVTEKVVEELPASLRRCSRLNHVNIQGNGHPKTFLTLLPTSVTNLELHGRRFMANDCLKGLHNLAFLTLSCCDRLTEARRAIIQQLFVYGLAILPGRAVPAEFDHRARGNSLTVHHSAFNRFKLANIDDPSEVSKMIVIQFSARDKNFDIIECAWLLYRCIFISNSVNSTDMTFDLSDGVSSVDFKIWPLLNEESGDKDDHRVTYDSGDASDNKENHDDISKSVSGEAFDKEDDEEGYKSKSGEAFKDKDDSIIEDGDYESESSTHPRKTTMTSSTKNMKKWLISLFLISYYVSLNCFVSPFCFGFHMSNVCSLEIG >Al_scaffold_0001_5196 pep chromosome:v.1.0:1:32357162:32358044:1 gene:Al_scaffold_0001_5196 transcript:Al_scaffold_0001_5196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KB20] MYHCLKRISMLAFKCHKGSNKSSISMPSTMIIDDFPKPQIQEKKREGRQSLRIRDRACGIEAEPGNQLHLRTVNERYISVGRLSPKSEPAEHSFVGECAMGQACIKLELPPPRLGSL >Al_scaffold_0001_5201 pep chromosome:v.1.0:1:32387793:32394095:1 gene:Al_scaffold_0001_5201 transcript:Al_scaffold_0001_5201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KB25] MATSPSSSRTWEYNVFTSFHGPDVRKTFLSHLRNQFNQNGITMFDDNGIPRSENIPSALIQGIRESRISIIVLSKMYASSRWCLDELLEILKCKEDVGKIVMTVFYGVDPSDVRNQTGDFGIAFNKTCARKTKEHGRKWSEALDYVGNIAGEHNWGNEAEMIAKIARDVSDRLNATLSRDFDGMVGLETHLREMESLLNFDYVGVKIVGLAGPAGIGKSTIARALCSGLSNRFQRTCFMDNLMENCKIGLGEYSLKLHLQEQLLSKVLNLNGIRISHLRVIQERLHDKRILIILDDVENLVQLEALANISWFGPGSRVIVTTENKEILQQHGINDIYQVGFPSESEALTIFCLSAFRQTSPPDGFMKLTCEVVKICGNLPLGLHVLGSSLRGKSQADWIDELPRLKICLDGRIESVLKVGYESLHEKDQVIFLLIAIFLNYAHVDHVTSVLAKTNLDVSLGLKNLAKKYLIQRESSIVVMHHLLQVMATQVISKQERSKRQILVDANEICFVLEMAEGNGSIIGVSFDVAEINELRISATAFAKMCNLAFLKVYNGKHTEKTQLHIPNEMEFPRRLKLLHWEAYPKKSLPIGFCLENLVKFNMAFSKLEKLWEGTQPLANLKEMNLAVSTHLKELPDLSKATNLESLNLNGCTALVEIPSSIVNLHKLSELGMSTCESLEVIPTLINLASLERIWMFQSLQLKRFPDSPTNVKEIEIYDTGVEELPASLRHCTRLTTLDICSNRNFKTFSTHLPTCISWISLSNSGIERITACIKGLHNLQFLILTGCKKLKSLPELPDSLELLRAEDCESLERVSGPLKTPTATLRFTNCIKLGGQARRAIIKGSFVRGWALLPGGEIPAKFDHRVRGNSLTIPHSTSNRFKVCVVISPNDQYVKFMELELLCRCKVIGNSVNSSDMKFNLFRVFEYRTKHLLIFHSSLTFIDPSEVSRKIVLEFSSSNQVLYILECGVQILTEEEEDVDSITNEESDSESGEMSDKEDDEESYKSQSGEASEEKDEGISDDDNHKSLSRKRMRITSAPIAEVQIKFVVDGETPNLAA >Al_scaffold_0001_5203 pep chromosome:v.1.0:1:32402383:32404950:-1 gene:Al_scaffold_0001_5203 transcript:Al_scaffold_0001_5203 gene_biotype:protein_coding transcript_biotype:protein_coding description:cysteine-rich RLK (RECEPTOR-like protein kinase) 34 [Source:Projected from Arabidopsis thaliana (AT4G11530) TAIR;Acc:AT4G11530] MKLKSLFFPTFLIFLISLDSVSEQEICFNGFFKPNSTYDLNRRQILSTLASNVTAHNGFFNSKIAQDPNRVFIIGMCIPGTKPETCSDCIKGASEKISKSFPNQTDAYTWPDCCMVRYSNVSFSGSLVMEPSETLYHTGDIEDTGTNLTVFDRIWDELMLRTITAASLSSTHGSSFGQKYFGAEVASLTAFETMYAMMQCTPDVSSKDCEFCLKTSVGDYESCCRGKQGGAVIRPSCFVRWDLYPYAGAFENIALPPPPRSLYLSHRFLCLLRLAIGWKITFARDYGGNCCPIVVILVFLVVVLAVCRRRKSYKTTEVQAATDKFSDSNMIGRGGFGEVYRGTFSTGTEVAVKRLSKTSGQGAEEFKNEAVLVAKLQHRNLEFVPNKSLDYFLFDPAKQGELDWTRRYNIIGGIARGILYLHHDSRLTFIHRDLKASNILLDANMNPKIADFGMARIFGVDQSQANTRRIVGTFGYMSPEYAMHGHFSMKSDVYSFGILVLEVISGKKTSSFYNIDDSGGNLVTHAWRLWRNGSPLELVDLIIGESYQSNEATRCIHIALLCVQEDPADRPLLPAIILMLTSSTTTLPVPRAPGFCLGGRELDLDSLEYTQSTSWSIPCSINDASITEFDPR >Al_scaffold_0001_5204 pep chromosome:v.1.0:1:32412675:32413432:-1 gene:Al_scaffold_0001_5204 transcript:Al_scaffold_0001_5204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KB28] EVQVWTWERFRELQPKPNKLLQGQPRLGLWDDLKQQTRDVKQILENSKMDSFEWRPYTRTVTNWKFPKFYPEKAMCVTVCPSLDEEFISFARCIKVSELVGIKKVEHYFPNRVATQFGMLQDIPSLVDRNNLSREAAWNDYNKPINDLALNIPSRSAISCVSTLTFSEWWRKIQNSGLKITKTCLDKAFYGGEIANAYYLC >Al_scaffold_0001_5206 pep chromosome:v.1.0:1:32425158:32425912:1 gene:Al_scaffold_0001_5206 transcript:Al_scaffold_0001_5206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KB33] MVIGLWNSSVMKQRLDDQRVLIILDDEESLFPLEALVDITWFGPGSRVIVTIEKQKILEQHGINDIYQVGFPSEREALKIFCLSAFRQTFPPNVFIALADEVASNCGNLPLGLHVLGTSLRGKSQADWIDKLPRLENCLDARTESVVKVEDDEEGQRGMNLNLQKRQATKMRISSVNTNPYQGSV >Al_scaffold_0001_5207 pep chromosome:v.1.0:1:32429295:32430489:1 gene:Al_scaffold_0001_5207 transcript:Al_scaffold_0001_5207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KB34] MSKKIFCASNGQGSEAPAPRLKAQTKPPSALPRLALFKTQFMMANGKLVRVLIHTDVTKYLSFKAVDGSCVFVKGKILSLFVHPTIQNETTDNPE >Al_scaffold_0001_5212 pep chromosome:v.1.0:1:32528494:32528849:1 gene:Al_scaffold_0001_5212 transcript:Al_scaffold_0001_5212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KB41] MAITMRTLVAFVFTIFFIISFVHSRTTTPGYGMLFDAVACEGGFEYCPPGGGDAKCTAFCKTLPNKYDFGVCSKIYACCCHKNV >Al_scaffold_0001_5223 pep chromosome:v.1.0:1:32612456:32612929:-1 gene:Al_scaffold_0001_5223 transcript:Al_scaffold_0001_5223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KB52] MTLTTLYLSLINFECPLFIMQTGPWSRYRAMLFHRIIQNGLNLGLVPSEEQSPERISCLFVLLHAITDTARGSVVYFPSLASCTNSLSLSSLDETTGPDSIDTLLLYRKTEKACWKRVESES >Al_scaffold_0001_5225 pep chromosome:v.1.0:1:32639827:32640431:1 gene:Al_scaffold_0001_5225 transcript:Al_scaffold_0001_5225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KB55] MAKHVGKKFTWVIKNFSSLQSEKRIYSVPVLIGDCAEASSVEENMIVNGFHVLPSQVCRHPDIAVGFRAKSQHLRTTYMNFLLSLFETLCKSLQELTNEDLVDADISLTYLKYVSFKVDWLEKKLEQVKEKKEKEQSALAKLQELDAQVEEQKAELSVTRTPLSFDDIV >Al_scaffold_0001_5240 pep chromosome:v.1.0:1:32784591:32785009:-1 gene:Al_scaffold_0001_5240 transcript:Al_scaffold_0001_5240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KC48] MDKGRFPLRRSVAVTKSSWRCLPPRQRSLRNCTASCGAYKDSIRFMWIHVMRDVTFQISSPKANSLARNIVSSVTREGRFNSYMAIRGPAWLHNRIEEERRRCN >Al_scaffold_0001_5241 pep chromosome:v.1.0:1:32795213:32795860:-1 gene:Al_scaffold_0001_5241 transcript:Al_scaffold_0001_5241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KC49] MAGRRVPLELTMDDSSLCRINKVCGIARMIELIKGHPKLLLGLNVFLPEASKELCQSQTIGSTSVSPKLLKVNVPTNLISVEEAFDDEPEKYACRSIGSQMEAS >Al_scaffold_0001_5243 pep chromosome:v.1.0:1:32820195:32820830:-1 gene:Al_scaffold_0001_5243 transcript:Al_scaffold_0001_5243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KC50] MDLTRLIDPSLGSIISPFLGPPLLGFESACKAAVVHCKSKGKKITKLALQYSLVNKEISSVLIGMSSVSQVFKFRVPS >Al_scaffold_0001_5250 pep chromosome:v.1.0:1:32872611:32873959:1 gene:Al_scaffold_0001_5250 transcript:Al_scaffold_0001_5250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KC58] MVMRKLQLELPLNQTQKVRFKRAMERLQFLSSTTNNSVIVADSIHEDAFLLKGHGTSEVDGELMATVCGVVTHVDKLVYIRTLRARYKPEVKDIVVGRVIEVSKSCWRLELNSTKDGILKLSSMDNDVQRRKTYVDELNMRNIIVEDDVVCAEVGCIHRDGGLELRATSYKYGKLEKGQHLMVDPYLVKRSNIHFHYIESLGIDLIIGRNGFIWVGEHVQAQDHMILDDEMIKHTSLETRQSILRIGNAIRVLSNLGFTMTLEVIMETFNLSNAENIDVYDMLGSEFHVLVAENELERRIRRA >Al_scaffold_0001_5256 pep chromosome:v.1.0:1:32907847:32922744:1 gene:Al_scaffold_0001_5256 transcript:Al_scaffold_0001_5256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KC63] MASSSSLSLSFPSSSLSQTWEHDVFPSFHGKDVRKAFLSHILKEFGRKAINFFVDNEIKRGEFIGPELKRAIKGSKIALVLLSKNYASSSWCLDELAEIMKQESGQTVITIFYEVDPTDVKKQKGDFGKVFKKTCKGKDKEKIKTWRKALEDVATIAGYHSSNWVDEAAMIENIAAEISNKLNHLTPLRDFDCLIGMEAHMKRMEQYLRLDLDEVRMIGIWGPPGIGKTTIARFLFNQVSSRFQNSALIEDIKGSYPKPCFDEYNAKLQLQYKMLSRMINQKDIMIPHLGVAQERLRNRNVFLVLDDVDRLAQLEALANNVQWFGPRSRIIITTEDRSLLNAHGINHIYKVGFPSNDEALQMFCMYAFGQKSPKDGFYELAREITYLVGELPLGLRVIGSHFRGLSKEQWSMEISRLRTNLDGDIESILKFSFDALCDEDKDLFLHIACFFNNENINKLEEFIGQRFKDLSQRLYVLVEKSLISIERFLEYVSIKMHNLLAQLGKEIVRKESREPGQRRFLFDNKDICEVVSGYTTNTGSVVGIDSDSWLNITEKAFEGMPNLQFLRVVVYNFDHPNIISSSGPLTFISSKLRLIEWWYFPMTSLRFINNLEFLVELKMRYSKLEKLWDGIKLLRNLKCMDLANSENLKELPNLSMATSLEELNLEGCSSLVELPSSVGNLTNLQKLSLEGCSRLVSLPQLPDSPMVLDAENCESLEKLDCSFYNPCIHLNFANCFKLNQEARDLLIQTSTARLVVLPGCSRLVSLPQLPDSLMVLNAENCESLEKLDCSFSNPGTWLNFSYCFKLNKEARDLLIQTSSVNVVVLPCKEVPACFTYRGYGNSVTVKLNQKPLPTSIKFKAC >Al_scaffold_0001_5258 pep chromosome:v.1.0:1:32948323:32948775:-1 gene:Al_scaffold_0001_5258 transcript:Al_scaffold_0001_5258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KC65] MEVKGTGTANTCPDSVSKNATPEFQNTKLMTRLTYTLVEIEGPFEVDLDGSVNFKEEDGIDCAAVTVQLPGGECVPLLFILSNPKGRGGST >Al_scaffold_0001_5259 pep chromosome:v.1.0:1:32949175:32949999:1 gene:Al_scaffold_0001_5259 transcript:Al_scaffold_0001_5259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KC66] VYMRDLNSLKMKMTRNFYVVIAGYMAVYTTRKIQQTVSLHLQPKNQELTWRVLRPMPKIMVTKILAKDWDSIARKEKIEMLLNHVGYSPKISHRELVEMAKHRKELEKMAKPVLDTLRSYQDLPPFHVNDQAAIEMVKGRRSNIQKKL >Al_scaffold_0001_5260 pep chromosome:v.1.0:1:32953852:32957010:1 gene:Al_scaffold_0001_5260 transcript:Al_scaffold_0001_5260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KC67] MAFSSSSSSALSIPLSSSSQNWEYDVFPSFHGKDVRKTFLSHQLKEFGRKAINFFVDNEIKRGEFIGPELKRAIKGSKIAVVLLSKNYASSSWCLDELVEIMKKESGQTVITIFYEVDPTDVKKQKGDFGKVFKKTCKGKGKEKVQTWKKALEGVATIAGYHSSNWVDESTMIENIAAEISNKLNHLTPSRDFDHLIGMGAHMKKMEQYLRLDLDEVRMIGIWGPPGIGKTTIARFMFNQLSNNFQNSAFMVNIKGSYPRPCLDEYTAQFQLQKEMLCEMFNQKDIMISHLGVVQGRLGDRKVILVLDDVDRLAQLNALAKNVHWFGRGSRIIITTEDLRLLKAHGIDHIYKVNFPSNDESLQMFCMYAFDQKSPKDGFDGLAREITYLVGELPLGLKVMGSYFRGLSKERWSMEVSRLRTNLNGEIESILKFSYDALCDEDKDLFLHIACFFNGEKMRRVKEFLAEKFKDLSQRLDVLVEKSLISIEYNQYDYQRKHDSYVTMHKLLGQLGRKIASNSDLEPRQRQFLIETDISALLPGYTAITRSFIGIESKYGLNITGEIFEGMSNLQFLRISNDHGHRNIISSQRCLTFISPNLRLLYWSFCPMTCLSFTNDLEFLVELKMFCSTLEKLWDGTKLLRNLKRIDLSSSRYLKELPNLSMATNLTSLDVRGCSSLVELPSSIGNATNLEGLFLNGCSSLVELHCCPIPFAGSLDLSGCSSLVELPSFSHLTNLQKLSLKGCSRLVSLPKLPDSLMVLDAENCESLEKIDCSFCNPGLRLNFNNCFKLNKEARDLIIQRSTLEFAALPGKEVPACFTYRAYGSSIAVKLNQKPLCTPTKFKACILVVNKAEHEVGFKESGRVSCRINSKQKQSTDRYLFLTEHLYIFNVKAEEVTSTELFFEFELCVFNKTWGIKECGLLQTLGASC >Al_scaffold_0001_5263 pep chromosome:v.1.0:1:32997953:32999418:1 gene:Al_scaffold_0001_5263 transcript:Al_scaffold_0001_5263 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L16, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KC71] MLSPKRTRFRKQHRGRLKGISSRGNRICFGRYALQTLEPAWITSRQIEAGRRAMTRNVRRGGKIWVRIFPDKPVTVRPAETRMGSGKGSPEYWVAVVKPGKILYEMGGVPENIARKAISIAASKMPIKTQFIISE >Al_scaffold_0001_5272 pep chromosome:v.1.0:1:33080136:33081958:-1 gene:Al_scaffold_0001_5272 transcript:Al_scaffold_0001_5272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KC83] MLPGLLVQIPQIFDWTVLEANDLTFYLKGSWFTGTDLLLTADPKNIHHIFSLNFRNYPKGLDIKKIFDDLGDGILAADSELWEDLRKSSHTTFHHQDFLELSINLGEEVIFYRYLKPLILWKLQNGIGIGLERKLRTWMVTVNQMLAKIISSRREETKIVVVGIIGNYEFKIVEEITIDVNVFPSPRKSPSNRVEIYVKLERDDTCHDAPPFPITIINNMVSFDPHKTSSLLYSTFQDDLLRSRLCVEMALASEQVPAPFNMYLHGTVRFIGSSSSSSTQDNETCAICLEDMSEDVQDFQEMPNCPHVFHDNCIHKWLIDNTLCPLCRTVILEDDDDDNHPYYSS >Al_scaffold_0001_5275 pep chromosome:v.1.0:1:33087701:33090188:1 gene:Al_scaffold_0001_5275 transcript:Al_scaffold_0001_5275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KC86] MNTSYFKLSKPLEERMDKVARIRDKYPERFPVIVEKSGESDVPDIDKHKFLVPANLNAGEFVSVLRKQMKLRDQTEIFVFFKNTLMTPTTALMSEIYEKHKDEDGFLYMTYSGEDILCAAKTSLRIADSLTQEHPSGAILLESLPETWRPLLSTLEKLVSMSESDKEFKKRFEEILKRWTDAFCSLLICVSTKEDIPWVMKLKELTNFYVRNHLVQSLFPRLLLQIEDDVEDHQLQVVVSRTSLFKDSLNQVMAADPWDFHAGISIQFEYEEAEGDGVLREWLCLVCNNLFDPENKLFIPSPDDSRRFSPHPNPLMEENYLQKYRFSGRIISMALKHEMQVGILFDPLFFLHLAGKKLFSWKDLIHTDKELHKKYKEMLEMDAQEFDALQGYGLTFSGLCYDNDNRQVTSSNREEYITLIMHDRYFLRIRDQVLHFSYGVEDMIDEGVKADRFFSLLKLEDLDSMLRGSQYDVINVEDWNQYTDYVNYQRSDNVILWFWNVVSQMNQEDLHRLLCFWTSHRFLPRDGFQGLPRLSILRMDTPKESKNLYKPQSQTCCYSLRLPDYDTYKHTERAIMWITHEYTGFGEA >Al_scaffold_0001_5277 pep chromosome:v.1.0:1:33097763:33098834:-1 gene:Al_scaffold_0001_5277 transcript:Al_scaffold_0001_5277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KC89] MAAAGNKSINANLVLLGDVGAGKSSLVLRFVKDQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVFDVTNQASFERAKKWVQELQAQDNPNMVMALAGNKSDLLDARKVTAGQDKFLDTMWVS >Al_scaffold_0001_5285 pep chromosome:v.1.0:1:33129604:33129900:-1 gene:Al_scaffold_0001_5285 transcript:Al_scaffold_0001_5285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KC99] MCVFVPAFSVEEAEAKTLWDTCLVKITPKCALNIIAVVFGNGTLIESCCHDLVQEGKVCHDNLIKYIADRPSLVGRETQYLKKRDDVWSHCVSISKTA >Al_scaffold_0001_529 pep chromosome:v.1.0:1:2127137:2127764:-1 gene:Al_scaffold_0001_529 transcript:Al_scaffold_0001_529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KF90] MNRFCVNADEAFKYASEREEKQEERNKRLLKRGNSQQNHENLYGAPASSALRTPQNPPCSEPMVSITFALLSLTAESQKTTTKSPLNPYHRIETGLTASDVHQIQSRLLIPFNKLLRNDFLTTAEAQTISRAAVRKEDEEYIGV >Al_scaffold_0001_534 pep chromosome:v.1.0:1:2145905:2157643:-1 gene:Al_scaffold_0001_534 transcript:Al_scaffold_0001_534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KF95] MGVMSRRVLPACGNLCFFCPSLRARSRHPVKRYKKMLAEIFPRNQEAEPNDRKIGKLCEYASRNPLRIPKITEYLEQKCYKELRNGNIGSVKVVLCIYKKLLSSCKEQMPLFSCSLLSIVRTLLEQTREEEVQILGCNTLVDFISLQTVNSHMFNLEGLIPKLCQLAQEMGDDERSLRLRSAGMQALAFMVSFIGEHSQLSMDLDMIISVILENYMDLEKGQEDTKDIDQNSETMIPNMTKKVSFKPNPVTDYKLENMDISKSPSYWSMVCLCNIAKLAKETTTVRRVLEPLLTAFDSGDYWSPQKGVASSVLLFLQSRLEESGENCHVLVSSLIKHLDHKNVMKQQGLQVNMVNVATCLVLHAKQQASGAMTAVIADLIKHLRKCLQNAAESDVCVNETQQNSDLQHALENCIAELSNKVGDAGPVLDMLAVVLETISTNVVLSRTTASAVLRAAHIVSVVPNVSYHKKVFPDALFHQLLLAMSHADCKTRVEAHNILSVVLLRTLRLPWSDQHKETSEVVPGTLSVDGICTVRNQSTSLQEEEKEKVEKSLNSELRKDVNHISYPSVSRHTSQQLSGQSLDSLKDLDDGIKSLCSLRLSSHQVNMLLSSLWIQATSTDNTPENFEAMASTYQITLLFSLAKRSNHMALVRCFQLAFSLRNLSLNQDDFWYNVEGGMQHSRRRSIFTFASYMLIFGAKISNILELVPIVKESLTAQMVDPYLVLEGDIRLRAVCSGFPQEEAYGSDKDDSAALNSSVIIADDRRLKEIVISHFTSKFQTLSEEEQSNLRKEIQSDFSRDDTHPLGGKLFTDTPGPSSPLNQTELPAFEEVELSDIVAFEGISPGASGSQSGHRTSLSTNTNPVDVLSVNELLESVSETARQVASLPVSSVPVPYDQMMNQCEALVTGKQQKMSVLRSFKPQATKAITLSEDDEKDEQYLLKETEEAGEDDQKAIIVADVQPQGQLGFFSQEVPQNSFRVEKCAGSGRSVNVLCLVCSENDKLGMEEVDCSLPVTKTTTTMVSCPTEDAIRALLESLVDPLLPSKPTDDVPSKSVRESVAKQVHALVLLYNYYHRKDNPHLECLSFESFRSLATVMRPALLQHLKEDGDSGQTVLLEKVIVDACSLSMSLDASSDLFILNKYPIRKVAVLLVDSEKKNCYLQHSSITQGVWSLLEKPIEKEKTARENQKEEVVFQKVAFAAIKEATGVNHKDIEILERHLVCSLSEEKAAVRFYIMKCTSQDKFSGENPVEEVLSCMQGPLFEKSFSDWTMNSIVEYFHVLPYASLIEDWFSRRGDTESVIEKEPESVCDDIESNGKADATKESELSDIFKRRENAALKRRYEIKAKKVAALLSNPRARGKATPRLQNRYLKGSTSVAKEPNVHSETVFALNAKNVDNKMSPCKDSYSNGEKGGFEVASDPKDLKERGIQRKKAVPDRLNSILKLNATPVSAHNANLNLEELQTSLLSKATSLSETALKVLHCKRDKLTRQQRNIEDEIAKCDKCIQNIKGDWELQLETVLECCNETYPRRMLQESLDKSACQSNKRLKLCETLPTTKSLCQKLDDICLVNNWVLPNYRVSPSDGGYEAEVTITGNHVAYTIHGEEKSDPEEARESAADCLLTKLQHSTTANLS >Al_scaffold_0001_538 pep chromosome:v.1.0:1:2167163:2167549:1 gene:Al_scaffold_0001_538 transcript:Al_scaffold_0001_538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KF99] MGREKSPGLKILWIWTIGTAAILVTSVVRTRMQDMQSMMNQNQEQAPKENQNVSAGDSSVLTDETVLPESDREIAKELK >Al_scaffold_0001_547 pep chromosome:v.1.0:1:2197220:2198608:1 gene:Al_scaffold_0001_547 transcript:Al_scaffold_0001_547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase family protein [Source:Projected from Arabidopsis thaliana (AT1G06100) TAIR;Acc:AT1G06100] MSDTTKDDGSSQNKSVRKEKRAPFLRKWTQFDVGRASTVGIVHLLCLLAPFNYKWEALRFGTIITIVTNLSITFSYHRNLTHRSFTLPKWLEYPFAYSALFALQGDPLDWVSIHRFHHQFTDSDRDPHSPIEGFWFSHVLWIFDSEYIREKCGRRNNVMDLKQQWFYRFLKKTLVLHIFVFWTLIYLWGGLPYLTWTVGSGGAFAYHGTWLVNSACHICGSQVWHTNDTSRNVWWLALLTMGESWHNNHHAFEASARHGLEWYQLDITWYLIRFFQALGLATNVKLPTDDQKRKMAIPR >Al_scaffold_0001_565 pep chromosome:v.1.0:1:2268739:2270412:-1 gene:Al_scaffold_0001_565 transcript:Al_scaffold_0001_565 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G06250) TAIR;Acc:AT1G06250] MVEGIPKRWKVLSGQNKWQGLLDPLDPDLRRYIIHYGEMSQVGYDAFNWDRKSKYAGDCYYSKNQLFARTGFLKANPFRYNVTKYIYATASIKLPICFIVKSLSKDASRVQTNWMGYIAVATDQGKAMLGRRDIVVAWRGTLQPYEWANDFDFPLESAISVFPVTDPKDNPRIGSGWLDIYTASDSRSPYDTTSAQEQVQGELKRLLELYKNEEISITFTGHSLGAVMSVLSAADLVYGKKNKVNISLQKKQVPITVFAFGSPRIGDHNFKNIVDSLQPLNILRIVNVPDVAPHYPLLLYAEIGEVLEINTLNSTYLKRSLNFRNYHNLETYLHGIAGMQDTAGSPRNYA >Al_scaffold_0001_569 pep chromosome:v.1.0:1:2282130:2282849:-1 gene:Al_scaffold_0001_569 transcript:Al_scaffold_0001_569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KG19] MIPALRPHEVNLLRHLETVTDDAVVEINETGKDSIKYKHVIIMGGKNLVHSCAMSRLVGYYLEPLLVLGLFEKKPLSIRLRKLWSLNSKLVD >Al_scaffold_0001_57 pep chromosome:v.1.0:1:220193:225616:-1 gene:Al_scaffold_0001_57 transcript:Al_scaffold_0001_57 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KP82] MNINKACDLKSISVFPPNLRRRSAEPQQASQQLRSQQSQQSYSQGPSSSQRGGGFSQMTQSSIDDLLINDLRFSSQERDPSLKKVSCLPPINHKREDSQLVTSRSSSGLTRRWSSASLGESKLAQISEELEQRFGMMETSLSRFGMMLDSIQSDIMQANRETKEVFLETERIQQKLILQDTSLQQLIKEQADSKASLDGGVKSILEEFSKDPNQEKLQKILLMVTTIPEQVETALQKIQREICHTFTREIQVLASLKTPEPRVQVPTAPQVKAKENLPEQRGQAAKVFTNLKMPEPRVQVPAAPQAKETLPEQRGTAAKSNSLCNTTLRTKQPQLPRNPNNASARAVKPYLSPKIQVGCWKTVKPEKSNFKKRATRKPVKSESTRTQFEQCSVVIDSDEEDIDGGFSCLINENTKGANFEWDAEKETERILRTARRTKRKFVGISEMSIENHVEEKLRSNRQERDQLGWISVFFRRKKRSPQEVDNEHNSSKDSRLMGRNKAKNLHLFLSEIMRKLKHAIRKEKPRYDRRLLGKEKSFQKYSSTKDHFFLERMTSISQKRFNQGHYGSKMLKNGDYDPNMATSKQVQRNQERTLWLPEYSSPFSSPGRIWKQNSKTVLSRSSSDDFMKSETIADDSITMKEVGTASSSEGSSSPLVSKNNQIVDEMSKVAAYGAENEGEAKIQPLSNQLQEKNRPMDDKESVFKYVKAVLDAIDSNWEELYLKTEFSDQLLYPALISNIPFYPNQLCVEHELLFDCINEVLFEFCRFPQWVSFIETRTQVLSFSVESIVPEVQEKVYRHLLPMQLRRSLEQRVREDMAKHRSWIDIRCELECIGFETSELILNELLEQLMVELDL >Al_scaffold_0001_572 pep chromosome:v.1.0:1:2294219:2295040:1 gene:Al_scaffold_0001_572 transcript:Al_scaffold_0001_572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KG22] MKMTFGGEKKMTVEEYVDFFTSGNSRNLTISYLNQILHMHGFRKLHKLQKKIVGEAVDTLDLLDLSRSTLKEAPVSSPSSSPLTLDEVISDIEALKWQECCLTSLQIINSQEITGSVPKPKQKKSNKRKKATMKKSLNANFGDENENTMMMMMIPAIPRKMRNKKTKKNLKSITTLVNDAASATKPLSDCNFSSRFTSIP >Al_scaffold_0001_58 pep chromosome:v.1.0:1:226539:228679:1 gene:Al_scaffold_0001_58 transcript:Al_scaffold_0001_58 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 54 [Source:Projected from Arabidopsis thaliana (AT1G01680) TAIR;Acc:AT1G01680] MEDAIYVAVNQDVRESKKTLLWTLKNLQVKKIFLLHVHLPFSLTTSSSRFEQSEIDAIQDSELNTSVNSLYKYRDICINKGGVNEEDVDTSLISGHDVGEGIVELMYQNNITKLVMGAAADSHYSRGMSITSRKAEYVSQHAPRSCKIWFICKGKLIKKRERSFDIGNPSDSFSEFSTSAEKPISKGRRRDEEYETESPKEHPVWILEPEESPKKGRKEPAEKSKSNGSDEDSRLEDFKCPISMEIMRDPHVAADGFTYEAEEFRKWLRSGGRTSPMTNKPLENHNLVPNHTLRIIIKDWLEKNPNHKH >Al_scaffold_0001_584 pep chromosome:v.1.0:1:2325826:2328138:-1 gene:Al_scaffold_0001_584 transcript:Al_scaffold_0001_584 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 8 [Source:Projected from Arabidopsis thaliana (AT1G06430) TAIR;Acc:AT1G06430] MAASSACLIGSGLSVYTTKQRSQKLGLDRASKVTVVKASLDEKKHEGRRGFFKLLLGNAAAGVGLLASGNANADEQGQGVSSSRMSYSRFLEYLDKGRVEKVDLYENGTIAIVEAVSPELGNRIQRVRVQLPGLSQELLQKLRAKNIDFAAHNAQEDQGSPLLNLIGNLAFPVILIGGLFLLSRRSSGGMGGPGGPGFPLQIGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGVIVVAATNRADILDSALLRPGRFDRQVSVDVPDVKGRTDILKVHSGNKKFDNGVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAVCGTLTPGHDAVQKVTLIPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEVIFGEPEVTTGAVSDLQQITGLAKQMVTTFGMSEIGPWSLMDSSAQSDVIMRMMARNSMSEKLANDIDTAVKTLSDKAYEIALSHIRNNREAMDKIVEILLEKETMSGDEFRAVLSEFTEIPPENRVASSTSTSTPTPASV >Al_scaffold_0001_587 pep chromosome:v.1.0:1:2333130:2335114:-1 gene:Al_scaffold_0001_587 transcript:Al_scaffold_0001_587 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-crystallin domain 32.1 [Source:Projected from Arabidopsis thaliana (AT1G06460) TAIR;Acc:AT1G06460] MEHESITARRRLAAVAAHFPPTSYDPASTASLVPLNCSSSLNSVIQRCDNKISFARQASSEQGFFMRQASPDDVLENLGMNLKNSVIRRGDNRLYFARQASSAQGFFMRQASTNERTIPQDAASTKCSATKTTGFDSSSPAYAAPHFSKPAKEEFVFPSVSPNLRKERPKLVLPKLANLGTVWSPRSNVAESEHSYVVAIELPGASINDIRVEVDNINLTVTGRRTSICQKVDAGTKASILGYHKQEILQGPFKVSWPLPSNVNKDNVSAEFMDGILRIVIPKL >Al_scaffold_0001_592 pep chromosome:v.1.0:1:2360044:2361049:-1 gene:Al_scaffold_0001_592 transcript:Al_scaffold_0001_592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3317) [Source:Projected from Arabidopsis thaliana (AT1G06515) TAIR;Acc:AT1G06515] MNWVQRKIYLYNVTFGLYMLDWWERYLFNSLVVVLMWFVIYNGTRYFSELFQRHLT >Al_scaffold_0001_596 pep chromosome:v.1.0:1:2373981:2374479:-1 gene:Al_scaffold_0001_596 transcript:Al_scaffold_0001_596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KG45] MADSLLQKATSALGEAKQTVIASAENAKTDVVKDAVDNVVSRGIDGAKTLLHGLEEKKGEVSSKILGAVTHFTGSADSAATTANRDLPVSTDDQPLLAAGDREVETPWWKNCCGVLDLLKASSSSSAT >Al_scaffold_0001_597 pep chromosome:v.1.0:1:2374908:2377712:-1 gene:Al_scaffold_0001_597 transcript:Al_scaffold_0001_597 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein [Source:Projected from Arabidopsis thaliana (AT1G06550) TAIR;Acc:AT1G06550] MSQGDQNIDEPVVVIEEKGSVRLTVLNRPRQLNVISPEVVLKLAEYLELWEKDNQTKLILIKGAGRAFSAGGDLKVFYHGQESKDSCLEVVYRMYWLCYHIHTYKKTQVSLVNGISMGGGASLMVPMKFSVVTEKTVFATPEASFGFHTDCGFSYIHSHLPGHLGEFLALTGARLNGKELVAIGMATHFVPSGKLMDLEAQLVSLDSGDVDVVRSTIEEFSEKVNIDKDSILNKQSVINECFSKESVKQIIQAFEAEASKEGNEWITPVIKGLKRSSPTGLKIVLRSIREGRKQTLSDCLKKEFRLTVNILRKTISPDMYEGIRALTIDKDNSPKWNPATLDEVDDEKINSVLKPFEDDIELQIPETEENRWGGKYETSGYASVRG >Al_scaffold_0001_598 pep chromosome:v.1.0:1:2378450:2382545:1 gene:Al_scaffold_0001_598 transcript:Al_scaffold_0001_598 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOL1/NOP2/sun family protein [Source:Projected from Arabidopsis thaliana (AT1G06560) TAIR;Acc:AT1G06560] MSKARVLLKPSLLTTCLTRATTFFSSVSQLRSISHQMEMEPSDSERYCYDPVLRWNPQVEDYFTKAYGPDHFARISKALTRPSSYSCIRVNTVKTTSDAVIEKLTKILNDSEEGLKLVQPDGSSPIAKCQIPGLDYVVLVNGSGPHRIEYDSGLEIPPKEVLVSRKCAEAVLRGAQVYVPGVLACTAHVEKGDAVAVCVAMEQPGDEGDWSVNMTRGTTLQGLSTDPYYRERSGLYIGMGTAMLSRAGMFRVPHGIAVDLSNRVFRLPSLHNVLEGEIFLQNLPSIIVAHALDPQKGERILDMCAAPGGKTTAIAILMNDEGEIVAADRSHNKVLNVQKLSAEMGLSCITTCKLDALKSVCIPNPPNDSTTIVNSDNNSSMTSHSELSSREEMTSLASRKSKADKSWEENASPEQTNGGNNVSQADIRKNKGRLKNGRGRTQCQGGRVGKSQGFPPDSFDRVLLDAPCSALGLRPRLFAGLETVISLRDHGRYQRKMLDQAVQLVRVGGILVYSTCTINPSENEAVVRYALDKYRFLSLAPQHPRIGGPGLVGRCEFPDGYIEEWLKPGEEEMVQKFDPSSELDTIGFFIAKFSVGPKD >Al_scaffold_0001_601 pep chromosome:v.1.0:1:2397662:2400348:1 gene:Al_scaffold_0001_601 transcript:Al_scaffold_0001_601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KG51] MESSLPITVAAINRSTQLKAFDETKTGVKGLVDAGIAEIPSIFRAPPATLTSPKPPSSSEFTIPTIDLKGGGTRRSLVEKIGDAAEKWGFFQVINHGIPMDVLEKKKEGIREFHEQDTQVKKGFYSRDPASKVVYSSNFDLFSSPAANWRDTLGCYTAPDPPRPEDLPAACGEMMIEYSKEVMKLGKLLFELLSEALGLNTSHLKDMDCTNSLLLLGHYYPPCPQPDLTLGLTKHFDNSFLTILLQDHIGGLQVLHDQYWVDVPPVPGALVVNVGDLLQHITNDKFKSVEHRVLANVAGPRISVACFFSSYLMANPRVYGPIKDILSEQNPPKYRDTTITEYAKFYRSKGFDGTSGLLYLKI >Al_scaffold_0001_602 pep chromosome:v.1.0:1:2401115:2402497:1 gene:Al_scaffold_0001_602 transcript:Al_scaffold_0001_602 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G06640) TAIR;Acc:AT1G06640] METKKIASFDRASELKAFDESKTGVKGLVDAGISQIPRIFHHSSVELADPKPLPSELLHLKTIPTINLGGRVFEDAIKHKNAIEGIREAAAKWGFFQVINHGVSLDLLEKMKDGVRDFHEQPPEVRKELYSRDFNRKFMYLSNFDLYSAPAANWRDTFYCYMAPDPPKPEDLPEICRDVMMEYSKQVMILGEFLFELLSEALGLNPNHLKDMDCLKGLRMLCHYFPPCPEPDLTFGTSPHSDSSFLTILLPDQIKGLQVLREGYWFDVPHVPGALIINIGDLLQLITNDKFISLGHRVLANRATRARVSVACFFTTHVKPNPRVYGPIKELVSEENPPKYRETTITNYATYFNGKGLGGTSALLHFKV >Al_scaffold_0001_618 pep chromosome:v.1.0:1:2458482:2460111:1 gene:Al_scaffold_0001_618 transcript:Al_scaffold_0001_618 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase Rpb7 N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G06790) TAIR;Acc:AT1G06790] MFYLSELEHSLRVPPHLLNLPLEDAIKSVLQNVFLDKVLADLGLCVSVYDIKSIEGGFVLPGDGAATYKVGFRIVVFRPFVGEVIAAKFKESDSNGLRLTLGFFDDIYVPAPLMPKPNRCEPDPYNRKQMIWVWEYGEPLEDFIIDDSCQIKFRVESISYPSVPTERAEDAKPFAPMVVTGNMDEDGLGPVSWWDSYEQIDQEE >Al_scaffold_0001_622 pep chromosome:v.1.0:1:2471421:2476984:-1 gene:Al_scaffold_0001_622 transcript:Al_scaffold_0001_622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G06840) TAIR;Acc:AT1G06840] MVLTEEEGEVMAAAKRKLLLTFLLRALRVIKESLNDPVHRLRNWKHGDPCNSNWTGVVCFNSTLDDGYLHVSELQLFSMNLSGNLSPDLGRLTRLTILSFMWNKITGSIPKEIGNIKSLELLLLNGNLLIGNLPEELGFLPNLDRIQIDENRISGPLPKSFANLNKTKHFHMNNNSISGQIPPEIGSLPSIVHILLDNNNLSGYLPPELSNMPHLLILQLDNNHFDGTTIPQSYGNMSKLLKMSLRNCSLQGPVPDLSSIPNLGYLDLSQNQLNGSIPTGKLSDNITTIDLSSNSLTGTIPTNFSGLPRLQKLSLANNALSGSIPSRIWQERELNSTESIIVDLRNNRFSNISGRSDLRPNVTVWLQGNPLCSDGNLLRLCGPITEEDINQGQGSTNSYTTTCSDCPPPYEFSPEPLRRCFCAAPLLVGYRLKSPGFSDFVPYRSEFEEYITSGLSLNLYQLRLDSFQWQKGPRLRMYLKFFPVFGSNANNSFIFNRSEVRRIRGMFTGWNIRDEDLFGPYELMNFTLLDVYRDVFPSASPSGLSKGAVAGIVLGSVAAAVTLTAIIALIIMRKRMRGYNAVARRKRSSKASLKIEGVKSFTYAELALATDNFNSSTQIGQGGYGKVYKGTLGSGTVVAIKRAQEGSLQGEKEFLTEIELLSRLHHRNLVSLLGFCDEEGEQMLVYEYMENGTLRDNISVKLKEPLDFAMRLRIALGSAKGILYLHTEANPPIFHRDIKASNILLDSRFTAKVADFGLSRLAPVPDMEGISPHHVSTVVKGTPGYLDPEYFLTHQLTDKSDVYSLGVVFLELLTGMQPITHGKNIVREINIAYESGSILSAVDKRMSSVPDECLEKFATLALRCCREETDARPSMAEVVRELEIIWELMPESHVAKTADLSETMTHPSSSSNSSIMKHPYTSMDVSGSDLVSGIAPSVAPR >Al_scaffold_0001_623 pep chromosome:v.1.0:1:2479287:2480524:1 gene:Al_scaffold_0001_623 transcript:Al_scaffold_0001_623 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic leucine-zipper 52 [Source:Projected from Arabidopsis thaliana (AT1G06850) TAIR;Acc:AT1G06850] MEKSDPPPPPPEPATIIPSDPIPSSSFHRRSRSDDMSMFMFMDPLSSGAPPSSDDLPSDDDLFSSFIDVDSLTSNPNPFPNPSLSSNSVSGANPPPPSSRPRHRHSNSVDAGCAMYAGEIMDAKKAMPPEKLSELWNIDPKRAKRILANRQSAARSKERKARYIQELERKVQSLQTEATTLSAQLTLYQRDTNGLANENTELKLRLQAMEQQAQLRNALNEALRKEVERMKMETGEISGNSDSFDMGMQQIQYSSSTFMAIPPYHGSMNVHDMQMHTSFNPMEMSNSQSVSEFLQNGRLQGLGISSNSSSLVKSEGPSLSASESSSAY >Al_scaffold_0001_642 pep chromosome:v.1.0:1:2556701:2557271:-1 gene:Al_scaffold_0001_642 transcript:Al_scaffold_0001_642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGX9] MEENNNAGSDSDSNSVEDSQNYYEPISAVDLDNADDDDDDDSYLPVGGNGLSNGHCMIPEAEERISSIRINEDGESEEETETETEPEIRRAFEEDERRRRSPLVAENAVRVMEAMRAISFPGTAPDWASDVNEDRWIDQLRRLRSTSQ >Al_scaffold_0001_644 pep chromosome:v.1.0:1:2567827:2571767:-1 gene:Al_scaffold_0001_644 transcript:Al_scaffold_0001_644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGY1] MILEEHSNPFASWTRRATAAVLSWLGRREWLQFLRLNRQSQAAFTAEVGGIKGLDLSHHPLDPPQLSIHRAGSIRQSSGILVCFAGLEERGFRPLSGRLVVGWFVGGMKLMAETGLFRRNFTVFGCSHKTLGLEPAGFQAPVLYHHSVIAPNILNMAVETLTAPKFKEQDLQFWQLMIAGSVAGSVKHMRCFLFASLINALRSVIQTEGPSALYCGIWSMRHGAMGPAHFIYFSFYEVSKKFLSAGNPNNSVVHAISGAFAAVWSYAVSTPVDMAKLRQQSGFGNYKGVWDCVKRVTCEEGISRFYTFYRTGIRMNVYSSAVHFVTYKAAKRKLVEISPKKKGWWLVHATAGATAGGLVYGTLQGMPPQNAHPCICNSHLLQIRSRDIYTCDGERRRWTSVKGNPSQLSVIQLNRLFQFNLRHNAFPSQTVAEAVASLSSPGDRSFYGRINEDGESEEETETEIRRAFEEDERRRRSPLVAENAVRVMEAMRAISFPGTAPDWASDVNEDRWIDQLRRLRSTSQ >Al_scaffold_0001_647 pep chromosome:v.1.0:1:2577726:2578546:-1 gene:Al_scaffold_0001_647 transcript:Al_scaffold_0001_647 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT motif family protein [Source:Projected from Arabidopsis thaliana (AT1G07050) TAIR;Acc:AT1G07050] MDTQRLPKKEEEEADHLLSSTFESINGLSRDQHNHSIDDFDSIFDITIDNLSCSHELTWDFWEEDEDEDVGEEEKRLSTDQEGSSFGFWENKPTDYEDKDLGLKLNLNHQEVIDAWSDHRKPLWTDNTTVANSLYKGEVPVIEEERNMRREASVLRYKEKRQSRLFSKKIRYQVRKLNADKRPRFKGRFVKRET >Al_scaffold_0001_660 pep chromosome:v.1.0:1:2623605:2627157:-1 gene:Al_scaffold_0001_660 transcript:Al_scaffold_0001_660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGZ6] MFQLQTKGCVETERMGLLQLMSYLNSLLIPKGEIFLKSWSHDDRSSDCCHWERVKCSDASLGANIVHLSLNLLQIQSLNLSLLHSFPQLDTLDLSSNWCDHLFDPIHGLVFPSSLQVLNLRRNQLSSTPKGSLPLWIDRMSSLEYLYMRGNQLNGHFPRQLQNLKLKVIDISHNSFFGSLPRNVEFPILRELRLQNNEFIGSIPDALFEAELLEVIDMRNNNFSDMVLNNVAKASNLGVLLLRSNSYESHIPEKLCQLSEVGILDLSQNKFKGVIPSCFSKMSFGAKKYDSNMSFDPVEGFSQVSFSQSWSYTSAINLDDDFAYGAQRTPAIIVDFLTKRRYEAYQVHKNKTPNHQKHCTRPSRNYLTGSIPDSISKLKDLGSLDLSNNKLDGNISEEKKFNRVRALG >Al_scaffold_0001_67 pep chromosome:v.1.0:1:262221:265311:-1 gene:Al_scaffold_0001_67 transcript:Al_scaffold_0001_67 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferric reduction oxidase 1 [Source:Projected from Arabidopsis thaliana (AT1G01590) TAIR;Acc:AT1G01590] MGLGEMNKEVTEKVIKFVTMVILMGTIVIWIMMPTSTYKEIWLTPMRAKLGKSMYYGKQGVNLLVYMFPMILLAFLGCIYLHLMKQTTVNQFNSGVEKKRAKFAALRRPMLVTGPLGIVTVTEVMFLTMFMALLLWSLTNYIYRTFVTITSESAATDRNSLWQARLDLIAVRLGIVGNICLAFLFYPVARGSSLLAAVGLTSESSIKYHIWLGHLVMILFTSHGLCYFIYWISKNQLVSQMLEWDRTGVSNLAGEIALVAGLMMWVTTYPKIRRRFFEVFFYTHYLYIVFMLFFVFHVGISHALISFPGFYIFLVDRFLRSLQSRNNVKLVSARVLPCDTVELNFSKNPMLMYSPTSTMFVNIPSISKLQWHPFTIISSSKLEPETLSVMIKSQGKWSSKLYDMLSSSSSDQINRLAVSVEGPYGPSSTDFLRHDSLVMVSGGSGITPFISIIRDLYYMSSTHKSKIPKMTLICAFKNSSDLSMLDLILPTSGLTTDISSFVDIQIKAFVTREEKNPVKESTHNRNIIKTLYFKPNVSDQPISPILGPNSWLCLATILSSSFMIFIVIIAIITRYHIYPIDQNSEKYTWAYKSLIYLISISISVVTTSTAAMLWNKKRYYAKSDQYVDNWSPLIIESSPQQLLSQSTDIYYGERPNLNKLLVGVPGSSVGVLVCGPTKMRQEVTNICSLGLAENLHFDSISFSW >Al_scaffold_0001_682 pep chromosome:v.1.0:1:2703407:2704776:1 gene:Al_scaffold_0001_682 transcript:Al_scaffold_0001_682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KH18] MTRNARSRWWVKEKKKMMALVFITITMMLQFQIKACVETERMGLLQLKSYLENLIINAGEEDEGTPIYPEEESILKSWSHRKSDCCRWESVKCSDAIGGGHIVVLSLNEIMPYTDLDRPLNLSLLHSFPQLQTLEFSGNGFNYLFDLIHGHKSLDRLEKLRTLDFYKNRLNNSAIPFLSAARSLRTLVLSDNLLEGVLFPPNAGLINFRELEVLDLSSNNINDFQAGDGLRTIKLKTLDLSDNDFSDTARLKGLEHLVELNVLILADNQLNLTRSIEGK >Al_scaffold_0001_685 pep chromosome:v.1.0:1:2715844:2716737:1 gene:Al_scaffold_0001_685 transcript:Al_scaffold_0001_685 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase homolog A2B [Source:Projected from Arabidopsis thaliana (AT1G07410) TAIR;Acc:AT1G07410] MANRIDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFENVLRWLRELRDHADSNIVIMMAGNKSDLNHLRSVADEDGRSLAEKEGLSFLETSALEATNIEKAFQTILSEIYHIISKKALAAQEAAGNLPGQGTAINISDSSATNRKGCCST >Al_scaffold_0001_697 pep chromosome:v.1.0:1:2755216:2762315:-1 gene:Al_scaffold_0001_697 transcript:Al_scaffold_0001_697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KH33] MGSYPDGSMDEFDFNKDFDLPPPNQTLGLANGFYLDDLDFTSLDPPEAYPSQNYNNNEAASGDLLSSPSDDADFSDSVLKYISQVLMEEDMEEKPCMFHDALALQAAEKSLYEALGEKYPSSSSGSVDHPERLATDSPDGSCSGGAFSDYASTTTTTSSDSHWSVDGLENRPSWLHTPMPSNFVFQSTSRSNSVTGGGGNTAVYGSGFGGDLVSNMFNDSELAMQFKRGVEEASKFLPKSSQLFIDVDSYIPKNSGSKENGSEVFVKMEKKDETEHHHSSAPPPNRLTGKKSHWRDEDEDFVEERSNKQSAVYVEESELSEMFDKILVCGPGKPVCILNQKFPTEPAKVETTQSNGAKIRGKKSTTSNHSNDSKKETADLRTLLVLCAQAVSVDDRRTANEMLRQIREHSSPLGNGSERLAHYFANSLEARLAGTGTQIYTALSSKKTSAADMLKAYQTYMSVCPFKKAAIIFANHSMMRFTANANTIHIIDFGISYGFQWPALIHRLSLRPGGSPKLRITGIELPQRGFRPAEGVQETGHRLARYCQRHNVPFEYNAIAQKWETIKVEDLKLRQGEYVVVNSLFRFRNLLDETVLVNSPRDAVLKLIRKVNPNVFIPAILSGNYNAPFFVTRFREALFHYSAVFDMCDSKLAREDEMRLMYEKEFYGREIINVVACEGTERVERPETYKQWQARLIRAGFRQLPLEKELMQNLKLKIENGYDKNFDVDQNSNWLLQGWKGRIVCKQCCLEFSSDSDFVAESFVKFSSSKEEPNSGFYRKKRSFFFWMMESNYSGVVNGLEYYDVSFLPNSIPDLGFGVPSSSDFDLRMDHQPSIWVPDQDHHFSPPADEIDSENTLLKYVNLLLMEESLAEKQSMFYDSLALRQTEEMLQQVISDSQTHSFIPNNSISTTSTSSNSGDYYRSSSNSSNSSVRVETAANSAENEVLLYDNHLGDSGVVSFPGFNMLRGGEQFGQPANEILVRSMFSDAESVLQFKRGLEEASKFLPNTDQWIFNLEPEMERVVPVKEEKGWSAISRTRKNHHEREEEDDLEEARSSKQFAVDEEDGKLTEMFDKVLLLDGEYDPLIIEDGENGSSKAQVKKGRGKKKSRAVDFRTLLTLCAQSVSAGDKVTADDLLRQIRKQCSPVGDASQRLAHFFANALEARLEGSTGTVIQSYYDSISSKKRTAAQILKSYSVFLSASPFMTLIYFFSNKMIFDAAKDASVLHIIDFGILYGFQWPMFIQHLSKSNTGLRKLRITGIEIPQHGLRPTERIQDTGRRLTEYCKRFGVPFEYNAIASKNWETIRMEEFKIQPNEVLAVNAALRFKNLRDVIPGEEDCPRDGFLKLIRDMNPNVFLSSTVNGSFNAPFFTTRFKEALFHYSALFDLFGATLSKENPERIHFEGEFYGREVMNVIACEGVDRVERPETYKQWQVRMIRAGFKQKPVEAELVQLFREKMKKWGYHKDFVLDEDSNWFLQGWKGRILFSSSCWVPS >Al_scaffold_0001_698 pep chromosome:v.1.0:1:2766088:2768714:-1 gene:Al_scaffold_0001_698 transcript:Al_scaffold_0001_698 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRF-like 2 [Source:Projected from Arabidopsis thaliana (AT1G07540) TAIR;Acc:AT1G07540] MVLQKRLDYGFNGYEVPHTPRAARSPRKSAFKKKSENHQISSFDLLAAVAGKLLLEGGNSSSSSNNTSGNNEDQCAVKKEPLNGSNLMVEEETTNCDHDNNNAERSFFVSEILPKSHEIQSFNRSPSPLKEFHFGSSSGITSDSSDKFETQELAYDESKINNGDCYRSESNDKKSMLGGLNFEAKLTRNVLAKDENHIGSGFRKPIPQNPSACSDAIDLHGKENDDGENFSACYRTKSFRSTLRIGDRRIRKVLASKYCKVPPKLKDTTVPNSDLDLKSDYYSKKHCLKSLRSERNYPIKKRRYFDGYTASQSEETSKNEGLPGSPRKASAFLSSIACQKQPAFQSSRDSNNVKLGIKSFRVPELFIEIPETATVGSLKRTVLEAVTSILGGGLRIGVLVHGKKVRDDSKMLLQTGLSLDTLSDNLGFCLEPNPPQSTKPLSTEDSDFARPCNVPHTLTRCFPSPGKHAKPSNSVESDLDSKPSAPNRGKTIYSRALDPVPLHAQALTVLPPRKTKRSEVAQRRIRRPFSVAEVEALVQAVERLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSQQQGKHQLLERPQQLETSLGL >Al_scaffold_0001_70 pep chromosome:v.1.0:1:274075:276522:-1 gene:Al_scaffold_0001_70 transcript:Al_scaffold_0001_70 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF604) [Source:Projected from Arabidopsis thaliana (AT1G01570) TAIR;Acc:AT1G01570] MGDDKKDQKQLSSYLKAKNISCNSHYSFYWLMSKLIFLILAILFSLQFVFYPLNFISSSSEPRSLIKFSVSPVGSGSGSVHEPEQTELKHVVFGIAASAKFWKHRRDYVKLWWKPNGEMNGVVWLDQHIDQNDNVSNTLPPLRISSDTSRFKYRYPKGLRSAIRITRIVSETVRLLNGTESEKNVRWIVMGDDDTVFFPENLVKVLRKYDHNQFYYIGSSSESHIQNLKFSYGMAYGGGGFAISYPLAKALEKMQDRCIQRYAELYGSDDRIHACMSELGVPLTKEVGFHQIDLYGKLLGLLSAHPLAPLVSIHHLDLVDPVFPNMGRVNAMRRFMVSAKLDSASLAQQSICYDVDHRWTVSVSWGYTVQITRGVLSAKEMVIPTRTFIDWYKQADERSYAFNTRPVAKSACQRPRVYYLSNALPDLALHRTASEYVRYDMWEPECDWDMSDPSEIERVIVYKKPDPDRWNKHRAPRRDCCRVLPMKKNGTMVIDVGTCKDDEFVEFPVK >Al_scaffold_0001_706 pep chromosome:v.1.0:1:2802787:2803894:-1 gene:Al_scaffold_0001_706 transcript:Al_scaffold_0001_706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT1G07640) TAIR;Acc:AT1G07640] MPTNSNHQHHHQHQLLENGSIISGHGLLSHQLPPLQANPNPSHQYVATSAGLPSRSMAERARQAKIPPPEGPLKCPRCDSSNTKFCYYNNYNLTQPRHFCKGCRRYWTQGGALRNVPVGGGCRRNNKKGKNGNSKSSSSSSKQSSSSANAPSPSSGQLRTNHQFPFSPTLYNLTQLGGIGLNLAATNSNNQSHQIGSSLMNDLGFLHVGNGRNTSTPMTGNIHENNNNNENNLMASVGSLSHFALFDPTTGLYAFQNEGNIGNNVGISGSSTSMVDSRVYQTPPVKMEEQPNLANLSRPVSGLTSPGNQTNQYFWPGSDFSGPSNDLL >Al_scaffold_0001_713 pep chromosome:v.1.0:1:2839703:2840699:1 gene:Al_scaffold_0001_713 transcript:Al_scaffold_0001_713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KH47] MSSLLNIYHCSSLGYSGLSSRGGITVGNCRWVWHSGGVRLSFPRAESSSMSINIAMGCTFQHGRAKSLSQENVVELSDENDDLCPVECVTEFKTDDELLRVLEKSKETNSLVVVDFYRTACGSCKYIEQGFSKLCKQSGDQEAPVIFLKHNVVDEYDEQSEVAERLRIKAVPLFHFYKNGVLLESFATRDKERIDAAILKYTSSES >Al_scaffold_0001_714 pep chromosome:v.1.0:1:2843498:2843647:1 gene:Al_scaffold_0001_714 transcript:Al_scaffold_0001_714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KH48] RKKGPFNLFASEDGSKTYSTKTVSSTKRSDFSLRILLHLGEFRSLELQD >Al_scaffold_0001_734 pep chromosome:v.1.0:1:2895653:2897404:1 gene:Al_scaffold_0001_734 transcript:Al_scaffold_0001_734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF604) [Source:Projected from Arabidopsis thaliana (AT1G07850) TAIR;Acc:AT1G07850] MGNSSSYNTNLSNPKNRLLEDHHHPRNQPPNHSNSARSSHLSFLRSPLPWLFIFLFFLPLLLISTTGGGGGRKTCRPSSSTYSHLSLVDKTNSSSSVVSEEEEDDNVPPRVPALYPQRPRMFNTTLDHIVFGIAASSVLWETRKEYIKSWWRPGKTRGVVWIDKRVRTYRNDPLPEIRISQDTSRFRNLIQIKMSCFRYTHPVGDRSAVRISRVVTETLRLGKKGVRWFVMGDDDTVFVVDNVVNVLSKYDHTQFYYVGSSSEAHVQNIFFSYSMAFGGGGFAISYALALELSRMQDRCIQRYPGLYGSDDRIQACMTELGVPLTKEPGFHQYDVYGDLLGLLGAHPVAPLVSLHHIDVVQPIFPKMKRSRALRHLMSSAVLDPASIFQQSICYDQNRFWSISVSWGFVVQIIRGIISPRELEMPSRTFLNWFRKADYIGYAFNTRPVSRHPCQRPFVFYLNSAKYDEGRRQVIGYYNLDKTRRIPGCRWRLDSPGKIDSVVVLKRPDPLRWHKVSPHCFIY >Al_scaffold_0001_737 pep chromosome:v.1.0:1:2906241:2907824:-1 gene:Al_scaffold_0001_737 transcript:Al_scaffold_0001_737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07880) TAIR;Acc:AT1G07880] MEKMEDGGILTYDGRYVMYNVLGNIFELSSKYIPPIQPVGRGAYGIVCCATNSETNEEVAIKKIANAFDNRVDAKRTLREIKLLCHMDHDNVIKMKDIIEPPEKERFEDVYIVYELMDTDLHQIIRSTQTLTDDHCQYFLYQILRGLKYIHSANVLHRDLKPSNLVLNTNCDLKICDFGLARTSNETEIMTEYVVTRWYRAPELLLNSSEYTGAIDIWSVGCIFMEILIRETLFPGKDYVQQLKLITELLGSPDDSDLDFLRSDNARKYVKQLPHVQKQSFKETFPDISPMALDLAEKMLVFDPSKRITVEEALKQPYLASLHEINEEPTCPSPFSFDFEETALDEQDIKELVWRESLHFKNK >Al_scaffold_0001_74 pep chromosome:v.1.0:1:294198:295303:1 gene:Al_scaffold_0001_74 transcript:Al_scaffold_0001_74 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 28 [Source:Projected from Arabidopsis thaliana (AT1G01530) TAIR;Acc:AT1G01530] MARKNLGRRKIEIVKMTNESNLQVTFSKRRSGLFKKASELCTLCDAEIAIIVFSPSGKVYSFGHPNVNVLLDQFSERVLRQNNTNLDESHTKLHIQMLNESLTEAMAEKEKEQRKKEWLVQNEREIKNVEEWWTNSLKELNLTQLTSMKHALEDLKKEVNERASLFHQSNSNFYVGSSSNAAAPEAVNGGNISINQGFFTQNGMPTHVQTLPFGFNVMNRTPTGYNNCQIQNQEFKQVHPYYGPRYY >Al_scaffold_0001_766 pep chromosome:v.1.0:1:3027103:3031355:-1 gene:Al_scaffold_0001_766 transcript:Al_scaffold_0001_766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHZ0] MPPKKGLKRKRLTKATTVEPGSTEEPSPTVEPSTTTTVEPGSTEEPSSTAIEGEQQVPETLSPVLEESDKNEEENSKKNEEEESGEEESEEEEKEEEGNEEGEESSDDDGSRSLGGESSSDENDNEEDEIAPENQPENAMELERWKVWSLAESPTSVT >Al_scaffold_0001_781 pep chromosome:v.1.0:1:3088923:3092462:-1 gene:Al_scaffold_0001_781 transcript:Al_scaffold_0001_781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:TAIR;Acc:AT1G08230](projected from arabidopsis_thaliana,AT1G08230) MGGEGISSGDIEKRGEEVDAGSLFVLKSKGTWWHCGFHLTTSIVAPALLSLPYAFKFLGWVAGISCLVGGAAVTFYSYTLLSLTLDHHASLGHRYLRFRDMAHHILGPKWGRYYVGPIQMAVCYGVVIXXALLGGQCLKAMYLVMQPNGEMKLFEFVIIFGCLLLVLAQFPSFHSLRYINSFSLLLCLLYSASAAAASIYIGKRSNAPEKDYTIVGDQETKVFGIFNAMAIIATTYGNGIIPEIQATISAPVKGKMMKGLCMCYVVVIVTYFTVAITGYWAFGNKANGFIFTNFLNTETGHYLVPTWFIFLVNLFTVLQLSAVAVVYLQPINDILESVISDPTKKEFSIRNAIPRLVVRSLFVVAATIVAAMLPFFGDVNSLLGAFGFIPLDFVLPVVFFNFTFKPSKKSFIFWINTVIAVVFSCLGVSAMVAAVRQIIIDANTYKLFADV >Al_scaffold_0001_788 pep chromosome:v.1.0:1:3125267:3125773:1 gene:Al_scaffold_0001_788 transcript:Al_scaffold_0001_788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KI11] MHMKRGLMSGNLVHEWNNPVSTDFSIRNQLHTGALWAAQAQETGRKGEEIAYRYFVAKYGKTALVRWVNEQSETGLPYDLIIENRGGKKEYIEVKATVSTRKDYFNLTMREWQFANEKGECYVIAHVLLGNSNAILTQHRNLVKLCQDGHLRLLILMPNQRNEVNVAF >Al_scaffold_0001_789 pep chromosome:v.1.0:1:3126441:3127644:1 gene:Al_scaffold_0001_789 transcript:Al_scaffold_0001_789 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G08310) TAIR;Acc:AT1G08310] MGDSSASSNRVKLRDGRFLAYRERGVPKEKAKYKIILVHGFGSSKDMNFSASKELIEELKVYLLFYDRSGYGESDSNTKRSLESEVDDIVELADHLQLGPKFYLIGISMGSYPTWGCLKHIPYRLSGVAFVAPVVNYRWPSLPKKLIKKDYRRGIIKWGLRISKYAPGLLHWWVIQKLFPSTSSVLESNPVYFNSHDIEVLKRTTGFPMLTKDSLLERNVFDTLRDDIMACFGQWDFEPADLSISKESYIHIWHGKEDKVVPFQLQRCILQKQPLINYHEIPQGGHLIIHYDGICDAILRSLLLEEEQEKL >Al_scaffold_0001_804 pep chromosome:v.1.0:1:3189331:3189926:-1 gene:Al_scaffold_0001_804 transcript:Al_scaffold_0001_804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KI26] MAYNNFTRLDAQSAAKKAVSVIGLGYYLCSDVRFSACKTTPDGSRLVEIVPTRNRDLVFPGGIVVNNVSSSIKCDKGERTRLRSDILSFNQMSEKFNQDMCLSWKIPSGMFNNMFAFSKCWPKDASSVKNLAYWFISLYIRVEIVRKQLTLRDEVKREVPSSWYSCSCWSEY >Al_scaffold_0001_82 pep chromosome:v.1.0:1:321884:322760:-1 gene:Al_scaffold_0001_82 transcript:Al_scaffold_0001_82 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ70] MAKFFGCIQVGEYTRAISEKRGKFHKELKPGCHCLPWFCGYRIVGRVSMKIQYLVVRCDCKTKDDVFVTVVASIHYGVLDVPDKNNGKKAFYAHSDPKSLIEAHSFTVKTAISSYTFDQLFVKKDDLAVTVNEKLTENISADYGFGNFKTLVLDIAPDEYAKRIIRLTNAAPKMAVALTGATFPK >Al_scaffold_0001_833 pep chromosome:v.1.0:1:3301299:3316056:1 gene:Al_scaffold_0001_833 transcript:Al_scaffold_0001_833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIU9] MIKLILNLVFVALNHSWFGIYRSKSAGNLYEEDLRIWKSVVNLKKENLTRAMKHIFKKLHRGGNQEQQNRTNDALPSSDQNRNHVAPQATPSSVAETLPVTGATSSMASHSPTAASNRADYMSSEEEYQVQLALAISASNSQSSEDPEKHQIRAATLLSLGSHQRMDSKRDSSEVLAQRLSRQYWEYGVLDYEEKVVDSFYDVYSLSTDSAKQGEMPSLEDLESNHGTPGFEAVVVNRPIDPSLRELLEIAECIAVDCPTTSVSVLVQRLAELVTEHMGRSAEDSNIVLAKWTDKSSEFKAALNTCVFPIGFVDIGISRHRALLFKVLADSVGLPCRLVKGSHYTGNEDDAVNTIRLEDEREYLVDLMTDPGTLIPADFASARDNTVEPYNSNGNKFPTAQLSNDFRHSAPKLSEGEGSSQSSMADNNSPLGRRTEAEKTDSSYPKLGPLRNVDLSTSPSSVTSSTQLENISSTAIAKGSRGAINDCSRTNMNIVPYNQNSEEDPKNLFADLNPFQNKGADKLFMPTKSGLNNVDDFHQQKNNPLVGRSPAPMMWKNYSCNEAPKRKENSYMENLLPKVHLEPRYGNTHSSYATSSSNGAVSSNVPCRDNVTFVSPVAAPSSFTSTENQFTPSIAGDMNRNTNNELDLQPNTAAVVHGHQKDESHIHDHRKYTSDDMSTGCDSRLKDHESTSSSLDSTSYRNDPQVLDDADVGECEIPWNDLVIGERIGLAYSFWRSHVLMLILFWLGSYGEVYHADWHGTEVAVKKFLDQDFSGAALAEFRSEVSHKFTFVYLLVRIMRRLRHPNVVFFLGAVTRPPNLSIVTEFLPRGSLYRILHRPKSHIDERRRIKMALDVAMGMNCLHTSTPTIVHRDLKTPNLLVDNNWNVKVGDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLRLPWRGMNPMQVVGAVGFQNRRLEIPKELDPVVGRIILECWQTDPNLRPSFAQLTEVLKPLNRLGTPVNIIVGSHVWVEDPQVAWIDGEVEKINGQEVVIQATIGKKVTAKLSKIYPKDVEAPAGGVDDMTKLSYLHEPGVLQNLKIRYELNEIYTYTGNILIAINPFQRLPHIYDAHMMQQYKGAPLGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRAVTEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEIEKYKLGHPKTFHYLNQSKCFELVGISDAHDYLATRRAMDIVGISEKEQEAIFRVVAAILHIGNIDFTKGEEVDSSVPKDEKSKFHLKTAAELLMCDLKALEDALCKRVMITPEEVIKRSLDPQSAVTSRDGLAKTVYSRLFDWLVDKINKSIGQDANSRSLIGVLDIYGFESFKTNRYAAPHSLLIPLCCSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEAIDWSYIEFVDNQDVLDLIEKVISHFISPFLFHLQKPGGIVALLDEACMFPKSTHETFANKLYQTFKTHKRFIKPKLSRTDFAVAHYAGEVLYQSELFLDKNKDYVIPEHQDLLGASKCPFVVGLFPPLPEETSKSSKFSSIGSRFKMQLQQLMETLNSTEPHYIRCVKPNNLLKPAIFENVNIMQQLRCGGVLEAIRISCAGYPTRKPFFEFINRFGLLSPAALEVNFDEKVACQKILDNMGLKGYQIGKTKVFLRAGQMAELDARRAEVLSSAAKKIQRRIRTHQAQKRFIVLRKATISLQAICRGRLSCKLYENLRREAAAVKIQKNGRRHYSRKSYKKLHVASLVVQTGLRAMAARKQFRFRKQTKAATIVQAQWRCHRAISYYKKLKNGVILSQTRWRGRLAKRELRKLKMAARETGALKEAKDMLEKKVEELTYRVQLEKRLRGDLEEAKTQEITKLQSSFEEMRKKVDETNALLVKEREAAKKAAEEAPPVIKETQILVEDTKKIELMTEELDSVKATLEYEKQRADDAVKKFEEAQESLEDKKKKLEETEKKGQQLQESLTRMEEKCSNLESENKVLRQQAVSMAPNKFLSGRSRSILQRGSESGHLAVDARSSLDLHSHSMNHRDPSEVDDKPQKSLNEKQQENQELLIRCIVQHLGFQGNRPITACIIYKCLLQWRSFEVERTSVFDRIIQTIGHAIETQDNNNTLAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSSSATLFGRMSQSFRGAPPGVNLAMINGAAGGGADTFRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGASRSVGNTAAQQALIAHWQGIVKSLTNFLNTLKSNNVPSFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLSELEHWCFKATDEYAGSSWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPDVSPLKLLMICVIANMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDLSKSMEKFEIADIEPPPLIRENSGFSFLLPVSE >Al_scaffold_0001_838 pep chromosome:v.1.0:1:3330900:3331595:1 gene:Al_scaffold_0001_838 transcript:Al_scaffold_0001_838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1685) [Source:Projected from Arabidopsis thaliana (AT1G08790) TAIR;Acc:AT1G08790] MVRVGEALSVSTSSSSSFYDSEVEELQKMPLEPPRVKTKKRLSKQLSMLETRRDIAWERRRRQMLHHLEKHNEGGDDLTDEDLSELKGSIELGFGFNEEQGQHLTTTLPALDLYFAVTRQISPVSTPGSGGSSSSSRPTSLGDRSSSFGSPISDSDSLKVMSPGDNPQQVKTRLRHWAQAVACSMIQSSN >Al_scaffold_0001_84 pep chromosome:v.1.0:1:330470:334264:1 gene:Al_scaffold_0001_84 transcript:Al_scaffold_0001_84 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ73] MDQKRPTIAGDEEMAAEKPLKPALQKPPGFRDQQNQPSAPPSGTGRRPRPIHPASLYPEKKRRCSFCRVFCCCVCIFLAVILLIFLIAVAVFFLWYSPKLPVVRLASFKISNFNFSDGNSDDGWSFLTADTTAVLDFRNPNGKLTFYYRDADVAVILGEKDFETNLRSTKVKGFIEKPGNRTAVIVPTRVTKRQVDDPTAKRLQAELKGKKLLVTVTAKTKVGLAVGSRKIVAVGVSLRCGGVRLQTLDSQMAKCTIKLLKWPCVKLERCEMEQFREIGEVLGSIRALMVFKDDIQINQRQCSLLLDLFTAAYESISESMRSNLRFKEKNTKWKILEQPLRELLWVVREGEAYVRMSLEPKLGFWAKAIVLHCNRDCTELHIHNLLSCLPIIVEAIETAGEVSGWDEEEMSKKRLVHSNKYMKQWNDSQMFTWKFGREYLVTEDFCNRFESAWTEDRWILIKELQEKKQPSLSKHDWKMADFLLKHLGDGNESPKLFPSSLLVNTKDYQVKKRLGNGSQYKEITWLGESFALRHFFGDIDTLLPKVTPLLSLSHPNIVYYLCGFTDEEKKECFLVMELMSKTLGMHIKEVCGPRKKNTLSLPVAVDLMLQIALGMEYLHSKRIYHGELNPSNILVKPRSHQSGDGYLLGKIFGFGLNSVKGFSSKSASLTSQNENFPFIWYSPEVLEEQEQSGTAGSLKYTDKSDVYSFGMVCFELLTGKVPFEDSHLQGDKMSRNIRAGERPLFPFNSPKFITNLTKRCWHADPNQRPTFSSISRVLRYIKRFLALNPEYHSSSQQDPSIAPPVDYWEIESKLLQKLSWESTELTQVSQVPFQMFAYRVVERAQTCKKDNLRETSESGSEWASCSEDEGGAGSDEQVSYEKERRLSCSSDVGMSKKHVSNLLKRASSLKPIQKPAFGFSSGTTPRGRSRHPPLSPCGQSMRANSESQLILISPRIRRSNSGHASDSELS >Al_scaffold_0001_908 pep chromosome:v.1.0:1:3611104:3612296:1 gene:Al_scaffold_0001_908 transcript:Al_scaffold_0001_908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09460) TAIR;Acc:AT1G09460] MAKQSYSIFTFFFFLYLLSSCSSTTTHHDVLNPPTVFPTNPTTTPPATFPPATITPTNPATTVPIVPPVTTIPPPTLTPPPVTTIPPPTLTPPVTNPVTNPVTQYPPTQPSGAVPVPVPVVAPPVVSNSPSVPGQSWCVAKPGASQISLQQALDYACGIADCSQLQQGGNCYSPISLQNHASFAFNSYYQKNPSPQSCDFGGVASVVNTNPSTGSCIYQTGSSTSTPMTAGTTTPTPSTQTVNQPPVTSTPIIPTGGGIIGVGTPPAIFNPANPTSNTLNNPSSGGLTGYGFDGSPNGNNPTSSDSTHLKIHFGHAIMATLILHAVLFH >Al_scaffold_0001_916 pep chromosome:v.1.0:1:3645027:3645770:1 gene:Al_scaffold_0001_916 transcript:Al_scaffold_0001_916 gene_biotype:protein_coding transcript_biotype:protein_coding description:germin-like protein 5 [Source:Projected from Arabidopsis thaliana (AT1G09560) TAIR;Acc:AT1G09560] MASPTQTLLLLLTTVFFFISASADPDMLQDLCVADLPSGIKINGFPCKDAATVTSADFFSQGLANPGLTNNTFGALVTGANVMTIPGLNTLGVSLSRIDYAPGGLNPPHTHPRATEIVFVLEGTLDVGFLTTANKLISQSLKKGDVFAFPKGLVHFQKNNGHVPASVIAAFNSQLPGTQSLGATLFGSTPPVPDNILAQAFQTSSGTVKHIKSKFQPKK >Al_scaffold_0001_918 pep chromosome:v.1.0:1:3653100:3654078:-1 gene:Al_scaffold_0001_918 transcript:Al_scaffold_0001_918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF607) [Source:Projected from Arabidopsis thaliana (AT1G09575) TAIR;Acc:AT1G09575] MWSMGLIRRTAMSSAIRASSQRTWLGNGGLRSCVTVKTPSSSEEEEKKKEITIAEAKKLMRLVNVEDMKKKLVGIADRDVVPYTTLLEASQGMGIARSPDEAHIFARVLDDAGVVLIFRDKVFLHPDKVVDLIRRAMPLEQNPEEDQIKEEFNKLRIMKEEIDVLAHRQVRKILWCGLATSMVQIGLFFRLTFWEFSWDVMEPITFFATATGIIVGYAYFLMTSRDPTYQDFMKRLFLSRQRKLLKSHKFDCERFKELERICKMTSSCHAAASIRNRVGLELDLEDALQSRRD >Al_scaffold_0001_92 pep chromosome:v.1.0:1:365041:365523:-1 gene:Al_scaffold_0001_92 transcript:Al_scaffold_0001_92 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01380) TAIR;Acc:AT1G01380] MDTQRKSKHLKTNPTTVASSSEEVSSLEWEEIAMAQEEEDLICRMYKLVGERWDLIAGRIPGRTAEEIERFWVMKNHRRSRLR >Al_scaffold_0001_93 pep chromosome:v.1.0:1:367696:369417:-1 gene:Al_scaffold_0001_93 transcript:Al_scaffold_0001_93 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ82] MARTKHFATKSRSENRTGFFFSDFLVLTLDFESEIVDANASSSQAAGPTTTPTTRGTEGGDNTQQTNPTTSPATGGRNKPYRYKPGTVALREIRHFQKQTNLLIPAASFIRQVRSITHALAPPQINRWTAEALVALQEAAEDYLVGLFSDSMLCAIHARRVTLMRKDFELARRLGGKGRPW >Al_scaffold_0001_930 pep chromosome:v.1.0:1:3683457:3684113:1 gene:Al_scaffold_0001_930 transcript:Al_scaffold_0001_930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toll-Interleukin-Resistance (TIR) domain family protein [Source:Projected from Arabidopsis thaliana (AT1G09665) TAIR;Acc:AT1G09665] MKRSSSNRKIQVFINFRGEELRCSFVSHLVEAFKRHGINFFIDKDEQKGKDLKHLFARIKQSRMALAIFSKRYAESSWCLDELARIKKRADQRKLRVVPIFFKVKAESVRYQEAEFGRNFWRLAKTSSGEQIKRWKEALESVSDKVGLTLGDKRTNKWVHRVLYVTM >Al_scaffold_0001_94 pep chromosome:v.1.0:1:370308:371114:-1 gene:Al_scaffold_0001_94 transcript:Al_scaffold_0001_94 gene_biotype:protein_coding transcript_biotype:protein_coding description:regulatory component of ABA receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G01360) TAIR;Acc:AT1G01360] MMDGVGGGTAMYGGLETMQYVRTHHQHHCRENQCTSALVKHIKAPVHLVWSLVRRFDQPQKYKPFVSRCTVIGDPEIGSLREVNVKSGLPATTSTERLELLDDEEHILGIKIIGGDHRLKNYSSIVTVHPEIIEGRAGTMVIESFVVDVPEGNTKEETCYFVEVLIRCNLKSLADVSERLASQDITQFTT >Al_scaffold_0001_957 pep chromosome:v.1.0:1:3763693:3766772:-1 gene:Al_scaffold_0001_957 transcript:Al_scaffold_0001_957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhamnogalacturonate lyase family protein [Source:Projected from Arabidopsis thaliana (AT1G09880) TAIR;Acc:AT1G09880] MFISVFEIAFCQNQTPEPESTQVLQLHYQDQHVVVENGIFQLTLSNPEGFVTGIRYNGIENVLAYTGKEYDRGYWDLVWNFPGKKAKKTKGTLDRIEATKMEVITQNDEQIELSFTRTWNTSSTTAVPVNIDKRFVMLRNSSGFYSYAIFERLQGWPAVELDNMRLVFKLNKDKFHYMAISDDRQRYMPLPDDRIPPRGQPLAYPEAVQLLDPIEPEFKGEVDDKYEYSMESKDIKVHGWISTNDSVGFWQITPSNEFRSAGPLKQFLGSHVGPTNLAIFHSTHYVGAELIMSFKNGEAWKKVFGPVFIYLNSFPKGVDPLLLWHEAKNQTKIEEEKWPYNFTASDDFPESDQRGSVSGRLVVRDRFISSEDIPANGSYVGLAAPGDVGSWQRECKGYQFWSKADENGYFSINNVRSGRYHLYAFAPGFIGDYHNDTVFDISPGSKISLGDLVYEPPRDGSTLWEIGVPDRSAAEFYIPDPNPSFVNKLYLNHSDKFRQYGLWERYSELYPDEDMVYNVDADDYSKKWFFMQVTRKHANGGYNGTTWQIRFQFDDKMKNLTGNFKLRIALATSNVAELQVRVNDLSADPPLFTTGQIGRDNTIARHGIHGLYWLYNVNVPAASLHLGNNTIYLTQPLATSPFQGLMYDYIRLEYPDSINYITKS >Al_scaffold_0001_963 pep chromosome:v.1.0:1:3789814:3791340:-1 gene:Al_scaffold_0001_963 transcript:Al_scaffold_0001_963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT1G09935) TAIR;Acc:AT1G09935] MCREMDARFLYPLESCKIIHLLRHGQALHNVEAEKDRNALLSPHLFDAPLTDHGHQQVENLRERVVLSGLLKRVELVVTSPLFRTMQTAVGVFGNEYEQLSMTNSPPILALEVARDRNGVRPPDMRRNISEYQTLFPTIDFSQIESEEDNLWRPDVRESEEEILARGLEFMKWLWKRPEKEVAVVSHGIVLQHMLYVFASDCDESIRHELCKRFANCEIRTVVIVDKGMMSSPTEN >Al_scaffold_0001_968 pep chromosome:v.1.0:1:3819530:3820265:1 gene:Al_scaffold_0001_968 transcript:Al_scaffold_0001_968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KKK3] KAVEEFQEDPQSGSDQSQKKHKNDQSKETMNKESSQNEEEPKEKYIHMRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAFMLDEIINYIQSLQQQVEFLSMKLATVNPDINIDIDRILAKDVRAQNPP >Al_scaffold_0001_981 pep chromosome:v.1.0:1:3877948:3880554:1 gene:Al_scaffold_0001_981 transcript:Al_scaffold_0001_981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:D7KKL6] MALRCLSQSSTTSSYLSKIWGFRMHGTKAAASVVEEHVSGAEREDEEYADVDWDKLGFSLVRTDYMFATRSCRDGNFEQGYLSRYGNIELNPAAGILNYGQGLIEGMKAYRGEDGRILLFRPELNAMRMKIGAERMCMHSPSVHQFIEGVKQTVLANRRWVPPPGKGSLYLRPLLFGTGASLGVAAAPEYTFLVFGSPVQNYFKEGTAALNLYVEKVIPRAYLGGTGGVKAISNYGPVLEVMRRAKSRGFSDVLYLDAETGKNIEEVSAANIFLVKGNIIVTPVTSGTILGGITRKSVIEIALDLGYKVEERRVPVEELKEAEEVFCTGTAAGVASVGSITYQNTRTEYKVGDGIVTQQLRSILLGIQTGSIQDTKNWVLQIA >Al_scaffold_0001_997 pep chromosome:v.1.0:1:3952200:3965108:1 gene:Al_scaffold_0001_997 transcript:Al_scaffold_0001_997 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: ZCF37 (TAIR:AT1G59590.1); Has 43 Blast hits to 43 proteins in 15 species: Archae - 0; Bacteria - 2; Metazoa - 7; Fungi - 0; Plants - 27; Viruses - 0; Other Eukaryotes - 7 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G10220) TAIR;Acc:AT1G10220] MLSPFSSPRRSRRGSKENKNPYSNQGLDKFSALLSELDEKRQSIYAKRLDPDGPPLVRFVFTSSGECVPVMIKTKKAGQKKDVQDDFKVKKKDVLDDFKFKTESKTEHEIEIKKADLETEQQQSYVVNENLKKISRPNHLFPVTVVLVLTETKRKSTAKETKRAFKRFFEHIPRTALIGMLSFFLHDKLSKYEKDPSKPFSNALAYASIGYVVFQIAHGFARAKNPTSFVFDFISILCGLASVVIAFAAIFNS >Al_scaffold_0002_1000 pep chromosome:v.1.0:2:9235277:9236015:1 gene:Al_scaffold_0002_1000 transcript:Al_scaffold_0002_1000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRT1] MWPSGDLTIARLRGIDPWPRPTGQLLHKWQPLDQEHLVNRSQVVRDLIECCDGKSNPIRFSLLTSDEIRKDTNNYSRFWIRFSLQMVFRQEREPSHDTHQERCLLVDWLERISFVPRHSTDSSFINVMVYHRVQKLDIRDETWKRRPKIAQDIATA >Al_scaffold_0002_1001 pep chromosome:v.1.0:2:9237993:9239740:1 gene:Al_scaffold_0002_1001 transcript:Al_scaffold_0002_1001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRT3] MAYEIAIEMALKASPVAGLFPPLRPTASSSPSTSNRPCSLRVLPLRPSFFGNSSGALRVNVLRLACANRLRCNGHGATMNLFERFSRVVKSYANALISSFEDPEKILEQTVIEKNSDLTKMRQATAQVQPLNDESLLLYFLFLKIAFTPTNERT >Al_scaffold_0002_1005 pep chromosome:v.1.0:2:9255735:9256992:-1 gene:Al_scaffold_0002_1005 transcript:Al_scaffold_0002_1005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRT7] MMHTSSVLSATVEMLQHAEEVYTPEVFKLFQKQYTVIGDYVAKKVSKSEMVYEYKVSYRGGPREHLVNYDATNQTIQCSCMKYSFAGILCRHALKVLDKKDVRRIPSSYILNRWSKEAKSQNISSYRSETFNGTITQSIGKRYSHLCHNFREIASVAAEHVELTMCANEAACELLKKLEEKKKELVKANAWMLPTSNVEHVEREEEDEKATVGQPKNKKVGPHGRYLNALETKKRGTPKRKLSFQDSTFATSNPTLQPEPFSSQVLQLQAVRLYSRGLFLHKCFRNSTKVTEIYCKARSF >Al_scaffold_0002_1007 pep chromosome:v.1.0:2:9280256:9281397:-1 gene:Al_scaffold_0002_1007 transcript:Al_scaffold_0002_1007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRT8] MAAAGNKSINAKLVLLGDVGAGKSSLVLRFVKDQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVFDVTNQASFERAKKWVQELQAQGNPNMVMALAGNKSDLLDARKFIGSTLFWNLGF >Al_scaffold_0002_1008 pep chromosome:v.1.0:2:9281805:9283186:-1 gene:Al_scaffold_0002_1008 transcript:Al_scaffold_0002_1008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7KRT9] MDGTGDYKTVMEAIIAAPVNSKLRYIIYVKKGIYNEIVKIEDTKTNLIIIGDGRDDTILSGNLNANDGIKTYDSATLASYIFYDSSNETMTSFFIRVQIRFFFVWHRVLPISEPGLFMAQDICIRNTAGPAKGQAVALRVSAEAVVIHRCRIEAYQDSLYAHWGKQFYSECYITGTVDFICGHATAVFQHCQIEARKPKFGQSNVITAHSRTNPSDKSGFSIQKCNITASSELAPVRGTIKTYLGRPWGNFSRVIFLESFMDALIDPAGYIPWNKSDIETLSTLSYIEYKNKGLGAVTTNRVQWKGFKVMTDPKEAIKFTVGKFINQDFWLNSTGVPYEDGL >Al_scaffold_0002_1009 pep chromosome:v.1.0:2:9286517:9287054:-1 gene:Al_scaffold_0002_1009 transcript:Al_scaffold_0002_1009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRU0] MNRLEYPGVGPEISFMKETGRAIQACMRLSRLEGIIPALEASHALAFLEKLVPTLRDGAKVVVNCSGRGDKDLDTLIQRGMPSSLCSLTKMRHYTKPS >Al_scaffold_0002_1010 pep chromosome:v.1.0:2:9287612:9294036:-1 gene:Al_scaffold_0002_1010 transcript:Al_scaffold_0002_1010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KRU1] GGKPDVLVACVGSGSNALGLFHEFVGDKDVRLVGIEAAGLGLDSGKHSATLAVGDVGVYHGSMRYLLQDDQGQILNPHSVGVG >Al_scaffold_0002_1011 pep chromosome:v.1.0:2:9294129:9296839:-1 gene:Al_scaffold_0002_1011 transcript:Al_scaffold_0002_1011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRU2] MVSAFVHVTNQQFILHISSSGKITDPDKPSSSTSPLPLNNPSADMKEHLPLISSTSDDLNEHLPWMSSPSRSNRAASNPSDSLRLSPVNSSPSRSQTTETAQIAGSPTALFGPDPPEVHDNIPSDFVPSPRSKTTDEGDGSTANLGPDPPEDQNNLPSDPVNLSRDCVSPQDIPAEYSPALLPPRFQSHTATHNFPLSFQLLALHTIPLLERYLPDLKDEQTFTDRSVLQLTQLKTYHNSNIFQTENDPLVDVESIIHTDDGDDLQNYSWSDEVEDMAVDIIWNKLKDGHCFNKSDWHSAPIPRKQGTKKQGTKIGDIPTTSKTREAEMVPGIPVTVDLSTAEGLLREVDRRNHEHTPKIEEMWRIERAVYKDEIVDEVLSILREKSVYRDSDNLSHTDLMAKMNKSKKFDLTPDLSTILKDVSVSCKKTYIPHTTYR >Al_scaffold_0002_1012 pep chromosome:v.1.0:2:9297607:9298899:-1 gene:Al_scaffold_0002_1012 transcript:Al_scaffold_0002_1012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7KRU3] MMIRVCKGYDDKPSCQNLLLKLKTVSSSLLEMRRRDLLIFVLKNSVWRVDMVMIGVRKDTKLLEEMEMESDMLGGSPNLGSYENVHTWLSGVLTSYITCIDGIGEGVYKRRVNPELEELISRARVKKHSIPQLLLLIGKGFIGIYMCFRNTAGPAKGPAVALRVSGDMSVIHRCRIEGYQDVLYPHRDRQFYRECFITGTVDFICRFAAAVFQLCRIEARKPVRGQGNVITAQSRSILYQKSGFSIQKCNITASSDLYPLKATMKTFFGRPWKKFSTVAILQSFIDELVDPAGWTPWEGETGLSTLYYGEYQNNGPGAVTSKRVNWTGFRVISDPKEAAKFTIDELLHGESWLKDSGVPYEGGL >Al_scaffold_0002_1015 pep chromosome:v.1.0:2:9322259:9324695:1 gene:Al_scaffold_0002_1015 transcript:Al_scaffold_0002_1015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRU6] YLTYTYIYIYHNLYTYTFNIYIYIYIYHNLYTYTLKVSIYVINHIYIYIYIYIIEIKFLKKYILSLVNYFSLNL >Al_scaffold_0002_102 pep chromosome:v.1.0:2:579910:583436:1 gene:Al_scaffold_0002_102 transcript:Al_scaffold_0002_102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSY0] MASSSSSSSLRNWTYHVFASFHGEDVRKTFLSHIRKQFICNGITMFDDQGIKRGKTITPELIQGIRESRISIIVLSKNYASSSWCLDELLEILKCREDIGQIVMTVFYGVDTSDVRKQTGEFGIAFNKTCAGKTEEESRRWSQALTDAANIAGVDFKNCKNEAEMIEEIANHVSNQLNVTPSKDFDGMVGLEAHLRELESLLDLDSVGVQMVGIYGPAGIGKSTIARALHSRLSNRFQHNCFVDIQWESFRIGFDDYGLKLRLQEKFLSNILDLSGLRISHLGAIKERLSKLRVLIILDDVNHMKQLEALANETTWFGPGSRIIVTTENKELLHQHGINNTYHVGFPSDEKALKILCRYAFRKSYPHNGFKKLALRVTELCGNLPLALRVVGSSLRGKNEEEWEEVICRLDSIFDHQDIKEVLRVGYESLHENEQSLFLHISVFFNYRDVDLVTAMLADKNLDVKYGLKILGTREVSGISFDTSGINEVIIKKGAFKRMPNLRFLRVYKSKDDGNDVVYIPEEMEFPRFLRLLDWEAYPSKSLPANFNAESLVELILSDNQLEKLWEGSQHLPNLKKMDLRHSYDLKQLPDLSNATNLESLDVHLCASLVEFPSYIGNLHKLEELKMGFCINLQVVPTLVNLASLDYLDMKGCSQLKKFPDISTNIRALVIADTILEELPRSIRLWSRLQYLSIYGSVKDPLLGRADIEKVPDWIKDLPRLQSLQIFGCPKLASLPEIPSSLKTLIANTCESLETLASFPIDSQVTSLFFPNCFKLGQEARQVITQQSLLACLPGRTIPAEFHHRDIGNSLTFRPGFFGFRICVVVSPKPAMGEHIRHYSMSRICINGCPTDQHILTGLREIRGEHLCITQFDLSDEDPEKEILLEIITTHQEVDIIECGVPILTVETDRTIRSYESISEQVSADDVENISGGSNEFDELRV >Al_scaffold_0002_1021 pep chromosome:v.1.0:2:9412104:9422712:-1 gene:Al_scaffold_0002_1021 transcript:Al_scaffold_0002_1021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRV6] MAARVKEEESLVVVNGEEEEHDSRERFLLRYFLQEWKLVKSLLDDIVANGRVIDPSSVQKIRSIMDKYQEQGQLVEPYLESIVSPLMFIIRSKTVELDAKPDEILDIIKPISIIIYALVTVCGYKAVIKFFPHQVSDLELAVALLEKCHSMTSVSSLRQESTGEMEAKCVTLLWLSILVLVPFDISSVDTSIANDKSFGVDDLAPLVLKILGFCKDYLCSAGPMRRISGLLLSKLLTRPDMGKAFASFFEWTHEVLSSKEDSVVNNFRLIGVMEALAAIFKTASRKVLLDALPVVLNDVIVLSKSNGATRSPLLRKYLIQLTQRIGLVCLPHRSPSWRYVAQTASLSENISMSSTHLLAPPDHAVFAVSQPESSDDQEDEDMDVPEILEQIIEMLLSRLRDTDTVVRWSAAKGIGRVTSRLTSVLSEEVLSSVLELFSPGEVLKINGYLACSKIQSKQADSYYIQGDGSWHGGCLALAELSRRGLLLPRSFPQVVPVIVKALHYDVRRGPHSVGSHVRDAAAYVCWAFGRAYYHKDMKNVLDQLAPDLLIVGSFDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNIANYFSLSSRVNSYLQVAASIAQYEGYLYPFVDELLYNKICHWDKSLRELAAETLAALVKYEPKHFANYVLEKLTPRTLSSDLCMRHGATLAAGEVVLALHQCGYVLSADSHKRMAGIVPAIEKARLYRGKGGEIMRLAVSRFIECISLSHVTLSERTERILLDTLNENLRHPNSQIQNAAVNAVKQFVQSYLVCNDKIAVDLVSKHLTHLTDPNVAARRGFALALGALPCELLTAKWKDVVLKLCSACQIEANPEDRDAEARMNAVKGLISVCETLTQRSASDPENDDLSLFVLIKTEVVDTLLKALDDYSVDNRGDVGSWVREAAIHGLEKCTYILCKKVRTNAADDHNNESSSLFDSSLANHLIGGIVKQGMEKMDKLRETAAKVLQRILYHETVTVPFIPHRETLEEVIPNKASLQWAVPEFSFPRFVQLLKFRCYSKQVMSGLVVSIGGLQESLKTASLSALLEYLREEDEAKDLKQQQSRESAICDDILWILQEYKKCNRVIVPCLKTIQELLDRIFRNQEAYTLSFYTGVMDSLAIELRASKKFEKVDAGVGILGSIASVSEPISTQAFSQLLSFLAHQYPKAHIRNKAAFQAYLALLQNKILVPADKIEKVKHIISVTCWDADTESTQSQRLELCKLTGLDYGVVFKTRNRRVTRDRISALDENASYSALVDSSGF >Al_scaffold_0002_103 pep chromosome:v.1.0:2:585559:588751:1 gene:Al_scaffold_0002_103 transcript:Al_scaffold_0002_103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSY1] MASSSSSSSRTWRYRVFTSFHGPDVRKTFLSHLRKEFICNGITMFDDQGIERGQTISPELTQGIRESRISIVLLSKNYASSSWCLDELLEILKCKEDMGQIVMTVFYGVNPSDVRKQTGEFGMAFNETCARKTEEERRKWSQALNDVGNIAGEHFLNWDNESKMIEKIARDVSNKLNATPARDFEDMVGLEAHLKKIQSLLHCIGKTTIARALHSRLSSSFQLTCFMENLRGSYNGGLDEYGLKLQLQEQLLSKILNQNGMRIYHLGAVPERLCDQKQLEALANETNWFGPGSRIIVTTEDQEILEQHDIKNTYHVDFPTKEEACKIFCRYAFRRSLAPCGFVQLAERVTELCSNLPLGLRVMGSTLRGKKEGDWEGILHRLENSLDQQINGVLRVGYDTLHKDDQYLFLLIAFFFNYQDGDHVKIMLSDSNLDVSLGLKTLTYKSIIQIANDGNIVMHKLLQQVGREAVQLQNPKIRKILIDTDEICDVLENGSGSRSVMGISFDISTIQDGVYISARAFKKMCNLRFLNIYKTRCDGNDRVHVPEDMGFPPRLRLLRWDVYPGKCLPRTFSPEYLVELKLQHNKLEKLWEGTQRLTNLKKMDLTESRKLKELPDLSNATNLEQLTLVSCKSLVRLPSSIGNLHKLEWLLVGLCRNLQIVPSHFNLASLERVEMYGCWKLRKLVDISTNITTLFITETMLEEFPESIRLWSRLQTLRIQGSLEGSHQSGAGIKKIPDCIKYLHGLKELYIVGCPKLVSLPELPSSLTILQASNCESLETVSLPFDSLFEYLHFPECFKLGQEARTVITQQSLLACLPGSIIPAEFDHRAIGNSLTIRSNFKEFRMCVVVSPRKLMNGPLFCRIHINGFPLDENIVQYFYTRTAHLCISHTELLDKYGWLEQDNEISFEFSTSSHEVDIIECGVQILMDKTGRS >Al_scaffold_0002_1030 pep chromosome:v.1.0:2:9505741:9506294:1 gene:Al_scaffold_0002_1030 transcript:Al_scaffold_0002_1030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRW3] MGMRSSIYIHIKNQRVLKAKGDKVNRSNPRLHHESVHYLQIHVEVKVRSKVWLINEGEEMSSNLKLHSK >Al_scaffold_0002_1031 pep chromosome:v.1.0:2:9507763:9508226:-1 gene:Al_scaffold_0002_1031 transcript:Al_scaffold_0002_1031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRW4] MTRKAPFFVILIVALLLLSPLFFGQVEAISTKQPKHRKLGNREGDENRSNEIVVQMKARVKRSKSKRGPQKKEPYKKPPCSPPSHPA >Al_scaffold_0002_1032 pep chromosome:v.1.0:2:9530234:9531744:1 gene:Al_scaffold_0002_1032 transcript:Al_scaffold_0002_1032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRW5] MSEQIYLSNLSRGRTLKYIRVKILSLWRVRIYGFRCKTEMLLADEQGTKIEGTIGCGPFQNVDMRELREDAWYTISDFVVSVPIRRTPNTLHPFHIKFHSDTKMTLIYDLYSPNFFEFAKYSVIKRRLLEANRPFDLCGVVVYVSEIKRMTYVPGEYDASTACNYLYFRLMDQKGRELPCFALGHYAADFMNVWTSRGYQASFRYQPVFCVLRFWKVDEFMGEPSISTRIGCSKIYLEPTLPEIKDLRMMSVFIARVTWAIEEGEDCDDAGEVVDQNS >Al_scaffold_0002_1034 pep chromosome:v.1.0:2:9536639:9537707:1 gene:Al_scaffold_0002_1034 transcript:Al_scaffold_0002_1034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRW8] MSQNSSHDITSDVDQPDVCVDFADLGPGMVTMRVKVRIIRRFVCPAYGARFVEFVLEDPKGQKIHAVLGGDVAQRFSSILIEGNCIILSDFVVRMALGRFRPSSHRFRLGSNALTSVNLIRPFSQSDNFHFAKFSDIKEGHLNPCFCVDLIGRLLVTFDFRRSESNRVNHRFFFQMKDRSGVRLIFRLPDVFVDSFMNERKKWIGDFQILIVRFAKLEVVEGNVTATTACTCTQFFFDHECPEFLVMRRFFTRK >Al_scaffold_0002_1036 pep chromosome:v.1.0:2:9539638:9540727:1 gene:Al_scaffold_0002_1036 transcript:Al_scaffold_0002_1036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRW9] MARPRSSICVSDLIPSMNERMMGRFIIIRRFRCSSRLDTLELILADEKGDRIQASIGLDCLAYDSSRLVEGTWIFIKDFGLVDAVGSVRPTRHAYKILWNLSTSFQRAVVTASVDYFKFVRFEDVLAGLVDPSVCVDLIGRLMCVGNYDEDEGMNSTWEQIYLELENVRGIRIRCRLPKGYATKFFSGLKTCADNIILCVMRFARLELSRGDMRATTLCTCTELLFNPSCDEASRMRLAFASVERKLF >Al_scaffold_0002_1037 pep chromosome:v.1.0:2:9541272:9542854:1 gene:Al_scaffold_0002_1037 transcript:Al_scaffold_0002_1037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRX0] MGNYCLILYRLKDALLVWIDAHEKNLSHKKKKLDEHVDDLKPIKLCWSIQVKVLNKWRERSEAGYRTLRMILCDKRGSMIEAKINSELITEYDSEFKDGDWISLHHFELDLVTGDMRTTRHAYRINFLPSTILNLIPDKDDLHYTLYPSSFLDVLDDKLIRTYLINLIGYVVDIEDIKYSDPSKRSAGYAMTSFKIKDANNYVLPCVAIGDLAEHFHDEWKRTRSSRVVCVLRWWGVYKVSGHMVIQSVGRCSRLDLDPDMPEVADFRVNIWSCLGMALRGIEPERKFMCSGEVLEGDEFWISCLT >Al_scaffold_0002_1039 pep chromosome:v.1.0:2:9548263:9550647:1 gene:Al_scaffold_0002_1039 transcript:Al_scaffold_0002_1039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRX3] MSSSRKSKNSLTFIDDINPIHDQNKIKVLILRLWKAYQRDAGNTIEMVLVDEKGSRIHAIVEDKNIKKFDSVLKEGDVVTLNPFKLIKYSGDYKSNSLSFKILFYRTTQVKPCDDFPKEVPEKYFVEFGDVLNGSRDTRVFVDVIGQIVNVGPIEDIKIRGKSTPKLDVELRDRGNVRLICTLWADFAKQVKVYTEANPAAVVCVIRCAQVKEWKGNWTISNAMGATRVLLDPPGVFVDEFRSGLPTDGVVLTNHDNSELFAGSTVSIRDQFLVKNHKRTVRDIVEALEEGMCVTMVTVGSVERTSKWYYVSCKMCNKSVEPYPENSGDDGKPPLYYCGVCDKDVSAVVFRYRLVLEVSDATNYKARFLLFDAMGSTLLRRTAQELYNAVSENDPSILPSEIGALVGRRFLFKVSIGGDNLKSDRSHYVVQLFSDDDELIKDYSDSLDSEVLVPLPVTPITKEASVRRGGVSVENPKPPTKKIKIEGKKEESFATT >Al_scaffold_0002_1040 pep chromosome:v.1.0:2:9551700:9552320:1 gene:Al_scaffold_0002_1040 transcript:Al_scaffold_0002_1040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRX4] MEVNKQNTNSTHISQTDECIVAIQQAASWVLSVDRLRGLFVMLMDVFCEADPLSVWDATWDVLFKDVLFPQQIIFNDHEPEYAYNEIQNFETFMRKENSTFNVYAELRKKVALAGGPTCDPSLEYKMRDQFNNLKID >Al_scaffold_0002_1041 pep chromosome:v.1.0:2:9553247:9554751:1 gene:Al_scaffold_0002_1041 transcript:Al_scaffold_0002_1041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRX5] MKRGRGDHRRIHRRVYNNNFDYLLDVPKDGAKKARNYRMEKLRARRTAFRNRLSGMESINRTRVIAAYENMISDELRALLNSRPPKDVCCRARARRVAILKSRRIKARTRQPETGLVLPIYEDDYVHFLATDSDSDHGDREDQTDDDNAMDYDFVEHLDNADECIMEQEGFGSIPIINRERTG >Al_scaffold_0002_1044 pep chromosome:v.1.0:2:9564914:9565534:1 gene:Al_scaffold_0002_1044 transcript:Al_scaffold_0002_1044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD63] MEVNKQNTNSTHISQTDECIVAIQQAASWVLSVDRLRGLFVMLMDVFCEADPLSVWDATWDVLFKDVLFPQQIIFNDHEPEYAYNEIQNFETFMRKENSTFNVYAELRKRVALAGGPTCDPSLEYKMRDQFNNLKID >Al_scaffold_0002_1048 pep chromosome:v.1.0:2:9588720:9590663:-1 gene:Al_scaffold_0002_1048 transcript:Al_scaffold_0002_1048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRY4] MRIFRSFKCGDYLRFKEKFEKVGDTVEDFARIEDRWAVRLALTATAIESCSFSSRRNLQLNAAINRCDPRLKFVIAGQKRTFLRFVACFLRFVTVQTPPELPLSFPESSLQAEDPSPETSTPPPAKVEKNEIQSLTSEHINNSKLVPTHILISPSSFQDSKERSPSSISGDQSEYDFLRATSDCGV >Al_scaffold_0002_105 pep chromosome:v.1.0:2:600101:603822:1 gene:Al_scaffold_0002_105 transcript:Al_scaffold_0002_105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSY5] MSLMASPSSFPPRNYNFNVFASFHGPDVRKTLLSHMRKQFNRNGITMFDDEKIERSATIAPSLIGGIRDSRISIVILSKKYASSSWCLDELVEILECKKVMGQIVMTIFYGADPSDVRKQLGEFGIAFDETCAHKTDEERKKWSEALNEVGNIAGEDFNRWDNEANMIKKIAEDVSDKLNATPSRVFDGMVGLTAHLRKMESLLDLDNDEVKMVAITGPAGIGKTTIARALQTLLSNKFQLTCFVDNLRGSYYNGLDVVRLQEQFLSNLLNQDGLRIRHSGVIEERLCKQRVLIILDDVNNIKQLMALANETTWFGPGSRIVVTTENKELLQQHGIDNMYHVGFPSDEDAIKILCKYAFRKNSLYHGFKKLAKRVIELCCNLPLGLCVVGSSLRGKNEEEWEQVIHKLETNLNQDIEEVLRIGYESLDENEQSLFLHIAVFFNHKDGDHMKTMFAESDLDVKHGLKILVNRSLVEISTYDGRIMMHRLLQQVGKKAIHKQEPWKRKILLDAPDICDVLERATGTRAMSGISFDISGINEVSISKKAFQRMPNLRFLRVYKSRVDGNDRVHIPEGMEFPHRLRLLDWEEYPRKSLHPTFHPEYLVELNFENSKLEKLWEGREVLTNLKKINLALSRNLKKLPDLTYATNLEELSLLRCESLEAIPSSFSHLHKLHRLLMNSCISIEVIPAHMNLASLEQVSMAGCSSLRNIPLMSTNITNLYISDTEVEYLPASIGLCSRLEFLHITRNRNFKGLSHLPTSLRTLNLRGTDIERIPDCIKDLHRLETLDLSECRKLASLPELPGSLSSLMARDCESLETVFCPMNTPNTRIDFTNCFKLCQEALRASIQQSFFLVDALLPGREMPAVFDHRAKGNSLTIPPNVHRSYSRFVVCVLFSPKQQFTEGLLHRPIGGWDLNPVEGVVLVDSRYVSTCRREHLFIFRSRFPFNEPSDVSRKMVFKFSREFQEFDIIECGAKILTDESMENSYESGSDQVFEEEGLGWSYESGSDQVFEEDSLAWSYGSGSDQVFGEDIEFQPSEEAFVDETNIDDISNGVICVSKEVENLEGEKRADCWSWLFLCFDLSVLPFWGRRR >Al_scaffold_0002_1050 pep chromosome:v.1.0:2:9613326:9614942:-1 gene:Al_scaffold_0002_1050 transcript:Al_scaffold_0002_1050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRY5] MAAIQAFTPLADIKPFKTHWKIQVKIVHSWTQYTQFTGETVEMVLADTAGTLIHATVKKPQVSKLQRFIVSGEWRIIEHFTLTKSTGKYRATKHGFKMSMMEKTVISRTPSVSDDIYVDLANFPDILNEAGLSENILIGERAVSNSFDMSLLEINATYPAVLDFVANLPPDVIPLTIEDSKAKQVNAEQRKKDYYDRFPRKTISGLFCFAETGKCNIICTIMNIDTDYSWYFFSCLKCSKTAYKIPKVENEIVKKGKKEMFWCPTCKEDTPKVIPR >Al_scaffold_0002_1052 pep chromosome:v.1.0:2:9639802:9642575:-1 gene:Al_scaffold_0002_1052 transcript:Al_scaffold_0002_1052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSB5] MARDDFDDALCQVLGRVLLLVVSRPLDRHTPTRDIPAKYEEVRSAIFRVQNRSWKDITKERVARIMGKVKRNFEDPRIPESDSAPALELPMVADSSAETYLVLAENQIAEDTQDRVDTGKIEDDNQVAVAQVVAPEMM >Al_scaffold_0002_1053 pep chromosome:v.1.0:2:9650069:9650810:1 gene:Al_scaffold_0002_1053 transcript:Al_scaffold_0002_1053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSB6] MDLSLIFFFLDPDNFPKQLLGETRRERHVKRAKWSQEADLQKLDVFEKLEAKSNAEGKEEKEEGEDDEEVDESQGEEYDNRDYDQNQDFDDDNDDYNQADDGDFEEVY >Al_scaffold_0002_1055 pep chromosome:v.1.0:2:9706008:9706423:1 gene:Al_scaffold_0002_1055 transcript:Al_scaffold_0002_1055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSC4] MGSKTSSQMVALLLSLLILIFTLSSQVRIVEAKSRKLANGRPIVWTPASRSCGASHASWKKNHGPCKRPPRTAPASYNSP >Al_scaffold_0002_106 pep chromosome:v.1.0:2:608999:610409:1 gene:Al_scaffold_0002_106 transcript:Al_scaffold_0002_106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G63855) TAIR;Acc:AT1G63855] MTTTTTISQHDFYGDGDSETSISISVIENLKEEYGLFVWPCSVILAEYVWQQRSRFRHSSVLELGAGTSLPGLVAAKVGANVTLTDDASKPEVLDNMRRVCELNKLNCNVMGLTWGVWDAPIFDLRPNIILGADVLYDSSGYGHHLIEFLMVKWGLKCVKLLDGFSFLPSYKASLLSGNIQLVEIVLSSKNHEL >Al_scaffold_0002_1064 pep chromosome:v.1.0:2:9739399:9739638:1 gene:Al_scaffold_0002_1064 transcript:Al_scaffold_0002_1064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSD2] MQIFVKGVEGKPVNIKVTDDKTKIKILKSLVEAKEEISIEEQKIYFGGKMLIDNDATFGDYYDNEGSSQQFVVRLVGGI >Al_scaffold_0002_1070 pep chromosome:v.1.0:2:9801743:9802045:1 gene:Al_scaffold_0002_1070 transcript:Al_scaffold_0002_1070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSD7] MGTKQIVTVMFFFLSVIMALLCHHQSEAQAPIPNPGDCFSSIKKVKGCVDAVKAATKGDFKGLDKDCCHAINGLVHHCFLILFPGKPYIALRVKDACYIN >Al_scaffold_0002_1071 pep chromosome:v.1.0:2:9802879:9806926:-1 gene:Al_scaffold_0002_1071 transcript:Al_scaffold_0002_1071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSD8] MGLSKSICMVIFSLFFFSFLAGNAKNPILVNENCSNARNFTKDSKYEFNLNDMLTKLSVSSNSSSASGFYTETSGVDPNIVEGMLLCLGDVSVEDCRDCVITASKAITKTCSVQKTAITWYDNCMLRYSDVKIIGKMETVPSYMSVGTITDHDLYVKSINLMKKLIDKVNQSAQLYADEEVDGGDTKLGKIHGMVQCIIGINATDCVTCLNTLMEKVYKENKDKVWWQMYGPSCMLRYKASGVTPAPTPVPHMFAFPKNKKGKNKVLDLDGVMINAESDESQHMHISLDTIVDATNGFSDDNKLGEGGFGPVYKGKLPNGEDVAIKRLSKKSSQGLTEFKNEVSLIIKLQHRNLVRLLGYCFEEDEKILIYEYMSNKSLDVFLYDPLKSKELDWKKRMNIIYGMTRGLQYLHKDSRLKIIHRDLKPGNILLDCEMNPKISDFGTARIFGRQQKEENTDKIVGTIGYMSPEYALGGKISEKSDIYSFGVLLLEIISGKKANRLVSHNNQYLSLIDYAWESWCETKGLNMISDEEAMLDSSFSPAEVVKCVDIALLCLQYQPKERPTISQIADMLRSNNDGLSHPKQPSFVLQNLLNLDQHSEYEISQTTLEART >Al_scaffold_0002_1077 pep chromosome:v.1.0:2:9897159:9899833:-1 gene:Al_scaffold_0002_1077 transcript:Al_scaffold_0002_1077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSE8] MSFRCVRTVSGFRSLSCALPGFLLLRGNVAPKTAIFASPSSNIRFFSSDTAPMDFEENKSKSVLKNFPVFSIFQDWKNKFLPVKEVSSDDAAENMTTSAIDLALNSVVKVFIVSSKHRIFQPWQISMQSECTGSGFVISGKRILTNAHVVADQTSVKVRKHGSPKKYKAKVQVVGHECDLAILEIDNEEFWEGLTHLELGDIPSQMDSVAVVGYPEGGDSISVTQGVVSRVVLRRYSHSSTELLKIQIDAAINSGNSGGPVIMGNKVVGVAFESRCCSELIGYIIPTPVIRHFLNGVEESGQHFSFCSMNLSYLTMEHAHTRNALKMGKEMTGIAVKSINPLSDAHKVLKKDDEIIVQDRVSFKHLVSMKKPCDTASFKVLREGKEHEFNISLKPVQPLVPVNQYDMPPSYYIYGGLVFVPLTQPYIDRSYICECCVKKMPTKAGEQIVIISQILEDDITSGLSIFEDLQVKKLNGVEVDNLKHLCQLIEECSNEYLRFDLEDNNFFFLEHKSAKKATCKILKSLKIPSAMSEDLQPKQRNNRRKVPRQSKKH >Al_scaffold_0002_1078 pep chromosome:v.1.0:2:9903431:9906191:-1 gene:Al_scaffold_0002_1078 transcript:Al_scaffold_0002_1078 gene_biotype:protein_coding transcript_biotype:protein_coding description:DegP protease 4 [Source:Projected from Arabidopsis thaliana (AT1G65640) TAIR;Acc:AT1G65640] MLFRFLQTLARFCSFLLISVMGSRFSPLFLLGYVKLQDENHHNSESTLASGTDTKQPDAAENVTSSSIDFAVNSVVKVFTVSSVPSILQPWQNWQQQESSGSGFVISGKKILTNAHVVADHIFLQVRKHGSPTNYKAEVRAVGHECDLAILEIDNEEFWEDLIPLELGEIPSLDESVAVLGYPHGGDSLSITKGYVSRVEYTQYAHGGTTLLAIQTDAAINSGNSGGPAIIGNKTAGVAFQKCTSSDNIGYIIPTPVITHFLTAVEENGQYGGFCTLDLSYQLMENSQLRNHFKMGPEMTGILINEINPLSDAYKRLRKDDIILAIDDVLIGNDAKVAFRNKERINFNHFVSMKKLNETVLLKVLREGKEHDFHISLKPVPPLVPVHQYDKLPSYYIFAGFVFVPLTQPYIDSTLICNCANKNMPEKAGEQLVIISQVLADDINAGYTDFNDLKVIKVNGVQVENLKHLSELVEKCCTEDLRLDLENEKVVVLNYENAKEATSLILKLHRIPSANSKDLQSEKGPLIY >Al_scaffold_0002_1083 pep chromosome:v.1.0:2:9931829:9935766:-1 gene:Al_scaffold_0002_1083 transcript:Al_scaffold_0002_1083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSF5] MATPSGTKSFNCKTSSSLLLPEKKPETISRTCLKWVYRVYQRITNCVMGIVLAPRCPISIDGISIDPEPNWNFHSLVSEIESVEKKLNAFSKFPQSITNTTSRYNLEMCLAASALYEVINDHLTDIKEDIRNQVTVVETEIVNEIETSRSAIAGVEKYSEARKEVERKLDLQYQRKVSEEEARRRERAHQEEKIRQEKARLEAEMVAKIRAEEEKKEVERKAAKEVVEKEEADRKATEKKLAEEKAVIERLSVTGSSESALTLEKHRLKKLEETLAVLKSVLEGVKINETVKMFKDPCCPLSISIATFAKKMVFSKQNPFAYSYVIVYVTSQFPQAMDILLAEFHKACIYTVPKHIVNSQSQSAWDSEAYERLGYIMRLYGALVQTDIRGGNATNIHGIEHGWAWLARFLNKISAINSVTASALNAFLQRLRAKENTLDLQPIIADIMTYLDDWMYLNSQGTSRMKYEDDESLVDRVYC >Al_scaffold_0002_1084 pep chromosome:v.1.0:2:9936938:9938993:1 gene:Al_scaffold_0002_1084 transcript:Al_scaffold_0002_1084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNK5] MNELMKTCLFIKFINKLFTSIAEHCADAEVIYKFLEVNEIDKTTVVSGDDLFVHQSISSEKFRSLTVDEAVEFKVEVDNASRLKTVEVSGPEGEDVMVEIIKEDMVVMVLALGVVNQVIWREIVLKVVEDTVVVEVVEGTLI >Al_scaffold_0002_1085 pep chromosome:v.1.0:2:9940960:9942163:1 gene:Al_scaffold_0002_1085 transcript:Al_scaffold_0002_1085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSF7] MDLYVSRAKIPLKRELRFLRFSFSNLRVILGSRLRQFASRVLRASNRGTEQGFSGLIESHVSDMIFALSLCYHQPESAMVNYIVKQYVVAIEGDTTLVAVESMYVIGKLKMASKTLIGRERRRW >Al_scaffold_0002_1087 pep chromosome:v.1.0:2:9958500:9958888:-1 gene:Al_scaffold_0002_1087 transcript:Al_scaffold_0002_1087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSF8] MGLDDIYDYGINSPLDYSSEEEEDSSYYPLQPQTVKRSLWSCDAEPTKPDYETELMKFKDHQNHSDQRLVKLENWRGLLAQF >Al_scaffold_0002_1091 pep chromosome:v.1.0:2:9983857:9984980:-1 gene:Al_scaffold_0002_1091 transcript:Al_scaffold_0002_1091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSG2] MALLGEMDQLGEVTQLNEMTELGIGVLSRHDESIGSSWRGIIVRRVIECRSGRSVVRWVDRQVKECRSVCQGVSFGGSIDRSKSVIRQVDRRVKECRSAWQGESFRRSILH >Al_scaffold_0002_1092 pep chromosome:v.1.0:2:9986092:9988282:1 gene:Al_scaffold_0002_1092 transcript:Al_scaffold_0002_1092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSG3] MKISDLLLIFLRLNLILNDGGRSLLFLWQRGGVVVSLADAVLWLQLRLVTSIGERARNEATTVVLDQLLAFCNRDDIFERNVRSRNLAPFQIHPFIGSSYVKRVLSGVMILLKSNSSANFYFRIMTPKEMWPKKNQKYGWLMDKWYEDYKKFDTDKDWFFTDVYYKCVEEGNPTARYVESLRLLTQEGPFKEADMLSGRVENSVYAHFAYGIDLIAGVRAIRSTMYVLPISF >Al_scaffold_0002_1100 pep chromosome:v.1.0:2:10063708:10064781:-1 gene:Al_scaffold_0002_1100 transcript:Al_scaffold_0002_1100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSG9] MPREDRDLWFKQFAQDFNWERDLTTEVRRMFDVEMAREFSHTVNQWKQKWVLGETPKCINEKVYEGLKDHWIQPVTKKTSSTNSNNRNSRRGGKGISTHNAGSTSFFTRGKQLETPKTKGRIFGLGKLGKRTRSHPTSSYMYNRDPELERALQEKDDRIEVLEKLMEEEKQANKKRDEEIAKKDAEMAKFMQDVLSRLPPNSSS >Al_scaffold_0002_1101 pep chromosome:v.1.0:2:10099665:10100459:-1 gene:Al_scaffold_0002_1101 transcript:Al_scaffold_0002_1101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KSH0] ICSWISDSPANVKTKEVSSVEGRVQLGAVLAEERALSAREEETQLEREVEPARMSLMELLEENEGQISLVSVDGEAEEVAAAETVAVAEISCCVCMKEAKERRLFHVVIRFVGCVQESFEFKEETVLFVIQQF >Al_scaffold_0002_1107 pep chromosome:v.1.0:2:10122990:10124397:-1 gene:Al_scaffold_0002_1107 transcript:Al_scaffold_0002_1107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSH6] MLLQNLRPSISNLSPILTWVSSDNIQISSPCVGDVVSGIDGVSDRAHCDQVTLQSRASFLSFRESVSPTLSWPQLKCYGVGFFPSWNGVRRFFTGTSLFRHDIIWVSHMNLSNSGIGNQFRTWDPGVIDCDSTVCVMKLRMHQLDHKLIQILKSIIDIVKFWRHDLINIGWILWLWNASARQTVEYMHKMGMGNCVNGRIRNDFISIRRHKSDFINKNIFVEIHGQRVTRRKTAARTRLNFTRLDERSLAPMLGGEEDDGFVFLLFSNGNGLHQYWSSPPLQLLIFNNFNPSRNTKCLIDDDVDCVVGSNASLVVSSTEVIMDMLLCQGLCFSRKITKSSFLRKTLVRKLWYHRVYISELFMDTSGVKLRFAKRKFSHKLLHANRNQEKSNMKLDNNGSYGRLASKTTLRKASSISLLLYFS >Al_scaffold_0002_111 pep chromosome:v.1.0:2:644121:644790:-1 gene:Al_scaffold_0002_111 transcript:Al_scaffold_0002_111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTD2] MTFVEYSEWHLLWLKASYSCRCQTTTLLAIHYVGRLFLRVPLEFGHLEVTIGWNDLIITAESCSSLRVFQSTGSFNSASRKNPNANIESTRRSFAPHNTAELPIRRPNS >Al_scaffold_0002_1110 pep chromosome:v.1.0:2:10136179:10136358:-1 gene:Al_scaffold_0002_1110 transcript:Al_scaffold_0002_1110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSI0] MKDSECPHCHIMVCVECGTKWHPEITCEEFQKLAENERGRDDILLATMAKKKKWKRCPS >Al_scaffold_0002_1113 pep chromosome:v.1.0:2:10140775:10141942:1 gene:Al_scaffold_0002_1113 transcript:Al_scaffold_0002_1113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KSY8] VYVIVLEALFNASAAPNSSFQRFTFSSQFLPEKAGDEAFLTALRELQSALCITAGYGNILPTKFLKIPPLFNGLGTVNMHPSLLPLYRGAAPVQRALQDGVPETGVTLAFTVVRKLDSGPVIASKRFQVDDLIKAPELLSFLVSVVLSFLSVTSLDTRWVRKIKTSSPR >Al_scaffold_0002_1120 pep chromosome:v.1.0:2:10200845:10204513:1 gene:Al_scaffold_0002_1120 transcript:Al_scaffold_0002_1120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSZ5] MMRSVQNYHHSYIFIILILFLAFSVSANTFSATESLTLSSNKTIISPNQIFELGFFNPASSSRWYLGIWFKIISKRTYVWVANRDNPLSSSNGTLKISGNNLVIFDQSDRPVWSTNITGGDVRSPLVAELLDNGNFVLRDSKNKDPRGFLWQSFDFPTDTLLSEMKLGWDNKTGYSKLLRSWKTTDDPSSGDFSIKLRTSGFPEFYVCNRESITYRSGPWIGNRFSSVPGTKPLDYIVNNFTMSNQEVAYTYRVNKTNIYSILSLSSTGLLQRLTWMEAAQSWKQLWYSPKDLCDNYKECGNYGYCDPNSSPICNCIKGFEPMNEQAALRDDSVGCVRKTNLSCDGRDGFVRLTKMRLPDTTTTIVDRGIGLKECEERCLKDCNCTAFANTDIRNGGSGCVIWTGELLDIRNYAKGGQDLYVRLAAEDLEDKRIKNEKIIGSSIGVSILLLLMFIIFHFWKRKQKRSIAIQTPIVDQVRSQELPMNEVVISSRIYRSKENKTEYLELPMMELKALAMATNNFSNDNKLGQGGFGIVYKGRLLDGKDIAVKRLSKMSSQGTDEFMNEVRLIAKLQHINLVRLLGCCVDKGEKMLIYEYLENLSLDSHLFDKTRRSNLNWQKRFDIINGIARGLLYLHQDSRCRIIHRDLKASNVLLDKNMTPKISDFGMARIFGREETEANTRRVVGTYGYMSPEYAMDGIFSMKSDVFSFGVLLLEIISGKRNKGFYNSNHDLNLLSFVWRHWTEGKGLEIVDRINIDSSSSAFRTQILRCIQIGLLCVQERAEDRPEMSSVMVMLGSETTAITQPKRPGFCIGKSPLEADSSSSTQRDDECSVNQITLSVIDAR >Al_scaffold_0002_1121 pep chromosome:v.1.0:2:10206885:10210610:-1 gene:Al_scaffold_0002_1121 transcript:Al_scaffold_0002_1121 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G65810) TAIR;Acc:AT1G65810] MQQLWVSKETKKKERIIKGRDLVDVVFSWSLRDVLSSNLYKGKVGKIPNTFTSTKEYFESFVNPIIEETHADLLSSMGTIRRAQAFKFWEIKPGKDFKPPKDLYYEVTLQMVNDNVANGGQNLLEFNDLIAVTDKRPIRIDDLRCSNEPHLLALVCGVNENNPHLITILASKPIIFEDDDDKRTSTKRGKGERKRLSFFGVNLINMMTNIRIWSALHPHPEGGNLKLISRVLQSNNEVDGGSCVACKENSENVVSDHSARMLRSFKLNSSQEDAILSCLEAKSCYHSNNIKLIWGPPGTGKTKTTSVLLLNLLKMRCRTLTCAPTNIAVLEVCSRLVKLVSESLRFGGYGLGDIVLFGNKERMKIDDREDLFDVFLEYRVEELYRCFMAMTGWRANVNRMICLLSDPQREYRQFNSVNKTSLSFKEFVEERLSRLRNDLQHQFTTLCLHLPTTLLSFRVAEKMNQTNDLLRDISVSDVMGDGKKSCHGRRKYNRKDTGDERLENDSREQDCLKMLTSISTSINLPDFISKFELKILCLDNAYLLFCTASSSAKLHMSSPIQLLVIDEAAQLKECESAIPLQLPGLQHAILIGDEKQLPAMIKSKIASEADLGRSLFERLVLLGHNKQLLNMQYRMHPSISIFPNREFYDMKILDAPSVRLRSYEKKFLPEKMFGPYSFINIAYGREQFGEGYSSKNVVEVSVVAEIVSKLYSVSRKTGRSISVGVISPYKAQVFAIQERIGEMYNTEGTFTVSVRSVDGFQGGEEDIIIISTVRSNGKGAIGFLSNQQRTNVALTRARYCLWILGNEATLTNNKSVWRQLVVDAQARNCFHDAEEDKSLAQCIERSTTALDDLNKLQNKKLISFENSIWKVWLSNEFLKSLETFVDSEINKRVMNFLEKLSNGKELLQQEDEIESEYLLRQQKIDDGLSLIWAIDIFKKNNYHVQVLKIWQVLPSSDVSRAMEHLEKHYKRYTKVKIKRCRYICSQGDLVVPMRWPVDSNSCLKKDIVNDVSRSFALLSVVDEETVTPKPIKKQVKLKKVWKIRRKVQLSTSNSKDPK >Al_scaffold_0002_1126 pep chromosome:v.1.0:2:10257280:10258227:1 gene:Al_scaffold_0002_1126 transcript:Al_scaffold_0002_1126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KT02] MNKDQQSLNTSVFIKGNENSAKNVDLMGHSHQPFLARSCVDFLKNFFEDELHATQSIFSSKEKYEKILGMITDEDIQSELRGEWENSARSSPSEEATSLLRWEQLKTLQSKNNKALSLRTCVEEIVFNFIYPRIDLEVSK >Al_scaffold_0002_1127 pep chromosome:v.1.0:2:10274585:10275719:1 gene:Al_scaffold_0002_1127 transcript:Al_scaffold_0002_1127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KT03] MIPFTSDPCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFDLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITPKENAME >Al_scaffold_0002_1128 pep chromosome:v.1.0:2:10279810:10280476:-1 gene:Al_scaffold_0002_1128 transcript:Al_scaffold_0002_1128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KT04] MVVDVDTLIYTSELPHLHVSQPKQTLVVNDNTYLRALGDSRERRRGEAAINLAPIWPEIGAKSAKERSRQNRHEREGLRIVFDFVTNEKRFSLSKIATNQRMTRAHKIGPKTVLAS >Al_scaffold_0002_1132 pep chromosome:v.1.0:2:10295848:10300693:1 gene:Al_scaffold_0002_1132 transcript:Al_scaffold_0002_1132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS-LRR class) family [Source:Projected from Arabidopsis thaliana (AT1G65850) TAIR;Acc:AT1G65850] MDNKEIDSPSISPSSSSSHKLIHQVFPSFRGEDVRRDFLSHIHKEFQRKGITPFIDSEIKRGESIGLEIVQAIRGSKIAIVLLSRNYASSSWCLDELVEIMKCKEELSQIVIPIFYKVDPSDVKKLTGSFGSVFEDRCAGKTNELIRRWRQALAKVATITGYDSRCWDNEAAMIEKIANDISNMLNFSTPSRDFDGLIGMRAHMKIMEPLLCLHSDEVRMIGIWGPSGIGKTTIARVLFSQFSGTFELSVFMENVKDLMYTRPVCSDDYSAKIHLQKQFMSQIINHKDIEIPHLGVVEDRLKDKKVFIVLDNIDQSIQLDAIAKETRWFGCGSRIIITTQDRKLLKAHDGINDIYKVDFPSAYEACQIFCMYAFGQNFPKDGFEELAWEVARLLGGLPLGLRVMGSHFKGMSKHEWINALPRLRTRLDANIQSILKFSYNALCEEDKDLFLQIACLFNNKRIEKVEEHLAEKSLDVRQGIHVLAEKSLISIEEGRIKMHNLLEKLAKEIVRHKPGHQSIREPGKRQFLVHATDICEILTNDTGSKSVIGIHFYSSELSSELNISERAFEGMSNLKFLRFYYRYGDRSDKLYLPQGLNYLSRKLKILEWDRFPLTCMPSNFCTEYLVELNMRFSKLHKLWDGNMPLANLKWMYLNHSKILKELPDLSTATNLQELFLVKCSSLVELPSSIGKATNLQKLYLNMCTSLVELPSSIGNLHKLQKLTLNGCTKLEVLPANINLESLEELDLTDCLVLKRFPEISTNIKVLKLIGTAIKEVPSSTKSWLRLCDLELSYNQNLKESQHAFDIITTMYINDKEMQEIPLWVKKISRLQTFILSGCKKLVSLPQLSDSLSYLKVVNCESLERLDCSFHNPKISLGFGKFHESKLNQRRLSTTSRFKVCILLVNKGDKESEVKEVFGRILMKESYCILYLGLDVQCRPTHHFLPPSLTEHLYTFDFEADVTSRELFFEFQVDSNEMVIKECGIFQL >Al_scaffold_0002_1133 pep chromosome:v.1.0:2:10304639:10306304:-1 gene:Al_scaffold_0002_1133 transcript:Al_scaffold_0002_1133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KT10] MAFTLLVLFPLAGSDFLTVDGLHYNNTNLAVSVITNANSCRRRHHHPYRRGVAVTTNPRHRHHQRSFLRQQRCGISSSRCSCGSGLAVEKFCSRATGRGRRKVEVQRLLRLSRQFGRCGYGGSRGKKDPVDLRWRSWRSASVDLIEPSVPGFATICLHQSGSKGSCSEGLEMFRSRREGIDLVCQVARSGPDNVVPVYRRVKHFGRSVAGQVARRKGIDDGNRFLSSVIFDPALLPRSRGSSIRRN >Al_scaffold_0002_1134 pep chromosome:v.1.0:2:10313374:10313818:-1 gene:Al_scaffold_0002_1134 transcript:Al_scaffold_0002_1134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KT11] MTEKKTQTSLPLSFLFMLLCLSFHVRVTDARLRLRHVVHIPTPSSGYTPPSKPCGSGIHHVARESEKPCRLPRRPSGADP >Al_scaffold_0002_1135 pep chromosome:v.1.0:2:10324259:10327283:-1 gene:Al_scaffold_0002_1135 transcript:Al_scaffold_0002_1135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KT12] MDNLALCEVNNVPLTPITFLKRASECYPNRTSIIYGQTRFTWPQTYDHCCRLAASLLSFNIAKNDVISVVAPNTPAMYEMHFAVPMAGAVLNPINTRLDATSIAAILRHAKPKILFIDRSFEPLAREILHLLSCDDSNLNLLVIFIDENDFSKRVSSEELDYECLIQRGEPTPSLVARMFFIQDEHDPISLNYTSGTTADPKGVVISHRGAYLCSLSVIIGWEMGTCPVYLWTLPM >Al_scaffold_0002_1137 pep chromosome:v.1.0:2:10341569:10344740:-1 gene:Al_scaffold_0002_1137 transcript:Al_scaffold_0002_1137 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 28 [Source:Projected from Arabidopsis thaliana (AT1G65910) TAIR;Acc:AT1G65910] MAPVSMPPGFRFHPTDEELVIYYLKRKINGRTIELEIIPEIDLYKCEPWDLPGKSLLPSKDLEWFFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVTSHSRMVGTKKTLVYYRGRAPHGSRTDWVMHEYRLEEQECDSKSGIQDAYALCRVFKKSALANKIEEQHHGTKKNKATTNSEQSTSSTCLYSDGMYENLENSGYPVSPGTGGLTQLGNNSSSDMETIENKWSQFMSHDTSFNFPPQSQYGTISYPPSKVDIALECARLQNRMLPPVPPLYVEGLTHSEYLGNNVANDTDEMLSKIIALAQASHEPRNSLDSWDGGSASGNFHGDFNYSGEKVSCIEANAKAVDMQEHYGSFKEERLVENLRWVGVSSKELEKSFVEEHSTVVPIEDIWSYHRDNQEQEHQDQDGMDVNNNNGDVDDAFTLEFSENDHNENILDKNDHDTTSSSCFEVIKKVEVSHGLFVTTRQVTNTFFQKIVPSQTVIVYINPTGANECCHNMTSKEEVPVRKKINPQLNGVGSTFLVPWRKFVYVIGFNPMLLLMRCVHQGGNSNKNRSSECYSRQPKKGNCNNLGTILMMENAVVRRKFSKKKKEKNMVDEQGFRFQDSFVLKKLGLSLAIILAVSTISLI >Al_scaffold_0002_1139 pep chromosome:v.1.0:2:10366414:10391298:-1 gene:Al_scaffold_0002_1139 transcript:Al_scaffold_0002_1139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like (DEFL) family protein [Source:Projected from Arabidopsis thaliana (AT5G42232) TAIR;Acc:AT5G42232] WISPKRCLSYFFSLFFLSNHRFIVLIALLVLELSKIGKKCFSPAPCQKAGTQGCMEFCRNISFLLFGECTTNSDQCCCVTKTK >Al_scaffold_0002_1143 pep chromosome:v.1.0:2:10450541:10455966:1 gene:Al_scaffold_0002_1143 transcript:Al_scaffold_0002_1143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KT19] MVAYSLKSVGTYVWTCKTYDGDVQNDFLAQGKNFNNNLRARLDDNTKLLEFTEKLEAACVGTVESGKMTKDIALIIHGSWLSHFMNTENFIDVVVDELKARLASKLKKLKKFGKNLNVSVPQSFIC >Al_scaffold_0002_1147 pep chromosome:v.1.0:2:10518392:10519297:1 gene:Al_scaffold_0002_1147 transcript:Al_scaffold_0002_1147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KT22] MPYYVRPCLPPPENIKFSGCVIFGLDTEKTNEIFNKIFMENYSEQALKEFKSNPRLRVNVMKTIIASFNCQIHHEIIKEKIGFAIWMIGEYSLSFSDIENGLSVIKRSIGELPLPNSSKDDHDVQTCHWFEECRRRLLIDGDAKLRTQIAIALTKLIDKQKVFIHDISAGKLRQERAEAVRLIASLQLLPKPINLGRATIAFYSGEIRRCMRSICHQDIELKQLCVNASGKQSFSGPRTMVVHKEAGNI >Al_scaffold_0002_1149 pep chromosome:v.1.0:2:10523106:10525198:1 gene:Al_scaffold_0002_1149 transcript:Al_scaffold_0002_1149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KT24] MESTRSDPELDDDFSEIYKEYTGPASTVTNNTIQDKDKPIKQRSEERCDEEEQLPDPNSVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQEFFERVPARDNNVRVLFTEQVIESIERETGCKIKMDEKFIIVSGKDRLILRKGVDAVHKVKEDGEMKSSSVSHRSRSRSPRRTSVGPPRARNSEPQRQHPPSHGSSSFPERSGRQDKFVDNRVREENRIRENQRNVSRGSPQAYGSDRARSRSTHSKSPGRPRYSGWDKPYDRQKSEVSGYRSERWDQERMGGSSDIQVRHQFERPPFPQTLEELELEYTRDAMELEKKCDKEEDEENIKHRETIRELRESYMKKLAGLRGMNAKQWDEFLQLDAQRRQQQARQQNSGLNYGNYRQFPPYAEFDDGYSSNPPPYGGNNVPMDSKGRYPNHGDNYSSRHQDNNYGGFQRQRREDYGKAYNRY >Al_scaffold_0002_1159 pep chromosome:v.1.0:2:10579553:10581457:-1 gene:Al_scaffold_0002_1159 transcript:Al_scaffold_0002_1159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KT34] MAPIAVGDVVPDGTISFVDDNDQLQTASVHSLAAGKKVILFGVPGAFTPTCSMKHVPGFIEKAEELKSNYVDEIICFSVNDPFVMKAWGKTYAENKHVKFVADGSGKYTHLLGLELDLKDKGHGVRSKSFALLIDNLKVIVAKVESGDLVERIVSMVPLKFMRSVRLTCKRWNGLFKSRSFMKMHIDKEAAARELGETRMIVMMDYNVYLMGIVVNENTSTESLGKLTCLDDSEQVKISQVFHCDGLLLCILKDDDTKIVVWNPYLGQTRWIQTRKYYRAGGWKGRDIYMYALGFKNNSKSRSCRSPKILRFIDDFKLRPENPALRYEIYDFDSDLWTTLDVSPHWRIMSQRGLSIKGNTYWGAVERNEYAPISHIICFDFTRERFGPLLPLPFSAWGAEFASLSSVREEKIAALFQTSETFKFEIWITTKIEAENVSWSRFFTMDTSYLDSKLSYKSFFIDEEKKVAVVFEKEGKTICDLTHDTINIIGEDGCIMKLELGEPADKNCWPLVCSYVPSVVQIKLHKGGKRKEQSD >Al_scaffold_0002_1163 pep chromosome:v.1.0:2:10629621:10630368:1 gene:Al_scaffold_0002_1163 transcript:Al_scaffold_0002_1163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KT41] MSRGSLATFLHARGPDVHINWPTRMSLIKGMARGLFYLHTHANIIHGNLTSSNVLLDENINAKISDYRLSRLMTAAAGSSVIATAGALGYTAPELSKLKKANTKTDVYSLGVIILELLTGKSPSEALNGVDLPQWVATAVKEEWTNEVFDLELLNDVNTMVDEILNTLKLALHCVDPTPSTRPEAQQVMTQLGEIRPKETATTSEPLIDVPEASASTSQ >Al_scaffold_0002_1164 pep chromosome:v.1.0:2:10631520:10634451:-1 gene:Al_scaffold_0002_1164 transcript:Al_scaffold_0002_1164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KT42] MAPEVQFPCDGDGVCMRCQVKPPSEETLTCGTCVTPWHVSCLLPESLSSSTGEWQCPDCSGDVVSSAVPVTGNVGFESSGSVLVAAIRAIQADLTLTEAEKAKRRQKLMSGGGDDRLVEEDKKKLEIFCSICIQLPERPITTPCGHNFCLRCFEKWVGQGKLTCMTCRTKIPKNVARNPRINLALVSAIRLASVTKCSVEAAAAKVHHIIRNQDRPEKAFTTERAKKTGKANAASGRIYVTIPGDHFGPIPAENDPTRNQGVLVGESWEDRQDCRQWGAHFPHIAGIAGQSAVGAQSVALSGGYDDDEDHGEWFLYTGSGGRDLGGNKRTNKIQSSDQSFAKMNEALRLSCKMGYPVRVVRSHKEKRSAYAPKEGVRYDGVYRIEKCWSNVGIQGSYKVCRYLFVRCDNEPAPWTSDEHGDRPRPLPNIPELKKATDLFVRKESPSWDFDEAEGRWKWMKSPPVSRKAVAALDPEERKIIRKAKNGNNRLLKEFGCQICRKVLSFPVTTPCAHNFCKACLEAKFAGVSQVRERSRGGRTLRAKKNIMTCPCCTTDLSDFLQNPQVNREMMEVIENLKKKEEEAESSKDTADVSEVEPPAKRIKLVDTVAAAATDCVGK >Al_scaffold_0002_1165 pep chromosome:v.1.0:2:10637999:10641319:1 gene:Al_scaffold_0002_1165 transcript:Al_scaffold_0002_1165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KT43] MAPEVQFPCDGDGVCMRCQGKPPAEETLTCGTCVTPWHVSCLLPESLSSSTGEWQCPDCSGDVVPSAVPVTGNANAGLETSGSVLVAAIRAIQADVNLTEAEKAKRRQKLMSGGGDDRLDEEDKKKLEIFCSICIQLPERPITTPCGHNFCLRCFEKWVGQGKLTCMTCRTKIPKNVARNPRINLALVSAIRLASVTKCSVDATAAKVHHIIRNQDRPEKAFTTERAKKTGKANAASGRIYVTVPGDHFGPIPAENDPTRNQGVLVGESWEDRQECRQWGAHFPHVAGISGQSAVGAQSVALSGGYDDDEDHGEWFLYTGSGGRDLGGNKRTNKIQSSDQSFAKMNEALRLSCKMGYPVRVVRSHKEKRSAYAPKEGVRYDGVYRIEKCWSNVGIQGSYKVCRYLFVRCDNEPAPWTSDEHGDRPRPLPNIPELKKATDLFVRMESPSWDFDEAEGRWKWMKSPPVSRKAVAALDPEERKIIRKAKNGNNRLLKEFGCQICRKVLSFPVTTPCAHNFCKACLEAKFAGVSQVRERSRGGRTLRAKKNIMTCPCCTTDLSDFLQNPQVNREMMEVIENLKKKEEEAESSKDTAESSGGNNTESEEEETQTVSEVEPPTKRIKL >Al_scaffold_0002_1167 pep chromosome:v.1.0:2:10666300:10666575:1 gene:Al_scaffold_0002_1167 transcript:Al_scaffold_0002_1167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KT47] MLKDADYGCKPQHFKKRKHRNGQPDQEKLANRFALSRFMFTASKNRTISNNRTKKIKILSSNTSWVILHLSSTLRQQDKVQYFKLQRAGFD >Al_scaffold_0002_1169 pep chromosome:v.1.0:2:10671909:10674082:1 gene:Al_scaffold_0002_1169 transcript:Al_scaffold_0002_1169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS class) [Source:Projected from Arabidopsis thaliana (AT1G66090) TAIR;Acc:AT1G66090] MFNDERIERSQTIAPALTQAIRESRISIVVLSKNYASSSWCLDELLEILNCKENAGQIVMTIFHGVNPSDVRKQTGEFGIAFKETCARKTKEEMIKWTHALNFVGNIAGVHSKDFDDIVGLKAHLTEIESLLYLDYDKVKMVGISGPAGIGKSTIARALHSLLSSSFHHSCYMENLIESKANSALEYRSKLSLQEQLLSQVLNLKDIRIRHLGAIRERLHDQRVLIILDDVKEAEQLEVLADIKWFGPGSRIIVITENKDILLQHGICDIYHVGFPSQEDALKIFCLYAFRQTSPPDGYMKLHECEMVVKYCGSLPLNLHVLGTAFRGRRFIYLSLSEKSQALFDYLIRCSALENGRETDEPPSGDLTKILADLGEEIGDDLDIELVSLLI >Al_scaffold_0002_117 pep chromosome:v.1.0:2:678915:682264:-1 gene:Al_scaffold_0002_117 transcript:Al_scaffold_0002_117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS-LRR class) family [Source:Projected from Arabidopsis thaliana (AT1G63730) TAIR;Acc:AT1G63730] MASSSSPRTWRYRVFTSFHGPDVRKTFLSHLRKQFICNGITMFDDQGIERGQTISPELTRGIRESRISIVVLSKNYASSSWCLDELLEILKCKEDIGQIVMTIFYGVYPSHVRKQTGEFGIRLSETCDGKTEEERRRWSQALNDVGNIAGEHFLNWDKESKMVEKIARDVSNKLNTTISKDFEDMVGIEAHLQKMQSLLHLDNEDGAIIVGICGPSGIGKTTIARALHSRLSSSFQLTCFMENLKGSSNSGLDEYGLKLCLQQQLLSKILNQNDLRIFHLGAIPERLCDQKVLIILADVDDLQQLEALANETSWFGPGSRIIVTTEDQELLEQHDINNTYHVDFPTTKEARKIFCRSAFRQSSAPYGFEKLVERVIKLCSNLPLGLRVMGSSLRRKKEDDWESILHRLENSLDRKIEGVLRVGYDNLHKNDQFLFLLIAFFFNNQDNDHVKAMLGGSNLDVRYGLKTLTYKSLIQISIKGEIMMHKLLQQVGKEAVQRQDNGKRQILIDTDEICDVLENDSGSRNVMGISFDISTLLNDVYISAEAFKRIRNLQFLSIYKTRFDTNVRLHLSEDMVFPPQLRLLHWEVYPGKCLPHTFRPEYLVELNLRDNQLEKLWEGIQPLTNLKKMELLRSCHLKELPDLSDATNLEVLNLARCESLVEIPPSFGNLHKLEKLIMDFCRKLKVVPTHFNLASLESLGMMGCWQLKKIPDISTNITTLSMTDTMLEDLTESIRLWSGLQVLDIYGSVNIYHATAEIYLEGRGADIEKIPYCIKDLDGLKELHIYGCPKIASLPELPSSLKRLIVDTCESLETLVPFPFESAIEDLYFSNCFKLGQEARRVITKQSRDAWLPGRNVPAEFHHRAVGNSLTIPSDTYECRICVVISPKQKMVEYFDLLCRQRKNGISTGQKRLQLLPKVQAEHLFIGHFTLSDKLDSGVLLEFSTSSKDIAIIECGIQIFHGHYR >Al_scaffold_0002_1170 pep chromosome:v.1.0:2:10679511:10680652:-1 gene:Al_scaffold_0002_1170 transcript:Al_scaffold_0002_1170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of unknown function (DUF577) [Source:Projected from Arabidopsis thaliana (AT1G66110) TAIR;Acc:AT1G66110] MTSPHDDEASSSNPPPPLTASRIYIGNKAKEILATRDITGITKLVTNLCYGKETDQSSKLLYETFSKHFPNILVSKLLQIYSFGTDITPKIRSFSVYLLDSLLMDIDDLRGGLKKESLNDIKNHVSSCLLTQETSDEDFKLLSRIVSRVSVDVFIASKPWYELCSYILSLDGNKKALLLFSELPTLLDEEFLMPLLENGFGLKIVNVLLMNQDYDEEEWCLGLEAGFSLILQLVNLKNKSLVWDLVYVIVKSVWEMVNVKRREVVVRKGFVRVAKKVRREALRFREHEYEVVSRLGLMIQRINGLGEVTMMMVTMIHEVLERYYMGGSFLVSIHVGMDSFCDHAYVSSP >Al_scaffold_0002_1178 pep chromosome:v.1.0:2:10778009:10779173:1 gene:Al_scaffold_0002_1178 transcript:Al_scaffold_0002_1178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTK8] MTGKRGRKRRNAPNASQRAVGSTAANRRPKNLRLDLFKRHMVVFAVGTSLASVATAWIDIQVLDCDVRRLSCLNDACLRTVLAYLVKFFAVLISAHIDIAFDALVLCVFVL >Al_scaffold_0002_1183 pep chromosome:v.1.0:2:10816424:10817970:1 gene:Al_scaffold_0002_1183 transcript:Al_scaffold_0002_1183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT1G66180) TAIR;Acc:AT1G66180] MSPIPKPLFFFFFFLFNYVSLSSSLSLHLPLTSLPISSTTNSHRFTTSLLSRKNPSPSSPPYNFRSRFKYSMALIISLPIGTPPQAQQMVLDTGSQLSWIQCHRKKLPPKPKTSFDPSLSSSFSTLPCSHPLCKPRIPDFTLPTSCDSNRLCHYSYFYADGTFAEGNLVKEKITFSNTEITPPLILGCATESSDDRGILGMNRGRLSFVSQAKITKFSYCIPPKSNRPGFTPTGSFYLGDNPNSKGFKYVSLLTFPERVEILVPKERVLVNVGDGIHCVGIGRSSMLGAASNIIGNVHQQNLWVEFDVTNRRVGFARADCSRIL >Al_scaffold_0002_1199 pep chromosome:v.1.0:2:10965823:10966062:-1 gene:Al_scaffold_0002_1199 transcript:Al_scaffold_0002_1199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTN1] GLSWYVKRLRVDEDGDVAVEFLEEGEKQINSEDDHNCIKTMPKLQIKHKTKPAKVRGLVVSSDGKLQQCIEHQGRLLIV >Al_scaffold_0002_1203 pep chromosome:v.1.0:2:10991661:10992853:1 gene:Al_scaffold_0002_1203 transcript:Al_scaffold_0002_1203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT1G66360) TAIR;Acc:AT1G66360] MENMLGLLRLHVIRGVNLAIRDSHSSDPYVIVRMGKQKLRTRVMKKNLNPEWNEDLTLSVTDPTLPVKIMVYDRDWFSRDDKMGDAVFHIDPFLEAIRIQNQLGGLPDGTVIMKIQASRQNCLSEESKIVWHKGKKIVQNMFLRLQNVERGEVELQLEWIDVSDHISI >Al_scaffold_0002_1204 pep chromosome:v.1.0:2:10997915:10998813:1 gene:Al_scaffold_0002_1204 transcript:Al_scaffold_0002_1204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTN6] MDTRLNRCRKSCRLRWVNYLNPNIKREEFGSDEVDLLLRLHKLLGNRWSLIAGRLPGRTANDVKNYWNTNLAKKQEPCYASKMRKREITCSPTAPAEKLNVIRPQPRSFSSNNGCSSLNVLSEVGFGEVSTKYGSIITCNKGKEKCDNFVNNLMDGEIMWTKSLLEENQEADAVGTVGTTSDEGDSSSTDSMSSTFENLGSLFNADTREVD >Al_scaffold_0002_1206 pep chromosome:v.1.0:2:11011045:11011515:1 gene:Al_scaffold_0002_1206 transcript:Al_scaffold_0002_1206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTN7] MAGAGSGFRGLVEPPSSGSAASGIHKPGLKFYWLWLIGKVWFKKIGDKSRYVGLLGRDSSSSNLLSEGLAFWEICGGLGSSEEGECGREPKSLGCVLAPLRLPRSCGLDFAGSKVPVSLRQMINRIRAFLIFPASFPFNHFCNCFDFLCRPWPKPI >Al_scaffold_0002_1208 pep chromosome:v.1.0:2:11022103:11023611:1 gene:Al_scaffold_0002_1208 transcript:Al_scaffold_0002_1208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTN9] MERADGAKFLLARAGMHDEKSGILPDQTANDVKNYYNTNLDKKKEMCCKRKMRKREATSLATTRAEKINVIRLRPRSFSSGLSGQLEVGLGEGKHQS >Al_scaffold_0002_1210 pep chromosome:v.1.0:2:11041967:11043460:1 gene:Al_scaffold_0002_1210 transcript:Al_scaffold_0002_1210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTP1] MTSLFLSNASHSRCLPLSSVAFLLLRPPRAPPPEPPSPPNPPDPPDFQICFTFVDSCTQPASSSVIYGSPSSFSQSPGLMRPNLAHSVSMGLDSFESIIVLSCSAFIALMRSFTAICGFCLDLSSLVLTSLLWLSDCQFRVQAPSIVPTAILSSVTPGSLDVVLCNLALSVNSWDWMGLVQPCVSSSDMYVAFPCAPTAVGSSWAGIVMNCVCQRIQTGSLFNGQPQPSWALLPIYMTSKGLGLTEEDGWVIKSQPIQLSSQKVEAFLSFSDAAWLQSSICCGLGWCFKDPLNGKIHHGSFSRPFVSSVLVTEALALKAAIMAALTLGVSRLACISDCQELVLLANTGGHANEVDGILADFFRFMFMSSSVHFVPRAENCGADVLAKAGLLSCIPSSISGV >Al_scaffold_0002_1215 pep chromosome:v.1.0:2:11114798:11115148:-1 gene:Al_scaffold_0002_1215 transcript:Al_scaffold_0002_1215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KTP9] LSRDGTNDEAPVDADDDEEAEHSLVECDGNDDEDDDNIHLDVVVNSDSNHSSKEEEELVPILPQEFQFLFNIECEIFLLEFNSDDEPLADITCHHAFHLDSLIRWVRECPISRINF >Al_scaffold_0002_1216 pep chromosome:v.1.0:2:11116001:11116859:-1 gene:Al_scaffold_0002_1216 transcript:Al_scaffold_0002_1216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KTQ0] KLIKHTFFFSLSLSVHFFSVNQFFSTSFNVSKEKVSNWRDFLRLHCYPIEDFIHEWPSTPVSFREVTAEYATSVRALVLTLLEAISESLGLVKDRVSNTLGKHGQHMAINYYPPCPQPELTYGLPGHKDANLITVLLQDEVSGLQVFKDGKWIAVNPVPNTFIVNLGDQMQVISNDKYKSVLHRAVVNIDKERISILTFYCPSEDAMIGPAQELINEEEDSHAIYRNFTYAEYFEKFWDTAFATESCIDSFKASTA >Al_scaffold_0002_1221 pep chromosome:v.1.0:2:11172450:11173379:1 gene:Al_scaffold_0002_1221 transcript:Al_scaffold_0002_1221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTQ5] MVRKNLGRRKIEIEKIKNQSNLQVTFSKRRSGLFKKASELRTLCDAEIAIIVFSPGGKVYSFRHPNMLNDSLTEVMAEKEKEQRKKRSLVQNERENKNAEKWWEKSPKELKLTQLTCMKHVLEDLKKKVGEITSYVFQTNPNYYVGSSSNVASLATVSGGNISTNHNFFDQNGIPLLFKRCRLD >Al_scaffold_0002_1226 pep chromosome:v.1.0:2:11211938:11216935:-1 gene:Al_scaffold_0002_1226 transcript:Al_scaffold_0002_1226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTR0] MANVKDRLDELERGLGLVTEDLHKLRTDLSDKLRLMEEATTERHQRIEDSNTTLAESMRRLVETVTGLHEGVQQIPRVPPRPDQHLQVGRRDDVAAPVTAPVNHYRHIKLICRRSSSNRRCDRAKLVSGEPGALSQPWQEAPKTGEAKRGVDLRFPARFKLGEKSQCVTLTRSIPCFFLSISLS >Al_scaffold_0002_1228 pep chromosome:v.1.0:2:11244127:11245908:1 gene:Al_scaffold_0002_1228 transcript:Al_scaffold_0002_1228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTR1] MDSEKALELVKHGATLLFLDVPQHTLVGIDTQLYLPFSPADDDKDGLKTQSPFNSTDEAERLRFSWWKLRELEREREGDNIRE >Al_scaffold_0002_123 pep chromosome:v.1.0:2:734903:739978:1 gene:Al_scaffold_0002_123 transcript:Al_scaffold_0002_123 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 86, subfamily A, polypeptide 7 [Source:Projected from Arabidopsis thaliana (AT1G63710) TAIR;Acc:AT1G63710] MDGSTAVIILTLIVTYLIWFVSLRRSYKGPRVWPLVGSLPALITNAHRMHDFIADNLRMCGGTYQTCIFPIPFLAKKQGHVTVTCDPKNLEHILKSRFDNYPKGPSWQSVFHDLLGDGIFNSDGDTWRFQRKTAALDFTTRTLRQAMARWVDRAIKNRLVPILESAMSRAEPIDLQDVLLRLTFDNICGLTFGKDPRTLSPDFPENGFAVAFDGATEATLQRFIMPEFIWKMRKWLRLGLEDDMSRSISHVDNYLSEIINTRKLELVSQQQDGSRHDDLLSRFMKKKESYSDKYLKYVALNFILAGRDTSSVAMSWFFWLVSLNPRVEEKIITEICTVLIKTRGTNVTKWTDEPLTFDEIDQLVYLKAALSETLRLYPSVPEDSKFVVANDVLPDGTFVPSGSNVTYSIYSVGRMKFIWGEDCLEFKPERWLEENRDEICNQYKFVAFNAGPRICLGKDLAYLQMKSITASILLRHRLTVAPGHRVEQKMSLTLFMKFGLKMDVHRRDLTLPVEKVVNEMRNYESCGKSEIIGTNDGNYGEVKLVVGLA >Al_scaffold_0002_1230 pep chromosome:v.1.0:2:11293990:11294327:-1 gene:Al_scaffold_0002_1230 transcript:Al_scaffold_0002_1230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTR4] MERNSLGDQAPIWMDWKRRLHSDGEDITGRSSFWASEALVGGRFEVSAMVSEFDGSDLRTK >Al_scaffold_0002_1231 pep chromosome:v.1.0:2:11309185:11310328:-1 gene:Al_scaffold_0002_1231 transcript:Al_scaffold_0002_1231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KTR5] LFDAYLSVLKALLHASVACRKKLEVDWVPACDLEKETAKEVMRHVDYTCMHLLRIQMRIKLLESCSWSSCTWRFWYLAWNESCCHQVCTIREVICLHDAIMQTAQSSNLILNIHASYSCQRFLDSLSFSLLLNFQTILSSSVLNSTQSTNPDPGKLLLSVHRSEPICHRSQLIAASCGELDTVMNQASVHQHLISNGPKNVFVSGTSKKAPNGLADVRYNNGYCNGLYTR >Al_scaffold_0002_1232 pep chromosome:v.1.0:2:11313213:11315009:1 gene:Al_scaffold_0002_1232 transcript:Al_scaffold_0002_1232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTR6] MSDLQAKKDVAVGDRQSSSSSMVLDGPSPLRKMISVASIAAGIQFGWALQLSLLTPYVQLLGVPHKWSSFIWLCLCGPISGLLVQPSVGYFSDRCKSRFGRRRPFIATSALLVALAVILIGFAADFGHTMGDKLDEAVKMRAVGFFVVGFWILDVANNTLQGPCRAFLGDLAAGDAKKTRTANAIFSFFMAVGNVLGYAAGSYTNLHKIFPFTVTKAYDIYCANLKSCFIISITLLLVVTIIALWYVEDKQWSPKADSENDKTPFFGEIFGAFKVMKRPMWMLLIVTALNWIAWFPFLLYDTDWVGGEVYGGDSKGDDKMKKLYNQGIQVGSLGLMLNSIVLGFMSLGIEGISKKMGGAKRLWGAVNIILAVCLAMTVLITKKEEEHRRIAGPMALPTDGIRAGALTLFALLGIPLAITFSIPFALASIISSSSGAGQGLSLGVLNMAIVIPQMIVSFGVGPIDALFGGGNLPGFVVGAIAAAISSVVAFTVLP >Al_scaffold_0002_1233 pep chromosome:v.1.0:2:11324804:11326123:-1 gene:Al_scaffold_0002_1233 transcript:Al_scaffold_0002_1233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTR7] MSSNIAQKAVAVLLRGQGCANSLKTLLQNNKSSSVSTEQLINTILNSFSLALSFVDSPNHLPHNESSLQNMTSHVPQRPSKKKNYGAEGLVFYRDESPTPRDDGFTWRKYGQKTIKTSPHQRALYICAYAKDQNCNAKKRVQMIQDSPPVYRTTYVGKHVCKDFAVHDDTYGSEMIKFDQVVSKSVMPQLATIEEQEITMEDEATDHIMNQEGDINDFLVDDDQFWATQFPPFSLEDLMFF >Al_scaffold_0002_1236 pep chromosome:v.1.0:2:11367780:11369080:1 gene:Al_scaffold_0002_1236 transcript:Al_scaffold_0002_1236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTS1] MVDTVNMHPSLLPLYRGAAPVQRALQDGVPETGVTLAFTVVRKLDSGPVIASKRFQVDDLIKAPELLSFLVSIAVPQDDPKATLAPKLAPDEAWLSFDQEAFVLHNKVRAFAGWPGTCAKFVVFDDKSSQEKVLELKIITTRVCQALEIWDGEQDYVTFKKGSLMFPCKGDTALEVLEVRPSGKKAIKAAAFWNGLRGQKLQKL >Al_scaffold_0002_1237 pep chromosome:v.1.0:2:11372215:11373521:-1 gene:Al_scaffold_0002_1237 transcript:Al_scaffold_0002_1237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTS3] MRLKICQKKRLHSVKVFESFNLWSETELPRKKKVKNIREAPVSSSDNSCFLPLVTASSLCTDTLGEEDAVNKGDVADPVGSAVAGAVAGAVPCPVAGTVPCLVAGQFQVG >Al_scaffold_0002_1239 pep chromosome:v.1.0:2:11404277:11407891:1 gene:Al_scaffold_0002_1239 transcript:Al_scaffold_0002_1239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTS5] MSRKICLEKRRNEACKRSQAGTQSTSSFLRQATEACLFDAYLSVLKALLHASVACRKKLEVDWVPACDLEKETAKEVMRHVDYTYGVLVPGGFGIWLGMKVAVIKFARSEKLLNFQTILSSSVLNSTQSTNPDPGKLLLSVHRSEPICHRSQRLIAASCGELDTVMNQASVHQHLISNGPKNVFVSGTSKKAPNGLADVDVTCAVWHLLMYYDSRLAFIGHNAT >Al_scaffold_0002_1240 pep chromosome:v.1.0:2:11408377:11408826:1 gene:Al_scaffold_0002_1240 transcript:Al_scaffold_0002_1240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTS6] MARCVAVKKDSSFKDLEGEVERVFGVKRKETSIDLSFWCAGEDKKGDQMWEMWRSWAQPENMLHPYLKLLVEIFC >Al_scaffold_0002_1243 pep chromosome:v.1.0:2:11502138:11504415:-1 gene:Al_scaffold_0002_1243 transcript:Al_scaffold_0002_1243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU88] MILRRFICYNASSTVSSIAPSPKKKPLIFLGSPQVSVTVLEALFNASAAPNSSFEVAGIVTQPPSRRDRGRKVLPSPVAQYALDKGLPSDLIFSPEKAGDEAFLSALRELQPELCITAAYGNILPTKFLKIPLHGTVNIHPSLLPLYRGAAPVQRALQDGVPETGVSLAFTVRKLDAGPVIASKRFQVDDLIKAPELLSFLFSEGSKLLIRELPSIFDGSAKSKAAPQDDSKATLAPKIAPDEAWLSFDEEAFVLHNKVRAFAGWPGTRAKVVVLDDKSGQQNVLELKIMSTRVCKDLEIQDGGQDYVTFKKGSLVFPCGGGTALEVLEVQLPGKKAINAAAFCKHFDPTFVVNL >Al_scaffold_0002_1246 pep chromosome:v.1.0:2:11513543:11514687:-1 gene:Al_scaffold_0002_1246 transcript:Al_scaffold_0002_1246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU91] MGSTMIPFTSDSCDGGYFHIASLNPNMGEWSVSMKILNCLSVSRGSGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVKSILRPIPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVFGKIVIVRELIFVPSVEHSQGRYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHCSRNTVICIIKFVKLELSQEHRNPENDQVPIITRKENAME >Al_scaffold_0002_1248 pep chromosome:v.1.0:2:11524546:11526061:-1 gene:Al_scaffold_0002_1248 transcript:Al_scaffold_0002_1248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU93] MFCRAWEVLSTKLLDESGVDDNRTRFGKMSSKGHHSQQHPLHLNGFTYVVFGTKKHGNGKIDELERHIESLIWETVKERERECVGLDDYEKVGCVERTHRC >Al_scaffold_0002_1249 pep chromosome:v.1.0:2:11536664:11536813:1 gene:Al_scaffold_0002_1249 transcript:Al_scaffold_0002_1249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU97] MNVDIIKAVGLQNYRDVIFYGEVNVGDLGQSFKMVFDLGRSDLWVPSLL >Al_scaffold_0002_1260 pep chromosome:v.1.0:2:11590432:11591643:-1 gene:Al_scaffold_0002_1260 transcript:Al_scaffold_0002_1260 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:D7KUA4] MAIRGGDDGERAGDDRSLKRKRIPSQVEESEDVTATRMATIGGNDFEDVITEAQSGTPKSTQSLKLQSSDVLDCPICYEPLKRPIYQCNNGHLACSSCCKKMNKRCSFCQSPIGDIRCRAMEKVIESTKVSCLYAKYGCKETTVYGIESTSHEKLCFFAPCSCPILYCNYVGSYTDLKSHAHAAHSWDEDDLIMFVFDRPLIFSMDLGKKKVVFQEENEGDLLVVQAFKGSEGVSVTVSCIAPMASEALNLSCSLAKINQYTTLRLGLMVKKIQKVSEQMEFEDGFMFIPSYMVSGDHLKMQICIGSEYKYIHI >Al_scaffold_0002_1270 pep chromosome:v.1.0:2:11649733:11650530:1 gene:Al_scaffold_0002_1270 transcript:Al_scaffold_0002_1270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUB5] MVSISSMAFPSSVTSMAFPSSVRRIFSSVSSSHNFSRMRSFSSVSVSSSVRSFSVRSFSSTNEELFAKEAEEKLVKEKDEELFAKEAEEKLAKEKDEELFEKEAEEKLAKEAEEKLATEAGKVEFAEISDRFTPLFYGIGRKRTKYWNACAHYAIEGLGVKFAFDKKEIPVNGNEFLYFLFLLPSSKKLVEILMGEKSVQFFLDEVEQNDLAKKYWDLIEEKKAENWDLMKKAEEERKKKAEEELKGNDVAEEEQGGEEDDVAEK >Al_scaffold_0002_1271 pep chromosome:v.1.0:2:11658663:11660338:1 gene:Al_scaffold_0002_1271 transcript:Al_scaffold_0002_1271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:D7KUB6] MSQSTVDVSAKGGFSFDLCKRNEMLTQEGLKAPSFLKTGTTIVGLIFKDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLRLHRYETGRDSRVITALTLLKKHLFSYQGHVSAALVLGGVDITGPHLHTIDPNGLTETLPFATMGSGSLAAMSVFEAKYKEGLTRDEGIKLVAEAICSGIFNDLGSGSNVDICVITKGNKEYLRNYMEPNPRTYVSSKGYSFTKKTEVLLTKITPLLERVEITEVGEAMEE >Al_scaffold_0002_1272 pep chromosome:v.1.0:2:11661399:11664080:-1 gene:Al_scaffold_0002_1272 transcript:Al_scaffold_0002_1272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KUB7] ALCGHKASHGFKQPTGIASPTVSAVAGEKHPISASGMIHECSICHKVFQTGQALGGHKSTHRNKPPTKVAKADDSSTALTVSAVAGEEYPISASDKTHDRVFNLS >Al_scaffold_0002_1273 pep chromosome:v.1.0:2:11668881:11669678:1 gene:Al_scaffold_0002_1273 transcript:Al_scaffold_0002_1273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUB8] MLTMLTMHTMHTMLTIMHTMLTIMHTMLTTQRGSLYPPKRPIPPPPPPPPQGSKTTAATTQWVIVTCGKRDKPEALAADGTEVAKDRVAKWGSLYPPQRPIPPPPPPPPQGSKTTAATTQWVIVTCGKRDKREALAVDGTEVAKDRVAKWGSLYPPQRPIPPPPPPPPQGSETTAATTQWGSIYPLRPIPPPPPQLSETTSATTIN >Al_scaffold_0002_1274 pep chromosome:v.1.0:2:11697342:11700062:1 gene:Al_scaffold_0002_1274 transcript:Al_scaffold_0002_1274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KUB9] MEKTLTCLAATTSLDVKHGSLILKPAHRRNELLPVEEARRSFLVNRSRVKGCSDLLWRMQFLKEAKFEQVIPPVKIDNGEGITYENATNALKRGVSFFSALQASDGHWPGEITGPLFFLPPLVFCLYITGHLEKVFDAEHHKEMCRHIYCHQNKDGGWGLHIESKSVMFCTALNYICLRILGEGPNGGQDNACKRARQWILDHGGVTYIPSWGKIWLSILGIYAWSGTNPRPPEIWLLPSSIPIHLKILCITRMVYMPMSYLYGKRFVGPLTPLIMQLREELYLQPYEKINWNKARRLYAKEDMFYPHPLVQDLIWDTLYIFMEPFLTSWPLNKLVREKALRLAMEHIHYEDENSHYITIGCVLCMLACWIENPNGEHFKKHLARIPDFMWVAEDGLKMHSFGSQLWETGFAIQALLASDLCDETDVVLRKGHNYIKNSQVRENPSGDFKSMYRHISKGAWTLSDRDQGWQVSDCTAEALK >Al_scaffold_0002_1276 pep chromosome:v.1.0:2:11716113:11716931:-1 gene:Al_scaffold_0002_1276 transcript:Al_scaffold_0002_1276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUC1] MVAANSIVTPKPTHEREEDSRRRDQRGVEWSEKIKEEWIPARDPAERSSCEEIQLRRDPASKISKNKLIAGSPDPGLTLNKTISGTPDLGFAMGANKRLKVKYKT >Al_scaffold_0002_1279 pep chromosome:v.1.0:2:11734109:11734645:-1 gene:Al_scaffold_0002_1279 transcript:Al_scaffold_0002_1279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KUC5] FFQWIKEASPEELSSKNYNMMLRILGVNGLVDEFWGLVDVMKKKGHGLSANVRDKVGEKFQKDGLESDLVRLRKLFASDCLDNSAENVCDRVCKIVMKEEWGDDVEKRVRDLNVEFKSDLVKMIVESLDVEPRKALLFFRWIDESGLFKHDEKTYNAMAKVLGKVKFLDRFQNIVVEE >Al_scaffold_0002_1280 pep chromosome:v.1.0:2:11737311:11739966:-1 gene:Al_scaffold_0002_1280 transcript:Al_scaffold_0002_1280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUC6] MYILCGGEGPESYNQQSSYQRALLEVAKEKMTEAISANLNLDLISNQFSIADFGCASGPNTFVSVQNIIDAVEEKYRRETGQNPANNIKFQVLFNDFSINDFNTLFQTLPQGRRYYSAGWDSWFLSRLWIMDRDSPLWNKDMQCTGYNQAVKKRILINTLSTPIILDARAEELVPGG >Al_scaffold_0002_1289 pep chromosome:v.1.0:2:11809706:11810097:-1 gene:Al_scaffold_0002_1289 transcript:Al_scaffold_0002_1289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUE0] MAKATKSVSIFVVFFIFFLVISDMPEIEAQGSECLEEYGGDVGFGFCAPRIFPTICYTRCREIKGAKGGRCRWGEGSNVKCLCDSCDDTPQ >Al_scaffold_0002_1290 pep chromosome:v.1.0:2:11811261:11813508:-1 gene:Al_scaffold_0002_1290 transcript:Al_scaffold_0002_1290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUE1] MSSDKENSITVSQGTLEKVMSKKKKSTTEKNMSLSARQQHFFGSLKALVSISLQEAKFVDNAIKLEEHITMNQARSGSFMEVNVSGQPWGWMAEQSSLNGSEGIKGVCNLSSLKISDGMDPTIRCSGSIVEPNQSMSCSISDSSNCSGAVSGRRLIYFHGRLEPNENPQQ >Al_scaffold_0002_1294 pep chromosome:v.1.0:2:11848168:11848748:1 gene:Al_scaffold_0002_1294 transcript:Al_scaffold_0002_1294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUE3] MAPKRKKPFSENENSKAKKRSKSAVVVAKENEIEMFDAKDEGLAKFLDDPISDHEARLSWPQRYKAIEKKKVVARLSKKKKADEIIQARRHF >Al_scaffold_0002_1298 pep chromosome:v.1.0:2:11860857:11862917:-1 gene:Al_scaffold_0002_1298 transcript:Al_scaffold_0002_1298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF827) [Source:Projected from Arabidopsis thaliana (AT1G66840) TAIR;Acc:AT1G66840] MGERTLGGIVSVKAAINKYGQKATRSVSHHLDLPVIKSSSVVEDLHKSGKELGIYRESRRVAESAKAKAEVELFKVKKIVKELTLRIEESNRRLKYRKIDIEAMMNESRIDGNGGYGRIMRELEDLKQELSKLKLDIVYVSREKVEAEKEVMELGSRMEENLKLLESLKLEVDVVNEEHVLVELAKIEALKECKEVEEQREREKKEVFESLHKRKKRIREVMREIEISKNFENELSETLSDIEMLETQLKLVKEMERKVQRNDSMSRSKNRAFERGKDNLSVLKEVMEATEAKKAELASINAELFCLVNTMDTLRKELDQAKKETAWLDKMIQKDDVMIERLNTKLLIAKDQLAAVSAAEERISCLADNLTTSFEKLKNDREAAKKEELNLKEEARIIDKEIQNIEIGFDGKEKELLSKLDELKKAKHTEALALEKLESMVEKTMETREMESRRNSTITISRFEYEYLSGKACHAEETAEKKVEAAMAWVEALKASTKAIMIKTESLKRVSGKTMLEEERESFRMQRSLSIKRLIQDEIEKFKENSEDKDLINSPKPVRKSVRLSGKFTPVQGGKSRRYSSAGNRATPTFFVIKKKKKVPNMVKFFSRKRRNSSLEQ >Al_scaffold_0002_13 pep chromosome:v.1.0:2:69898:75017:-1 gene:Al_scaffold_0002_13 transcript:Al_scaffold_0002_13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KS73] MNVLLFSFVHLDDFRLLRFEEAYNDEQSVALVDDMILQAHILGQGYAFTLHSNIYYLSLCLCLCIYFSFDEFRIVGEVASTGNHQWLFSDALFQKNKDLIFMCLIVLQWDHEFQNQFLSGFKILIRQFPYTQTIAILPLGSSGVVQLGSTQKILESSEILEQTTRALQETCFKPDDSGDLDTLFESLVPLGDCEIFPAESFQGFSFDDIFADDNPPSLLSPEMISVETSEAASSHQDLSNGDDFGFDILESYSLDDLYQLLADSPEQNCSSMVIQGDDKDLFDILGMNPQTPTMALPPKGLFSELISSSLSNNTCSSSLTNVQDYSGVNQSKRRKLETSSEHSSSLFPQEETVNGRSLWNDDERSSVGGNWKKPHEEGVKKKRAKAGESRRPRPKDRQMIQDRIKELRGMIPNGAKCSIDTLLDLTIKHMVFMQSIAKYADRLKEPYEPKLVKEKERTWALEVGEGGVVCPIMVEELNRKGEMQIEMVCDERDEFLEIGDVVRGLGLKIVKGVMERRKGQTWAQFIVEAKPQVTRIQVLYSLTTQRHLSTVIVWEMAATLLCSRCRFRSAISTPTASPVRPSPIQSPSTSSSPCNPLYQPSLLLFFSSLVVVKIRYKAFDEVQGIEVAWNLMSIEDVLQMPGQLDRLYSQVHLLNSLKHDNIIKLFYSWVDDHNKSINMITELFTSGLHFLHSQTPPVIHRDLKCDNIFVNGHTGEVKIGDLGLAAVMQQPTARSVIGTPEFMAPELYEEEYNELVDIYSFGMCMLEMVTCEYPYNECRNQAQIYKKVTSGIKPQSLSKVDDPQVKQFIEKCLLPAPSRPTALELLKDQLLAVDGAKDSTLAASSNTTFKPAKPPHSEYRRMDVDHKENTSVSICSSAKSSQECAWLQTIEVQRVAENTEFRLSGERRDDVAASMALRIAGSSGQARKVDFDFNLKTDTARAVTGEMVEELDLSSQEVIVIAEMIDELIMKLKANRSLPYDANSLYQSKDEEAGESMKSDISADYYHRVSSNEGSGLGCCCEAVESLLSSFLDSCSMVSNKQSDDLKAELNVIESQYKQSCQRLLKLKEEAIEKAKRKWMKLS >Al_scaffold_0002_1301 pep chromosome:v.1.0:2:11879010:11879287:1 gene:Al_scaffold_0002_1301 transcript:Al_scaffold_0002_1301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUF1] MTVEPNRRWRRRRRRWLSRIKGGERSKGKEGRDGGDEADLRRMWRTSCGFGSPEERETAVAKTAVASTAVAATAEVDCDRR >Al_scaffold_0002_1302 pep chromosome:v.1.0:2:11880590:11885234:-1 gene:Al_scaffold_0002_1302 transcript:Al_scaffold_0002_1302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16165) TAIR;Acc:AT4G16165] MFSQLTLIFLLSLVVIHPLHVSAKTWCVANPSAAATQLQANIDWACSVGNIDCVIINPGGPCFDPNTVINHASVVMNDYYQTHGSTEEACSFSGTGQIVSVDPSYGGCAYT >Al_scaffold_0002_1308 pep chromosome:v.1.0:2:11931077:11932210:1 gene:Al_scaffold_0002_1308 transcript:Al_scaffold_0002_1308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUV6] MIPFTSDPCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFDLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCINVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0002_1311 pep chromosome:v.1.0:2:11945773:11947806:-1 gene:Al_scaffold_0002_1311 transcript:Al_scaffold_0002_1311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUV9] MYYLPSSCLVLFFLFSLFHHLPCVSSKQELGLCEAQFQCGNITAGFPFWGGKRHKDCGHPLLELRCPNNITSLTISNHLYHVLHIDQTSKTLKLSSSELQGSFCNSTFTGTTLPPQIFELSPTFKNLTVFYLCDPKRSYHSSYTCPGWGPISVSENLDYHKSCLDSFTINVPKSFVPEEKELNLTHIESALREGFEVKLKIDQKACQDCSSHEICGFNNTTHVCCKEASSSACNSLHPPSPGSRHVLEGERMVNLVLASGYSYQ >Al_scaffold_0002_1313 pep chromosome:v.1.0:2:11951996:11954022:-1 gene:Al_scaffold_0002_1313 transcript:Al_scaffold_0002_1313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUW0] MYHLPTSCLFFFFFPFFSLFHHFPHVSSKQELGWCESQFQCGNITAGFPFSGGIRPQICGHPSLELHCFNNHTSIIISDHLYNVLHIDQTSNTLRLARAELQGSFFNASFTATTLPYEIFELSYRSSYTCPDSGLVSVSQNLDYHDSCNGSFTINAPKSFVPEEKELNMSNLESALREGFEVKVKTDEKACQKCSSSQGICGFENTTQVCCKESSSSVCDTLHATNYVSLTLSTDNLKEALEEGFEADCQTCIHSKGACGYTQTPTSSGFVCYYMEEPQNPTRNKGNISYML >Al_scaffold_0002_1322 pep chromosome:v.1.0:2:12023074:12024540:-1 gene:Al_scaffold_0002_1322 transcript:Al_scaffold_0002_1322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUX3] MFFQVSRLNLPLTTTPELINKIETYLNRNYTCPHQQIENSETSTLLKSSEKLKAMNFPISMIKIGTWTPVAINPEDIVAKFYFAKKKLIWEFLFGEEETNMPRLKRKIEIQWNDVSSFEESIHTRDETGILNIELRKRPTFFIETNPQAGKHTQWKQLDRDFTDNQASTCRRHTIHFPPGVLQKNLEKLLTNSFWSKLYNVPFPVEESLFFDIGFENNNSSHNSHNLTVGFNVNYGHQHHHYSQGIGGVGVGEGNFNIAPQFRANGGWQRNSYSQANSLNYNTANELPRMQAIITPSSQVVNMQMDFTGSQYRNQMNQDEIRKMQIIREIVQSQTYAAVADTQTNNIPMYPPVGSFATTLIEEEERQYKDQTNVDGDYHSKEYQKIPYIRSSNWEVLPGMDVEEDWST >Al_scaffold_0002_1324 pep chromosome:v.1.0:2:12040636:12042314:-1 gene:Al_scaffold_0002_1324 transcript:Al_scaffold_0002_1324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUX7] MYYPLSSYSILFFLFSLFYHLPCASSKQGLGWCESLFQCGNITADFPFWGGSRHKPCGHPLLELHCNNNNITSLFISNQEFYVRQLNQTSNILTLARSDLLGSFCSSSAYNTTTLPPEIFELPPTYKSLTVLYHCDPKLSYRSSYTCPALGTFSVSQSLEYQYSCQKNFSVNVPTSFNPEERGLNLTNLESVLRKGFDVKLAIDEIPCQECLSTRGICGFNSTTQICCNVTSPSGGVTCVPQHQPSELGCDEGRSYYVTRNLSSPLLDTSRGLLNNFREMCKRNVSVPASGQALYDLQKSPNPDNLKMALEQGFTLEVNSDCRRCLHSYDTCGYNQTSSEFVCYCKDGKCRNDDEGFFRRHQGAINDGNIL >Al_scaffold_0002_1331 pep chromosome:v.1.0:2:12110076:12112418:1 gene:Al_scaffold_0002_1331 transcript:Al_scaffold_0002_1331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:D7KUY9] MGADAIQTNGHDQTKLTGGEEIQRLRCFVKNYEWGKLGPDSLVARLQEANTGQRVDPEIPHAEFWMGTHESGPSHVEFGSLGHGGSDKCMVTLKSWVLDNPDLLGSKVVDKWGCDLPFLFKVLSVTKALSIQAHPNKALAEKLHREDPLLYRDNNHKPEIALAVTPFQALCGFVPLKELKEVITNVPEITELVGSKAADQIFNVHEHDEDERVKSVVRLIFTQLMSASNNETKQVVSRMKNRLISETKHRELSEKENLVLELEKQYSGDIGVISAFFFNYVKLNRGEALYLDANDPHAYISGDCVECMAASDNVVRAGLTPKHRDVQTLCSMLTYKLGYPEILKGFPLTPYVTRYLPPFDEFEVDHCDLPGGNSTVFPAVPGPSVYLVIEGQGKLQTGSSQLLVNRGDVLFVPAHNEVHVTGESDVMKLYRAGVSSRFFQTL >Al_scaffold_0002_1336 pep chromosome:v.1.0:2:12135923:12136584:1 gene:Al_scaffold_0002_1336 transcript:Al_scaffold_0002_1336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUZ3] MSCGLQVYYSGKRSRHKRDDHGTIMVLETSIKITVMMLATGFSLLEMTTLKMKNKGEKSRDKYREDKEEDIKHKGCIFSSLRDMSSAKLAMFMCQSVGHILSIFKKKMMFFLV >Al_scaffold_0002_1337 pep chromosome:v.1.0:2:12137188:12138097:1 gene:Al_scaffold_0002_1337 transcript:Al_scaffold_0002_1337 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 40 [Source:Projected from Arabidopsis thaliana (AT1G67100) TAIR;Acc:AT1G67100] MRMSCNGCRVLRKGCSENCSIRPCLQWIKSAESQANATVFLAKFYGRAGLMNLLNTGPDHLRPGIFRSLLYEACGRIVNPIYGSVGLLWSGNWHLCQAAVEAVMRGSPVTPIACDAAVTGQAPPFNNKLCDIRHVSSKEENVKRRSRGACKEERNVRSLSHESSLSHESPVSSEETTTEEPKSWIGLELTLGLEPVSRGSHVVVPMKKRKLERCGTSEDEDTCKIELGLVCSE >Al_scaffold_0002_1342 pep chromosome:v.1.0:2:12221165:12221377:1 gene:Al_scaffold_0002_1342 transcript:Al_scaffold_0002_1342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein Z [Source:UniProtKB/TrEMBL;Acc:D7KV00] MVYILLLIAWRIKNMTIAFQLAVFALIITSSILCISVPVVFASPDGWSKQKCCFFLYIFMDWISLLGGYP >Al_scaffold_0002_1368 pep chromosome:v.1.0:2:12433407:12435663:1 gene:Al_scaffold_0002_1368 transcript:Al_scaffold_0002_1368 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G67390) TAIR;Acc:AT1G67390] MSLFPLSILGTSQLHHQLKSMNAPLSSDARGKRILIDSIDRISKLPDDVLVKILASTSTEDAVKTGVLSTRWKNVWKQVPYLHFDMLIATLNKRGPLDPLSNHVAKSITEVIKNHNGHLMGCSIDHFSHHCQDGELETWIQLLTLQKHTKALSLFNLHVHGNGKRSNVLQLSPNTFSHPSLSTLFLHGYNLETPHAFNECNNLKILKLERIFAEVDVFNTVIASCPSLKVLVLNAMWYNDKACLKIHNNNLKVLHLDSCNVDCIDVSAALLDIFSVYYYMFDRKYNFVINAPRILFKSWTQDSRRVPFMNYNITSHAQEKENLGHEFVVSGDANYFQRLKSLKVVIDVMNSREVEMLRDILVAWHGIMEELDIIFKDNNVSKEEGESSNGGTQKKKWEETKLFPNADFGVEVLWMVNFSGSNKKQFALASRFVMQGTIMKKMMIKTSSIFAKEKLENEAAVAKLKELPKGNANLSIECF >Al_scaffold_0002_1369 pep chromosome:v.1.0:2:12442416:12444592:1 gene:Al_scaffold_0002_1369 transcript:Al_scaffold_0002_1369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KV27] MNAYLSVNARGRRILIDPVDRISQLPDNVLVMILKQMITEDAVRTSVLSKRWKSVWKQVPYLFFDMRYAFLRNMEPLPSHSNRVAKLITQVIKNHNGDLLSCIIQHYSHQCKDGELETWIQLLTLQKQTRALALSNIHCSYGGKGVNILRLSPNTFSHPRLTTLFLHRYELKTANAFKTCHNLKILKLENMFAEVNVFNTVIASCPSLKVLVLRLMWYNARACLKIHNNNLKLLHVASYYVHSIEVSAPLLDIFSMDYIFDGEYNIVIKSSRLFFTKNYGAIDAERVPILNYNISYNAREMENLGHHFLVGRDANYFWRLKSLAVAVDIMNTKELEMFKQVLVAWNGIMKRLDIFFKHNYVYTEEGESSIRGTQKKKWEKNLFPNAVFRVEAVWMFNFSGLDKKQFELASRFITQGTVMKKMMIKTSSVSATEKFYIEAAVTKLMELPKGNEELSIGYF >Al_scaffold_0002_137 pep chromosome:v.1.0:2:818333:819939:-1 gene:Al_scaffold_0002_137 transcript:Al_scaffold_0002_137 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: hydroxyproline-rich glycoprotein family protein (TAIR:AT1G63540.1); Has 10212 Blast hits to 4024 proteins in 434 species: Archae - 1; Bacteria - 1259; Metazoa - 3608; Fungi - 2247; Plants - 291; Viruses - /.../her Eukaryotes - 2716 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G63530) TAIR;Acc:AT1G63530] MRVGFSEPETCRNCYHRRNSGILNPQESITSVPVSSGPVQALGHDSAAIGSTSTSSPVQSSSPFSFGSPPAVITSVSSGPAFQAPVQATYGSGASTTSTPISFLPFGYVPPPPPRGSSLFGPAQDMTWALNSYSTERGVEWAWSRPDVGVLPASSSTSTVVFGTTPVSVSSLYGPSQDFPKNTSVCASSTPAPTRCECSFARPGFGSSPASSSSNLFGPNPSTTASVFGTSATSVSSLFAPAQHPLSYYSVGGSAFAGVKTSSPQPFGFNGATTLLRSSHDGQNISASNPYLDKSPEELRWEDYKKGGIGGSFPAAHASPIGSRPNAVFSPSTVSPSIFASPSVPDHHQRTNFGTTQGNFNGPSFGFFPASTGGATTFSPSGFGQPNAPFTGFGSQFPSSFSSFSTTFPAESSCIQRPHETAAVSSPAFGCTVCGATSSSSASGHFTFNGATTPPSAATTPPGLFFPTASSGPMMFGTTLAAQGTTPALQTYPVQGFILLPFAAMSLQ >Al_scaffold_0002_1370 pep chromosome:v.1.0:2:12445473:12446502:-1 gene:Al_scaffold_0002_1370 transcript:Al_scaffold_0002_1370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KV28] MAKKEIALEQGWSVMEIGVAKLQRILEEKPEPPFESVQYMNLYRTIYNMCVQEPPNDYSQQLYDMYRGVIDDYNKQTVLPAIRNKDGQGNTEMQCDYRGRYQRVRAL >Al_scaffold_0002_1371 pep chromosome:v.1.0:2:12455485:12458968:-1 gene:Al_scaffold_0002_1371 transcript:Al_scaffold_0002_1371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KV29] MGVTNKHVLSAKTSRRKYLISTKMRVVRISCVGTRHLSPPSSARGCDAHSDDVSASSAGLINADAEDASCSSTPSWRIKKSLTCVCFNRKRAYERICSNLTPLQEERLKRLRKRMKNYFDASRPDHQDALRALWSATYPSEKLQDLISDQWKNMGWQGKDPSTDFRGAGFISLENLLFFAKTFSTSFQRLLKKQGGKRAAWEYPFAVAGVNITFMIMQMLDLEASKPRSFIRLVFLQMLSENEWAFDLLYCVAFVVMDKQWLDKNATYMEFNDVLRSTRGQLEKELMMDDVFRIEDMPSFSLLS >Al_scaffold_0002_1377 pep chromosome:v.1.0:2:12484807:12488530:-1 gene:Al_scaffold_0002_1377 transcript:Al_scaffold_0002_1377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KV36] MKNIFFRRRSHLHPPSYSDLQRRCWNRIGIRRSFYFLSARRQENPNVSRNPQHPSQKTILRAFLAPVLSLDDKPKLNKLQAIGTIATAQADYMRVIVQDVPESDYGDDDKIGVELLCVVRKLLKKIGRTVLVGDKVLVDKVDWIDRRAKIINVFDRVSEILEPPVANVDHLLILFSLDQPKIDPFTLTRFLVEAESIGIRVTIALNKCELVTQEEVESWKIRLRSWNYEPLFCSVGTKVGLDEIAFTLQNQTSVIVGPSGVGKSSLINILRSSYGGAIKHEEVFKPVSFFILGLMYDGQKKKNKKKWFEDQSVGQVSHRNGLGKHTTRNVTLLPLCGGGYLADTPGFNKHKLLKVTKQKLPLCFPEIRKMVEGGKCEFKNCSHLGVYGCAVTGDWERYHYYLQLLEEIRIDEESQLKKYGTKREGGVSCHMGEKGVEQAKPQIDPKKYRRESRKTMKQTMMKELEF >Al_scaffold_0002_1383 pep chromosome:v.1.0:2:12529627:12532426:-1 gene:Al_scaffold_0002_1383 transcript:Al_scaffold_0002_1383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G67510) TAIR;Acc:AT1G67510] MILLSLLLHLLLHLLNTSPSLSLSPDGLALLSLKSAVDQSSSSPFSDWNDNDSDPCRWSGISCMNISESSDSRVVGISLAGKHLRGYIPSELGSLVYLRRLNLHNNELFGSIPTQLFNATSLHSLFLYGNNLSGSLPPSICHLPKLQNLDLSGNSLSGTLSPDLNQCKQLQRLILAANNFSGEIPGEIWPELKNLAQLDLSANEFTGEIPKDLGELKSLSGTLNLSFNHLSGEIPKSLGNLPVTVSLDLRNNDFSGEIPQSGSFSNQGPTAFLNNPKLCGFPLQKACKDTDENSPGTRKSPENNADSRRGLSTGLIVLISVADAASVALIGLVLVYLYWKKKDSEGGCSCTGNEKLGGSEKGKPCCCIAGFPKGDDSEAEENERGEGKGDGELVAIDKGFSFELDELLRASAYVLGKSGLGIVYKVVLGNGVPVAVRRLGEGGEQRYKEFVTEVQAMGKVKHPNVVKLRAYYWAPDEKLLISDFVNNGSLADALRGRNGQPSPSLTWSTRLKIAKGAARGLAYLHECSPRKLVHGDVKPSNILLDSSFTPYISDFGLTRLITITAPSAFSNEPSSSSAAGGFLGGALPYTSIKPSDRSNGYKAPEARLPGGRPTQKWDVYSFGVVLMELLTGKSPESSPLSSSSSSTVVVEVPDLVKWVRKGFEEETPLSDMVDPMLLQEVHAKQQVLSVFHLALACTEGDPEVRPRMKNVSENIDKI >Al_scaffold_0002_1384 pep chromosome:v.1.0:2:12537798:12540946:-1 gene:Al_scaffold_0002_1384 transcript:Al_scaffold_0002_1384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KV44] MCSNCIFVSLFTLSLLLGQSCSETDTLHQGQFLKDGQELVSAFKIFKLKFFNFKNSRNWYLGIWFNNLYLNTDIQDRAVWIANRNNPISERSGSLTVDSLGRLRILRGASTMLELSSTETRRNTTLKLLDSGNLQLQEMDSDGSMKRVLWQSFDYPTDTLLPGMKLGFDVKTGKRWELTSWLGDTLPASGSFVFGMDANITNRLTILWRGNMYWTSGLWYKGRFSEEELNDCGLLFSFNDAITFFPTIMIDQQGILHRAKIHQTRNYDSYWQNSRNQNCLAAGYKGNNVADESYSNGFTSFRVTVSSSSSNGFVLNETSGRFRLVDCNAICVQNSSCLAYASTELDGTGCEIWNTYPTNNGSSSHRPRTIYIRNDYSVGQEKKKVAAWQIVLASMCLMIPMIWFIIYLVLRKFKVKGRKFKCFISWNILLSMERNHSTRFGSTIDQEMLLRELGIDRRRRHKRSERKSNNELLIFSFESVVLATDDFSDENKLGEGGFGPVYKGKLIDGEEVAIKRLSLASGQGLVEFKNEAMLIAKLQHTNLVQVLGCCVEKDEKMLIYEYMQNKSLDYFLFGKVSSLEEKRFGLDVAVQDHGRNNSRAFYLHKYSRLKVIHRDIKASNILLDEDMNPKISDFGMARIFGAEETRANTKRVAGTFGYMSPEYFREGLFSAKSDVFSFGVLMLEIICGRKNNSFHHDSEGPLNLIVHVWNLFKEKKIREAIDLSLGDSALDYPQVLRCVQVALLCVQENAEDRPSMLDVVSMIYGEGNNALSLPKEPAFYDGLTS >Al_scaffold_0002_1396 pep chromosome:v.1.0:2:12610211:12611893:1 gene:Al_scaffold_0002_1396 transcript:Al_scaffold_0002_1396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3537) [Source:Projected from Arabidopsis thaliana (AT1G67570) TAIR;Acc:AT1G67570] MESHQQQSPAVETPLLINSNQDHRERPSPEIDGGGGEGVDDDLDRTLERLETFLTLLGFNQSSTRSLVLSWIVFLAIGLVLPVTVLELGHCLGCERYQYKSFELNIVVSQALLAGVSLLCVSHNLRKHGIRKFLFVDQLSGRMGRLKAQYIKQISNSVRLLAVWSLPCFALKAIREIIRMYYVPHDQAWLSVAILLSMILSWTYLSTIFLAASAMFHLVCNLQVIHFEDYAKLLEGESEISLFIYEHMRLRHYLSKISHRFRIFLLLQFLVVTASQFTTLFQTTAYSGRITYVNGGDFAVSAVVQVVGIILCLHAATKISHRAQAIASVASRWHAMMSCSSTDSTQIRTSPSGVHLEATTNPPISFPISRSDSDVESLDHYMRMPATNHFPSYMSMSSYHKRQAFVLYLQMNPGGITIFGWTVDRHLINTIFFIELSLVTFVLGKTVVFGSE >Al_scaffold_0002_1402 pep chromosome:v.1.0:2:12634253:12636076:-1 gene:Al_scaffold_0002_1402 transcript:Al_scaffold_0002_1402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G67640) TAIR;Acc:AT1G67640] MEKSQLSPTKDDASTKQKNVDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSNLGWGPGVTIMIMSWLITFYTIWQMVQMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLIVEVGVDIVYMVTGGKSLKKIHDLLCTDCKNIRTSYWIMIFASIHFVLAHLPNFNSMSIVSLAAAVMSLSYSTIAWATSVKKGVHPNVDYSSRASTTSGNVFNFLNALGDVAFAYAGHNVVLEIQATIPSTPEKPSKIAMWKGVVVAYVVVAICYFPVAFVCYYIFGNSVDDNILMTLQKPIWLIAIANAFVVVHVIGSYQIYAMPVFDMLETFLVKKMMFAPSFKLRFITRTLYVAFTMFVAICIPFFGGLLGFFGGFAFAPTTYYLPCIMWLCIKKPKKYGLSWCINWFCIVVGVILTIVAPIGGLRTIIISAKNYKFFS >Al_scaffold_0002_1405 pep chromosome:v.1.0:2:12646285:12648561:-1 gene:Al_scaffold_0002_1405 transcript:Al_scaffold_0002_1405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle subunit SRP72 [Source:UniProtKB/TrEMBL;Acc:D7KVM5] MAPKPKENPKTSNPAIEDLFSSLNKHIKGSHIQRSEFEQVVKVADQVLSILPSDEDAIRCKVVALIEAKKFNDALSVINLFQKLPMDLGFQKKLSKSKIKWLEVNLVAALIAAGKASQVLKALESLKIKPTTTYQLAYNTACSLIENNDYADVEQLLLTARSYLTSHLTVDFPSLETLTDDKSSDLASIAVQLAYVQQVLGQTQESTSSYVDIIKRNLGDELTIAVAVNNLVASKGSKDISDGLRKFDRLKEKDSQNFQLSQDLDAKLSQKNKEAIYANRARELCAALPGMFPESVVPTLLQAAVLVRENKAAKAEQLLGQCAEKFPEKSKLVLLARAQIAASASHPHVAAESLSKIPDIQHLPATVATIVALKERAGDNDGAAAVLDSAIKWWSNSMTESNKLSVLMPEAAAFKLRHGQEEEASRLYEEIVKKQSSIDALVGLVTTLAHVNVERAETYEKQLKPLPGLKAVDVDNLEKTSGAKPIQGSAASSSQEEVKKEKAKRKRKPKYPKGFDQANPGPPPDPERWLPRRERSSYRPKRKDKRAAQIRGSQGAVTKVKQEAAPSTSKSNQAASSKANAAAPSSSKASKKKSRR >Al_scaffold_0002_1413 pep chromosome:v.1.0:2:12690512:12690781:-1 gene:Al_scaffold_0002_1413 transcript:Al_scaffold_0002_1413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KVN4] EFFFVKLFKFNISTNISLDESVAIFLIICAQNDTQGDIALRFGHVQETIWRKFHYVLKAMERLAVEYILPRTVEELRAISNRLQDDTRY >Al_scaffold_0002_1414 pep chromosome:v.1.0:2:12691377:12693798:1 gene:Al_scaffold_0002_1414 transcript:Al_scaffold_0002_1414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVN5] MSDDNDEAEPERNLKMVEDESDPSDPDYATPNEEESDSDESDYDVECYDEVMERFHLEVEDSTKPKRIMHCKKCGQGGHNSRSCAKRAKRTHGEGSGSQSTELMSQVDQA >Al_scaffold_0002_1416 pep chromosome:v.1.0:2:12697773:12699691:1 gene:Al_scaffold_0002_1416 transcript:Al_scaffold_0002_1416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVN6] MDTTTSSGSSPATLQLHSIIPENPIAALELSFPPFLRLKRQCLGSSVPGEFFLASCPSIVLHVLTTCDLGPRDLAKLEASDAQLSFMATCSFFRKPANFSPDFDLSISEVAALDICQKRAIFKCMGEEERQEIKRRCGGSWKLVLKFLLAGEFGCRREKSQALAGPGHSIAVTSKGVVYSFGFNGSGQLGQGTTQDSWQPLPVRSLNGIRIIQAAISTDRTFVISDAGEVYAFGKVCFSEAKLEVPETKLITTPQRVKSLAEIFVVQAAIGNYFTAILSREGRVYTLSWGNDERLGHQTDHSCSLPQPLLGALENIPVVQIAAGFCYLLALAFQPTGMSVYSVGCGLGGKLGHGLSDSDASEKYPRLIKKFGLLNIEPVMVSAGPWHAAVVGKDGRVCTWGWGRFGCLGHGTEEAENAPKVVDGLKDVKAVHVATGVYTTFVVSDDGQVYSFGSGGANLGQDDVDENTLTPKLVSSLKDTKERMVHVSLTNSGNGTGHTFAMTESGTLYAFGAGNRGQLGVELGENLTERAEPAKVAGIDLS >Al_scaffold_0002_1443 pep chromosome:v.1.0:2:12827437:12829301:-1 gene:Al_scaffold_0002_1443 transcript:Al_scaffold_0002_1443 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 9 [Source:Projected from Arabidopsis thaliana (AT1G68150) TAIR;Acc:AT1G68150] MTKIEVRFASPLMGIDLSLKLEAKEKKKEIEGSKHSRENKEDEEHDASGDKDEQMVKEAEDDSCLGSRTREEENEREELLQLQIQMESVKEENTRLRKLVEQTLEDYRHLEMKFPVIDKTKKMDLEMFLGVQGKRCVDIKSKVQKRGGERSPSMEREIGLSLSLQKKQKQEESKEAVQSHHNQRYNISSFDTNAPRIISSSQGNRKARVSVRARCETATMNDGCQWRKYGQKTAKGNPCPRAYYRCTVVLGCPVRKQVQRCLEDMSILITTYEGTHNHPLPVGATALASTASTSPFLLLDSSDNLSHPSYYQTPPVIDSSLITYPQNSSTNNRTIRSLNFDGPSRGDHVSSSQNRLNWMM >Al_scaffold_0002_1456 pep chromosome:v.1.0:2:12892569:12895306:-1 gene:Al_scaffold_0002_1456 transcript:Al_scaffold_0002_1456 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase / trehalose-phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT1G68020) TAIR;Acc:AT1G68020] MVSRSYSNLLELASGDSPTFGRMNRQIPRIMAVAGIMSNIDNDSKETDLSPKDRIIIVANELPIRAQRRLEGSGSSTSTCCSKGWNFSWDENSLLLQLKDGLGDEAIEVIYVGCLKEEIPLNEQEEVYQVLLESFKCVPTFLPLDLYTRYYHGFCKQQLWPLFHYMLPLSPDLGGRFDRALWQAYVSVNKIFADRIMEVINPEDDFVWIHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLTYESKRGYIGLEYYGRTVSIKILPVGIHMGQLQSVLSLPETERKVGELIERYGRKGRTMLLGVDDMDIFKGITLKLLAMEQLLMQHPEWQGKVVLVQIANPARGKGKDVKEMQAETYSTVKRINETFGRPGYDPIVLIDAPLKFYERVAYYVVAECCLVTAVRDGMNLIPYEYIVSRQGNEKLDKILKLEPNNHNKKSMLVVSEFIGCSPSLSGAIRVNPWNVDAVADAMDSALEVAEPEKQLRHEKHYKYVSTHDVGYWARSFLQDLERSCGEHGRRRCWGIGFGLSFRVVALDQSFRKLSMEHIVSAYKRTKTRAILLDYDDTLMPQGSIDKRPSSKSIDILNTLCRDKGNLVFIVSAKSRETLSDWFSPCEKLGIAAEHGYFLRLRKAVEWENCVAAADCSWKQIAEPVMELYTETTDGSTIEDKETALVWSYEDADPDFGSCQAKELLDHLESVLANEPVTVKRGQNYVEVKPQGVSKGLIARRMLSMMQERGTLPEFVLCIGDDRSDEDMFEVICSSTEGPSIAPRAEIFACTVGQKPSKAKYYLDDTTEIVRLMHGLASVTDQITPV >Al_scaffold_0002_1461 pep chromosome:v.1.0:2:12910175:12911680:1 gene:Al_scaffold_0002_1461 transcript:Al_scaffold_0002_1461 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock transcription factor A8 [Source:Projected from Arabidopsis thaliana (AT1G67970) TAIR;Acc:AT1G67970] MVKSTDGGGGSSSSSVAPFLRKCYEMVDDSSTDSIISWSTSADNSFVILDTNVFSVQLLPKYFKHSNFSSFIRQLNIYGFRKVDADRWEFANDGFVKGQKDLLKNVIRRKNVQSSEQSKQENRSTSTCAQEKTEKSGLWKEVDILKGDKQVLAQELIKVRQYQETTDTKMLHLEDRVQGMEESQQEMLSFLVMVMKNPSLLVQLLQPKEKNSWRKAEGATIVEEVTDEGESNSYGLPLVKYQPPSDNGTAKSNTNDVNDFLRNADMLKFCLDENHVPLIIPDLYDDGAWEKLLLLSPSRKKTKKQENIVKKGKDDSTLEEEEDGTMELDKSHMLKLISEEMEKPDDFEFGQLTPERSRNLEILTEQMELLASND >Al_scaffold_0002_1465 pep chromosome:v.1.0:2:12924254:12926137:1 gene:Al_scaffold_0002_1465 transcript:Al_scaffold_0002_1465 gene_biotype:protein_coding transcript_biotype:protein_coding description:nodulin MtN21 /EamA-like transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G68170) TAIR;Acc:AT1G68170] MWREMRDITAMVVVQIASAGLNIFFKLAMEDGMNPSVLVAYRLLFATLFMLPLSFIFQRKKRPDFTWRLMLLALISGLLGVVIPSILTIAGLALTSATFTSAAGVLTPLITFIFAALLRMESVRLGSSVGLAKVFGTLFGVGGALVFIFYRGIEIHLWSTHVDLVSKPRDSSRDATTHHISILGALLVFGGNISISLWFLLQVKISKQFGGPYWNATLMNMMGSVVAMLVALCWKRDLKEWRLGWNIRLLTIAYAAIVISGMVVAVNAWCVESRGPLFVSVFSPIGLVIVALVGSFVLDETLHLGSIVGTVIIVGGLYLVLWGKNKEMKSIPTTSNHIETNKTSKDITLNNLPTLSTNVL >Al_scaffold_0002_1480 pep chromosome:v.1.0:2:12983596:12983865:-1 gene:Al_scaffold_0002_1480 transcript:Al_scaffold_0002_1480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KWA3] AGQLDESADVLTRSTFGWMNRSGQLDESADVLTRPTSGRVNRSGQLDRSVDVLTRPTSGRVNRSGQLDRSADVLTRPTSGQVNRLGPLG >Al_scaffold_0002_1483 pep chromosome:v.1.0:2:12994943:12999365:-1 gene:Al_scaffold_0002_1483 transcript:Al_scaffold_0002_1483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWA6] MELFLKIGLDNKTARKTIDDNELTSDLTAIIYEAGVCDGCDKTTGNLLYSVATRLPISARMHHSKLIKCIMSFKLPAQRNAAIKYFEDIESKDFNMNEFEEACGVGVEVLAEDIEKTVSKIFEENMNSILEKRYRTSEGKLLGDVLKKLPWADPRIVQKVIGEKMYELLGERTAADNEKPVKKKEKKEKPAKVEKAPAEVASKPSEEELNSFSIFPKPEHNIKVHTEVSFSDGSVLRYSNTRELLDKHLKAMYVNFGLAKDRGGCCYLRFDDTNPEAEKSEYITHIEEIVNWMGWKPFKLYDLAVELIRRGHAYVDHQTGEEVKEYREKKMNSPWRDRPIEESLKLFDEMKRGLFEEGKATLRMKMNMQNDNFNIYDYAHCIVDSLENITHSLCTLEFETRRASYYWLLHSLGLYMPHVWEYSRLNITNTMMSKHKLNQIVTDKHVDGWDDPRLMTLAGLRRRGVTPTAINDFIKGIGITRSDGSIINMNRLESHIRVELHETAPRTMVVVHPLKVVITNMESDKVIELDAKIWPENKSNDPSSFYKVPFSRVVYIERGDFRLKDSKDYYGLAPGKTVMLRHGFPIKCTDVVLADDNETVVEIHAEYDPEKKTIPKKGFLHWVAEPAPGKEPLKVEVRLFDKLFYSENPGELENWLDDINTNSRLVVSDAFAVPTLKEAALGEKFQFERLGYFVVDKDSTPEKLVFNRTVTLKESSSKPGK >Al_scaffold_0002_1503 pep chromosome:v.1.0:2:13151687:13152124:-1 gene:Al_scaffold_0002_1503 transcript:Al_scaffold_0002_1503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWC7] MRLTSPAKVDQGFWWLIEISSRYSDGSSLFGVRLISADFLVKKVFCRRFQWGLNQTRLSIIQTTEVQRTLWFMEEPTRWIDGRYLFRVRWVSLVIVAGRDLSRWFNVKREKKICTQKEKKSEREKSVRGFCFWYVLLVIRVEPGT >Al_scaffold_0002_1505 pep chromosome:v.1.0:2:13163592:13163912:1 gene:Al_scaffold_0002_1505 transcript:Al_scaffold_0002_1505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWC9] MVPKPSSNSKYRRTTGRARRNAPCHRAKKSTAHHQQETKGRIGKLKLKQRSTGGEETTETSKKEKEEPSPYAGKECCERGGGSRFVLSAVVRRENIVARRPNAREV >Al_scaffold_0002_1539 pep chromosome:v.1.0:2:13360614:13360979:-1 gene:Al_scaffold_0002_1539 transcript:Al_scaffold_0002_1539 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLAVATA3/ESR-RELATED 12 [Source:Projected from Arabidopsis thaliana (AT1G68795) TAIR;Acc:AT1G68795] MLRLSSSSSMALKFSQILFIVLWLSLLFLLLHHLYSLNFRRLYSLNAVEPSVSKQHHRSYTIRLVSRKTLSHKFDFTPFHSRDNSRHDHRHSGEQYDGDEIDPRYGVEKRRVPSGPNPLHH >Al_scaffold_0002_1552 pep chromosome:v.1.0:2:13455484:13455867:-1 gene:Al_scaffold_0002_1552 transcript:Al_scaffold_0002_1552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like (DEFL) family protein [Source:Projected from Arabidopsis thaliana (AT1G68907) TAIR;Acc:AT1G68907] MEKMSLKLVFLFSLTVISFCLSLSAAREMAKEEVNCIGGHCPDGKKNCNCLLPIAPTMDIYETNASCLVDNECIKYCPKSCKIVNCNFGTCLCEYC >Al_scaffold_0002_1558 pep chromosome:v.1.0:2:13497232:13497868:1 gene:Al_scaffold_0002_1558 transcript:Al_scaffold_0002_1558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWX0] MKETRSQHLSHRYTSQPVEKESKSITSSPSVQAITRERTLFCENGEKRRRSPARRETNDAGEWKSPERSRKRSKHRSVKATIGEATPEGESHFVKVLRFLVKKLVYFLLQTRSLLQI >Al_scaffold_0002_157 pep chromosome:v.1.0:2:934101:935858:1 gene:Al_scaffold_0002_157 transcript:Al_scaffold_0002_157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTI1] MGMGTSTFVIRWVNLLTMLLAVAVIIFGVWMSTHNDGCRRSLTFPVIALGGFIFLISIIGFLGACKRSVALLWIYLAVLLIVLIAILVFTVLAFIVTNNGSGHTNPGLRYKEYKLNDYSSWFLKQLNNTSNWIRLKSCLVKSEQCRKLSKKYKTIKQLKSAELTPIEAGCCRPPSECGYPAVNASYYDLSFHSISSNKDCKLYKNLRTIKCYNCDSCKAGVAQYMKTEWRLVAIFNVVLFVVLVSYL >Al_scaffold_0002_158 pep chromosome:v.1.0:2:938039:939077:1 gene:Al_scaffold_0002_158 transcript:Al_scaffold_0002_158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KTI2] MLKDFFDGKEPNKGTNPDEVLAYTTAVQGGVLSGEGGEETQNLNLLKTNILLLDVAPLHLGIDTVGGVMTNIIPRIPTKKSQVFTTYQDQQTTVTINVYEEISMTKDNPELGNFQLTGLLPAPRKEQFFMGVPQMEVTFEVDANGILQVNTEDKVPKMSQSITITNDKGRLTGEEIDEMIILISY >Al_scaffold_0002_1582 pep chromosome:v.1.0:2:13655224:13656087:-1 gene:Al_scaffold_0002_1582 transcript:Al_scaffold_0002_1582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWZ6] MSEIFHRTALTEEPPNAMPEERKRSNSFPEVMGMTSAKENAKSAGEKSYSMTGRDLDSHKGSEDNDYKRAFGHEGSIIKNKASKLVKSSF >Al_scaffold_0002_159 pep chromosome:v.1.0:2:943492:944284:1 gene:Al_scaffold_0002_159 transcript:Al_scaffold_0002_159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLR0] MVDFMFFWKPCLMNGGGCSRFYLDNAHRIEFIPKNRVFDEWTIIYMSHEKKSVMSKKVAQSKSKTTVSRRMPRENQIPHLGRAHRGAGGDPETLLQ >Al_scaffold_0002_1594 pep chromosome:v.1.0:2:13702636:13705697:-1 gene:Al_scaffold_0002_1594 transcript:Al_scaffold_0002_1594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF863) [Source:Projected from Arabidopsis thaliana (AT1G69360) TAIR;Acc:AT1G69360] MGETVHCGSFLPSMRDLSEDSNTCSFSMLHSNGDQNLPFGKYQNGCCFSARPPATDSYERDFLKQTMLQHEAVFKNQVYELHRLYRTQKSLMDEVRGKNNEPTPENAIKRGFLLGNSICGEGGSSPACNVSMQNGKDVLEVRPVKLRRTMIDLQLPADEYLDRESEYTTCPPYEQSKQVGDGKLFFERGSASSHRNDSSLVLKKPNGFTDLNEPVHCQESVPLSSSGDIYSLYGRNISHVQGQWLEENASQNGWMVLQAGSGKSTPRDKLCLPSHSVQVHSNGAFQPLGYPSTDHRKLSGERASFEWEAPQRNPEVSYDSYVDSSVASNAPSLNHGYRPESVRPWSHWISSWENRSSSSDQKPLPLQANPFLNFTTQARADSSAEMRSRDSNGLNQGFSSVSKESAFNLPSGNFNHLNNGPKGTVTNGSLSESVKHRSLENLQGPKKQECSAGLPSIKPMPINKNGITNGGLDLNASANHQFMDGSDMGDSSNNVSPQNGLRSVSCSNDANLRHVEMANSQSSRKILGFPISQKHSICKEHPSLITSSVCISNEPKKVNTLVKRNLDINLPCETSVSEAVVLDKEEGKKAATHRQHIDLNFCASEDEDSGLCSNPRMETKATTLIDLEALPTLENEDEVAKCPVNRAADISGLEKGEAGDSLDELIEAAAEAIVTISLSYHCRNTDEAASSLTDAVAKDPLSWFVNIIASCGNDLEKKIDACLEARDSEGCHEEYSSGEFDYFETMTLNLLLTKEEDYMPKPLIPGYLKFDGTGSVGITTNRPRRGQARRGRPKRDFQRDILPGLASLSRLEVTEDLQMFEGLMKATGYNWNSGVARRSSNRGRKRMVSNIDRAPVCSSLAQPMNNSSVQMVGLEDRSLTGWGNATRRPRRQRCPAGTPPTVILT >Al_scaffold_0002_160 pep chromosome:v.1.0:2:945777:946632:1 gene:Al_scaffold_0002_160 transcript:Al_scaffold_0002_160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTI4] MVVRKGELKLYIDELLEEVEEEICEIGSLHIRSLMKGRKWVDLLIDINTIHSRTSKIGAKWCFNVASRRSTYAFGAQHHLVKQS >Al_scaffold_0002_1601 pep chromosome:v.1.0:2:13744680:13745726:1 gene:Al_scaffold_0002_1601 transcript:Al_scaffold_0002_1601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KX14] MMEMGLSGMMNQGGSKFRKFEIESSSSSSSSSDHQFHSMNALEILRETVRILRYNLGAFMLIALLLICPVSAILLPNLLVDQSVVNSLTVRLLLVSKSSGLPLLPFVRNSCQKFSETAVSSAMCFPLFITLSLLSRAAVVYSVDCTYSRKKVVITKFLVIMQRLWKRLVITYLWICTVIVVCLTSFCVFLVAVCSSFYVLGFSPDFNAYGAILVGLVFSVVFANAIIICNTTIVISILEDVSGPQALVRASDLIKGQTQVGLLIFLGSTIGLTFVEGLFEHRVKSLSYGDGSSRLWEGPLLVVMYSFVVLIDTMMSAVFYFSCRSYSMEAVEALEASGEIVSQDLSLL >Al_scaffold_0002_1607 pep chromosome:v.1.0:2:13764852:13765898:-1 gene:Al_scaffold_0002_1607 transcript:Al_scaffold_0002_1607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KX19] MALRLTTLKRAVVESRRILEFRSFNHVSTISPPLNGAIDLSTASPPLVLPEFYQDLSDSSIDDKNFRFCFPISFFGGSMELMAVPKKKISKHKRGIRNGPKALKPTPVIIRCRSCGRVKLPHFYCCSGERVIPEEQSNSNN >Al_scaffold_0002_161 pep chromosome:v.1.0:2:951246:951524:-1 gene:Al_scaffold_0002_161 transcript:Al_scaffold_0002_161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTI5] MAKAGASGFTLHVEVAKENWQELVENIKSVGMRPGVALKPGTPVEQVYPLVLKVQIRLKWFL >Al_scaffold_0002_1610 pep chromosome:v.1.0:2:13775194:13776118:1 gene:Al_scaffold_0002_1610 transcript:Al_scaffold_0002_1610 gene_biotype:protein_coding transcript_biotype:protein_coding description:cAMP-regulated phosphoprotein 19-related protein [Source:Projected from Arabidopsis thaliana (AT1G69510) TAIR;Acc:AT1G69510] MEDVKGKEITDDAPIDNKVSDEMESEENAIKKKYGGLLPKKIPLISKDHERAFFDSADWALGKQKGQKPKGPLEALRPKLQPTPHQQPRARRMAYSSGETEDSETDNNESPDDQACASAVDNTNLKDDGGSDGDAKDNIKS >Al_scaffold_0002_1615 pep chromosome:v.1.0:2:13825824:13827678:-1 gene:Al_scaffold_0002_1615 transcript:Al_scaffold_0002_1615 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 94 [Source:Projected from Arabidopsis thaliana (AT1G69540) TAIR;Acc:AT1G69540] MGRVKLKIKKLQNMNGRQCTYAKRRHGIMKKAKELSILCDIDVVLLMFSPMGKASVCIGKHSIGEVIAKFAQLSPQERAKRKLENLEALRKIFMKVNHDIDISKFLDRSSTPTVEVLSEKIRFLQTQLSDIHTRLSYWTDVENIDSVDDLQQLEHSLRQSLAQIYGRKASMPRHQHRQLMSSKCKNQLQTEIDIDFGMEMEQQLENFSWVRTDENMNVPPKEEDPNMQLHQMYRDIKCSASSSLGNYSGLFFSKSSDLSTPKLQTGSIPGTAADPNQQISNLSFLNDQKLQQLAEWNLLGSPADYYVSQILEASYKPQFGGKNNCASSETLPYVAVFDDPLYFWPN >Al_scaffold_0002_1616 pep chromosome:v.1.0:2:13828526:13831950:-1 gene:Al_scaffold_0002_1616 transcript:Al_scaffold_0002_1616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXG7] MFIIILGPVPSALQPTEYRTDVNPHRRFPNRLGMKQNGGSSSTSPPSTLSSLTSPPSSLSSSTSPSSSLSCTGTHHVFASFRGEDVRRKILSYIQQEFQRKGIIPFIDNEIKRGESIGPELIKAIRESKIAIVLLSRNYASSKWCLDELVEIMECKKKFGLTVFVVFYEVDPSHVKKLTGEFGAVFQKTCKGRTKEEIWRWRQAFEEVATIAGYDSRDWENEAAMVDGFEDLAWKVTRLAGRLPLGLRVMGSRLKGMSKEEWKAELPRLRVRLNGDIWSILKYSYDALDDEDKDLFLYIACFFNDESIDHTFEDTFKNNFSNVQQGFRVLVQRSLISEERYQPMHNLLVQLGREIVRKQSNEPGKRQFLVDPRDVCEVLTDHTGSESVVGISLEVYENIDKLNISERAFEKMSNLQFLRIFKGRWHLPQVLNNLPPNLRILEWDDYPMSCLPSKFNPEFLVKILLKGSKLEKLWEENQQRLINLKVMDLRYSENLKELPNLSKATNLTLCLQGCSKVKVLPINITLDSLEELDVTGCSQLKSFPEISTNIESLMLCGTLIKAFPLSIKSWSRLHDLRITYCEELEEFPHALDIITELELNDTEIEEVPGWVNGMSRLRQLVLNKCTKLVSLPQLPNSLSILNAESCESLETLACSFPNPKVCLKFIDCWKLNEKGRDIIIQTSTSSYAILPGREIPAFFAYRATTGGSVAVKFNQRRLPTSFRFKACILLVYKGDEADYAEWGPYLTEHLYIFEMEVKNVESREIFLKFGTHSSIWEIGKCGIRPLLEDDTHVEGSI >Al_scaffold_0002_1624 pep chromosome:v.1.0:2:13892312:13893925:-1 gene:Al_scaffold_0002_1624 transcript:Al_scaffold_0002_1624 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein [Source:Projected from Arabidopsis thaliana (AT1G69650) TAIR;Acc:AT1G69650] MGASCSAPVSSTIKKNWRDHPPSSYSLKIHNFSQFENSTAFSDHKYQSRLFSSGGYNWRLIIYPKGNVKDNESGFISMYVELDSTSLTESTPTEVFAELRFFVYNKKKTSTLLKRFSALKMAWGLRKILPCDTFINRENGYIFEGGECEFGVDVIVSSPLTNWEILSFDEKLSYPKFSWSVENFSQLKEKEFYTSKRFSIGGREWFLELYPRGNARANGKYLSVYHNLADSETLKPDEKIFTQVHVRVLNPLGSNHLTAQTEIRKTYLDKQDTLNIEAEFKVVSATKYSTIL >Al_scaffold_0002_1627 pep chromosome:v.1.0:2:13899548:13900976:1 gene:Al_scaffold_0002_1627 transcript:Al_scaffold_0002_1627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXI1] MSGELCSVRPLFGGAISTVFPQRFQDVSNIRQVPDHQEVFVDPSRDESLIFELLDFKTEVGDIGSASWFLNDLAREQDAEGFQLIEQSEVIEAPGLSFRNIPAIATTAIGEMAISKGRQGREAQNLVRVYVANLRLKGVDTDVLVTAYEPILINPLSKSADAVGSGLAVPASQSGIMPMCDVIKQSLSTFKVNDWNLFGSSA >Al_scaffold_0002_1636 pep chromosome:v.1.0:2:13942027:13943003:1 gene:Al_scaffold_0002_1636 transcript:Al_scaffold_0002_1636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXI9] MSTGGAFGGNRGLRPIPPEKGIFPLDHLHECDAEKKEYLGCLKSSAHKSEQCRHLSKKYLQCRMAKNLMAKQDMAELGFSGVKELDSAEDKNKESIEQ >Al_scaffold_0002_1638 pep chromosome:v.1.0:2:13963337:13964143:-1 gene:Al_scaffold_0002_1638 transcript:Al_scaffold_0002_1638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KXJ1] PSQSHNLVVTINLPPQKFPQIGRVWMLVEFVALVLWNSDIAHSSSMSLDTFVSTFVLSCSSFIVLMRSFTAVCGFWLDLAMLKVVSSQLGQSSLSLKNRPGFLVHWGYHSPHLSFMEFIIFPTTSLVFSDSVTGNIECKTVMLEVEARNLLVFENRAFSEEDTALKAITAAKEWQEANLAVHGQSRNRAQAVPLIKDISTPLPHSEDPSLTLPLIEAPSLNLPLIEAPFHRIPRDEVFSCYTDAAWIASSGSCGMG >Al_scaffold_0002_1646 pep chromosome:v.1.0:2:14018113:14018414:1 gene:Al_scaffold_0002_1646 transcript:Al_scaffold_0002_1646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KXK0] GKDKKTKRTTPKSDDVYPKLNVKFYRFLVRRTQSKFNVVILKRHFMRKSTKLLFLYIGLWNSWLA >Al_scaffold_0002_1647 pep chromosome:v.1.0:2:14022066:14022711:-1 gene:Al_scaffold_0002_1647 transcript:Al_scaffold_0002_1647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KXK1] INVPPPAPANFIRPGKRPLSSMTPTIVLKAGKVKAAVGASGGVNIIAGTMEVFLNCIFLKMDPLSSVLAPRIYHQLIPNIVSYENWTTLFSDHFEVPKETRVVLEKKGHALRPIHVGTMVQFIVQESGANSSGISELVAVSDPIKGGVPFGILRYI >Al_scaffold_0002_1656 pep chromosome:v.1.0:2:14105231:14107505:1 gene:Al_scaffold_0002_1656 transcript:Al_scaffold_0002_1656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXL0] MNELMKTCLFIKFINKLFTSIAEHCADAEVIYKFLEVNEIDKTTVVSGDDLFVHQSISSEKFRSLTVDEAVEFKVEVDNASRLKTVEVSGPEGEDVMVEIIKEDMVVMVLALGVVNQVIWREIVLKVVEDTVVVEVVEGTIKSIGYDIYDSYI >Al_scaffold_0002_166 pep chromosome:v.1.0:2:968443:970194:1 gene:Al_scaffold_0002_166 transcript:Al_scaffold_0002_166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTI1] MGMGTSTFVIRWVNLLTMLLAVAVIIFGVWMSTHNDGCRRSLTFPVIALGGFIFLISIIGFLGACKRSVALLWIYLAVLLIVLIAILVFTVLAFIVTNNGSGHTNPGLRYKEYKLNDYSSWFLKQLNNTSNWIRLKSCLVKSEQCRKLSKKYKTIKQLKSAELTPIEAGCCRPPSECGYPAVNASYYDLSFHSISSNKDCKLYKNLRTIKCYNCDSCKAGVAQYMKTEWRLVAIFNVVLFVVLVSYL >Al_scaffold_0002_1665 pep chromosome:v.1.0:2:14163793:14164579:1 gene:Al_scaffold_0002_1665 transcript:Al_scaffold_0002_1665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1163) [Source:Projected from Arabidopsis thaliana (AT1G70020) TAIR;Acc:AT1G70020] MDYGNGELAPNPTSLCARLTPQQKDRVQKIIVLCCIAIFVAVFITYVCFYEAQIRREKRREEQRIRNYAPDIIIPSMDFTVLNLTETSLSVKWDLVIRLPSDLPGYYMCLKGDLQTFILYKGVTIANSSLDSYSLIPNWPQLLNTSSLVASERDMDNVVVHDIMEDIKERRDMRFGSRFLLPDCRSGRKMNYTCDETALRFEPGSQRKATEFEKASPICHYNHP >Al_scaffold_0002_1669 pep chromosome:v.1.0:2:14186045:14186341:-1 gene:Al_scaffold_0002_1669 transcript:Al_scaffold_0002_1669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXM5] MGLGRIYKSPIQLQKRKSAIHRKNKRGSPFVDRCPQSNRNLKSGGLKTHSFVATTEDREISAKETGFRHPLHASDEPAHHKSPGKLVTPTKHIDGIRS >Al_scaffold_0002_1680 pep chromosome:v.1.0:2:14234542:14236771:1 gene:Al_scaffold_0002_1680 transcript:Al_scaffold_0002_1680 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT1G70150) TAIR;Acc:AT1G70150] MECAARGFATRCVGPPTRRCGQCGSVAYCSVSHQSSHWSYHKEECERLEEQMRRVDLLNDFPFTFTEEATIQVSQKHETRCSFLCKRELHRVGMWMYECNCGASARFSAFDSFNNDGWHLPSSSCPCRGPLSPVTKQLCSWTDYSEWRKIPLDSPVALLLHWSLLLFQPLTIYHAIQAIGLGNLTPQISNELRIHYLGPQKELGQLGVFTELQALFPGLRIHVELVGPDVPQHMDGEMISLSKYSPCTEEECECNYSSEISGSESACSPAVSLQLHRGFYHDRYTDITKNSPPPHIVIAPNAGVAAYPSWLPTIELIKEIKVPAVFSDYCEEACHLAACCIKTITGQPISLPVSLDYRFIIILFNLFKPMFFVVWVPPAYSQAYVSSKLFYV >Al_scaffold_0002_1685 pep chromosome:v.1.0:2:14252782:14253060:-1 gene:Al_scaffold_0002_1685 transcript:Al_scaffold_0002_1685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXZ2] MPVALLEKLAKPMRMTMPFRESKHMPKRMTMPFRKPKPMPKTMPKPKPIPTPKPKPEPMHKAKTIPKPMPKTMPKPKPMPMPNSKPKQKPNF >Al_scaffold_0002_1689 pep chromosome:v.1.0:2:14275497:14276834:1 gene:Al_scaffold_0002_1689 transcript:Al_scaffold_0002_1689 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 27 [Source:Projected from Arabidopsis thaliana (AT1G70230) TAIR;Acc:AT1G70230] MGLKEQRNCLSQRKIIVFLVSAFIPIALFRLCFTNPFSAIDDTSLQESAAHVVITSYSSSSQEEETQESYDRVKEENLCDYTQGKWVRDEIGPLYNGSTCGTIKDGQNCFRHGRPDSGYLYWKWKPNQCDIPRFDANRFLDLMKDKHLAFIGDSMARNQLESLICLLSTVSSPDLVYRNGEDNKFRKWRFESHNVTVSVYWSPFLVAGLEKSGNLDHNVLNLDRVDGRWGNDLERIDTVVVSVGHWFLHPAVYYEYGSVLGCHSCEASNCTEIGFYDVFRKAIRTTLKAVVGGRREVILTTFSPSHFEGRPWDSLGACNMTEPYEGKVLEGLDLDMRQIEMEEFTAAKAAAGEVRLAALDVTAMSVLRPDGHPGPYMYSFPFKNGVPQRVHNDCLHWCLPGPVDTWNEIMIEMLRRWKV >Al_scaffold_0002_1698 pep chromosome:v.1.0:2:14341718:14342249:1 gene:Al_scaffold_0002_1698 transcript:Al_scaffold_0002_1698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KY06] MWGCLKGEIDVHRLGVKDLQDLSSVIENYPNTVTSRIKSLKKNGEPSSSLPPLVVPDEAVSFDLKQDHKVEEDVDTFSMDGSHSQSETNHLATITTTVVDVCAPNITNNLKS >Al_scaffold_0002_1700 pep chromosome:v.1.0:2:14346315:14348135:-1 gene:Al_scaffold_0002_1700 transcript:Al_scaffold_0002_1700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF936) [Source:Projected from Arabidopsis thaliana (AT1G70340) TAIR;Acc:AT1G70340] MAALAPGILQKLIQGMKTGIKPTREHRSSMLQVTDIVPIGLDEKSLEPKQGFLIKVSDSSHSIYVSLPSDQDDVVLSNKMQLGQFIYVDRLEPGSPVPVIKGAKPIPGRHPLLGTPEPLMGPKGRSDQEIGSKPRRGSWGQNVDVSSSPFVVKPMPLEFDHSTPVKRSVSARFAASPIRRGGVRCSFGGGVLGKMEGESPATAMLRKSCFVSSASKFPRSRSVCDREAKRNNASLFSPFKFSIEAQEDVVPLSTSKTKTIKPEKDTNLPGRLNTLSKEAIQLREAAQKVALQALREATITETVVRHLKTFTNLNKSAKADCPGVCFEKFMEFHQQMAQTIGELASIEAAASDAENKSQNINTRTENQKPREEEPSSILHEIAYNSIDQEKRRSKRRIVLKQQEGKTLRSNDENKNPVCGEIGNTIRLAKEIEEEAANWFMEFVEMALEKGMKKSRGPDDADVKKVPQSLILGVLNWIEVEQSNSNNKRRTVHPKTSKITRKLRIKLKNP >Al_scaffold_0002_1701 pep chromosome:v.1.0:2:14348735:14349248:1 gene:Al_scaffold_0002_1701 transcript:Al_scaffold_0002_1701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KY10] LQNTDLKQERRRTKMLRRLVLKSYGSLKPDRLVIVNAFRCSEEGLFCCLRKVEREYKTILKRTLQSICVLTVVPNSTISVIIQVVHDGGSVSFLCSLHLGKYLLMLSKTHIIRYKQCLFEYL >Al_scaffold_0002_1702 pep chromosome:v.1.0:2:14350289:14351263:-1 gene:Al_scaffold_0002_1702 transcript:Al_scaffold_0002_1702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KY11] MAANGVRRALQVSSSSGKILFGRSSTATSASKIGKSAGIAFTNGSSSSSRPSLRRLTFSRVPVEMSAGVSLIPLHSVTASALLTSLLSLSNQNWSCLSEGFASTL >Al_scaffold_0002_1703 pep chromosome:v.1.0:2:14351818:14352704:1 gene:Al_scaffold_0002_1703 transcript:Al_scaffold_0002_1703 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G70360) TAIR;Acc:AT1G70360] MEESGDTCELTIVVMTVHAVMLKSGFVLFDPDSSMRFSFSEETLVSLNYTLASVKGIVSLNFENLGGEVVVYGSLSAGSLVGMVSIDKRRSVHIVDLLMDTSKSDKEEDTLSIHREVLVWWRMIKDGIVTPLLVDLCEITGLELPPCFICLPRELKHKILESLPGVDIATLACVSSELRDLASENDL >Al_scaffold_0002_1705 pep chromosome:v.1.0:2:14375637:14376273:1 gene:Al_scaffold_0002_1705 transcript:Al_scaffold_0002_1705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KY14] MTTSDLMPRQTTVPRLEKEKANSRSVDKEPDQALSMARKKKSSQSPHAASPNSPNRDFCHRNEVSSSHNSKQQQAAASILATTRSLSFDLPPPGGREGKDTASHHPPRTETTDRGERPEEKTKGKALSDAGKARRRGRGKQI >Al_scaffold_0002_1712 pep chromosome:v.1.0:2:14397823:14399509:-1 gene:Al_scaffold_0002_1712 transcript:Al_scaffold_0002_1712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KY21] MVVDDQVCCQLANGSNGFSFLLDIFVGVWLVCLEWALVLLIRFASCILISTHMEVFVMKLKTHEDAITNIKNELAVVELKVQVGGCQYIMFPGRYIYTKRLKDAKRLKSSLRWLNLENFFESFSNDETVGPLIQVPSTFADGFVFTKPAIHMLASSGRRTRRQELKLHRKRFFHESLAMFQSISLW >Al_scaffold_0002_1723 pep chromosome:v.1.0:2:14450522:14452657:-1 gene:Al_scaffold_0002_1723 transcript:Al_scaffold_0002_1723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G70500) TAIR;Acc:AT1G70500] MNKPFIVSLFALLMVASYGKTFYRELDILEKLENLDVPEDEIEDDVTFFDFSSFTSQYSGKNLVNVDSFGAAGDGVSDDTQAFISAWTMACSAPNSVLLVPQGRSYLVNATKFDGPCQEKLIIQIDGTIVAPDEPSQWDPKFQRVWLQFSKLQGVVFQGNGVIDGSGTKWWAASCKKNKSNPCVGAPTALTIYSSSNVYVRDLTIRNSQQMHLIIARSNTVRISRVMVTSPGDSPNTDGIHITASTDVVVQDSKISTGDDCVSIVNGSAKIKMKRIYCGPGHGISIGSLGQGHSTGTVTAVVLDTAFLKNTTNGLRIKTWQGGNGYVKGVRFENVVMQDVANPIIIDQFYCDSPSTCQNQTSAVHISEIMYRNITGTTKSAKAIKFACSDAVPCSHIVLNNVNLEGNDGKVEAYCNSAEGFGYGVVHPSADCLYSHDDKGLNQTHEYLAETVMIRDETGDVYDEL >Al_scaffold_0002_1729 pep chromosome:v.1.0:2:14486149:14488517:1 gene:Al_scaffold_0002_1729 transcript:Al_scaffold_0002_1729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of Unknown Function (DUF239) [Source:Projected from Arabidopsis thaliana (AT1G70550) TAIR;Acc:AT1G70550] MCLIGLLGDVSVTSRRRTTIQNRIKCCLFDHSRRKQQDSRCFHHSSHQVSSKSDTMFSSSFLRLILLLCLLSSSFSSTASSSNYTAADQTLRPQEELQKLKLIRQELDKINKPAVKTIQSPDGDVIDCVSTHQQPAFDHPLLQGQRPMDPPEIPEGYKKDDESCEEDSQLWSLSGESCPEGTIPIRRTTEQDMLRASSVSRFGRKIRRVRRDSTNNGHEHAVGYVTGRQYYGAKASINVWSPRVASQYEFSLSQIWVIAGSFTHDLNTIEAGWQISPELYGDTYPRFFTYWTSDAYRTTGCYNLLCSGFVQTNRRIAIGAAISPRSSYKGGQFDISLLIWKDPKHGHWWLQFGSGALVGYWPAFLFTHLKQHGSMVQFGGEIVNNRPGGSHTTTQMGSGHFAGEGFGKASYFRNLQIVDWDNTLIPVSNLKILADHPNCYDIRGGTNRVWGNYFYYGGPGKNPRCP >Al_scaffold_0002_1734 pep chromosome:v.1.0:2:14515627:14515902:1 gene:Al_scaffold_0002_1734 transcript:Al_scaffold_0002_1734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KY46] MDIPGNSLKVTIPVKAPVSSKPEKEEKEIEKEKAEENGFTTPKGEEFRIPPQLECPPAPGPRIYRKKIDKHRKKASGQRIISFNVQDLDTF >Al_scaffold_0002_1762 pep chromosome:v.1.0:2:14638729:14639903:-1 gene:Al_scaffold_0002_1762 transcript:Al_scaffold_0002_1762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYF1] MAKTEAPSLVGKLETEVEIKASAGKFHHMFAGKPHHVSKASPGNIQSCDLHEGDWGTVGSIVFWNYVHDGEAKVAKERIEAVEPEKNLITFRVIEGDLMKEYKSFVITIQVTPKHGGSGSIVHWHLEYEKISDEVAHPETLLQFCVEVSKEIDEHLLSEEEEVKTTETLETEVEIKASAQKFHHMFAGKPHHVSKATPDKIQSCDLHEGDWGTVGSVVFWNYIHDGEAKVAKERIEAVDPEKNLITFRVIEGDLMKEYKSFVITIQVTPKHGGSGSVVHWHFEYEKINEEVAHPETLLQFAVEISKEIDEHLLAEE >Al_scaffold_0002_1774 pep chromosome:v.1.0:2:14688987:14691697:1 gene:Al_scaffold_0002_1774 transcript:Al_scaffold_0002_1774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein [Source:Projected from Arabidopsis thaliana (AT1G70940) TAIR;Acc:AT1G70940] MISWHDLYTVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNNPYAMNLRFIAADTLQKIIMLSLLVLWANLTRSGSLEWSITIFSLSTLPNTLVMGIPLLIAMYGEYSGSLMVQIVVLQCIIWYTLLLFLFEFRGAKMLIMEQFPETAASIVSFKVESDVVSLDGHDFLETDAEIGDDGKLHVTVRKSNASRRSFCGPNMTPRPSNLTGAEIYSLSTTPRGSNFNHSDFYSMMGFPGGRLSNFGPADMYSVQSSRGPTPRPSNFEENCAMASSPRFGYYPGGGAGSYPAPNPEFSSTTTSTANKGVNKNLKDVTTNQQTTLPTGGKSNSHDAKELHMFVWSSNGSPVSDRAGLNVFGGAPDNEQGGRSDQGAKEIRMLVPDQSHNGESKALAHPASGDFGGEQQFSFAGKEEEGERPKDAENGLNKLAPNSTALQSKTGLGGAAGGEASQRKNMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWALVAFRWHVAMPKIIQQSISILSDAGLGMAMFSLGLFMALQPKLIACGNSVATFAMAVRFLTGPAVMAVAAIAIGLRGDLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLVYYILLGL >Al_scaffold_0002_1778 pep chromosome:v.1.0:2:14713441:14714800:-1 gene:Al_scaffold_0002_1778 transcript:Al_scaffold_0002_1778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYG9] MEDDKHNNSKTIIISEDMVEEIFQHLPIKSLARFKVLSKKWRSMIESTYFSHKRLVCTGLSTPNMKFLYVSRHFSANFVEEDSNSTTLFLEIFSRDDHNNEKNCPSSSSYYSFPDDPVDKSQNKTIQVLGSCDGLVLIRIYDDFRYIYLINPTIGEHMTLYPEFTQWPLTFRFEFTAMVYRPWRQVDQGVLDYPPDEKRMPFHAGFGKDIVTKSYKVVLIYTRFGKGDRCFKAKVLSLDSGEQRSAGLYIFNDHDFIKEQTSVYANGSLFWLILNKYKKTLSLLLAIDLHIEEFRWILLPTCYTRYDSSIEMWNLNDRLCVSDVLECSNLIVWSLQQEYPTVKWEKIYAIDIGFIRTNQLHEKFWMLGLAAAYFPSIRNHRDQVSFFRQRTISYSPTMISPSSLMF >Al_scaffold_0002_1783 pep chromosome:v.1.0:2:14743293:14749294:1 gene:Al_scaffold_0002_1783 transcript:Al_scaffold_0002_1783 gene_biotype:protein_coding transcript_biotype:protein_coding description:FORMS APLOID AND BINUCLEATE CELLS 1C [Source:Projected from Arabidopsis thaliana (AT1G71010) TAIR;Acc:AT1G71010] MGIPDGSLLDLIVKVRSWITLDSSDSLCLSSSKQDFEIMPIVSKMCHDCGTKVEQGYCCLSCGSCWCKSCSDSTEESKMKLCRECDGEVRELRGKSYDKVHPRDSPDPPSSLVTETESLASSLEIRDCRNMASIRCYPSRGEEEEARYCGKQLLSPSSEYYQDSSDIESGSVSARHELFSCKSSAGSSPHDSPLRNNFSPLGRFVQHAKDLRSPTVCSFDNHQEQLMAGNLVKLRQGVLEQEDHEEEEDKLQPPLDFENNGRIWYPPPPEDENDDAESNYFQYDDEDDEIGDSATEFSLSSSFSSHVPTREKLGENSNEPLRTVVHDHFRALVAELLRGEELSPSDDGSAGEWLDIVTALAWQAANFVKPDTRAGGSMDPGNYVKIKCVASGNQNESILIRGIVCSKNITHKRMTSQYKNPRVLLLAGSLEYQRVAGQLASFNTLLQQENEHMKAIIAKIESLRPNVLLVEKSASSYAQQYLLEKEISLVLNVKKSLLDRIARCTGAVLCPSLDSITTARLGHCELFRTEKVLEQHEAGNQSNRKPSRTLMYFEGCPRRLGCTVVLRGSCREELKKVKHVIQYAVFAAYHLSLETSFLADEGASLPKIRLKQPGMVRTASQRRIIDEGISLITQSPTETDSQALIETAAHEDENTALLPELEVCESLCEDFDPSQIFPPSSGVIASEVETEQSDALNGDFASNLVTRSYSSNQLNDLHEPTLCLSSEIPETPTQQLSGEEENGRGEEENQLVNTQDLPQNESLYEDDVSSEYFSAADSHQSILVSFSSRCVLKESVCERSRLLRIKFYGSFDKPLGRYLKDDLFDKTSSCRSCKELVDAHVLCYSHQNGNLTINVRRLPSMKLPGEQDGKIWMWHRCLRCAHVDGVPPATRRVVMSDAAWGLSFGKFLELSFSNHATANRVASCGHSLQRDCLRFYGFGNMVAFFRYSPINILTVLLPPSMLEFNSHPQQEWIRTEAAELAGKMRTMYAEISGMLNRMEEKSSLLEPEQSEACDLQSRVIGLKDQLVKEKDEYDDALQPIFEENLQIQGSLDILELNRLRRALMIGAHAWDHQLYLLNSQLKKASVFKTGDDNASRNPEMQDPPKIDRKMQEGSDEREEQAHTDSEANGDNKDPESMPSPGTSLSERIDSAWLGSFQNLEKAETIAETEGFSAVNSPLRRLARPIRVQSFDSAIRFQERIRKGWPPSSLYLSTLRSFHASGEYRNMVRDPVSNVMRTYSQMLPLEVQKLDLIVGSTPTYISSASQMADGARMLIPQRGLNDIVVPVYDDDPASVVSYAINSKEYKEWIVNKGLASSSSSSNLNNKESEPSTFSTWRSLSMDVDYIQHAVYGSSQDDRKSPHLTISFSDRASSSSTATEGKVKFSVTCYFATQFDTLRKTCCPSEVDFVRSLSRCQRWSAQGGKSNVYFAKSLDERFIIKQVVKTELDSFEDFAPEYFKYLKESLSSGSPTCLAKILGIYQVSIKHPKGGKETKMDLMVMENLFYNRRISRIYDLKGSARSRYNPNTSGTDKVLLDMNLLETLRTEPIFLGSKAKRSLERAIWNDTNFLASVDVMDYSLLVGFDEERKELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTIVSPKQYKRRFRKAMTTYFLTVPEPWTS >Al_scaffold_0002_179 pep chromosome:v.1.0:2:1076857:1081958:-1 gene:Al_scaffold_0002_179 transcript:Al_scaffold_0002_179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor Spt6 [Source:Projected from Arabidopsis thaliana (AT1G63210) TAIR;Acc:AT1G63210] MNQSRADQSSSSIGRRRFRSTNPSQHFTLTIERIRGANESERKINKFKQGTDSNALRYANANDIFGDPEELLKLRRKDLAYNEKIERKLEDEFEPIVLSEKYMTQKDDEIRKLDVPERMQIFEEVTGNAPVDDISIEEESNWIYARLVQEHGPSFLVNKDDIVRFLEMSHVQKLEIPFIAMYRKEQCRSLLDSSDDDGSDFNLLKKLETKWNKVFWMIQDLDRKWLLLRKRKTALFGYFTKRFEEETCRSDLNKSLFESVMKSLKAAETEREVDDVDSKFNLHFPHCEIDEGQYKRPNRKSHYSICSKFGIREFANKFGYSAEQLGFALSLEKVFVDELEDAKKTPEEMALYYKCAMFEDPQVVLKGARHVAAVEISCEPLIKKYVRGIYMENAVVSTSPTPDGDVVIDSFHRFSAVKWLREKPLRKFEGTQWLLIQKAEEEKLLQVTFKLPENQMNRIISECSEHYLSVGVSKYAQLWNEQRKLILEDAVHGFLLPSMEKEARSLLTSRAKTRLLSEYGQVLWKKVSSGPYRRNNNNTSDEEAAPRVLACCWGPGNPETTFVMLDSSGELVDVLYAESIALRFRDVNDQKRKKKDQDRLRKFIRDHQPNLVALAAANLSCVRLKDEIYEVIFQMVEELPSVVEAGIYDLPVVYADESLPRLYENSRISREQLPQQAGIVKRAVALGRYLQNPLAMISTLCGPGKDILSWKLHSLQDFLDPDEKYEMVEQVMVDLTNQVGIDINLAASHEWLFAPLQFVSGLGPRKAASLQRSLVRSGSIFVRKELINHGIGKKLFVNAAGFLRIRRSGLACSSSQFIDLLDDTRIHPESYGLAQELAKDVYTHDTVRDDEDDAIEMAIEYVRDEPASLRKIVLDEYLRSKNQENKKETYSFIMRELSCGFQDWRSLFKEVDPDEEFYMISGETKETIGEGRIVQATVKKVSSGKAKCVLDCGLPGILLKEDYSDDGRDIVDLSNKLCEGYIVTCKVKSILKQRYHVLLVCKESEMRKNRNLRDVDDYYHEEERKNVLIEKEKAPKEKKQFKSRMIVHPRFQNITAEQATEYLSYKNIGESIVRPSSRGLNHLTLMIKIFDNVYANKEIIEGEKENKDIVSLQRIGKTLKIGIETFEDLDEVMDRYVDPLVTHLMTMLNYRKFRTGTKSEIDDLLRVEKGVNPKMVVYSFGVSHEHPGSFILSYIRSANPHHEYIGLYPKGFKFRKRMFGDLDKLAAYFKRHVDDQGHY >Al_scaffold_0002_1798 pep chromosome:v.1.0:2:14796880:14798931:1 gene:Al_scaffold_0002_1798 transcript:Al_scaffold_0002_1798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7KYJ1] MLKIPIDKNLVISGEKEEEAERMDSAENDGEQVNTKDGFLRETKKLSYIAGPMIAVSSSMYVLQVISIMMVGHLGELFLSSTAIAVSFCGVTGLSVVFGLASALETLCGQAHGAKQFEKLGYHTYTGIVSLFLVCIPLSVLWSYMGDILSLIGQDPMVAQQAGKFATWLIPALFGYATLQPLVRFFQAQSLILPLIMSSVSSLCVHVVLCWSLVFKFGLGSLGAAIALDVSYWLNVIVLGLYMTFSSSCSKSRATISMSVFKGMGEFFRFGVPSALMICLEWWSFEILLLLSGILPNPKLEASVLSICLSTINIVYQIAASLGAAASTRVANELGAGKPKQARMAVYTVMVITGVESIMVSAIVFSARNVYGYLFSSETEVVDYERSMVPLVALSVIFDAFHAVLAGVTRGSGRQDIGAYVNLAAYYLFGIPTAIILAFRFKMRGRGIWIGITVGSFVQAVLLGFLVILTNWKQQARDARERLMGEGFEDKESEDHEEIS >Al_scaffold_0002_180 pep chromosome:v.1.0:2:1085333:1086629:1 gene:Al_scaffold_0002_180 transcript:Al_scaffold_0002_180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTK2] MVGSKQTGKLIHAMASSKGLSNTTQGHTWYSTVILRIKHHGMKSMLFHSLQGMQVSGLAVVTAECGNRKKLLGTAILQVWHRLKNTWLTSYTQFHLVLFFMVHPKVEIAEGVQDMCKFCNTGFTRHNNVTSIPTNFPTVLAQYYMNLKSLGILKPEASNVVKDEEYKGEIWVALSFKPSENRSRGIDEESYGGWKNSEASY >Al_scaffold_0002_1800 pep chromosome:v.1.0:2:14805515:14807605:1 gene:Al_scaffold_0002_1800 transcript:Al_scaffold_0002_1800 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G71140) TAIR;Acc:AT1G71140] MDSAEKGLLVVSHGEEVNKKDGFLREMKRLGYIAGPMIAVNSSMYVLQVISIMMVGHLGELFLSSTAIAVSFCSVTGFSVVFGLASALETLCGQANGAKQFEKLGVHTYTGIVSLFLVCIPLSVLWSYMGDILSLIGQDPMVAQQAGKFATWLIPALFGYAILQPLVRFFQAQSLILPLIMSSVSSLCVHVVLCWSLVFKFGLGSVGAAIAIGVSYWLNVIVLGLYMTFSSSCSKSRATISMSLFEGMGEFFRFGIPSASMICLEWWSFEFLVLLSGILPNPKLEASVLSVCLSTQASLYQIPESLGAAASTRVANELGAGNPKQARKAVYTVMVITSVESIMVGAIVFGARNVFGYLFSSETEVVDYVKTMAPLVSLSVIFDALHAVLSGVARGSGRQDIGAYVNLAAYYLFGIPTAIILAFGFKMIGRGLWIGITVGSFVQAVLLGLIVILTNWKKQARKARERVMGDEYEEKESEYSK >Al_scaffold_0002_1806 pep chromosome:v.1.0:2:14820882:14822231:-1 gene:Al_scaffold_0002_1806 transcript:Al_scaffold_0002_1806 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71200) TAIR;Acc:AT1G71200] MSSQPNHQTSISSLLHDRLHIPPAETIVEKHSSAEKDDTCQSQRKRKEPVLHEVDGSSSGASKKQDHNAKERLRRMRLHASYLTLGTLLPDHSSSSKKKWSAPSIIDNVITYIPKLQNEVGELTLRKQKLVELERRGPSIRAISVLELGESGYEAVVQICLKKENEDEFSNLLHVMELQGLSVLSASTSQVCREQRVVCYNFHVKMDEKPCEGDDYITVLKNNIISSLRDNTKCK >Al_scaffold_0002_1811 pep chromosome:v.1.0:2:14844217:14845681:1 gene:Al_scaffold_0002_1811 transcript:Al_scaffold_0002_1811 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71250) TAIR;Acc:AT1G71250] MNTNGQKMKVHIGRYVLILAVTASVILQQPELVTGQARVPAMFVLGDSLVDAGNNNFIQTLARANFLPYGIDLNFRPTGRFSNGLTFIDLLAQLLQIPSPPAFADPTTSGSRILQGVNYASAAAGILDESGFNYGGRFSLSQQMVNLETTLSQLRTMMSPQNFTDYLARSLVVLVFGSNDYINNYLMPNLYSSSIRYTPPVFANLLLSQYARQLLTLYGLGLRKIFIPGVAPLGCIPNQRARGVSPPDRCVDSVNQILGTFNQGLRSLVDQLNQRLPGAIYVYGNTYSAIGDILNNPAAYGFSVVDRACCGIGRNQGQITCLPGQNPCPNRSQYVFWDAFHPTQTANSILARRAFYGPPSDAYPVNVQQMTLLH >Al_scaffold_0002_1814 pep chromosome:v.1.0:2:14854906:14859346:1 gene:Al_scaffold_0002_1814 transcript:Al_scaffold_0002_1814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps52 / Sac2 family [Source:Projected from Arabidopsis thaliana (AT1G71300) TAIR;Acc:AT1G71300] MSVVALGQAMCDLSDHDQKHGFDLGALVGDLAFEEDSGGSEDISLEGLQQELEECETDEVVANILSNGDKLREYAKGVENNLLKVELDSVQDYIKESDNLVLLHDQIRDCDSILSQMETLLGGFQEEIGSISSDIKILQENSMDMGLRLKNRRVAESKLAKFVEDIIVPPKMIYVIVDGEVNEEYIKTLEILSKKLKFVEADQEVKSSKALKDVKPELEKLRQKAISKIYDFIVQKLIALKKPKTNIQILQQSVLLKYKYIISFLKEHGKQVFMDVRAAYIDTMNKVLSAHFHAYIQAFEKLQIDIATSNDLIGVETRSSGLFSRAREPLKNRSVVFALGERIKIIKEIDQPALIPHIAEASSFKYPYEVLFRSLHKLLMDTATSEYIFCDDFFGEASIFYEIFAGPFSVIDEHVNSVLSNCFDAIGLMLMIRIIHHHQLIMSRRRIPCLDSYLDKVNISLWPRFKMVFDLHISSLRDANINTLWEDDVHPHYVMRRYAEFTASFIHLNVEYGDGQLDINLERLRMAVDSLILKLAKFFPKPKQQIVFLINNYDMTIAVLKEAEPEGGTIQMHFEELLKSNTSLFVEELLVEHFFDLIKFVKSRASEDSSSNLERSITVAEVEPLVKDFGSTKIS >Al_scaffold_0002_183 pep chromosome:v.1.0:2:1104577:1107323:1 gene:Al_scaffold_0002_183 transcript:Al_scaffold_0002_183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU04] MEEVDIDGRSPMLGRVYLSYEVGSFPKNLIPPHIRRDENKEPKYTTQQECVMMRRQVRKSKGFDIDFTQFRSVFNYRPVNFDCKEYSLAPETTRGLLERLSRNSLKNYNKEWFTEYEFLNVVKANSYMCSGIMFFITFEVRDPYDNLAKLFQARVRYYYDVTDDYILCRPKPNQKGQIFYEYHVVLGIGASAISNAVWVVSSELSYLCQFSHVCWGNVSHNISSKGSCFSKLGFATLSSMRATLSFAGQEGSTHEDGVGLSEKIVTKPSYIIRPSHFLLHTPDEPDWVRPKYHTRTKKDEPRYTVDEEIAMMNEQIEASKWFFLLF >Al_scaffold_0002_184 pep chromosome:v.1.0:2:1108793:1109776:1 gene:Al_scaffold_0002_184 transcript:Al_scaffold_0002_184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin/monellin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G63205) TAIR;Acc:AT1G63205] MVESFEGWTSWVEDAYLLCTPEDPECLKHHYITRTEKDEPQYTVDEEISMMNEQITKSEGFDIDFSLFRCLFNYHLVDPNDYDFLEDETTETNGDFMKRLSQESLKRYNDECGTKFEFLEVVKANFHGSVGYMFLITFQVFDPSDDQEKTFQARIRYTTHYPTEFVFCRPKPNPEVDSGETSKEDVKG >Al_scaffold_0002_1845 pep chromosome:v.1.0:2:14993871:14997188:1 gene:Al_scaffold_0002_1845 transcript:Al_scaffold_0002_1845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYX1] MSKLRFVNSLLISTAICFFLPSIAARGGHSDYIHPGDGNSSFHGTARNLFAQEETRPSLELTRGYMTNDDLEKAMKDFTKRCSKISRLYSIGKSVNGFPLWVIEISDRPGEIEAEPAFKYIGNVHGDEPVGRELLLRLANWICDNYNKDPLAQMIVENVHLHIMPSLNPDGFSIRKRNNANNVDLNRDFPDQFFSFNEDLSLRQPETMAVMTWLRDIRFTASATLHGGALVANFPWDGTEDKRKYYYACPDDETFRFLARIYSKSHRNMSLSKEFEEGITNGASWYPIYGGMQDWNYIHGGCFELTLEISDNKWPRASELPTIWDYNRKSMLNLVASLVKTGVHGRIFSLDQGKPLPGLVVVKGINYTVKAHQRYADYHRLLAPGQKYEVTASSPGYKSKTTTVWLGENAVTADFILIPETSSRGNLLRSSCDCSCKSCGQPLLTQFFTETNNGITITLFVVVVFLCFLLQRRVRFNLWKQRQSSRRSITV >Al_scaffold_0002_1849 pep chromosome:v.1.0:2:15016480:15018636:1 gene:Al_scaffold_0002_1849 transcript:Al_scaffold_0002_1849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily [Source:Projected from Arabidopsis thaliana (AT1G71720) TAIR;Acc:AT1G71720] MQTLLYQPCKSLPIFTSSSSPSSSIRSSGDARDCIYFKASEKVSQFQFCETLSPFRFRSFAICRDFATRGAYGIRFCSREDVSGVENGGIVAEEELELLNKPSPVPKSENEKENGKADDDEILEPFLKFFKPEGEGEGIEAEEETDRVSVEYYDPKPGDFVVGVVVSGNENKLDVSIGADMLGTMLTKEILPLYDKELDYLLCDLKYDAEEFLVNGKMGIFKDDDDEGVEIAEFARQGRPVVEIGTVVFAEVLGRTLSGRPLLSSRRYFRRISWHRVRQIKQVNEPIEVKITEWNTGGLLTRIEGLRAFIPKQELVKKVNNFTELKENVGRRLLVQITRLNEDKNDLILSEKVAWEKLYLREGTLLEGTVAKILPYGAQVKLGDSSRSGLLHISNITRRRIGSVSDVLQVDESVKVLVVKSLFPDKISLSIADLESEPGLFISDREKVFTEAEEMAKKYREKMPSVATSAISDHPPITSSFPQGKDEEIYANWDWFKFESQ >Al_scaffold_0002_185 pep chromosome:v.1.0:2:1110542:1111575:1 gene:Al_scaffold_0002_185 transcript:Al_scaffold_0002_185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU06] MGETFEGWTSWVEDAYLLCTPEDPECLKHHYITRTEKDEPQYTVEEEIAMMNEQIENSEGFDIDFSLFRCLFNYHLVDPDDIDFVEEPETNGDLMKRLSKESLNVFNKEEGTEFEFVKVVKANFHYSAGFMFLITFQVLDPSDNQEKLFQARVRYSTRFPAEYVFCRPRPDPEVDSDETSEEDFNGV >Al_scaffold_0002_1857 pep chromosome:v.1.0:2:15035405:15038142:1 gene:Al_scaffold_0002_1857 transcript:Al_scaffold_0002_1857 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage stimulating factor 64 [Source:Projected from Arabidopsis thaliana (AT1G71800) TAIR;Acc:AT1G71800] MASSSQRRCVFVGNIPYDATEEQLREICGEVGPVVSFRLVTDRETGKPKGYGFCEYKDEETALSARRNLQSYEINGRQLRVDFAENDKGTDKTRDQGQGGPGLPSTSVMTESQKQIGGPVDTNMHQPVGLNVAITAASVMAGALGGPQVGSQFTQSNLQVPASDPLTLHLAKMSRSQLTEIISSIKLMATQNKEQARQLLVSRPQLLKAVFLAQIMLGIVSPQVLQSPNIVQAPSHMTGSSIQDTQLSGQNLLPPLAQRSQQLSRAPQSQYPVQQSSKQPFSQIPQLVAQPGPSSVNPPPRSQVKGETAPFQRQQGVPASTNIGYSSQTSVPNNAIQPSQVPHPALTNSVMQQGGQTVSLNYGKRINEGPPHQSMNRPSKMMKVDDRRTTSHPGGHASNSMLPNQVQAPQTRISPDVQPTLLQQVMNLTPEQLRLLTPEQQQEVLKLQQALKQDHMMQLS >Al_scaffold_0002_187 pep chromosome:v.1.0:2:1119944:1121559:1 gene:Al_scaffold_0002_187 transcript:Al_scaffold_0002_187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU08] MAISDSGGAMSDDLPEWTIMEIPAYLKHLPGSEPVDAYILYIRRGENEEPNLSPEEEEQLIKEQVFESDGFDIDFKQFRCLFNYLPLNFDDNNEYVMEPETTRELMERLSRKSLERYNERKDTKYEFGKAIKANFYPTWAASVMYFITFEVHSIETAEKEGVKTDRGAIERLIYHW >Al_scaffold_0002_1881 pep chromosome:v.1.0:2:15173269:15174939:1 gene:Al_scaffold_0002_1881 transcript:Al_scaffold_0002_1881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease-associated (PA) RING/U-box zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT1G71980) TAIR;Acc:AT1G71980] MNRALVLLLYVYTVSCLASSKVILMRNNITLSFDDIEANFAPSVKGTGEIGLVYVAEPLDACQNLMNKPEQSSNETSPFVLIVRGGCSFEEKVRKAQRAGFKAAIIFDNEDRGTLIAMAGNSGGIKIHAVFVTKETGEVLKDYAGFPDTKVWLIPSFENSAWSIMAVSFISLLAMSAVLATCFFVRRHRIRRRTSRSSRVREFHGMSRRLVKAMPSLIFSSFHEDNTTAFTCAICLEDYIVGDKLRLLPCCHKFHAACVDSWLTSWRTFCPVCKRDARTNTGEPPASESTPLLSSAASSFTSSSLHSSVRSSALLIGPSMGSLPSSVSFSPAYVSSSYIRQSFQSSSNRRSPPISVSRSSVDLRQQAASPSPSPSQRSYISHMASPQSLGYPTISPFNTRYMSPYRPSPSDASPAVAGSSNYPFNPLRYSESAGTFSPYASANSLPDC >Al_scaffold_0002_1891 pep chromosome:v.1.0:2:15202923:15203551:-1 gene:Al_scaffold_0002_1891 transcript:Al_scaffold_0002_1891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KZ93] MVVVNHYLPQLTQRCFTNSNEHLTMQIEPPSTIVDTENDNEANDNVVEVTNIESGCKIDETVPPKTDEEILGDIDDDLLSLMHELPPQSMFDI >Al_scaffold_0002_1919 pep chromosome:v.1.0:2:15347657:15349078:-1 gene:Al_scaffold_0002_1919 transcript:Al_scaffold_0002_1919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZC0] MEEEEAEGIRRRRKKEKRNSSARIYRFKTINGGTETPAIHDGQAVEVSFLGKRKRRTMMEKRREMIANRVIRDEEKEEMNREIQQSSAEENGFI >Al_scaffold_0002_1921 pep chromosome:v.1.0:2:15351470:15351886:1 gene:Al_scaffold_0002_1921 transcript:Al_scaffold_0002_1921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZC2] MKRFHTSASISAALCLLLLALFSSKNNVECRQMGPASMDLASSKGVRDLQISKEMKGESLRGEKDSFRRIPRTGSSPIQNKIDPLTDVRGSRKQHITAREP >Al_scaffold_0002_1934 pep chromosome:v.1.0:2:15403802:15404203:-1 gene:Al_scaffold_0002_1934 transcript:Al_scaffold_0002_1934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZD4] MTITGTMMSTSRVRRKTSDTIGPPEKANEDFAWKLNSRRLPKGHGRNPRKFHHLWLFDFCFTKLIYSNNLSPQIRYTKLIDPSKDGSSSTQIGRQEHDNGKVVLTAKTRQRKSRVTAKLMVITDLGRSQNPAN >Al_scaffold_0002_1945 pep chromosome:v.1.0:2:15471317:15472273:1 gene:Al_scaffold_0002_1945 transcript:Al_scaffold_0002_1945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZE4] MARIIRRPLNLTAAVRFRLAPLSPFSGNSGSVNSGTTRCSELIRVSSLVEGCDYKHWLVLMKPPNRYPTRNHIVQRFVETLAMALGSEEEAKKSIYSVSTKYYYAFGCRVHEPLTYKIRSLPDVKWVLPDSYIVDGDNRYGGEPFVDGEVVPYDEKYHADWLRDQTDDDANNRVVKKKPRRKTKKKLI >Al_scaffold_0002_1946 pep chromosome:v.1.0:2:15473324:15475066:-1 gene:Al_scaffold_0002_1946 transcript:Al_scaffold_0002_1946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G72540) TAIR;Acc:AT1G72540] MRFSWKNICLPISCIKNTNQKKTTTTNPSKEKLLLLSRQTSVPSRVYMSDFSNSTISLNDFSNSFFINIHIFTYEELKTITQGFSKYNFLGEGGFGEVFKGFVDDSFKTGLKAQPVAVKALKREGGQGHREWLAEVIILGQLKHPHLVNLVGYCCEDDERLLVYEYMERGNLEDHLFQKYGGALPWLTRVKILLGAAKGLEFLHKQEKPVIYRDFKPSNILLSSDFSSKLSDFGLATDGSEEEDSNFTKSVMGTEGYAAPEYISAGQLTTMSDVFSFGVVLLEMLTARKAVEKYRAQRGRNLVEWARPMLKDPNKLERIIDPSLEGKYSVEGIRKAAALAYQCLSHNPKSRPTMTTVVKTLEPILDLKDIQNGPFVYIVPVAGSNEVHDLKSKDDVKVVKVETEKEAKVFPRHRAGRRNRRKHKAMRSRAVYSDTALYKSLGTSLYTPAN >Al_scaffold_0002_1952 pep chromosome:v.1.0:2:15502021:15503341:1 gene:Al_scaffold_0002_1952 transcript:Al_scaffold_0002_1952 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G72620) TAIR;Acc:AT1G72620] MASMKLVVHILRRYISIAITLFYSLIWCLFDNFDYPILIKLADTFLSLYFLIFCDLRPVTVDLNDGETTLHFWISGHRKTNRPNLLMLHGYGGNSKWQFIHQVSDLSKSFNLFIPDLMFFGKSYSKNTDRSVEFQARSIVGGLKRLGCGEGDLSVYSISYGGFVAYRIAKIWPEMIEKLVIVSSGVGFTQQQKMTEMKKHGGDVSEILVPSNPRDLRLLVRVSMNTGIRFLDWVPDFILSQFIATNRQELVDLAKNLLEREEEPDFFAISQKTLIVWGDKDNVFPLEHGRRLQRNLPNSSLEVLKEIGHGVNIEAPTTLNNLIISFVLGAP >Al_scaffold_0002_1964 pep chromosome:v.1.0:2:15542349:15543863:-1 gene:Al_scaffold_0002_1964 transcript:Al_scaffold_0002_1964 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G72730) TAIR;Acc:AT1G72730] MAGMTSDGTQYDPRQFDTKMNAVLGEEGEETFYTNYDEVCDSFDAMELKPDLLRGIYAYGFEKPSAIQQRGIIPFCKGLDVIQQAQSGTGKTATFCSGVLQQLDISLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKAQACVGGTSVREDQRVLQSGVHVVVGTPGRVFDLLRRQSLRADAIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINFDLPTQPENYLHRIGRSGRFGRKGVAINFMTTEDERMLADIQRFYNVVVEELPSNVADLL >Al_scaffold_0002_1971 pep chromosome:v.1.0:2:15564500:15565332:1 gene:Al_scaffold_0002_1971 transcript:Al_scaffold_0002_1971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KR39] MAGSSKKSVTKSEATPAVIKATKSLKKGKREPKDDSETKVNLKKPKKDVIASFEKKKKSETKAIMKGIADSLQRLEAKVDLLATKAEIEAILISAKANPLPFFSYMDTSSEDESSSDEEPEKKPAAKGSSSSVGGNVQTISIRGFDSSLPLYDIKSALNGAEKALELGTHVVGGWNLVVGKAEPIRSGSTWPFPGRCGNQGRC >Al_scaffold_0002_1975 pep chromosome:v.1.0:2:15578883:15591830:-1 gene:Al_scaffold_0002_1975 transcript:Al_scaffold_0002_1975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS-LRR class) [Source:Projected from Arabidopsis thaliana (AT1G72840) TAIR;Acc:AT1G72840] MASSSLSTLVRSNYDVFLSFRGKDTRRTVVSFLYKDLIRQGILTYKDDQGIGAGSEIKERLIEAIKTSQVAVVFISENYATSQWCLEELRLIMELHSVNRIHVVPIFYRVDPSDVRHQKGRFAAAFQKHEDREPNRASQWRRALNQISHISGIHSTEWDDDSAMIDEVVVSISRHLLLRMESTVLNSLVGMEAHMVKMNLIFNMGSENQVLFIGIWGMGGIGKTTIANCLYDRFSSQFSARYFIEDIKNICKDKSPAYLQERFLSRICGGLDIGFRSHEARSQEIIARLGHQKILIVLDGVDKAEQVDALAKDTSWFGPGSRIIITTRDRGLLNSCGVNNVYEVKCLDDKDALQVFKISALRGSPPPSDGFEQLFIRASRLAHGLPSALVTYATYLRQNTTIKKWEEELGLLETSPHKNVKEILRNSYDDLDEQDKTAFLYVACLLNGYPFNHVTSLLDDGRPRMNHLTAKALISISMDGCINMHFLVVQTGKAIVRQESRNRPSRQRFLWDHKEIYDVLDNNIGTDEIEGVTLHMCEMPDKLPMSITVFNIMHSIKFLKFFKHLGDAESNVQLSEDGFYFPRNIRLLHWDDYPMKTLPSTRSDTTTLSNSISNGATSRASGIARWKLRRLDLTGSKNLRELPDLSTAVNFEELIIQGCKRLRNIPESIRRLHTLKKLNAIDCFLRGVEFSVELSNNYICGGSSGTSLSFPKNAMMFPFLKNLSIEGKLYIELLGLNGKTEHLSFGSKQQIPDQSMTIEEEPGMPQLMSDSNSSKSLEIKQFSYNENRAPFRCSNFQNVPCLTELKLINLNIHYISKDISHLQFLETLDLEGNDVKYLPQTLGQLPKLKYLSLRNCRQLRELPQLTQVETLILSDSVNLSWLLDELDTYCLLELWLDNCKDGMMSIEYPVPTCPNSHGSIKGLKSP >Al_scaffold_0002_1976 pep chromosome:v.1.0:2:15592214:15593673:1 gene:Al_scaffold_0002_1976 transcript:Al_scaffold_0002_1976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS class) [Source:Projected from Arabidopsis thaliana (AT1G72850) TAIR;Acc:AT1G72850] MSSSSSSLIPKFDVFLSFKAEDTTNIFVSDLHRSLSEKGITTYQKDEKQEEKDSSVVSDLKKCIIESKLAVVVVSKSYPTSVLCLNQLQTIINFHDEGQLSVLPIFYGVDLSNIRNQTGEYTEAFRNLAEEFSPEKVQAWRSALAKLTSVSSLDSRFWSKEETMVDLVTNEILLMEVRLVGLWGPGGVGKTTLARYAYEELSTNFHVRMFLDNTEKIYHQDNRETFTSRETREGFQKLTRGINEKSTAGVIKSAVRHRKGLLVVDCVDNIEQLKDIAEIVRWCGSGSRIILIAQDENLLDKFGMEHVYEVSLRYDEALQIFSQSAFKQQHPPTSFESLSLRAIQIASFLPLTLKILGSFLHGKDEKSWEEELQNLEGDQEKTIMKVMKKSYKIENEKEQISSFSDDYKEKFT >Al_scaffold_0002_1977 pep chromosome:v.1.0:2:15594164:15598541:-1 gene:Al_scaffold_0002_1977 transcript:Al_scaffold_0002_1977 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein [Source:Projected from Arabidopsis thaliana (AT4G09360) TAIR;Acc:AT4G09360] MELPRVVKQYDVFLSFRGEDTRKGIVSHLHRAFLARGIDKIFKDDQTLEIGDSISEEIKEAIHNSKFAILVISMNYASSTWCLDELQMIMELHKEKQLTAVPIFYNVDPSDVRHQRGTFALERYECSRVMLLFSSKKRAMAAKIQKWREALREVAGTSGKDLSTCKDEATMVADIVGQISKQVFSMEPLDFSDIVGMKVHMERLNPLLSIESEDEVRMIGIWGMGGIGKTTIAKCLYEKYSRRFAHYCFIENVRIAAKNGLPYLQKKLLSNIRGKKQETLWCVEKGCSCIKSKLKDKIFLVLDDVDNVDQLHALAKNTGWFGPGSRIIITTRDFGLLYSFGVRLLYHVSFLDIGDAIQVFKQVAFEGGQAPSDVYQQFSIRASRLAQGLPSALEAFGTYLRRITWIEGWEKALGILETVPHQSIMDILKTSYDGLDEQEQAAFLHVACLFNGTSVQRVNALIDDGDIRTKALEAKSLIEISPDGCITMHVLIEQAAREIVRQESGSMPWRQRILWKTDPIIFVLQNNTGTTTTEGVALHMCEMLQALSIEGNVLNAINNLKFFKAFMHLNDKESKLKFLPGTDMLPNTLKLLHWDSYPMTTLPPGYYPHCLVELNLRYSSLVHLWDGTLDLGQLKRLDVTGSKNLTEIPDLSRAALLKDLIMKGCTRLKQTPESIGSLSCLRKLDLSNCDGLTNLQIHISEKIVLREPGLRRRRQIILRLPRAVKKLNSLANLSIEGKINIGLWDIMGNAEHLSFISEQQIPEEYMVIPKERLPFISSFYDFKSLSIKRVSYSADGVPFRCISFSAFPCLVELNLINLNIQKIPVDIGLMQSLEKLDLSGNDFRSLPASTKNLSKLKYARLSNCIKLKTFPELTELQTLKLSGCSNLESLLELPCAVQDEGRFRLLELELDNCKNLQALSEQLSRFTNLIHLDLSSHDFDAIPESIKELSSLETMCLNNCKKLKSVEELPQSLKHLYAHGCDSLENVSLSRNHSIKHLDLSHCFGLQQDEQLITLFLNDKCSQEVSQRFLCLPGNEVPRNFDNQSHGTSTKISLFTPTLLGFAACILISCERSFNLQFPAFSYDWNSEADEVIWINLKPNLNHSSEIEEEETVASHHLVIIHVPSSLNTEKIEELRLESHLQFPEEEFQFPLGEIRACGIRIIDEANPV >Al_scaffold_0002_1979 pep chromosome:v.1.0:2:15601174:15601895:-1 gene:Al_scaffold_0002_1979 transcript:Al_scaffold_0002_1979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KR47] KNDESQESVFKDATGVCLPLINATIRDLEKEGFPKDCSLNMEIPTSPSYNKCFKVTKQNMWRQSLSWQAVSAHRDRVLAGSEGPRLVNSTMMFRYLAISGKAHRFTTQKKSIVEIESVGVAGKTDQGILSLKELNNKQTRRC >Al_scaffold_0002_198 pep chromosome:v.1.0:2:1164449:1169494:-1 gene:Al_scaffold_0002_198 transcript:Al_scaffold_0002_198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KU19] QQTLVLVILCSNKKVDVSSVGILTLSLRSLEKQNVGSLHGFMHKYLKHMVLSLFGHESLKKMLPEIEQSNSNELKDSVASLIFDLTAKKLISHDTEKSSENLREYFVAFIHGLISFPIDIPGTAYHKCLKGRESAMKMLRNMLQERCEKPRENPSDFFDYVIEELKKEGTILTEAIALDLMFALLFASFETTSLSLTLAIKFLSDNPSVLKRLTEEHEEILGNREDADSGLTWKEYKSMTIHISDDIIPANWAVMVCPPAIHLNPNIYEDPLVFNPSRWEGSETTNASKNFMAFGGGIWEEISGGNILRTPGLQFPNGYHVKLKKKEI >Al_scaffold_0002_1982 pep chromosome:v.1.0:2:15611060:15612897:1 gene:Al_scaffold_0002_1982 transcript:Al_scaffold_0002_1982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS class) [Source:Projected from Arabidopsis thaliana (AT1G72890) TAIR;Acc:AT1G72890] MNTILKNLSCFKKKVKSLRIFESRSLSSSSSSSFTPQIFDVFLSFRGKDTRKNFISFLHKELESKGIRTFKDDTELERGRPISPELLQAIKGSRIAVVVVSVNYPDSFWCLEELKEILKLENQGLLTVIPIFYEIDPSAVRRQIGVVAKQFKKHEKRESKERVKSWKEALNKLANLSGECSKDWEDDSKLVDGITEKISKKLFSEKPRNDNILIGIDQHMEELYPLLNLNSNEDVRVIGIWGRGSIGRSALANHVYQNIKHHFEAHCFLEDVRRISLHCRKSHLQDELLSKMQGEGLTTKSCRRCLKTIKARLRNKKVLLVANDVDKLEQFDALAEEFSWFGPGSRIIITTQDRQLLVSSVVRSVYEVELLRCYEVRQLFRSDAFKERDDPVGFEQSTYRAMYISGHVLLTLRYIFTLLCDRDQLRERINAIVHSL >Al_scaffold_0002_1985 pep chromosome:v.1.0:2:15623389:15624616:1 gene:Al_scaffold_0002_1985 transcript:Al_scaffold_0002_1985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KR53] MSCATATKYDVFLTFRGLDTRRNFISFLYQELVRRKIRTFKDDKELKNGQRISPELKRAIEESRFAVVVVSQNYAASRWCLKELVKIMDFENKDSITVIPIFYGVEPAGHVRWQTGVVAEHFKKHESREKHEKVLQWKQALAAFAQLSGDCSGDDDSKLVAHEISKKMKIFATISNGRNLVGIDTHMKELNKKLDLNSNKGVRMVGIWARGGEGRSALAKYVYQDMCQQFESHCFLGNVKTVSQGRHSAHLHHEFLQNIQRENPSKQTLKNQKVLLVADDVDKLEQLEALAGDFSSFGPGSVVIITTQDKQLLTSYGIKDVYEVEYLTFQKVCQLFRRQFAFKKRDFFAAFQWALCRATNFATECFFSTSGYGKVTDQI >Al_scaffold_0002_1986 pep chromosome:v.1.0:2:15639121:15640359:1 gene:Al_scaffold_0002_1986 transcript:Al_scaffold_0002_1986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KR54] MSSATATKYDVFLSFRGLDTRRNFISFLYKELVRRNIRTFKDDKELENGRRISPELKRAIEESKFAVVVVSVNYAASPWCLDELVKIMDFENKGSITVMPIFYGVDPCHLRRQSGDVAEQFKKHEAREEDLDKVASWRRALTSLASISGDCSLKCEDESKLVDEIADKISKKLMVVTTISNGSNLVGIDTHMKALNRKLDLNSNKGLRVVGIWARGYNGRSALAKYVYQEICQHFESHCFLGSVKRISHGRHLSHLHEEFLIRIQGEYVSKQNLKNQKVLLVADDVNKLEQLDALAEDFNSFGPGSVVIITTQDKQLFVSAGIKLVYEVELLRFQKVCELIRTFAFKKRDISAALQLVYYRATNLATKWFGCISGRSS >Al_scaffold_0002_1987 pep chromosome:v.1.0:2:15645182:15646394:1 gene:Al_scaffold_0002_1987 transcript:Al_scaffold_0002_1987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS class) [Source:Projected from Arabidopsis thaliana (AT1G72950) TAIR;Acc:AT1G72950] MSDTSITIPKFDVFLSFRGLDTRRNFISFLYNELVRRNIRTFKDDNELKNGRRITPELVRAIEGSKFAVVVVSVNYAASRWCLEELVKIMDFENKGSLKVMPIFYGVDPCHVRRQIGVVAEQFKKHEAREGDHEKVLSWRQALTNLASISGDCSWKWEDDSKMVDEITDRISKELMIDTTRRNGSDLEGIAAHMKALRRLLNLNSKKSVRVIGIWARGYNGRSALAKFVYQNICQHFESHRFLESVKRISQDRREELMIRIQEECLSKFKNQKVLLVADDVNKLEQLDALAEDFNCFGPGSIVIITTQDRQLLISAGIKLVYEVELLRFQKVRGLFRQLAFREKDFSAAFEVSLYRATNVAMEWLGCICGRSG >Al_scaffold_0002_1988 pep chromosome:v.1.0:2:15647316:15651448:1 gene:Al_scaffold_0002_1988 transcript:Al_scaffold_0002_1988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair defective 3 GTP-binding protein (RHD3) [Source:Projected from Arabidopsis thaliana (AT1G72960) TAIR;Acc:AT1G72960] MGPQSSGKSTLLNHLFGTNFMEMDAFKGRSQTTKGIWLARCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTMLFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPEAHKETPLSDFFNVEVVALSSYEEKEEQFKEQVASLRQRFMHSIAPGGLAGDRRGVIPASGFAFSADQIWRVIKENKDLDLPAHKVMVATVRCEEIANEKFAHFITNEDWRQLDEEVQAGPVSNFGKRLTTILGSCLSEYDGEATFFDEGVRSSKRQQLEEKLLQLVNPAFQDVLGHIRWGMLEKFKASFDKALGIGEGFSSASQDWFKACMTQFDEECAGAIIEQANWDTSKVRDKLVRDIEAHISSVRTSKLSELTSLYESKVHEALSEPVEALLEGANDETWTTVKKLHRRETESAVSGLSSALAGFDMEEETRDRMVKSLQDYSRGVIETKAKEEAGRVLMRMKERFATIFSHDSDSMPRVWTGKEDLRAITKSARSASLKLLSVMAVIRLGDEPDNIEKTLNVALLDPTKNETSKKSITTSDPLASSTWDEVPSSRTLITPVQCKSIWRQFKTETEYTEANRRGNNWLPPPWAILALIVLGFNEFMTLLRNPLYLGVIFVAFLLVKALWTQLDIPGEFRNGALPGLISISAKFVPTVMNLIKNLAAQGEVPPAANPESRRSSNNTFSSENPPYKKSSSKED >Al_scaffold_0002_1991 pep chromosome:v.1.0:2:15658089:15662744:-1 gene:Al_scaffold_0002_1991 transcript:Al_scaffold_0002_1991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:D7KR60] MAMTSRRSWPSTGRQTRHQLAFVLLLVLVAVGIYVPVLALPSLSLPPEIPGDEKMIMMSRKFYIKDDSFWKDGNHFQIIGGDLHYFRVLPEYWEDRLLRAKALGLNTIQVYVPWNLHEPKPGKMVFEGIGDLVSFLKLCDKLDFMVMLRAGPYICGEWDLGGFPAWLLSVKPRLQLRTSDPAYLKLVERWWGVLLPKIFPLIYSNGGPVIMVQIENEYGSYGNDKAYLRKLVSMARGHLGDDIIVYTTDGGTKETLEKGTVPVDDVYSAVDFTTGDDPWPIFELQKKFNAPGSSPPLSSEFYTGWLTHWGEKIAKTDAEFTATSLEKILSRNGSAVLYMVHGGTNFGFYNGANTGSEESDYKPDLTSYDYDAPIKESGDIDNPKFRALQRVIKKYNVASHSIIPSNKQRKAYGPIKMQMTTSLIDLVSMADPADVIISANPISMESAGQMFGFVLYESSYIAKKSGNILRIPKVHDRAQVFVSCLSQDVGVLRYIGTTERWNNQPVSLPTIECTTNTSLFILVENMGRVNYGPYIFDEKGILSSVYLDGQILHGWKMIPIPFHNLNQVPNISFEMQHTKKRNVVGQKEPALFAGEFSINTTEEIEDTYLSFNGWGKGVAFINEFNIGRYWPSVGPQCNLYVPAPLLKPGKNTLVIFELESPHLELLLESVDQEDFVCGSYDSKVSQ >Al_scaffold_0002_1996 pep chromosome:v.1.0:2:15680044:15680662:-1 gene:Al_scaffold_0002_1996 transcript:Al_scaffold_0002_1996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73040) TAIR;Acc:AT1G73040] MDQQQQGDKNLTVFVGPWGRNGGTTWDDGIYHGVREIRLVYDHCIDSISVIYDKNGKPAKSEKHGGVGGNKTAELKLQYPDEYLTGVSGYYSIVVDSGTPVIRSMTFKSNKQVYGPYGVEQGTPFTFSVNGGRIVGMSSRSGWYLDSIGFHLSRPKSTKMINKLLKKIHWLTRIVA >Al_scaffold_0002_2000 pep chromosome:v.1.0:2:15689513:15693056:1 gene:Al_scaffold_0002_2000 transcript:Al_scaffold_0002_2000 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEP1 receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G73080) TAIR;Acc:AT1G73080] MKNLGLFKILLLFFCLFLSTHIVSVSCLNSDGLTLLSLLKHLDKVPPQVTSTWKINASEATPCNWFGITCDDSKNVAALNFTRSKVSGQLGPEIGELKSLQILDLSTNNFSGTIPSSLGNCTKLVTLDLSENGFTGKIPDTLDSLKSLEVLYLYINFLTGELPESLFRIPRLQILNLEYNNLTGPIPQSVGDAKELLDLSMFANQFSGNIPESIGNCSSLQVVYLHRNKLVGSLPESLNLLGNLTDLFVGNNSLQGPVRFGSSNCKNLMTLDLSYNEFEGGVPAALGNCSNLDALVIVDGNLSGTIPSSLGMLKKLTVINLSENRLSGSIPAELGNCSSLSLLKLNNNQLGGEIPSTLGKLKKLESLELFENRFSGEIPMEIWKSQSLTQLLVYQNNLTGELPVEMTEMKRLKIATLFNNSFYGAIPSGLGVNSSLEEIDFIGNKLTGEIPPNLCHGRKLRILNLGSNLLHGTIPTSIGHCKTIRRFILRENNLSGLLPEFSRDHSLFFLDFNSNNFEGPIPRSLGSCRNLSSINLSRNKLTGQIPPQLGNLQNLGYLNLSRNLLEGSLPAQLSNCMIIERFDVGFNSLNGSIPSNYSNWKGLATLVLSDNRFSGGIPQFFPELKKLSTLQIARNAFGGEIPSSLGLIEDLIYDLDLSGNGLTGEIPAKLGDLNKLTRLNISNNNLTGSLSVLKGLTSLLHIDVSNNQFTGPIPENLEGQLLSEPSSFSGNPNLCIPHSFSVSNNSRSELNYCKDQSKNRKSGLSTWQIVLIAVLSSLFVLVVVLALVFICLRRRKGRPEKDAYVFTQEEGPSLLLNKVLAATDNLNEKYIIGRGAHGIVYRASLGSGKVYAVKRLVFASHIRANQSMMREINTIGKVRHRNLIKLEGFWLRKDDGLMLYRYMPKGSLYDVLHGVSPKENVLDWSARYNVALGVAHGLAYLHYDCHPPIVHRDIKPENILMDSDLEPHIGDFGLARLLDDSTVSTATVTGTTGYIAPENAFKTVRGRESDVYSYGVVLLELVTRKRAVDKSFPDSTDIVSWVRSVLSSSNNNVEDMVTTIIDPLLVGELLDSNLREQVIQVTELALTCTDKDPAMRPTMRDAVKLLDDVKYLARSCSSDSVR >Al_scaffold_0002_202 pep chromosome:v.1.0:2:1188286:1189041:-1 gene:Al_scaffold_0002_202 transcript:Al_scaffold_0002_202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU24] MYDLFSASPVTVVFTGGRRGLLSPLFFSVFSSSSSCSSGDAQIIRLALRLLLVVKQRVAMHDAKDVWCVSLCPRLWFDAATVAAVRLLTAGQTSRSEQSVVHHRVALDVVVPLVIWALHGAFGVTNLLFSGLSTLVFSAPVLVC >Al_scaffold_0002_2035 pep chromosome:v.1.0:2:15814460:15814615:1 gene:Al_scaffold_0002_2035 transcript:Al_scaffold_0002_2035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KRI1] TLLAFIDNTTTKVHTFLSSVQFSSRFYSNRQKNLIFFWTSILFYDFVRPRT >Al_scaffold_0002_2037 pep chromosome:v.1.0:2:15818774:15819968:1 gene:Al_scaffold_0002_2037 transcript:Al_scaffold_0002_2037 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 54 [Source:Projected from Arabidopsis thaliana (AT1G73410) TAIR;Acc:AT1G73410] MIMCSRGHWRPAEDEKLKDLVEQYGPHNWNAIALKLPGRSGKSCRLRWFNQLDPRINRNPFTEEEEERLLAAHRIHGNRWSIIARLFPGRTDNAVKNHWHVIMARRTRQTSKPRLLPSTTSSSSLMASEQIMMSSGGYDRNYSSGDRKKILPADVINFPYQFSHINHLQFLKEFFTGKIALNHKANESKKPMEFYNFLQVNTDSNKRETIDQDSGQSKPSNSGTKNESHVPFFDFLSVGNSAS >Al_scaffold_0002_2046 pep chromosome:v.1.0:2:15865570:15866258:-1 gene:Al_scaffold_0002_2046 transcript:Al_scaffold_0002_2046 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 21 [Source:Projected from Arabidopsis thaliana (AT1G73540) TAIR;Acc:AT1G73540] MTEFYVSLFISNVASHLSPTFDNIPSKKIVPTPIEKDVSLVSRTGRDLQRYNTAGYRQVVGCVPYRYKKHGGGEIEVLLISAQKKGKGMLLPKGGWEIDESIEEAALRETIEEAGVTGQLEESLGKWQYKSKRHSMIHDGYMFPLLVSQQFERWPEADIRQRKWVSLSEAIELCQNSWMREALEAFINRKCQTN >Al_scaffold_0002_2052 pep chromosome:v.1.0:2:15891820:15892292:-1 gene:Al_scaffold_0002_2052 transcript:Al_scaffold_0002_2052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRJ7] MARYKLHLNVNDNSGETKLICFDNPAFFIVNLPASALLPAPFNESTAYTTIPDRIQKLIGQTFIFSVSVEQENIFEGLDTFKVNRVIADDLSITKTLHGKKIFAPK >Al_scaffold_0002_2053 pep chromosome:v.1.0:2:15898778:15901612:1 gene:Al_scaffold_0002_2053 transcript:Al_scaffold_0002_2053 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73600) TAIR;Acc:AT1G73600] MAVYGEEREIQKNYWKEHSVGLSVEAMMLDSKASDLDKEERPEILALLPPIEGKTVLEFGAGIGRFTTNLAHKAGQLIAVDFIESVIKKNQNINGHYKNVKFLCADVTSPDMNFPNESMDLIFSNWLLMYLSDREVEDLAKKMLQWTKVGGYIFFRESCFHQSGDNKRKYNPTHYREPKFYTKLFKECHMNDEDGNSYELSLVSCKCIGAYVRNKKNQNQICWLWQKVSSDNDRGFQRFLDNVQYKSSGILRYERVFGEGFVSTGGLETTKEFVDMLDLKPGQKVLDVGCGIGGGDFYMAENFDVDVVGIDLSVNMISFALEHAIGLKCSVEFEVADCTKKEYPDNIFDVIYSRDTILHIQDKPALFRTFYKWLKPGGKVLITDYCRSPKTPSSDFANYIKQRGYDLHDVQAYGQMLRDAGFEEVTAEDRTDQFMKVLKRELDAVEKEKEEFISDFSKEDYEDIIGGWKSKLLRSSSGEQKWGLFIAKRN >Al_scaffold_0002_206 pep chromosome:v.1.0:2:1213748:1215405:1 gene:Al_scaffold_0002_206 transcript:Al_scaffold_0002_206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU29] MVDSEDELVVPVWDERSGSNTPPHHVSNSSSDSSAISSSSLISPPPQPVSPHFRGSSSVHRAKGKARYEICECSTRKKGKRIDLNSERNIRQCCKDKGVPFYPVDGQLP >Al_scaffold_0002_2067 pep chromosome:v.1.0:2:15956728:15956943:-1 gene:Al_scaffold_0002_2067 transcript:Al_scaffold_0002_2067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRL0] IYIYLYIYIFIFFFSNSIFVFVLNFYFVLYFFSIFYFVFYFSLYIFIYILKILYFFRFIYFFHFYHFLYFF >Al_scaffold_0002_2068 pep chromosome:v.1.0:2:15957579:15957779:1 gene:Al_scaffold_0002_2068 transcript:Al_scaffold_0002_2068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRL1] VFFFFFFVLDIYIYTFFFKFCFCFRFEFLFCFIFFSNFYFVFNFFRYIYLYIYIYIFFFSFLLNFF >Al_scaffold_0002_2078 pep chromosome:v.1.0:2:15993419:15994232:1 gene:Al_scaffold_0002_2078 transcript:Al_scaffold_0002_2078 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: mitochondrion; EXPRESSED IN: cultured cell; BEST Arabidopsis thaliana protein match is: Ribosomal protein S24/S35, mitochondrial (TAIR:AT3G18240.2); Has 9 /.../st hits to 569 proteins in 87 species: Archae - 0; Bacteria - 746; Metazoa - 33; Fungi - 46; Plants - 57; Viruses - 0; Other Eukaryotes - 69 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G73770) TAIR;Acc:AT1G73770] MRRFLQRVPTLLARNFLHSPANFRHSNINPRVVVVPLFERAISRFVFFSSESDSARGFKNDEVLSKEELKKRIQSFLDDGDEDSIPDLFEAMMIRKLSGKHDDSDDEVMEEVRKYPVNDAHKVDETDSDIESDGHGDSSDSDIETDGLRDGDSSDSDIEFDGLRDVGLSDVNIKIDDGLKANRSSYSDSESD >Al_scaffold_0002_2085 pep chromosome:v.1.0:2:16017523:16019636:1 gene:Al_scaffold_0002_2085 transcript:Al_scaffold_0002_2085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRM8] MVRRSLMALLKMCGGHSGSGGEISSEDGLDGGDRGIFFSLQELEVATDFFSEKNRLGTGGFGPVYKGSMPNGEEIAVKKLSVDSRQGSREFTNEVKLLLRIQHKNLVSLLGCCFHGPEKMLVYEYLPNRSLDYFLFDKIKPGSLGWDHRWRIIIGVARGLLYLHEEAPIRIIHRDIKASNILLDNNLNPKISDFGLARLFPGDGTHTNTSRISGTFGYMAPEYALHGLLSFWSPLESVSTFVTNPCHGFVLNYGNFTPYMFPFDLLVCKLIQHFFQQKAWKIYQEGKILELMDQSLAGVYNRDEAATCFIIGLLCCQQFTSNRPDINTVHQMLSSDSFDLPKPGRPGLQGRRGGGYTSTGAGTGAGSRTFGLNGSEPNSFKSRRSSGGGAAGQDSIVEEHSRNSISMSSFAEGR >Al_scaffold_0002_210 pep chromosome:v.1.0:2:1233696:1236094:-1 gene:Al_scaffold_0002_210 transcript:Al_scaffold_0002_210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU33] MKMKMKLVDPNPEHQRRKRISDKSGKHKDDLAYVKSSLSDSVTCENPYPLDTITPTVSFAAPTSTTSGNDINTLMATDADISRRKKRPVYRGIRCRSGKWVSEIREPKKTTRVWLGTYTTPEMAAAAYDVAALALKGGDTVLNFPDSVGSYPSPLSSSAAHIRCAAAAAAAARGAAAAAVKGGEKKEEEVCDTAGSSTMGFVDEEELLNMPGLLADMAKGMMVAPPWMGSPPSDDSPENSDGESLWSY >Al_scaffold_0002_2110 pep chromosome:v.1.0:2:16120493:16122064:1 gene:Al_scaffold_0002_2110 transcript:Al_scaffold_0002_2110 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and Leucine Rich Repeat domains containing protein [Source:Projected from Arabidopsis thaliana (AT1G80960) TAIR;Acc:AT1G80960] MSLFVYERRFDSSSDPVDWISKLPSDVLLMIISRLSTEEAVRTSLVSKRWEHLWKHMSHLVLDIRKKITNSNNTLHVWNRVATLMTKIINNHRGHLESCVIHHYSNGMLNTWIQSLTVVKQTKHLTLIHHVGLRNFGEFIEFPPNSFSYPGLTSLSLSTLMIRTSHSFNNCQFLKTLKITCMLAPDVGVVNRVLASCPSLELLVLNLTCKNKNGPLKIENNRLKLLKVSLCEQIDGIQVSSPSLHILAIKEILFFGRDKFVLRSPRLQFNRNFWLTRTFLPHISYNISQDEICIGHEEFVVNTCGDLWGASLSVSVDLMNPRQVKRLRQVLLLWTSEMAELEILFKNDNAPSEKGESSHNKFWEDNYKDPFPNAEFRVNTVWMHNFSGSEEEFALASCLIRQGTVVKNMMIKTTSFSARKKLEIETAVAQLQALQTQDQRELTIKCF >Al_scaffold_0002_2112 pep chromosome:v.1.0:2:16125780:16126867:1 gene:Al_scaffold_0002_2112 transcript:Al_scaffold_0002_2112 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetraspanin 17 [Source:Projected from Arabidopsis thaliana (AT1G74045) TAIR;Acc:AT1G74045] MSEVRTGFLTMTTIILISIGLTMMGTGLYQKTTVSSCIRETSGQFLLLGLILLLIPQIGLYGICCRSKRLFNYFYYGMIVVIIIVSYYSIKCFAYNTTFGIPKNPAKDHRTVPQLLGRLVSKEKFENVVLCIIHNHDCNYNASKNSNVWKYCCAQPPGCGTITMFDKPGEWSWKQQYERNQVPEECSYEYCLDCRGCQLSILKAIVHQWKYLSMFAYPALVLSCISLALAWSLKDTIHETEDYRGSYS >Al_scaffold_0002_2117 pep chromosome:v.1.0:2:16143159:16144199:1 gene:Al_scaffold_0002_2117 transcript:Al_scaffold_0002_2117 gene_biotype:protein_coding transcript_biotype:protein_coding description:desulfo-glucosinolate sulfotransferase 18 [Source:Projected from Arabidopsis thaliana (AT1G74090) TAIR;Acc:AT1G74090] MESETLNVTTTTVQSHDETKTESTKFEKNQKRYQELISTFPHEKGWRPKEPLIEYGGYWWLPPLLEGCIHAQEFFQARPSDFLVCSYPKTGTTWLKALTFAIANRSRFDDSSNPLLKRNPHEFVPYIEIDFPFFPEVDVLKDKGNTLFSTHIPYELLPDSVVKSGCKMVYIWREPKDTFISMWTFLHKERTELGPVNNLEESFDMFCRGLSGYGPYLDHILAYWKAYQENPDRILFLKYETMRADPLPYVKSLAEFMGYGFTAEEEKKGVVEKVVNLCSFETLKNLEANKGEKDREDRPGVYANSAYFRKGKVGDWSNYLTPEMAARIDGLMEDKFKGTGLLEHGK >Al_scaffold_0002_212 pep chromosome:v.1.0:2:1262016:1262603:1 gene:Al_scaffold_0002_212 transcript:Al_scaffold_0002_212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU35] MVLEELRQFFVASSELNRFSSQIQSTSSEDIVEMDHTITLNPVSTEGSDRSKGKAIVLEPENKKATSDSSKEPFSRQTLSILSSPDFITDGSAVIMKDFFGNKGSFTSAPSFSEAGTSKPFTKRAYVRKKPYKSRSNLKDKGLEEGINLKRKNLESETPAGSVKIAKLSKNEMVPFEGLSDH >Al_scaffold_0002_2124 pep chromosome:v.1.0:2:16208260:16210859:1 gene:Al_scaffold_0002_2124 transcript:Al_scaffold_0002_2124 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74210) TAIR;Acc:AT1G74210] MILARCLPLIWLSLLTVCAGRTLHPLPVKGPKSVNLQLQTSRPYNIAHRGSNGEIPEETTAAYLKAIEEGTDFIETDILSSKDGVLICFHDCILDETTDVASHKEFADRKRTYDVQGFNITGFFTFDFTLKELKQLRTKQRYTFRDQQYNGMYPIITFEEFITIARDAPRVVGIYPEIKNPVLMNQHVKWPGGKRFEDKVVETLKKYGYGGSYLSKKWLKKPLFIQSFAPTSLVYISNLTDSPKVLLIDDVTMPTQDTNQTYAEITSDAYFDYIKQYVVGIGPWKDTIVPVNNNYMLAPTDLVKRAHAHNLQVHPYTYRNEHEYLHFNFSQDPYKEYDYWINKIGVDGLFTDFTGSLHNFQEWTSPLPDTSKSPRQLLGQIASLVLPYAKA >Al_scaffold_0002_2131 pep chromosome:v.1.0:2:16228110:16230505:1 gene:Al_scaffold_0002_2131 transcript:Al_scaffold_0002_2131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KS07] MANGSARKSSIKASSRKSFLLPSSVIIIVGIILALTYQSKLKPPPPKLCGSPGGPPITAPRIKLRDGRHLAFKEHGLPREKANRKIIFIHGSDCCRHDAVFATLLSQDLVEELGVYMVSFDRPGYCESDPHPNRTPRSLVSDIEELADQLSLGSKFYVLGYSMGGQAAWGCLKYIPHRLAGVTLVAHVVNYYWRNLPLNVSTEGFNLQQKRDQWAVRVAHYAPLLIYWWNTQKWFPGSSIANRDHSLLSQPDRDIISKLGSSRKPHWEEVRQQGIHESFNRDMIVRFGNWEFDPVELENPFLNNEGSVNLWQGDEDMLVPVTLQRYIAHKLPWLHYHEVPGSGHFFPFAKGVVDEIVKTALMQSIN >Al_scaffold_0002_2143 pep chromosome:v.1.0:2:16263125:16264838:-1 gene:Al_scaffold_0002_2143 transcript:Al_scaffold_0002_2143 gene_biotype:protein_coding transcript_biotype:protein_coding description:fucosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT1G74420) TAIR;Acc:AT1G74420] MKRGKKNSAAGDPLTSSDTRTGYSELNVMMKPSLSSIKSMGLLLAVLMVASVMFSLSVVLRDPPSDDDVVETESASSVLQIRLHQDDGLSEKKEQLGDVNLVPSFDKGSCLSRYEASLYRKESPFKQSSYLDNRLHRYEDLHTRCGPFTRSYNLTLDKLKSGDQSDGEVSACRYVIWLNSNGELGNRMLGLASAFLYALLTNRVLLVELGLDMADLFCEPFPNTSWFLPLEFRLNSLFKEQSLLLNSGNPMVAYRHVVRDSRDQQKLFFCEESQVLLEETPWLILKADSFFLPSLFSVSPFKQELQKLFPEKETAFHFLSRYLFHPTNVVWGLITRYYRAYLARADQIIGIDIGVSESGNDQFQHLIDQILACGTRHKLLPEVEKQRHLPSSQVLNRKSKAVFISSSSPGYFESIRDVYWENPTVMGEIISVHKPSHKDYQKTPRNMESKRAWAEIYLLSCSDVLVVTSSWSSLVEVAHGLGGLKPWVLNKAENGTAHEPYCVRAR >Al_scaffold_0002_2145 pep chromosome:v.1.0:2:16280900:16281357:-1 gene:Al_scaffold_0002_2145 transcript:Al_scaffold_0002_2145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KS18] QYILDPFLLIICTLYIYIYIYIYIYIYIYFYFYFYFYIFIYIYIYIYIYIYIYIYLYLYYFLEFHY >Al_scaffold_0002_2166 pep chromosome:v.1.0:2:16359414:16361119:1 gene:Al_scaffold_0002_2166 transcript:Al_scaffold_0002_2166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KS37] QKKMCGLKKKTVLNENVQKTNLKTKKNKKKSDFFDKKKKKKKKKKKKQKKKKRGEKKRKKK >Al_scaffold_0002_2190 pep chromosome:v.1.0:2:16469448:16470780:-1 gene:Al_scaffold_0002_2190 transcript:Al_scaffold_0002_2190 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; BEST Arabidopsis thaliana protein match is: F-box family protein (TAIR:AT1G67623.1); Has 36 Blast hits to 36 proteins in 8 spe /.../Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 36; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G74875) TAIR;Acc:AT1G74875] MVLVFFGNIFVKAHLTSMGKRVFVGISIIMMTSIYAVSSSHSPSLEKQISNSPRDQYSLPEDLLVEISSCVAASSLSEISNLRLVSKSFKRISNDRYVLRRLSLNEIPLFPWFRNRGKFHNFIKRCRKNGNPEAIYRKGLVDYFHRNSSKRQRDKGLKHIAKVANKGNQEAQYVYGLILICLGGETKQKGFKILSSLRKPLMSSTLEEMEKHRKKIRNEMWWCGEPMMRHLKRRYVRVNCNCDGRTDIFFVKNSGWDRYGEHNDMITCSACEICLWHHEVKLFFANIEE >Al_scaffold_0002_2193 pep chromosome:v.1.0:2:16474757:16476199:1 gene:Al_scaffold_0002_2193 transcript:Al_scaffold_0002_2193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74900) TAIR;Acc:AT1G74900] MKRLLSKSLCTSAAGANLKPPPADPAAIAKLILSFHQTLDDQFILSTKTTPWTPNLVNSVLKRLWNHGPKALQFFHFLDNHHREYVHDASSFDLAIDIAARLHIHPTVWSLIHRMRSLRIGPSPKTFAIVAERYASSGKPDKAVKLFLNMHEHGCFQDLASFNTILDVLCKSKRVEKAYELFRALRGRFSADTVTYNVIVNGWCLIKRTPKALEVLKEMVDRGINPNLTTYNTMLQGFFRAGQIRQAWEFFLEMKKRNCEIDVVTYTTVVHGFGVAGEIKRTRNVFDEMIREGVLPSVATYNAFIQVLCKKDSVENAVVMFEEMVRKGYEPNVTTYNVLIRGLFHAGKFSRGEELMQRMENEGCEPNFQTYNMMIRYYSECSEVEKALGLFEKMGTGDCLPNLDTYNILISGMFVRKRSEDMVVAGNQAFAKEILRLQSKSGGRLLRKFRL >Al_scaffold_0002_2241 pep chromosome:v.1.0:2:16663186:16669087:-1 gene:Al_scaffold_0002_2241 transcript:Al_scaffold_0002_2241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSN5] QKQKDKKKKKKTKTKTKRCKKKHKKKKKKTEEKKRITKRNNNINNSKKKKKKKKKKKKKKKKNNINNSGNKRLILKNEKKYAK >Al_scaffold_0002_2247 pep chromosome:v.1.0:2:16691393:16692806:-1 gene:Al_scaffold_0002_2247 transcript:Al_scaffold_0002_2247 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain 11 [Source:Projected from Arabidopsis thaliana (AT1G75430) TAIR;Acc:AT1G75430] MEEFRVRHECSSLRGTLLDSRYAKAVQCLVEEVIDIGGREVELCNNILIQQLFPGRRRPGFGLSSEIKSEFCSSGFMSLPENHEIHIKITKLLSLLQQVEERFDLYCNQLEQVISSFEEIAGEGSSKVYTGLALQAMTRHFGSLEEAIISQLNSVRRSFIISHQDVPKIISSGLSQLSLFDGNNTSSSLQRLGLVQGPQRHAWKPIRGLPETSVAILRAWLFQHFLHPYPNEAEKLVLASQTGLSKNQVSNWFINARVRLWKPMIEEMYRDEFGDSSDESMQREANDDSN >Al_scaffold_0002_2250 pep chromosome:v.1.0:2:16708652:16708997:1 gene:Al_scaffold_0002_2250 transcript:Al_scaffold_0002_2250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSP5] MCSIFWPCTSHLMDHGLNGHCLKRLCPGDRRPPPHKERDSLKSQGRGWPRGPTWRLGISAGNAKVATSRPADRRKRIN >Al_scaffold_0002_2256 pep chromosome:v.1.0:2:16745305:16746715:-1 gene:Al_scaffold_0002_2256 transcript:Al_scaffold_0002_2256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIF, beta subunit [Source:Projected from Arabidopsis thaliana (AT1G75510) TAIR;Acc:AT1G75510] MEESHELDMEKTDRRIWLMKCPVVVAKTWEKLAPSSSSYSSSDSLPNLAKVVLDVDPLRPDYSPEFTMQMVAAEYGNMPKCYALNMFKDFVPMEAFSETNLVNTAVEGNVDHKFDMKPHGENIEEYARLCRERTSKAMVKNRQIQVIDNDRGVHMRPMPGMLGLVSSNSKEKRKPPPVKQTEVKRTRRDRGELEAIMFKLFEGQPNWTLKQLVQETDQPAQFLKEILNELCVYNKRGSNQGTYELKPEYKKSAEDDAGGQ >Al_scaffold_0002_2279 pep chromosome:v.1.0:2:16875036:16876061:1 gene:Al_scaffold_0002_2279 transcript:Al_scaffold_0002_2279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KT67] MSKKKKKQSIAMEDHGSLRYRDGKGKKKDDFFDRSDYEISSILLQLSHPVIFSSDSPLFHKWGRTKKRSSFSIHLHPPEPVMKSLPCTAEVGDMGSNSSSSCLTGEAKKANSQIIKKGLEAEFCTKNQSSSVLEIQADTGLLRAHVGLIAQPIRCDQHLPFIAVDRTVKVRDFETASLRMDNLERRGFDLNLPAAEEGFQRVANKVQVAAQARQRRLGLIRSKKLYNRFISSYQLK >Al_scaffold_0002_231 pep chromosome:v.1.0:2:1397655:1399088:-1 gene:Al_scaffold_0002_231 transcript:Al_scaffold_0002_231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KU54] VKKFAGVFCHMTQISHCITLCKFGRSELIQANEHKLVAKMVHPRPEQCSSLSLVSLGAKSDLLVNSLSFKHPSPKSNSIAKEIAHSVTREGRMTSYLAIGGPSWLHSRIKDGKRN >Al_scaffold_0002_2324 pep chromosome:v.1.0:2:17056374:17057171:-1 gene:Al_scaffold_0002_2324 transcript:Al_scaffold_0002_2324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTB3] MDADDDFYSGTENYSDYADSDEYDADDDYEFVEDAADDSDDLIFRRRQAIRCEALTHMEGEIEFVQIDPNDLYPYLLMNVGSGVSIIKVDGERKV >Al_scaffold_0002_2330 pep chromosome:v.1.0:2:17080249:17082238:-1 gene:Al_scaffold_0002_2330 transcript:Al_scaffold_0002_2330 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT1G76290) TAIR;Acc:AT1G76290] MEGTIRCSANYVPLTPISFLERAAVAFGPRTSVVYGDIQYTWRQTRDRCVRLASALSDLGLSRHDVVAALAPNVPALCELYFGAPMAGSVLCVLNTTFNSQMLAMALEKTKPKVFFVDSEFLSVAEESLSLLSNIEEQPLIITITENQTEKSKYEEYEDFLSSGNPNFIPLRPVDECDPIALNFTSGTTSTPKCVVYSHRGAYLNATATGVMNEMKPMPVYLWTVPMYHCSGWSSVWTVAAFGGVNVCLREVNDRVIFDSIVKHKVTNFGGSPPVLNMIANAPDSVKKSFPWTVQVMSGGSSPPEVMLRLKKLGFKVMMAYGCTEVYGLGTACLWMPEWENLPEEESSRLKARDGLNHFAKEAVDVLDPTTMKSVPHDGKTIGVIILRGNTVMSGYFKDKEATEAAFRGGWFWSRDMGVIDPDGYIQYKDRSQDVITCGGEIMGSKEIEGILYSHPAVYDAAVVGRPDEALGESMCAFVKLIEGAEAKEEEIIEFCERKIGIKNMKMVPKTVVFSDVPKIHTGKIRKNVLREMAKNMGYVPVKVVEQMK >Al_scaffold_0002_2331 pep chromosome:v.1.0:2:17083084:17085370:1 gene:Al_scaffold_0002_2331 transcript:Al_scaffold_0002_2331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTC0] ISETEDNNEAFLGEEADGDGTTESSAGRRRRPTTERSSSDVPKPKKAKKKQAHRAEVWQHYIEKEDLVGVARCRYCSQQIGCDTKLHGTSSMKSHLLRCKFYKAHQDLGTQKVLAVAGFKTVADDANLPP >Al_scaffold_0002_2333 pep chromosome:v.1.0:2:17089430:17091660:-1 gene:Al_scaffold_0002_2333 transcript:Al_scaffold_0002_2333 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCLIN B2;4 [Source:Projected from Arabidopsis thaliana (AT1G76310) TAIR;Acc:AT1G76310] MGGSDENRHGVIGPMNRQQGSLRGGKVIPTNGQTRRALSNINKNIIGAPVYPCAVNKRPFTENNGICNKKIPPVPVHRPVTRKFAVQLAENNPQIHKEETKKSDLISNEALDRIITDVEEGDFNEPMFVQHTEAMLEEIDRMEGIEMEDSNDIDVEVEESVMDIDSCDKNNPLAVVEYIDDIYCFFKKNECRSCVPPNYMENQQDINERMRGILIDWLIEVHYKFELMEETLYLTINLIDRFLAVHHHIARKKLQLVGVTAMLLACKYEEVSVPVVDDLILISDKAYTRTEILDMEKLMANTLQFNFCLPTPYVFMRRFLKAAQSDKKLELLSFFIIELCLVEYEMLQYTPSQLAASAIYTAQSTLKGFEDWSKTSEFHSGYTEKTLLECSRKMVGLHHKAGTGKLTGVHRKYNTSKFGYAARIEPAGFLLL >Al_scaffold_0002_2338 pep chromosome:v.1.0:2:17119344:17120939:-1 gene:Al_scaffold_0002_2338 transcript:Al_scaffold_0002_2338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G76370) TAIR;Acc:AT1G76370] MRCFSCLNTQTNDMRINIDTLSDLTDYSSVATRNDPRGTGSKSGILVNGKVNSPKPGGGARSFTFKELAAATKNFREVNMIGKGGFGSVYKGRLDSGQVVAIKQLNPDGHQGNQEFIVEVCMLSVFHHPNLVTLIGYCTSGAQRLLVYEYMPMGSLEDHLYDLEPDQIPLSWYTRMKIAVGAARGIEYLHCKISPSVIYRDLKSANILLDKEFSVKLSDFGLAKVGPVGNRTHVSTRVMGTYGYCAPEYAMSGRLTIKSDIYSFGVVLLELISGRKAIDLSKPNGEQYLVAWARPYLKDPKKFGLLVDPLLRGKFSKRCLNYAIAITEMCLNDEANHRPKIGDVVVAFEYIASQSKSYEDRRAARKSTDSNRLRGETKQSY >Al_scaffold_0002_2346 pep chromosome:v.1.0:2:17157760:17157979:1 gene:Al_scaffold_0002_2346 transcript:Al_scaffold_0002_2346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTT4] MQKDESEMVTISGYQDIPTNEEKSLLKALANQPISVAIEASGRDFQLYKGVS >Al_scaffold_0002_236 pep chromosome:v.1.0:2:1436593:1445250:-1 gene:Al_scaffold_0002_236 transcript:Al_scaffold_0002_236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU61] MASSQTRSNNGRNKRSSSAGAGANDGQIIELSAAPGNLQCVGGVTTIAENRDLLTLSALRRRFIYTYQGVPGVYFEATTGKAYPKSEDIRCDQFDVAFQAVELWITDRLVLPIET >Al_scaffold_0002_2360 pep chromosome:v.1.0:2:17223846:17224070:-1 gene:Al_scaffold_0002_2360 transcript:Al_scaffold_0002_2360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTU7] MTKLAIVVVARGEVACGGGRRWWWLAVEAVASSGGGWWWPAEVGGSSSRGGGGGGRQRWWWPAAVVVVAGGGWW >Al_scaffold_0002_2383 pep chromosome:v.1.0:2:17321526:17321851:-1 gene:Al_scaffold_0002_2383 transcript:Al_scaffold_0002_2383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTW9] FNLFLFSFFQRFSTLFFFFFFTFFFFKSFHPFSPFFKVFFFSVFFQVFFFFF >Al_scaffold_0002_241 pep chromosome:v.1.0:2:1472741:1473190:1 gene:Al_scaffold_0002_241 transcript:Al_scaffold_0002_241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT1G62820) TAIR;Acc:AT1G62820] MMVKDGLSDDQVSSMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTESQLKSIIATENLSSPFDFNRFIDLMAKHLKTEPFDRQLRDAFKVLDKEGTGFVAVADLRHILTSIGEKLLPSEFDEWIKEVDVGSDGKIRYEDFIARMVAK >Al_scaffold_0002_2411 pep chromosome:v.1.0:2:17481108:17481795:-1 gene:Al_scaffold_0002_2411 transcript:Al_scaffold_0002_2411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like (DEFL) family protein [Source:Projected from Arabidopsis thaliana (AT1G77093) TAIR;Acc:AT1G77093] MASIKHFFLLFICFSVLVTSGLADSPLRDCSPVFNDKDCDKECKEFGHPGGYCGPDGAQPLLSMCYCKDR >Al_scaffold_0002_2432 pep chromosome:v.1.0:2:17557567:17562884:-1 gene:Al_scaffold_0002_2432 transcript:Al_scaffold_0002_2432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUH4] MDSSLKNPNYGQWLPPSAPFPPLPPPPPHISHQFHFESLNNGYDRHHHKYHNYTHRQDFPPQYTVNQPPSYYSQPQPQPQPQPPALHVSYKPPGNFSHSSRNFSCTYSRDSAHSDWVGYMGRNYQLDYYGNRTRDCFNTRDLDGYSRSRDGFCSLGYAINDFGENYRDSWRFKSDSDRYSTSLGSGTSFGYGYDRYKDTLHRGSITHGTVNQRWVKGRENEKRFNSFRGKDHSDYASVKKQRVGQKETSCTAGKVKFSKVTSEGGVPFSGKSVSSLQPQPCEDEIPKKEMDDPSSRDLQIEEAETKNFSQSQACEIGVDLSVETVSRKESMEITSLNSTVDAGKGSPNVQPVEGTSVLLRSICKNKEGWSDVSIFDRGLSSAVYLEDVCFAPDHDLGLSSHDPAEIPSVDQSSKIDCGGLKACLFESDVSLSKDANVGGSEFHFNRGLREKATTTCDYSPELEVENSFTIGYMSSSGTEMVTDSECALLETQIIFGRISHCEIIEEERVRAENMDVDIQEEKVKITGEALECRTLGTDFVTIIKDSMFRWSSSSSLPGLLTGKIQNETHAVAKVDKTNNSEERNKEEIFLDASQEQTITFHETVQSGSSLRHYLCSGQTSPASDAESCELPMDKYGDGGLVEKPDGEPVEKLTDIPYDIGPQEVSLNIVNTDVYVGEALSFDGKVSGNESPSNSDVLLSRLHSYTDEKCNVSHVNDYVVALPPPDSQSETTLSSISEKTQKRANKFIHVAQKSYPLHDIKEDASPPISVTNHHTWHRKSTTSASPLVAVKPKVTVQCSSTYVRKGNSLLRKPSPGSLGDTQPLLSHSMPPSDSTSSGKCALSPGMDPSANGLPGSSNLPKLESSNPSKILYVKRKANQFVTASDMHGASNSRIPPCVSYFRRSKSQLVRDSESLANQEKFLSDEASNSQTAAKMVSKRSSSLALSEFAIVRPFNHFNFSLVWTLKEPISRKANRVHISLQKMVPQPVSWKRSTYWRRLMNPASVLLNGSFSIASLMTEHSISSRKLRMMRKRHTIYTRSTNGCSLTKSKVLSIGGSHFKWSKSIERGSKKPEFLSDIESSRSCPSESMKDTKISSNPKRLVIKNDKSVWIRNCDQLARDPKKRTCVLTNEKVRWSLINVRLRVAKKMKYCQFFTRFGKCNKDDGKCPYVHNPSKIAVCTKFLSGLCANPECKLTHKVQQLNHCPRGLCNNEPCPYRHVHVHQNAAICEGFLRGYCSDENECRKKHTYTCPVFEATGSCPQGSECKLHHPKNLSKGKKSKRASESWHKNVSGRYFGSPHKHLPESEPMFVNEVAADGVVFGREALDFIRLDINEHNASESMDSSTEESVSDDSNAHDSIDELIRPVGLMQR >Al_scaffold_0002_2462 pep chromosome:v.1.0:2:17691942:17696472:-1 gene:Al_scaffold_0002_2462 transcript:Al_scaffold_0002_2462 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubulin-tyrosine ligases;tubulin-tyrosine ligases [Source:Projected from Arabidopsis thaliana (AT1G77550) TAIR;Acc:AT1G77550] MSKIESFDDFVKVHGILLAASGLPSKLYSRLFQKLASDTFDGGDYFQIESSEDGRRRRLLLTSQSMPKDSDVFLVDHAWTFRLPDAYKQLKEIPGLAERMASLMSVDIDVETEEEEEEVSVDQIIDNEIRYAADKGYDSLRWLELEGLGIVDDSLLSLHLPSQFQALALSLIGNKIESVDVVIQEVMKFKNLKALWLNDNPVLQKSERQMADEILQGCPSLEIYNSCFTPNYGLWALGFCGNIFGKDNPACVQQDQPLSNVTSLDLSNRSIHSLVNKAFSVHEMPLLSHLNIRGNPLDQNSVGEILEVLKLFPSLSSLEVDIPGPLGNNALEILASLSNLSLLNGVDTAKIFENGKHVIDSMLQPRIPELNPEGTLVDRVLDAMWLYALNYRLADEEKLDETSLWYVMDELGSALRHSDEPNFKVAPFLFMPSGKLESAVSYSVMWPIKNSQKGDECTRDFLSGIGEDKHRSARLTAWFQTPENYFIHEFEKYQQKLQAKAFKSLPTNPSVSRSIRHSDGSPLLVYTDLPQVEEFLTRPEFVITNEPKDADILWTSVQVDEELKKEVGITDDQYLNQFPFEACLVMKHHLAETIQMGYGSPKWLQPTYNLETQLSQFIGDYYVRKRDQLNNLWILKPWNMARTIDTSITDNLSAIIRMMETGPKICQKYIEHPALFKGKKFDLRYVVLVRSIDPLEIYLTEIFWVRLSNNPYSLEKHSFFEYETHFTVMNYGGRKLNHKPTAEFVREFEQEHNVKWMDIHEKVKQVIRAVFEAAALAHPEMQSPKSRAMYGVDVMLDSSFEPKILEVTYCPDCMRACKYDMETVDGKGIVKGRDFFNYVFGCLFLDETAHVTPL >Al_scaffold_0002_2475 pep chromosome:v.1.0:2:17760387:17761824:1 gene:Al_scaffold_0002_2475 transcript:Al_scaffold_0002_2475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77700) TAIR;Acc:AT1G77700] MEDANSFRFVTKDLVTLSHDVIVWIPIRTRVNYLLYSTGTKWSESARVFTIINSCDQKIWPAITPGENFNGGGFELKPGQSIVFNAPVGWSGRIWGRTGCKFDSTGTGTCETGSCGSTLKCSASGKPPASLAEFTLAKLDFYDVSLVDGFNLPMSVTPMNGIGNCSVAGCVADLRPHCPQELAVKSNGKVISCRSACDVFDRDEYCCRGVYGNPVVCQPTYYSKIFKQACPTAYSYAYDDPTSIMTCTASDYVISFCSS >Al_scaffold_0002_2484 pep chromosome:v.1.0:2:17813220:17814592:-1 gene:Al_scaffold_0002_2484 transcript:Al_scaffold_0002_2484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77780) TAIR;Acc:AT1G77780] MSNSTVFLVITLLFILARLTAARNMNPFVGVCDGRNGDNLPSPAQIVSLYKKINVAGIRLYEPVPDLIVSLQGTGLLVAIGPKNEEIKTLAEHYEFALNWVKTFIAPYKNVAFNWITVGNEVIEGEIGRYVPQAMKNIKAALTEIGNSKIHVTTVISTAALANSYPPSAGVFKPAITELITEIVSILSSTDSPLMVNVYPYFAYASDPTQVSLEYATFRSTSPVVTDGKYQYTNIFDATLDAFNAALEKINHGSVKVYVAETGWPTRGNVPYTSVENARAYNQGLLKKLTTGHKGTPRRPNVPVMTFFFEMFNEDLKEGEVEKSFGFFNPDMAPVYDMWNINVSSYDKEGYSWVIWTMLIITNNVELFRLSPKSGPRNNNKYNGLKAH >Al_scaffold_0002_2493 pep chromosome:v.1.0:2:17861204:17863001:-1 gene:Al_scaffold_0002_2493 transcript:Al_scaffold_0002_2493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-related intramembrane serine protease family protein [Source:Projected from Arabidopsis thaliana (AT1G77860) TAIR;Acc:AT1G77860] MEVPTEPKTTQIDEISHNLSFSTSNAGDSSWDKISFFRHRSRQIKRDTWLVSVFVLLQIVLFAVTMGVNDCSRNSHGHCAAKLLGRFSFQPLSENPMLGPSASTLEHMGGLSWNTLTENHEIWRILTSPWLHSGLFHLFINLGSLIFVGIYMEQQFGPLRIAVIYLLSGIMGSLFAVLFVRNISSISSGAAFFGLIGAMLSALAKNWNLYTGKISALVIIFTIFTVNILIGFLPFIDNFANIGGFISGFLLGFVLLFKPQLRQMPPSHKGKLFEDDTNRSTRLKDQFDRPVLRIICLVVFCGMLAGVLLAVCWGVNLNRHCHWCRYVDCVPTNRWSCSDMTTSCEVYSFKP >Al_scaffold_0002_2497 pep chromosome:v.1.0:2:17879596:17881271:1 gene:Al_scaffold_0002_2497 transcript:Al_scaffold_0002_2497 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT1G77920) TAIR;Acc:AT1G77920] MMSSSSPTQLASFRDMGIYEPFQQIVGWGNVFKSDINDHSPNTATSSIIQVDPRIDDHNNLKMNYDSSHNQNEAEQPSSNDNQDDDGRIHDKMKRRLAQNREAARKSRLRKKAYVQQLEESRLKLSQLEQELEKAKQQGLCVRNSSDSSYLGPSGSINTGIASFEMEYSHWLQEQSRRVSELRTALQSHISDIELKMLVESCLNHYANLFLMKSDAAKADVFYLISGMWRTSTERFFQWIGGFRPSELLNVVMPYLQPLTDQQVLEVRNLQQSSQQAEDALSQGIDKLQQSLAESIVIDAVIESHMAAAIENLQAVEGFVNQADHLRQQTLQQMAKILTTRQSARGLLALGEYLHRLRALSSLWAARPREPT >Al_scaffold_0002_2509 pep chromosome:v.1.0:2:17943762:17943956:1 gene:Al_scaffold_0002_2509 transcript:Al_scaffold_0002_2509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KV67] CHCSQISVGREKSRVILNHYSGIASQIKHANNMGFEKEVSDVFCSALFHKYMVDEDEDDIQSHL >Al_scaffold_0002_2514 pep chromosome:v.1.0:2:17986256:17987180:1 gene:Al_scaffold_0002_2514 transcript:Al_scaffold_0002_2514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KV73] MTVTRPPCAVEDLRPKRDCVLANGRDLGLLCFLLTVKKREKRLASELKPRNMSLKKKQPNMQTPIDRRSRRRTNLKAQPATIRQNRERDQSEKRAQQQTPSCHFHHGNELERNQAQRTFEKRLGISQRGAPTLTRGQSEPIKATYTPEPVTTSQEKN >Al_scaffold_0002_2516 pep chromosome:v.1.0:2:18010125:18011536:1 gene:Al_scaffold_0002_2516 transcript:Al_scaffold_0002_2516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KV75] MSRFWIYITSKPLLRSFPSAFSDMVKSLKLRKLTTAADYRYLGKSKMLCHIECHMLNLSMDLINDRNIKEARMKIDVDLWEAAHDPILIERMENYKPPHQLSDILEID >Al_scaffold_0002_2521 pep chromosome:v.1.0:2:18035176:18037068:-1 gene:Al_scaffold_0002_2521 transcript:Al_scaffold_0002_2521 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78140) TAIR;Acc:AT1G78140] MTVVSGRFSTAFLPTCFSLSRLHSVSYAAQRCVVFVSRSAHASSASVSVETNSNVRVGSVIEKEKTRGEKKVLACPICYNSLAWISQPNGLIESATSGTQLQCNTCKRSYSGNETHLDLAVASGSKTYSEPMPLSTELFRTPLVSFLYERGWRQNFIWGGFPGPEKEFEMAKDYLKPVLGGNIIDASCGSGMFSRLFARSELFSLVIALDYSENMLRQCYELLNQEENFPNREKLVLVRADIARLPFLSGSVDAVHAGAALHCWPSPSSAVAEISRVLRPGGVFVATTFIYDGPFSFIPFLKNLRQELMRYSGSHMFLNERELEDLCKACGLVGFTRVRNGPFIMLSATKPS >Al_scaffold_0002_2525 pep chromosome:v.1.0:2:18054170:18054355:-1 gene:Al_scaffold_0002_2525 transcript:Al_scaffold_0002_2525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KV85] MRSPEVKAKHDEELRKAQIQAALSLKEYSGLRNEHYVQLKAFKEDDCKKNKISRAQIVSLC >Al_scaffold_0002_253 pep chromosome:v.1.0:2:1540952:1542729:-1 gene:Al_scaffold_0002_253 transcript:Al_scaffold_0002_253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU79] MATKKVMVKEEEKDQEDQAASWRHDEEELSSRSIHEMEEVVQKRKEEKAMMDKEAANNCCCRNDLHDLDIRDRHGHDHDCHGCHDCHHN >Al_scaffold_0002_2538 pep chromosome:v.1.0:2:18122943:18124428:-1 gene:Al_scaffold_0002_2538 transcript:Al_scaffold_0002_2538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KV96] MERYEIVKDIGSGNFGVAKLVREKFSKELFAIKFIERGQKIDEHVQREIMNHRSLIHPNIIRFKEVLLTATHLALVMEYAAGGELFGRICSAGRFSEDEARFFFQQLISGVNYCHSLQICHRDLKLENTLLDGSEAPRVKICDFGYSKSGVLHSQPKTTVGTPAYIAPEVLSKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPSDPKDFRKTIGRILKVQYSIPDYVRVSDECRHLLSRIFIANTEKRIRIEEIKNHSWFLKNLPVEMSEGSLMMNGPSTQTVEEIVWIIEEARKPITVATGLSGAGVSGGSSNGAIGSTSMDLDDLDTDFDDIDTADLLSPM >Al_scaffold_0002_254 pep chromosome:v.1.0:2:1551405:1551962:1 gene:Al_scaffold_0002_254 transcript:Al_scaffold_0002_254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KU80] MRYKRRFVTVYRDFPEGCGVPSKHLSNADELLMQSKFKDGIVLQSHGDFLDITKESSNHSETETSITEESILESNGSVQDHQEEEGIGERDEEEMSSVKKRCLSSHNSTIEVETDVVDVSKRVIVSGLPAKSKCPWRQPKNLGSRQVNDLN >Al_scaffold_0002_2549 pep chromosome:v.1.0:2:18170648:18172051:-1 gene:Al_scaffold_0002_2549 transcript:Al_scaffold_0002_2549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78400) TAIR;Acc:AT1G78400] MVSIISGFKLILFIAAVASIISAATSATLAGRKVFDVRSYGARGDGKTDNAIAFTKAWKEACQWKGYPRVYVPFGTFYLGAVTFTGPCKSRISFIIKGTLLAPKDPNAIKQDTWIIFRYVDYLTVSGGGILDGQGSYSWPLNNCHKTLYCRALPMNMGFQFVRFSRLSRIKSINSKMGHFNFFAVQYFDITRVRIMAPGDSPNTDGIKIGSSNHMKIHHVDIGTGDDCIAILSGTTNLDIYNVKCGPGHGISVGSLGKFKGEKSVQGLTVRDSIFNGTSNGVRIKTWASPGSPNLVSNFLYKNLQMINVENPINIDQRYCPNPPCSLKSFSQIQIKDVKFNNIWGTSTNKVAVKLQCSKNVPCKNVELFNVNLVHRGRDGPAISLCENVAGWTRGKISPPSCIR >Al_scaffold_0002_2556 pep chromosome:v.1.0:2:18205909:18207111:1 gene:Al_scaffold_0002_2556 transcript:Al_scaffold_0002_2556 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: F-box family protein (TAIR:AT1G67390.1); Has 40 Blast hits to 40 proteins in 4 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 40; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G78470) TAIR;Acc:AT1G78470] MKHTKNLILANFNGHLVPTIEAYVLKLSPEIFYHPKLRTLSLYQYILQDAHAFNNCRNLKHLELLQIFAEIGVFNEVISSCPSLEVLILQIIFFNPSGHLKIDHKNLRILSISCNQIDSIEVRATRLDILSIENILCESDKVVLEIPRLPFGRNYWVAGRLFPHTSINISCPPKKNESSGMARPLNNVTFLASLSVSLDLKNPREVEVLRKMLAVWTEKMIELEISFKHNNSLGEEGKSSKGGANNKLWEKAEPFPNADFRVDTIWMHNFSGSSKEQFALASRFVMQKTVMKKMMIKTSSDEKKKKRIEAAVAKLKELPKGNKELSIECF >Al_scaffold_0002_256 pep chromosome:v.1.0:2:1579655:1583520:-1 gene:Al_scaffold_0002_256 transcript:Al_scaffold_0002_256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 32 protein [Source:Projected from Arabidopsis thaliana (AT1G62660) TAIR;Acc:AT1G62660] MASNEALLPVTSRQDLLSESRSDQIPETRRRRPIKVHLAVYSGLLLIALYVALIVTHDGSTSQHGAKDETSTESRARLAGVSEKSNDRVSRLSDDRKVEAFPWNNTMLSWQRTAFHFQPEKNWMNDPNGPLFYKGWYHFFYQYNPNAAVWGDIVWGHAVSKDLIHWLYLPIAMVPDQWYDANGVWTGSATFLDDGSIVMLYTGSTDKFVQVQNLAYPDDPSDPLLLKWAKFSGNPVLVPPPGIGAKDFRDPTTAWKTSTGKWRITIGSKINRTGISLIYDTTDFKTYEKHDTLLHQVPNTGMWECVDFYPVSKTQVNGLDTSVNGPDVKHVIKASMDDTRIDHYAIGTYYDSNGTWVPDNPSIDVGISTALRYDYGKFYASKTFYDQNKGRRILWGWIGESDSEAADVQKGWSSVQGIPRTVVLDTRTRKNLVQWPVEEIKSLRLSSNKFDMTIGPGTVVPVDVGSATQLDIEAEFEIKTEDLELFFDDDSVEADKKFSCETSGGSTARGALGPFGFSVLADESLSEQTPVYFYVTKGKHSKLKTVFCTDTSRSTMANDVVKPIYGSFVPVLKGEKLTMRILVDHSIVEGFAQGGRTCITSRVYPTKAIYGATKLFLFNNAIDATVTASFKVWQMNSAFIHPYSPDDLSVPSRT >Al_scaffold_0002_2569 pep chromosome:v.1.0:2:18275843:18276424:1 gene:Al_scaffold_0002_2569 transcript:Al_scaffold_0002_2569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KVS9] RGRKKHKIIEPKETKGNGGREEDIIIVESEREREGEILEEEGATKSSCKLYRQHRAFTAMQVIHQKIRSENRDFLFFQ >Al_scaffold_0002_257 pep chromosome:v.1.0:2:1593440:1594801:-1 gene:Al_scaffold_0002_257 transcript:Al_scaffold_0002_257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KUN5] LSPIFYNTLQGVLNFQRGFQPQDTDIILASFPKSGTTWLKALTVALLERLKNRSSNDHHLLLSDNPHGIVPFFEIDMYNESLSPDLVNFSSFPRLFSTHMPLHAMHETLKESPCKIVYIKICSGLCLNRFAAELSIIYGPFWEHLLSYWRESLENPNHVLFMRYEEMKAKPRDQIKRLADFLDCPFTKEEEEKGSVDEILNFCSGAEGMHNYRGQLPLIFWLRHCFCSLRNLSSLEVNKTQKTNNVDHKNYFRKGEVGDWKNYLTPEMESKIDMVIQEKLQGSGLKF >Al_scaffold_0002_2586 pep chromosome:v.1.0:2:18348184:18349980:-1 gene:Al_scaffold_0002_2586 transcript:Al_scaffold_0002_2586 gene_biotype:protein_coding transcript_biotype:protein_coding description:pathogenesis-related family protein [Source:Projected from Arabidopsis thaliana (AT1G78780) TAIR;Acc:AT1G78780] MSFSADALEGGLSSLKLAADKYRSILNDEKRGNIRWRYGGPPIFDTVNKLFEEERTQVQWRYGLPPDFNSVNQLFEEGQTKVWPQGSLEETVQNAIKSWEMEFSHKIRLQDFKTINPEKFKLFVNGREGLSAEETLRLGSYNALLKNSLPEEFQYYKPEEESFESSHDAFRSALPRGFAWEILSVYSGPPVIAFKFRHWGYFEGTFKGHAPTGEMVQFMGLGVLKVDETLRAEEIEIYYDPGELFGELLKGRPISETKTTDRGDNTADKQSCPFTH >Al_scaffold_0002_2605 pep chromosome:v.1.0:2:18408758:18411680:-1 gene:Al_scaffold_0002_2605 transcript:Al_scaffold_0002_2605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase protein with adenine nucleotide alpha hydrolases-like domain [Source:Projected from Arabidopsis thaliana (AT1G78940) TAIR;Acc:AT1G78940] MWLPKANGAKKETGSGSVAVAIDKDKGSQHALKWTIDNLASRGQTISLIHVLSRSHSSSDLEEGTPQQRQQSEKIAKDLFVSFHCYCSRKEINCRDILLEDADKVRAITEYVSSSAIENLVVGSASRNGFMRRFKTDLPTTVSKSAPDFCNVYVISKGKIASVRNASRPAPYQNSMQHCEIDNHHPHTPDKAPKHHDHSNSAGSTPSRPRKSVEVDATRSPLVKRKPYGDLYDSDSDLSFISPSSHRDSDISFISSGRPSVERSSFSLDFPESARTSRMSTSSEQSIGSHRLGIKFSDPGFPNESSTFSEESGRTSSYSSQSLDDVEAEMKRLRLELKQTMDMYSTACKEALSARQQATELQKLRTEEERRLEEAKSSEEAAMSIVEKERAKAKAALEAAEAAKRLAEVEAKRRLTAEMKTLKESDSFSRRFVRYRKYTVEEIEEATSNFAESQKVGEGGYGPVFRGFLDHTSVAVKVLRPDAAQGRSQFQKEVEVLSCIRHPNMVLLLGACPEFGILVYEYMAKGSLEDRLFMRGNTPPITWQLRFRIAAEIATGLLFLHQTKPEPIVHRDLKPGNVLLDYNYVSKISDVGLARLVPAVAENVTQYRVTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGIMLLQILTAKQPMGLAYYVEQAIEEGTLKDMLDPAVPDWPMEEALSLAKLSLQCAELRRKDRPDLGKEILPELNRLREIGEESLESVFYAGSQGKSPHTSQVSISSSVSVSSL >Al_scaffold_0002_261 pep chromosome:v.1.0:2:1608015:1608939:1 gene:Al_scaffold_0002_261 transcript:Al_scaffold_0002_261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUN9] MSNHQTSLICAILIKQVLRQLEPWCELNGKVVLVTGASSGIGREICIDLGKAGCKIIAAARRVDRLDSLCFEINSFGLTGIQAAALELDVSSDADTIRKVVKEAWEIFGKIDVLINNAGIRGNVKSSLDLSEEEWDKVFRTNLTGSWLVSKFVGVLMRDAKRGGSVINISSISGLHRGLRPGCLAYACSKGGVDTMTRMMAIELGVYKIRVNSIAPGLFRSDITQGLMQKEWLKKVTERTVPLKMQQTVDPGLTSLVRYLIHDSSQYVTGNTYIVDSGATLPGVPIFSSL >Al_scaffold_0002_2620 pep chromosome:v.1.0:2:18485160:18488554:-1 gene:Al_scaffold_0002_2620 transcript:Al_scaffold_0002_2620 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 25 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Topoisomerase II-associated /.../in PAT1 (InterPro:IPR019167); BEST Arabidopsis thaliana protein match is: Topoisomerase II-associated protein PAT1 (TAIR:AT3G22270.1); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G79090) TAIR;Acc:AT1G79090] MDAFGIGSPVTEDPKKFGDNPSGNTMFDASQYAFFGNDVVEEVELGGLEEEDEILSFTGIAEDFLFDKEEVEDSRLLSDVDDLASTFSKLNREPDVYRSTGPITDRRSIQNSLAAEWTHGEELPNWYGRPILDSDAIKDDKAWSAQPFSSLDLVEQRNPDRTKLYPEPQRQLHQDHNQQQFSSEPILVPKSSFVSYPPPGSISPDQRLGHPNIPYQSGGPQMGSPNFSPFPTLQPQLPTMHHGSPQHAGNRPQFCPALPLNNRPPSQWMNRQNMHPGDSSGIMNNAMLQQPPHQNGLMPPQMQGSQNRLPHPMQPPLGHMPGMQPQLFNSHLSRSSSSGNYDGMLGFGDLREARPGSGHGNRQNMRFQQQGFDTGIQRRYPFRSKYMSAGEIENILRMQLVATHSNDPYVDDYYHQACLAKKSAGAKLKHHFCPNHLRDLQQRARTNNEPHAFLQVEALGRVPFSSIRRPRPLLEVGPPNSAKSGNAEHTDKPLDQEPMLAARVYIEDGLCLLLEVDDIDRFLEFNQLQDGGNQLKQRRQSLLQNLAASLQLGDPLAKNGQSRSHDDFLFLRIISLPKGRKLLIRYLQLIFPGSDLMRIVCMAIFRHLRSLFGVLSSDPDIMKTTNKLAKVINVCIQNMELGPVSTCLAAVSCSSEQAPLRPLGSPVGDGASMVLKSTLDRASELIRANNFNNTGMALWRASFNEFFNMLMRYCISKYDSIMQSLNSQLPPQFATEISDAAAQAIVREMPIELLRSSFPHIDEQQKRILMEFLKRSMLGSQKTEPVLS >Al_scaffold_0002_2633 pep chromosome:v.1.0:2:18542282:18550072:-1 gene:Al_scaffold_0002_2633 transcript:Al_scaffold_0002_2633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVZ5] MTRAVDDLKLSSGSLILLISHIKVNSDSKAGGEYSGKDFSLDSFDKSFYPDDPEARASLKLRNLKDCVADKIESYGLKLANSLLSHINDPTTTRDGFIAITEGLCKCIFYLNNLLKSISASGEEVEQHPTEDYQKLSNDQLKSYAYTLLRFIGDKPLKSLQRKCYSSVPSTTKDAISFLRPVKDVVVYCDDVIDQVMYILIESSGSCSASGSSSGFGSGSGGSGSGGSGLGSTRGSAKLKVMLLIFLVMLAFPFKAQGHALRESYEALDELEKKIFLYIALCFNRVSMDRVRKGDEAIEVESIFLDISKGNELNKTPEIFSRRPNLKLLKFYSHSNIKQSRTRMIDGLDYLPTLRYLRWDAYNLKSLPSQFCMTSLVELNLSHSSIETAWNGTQDLANLRSLNLTSCKHLTEFPDLSKATNLETLKLYNCNNLVEIPESSLTQLNKLVHLKLSDCKKLRNLPNNINLKSLRFLHLDGCSCLEEFPFISETIEKLLLNETTIQYVPPSIERLSRLKELRLSGCKRLMNLPHNIKNLTSLIDLGLANCPNVTSFPEVGTNIQWLNLNRTAIEAVPSTVGEKSKLRYLNMSGCDKLVNLPPTLRKLAQLKYLYLRGCTNVTASPELAGTKTMKALDLHGTSITDQLVDSKSEEPPRCEVPVIRRWQVSIARLEGINKVLWGQNGKLSEKDRYQQCREHKEQSRWGQNKTKLDIKSKEDEGEIQVDKLQDKVSDAAGLGGPVFGAGHDCRVEFKVAR >Al_scaffold_0002_2642 pep chromosome:v.1.0:2:18603199:18604777:1 gene:Al_scaffold_0002_2642 transcript:Al_scaffold_0002_2642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KW00] MVKKAVLIGINYPGTEGELLGCINDVKQTHKSLVELFGFSEENITELIDTDKSKTQPTGKNIRQALLDLVESANSGDVLFVHYSGHGARLPPETGEDDDTQFDECIVPSDHNYITVVVSSTQPKKESKCPFGLFSSKGVVKEKTSTKTAQEDGENRINARNRFLPLETSINMLKHATGKDDIQVGNIRKTLFDVFGDDASPKVKKFMKVILSNLHESIGEGLMLGQIGKLATNFLTGKLNDEEFLRPRWREKSGTRKRSMQGQERGLLSGCQTDQFLADVGSKEKAFGAFTNSLHRQRSRKFLEKQGFPQRPGLYCSDGYVNAPFIC >Al_scaffold_0002_2643 pep chromosome:v.1.0:2:18605522:18606992:1 gene:Al_scaffold_0002_2643 transcript:Al_scaffold_0002_2643 gene_biotype:protein_coding transcript_biotype:protein_coding description:metacaspase 7 [Source:Projected from Arabidopsis thaliana (AT1G79310) TAIR;Acc:AT1G79310] MAKRALLIGINYPGSTDELQGCVNDVRRMHKCLVDRFGFAEKDITVLIDTDKSYTQPTGKNIRQALSELIKPAKPGDVLFVHYSGHGTRVPPETEEEDDAGFDECIVPSDLNPIPDDDFRDLVEQVPEGCQITIVSDSCHSGGLIDEAKEQIGESTNRETKVSSFEFEIGNCLHSVFVKLLAFCGIGSSHVETREILEVGERDEVVKARFLPLERFITLLKQQTGQDNIEIGKIRPTLFDVFGEDSSPKIKNFMEVILTKLMKRNDQSTLLGKTEESARGYIEEKINDEHYMKPAMQAQVKSDREIDGGGSSNGLFPDRGILLSGCQTDETSADVKKSGEAFGAFSNAIQMVLSETDHKDKITNKEMVLRAREILKKQRLIQRPGLYCNDRFVNAPFIC >Al_scaffold_0002_2644 pep chromosome:v.1.0:2:18613195:18614543:1 gene:Al_scaffold_0002_2644 transcript:Al_scaffold_0002_2644 gene_biotype:protein_coding transcript_biotype:protein_coding description:metacaspase 6 [Source:Projected from Arabidopsis thaliana (AT1G79320) TAIR;Acc:AT1G79320] MAKKALLIGINYVGTKAELRGCVNDVRRMRITLVERYGFSETNIKMLIDTDSTSIKPTGKNIRQALLDLVEPAKPGDVLFVHYSGHGTRLPAETGEDDDTGYDECIVPSDMNLITDDDFRDLVEMVPKDCPITIISDSCHSGGLIDEAKEQIGESTKKKKDYGDSSAINKETEAEIIEVGKRSLPLDTLIDMLKQETGNDDIEVGKIRTTLFDMFGEDSSPKVKKFMNVILSNLQETTTAIESLAQEFLEQKLNDDVKPTIQEVYAGAINGALPDNGILISGCQTDQTSADASPPGHPELAYGALTNAIQIIIGETKGKISNKDLVLKARKLLKKQGFDQRPGLYCNDTYVNAQFIC >Al_scaffold_0002_2652 pep chromosome:v.1.0:2:18645451:18648367:1 gene:Al_scaffold_0002_2652 transcript:Al_scaffold_0002_2652 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation/H+ exchanger 2 [Source:Projected from Arabidopsis thaliana (AT1G79400) TAIR;Acc:AT1G79400] MDPKLLFCLPQGDELFNPLNTMFIQMACILVFSQLFYLLLKPCGQAGPVAQILAGIVLSPVLLSRISKVKEFFLQKNAADYYSFFSFALRTSFMFLIGLEVDLHFMRRNFKKAAVITLSSFLVCALLSFASLMLFIPLFGIKEDYFTFFLVLLVTLTNTASPVVVRSIADWKLNTSEIGRLTISCALFIELSNVVLYTVIIAFISGSMIGTLFLFLFATGSLILINSFLAPWLPKRNPKEKYLSKAETLVFFIFLLIIGITIESYDVNSSVSVFTIGIMFPRQGKTHRTLIQRLSYPIHEFVLPVYFGYIGFRFSIIALTKRFYLGLVIIVIVTIVGKFIGVISACMYLKIPKKYWLFLPTILSVKGHVGLLLLDSNYSEKKWWTTTIHDMMVAALVITTLVSGVLASFLLKAREKDFAYEKTSLESHNTNEELRVLSSAYGVRHARGAISLVSALSGSRGASDPFTPLLMHLVPLPKKRKSELMYHEHDEDGGNANGDDEFGTNEGLEINDSIDSFAKDSKILIQQVKLVTQMLNMHEEICNATEDLRVSIVFLPFHKHQRIDGKTTNDGELFRQMNRNVLRHAPCSIGIFVDRNITGFQQPHGFDSVQHVAALFFGGPDDREALALCKWLANNTLIHLTVIQFVSEESKAETPVGNAMTRDNNEVFMEVLGRNQTEQETDRSFLEEFYNRFVTTGQVGFIEKLVSNGPHTLTILREIGEMYSLFVVGKSRGDCPMTVRMKDWEECPELGTVGDFLASSLDVNASVLVVQRQRHSHDSFIDD >Al_scaffold_0002_2654 pep chromosome:v.1.0:2:18657115:18659678:1 gene:Al_scaffold_0002_2654 transcript:Al_scaffold_0002_2654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF620) [Source:Projected from Arabidopsis thaliana (AT1G79420) TAIR;Acc:AT1G79420] MSNSKSYWRKQRWGTPPQALTPLMEGPDPDMQDERTKKESSWDAIREWFKVHKGISGNMSSPSVQPLCNSYDVPAKGQDLRLLLGVLGCPLAPISVVVYDYFPMTLFLETSTAHYIIQQYLAATGCLKRAKAAKNMYATGIMKMSCCETEIAAGKSVKTLGGGGNGRSGDSGCFVLWQMQPGMWSLELVLGGTKLISGSDGKTVWRHTPWLGTHAAKGPQRPLRRLIQGLDPKTTASLFAKAQCLGERRIGDDDCFVLKVSADRDSLLGRNDAGAPAEVIRHALYGYFCQKSGLLVYLEDSHLTRVMTISPEDEAVYWETTIGTSIGDYRDVDGVAVAHCGRAVATVFRFGETSLQYSRTRMEEIWRIDDVVFDVPGLSLDSFIPPADIFEDANPNNNNIYSNVNSR >Al_scaffold_0002_2661 pep chromosome:v.1.0:2:18691190:18693627:1 gene:Al_scaffold_0002_2661 transcript:Al_scaffold_0002_2661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT1G79500) TAIR;Acc:AT1G79500] MAATSPLYNQLKAAEPFFLLAGPNVIESEEHILRMAKHIKDISTKVGLPLIFKSSFDKANRTSSKSFRGPGMAEGLKILEKVKVAYDLPIVTDVHESSQCEAVGKVADIIQIPAFLCRQTDLLVAAAHTGKIINIKKGQFCAPSVMENSAEKIRLAGNPNVMVCERGTMFGYNDLIVDPRNFEWMREANCPVVADITHSLQQPAGKKLDGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDDPLSAPVDGPTQWPLRHLEELLEELIAIARVTKGKQRLQIDLTPYRD >Al_scaffold_0002_2665 pep chromosome:v.1.0:2:18708839:18709640:-1 gene:Al_scaffold_0002_2665 transcript:Al_scaffold_0002_2665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWI8] MTSSSSFCATKIQRLFTNLSLSAFLSLKFALMVSLLFFLLTLFHFGVPGPISSRFLTSRSNRIVKPRKNINRRPLNDSNSGAVVDITTKDLYDRIEFLDADGGPWKQGWRVTYKGDEWEKEKLKIFVVPHSHNDPGWKLTVEEYYQRQSRHILDTIVETLSKFRAETEFK >Al_scaffold_0002_2674 pep chromosome:v.1.0:2:18742987:18743272:1 gene:Al_scaffold_0002_2674 transcript:Al_scaffold_0002_2674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWJ7] MKVLMNDLCLLLKQERFDDRDLVMLLTSNQREEIKIERCLKRKMQIGDDDNERYKMDEGRNEPWRPESIASVRGKR >Al_scaffold_0002_2677 pep chromosome:v.1.0:2:18756412:18756773:1 gene:Al_scaffold_0002_2677 transcript:Al_scaffold_0002_2677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWK1] LLIYDKNNFFFVFIYFFFLIFFLYFFCFIFFVFLIFFVLFSFCFLFFNFFQFIYIFHLYFLFFSIFVFWFYNFFLYFLIFYLI >Al_scaffold_0002_2678 pep chromosome:v.1.0:2:18757482:18759267:1 gene:Al_scaffold_0002_2678 transcript:Al_scaffold_0002_2678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWK2] MKSKFLTDLPQKLRSKIDPENPLHLDVAKTVGLKRGKYQSEKEYYEKQLATLQSFEDVESFLARPDEYTIDKKKKIELRELHKSLPCKSPIGLTSFYLLSRCIYATIKSGSIAIRASTLDSLLDLMAGGILWFTHVAMKNFNIYKYPIGKLRVQPVGIIIFAAVMATLGFQLLLVAAEQLFTNVPSEKMNHDQLCWLYSIMLSATAIKLVLWIYCKSSRNHIVRVYAKDHQFDVVTNILGLVAAVLGNALYWWIDPSGAILLAIYTIINWSGTVIENAVSLIGQSAPPEVLQKLTYLVLRQGVDNNKHVDTIRAYSLGVLYFVEVDIELPEDMSLKEAHEIGEAMQIKLEDLPEVERAFVHIDFECRHKPEHSVRLTIPNDL >Al_scaffold_0002_2681 pep chromosome:v.1.0:2:18763763:18767749:-1 gene:Al_scaffold_0002_2681 transcript:Al_scaffold_0002_2681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:D7KWK4] MSSELQISPAIHDPQGQEKQQQAAGVGILLQIMMLVLSFVLGHVLRRHKFYYLPEASASLLIGLIVGGLANISNTETSIRTWFNFHDEFFFLFLLPPIIFQSGFSLQPKPFFSNFGAIVTFSVLGTFVASMVTGVLVYLGGVMFLMYRLPFVECLMFGSLISATDPVTVLSIFQELGSDVNLYALVFGESVLNDAMAISLYRTMSLVRSHSSGQNFFMVIVRFLETFVGSMSAGVGVGFTSALHLFKYAGLDVDNLQNLECCLFVLFPYFSYMLAEGLSLSGIVSILFTGIVMKHYTYSNLSANSQRFVSAFFHLISSLAETFVFIYMGFDIAMEKHSWSHLGFILFSILFIVIARAANVFGCGYLVNLARPAHRKIPMTHQKALWYSGLRGAMAFALALQSVHDLPEGHGQTIFTATTAIVVLTVLLIGGSTGTMLEALEVVGDSHDTSLGDGFEVVNSRFMNSYDDEDTPPGSGFRTKLRELHKSAASFTELDRNYLTPFFTSNNGDYDDEGNIEQHHEERIPFTRRGSVNNRG >Al_scaffold_0002_2688 pep chromosome:v.1.0:2:18794590:18796828:-1 gene:Al_scaffold_0002_2688 transcript:Al_scaffold_0002_2688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWL2] MSSNNTCSLLIFLFSLLLLLILDSAALISLDTCQNYCGKFDIPYPFGIGKGCYLDKGYEIECKTVSGKDVPFLSVSSKEVVDITLPRQNPDRSMSHASLHIKSPLTSLNKQEFGSLLNSTGQRSSQWLHAKQYATLELEWSFRTTNLSLITSLGCQNKLEYTGLSHKISCTCQNKTDSGIIYASCGCTKGYRGNPYLLGGCIDINECKVYDEEENPKYCGEYPCSVCVLLFIFIRRRKRINRKKNFFKRNGGLLLQQQLTSTEGTVEKTKVFSSRELEKATENFSENRVLGQGGQGTVYKGMLVDGSIVAVKKSKIVDEDKLEEFINEVVILSNINHRNIVKLLGCCLETEVPLLVYEFISNGNLFEHLHDESSDYTMATWEVRLRMAIEIAGALSYLHSAASAPIYHRDIKSTNIMLDEKYRAKVSDFGTSRTVTEDHTHLTTLVSGTAGYLDPEYFQSSQFTDKSDVYSFGVVLVELITGEKPISFTRPQENRTLATYFTISVKENRVVDIIDARIRDDCKLEQVMAVAQVARSMRQVSMELEMIRSSPEDMQPLVYVSENEEEAMELNIRVESRNNVYFTAPASQYNVAASSSSWSDVEPLFPSQTRKTPTSQMTV >Al_scaffold_0002_269 pep chromosome:v.1.0:2:1685169:1685778:-1 gene:Al_scaffold_0002_269 transcript:Al_scaffold_0002_269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUP8] MRPKKRKKKREEKEESFENLCKTIKEILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKTEALRDSSMSGYMLSKKTMEINPDNGIMEELRKRAEVDKNGKSVKDLVMKLFVTALLTSGFSLDEPNILIFDRFTCLNG >Al_scaffold_0002_2698 pep chromosome:v.1.0:2:18832153:18832603:-1 gene:Al_scaffold_0002_2698 transcript:Al_scaffold_0002_2698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWM3] MGNESKSNLGGGFRAKMEHYVYSGEKKHVLAGIGIISIIFGIPWYLMNQGSKHRSHQDYLEKADQARKARLSSSSSSDK >Al_scaffold_0002_2699 pep chromosome:v.1.0:2:18832786:18834495:1 gene:Al_scaffold_0002_2699 transcript:Al_scaffold_0002_2699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80880) TAIR;Acc:AT1G80880] MAARRLTRTAQWSIVQQQYLLRLVSTSLFSHSSFQNHSFPSAFHRAGLVHSQIVSYVPRFVSSNHFSTQIISAMFDVNQALAPLVQGLIDLIRHVSELESEADAMASLEESSFDLNHDSFYSLIWELREEWRLAFLAFKWGEKRGCDDQKACDLMIWVLGNHQKFNIAWCLIRDMFHVSKDTRKAMFLMMDRYAAANDTIQAIRTFDIMDKFKHTPDDEAFQGLLYALCRHGHIEKAQEFMLASKKLFPVDVEGFNIILNGWCNIWTDVTEAKRIWREMGNYCITPNKDSYSHMISCFSKVGNLFDSLRLYDEMKKRGLAPGIVVYNSLLYVLTRENCFHEAMKLLEKMDEEGLKPGSVTYNSMIRPLCEAGKLDVARNVLATMISENLSPTVDTFHAFLEAVNFDTNLEVLGQMKVSGLGPTEDTFLLILGKLFKGKQPENALKIWAEMDRFEIVANPALYLATVQGLLSCGWLEKAREIYSEMKSKGFPGNPMLQKLLEEQKVKGIRKSKRIDLQKVGSQGGYKGQRSIYKKESDTGKT >Al_scaffold_0002_2714 pep chromosome:v.1.0:2:18895768:18897495:-1 gene:Al_scaffold_0002_2714 transcript:Al_scaffold_0002_2714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30/L7 family protein [Source:Projected from Arabidopsis thaliana (AT1G80750) TAIR;Acc:AT1G80750] MAEEEAKGLDYIPEIVLKKRKNRDELAFIRKKQLELGNFGKKKKKVSDIKRPEDFVLEFRAKEIDMIRMKQRVKRPKSSPPPVKSDLVFIIRIQGKNDMHPKTKRILNNLQLRSVFTGVFAKATDSLFQKLLKVQPYVTYGYPNDKSVKDLIYKKGYTIIEGNPVPLTDNNIIEQALGEHKIFGIEDLVNEIARVGDHFREVMKFLGPLKLNKPVADVLHGKKQVFSEGGDTGNREDKINDLISKMN >Al_scaffold_0002_2715 pep chromosome:v.1.0:2:18898234:18898824:-1 gene:Al_scaffold_0002_2715 transcript:Al_scaffold_0002_2715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TFIIIC, tau55-related protein [Source:Projected from Arabidopsis thaliana (AT1G80745) TAIR;Acc:AT1G80745] MEDEYVMIDLDDVLRHIDIPSDAPYTLSGLDTLNPVLTIDDKIKLVGEYIETIGTCLAFSDKCENQTPQKKTVAKLHKILKFRLAPLDSEDGVTKTTTL >Al_scaffold_0002_2719 pep chromosome:v.1.0:2:18911424:18913816:1 gene:Al_scaffold_0002_2719 transcript:Al_scaffold_0002_2719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KX26] MAANQVAKSTGKYRATKHGFKISLMNTTVISRIPSISEEIYLDLANFPDILNEAGLNENILIGVRSISNCFEMSLLEINPTYPIVEEFVANLPPEVFPLTIQEDLPKESKLVKKKEYYNRFPRKTISELFEATECSKTAYKIPKVENEIVKKGKKPMFWCPTCKEDTPKVVPRYLLNIAVMDNTCDTKCKVFDKNAQELIGVSAEDLLEGNWEEEGLALTGNSSETTDVTAGNTSTPSSKQSVDDCSEEGEGQCSTTKKPCVASIRDDIEKEKIQGDKEAGAK >Al_scaffold_0002_2733 pep chromosome:v.1.0:2:18960188:18962293:1 gene:Al_scaffold_0002_2733 transcript:Al_scaffold_0002_2733 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPW1-1-interacting 1 [Source:Projected from Arabidopsis thaliana (AT1G80600) TAIR;Acc:AT1G80600] MASLGQITLPRAPSSEIGLLRRRFERPIIRTRIGVSGRIASVLTNAGEQAVSVKASVSQKVIEEEAKVIVGTYARAPVVLSSGKGCKLFDPEGKEYLDCASGIAVNALGHGDPDWLRAVTDQAGVLAHVSNVYYTIPQQIELAKRLVASSFADRVFFCNSGTEANEAAIKFSRKFQRFTHPDDKEVATGFIAFTNSFHGRTLGALALTSKEQYRTPFEPIMPGVTFLEYGNIQAATDLIRSSKIAAVFVEPIQGEGGIYSATKEFLQSLRSACDAAGSLLVFDEVQCGLGRTGLMWAYEAFGVTPDIMTVAKPLAGGLPIGAVLLTEKVAETIKYGDHGSTFAGNPLVCSAAIAVIDKVSKPSFLSSVSNKGQYFRDLLVKKLGGNSHVKEVRGEGLIIGVELDVPANSLVDACRDSGLLILTAGKGNVVRIVPPLVISEEEIERAVEIMFQNLTALD >Al_scaffold_0002_2746 pep chromosome:v.1.0:2:19000039:19001424:-1 gene:Al_scaffold_0002_2746 transcript:Al_scaffold_0002_2746 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80500) TAIR;Acc:AT1G80500] MANTACFIIVGRNDIPIYEAEVGSAAKLTPILSIWQREDAAQLHQFILHAALDVVQDLAWTTSAMFLKSVDRFNDLVVSVYVTAGHTRLMLLHDSRNEDGIKSFFQEVHELYIKILLNPLYLPGSRITSSHFDTKVRALARKYL >Al_scaffold_0002_2749 pep chromosome:v.1.0:2:19023704:19024332:-1 gene:Al_scaffold_0002_2749 transcript:Al_scaffold_0002_2749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KX55] TCVKISTDASIKEMIPPGCLVMLTPLIVGFFFGVETLSGVLAGSLISGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSEPHKAAVIGDTIGDPLKDSSGPSLNILIKLMAVESLVFNLLPSLPHMAVFFSGSSPEE >Al_scaffold_0002_2757 pep chromosome:v.1.0:2:19063327:19066012:-1 gene:Al_scaffold_0002_2757 transcript:Al_scaffold_0002_2757 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80380) TAIR;Acc:AT1G80380] MAVAMSWSSLISSALQHYNNRINLRDYPIPCHSSNPICNSFNFKRRSFSSSSSKFNDHVVNPSSSSSSKLSPIRTHFSFAGCGCSWIQDNSMVHDYATTNGTSKRCSALPTTKTVDVSSVSDLFEFICSGPLVDKIGITPQSVGQSIDKWLLYGSQLCRLFQLNELKLTIPQKARLYHYYIPVFIWCEDQIALHNSKFKDGDDVPPLVIGFSAPQGCGKTTLVFALDYLFKTTKMKSATISIDDFYLTAQGQAELREKNPGNALLEYRGNSGSHDLQLSVETLEALTKLTKEGIKMKVPRYDKSAYSGRGDRADSSTWPEVEGPLTVILFEGWMLGFKPLPTDVVKAVDPQLEIVNKNLEAYYDAWDKYIDAWVVIKIQDPSYVYRWRLQAEIAMRQAGKAGMSDEEVNDFVSRYLPAYKAYLPTLYAEGPSGSDPDRVLAIDIDEERNPILAN >Al_scaffold_0002_2761 pep chromosome:v.1.0:2:19086238:19087770:1 gene:Al_scaffold_0002_2761 transcript:Al_scaffold_0002_2761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KX68] MSSTLSDVFRSHPIHIPLSNLPDFKALPDSYTWTPKDDLLFSASASDETLPLIDLSDPHVTTLVGHACTTWGALQISNHGVPSRLLDDIEFLTGSLFRLNVQRKLKAARSENGVSGYGVARIASFFNKKMWSEGFTVIGSPLQDFRKLWPSHHLKYCEIIEEYGEHMQKLAAKLMWLALGSLGVEEKDIKWAGPNSDFQGTQAATQLNHYPICPEPDRAMGLAAHTDSTLMTILYQNNTAGLQVFRDDVGWVTVPPVPGSLVVNVGDLLHILTNGIFPSVLHRARVNHVRSRFSMAYLWGPPSDIMISPLPRLVDPLQSPLYPSLTWKQYLATKATHFNQSLSIIKNYLSSDQIS >Al_scaffold_0002_2763 pep chromosome:v.1.0:2:19090141:19091309:-1 gene:Al_scaffold_0002_2763 transcript:Al_scaffold_0002_2763 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80320) TAIR;Acc:AT1G80320] MDSHEEQCLPILDFSRDKLVRGTSHWITTRDNVRRAMEEQGWFVAEFNGVSCDLRDKLLAGMKEMYDLPDQIKIKNENHKASHGYMSMVVDDYRIHESLGIDFATELQACKDFCKLLWPQGNDPFCQTTHMYATAMAELDQTVMRMLFESYGMDEKKHSASHSESTRYLLRMLSYRRQQNGEANTGFVSHTDKSFMSILHQNHVGGLQLKTMTGQWVGFNPSPTRFVVLSGMGLTAWSNDRIKACYHKVVMSADEIRYSLGFFSFHKGTIRTPEELVDDQHPLRYSPFEHDGLLRFYESYLNSLKKSSEDLLQIYCGVNP >Al_scaffold_0002_2773 pep chromosome:v.1.0:2:19121231:19121992:-1 gene:Al_scaffold_0002_2773 transcript:Al_scaffold_0002_2773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1644) [Source:Projected from Arabidopsis thaliana (AT1G80220) TAIR;Acc:AT1G80220] MCKERRIMCESSNKIRVSPYPLRSTRTNKLKAIESPIETEWEDVRCVICMEPPHDAVLLTCSSSLNGCRPYMCGTSVRHSNCFKQFSRNNRKKHSNTKTLHCPLCRGEVFETKKAAKTTRRFMNAKPRSCPVDDCEFSATYSHLNKHLKTEHRGIVPTKVDPQRQCRWEMMERHAEYVNLMTAAGIPHMSEVVHHQLPNNHHLPMFHVNFNGTLQNLIGPSNVSNGFSLVNHASLFIPTLQFRPMDMYRGRNP >Al_scaffold_0002_2799 pep chromosome:v.1.0:2:19218519:19219153:-1 gene:Al_scaffold_0002_2799 transcript:Al_scaffold_0002_2799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXN0] MFYGTAVWDPWLIVGQIICLQCSYYLTLGLFTMVFLGLRVPRLSLVYFFDYATLTTSTFTGWCVIASFLFSSLAGAVYMIFLVERARKCLDFSATLYIIHLFFCIMYGGWPSSMAWWVVNGTGLALMALLAEYLCIKREQQEIPMDRFHSRV >Al_scaffold_0002_2817 pep chromosome:v.1.0:2:19285122:19285813:-1 gene:Al_scaffold_0002_2817 transcript:Al_scaffold_0002_2817 gene_biotype:protein_coding transcript_biotype:protein_coding description:early nodulin-like protein 7 [Source:Projected from Arabidopsis thaliana (AT1G79800) TAIR;Acc:AT1G79800] MMMMILMRSPCNNLMIMLCICALVVASMPAEGPRVFKVGDEFGWRVPLQNDSALYSHWASSNRFHIGDSLSFVYDKDSVVEVDKWGFYHCNGSDPITAFDNGNSTFDLDRPGLFYFISGSNQHCTSGQRLIVEVMHIHQHHDDHHDNASMPPSMSPLSASPSASAASSLSTASLFPAFLPLFLTIVHS >Al_scaffold_0002_290 pep chromosome:v.1.0:2:1822003:1825532:1 gene:Al_scaffold_0002_290 transcript:Al_scaffold_0002_290 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor jumonji (jmjC) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G62310) TAIR;Acc:AT1G62310] MDSGVKLEQLSYQYSWTTRKKRSLKPFKSKGFSSSSSSREDGETRAKVFNRKRKHSRDDSDDSAVNKNAKRRRNICKVEEIGDEYYEDDDCILGDWVRRNTAKRIDKRKEEVMVTIESGEYLEGDDCTVGNWFSDVSSKRKDKRQVELEEDEEWEEELRLCCKIKATSSRSRTRNLSSNSPENVTDVSPSRSRSPASDVSDSILKNGISNESKEGGPICHQCLKGERITLLICSECEETMYCLKCIRKWYPHLSEDDVVEKCPFCRQNCNCSKCLHLNGLIETSKRELANCERRRHLQYLVTLMLPFLNKLSKFQKQEIEFEAKVQGLLPSEVKITETINYTDERVYCDHCATSIEDLHRSCPKCSYELCLKCCQEIREGSLSERPEMKSHYVDRGYRYMHGLDTAEPGSSSTSEDEEANPSDAKWNFGDNGSITCAPENLGGCGDCVLELKRILPLTLMSDLEHKAETFLSSYNISPRMLNCRCSSLETEMTRKAASRTKSSDNYLFCPESLGVLKEEGLLHFQEHWAKGEPVIVRNTLDNTPGLSWEPMVMWRALCENVNSTASSQMSQVKAIDCLANCEVEINTRHFFEGYSKGRTYENFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSNPRTGILNIATKLPEGFIKPDLGPKTYIAYGIPDELGRGDSMTKLHCDMSDAVNILTHTAEVTLSQEQISAVKALKQKHKQQNMFDKQSTEFCSEEVEELNMPEILSNENDETGSALWDIFRREDVPKLEEYLRKYCKEFRHTYCCPVTKVYHPIHDQTCYLTLEHKRKLKAEFGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIHECLRLTEEFRQLPKNHKAREDKLEASLLSLYLIYPFDFVGCDHIAYLLMRWGLFSGYLNSIRRDGQLNQTKT >Al_scaffold_0002_302 pep chromosome:v.1.0:2:1891004:1891408:-1 gene:Al_scaffold_0002_302 transcript:Al_scaffold_0002_302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KUT1] VAPFPITTNGTKAPLSGGIGGVSPSPVTKGGATNGTKTPLIGVIVGVSPIPESGATIGTKAPLTGGVLRAVPLREGGANLATNNTGTPSVSVMGVGTTVKSEVLYTTKQFETRFVINAKVSEDGSNGGRKGAKP >Al_scaffold_0002_304 pep chromosome:v.1.0:2:1900435:1901532:-1 gene:Al_scaffold_0002_304 transcript:Al_scaffold_0002_304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kua-ubiquitin conjugating enzyme hybrid localisation domain [Source:Projected from Arabidopsis thaliana (AT1G62190) TAIR;Acc:AT1G62190] MYPLRPITNIPRSYGPSSVRITCSVTTTNPHPNHEKALVLEQRLVNHPLSKDNDPTLQSTWTHRLWVAAGSTTVFASFAKSFIGGFGSHLWLEPALASYAGYVLADLGSGVYHWAIDNYGDKSTPLVGAQLEASQGHHKWPWTITKRQFANNSHTIARAITFTVLPLNLVINNPLFHSFVSIFAFCILLSQQFHAWAHGTTSKLPPLVVALQDMGLLVSRKHHLGHHQAPYNSNYCVVSGAWNKVLDESKFFEALEMALYFQFGVRPRSWNEPNSDWAEETEISNNQA >Al_scaffold_0002_315 pep chromosome:v.1.0:2:1969236:1970517:-1 gene:Al_scaffold_0002_315 transcript:Al_scaffold_0002_315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUU7] MTMMSDLSKGLVEEILSRVPITSLRAVRSTCKQWNCLTKDPSFTKKQCDKATKGLLVIMLNDSKVCLMSVNLHGIQNHKDVVDPSIKRICELNQVKVSNVIYCDGLLLCHTKDEDTTRLVVWDPYMGQTSWIKPRIPYHRSDMFGIGYDKNNNYKILRVFFEFVNNVGYEIYDFKSNSWRVLTISSNIVFYKRGVSLKGNTYFFAQERIKVEEVDKECVLLCFDFTRERFGPALHLPFHCDVRYDDMVLSSVREEHLAVLFQRLDTDKMEIWITTKIEPNAVSWNKFLAVNTKTITGFEFEVEPRSFFVDEEKKMAVICDIHRFKPTKTGLYKTACIIGEDGYLKEVDLGEAVNVEESPYSCPLVCSYYVPRLFFEQE >Al_scaffold_0002_321 pep chromosome:v.1.0:2:2019488:2025451:1 gene:Al_scaffold_0002_321 transcript:Al_scaffold_0002_321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVC7] MGETKRETDKSDEERKVLEAIALKGSELETKVARLQHELIKATTEAKKLLYDNGGIEEVEKEVAGLRTVKEENEKRMKELETKLGALEVKELEEKNERFQAEEVDEEKEKEEKKRKKINGLVPDNGVVVKHIKTGEEIVVSRRVVGVFLLMTMAEFSGQFCGLQDEFFNYGWRLEFRGNNVTALWPGNGKPGLWLNSNSRMGAIYSLIVREEEILIENEEKGQQGEANGGAFIRESIYGVGIYLTVANCSYFSARYCDGDKNGVRYMVLCHVIMGNMELLRGDKAQFFYGGEEYDNGVVDDIESPKNYIVWNINMNTHIFPEFVFRFKLSNLSNTGGNLIAKREVAASSIQGAKRPPPRIIVGQETMLDNAWKHLIEDGAGIMGMYGMGGVGKTTILTQINNKFSNDRCGFDFVIWVVVSKELHIENIQDEIAEKVGLGGEEWNKKDETQKGLHLYNFLRTKRFMLFLDDIWETVELDKIGIPDPTSHKGCRLAFTTRSLNVCTSMGVGKPMEVQCLADDDAFDLFKKKVGELTLESDPQIPDLAKIVAKKCCGLPLALNVIGETMSSKRTIQEWRRAISVLTSYAAEFSGMNDKILPLLKYSYDSLKGDHVKFCLLYCALYPEDAKIPIEDLIDYWICEGIIDRGESVVEAEYMSYEIIGSLVCASLLMKGVDQDGKDFVCMHDVIREMALWIASDLGREKDVFIVRAGVGLREIPRVRDWNIVERMSLMKLRNNKRFHVTGTPECMKLTTLLLQHSNLGSISSEFFKYMPNLAVLDLSNNDSLCELPDLSGLVSLQYLNLSNTSILQLPKGVQKLKKLIYLDLEKTFVIWGSTGISSLHNLKVLKLFGSHFYWNTTSVKELEALEHLEVLTITIDFFSLFNELRLRELESLEHSVSLTYTTPSDYPEQFLTSHRLMSCTQILRISNTINLESSGISLPATMDKLRELYIFRSCNISEIKMGRICSFLSLVKVLIQDCKGLRELTFLMFAPNLKFLYVDDAKDLEDIINKEKACEVEIRIVPFQKLTNLHLEHLPKLENIYWSPLSFPCLKKIDVFECPNLKTIPKVARRVIMDSAYDITNGLKIISIRVKSTSLVVLQFKVQWWVRFLLRQRWCGVLSDDLAVAPVGRWVDLVLSSLVKDAGGFIGVVPWLSRSIGRCSNGGSRRRGGGFR >Al_scaffold_0002_329 pep chromosome:v.1.0:2:2053487:2056065:-1 gene:Al_scaffold_0002_329 transcript:Al_scaffold_0002_329 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium-dependent protein kinase 19 [Source:Projected from Arabidopsis thaliana (AT1G61950) TAIR;Acc:AT1G61950] MGCLCINLKKKLKKPTPDNNGEQNTKVRSREITPKQQPRQRQSAPRANFQIVVQPHKLPLPIIQHQEKQKLINHQKQSSLQQPEPILGKPFEDIKEKYSLGRELGRGQFGITYICTEISSGKNFACKSILKRKLIRTQDREDVRREIQIMHYLSGQPNIVEIKGAYEDRQSVHLVMELCEGGELFDKITKRGHYSEKAAAEIIRSVVKVVQICHFMGVIHRDLKPENFLLSSKDEASSMLKATDFGVSVFIEEGRVYEDIVGSAYYVAPEVLKRNYGKAIDIWSAGVILYILLCGTPPFWAETDKGIFEEVLRGEIDFESEPWPSISESAKDLVRNMLKYDPKKRFTAAQVLGKEHPWIREGGEASDKPIDSAVLSRMKQLRAMNKLKKLALKFIAQNLKEEELKGLKTMFANMDTDKSGTITYEELKTGLEKLGSRLTETEVKQLLEDADVDGNGTIDYIEFISATMNRFRVEREENLFKAFQHFDKDNSGFISRQELETAMKEYNMGDDTMIKEIISEVDADNDGSINYQEFCNMMRSCSKSHQTKLVPPN >Al_scaffold_0002_334 pep chromosome:v.1.0:2:2095746:2097098:1 gene:Al_scaffold_0002_334 transcript:Al_scaffold_0002_334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVE1] MIVSKYPNLTGINFDLPHVIKDAPSYPGIEHVRGDMFLIGHTWRDEQYLQFLKVYTSRNIHAIKVVCNAFGIHIEFLKNI >Al_scaffold_0002_34 pep chromosome:v.1.0:2:207340:209097:1 gene:Al_scaffold_0002_34 transcript:Al_scaffold_0002_34 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSA0] MSVADVALSPIHRGSGFAFGGYQESTHFSVKSVLVFLTVSGSTMPMLILESDSIAEVKLRIQTCNGFRVRRQKLVFSGRELARNASRVKDYGVTGGSVLHLVLKLYDPLLVTVITTCGKVFQFNVDRRRNVGYLKKRISKEGKGFPEVDDQEILFKGEKLDDNRIIDGICKEGNSVIHLLVKKSVEEASYLPALVDDTLKREEDVASGKDFLLEPVVLNPAVKLPEVLEDMIDRTVDGLNKGNPPVRSAEGTGGTYLMQDSSGLNYVSVFKPMDEEPMAVNNPQQLPLSSDGQGLKRGTRVGEGATREVAAYLLDHPKSGPRSVSKEVMGFAGVPPTAMVRSSHKVYNYPKRFSSCATKDAKVGSLQMFMKNNGSCEDIGPGAFPVEEVHKICVFDIRMANADRHAGNILTGKSEEGKTVLIPIDHGYCLPENFEDCTFEWLYWPQAKLPFSPDTLDYINSLDSEQDIALLQLHGWNVPEAVSRTLRISTMLLKKGVERNLTPYQIGSIMCRETVNKDSAIEEIVREAHNSVLPASSEATFLEAVSVAMDRRLDELTK >Al_scaffold_0002_340 pep chromosome:v.1.0:2:2172546:2173901:-1 gene:Al_scaffold_0002_340 transcript:Al_scaffold_0002_340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KVE9] CINQSSSENILGDSFPFPSDFLFGTASSAYQYEGAFLTEGKGLNNWDIFTHEYPGKIRDENNGDMAVDQYHRFMEDIQLMTSLGVNSYRFSISWSRVLPIIQLKGLFSWETGGRFGSINYS >Al_scaffold_0002_347 pep chromosome:v.1.0:2:2217664:2217955:1 gene:Al_scaffold_0002_347 transcript:Al_scaffold_0002_347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KVF7] MAMIVRLILVYVLTLFPQFHLQKLVSGEFVQIFAGFMIFFSILGKFGAVFASIRAPINAALYCLFFAYIC >Al_scaffold_0002_35 pep chromosome:v.1.0:2:210006:211530:1 gene:Al_scaffold_0002_35 transcript:Al_scaffold_0002_35 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein family [Source:Projected from Arabidopsis thaliana (AT1G64450) TAIR;Acc:AT1G64450] MAKPHGRRRSSGRTNLASCAVATVFLLILLVVLLVVYFTVFKPKDPKISVNAVQLPSFAVSNNTANFTFSQYVAVRNPNRAVFSHYDSSIQLLYSGNQVGFMFIPAGKIDSGRIQYMAATFTVQSFPISLPSSSAISTVSAAVVPDSPVIPGPPDFTVTPRNPDSPYFPGYPESPDLPGNPGSPDFPGNPGPPSFPRNPGSPEFPGNPGAPVLPRNPGSPEFPVNPPRNPGAPAFPRNPNPPVFPGNPGSGNPRNMGPPGFPGIGAPPGFPGTPVGFGGGGTGPTLGDGYANPGFGYGNRVGPTMEIESKMELAGRVKVLHVFTHHVVAKSDCRVTVSIADGSVLGFHC >Al_scaffold_0002_352 pep chromosome:v.1.0:2:2238326:2238939:1 gene:Al_scaffold_0002_352 transcript:Al_scaffold_0002_352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVG3] MPPTDKNRSRPRKRRTRERNHCCAVNPTTKTEDGFREKLVDAPAAMPKAPTKPSTQTTRSIANTIKEKRKIRGNMGGERKKRATENQSRCSSEPMKGDRQSLRCSKHNEALGMRQEEAPHTEAIARTAAPLTPST >Al_scaffold_0002_356 pep chromosome:v.1.0:2:2263849:2267657:1 gene:Al_scaffold_0002_356 transcript:Al_scaffold_0002_356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVG7] MACSSLPLRIWTYRVFASFHGPDVRKTFLSHLRKQFNYNGITMFDDQGIERSQTIAPALTRAINESRIAIVVLSKNYASSSWCLDELVQILKCKEDRGQIVMTVFYGVDPHDVRKQTGDFGRAFNETCARKTEEERRKWSQALNYVGNIAGEHFRNWDNEAKMIEKIARDVSDKVNATPSRDFDDMVGLETHLRMMQSLLDLDNDGVMMVGISGPAGIGKTTIARALKNLFSNRFQLSCFMDNFRGSYPIGFDEYGFKLRLQEELLSKILNQSGMRISHLGVIQERLCDMKVLIILDDVNDVKQLEALVNENSWFGPGSRIIVTTENKEILHRHGIDNVYNVGFPSDEEALKILCRYAFKQSSPRHSFLMMAKWVAQLCGNLPLGLRVVGSSLHGKNEDEWKYIVRRLETIMDGEIEEVLRVGYESLHENEQTLFLHIAIFFNYEDGDLVKAMLADNSLDIEHGLKILINKSLIHISSKGEILMHNLLQQMGRQAIRRQEPWKRRILIDAQEICDVLENNTNAHIPEEMDYLPPLRLLRWEAYPSKTLPLRFCPENLVELSMEDSQLKKLWEGTQLLTNLKKMDLSRSLELKELPDLSNATNLETLELSGCTSLVELPSSIANLQKLEDIMMNSCQKLEVIPTNINLTSLKRIHMAGCSRLASFPNFSTNITALDISDTSVDVLPALIVHWSHLYYIDIRGRGKYKNASNFPGCVGRLDLSYTDVDKIPDCIKDLLWLQRIYLSCCRKLTSLPELPNWLLLLIADNCELLERVTFPINSPNAELIFTNCFKLDGETRKLFIQQSFLSNCIPGRVMPSEFNHRAKGNSVMVRLSSASLRFRACIIVSHIQDQHRRIYKNVKLQYRIIGKSSWSIHKMFLVGHPRGSPGIRRKHLCIFYGDFLEEDLSIEVNSELLFEFRNISDLYTYEDCEIIECGVRILTNEVEESSDGNTKTRLDQVSEDDDDDWSYDSEPSEVLEDSEGDNMDHTTEVLISCDSKSDKAYDEEGKEDNVQGKEHTNCWSWLFLCFE >Al_scaffold_0002_357 pep chromosome:v.1.0:2:2268054:2269171:-1 gene:Al_scaffold_0002_357 transcript:Al_scaffold_0002_357 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerases N / 8 kDa subunit [Source:Projected from Arabidopsis thaliana (AT1G61700) TAIR;Acc:AT1G61700] MIVPVRCFTCGKVIGNKWDTYLELLQADYAEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTMEKSDPN >Al_scaffold_0002_362 pep chromosome:v.1.0:2:2298122:2298650:1 gene:Al_scaffold_0002_362 transcript:Al_scaffold_0002_362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVH4] MANTPKTLIAIVLSVIFIISYVHCHTTTASAPGSEGPITDAKSPEIREWEHKHDGTCFDTPACYAPGQYEIGCIVYCHEGHYNHYACFHTYCCCYNRDKNVSKVT >Al_scaffold_0002_365 pep chromosome:v.1.0:2:2320668:2321249:-1 gene:Al_scaffold_0002_365 transcript:Al_scaffold_0002_365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT1G61667) TAIR;Acc:AT1G61667] MSLLLLLLLLVQFISPSSQSSIRNLLEARGLPGGLFPDNVESYSLDDKTGELEVQLQNPCFARFENRVYFDRVIRANLSYGGLVGLEGLTQEELFLWLPVKGIAVNDPSSGLVLFDIGVAHKQISRSLFEDPPVCYPPGSIMEKLEKSKMDIQLKR >Al_scaffold_0002_367 pep chromosome:v.1.0:2:2349537:2357373:1 gene:Al_scaffold_0002_367 transcript:Al_scaffold_0002_367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVI2] MFPNSRRGSKKKKGNLEATSHYDKALVNGVVYSLNDDIYVQAKGEPNRIAKIIELFEGVDGEGYFRAQLFYRAEDTVIQDLAGEYLQEKRRVFLSNVEADYSLNLIVSKVHIIKLPAKILLENEERDIPACDFFYDMRYNLEHLTFSSVDNEISNVEGNDSNNVGSCNKEKYMLDLYSGCGAMSTGLCMGASLSGVNLITKWAVDNNSFACESLKLNHPETKCRRIFEIAEGMEKAMPKVFTSPKTEPLELDSDFEDDNESENNVEGESDGYEMSPDEFEVDEVLSICYGDPKKANASVKKVKPSALYFKVHWKGYDSEEENTWEPYDGLRKCKEKVKEFVTKGFKSKLLPLPGDVHIVCGGPPCQGLSGFNRFRNKDKPLQDEKNNQVTVFMDIIDYLKPKYVLMENVVSLLGFAKGFVGRYAVARLVNKNYQARLGIMAAGAYGVPQCRYRVFLWGAQPSEELKVGNNRSDLQLKTALTLGDATSDLPEVTNFEEREAINYDIEPETEFQKFISLPRADTLISNGEEESQLRILYDHQPLQMNKDDYQRACNISKKKGAYFTDLGGVVFEDKTVRIDPSVERVILPSGKPMVPNYAITYRDGKSKKPFGRLWYDEIINTVVTRAQPHNQCVLHPKQNRVLSARENARLQGFPDCYRLYGPVDEKYIQVGNAVAVPVGVALGYAFGMASQRLCDDKPVIDYPFMYPECLKRKEDSDRFKDPNSIHEEEIED >Al_scaffold_0002_372 pep chromosome:v.1.0:2:2386131:2389252:-1 gene:Al_scaffold_0002_372 transcript:Al_scaffold_0002_372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVI7] MAEFYRNLTLVTTLLILLQLCTNVSCSTSNSITRNHTIRDGDSLVSEDESFELGFFSPKDSTFRYVGIWYKNIEPRTVVWVANREKPLLDHKGALKIADDGNLVVVNGQNDTIWSTNAKPESNNTVAVLLKTGDLVLFSDSDRGKWYWESFNNPTDTFLPGMRVRVNPSHGENRAFTPWKSENDPSPGKYSMGIDPVGALEIVIWEGEKRKWRSGPWNSAIFTGIPDMFRFTNYIYGFKLSPPDRDGSVYFTYVASDSSDFLRFWIRFDGVEEQYRWNKDAKNWTLLQWKPSTECEKYNRCGNYSVCDDSKEFDSGKCSCIDGFEPVHQDQWNNKDFSGGCKRRVQLNCNQSVVADQEDGFKVLKGIKVPDFGSVVLHNNSETCKDVCARNCSCKAYAVVLGIGCMIWTHDLIDMEHFKRGGNFINIRLAGSELGGGKEKSKLWIIIFSVIGAFLLGLCIWILWKFKKSLKAFFWKKKDLPVSDIRESSDYSVKSSSSPIKLLVGDQVDTPDLPIFSYDSVALATGDFAEENKLGHGGFGTVYKGNFSEGREIAVKRLSGKSKQGLEEFKNEILLIAKLQHRNLVRLLGCCIEDNEKMLLYEYLPNKSLDRFLFDESKRGSLDWRKRWEIIGGIARGLLYLHRDSRLKIIHRDLKASNILLDTEMNPKISDFGMARIFNYRQDQANTIRVVGTYGYMAPEYAMEGIFSEKSDVYSFGVLILEIVSGRKNLSFRGSEHGSLIGYAWHLWSQGKTKELIDPTVKDTRDVTEAMRCIHVGMLCTQDSVIHRPNIGSVLLMLESRTSELPRPRQPTFHSFLNSGEIELNLDGHDVASVNDVTFTTIVGR >Al_scaffold_0002_381 pep chromosome:v.1.0:2:2424623:2433277:1 gene:Al_scaffold_0002_381 transcript:Al_scaffold_0002_381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVJ8] MGKMRFFFACLLLFTMLLSFTYAAITTESPLSIGQTLSSSNNVYELGFFSPNNSQSLYVGIWFKGIIPRVVVWVANRENPVTDSTANLAIGSNGSLLLSNGKHGVIWSIGETFASNGSRAELSDSGDLFLIDNASRRTLWQSFEHLGDTMLPYSSLMYNLATGEKRVLTSWKSYTDPSPGEFVGQITPQVPSQGFIMRGSKPYWRSGPWAKTRFTGLPLTDESYRNPFSLQQDANGSGYFSHLQRNYNRPFVVLTSEGSLKLTQHNGTDWVLSFEVPANSCDFYGICGPFGLCVMSIPPKCKCFKGFVPQYSEEWKRGNWTGGCMRRTELHCQGNSTSKDVNVLYPVANIKPPDFYEFVYSGSAEECYQSCLHNCSCLAVSYIHGIGCLMWSQELMDVVQFSAGGELLFIRLARSEMGGNKRKKTITASIVSISVFVTLASAAFGFWRYRLKHNAIASKVSLQGVWRNDLKSEDVSGLYFFEMKTIEIATNNFSLVNKLGQGGFGPVYKGKLQDGKEIAVKRLSSSSGQGKEEFMNEIVLISKLQHINLVRILGCCIEGEERLLIYEFMVNKSLDTFIFDSRKRLEIDWPKRFSIIQGIARGLLYLHRDSRLRVIHRDVKVSNILLDEKMNPKISDFGLARMYEGTKYQDNTRRIVGTLGYMSPEYAWTGVFSEKSDTYSFGVVLLEVISGEKISRFSYDKECKSLLAYAWESWCENGGVDFLDKDVADSCHPSEVGRCVQIGLLCVQHQPVERPNTLELLSMLTTTSDLPTPKEPTFAVHTSNDGSRTSDLITVNEVTQSVVLGR >Al_scaffold_0002_384 pep chromosome:v.1.0:2:2453133:2456265:1 gene:Al_scaffold_0002_384 transcript:Al_scaffold_0002_384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVK3] MTRFACLHLFTMLLFTMLSSSSYAVITTESPLSMGQTLSSANEVYELGFFSPNNTQDQYVGVWFKDTIPRVVVWVANREKPITDSTANLAISSNGSLLLFNGKHGIVWSSGVSFASSRCRAELLDSENLVVIDIVSGRFMWQSFEHLGDTLLHTASLTYNLATAEKQVLNSWKSYTDPSPGDFLGQITPQVPSQGFIMRGSTPYWRSGPWAKTRFTGIPFMDESYTGPFTLHQDVNGSGYLTYFQKNYKLSRITLTSEGSVKMFRDNGMGWELYYEAPKNSCDFYGACGPFGLCVMSVPPKCKCFKGFVPKSIEEWKMGNWTGACVRRTVLDCSKCHQRCLHNCSCLAFAYIKGIGCLVWNQDLMDAVQFSATGELLSIRLARSELDGNKRKKTIVASTVSLTLFVILGFTAFGVWRCRVEHNAHISKDAWRNDLKPQDVPGLDFFDMNTIQNATNNFSLSNKLGQGGFGSVYKGKLQDGKEIAVKRLSSSSGQGKEEFKNEILLISKLQHRNLVRVLGCCIEGDERLLIYEFMVNKSLDTFIFDSRKRLEIDWPKRFDIIQGIARGLLYLHRDSRLRVIHRDLKVSNILLDEKMNPKISDFGLARMYQGTEYQDNTRRVVGTLGYMSPEYAWTGMFSEKSDIYSFGVLLLEIISGKKISRFSYGEDGKTLLAYAWESWSENGGIDLLNKDVADSCHPLEVGRCVQIGLLCVQHNPADRPNTLELLSMLTTTSDLPSPKQPTFALHARDDEPQFRDLSTVNEMTQSLILAR >Al_scaffold_0002_385 pep chromosome:v.1.0:2:2461985:2465151:1 gene:Al_scaffold_0002_385 transcript:Al_scaffold_0002_385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KW03] MFFACMLLITILLSFSYAEIIKESPLSIGQTLSSSNGIYELGFFSPNNSQNQYVGIWFKGIIPQVVVWVANREKPVTDSAANLGISSNGSLLLSNGKHGVVWSTGDVFASNGSRAELTDNGNLVLIDKVSGRTRWQSFENLGNTLLPTSTMMYNLITGEKRGLTSWKSYTDPSPGEFVGQITPQVPSQGIIMRGSVLYFRTGPWAKTRFTGSPQMDESYTSPYSLQQDINGSGYFSYVERDYKLARMILTSEGSMKVLRYNGMDWESTYEGPANSCEIYGVCGLYGFCAISVPPKCKCFKGFVPKSTEEWKKGNWTGGCVRRTELHCQGNSSSKDANVFHTVPNIKPPDFYEYANSLDAEECYEICLHNCSCMAFAYIPGIGCLMWNQELMDAVQFSTGGEILSIRLARSELAGNERNKIVVASIVSLSLCVILASSAAFGFWRYRVKNNVLTQISAHISKDAWRNDLKSQDVPGLVFFEMNTIHTATNSFSISNKLGHGGFGSVYKGKLQDGKEIAVKRLSRSSGQGKEEFMNEIVLISKLQHRNLVRVLGCCVEGEEKLLIYEFMVNKSLDTFVFDSRKRLEIDWPKRIEIIQGIARGLLYLHRDSRLRVIHRDLKVSNILLDENMIPKISDFGLARIYQGTQYQDKTRRVVGTLGYMSPEYAWTGLFSEKSDIYSFGVLLLEIISGEKISRFSYGEDGKTLLAYAWESWCETKGIDLLDQDLADSCHTSEVGRCVQIGLLCVQHQPAGRPNTLELLSMLTTTSDLPLPKQPTFAVHSTDDKSLSKDLISVNEITQSMILGR >Al_scaffold_0002_388 pep chromosome:v.1.0:2:2475084:2475245:-1 gene:Al_scaffold_0002_388 transcript:Al_scaffold_0002_388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KW06] KEIGVGEDNELGSLLLKREFFKNEVRMLEEKNLGVKNSILAYMEYEMRNLLSE >Al_scaffold_0002_389 pep chromosome:v.1.0:2:2481977:2482762:-1 gene:Al_scaffold_0002_389 transcript:Al_scaffold_0002_389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KW08] MEDSGAILCQISIFKDMLDQVNREIEANIQVSREIESEIGACSDMGSTLSVKEAELTRSFLASQFEISGLISVTADSRNSLKLLEDEIHRLRSDHSELITRLTEKRAGFVNMCFRFQKEIEDSESRNLLSEREFLENEVWIMEMKNIDVKNSILAYMEDEMMNLLSEL >Al_scaffold_0002_390 pep chromosome:v.1.0:2:2483479:2486179:1 gene:Al_scaffold_0002_390 transcript:Al_scaffold_0002_390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KW09] MGKKRIVFFAYLLLCTIFISLSFAGITKASPLSFGQTPSSSNGVYELGFFSPNNSRNQYVGIWFKGIIPRVVVWVANREKPVTDSTANFGISSNGSLLLSNGKHGVVWSTGDIFASNGSRAELTDYGNLVFIDKASGRTLWQSFEHLGNTLLPTSTMMYNLVTKDQ >Al_scaffold_0002_392 pep chromosome:v.1.0:2:2503866:2504357:-1 gene:Al_scaffold_0002_392 transcript:Al_scaffold_0002_392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KW13] MEDSGAILCQISIFKDMLDQVSLFFFLFTGLVNREIEANIQVTREIESHIGNCSEMESSLSVKEAELTKSFIASQFEISGLISVTADSRNSLKLLEDDICRLRNEHSELITRLTEKR >Al_scaffold_0002_393 pep chromosome:v.1.0:2:2505329:2508423:1 gene:Al_scaffold_0002_393 transcript:Al_scaffold_0002_393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KW14] MGKKRIVLLLFVSFSYAEITKESPLSIGQTLSSSNGVYELGFFSFSNSQNQYVGIWFKGVIPRVVVWVANREKPVTDSAANLVISSNGSLLLINGKHGVVWSSGQTIASNGSRAELSDYGNLIVKDKVSGRTQWESFEHLGNTLLPTSTMMYNLATGEKRGLRSWKSYTDPSPGDFWVQITPQVPSQGFVMRGSVPYYRTGPWAKTRFTGIPQMDESYTSPFSLHQDVNGSGYFSYFERDYKLSRITLTSEGAMKVLRYNGMDWKSSYEGPANSCDIYGVCGPFGFCVISDPPKCKCFKGFVPKSIEDWKRGNWTSGCARRTELHCQGNSTGKDANVFHTVPNLKPPDFYEYTNSVDAEGCHQSCLHNCSCLAFAYIPGIGCLMWSKDLMDTMQFSTGGELLSIRLAHSELDVNKHKLTIVASTVSLTLFVILGFAAFGFWRCRVKHHEDAWRNDLQSQDVPGLEFFEMNTIQTATNNFSLSNKLGHGGFGSVYKARNGKLQDGREIAVKRLSSSSGQGKQEFMNEIVLISKLQHRNLVRVLGCCVEGIEKLLIYEFMKNKSLDTIVFVFTRCFFLDSRKRLKIDWPKRFDIIQGIARGLLYLHRDSRLRVIHRDLKVSNILLDEKMNPKISDFGLARMFQGTQYQDKTRRVVGTLGYMSPEYAWTGVFSEKSDIYSFGVLLLEIISGEKISRFSYGEEGKALLAYAWECWCETRGVNLLDQALADSCHPSEVGRCVQIGLLCVQHEPADRPNTLELLSMLTTTSDLPLPKQPTFVVHTRNDESPYNDSVNEMTESVIQGR >Al_scaffold_0002_394 pep chromosome:v.1.0:2:2509453:2514293:1 gene:Al_scaffold_0002_394 transcript:Al_scaffold_0002_394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KW15] MKVFMPLVSCISVHLLNMGMVLFACSLLLIIFPTCGNADINTSSPLSIGQTLSSPDGVYELGFFTPNNSRNQYVGIWFKNIIPQVVVWVANRDKPVTKTAANLTISSNGSLILLDGKQDVIWSTGEAFTSNKCHAELLDTGNLVVIDDISGKTLWKSFENLGNTMMPQSSVAYDIPRGLNRVLTSWRSNSDPSPGEFSLEFTPQVPPQGLIRRGSSPYWRSGPWAKTRFSGIPGIDASYVSPFTVVQDVAKGTASFSYSMLRNYKLSYVTLTSEGKMKILWNDGKSWKLHFEAPTSSCDLYRACGPFGLCVRSRNPKCICLKGFVPKSDDEWKKGNWTSGCVRRTQLSCQMNSSTKTQGKDTDSFYHITRVKTPDLYQLAGFLNAEQCYQNCLGNCSCTAFAYITGSSRTKIIVGTTVSLSIFVILVFAAYKFCKYRTKQKEPNPMFIHSSQDAWAKDMEPQDVSGVNFFDMHTIRTSTNNFNSSNKLGQGGFGPVYKGKLVDGKEIAVKRLSSSSGQGTDEFMNEIRLISKLQHKNLVRLLRCCIKGEEKLIYEYLVNKSLDVFLFEVQHYQGVARGLLYLHRDSRLRVIHRDLKVSNILLDEKMIPKISDFGLARMYQGTQYQDNTRSVVGTLGYMAPEYAWTGVFSEKSDIYSFGVLLLEIIIGEKISISEEGKTVLAYAWESWCETKGVDLLDQALSDSSLPAEVGRCVQIGLLCVQHQPADRPNTLELMSMLTTTADLPLPKQPTFAVHSRDDDSTSNDLITVNEMTQSVIQGR >Al_scaffold_0002_396 pep chromosome:v.1.0:2:2519702:2522746:1 gene:Al_scaffold_0002_396 transcript:Al_scaffold_0002_396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KW17] MGKIGIVFFALLLFLLIIFPSCAFAAITRASPLSIGQTLSSPNGTYELGFFSPNNSRNQYVGVWFKNITPRVVVWVANRDKPVTNNAANLTINSNGSLILVEGEQDLVWSIGETFPSNEIRAELLENGNLVLIDGVSERNLWHSFEHLGDTMLLESSVMYDVPNNKKRVLSSWKSPTDPSPGEFVAELTTQVPPQGFIMRGSRPYWRGGPWARVRFTGIPEMDGLHVSKFDISQDVAAGTGFLTYSLERRNSNLSYTTLTSAGSLKIIWNNGSGWVTDLEAPVSSCDVYNTCGPFGLCVRSNPPKCECLKGFVPKSDEEWNRRNWTGGCMRRTNLSCNVNSSATTQANNGDVFDIVANVKPPDFYEYVSLINEEDCQQRCLGNCSCTAFAYIEQIGCLVWNQELMDVTQFVAGGETLSIRLARSELAGSNRTKIIVASTVSISVFMILVFASCWFWRYKAKQNDSTPIPVETSQDAWKEQLKPQDVNFFDMQTILTITNNFSIENKLGQGGFGPVYKGKLQDGKEIAIKRLSSTSGQGLEEFMNEIILISKLQHRNLVRLLGCCIEGEEKLLIYEFMANKSLNTFIFDSTKKLELDWPKRFEIIQGIACGLLYLHRDSCLRVVHRDMKVSNILLDEEMNPKISDFGLARMFQGTQHQANTRRVVGTLGYMSPEYAWTGMFSEKSDIYAFGVLLLEIITGKRISSFTIGEEGKTLLEYAWDSWCESGGADLLDQEISSSGSESEVARCVQISLLCIQQQAGNRPNIGQVMSMLTTTMDLPKPKQPVFAMQVQESDSESKTIYSVNNITQTAIVGR >Al_scaffold_0002_400 pep chromosome:v.1.0:2:2542407:2543989:-1 gene:Al_scaffold_0002_400 transcript:Al_scaffold_0002_400 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBD, F-box and Leucine Rich Repeat domains containing protein [Source:Projected from Arabidopsis thaliana (AT1G61330) TAIR;Acc:AT1G61330] MSESSNKQMKLIKRIPDDLVECILSFLPIQSLLQNRVLSKRFRDTWKQSRDLDFGEMFSVRHSQLEAVRIIENIFNQHKGPEINRFVLSLNHIGVEDKILSWISTCLRKNIKELVLDFSRSKKVMVIPVDFSSIETLTVLKLQWCKFEIPDNLPKGLRLLRTLSLMQTELTKEMIDAIFNNCIHLESLALVKCQMYGILSIYAHDHKKFKSLVVSSMPNLLCIVLHAPTLESYKYDGYARTIQVLRVNALKEGEFHYNRSKSRHSSAMVVGTMRAYTAVHVLTTTNILLEALTYRYPGGKLEKPLFKFENLREFKIFLKAPTFCTLFDIAEFLKECPKLEHVIIDIRNFTFEPQLYFWETHHKAQIQNNSNNNYLLKCLTDVKIIGYKGHWHELDMVEFFVKNAPSLKRLELEMPKNAKNIAHTPDYARIKFIKNIFPGIKVTEV >Al_scaffold_0002_403 pep chromosome:v.1.0:2:2555231:2555825:-1 gene:Al_scaffold_0002_403 transcript:Al_scaffold_0002_403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol N-acetylglucosaminyltransferase, GPI19/PIG-P subunit [Source:Projected from Arabidopsis thaliana (AT1G61280) TAIR;Acc:AT1G61280] MEEEEDQLVNSPRRILSLNQEASEVHGTNPCEVYGFVGSISIVVATVVFLIWAYVPDKFLESLGIYYYYPSKYWAMAMPMYLMVTLLLALVFYIGLNFMSTSTTTSFNTLFDEYSREDVDFLSLMKNGDDRPIDPISDIDITRINDLMFDSHLAK >Al_scaffold_0002_406 pep chromosome:v.1.0:2:2572421:2572645:1 gene:Al_scaffold_0002_406 transcript:Al_scaffold_0002_406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KW27] MRENPNSSEEMQARAVTKSLGLCIHGVASLANDYLHDDHVDGVVNVNVNAEVAINIGAPAEVVLHAATPTRIPH >Al_scaffold_0002_411 pep chromosome:v.1.0:2:2586359:2587655:-1 gene:Al_scaffold_0002_411 transcript:Al_scaffold_0002_411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KW32] MDPTMMVLQKLMYEVVIQGSKHHHGQIRHPTYVFLGQQGYWTIEKWSSIYDGMFMYLLEFEINHLNNKHLECVEVDHDDATSGFIQGLQFRTNFMKLKVAGGKQWDNDLQVELLTFIISHQLESLDFTMIEMLEAIGILGGGDIGIQTIHFEYVKLKSGELFGVWDSGFTQTIEIDHLNNEHLESVEGYYNNTSCNI >Al_scaffold_0002_412 pep chromosome:v.1.0:2:2591080:2593221:-1 gene:Al_scaffold_0002_412 transcript:Al_scaffold_0002_412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G57570) TAIR;Acc:AT1G57570] MTQRLEAIGSKKGFTFDDGSDHDGVSKIFVGGGLQGILYMEFEYVNSGLLKFGTLVGRRHRGFIETFEINHLNNEYLESIEGFYDHESGYIQGLQFKTNFRISELIGYDKGNKFTLAVEGKNIIGFHGHMRNRNIISLGAYFTWNHPTRFKAKGSKGGHKWDDGADHDGIAKIHVRGGFEGVQYIKFDYVNSGKAQEGSIHGFSGSGFTQTFEINYLYNEHLVYVEGYYDVASGVIQSLQFKTNFRTSEMLGYEKGKKFLLGDKGKKIVGFHGYADKYLYSLGAYFTTVPISKSECRGGTGGISWDDGVFESIRTVYVSYNTTNIKSITFHYHNRTVVERQHGWQSIQDEGEEEEFELDYPNELITYVEGTFKTFGPSDTRVTSLILKSSEGRTSPTFGNVSGTKFVLENKGCAVVGFHGRHNDRDLVAIGAYFSQIPPPTAEKLRPQGGYQGGSWDDGVYDNVRKIYVGKCENGIAFLKIVYDKDTRMVIGDDHGNKTPLDIKEFEVEYPSEYITAVDGCYDRVIGSEVEVITMLRFKTNKRTSIPVGFESTSSFLLYKAGCKIVGFHGKASNMINQLGVHVVPFTE >Al_scaffold_0002_417 pep chromosome:v.1.0:2:2640035:2641375:1 gene:Al_scaffold_0002_417 transcript:Al_scaffold_0002_417 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Retrotransposon gag protein (InterPro:IPR005162); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (so /.../NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G61160) TAIR;Acc:AT1G61160] MNQTGSIKKSGDPKNFERPVAVGEEDQPPREASQGGDFVESKPVDVNIALSWELFEVKQGTETVREYYKEFLKKVKNLGRELEEEELACLFQEGLLEELHEGVRAANCGWILNYVSDVVDEAEKVEAKLRSTKEKVPLVSKELADVDLGQRAFEDEVESKPYDPEDDVMQV >Al_scaffold_0002_427 pep chromosome:v.1.0:2:2720515:2722205:1 gene:Al_scaffold_0002_427 transcript:Al_scaffold_0002_427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KW50] MFCSNIRACPVHSSMRPETLKVIQQQLTAMKAVQETKDDEEVKKIMDEYMFCFRNCYTEAEIVNHITQKIPSSVPAEVRNFCQGFIAVIDKDLRDVYLKDAEDCASERMSQARDTSEEAKRSQGEASTSHKCEPNCDK >Al_scaffold_0002_429 pep chromosome:v.1.0:2:2740249:2743077:1 gene:Al_scaffold_0002_429 transcript:Al_scaffold_0002_429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KW52] MRPETHKIIIEKLLPVMKLVKGNLEGEVEKKGTDEFVFCFENCYTEEETEKHVTQKIPSLKESDVRNFYQLFLTMIDKDSKEAYLKDAEDCASERMSQGDDNSEEPKRRQVLAIKTEPSKGSKHILYSFLQSSFHSPLTHSFLSVFLQSKMRPETREVIEMQLLPAMKEATVKLEKQSIDEFMFCFKNCYDEKETEMHVTQKIPSFKESDVRNFYQLILTMIDKEPREAYLKDAKDCSFHRRNLMRDNSEKAKSSQGEASTSHKCEPNCNKHYPEI >Al_scaffold_0002_441 pep chromosome:v.1.0:2:2798694:2799088:-1 gene:Al_scaffold_0002_441 transcript:Al_scaffold_0002_441 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCR-like 5 [Source:Projected from Arabidopsis thaliana (AT1G60987) TAIR;Acc:AT1G60987] MKFVAIFLVTCVFFSLFPSHLSQGEESSINIAAQKRSWCPDKRQVFDGICEKDGAKQCLDLLLSSWDPSVRLSPVSCTCSDFPYYNILCSCLNMKCP >Al_scaffold_0002_448 pep chromosome:v.1.0:2:2834590:2842056:1 gene:Al_scaffold_0002_448 transcript:Al_scaffold_0002_448 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L4B [Source:Projected from Arabidopsis thaliana (AT1G60930) TAIR;Acc:AT1G60930] MTLIVKFVVEQHCSFHSDNRSQLKFVNGGDKRRSNCWKLFGIGSHLPEVNKSRGHFLQTNWSKHAKAFECIPSLNKFMGSNFLYSLESQKLARDREMGARSIENIAPVTVQTLARPEIEKAWCTLINLSINNTYLRPGITPAIDDGSTDRSSSTKRSTVKVTSSADGSFYAHNHPEHSQRSLRGTAKSFDSFSSSSVGDNNITIGKVPRVNDEVRDSVTGCKYTNGMEMPPIKNSAHLVRLVEPREASLGEIDYDDIMEIIEVDQIVMDHCPSRCPKQPSVSKFVDTFASRREEEQGLFPELCSNCSHGIKLGLCPEASTHVEQMKDTLLAISNEFLDNTYDLGPDHVEQLRQKRLLLKKQIQQLEILIQNKERKKSECLVSTPSHNIQYETPQTTNHVVVYTQTDSPDKSCGGLCPATEGRYVTDNWNMPRDYLVSKERYDISSGSVEREQSVSEVIDVTDTESSNDKKWASRDFPWTKNLEVYNKIVFGNHSFRPNQREIINATMSGCDVFVLMPTGGGKSLTYQLPALLCAGITLVISPLVSLIQDQIMNLLQTNISAASLSAGMEWAEQLEILQELSSENSKYKLLYVTPEKVAKSESLIRHLEILNSRSLLARFVIDEAHCVSQWGHDFRPDYQGLGVLKQKFPNIPMLALTATATTSVKEDVVQALGLVNCVVFRQSFNRPNLWYSVVPKTNKCLEDIDKFIRENHFDECGIIYCLSRMDCEKVTEMLRAFGHKAAFYHGSMDPGKRAFVQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCVLYYSYTDYIRVKHMISQGGLGQGQMKMGYNCKASSGRMLETNTENLLRMVSYCENEVDCRRFLQLVHLGEKFDSTNCKKTCDNCSSSKILIDKDVTVIARQLVELVKLTGERFSSAHIVEIYRGSLNQSVKRNRQETLHLHGAGKHLTKSEASRILHYLVTEDILAEGVRKSDLYGSVSSLLKVNRSKAASLLSGGQSITMRFPSTIKASKPSKSTAKVPLKQTTLPMAKAAPQDSNLPHILLTALKKLRSDIVKESSDGVMAYHIFGKATLEQISKRLPRTKEELLDINGLGKAKVSKYGDRLLETIYSTINDHYKTGPGSGKRRRDENICPNVADDDDPDWTASQSHKKAVKNKK >Al_scaffold_0002_459 pep chromosome:v.1.0:2:2896798:2898846:-1 gene:Al_scaffold_0002_459 transcript:Al_scaffold_0002_459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toll-Interleukin-Resistance (TIR) domain family protein [Source:Projected from Arabidopsis thaliana (AT1G60320) TAIR;Acc:AT1G60320] MTKSVKKIPMVTISRFMQPPRFQDQVFINFRGEEIRYSFLSHLVAAFKLHGINFFIDKDEQKGKDLKHLFKRIKESQIALAIFSERYAQSRWCLNELAKMKKLARKGKLNVVPIFYKVKVNDVRHQEGKFGSNFWKLAKTSSGEEIKKWKEALEFFSNKMGLTLCGKSWFLPFPFPAQRQISSKKLLRNWREYKEESQQKRGKITL >Al_scaffold_0002_47 pep chromosome:v.1.0:2:289223:289555:1 gene:Al_scaffold_0002_47 transcript:Al_scaffold_0002_47 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSR5] MQELAKYESAEREVQRLQWIIMENESNDEKEILKSHFEVVKANVKAFVREMLQASDKERALEVVKKVRRTSEEYLWTKHQFQFLNPSCNSWPNMRVRKEVSETAMDTYGE >Al_scaffold_0002_472 pep chromosome:v.1.0:2:2972420:2973066:1 gene:Al_scaffold_0002_472 transcript:Al_scaffold_0002_472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWQ4] MEYQPASIVVHSIGPYAKVNIDVMFEVDYEDPLAITMIRSFLIAISKAREMTISTRTLELIDGYHLKVKELPKFSNLSRLDALLDKSFWDRLPGFLGCCINLNSFVLELDGHSEIEEIKVSPLLQDALSARGFVQLKTPLSVTKTSSEMRKIAAYFVKKSG >Al_scaffold_0002_473 pep chromosome:v.1.0:2:2978007:2979499:1 gene:Al_scaffold_0002_473 transcript:Al_scaffold_0002_473 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G60750) TAIR;Acc:AT1G60750] MGLSAFYGAPTPETNAVALLRHAINAGVTFLDTSDIYGPETNELLLGKALKDGLRDKVELATKFGITASEDGKFGFRGDPEYVSACEASLRRLGVTSIDLYYQHRINTTLPIEITVWLLAFNLFQCIGELKKLVEEGKINYIGLSEASASTIRRAHAVHPITAVQIEWSLWSRDVEEDIIPTCRCEESKLAISFFVNMKKKSLFASGPKLVENLEQDDYRKARAYFKIWSHLMLLDITLSQGLPRFQQENLDNNKILYEKVQEMATKKSCTPAQLALAWVHHQGDDVCPIPGTSKIQNLNQNIGALSVKLTPEEMVELEAIARPDFVKGERYDNNMVTYKDSETPPLSSWKEK >Al_scaffold_0002_48 pep chromosome:v.1.0:2:291487:293390:1 gene:Al_scaffold_0002_48 transcript:Al_scaffold_0002_48 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSR6] MFSNGKTTEDGALKPVYQAQFRPPQRRSLSNSLWYFNTRPTMNSAEQINPTKPERSTKLVSFPSDLMILANKAAEEDESLQNEQAGTQGKRELMMQRKAKSTTAAKFLKEAKLNKGLELYQIYKRKKRVNESGLGGCTRFLRNNNLSPTLQHLSFGDLVVLANAASIDGAREVSKDVVVEAAEEYFPERVEEGTCKQRESGDMDCGGSCFVLSEELLKNRELMLQKGEAAPVKVVEEVKPKSDPSDGFRDDKLFKKKYVRRTRVKNKEQLESTSVGAVAGTCNLVKKLCALKPSSLLTNVDAAEREEGLLYNNGETQSKSEQGPCSGVNNKTKSGDETEGNAAAVSGEKQSVCLYSAWEREYPKRKRSLLIRKPKEPKKTLGKSREGKEQVCDQIDISEQEAETEEEGDVSDASNSSSRNLCSSFIFNVQWSNKRKRNCMKLEEAQSNRAILLIAVEAITNHLGLLDISI >Al_scaffold_0002_483 pep chromosome:v.1.0:2:3006348:3008313:1 gene:Al_scaffold_0002_483 transcript:Al_scaffold_0002_483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWR5] MIKRRFYKLEHGDKDSGSDSSCFSSDSDPETEESEESEEEDSVAEVSEEDDESSDADEDADVDDGDGDDNSDADDYGGTLEKMSMNRYLEEPPEEEEENYILGCMIQSKSVYKCRYCPTVVCLNETTMQAHVSSKKHARMEKLVKEGKVRTDDEEFEDQETASQEKQIKGNRRSQRQGKRSQKQEKGSSTKHEENEEVDDPETPFQEKQIKGNRRARREHKRSQNQEKGSSTKHGEKEEVDNPETPSQEKQIKENSRARRQRKRLEKQGKGSITKHGENEEVEDPEMPSQEKQIKGNSRSQKQLKRSEKQEKSSLTKEGENEDASQKSRKKRRQTKD >Al_scaffold_0002_49 pep chromosome:v.1.0:2:300408:301467:-1 gene:Al_scaffold_0002_49 transcript:Al_scaffold_0002_49 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSR7] MEVKQRALESPKMATKADGEEKTNKDDMMIKGHIKITEGLRKAKTTRDDQSSKSLTSSSYIMKIKIGNCELSDKNSIQRSENTKKMENHPKNKMVIMNPKQNTEESTNLDKMKKKKVWDCESTLYDSFELNSFNRQLDSAISSSARSMSMPHLSPTPPPPSETTSSLTKKQQSPTKKISRSLGKLIKSMLRQKQSNASFKAGHDEVDMDKYYVVFDKTGSLTTIPESGESTEMVGSEINSLVRKTTSERIPLSRLVGISCS >Al_scaffold_0002_491 pep chromosome:v.1.0:2:3057425:3059895:1 gene:Al_scaffold_0002_491 transcript:Al_scaffold_0002_491 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIM zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT1G60560) TAIR;Acc:AT1G60560] MEIVESIEEIPVQNPQIEDFSWADLTWTKFGTSEHHDEVALIPYSRVDEFIIGECSNAECPTRFHIERGRKRSRGSLKEYKSDEYLEYRLYWCSFGPENYGEGGGVLPSRKYRLNTRNRAARPQSMRGCTCHFVVKRLYARPSLALLIYNERRHVNKAGFVCHGPLDRDAIGPGAKKIPYICNEIQQQTMSMIYLGIPEENVLEKHIEGIQRYCGSDATVDSLASQYVHKLGMIIKRSTHELDLDDQASIKIWAERNKKSIFFYQESSETDQFMLGIQTEWQLQQLVRFGHCSLVAADLTFGIKRLKYPLCTLLVFDSRHHALPVAWIISRSYLKSDVTKWMKILLQRAQSIDPGFKINGFIIDDAATEIDPIRDTFCCPILFSLWRVRRSWLRNVVKKCDSLEVQRDLFKCLGELVYSIWDGVDTKKALERLTQDFVDQTAFMQYFTSTWLPKIGMWLSAMKSLPLASQEACGAIEAYHIKLKVKLFDDTHLGALQRVDWLVHKLTTELHSSYWLDRYADESDSFQNVKEEYIASTSWHRASEIPESAVTLDESNVLVAKVQSQRDSDVTRVVWNPGSEFAFCDCAWSLQGNLCKHIIKVNTMCENRKGYGDSMSLRSFKEKLRNIKMKPMDDSIALDLSMALTLQMFDQIKQLVRLSGTNDISNIVNDLPVKWGCKKGRTTIGIPASIAAFTKKRIQKRKR >Al_scaffold_0002_494 pep chromosome:v.1.0:2:3069343:3070336:-1 gene:Al_scaffold_0002_494 transcript:Al_scaffold_0002_494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWS7] MIPFTSDSCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCW >Al_scaffold_0002_496 pep chromosome:v.1.0:2:3100437:3102491:-1 gene:Al_scaffold_0002_496 transcript:Al_scaffold_0002_496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWS8] MMFCITWEVPSTKLSDEGGVDEQRARFGEVLDELISRFVSVYWKQVTAGVSFSRRCTNDMFYFNKTTRDWRRRVVAPSCFTDRVGFCKHVLSWLKYSLRPNILKERTEIGSKARSYSSVYLLFSYIAKGSDVSVDRYGSYPLVFGIDQTVPNFGSGPIFEDSDDE >Al_scaffold_0002_50 pep chromosome:v.1.0:2:313118:314027:-1 gene:Al_scaffold_0002_50 transcript:Al_scaffold_0002_50 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S12 [Source:UniProtKB/TrEMBL;Acc:D7KSR8] MSGDAAVVAPVVEAAVIPEDMDLMTAAELTIRKSCAYGGVVRGLHESTKLIEKRVAQLCVLAEDCNQPDYVKLVTALCTDHNINLLTVPSAKTLGEWAGLCKLDSEGNARKVVGCSCLVVKDYGEETTALNIVKKHLESN >Al_scaffold_0002_506 pep chromosome:v.1.0:2:3140254:3140673:-1 gene:Al_scaffold_0002_506 transcript:Al_scaffold_0002_506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWT8] MKDTKKEEVNKISKTITEGNKLSMEIHWLCGGGGGGKPSFAQAGGRKPENLPSALAKAREDLVATLSEKLG >Al_scaffold_0002_507 pep chromosome:v.1.0:2:3146786:3149854:1 gene:Al_scaffold_0002_507 transcript:Al_scaffold_0002_507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWT9] MLHRSYSQLLILGLGPGKQWTYSLSAMDIYRIRLGSINDVKLFHIHIETDATPLQTTLLVEVTEKSQELVQHTNQWKVYLLHNLQILLNTITNQDMFLLKSNFLCLNATSNQSATLLEYLLTSFSNCFRIVKRKVSYLNYGSDGKVYAPKIDVHKIQMNCIKLVYLGTLSMCRGARIVGIPRWLGIREKPLHTICKVSLITTSAYHLVARQSLIPMYGSSIHFSYWSITKETTSRVPSSRFLSWTLSRSEANRTKPTKDYEFTCTVIGQKVEIAGRACIFD >Al_scaffold_0002_513 pep chromosome:v.1.0:2:3176529:3179010:1 gene:Al_scaffold_0002_513 transcript:Al_scaffold_0002_513 gene_biotype:protein_coding transcript_biotype:protein_coding description:galactinol synthase 7 [Source:Projected from Arabidopsis thaliana (AT1G60450) TAIR;Acc:AT1G60450] MAPETHVDMIHASEKAHKKRAYVTFLAGNGDYVKGVVGLAKGLRKVKSAYPLVVATLPDVPEEHREILRSQGCVVREIEPVHPPDSQDAYARAYYVINYSKLRIWNFEEYNKMVYLDGDIQVFDNIDDLFDLEDGYVHGVLSCFCEKIWSYTPLYSIGYCQYCPEKVMWPAEMKSARPPPYFNAGMFVFEPNPLTYESLLHTLQITPPTPFAEQDFLNMFFEKVFKPVSPVYNLILSVLWRHPGNVDLERVKVVHYCPPGSKPWRYTGEEPNMDREDVKMLIRKWWDIYNDESLDFKPKNPADLEATLSKSTIIASEAPLSYSPAAPSAA >Al_scaffold_0002_517 pep chromosome:v.1.0:2:3200875:3201442:1 gene:Al_scaffold_0002_517 transcript:Al_scaffold_0002_517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWU9] MSFPLTSNDLLACTYLCRDVVGLQYTTPWVQDRKECISSEMSKIKGTRTINEDGLSTKMVIQRGLQLVIRHGKCHIAECLVGDEKRIIIFTARNEQVNILPTKGAHCRIRFSVYEI >Al_scaffold_0002_52 pep chromosome:v.1.0:2:316992:318923:-1 gene:Al_scaffold_0002_52 transcript:Al_scaffold_0002_52 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSS0] MDSRPEQVSHRSGRFMYPQVPVSDSDHIMNNRLQSHAGTNLFESRLGHGVDMLILPKMLVHDNEMTRLPPTSLIICDFIRSFSQANYVWKPGGVTCIQDQWDWLSHVHTCGIIVKISQRLYGTNLSTKMLYSPLTDSQTWKRELGSLTINSSVGLKEWKHGFDIYGYEYKKQMLLLVIRPNRVWERGRLLATQRSHALFPSCCAFKNKSLAFTQRSTCIVLVERNDKMQGTNQSLGMAGSKKEIQESESWHSKVNTKLRPLVYLDEMFMFFVGMGVFNRRLGVETEHPIHQAHILEHFVKLRVVGFHNTLLVFLYGSAPRPPENNHSDFLFEYALEKAVLRCSNQLTSTTTTWKFGLVSPNAQLTQVSSTCCGDDMQRRHQVQGPKLLRKSLLEQEVILGFRLIDVSLDLQCRMREHGVG >Al_scaffold_0002_523 pep chromosome:v.1.0:2:3231429:3232628:1 gene:Al_scaffold_0002_523 transcript:Al_scaffold_0002_523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:D7KXA5] MEVEASQRREDIITTTNVNGDLIAFSRDDIIPLTGKVVVKNKLLAEAVLESIIGRLAQLMNKNKVEEEANDLSLGDN >Al_scaffold_0002_526 pep chromosome:v.1.0:2:3243345:3245719:1 gene:Al_scaffold_0002_526 transcript:Al_scaffold_0002_526 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta glucosidase 6 [Source:Projected from Arabidopsis thaliana (AT1G60270) TAIR;Acc:AT1G60270] MFGLWKWEGAVAEDGRKPSVWDTFCHSQYNQGNNGDITCDGYHNHKYKEDVKLMVDTNLDAFRFSMSWSRLIPNRKGPVNQKGLQFYKNLIQELINHGIEPYVTLHHFDHPQYLEDEYGGWLNHTIVEDFTAYADVCFREFGNHVKFWTTINEGNIFSIGGYSIGDSPPGRCSKPDQNCLSGNSSTEPYIVGHNLLLAHASVSRLYKQKYKLYIGINHYLAASITNSKLKPSISGNPDFFSDMNVFLSFFANFSSSEYDVAPWAVEAVLEYVKQSYGNPPVYILENGRPIKQDSQLKEKDTPRTEFLQAYISDPHRKRSPKLSAHWYSDFLKGKSASLGSQGITELQIKVTYLLPPSSELHYMF >Al_scaffold_0002_54 pep chromosome:v.1.0:2:330460:333917:-1 gene:Al_scaffold_0002_54 transcript:Al_scaffold_0002_54 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSS3] MSPPYLRSSSPQHDGFRNCGCFISFPSICRRLGDFGFVNFGCGLDPDIRVFNGYVFGSGSGYFKTGRTRELTGKQEEVHGTKNKQEEEVHGSKNKQEEEVHGTKNKGWFLYSLKQEEEVHRAKGLVFIFSEARRRSSPSKEGKSQLQYISFVKKLEAKKAYKAYFEALAGDAPASATENLPAEGEDDAPAEGAFASAFEGASTTANASASATEDAPAEGASTTENASASATEDTSASATEDTPAERASTTEDTPAERASTTEDTPAERASTTEDTPAERASTTEDTPAERASTTEDTPAERASTTENASASASATEDAPAERASTTEDTPAERASTTEDTPAERASTTENASASASATEDARVEGASTTENASTTENPSVENPSVEGASQSQPSSEAAAKVKQGKKIVQPNAIVKLEKLDWSQIPESPCIKIFHSHYTGEKRSSKQASKRGFASGSAYAAENASTSAPETASASAAVNASASATKDAPAEGAFASVVEGASTSENASASVVKNPSVENPSVEGAFTTVNASASTTTSATKDATVVNVSSEGSSQSQPSSEVEASSERQLQDELSAELTGFTHALKEFIELSKVAIDNMTDNQEKRKRTARVEESKTASCKAAKFFLDGRQVDNLMSSVVKVS >Al_scaffold_0002_542 pep chromosome:v.1.0:2:3359242:3361022:1 gene:Al_scaffold_0002_542 transcript:Al_scaffold_0002_542 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G60080) TAIR;Acc:AT1G60080] MGLQDSSQDLSTEMEVDAFRRIFPLRFFERHLSESLRPDGRQLGKARDTIVNLGLVSTADGSALAKIGSTTMLAAIRMEVMTPSTDSPDEGCIALEFHMPPICSPTVRPGRPADAAPVISKRLSDTILSSGMIDLKELCLVSGKAAWMGYLDIYCLDADGALFDAALLSAVAAFSNLQIPVVALNDNGRIVAITGGKDQDNASITEKEAVNKEKRKLTLKNIPFSLTCILHKTYILADPTTEEESIMDTLVTVVLDSSDQMVSFYKSGGTALAYSPAIKSCVELARKRAKEVKQILGEMDID >Al_scaffold_0002_551 pep chromosome:v.1.0:2:3443305:3444006:-1 gene:Al_scaffold_0002_551 transcript:Al_scaffold_0002_551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXD0] MAVIKVFRPMVPDQILILLLLQFPIWLANQFTLIPPILLTDSSHGCLSSRVLSIRLNRNVLSYLKFDSGGLSHLCYFRFLTNEFSLDIWFGEVIWVFDPGIRQTFLIERIGCCDNFLFLRTRSDDDDDVLVVPRSNYSGFMEKEIMVTNRQRWVESYERHDPESFQATYQRVRLIIVTRKAFGSFIYKMIAFYEYMKRGLNRFHLLHVRLPFGKQSYFHFFIVIMFNFLYLVQ >Al_scaffold_0002_556 pep chromosome:v.1.0:2:3482698:3486134:1 gene:Al_scaffold_0002_556 transcript:Al_scaffold_0002_556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXD9] MASSSSSSSRSWRYNVFPSFHGPDVRVTFLSHLQKQFQHNGIITFNDEGIERSQTISSELTRAIRESRISIVVLSENYASSSWCLNELLEISKCQESAGQIVMTVFYKVDPSDVRKQMGEFGKAFKKTCQGKTEAKIHRWTQSLTHVANIAGEHSLNWDNEANMIEKIARDVSDKLNATLSKDFDGMVGLEAHLRKIQYLLQSETDEAMTLGISGPGGIGKTTIARALYNQISRNFPLRYFMENVKGSYRNIDCDEHGSKLRLQEQLLSQILNHNGVKICNLDVIYERLRCQKVLIILDDVDSLEQLDALAKDIYRFGHGSRIIVTTKDQELLQRYGINNTYHVGFPSNEEALEIFCRYAFRRSSPLYGFEKLAIRVTELCSNLPLGLRVVGSSLRGKCEDEWKVIMNRLETSLDGDLERVLRVGYDSLHEKDQALFLHIAIFFNYKDEDYVKAILGEDNLDVEHGLRNLVNRSLIDISTNGDIVMHKLLQQMGRQAIHRQEPWKRQILIDAHEICDVLEYDTGTRTVAGISFDASNISKVFVSEGAFKRMRNLQFLSVSDENDRICIPEDLQFPPRLKLLHWEAYPRKSLPIRFYLENLVELDMQNSQLEKLWKGPQLLTNLKKMDLSMSRHLKELPDLSNATNLKRLNLDDCESLVEIPSSFSNLHKLKVLSMFACTKLEVIPTRMNLASLESVNMTACQRLKNFPDISRNILQLSISLTAVEQVPASIRLWSRLRVLNIIITSNGKLKALTHVPQSVRHLILSYTGVERIPYCKKSLHRLQLYLNGSRKLADSLRNDCEPMEQLICPYDTPYTQLNYTNCFKLDSKVQRAIITQSFVQGWACLPGREVPEEFEHRARGNSLTIRLMGDMPLTILKVCVVISPNQKTREFEQLLCRRMGKGNAYLPIDEISVYTIPRIQRKHLFLFHSYLFEEERFCEVTSRELVFEFSSELEIVECGTQIWKDESEINNNCGYQTANGKPGFSEEEDGGNNQQDTYEFSKASEEDEVDSTVDRECESESVEAYEEMNPAKRAKR >Al_scaffold_0002_559 pep chromosome:v.1.0:2:3513409:3514637:-1 gene:Al_scaffold_0002_559 transcript:Al_scaffold_0002_559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXE2] MVPMNPISAKFYSNVSSIPPLQFLNATQNAWETFSKLAGCHIGENINGLSKLKQYFRRFGYITGTNNCTDDFDDVLQSAINTYQKNFNLKVTGKLDSSTLRQIVKPRCGNPDMIDGVSEMNGGKKLRATERYSFFPGKPRWPKRKRDLTYAYVPQNNLTDEVKRVFARAFTRWAEVTPLNFTRSESLLGADIVIGFFSGEHGDGEPFDGAMGTLAHASSPPTGMLHLDGDEDWLISDGEISRRVLPVTSVVDLESVAVHEIGHLLGLGHSSVEDAIMFPAISGGDRKVELAKDDIEGIQHLYGGNPNGDGGVSKPSRENESTGGAGDSVRRWSGLVFILSSIATCLWLICV >Al_scaffold_0002_561 pep chromosome:v.1.0:2:3524623:3526672:1 gene:Al_scaffold_0002_561 transcript:Al_scaffold_0002_561 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G59950) TAIR;Acc:AT1G59950] MFSIGSAHHLMPVLALGTAASPPPEPIVLRKTVLEAIKLGYRHFDTSPRYQTEEPLGEALAEAVSLGLVQSRSELFVTSKLCCVDVHVGLVVPAIQQSLETLKLDYLDLYLIHWPVSSKPGKYKFPIEEDDFLPMDYEAVWSEMEECQRLGVAKCIGVSNFSCKKLQHILSAKIPPSVNQRKLRELCKSKGIVVTAYSVLGSRGAFWGTHKIMESDVLKEIAVAKGKTVAQMSMRWAYEQGVSMVVKSFKKERPEENLKIFDWSLTEEEKQRISTEISQSRIVDGEVYISENGSIKSVTEMWDGEI >Al_scaffold_0002_562 pep chromosome:v.1.0:2:3527404:3528421:1 gene:Al_scaffold_0002_562 transcript:Al_scaffold_0002_562 gene_biotype:protein_coding transcript_biotype:protein_coding description:response regulator 3 [Source:Projected from Arabidopsis thaliana (AT1G59940) TAIR;Acc:AT1G59940] MAKDGGFSCLRRSEMIGIGIGELESPPLDSDQVHVLAVDDSLLDRIVIERLLRITSCKVTAVDSGWRALEFLGLDDKKAAVEFDRLKVDLIITDYCMPGMTGYELLKKIKESTSFKEVPVVIMSSENVITRIDRCLEEGAEDFLLKPVKLADVKRLRNYLTKDVKVAADGNKRKLTTPPPPPLSSTSSMESLDSTVESSLSLVVDDDSLIMSPESSTSPVNSPMKRLDS >Al_scaffold_0002_563 pep chromosome:v.1.0:2:3537200:3540074:1 gene:Al_scaffold_0002_563 transcript:Al_scaffold_0002_563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 (formin homology 2) family protein [Source:Projected from Arabidopsis thaliana (AT1G59910) TAIR;Acc:AT1G59910] MSFLFRKNGSSSRRKIKEKLRGRHSDRGGKREEDERVYGGSGSDSLPPPPSPWGFLFPDDFVRIDGNLKAVIVDDEGLDVIYWKKLLELENSGKIRKNPKPRRRGDKSGDGFRRTGVDQDDDDDDDESIEETFSFHTKKSQSPSGGEIRDQSNNGGGGGGGGGGGGRYYTSSSASPSRPSSSSTSAASPSRTSYATSAGSDYGGVGKQSQSKFQAPGGGSFPSSPSQIHSGGGRSPPHPLPPGQFTGVNASLSTSTPLPPGQYTAVNASLSASTPLPPGQFTAVTASLSASTPLPPGQFTAVNASLSTSTPSVQLPPGQYMAVNAPLSTSTPSVPLPPGQYMAVNAPLSTLTPGQFTTANAPPPPPVPANQTSPPPPPPASAGAPPPPPPPKKGPAAPPPPPPPGKKGAGPPPPPPMSKKGPPKPPGNTKGPTKSGETSLAVGKAEDPTQPKLKPLHWDKMNPDASRSMVWHRIDGGSFNFDGDLMEALFGYVARKPSESNSVPQNPNVSNSVPQNQTYILDPRKSQNKAIVLKSLGMNKEEIIDLLTEGHDAESDTLEKLAGIAPTPEEQTEIIDFDGEPTTLAYADSLLFHILRAVPSAFNRFNVMLFKINYGSEVVQQKGSLQTLESACNELRARGLFMKLLEAILKAGNRMNAGTARGNAQAFNLTALRKLSDVKSVDGKTTLLHFVVEEVVRSEGKRAAMNKNMMSNDNASAENANVSREEQEIEFIKQGLPIIGGLSSEFTNVKKAAGIDYDSFVATTLALGTRVKETKRLLDQSKGKEDGCLTKLRSFFESAEEELKVITEEQLRIMELVKKTTNYYQAGTLKERNLFQLFVIIRDFLGMVDNACSEIARNQRKQQQQQRPATTVAAGASSSTAETPSVAAAPQRNAVRFPILPPNFMSESSRYSSSSDSDSES >Al_scaffold_0002_567 pep chromosome:v.1.0:2:3565313:3565710:1 gene:Al_scaffold_0002_567 transcript:Al_scaffold_0002_567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KXF1] LCCFYLLLLLLSVFATQLQVPYGFSSKSFPYFVKSSTFIFLKHCYLLISSPKILLYLIHLSRFVHVGIGECSLL >Al_scaffold_0002_568 pep chromosome:v.1.0:2:3567979:3569665:1 gene:Al_scaffold_0002_568 transcript:Al_scaffold_0002_568 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G59850) TAIR;Acc:AT1G59850] MPSVQIRSSPSHSSQPAPMTVTDLKQRVIACLNRLSDRDTLSLAAAELDSIALNLSPENFSLFINCLQSTDSSAKSPVRKHCVSLVSVLSRSHGDSLAPHLSKMVSTVIRRLRDPDSSVRAACAAASVDMTTNITGQPFSILLGPMIEALIHDCDPNAQIGAAMCLAAAVDAADELDVEQLQKALPKIGKLLKSDGFKAKAELLGAIGSVIGTVGGRNSEKAVLDWLLPNVSEFLSSDDWRARKAAAEAMARVALAEEELAPLYKKTCVAILESRKFDKVKIVRETMTRTLSLWKQLEGDSTEVSESSSSSKTASSGFSATSGKRSNTLRGKDRNLNAPLSSKSNDVEPLDRGDTPKDVEQEAVVSKEKRKTLEVKRALFPAKTYKVKENASNKSQVVQSSDEESPKTESGSSSSQAKNNAEELSLIRNQITQIEKQQSSLLDLFQKFMESSQNGMQSLERRVRGLETSFSVISTDLLVSRSITQNGNPKRNACRQN >Al_scaffold_0002_571 pep chromosome:v.1.0:2:3587041:3589423:-1 gene:Al_scaffold_0002_571 transcript:Al_scaffold_0002_571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXF6] MALLLTLQHAKDLGFTKIFIASDSRQLIKELHRILYNILLLSSLFDDVKFSSISRCNNRVGDALAKLGLSGVTVLAKQNYCVGNH >Al_scaffold_0002_575 pep chromosome:v.1.0:2:3614281:3617108:1 gene:Al_scaffold_0002_575 transcript:Al_scaffold_0002_575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXG2] MVIMLSNSEKSRIPQQEQSMSFLLRYIGLPNATSSRKGDRTGFMILITRSVQQEAISRRSFLKDADAKKHASETVRNFVEEIKEISFDAEDIIETFLLKEDLRKTSGIKMRMRRLSCFIVDRKAIASDIGGLSKRISKVIRDMQSFGVQQMIVDGKEYSHPLQERQRELRKTFPSNY >Al_scaffold_0002_576 pep chromosome:v.1.0:2:3617199:3617523:1 gene:Al_scaffold_0002_576 transcript:Al_scaffold_0002_576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXG3] MGSIGKTTLARQVFNHEIVKNHFDGVAWVCISQQFTRKYVWHTILQKLSAQHDEYRDSNMTEDELQDKLFRQLEISNSLIVTSYS >Al_scaffold_0002_577 pep chromosome:v.1.0:2:3617605:3619552:1 gene:Al_scaffold_0002_577 transcript:Al_scaffold_0002_577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KXG4] WKVLLTSRNERVALHADPTCAAFKPKCLTIENSWILFRRIAFPIKDDTTFKVNAEMEEMGKQMIKHCGGLPLAVKVLGGLLAAQCTFHEWKRINENIRAHIVGGTTFNDINISSVYHVLNLSFEELPVYLKHCFLYLAHFPEDYAIDVGNLSYYWGGEGIQRPRYYDGATIREVADEYVKELVKRNMVIANIDARTARFETCHLHDMMREVCLLKAEEENFVHIVDKRLPTKSQSPCKSRRIAVHQLDETYHPKGEMNPKLRSLLFFSLVEGWMSSDLLFTRLQLLRALDLSRTQFEGGNLPSSIGKLIHLRYLSLYQAHVTHLPSSMWNLKQLLYLNLCVDARSPIYMPNFLKEMRELTYLSFPFLIHDKVKMELGNLVNLETLENFSTEHVRVRDLQSMTRLRALSICIRGGCSVKTLSSSLRDLRHLENLTIYDFHVLAPTKDEEGFVLDSVHLQHLNLTLYMPRLPDEQYFPSHLTTISLCECRLVEDPMPILEKLLNLYDVSLFSQSFCGRRMVCSGGGFPRLQNLMICGIEEWEEWIVEESSMPLLHFLFISCCPKFKELPDGLRFITSLQELNIDTSLKEKFSRGGEEYYKVQHIPLVRFQ >Al_scaffold_0002_586 pep chromosome:v.1.0:2:3679545:3702128:1 gene:Al_scaffold_0002_586 transcript:Al_scaffold_0002_586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXR8] MVDAIVSFGVEKLWELVSEDYQRFRGVEEQITQLKSDLKMLMSFLSDADARKQTTELARNCVDDVKEITYDAQDIIETYLLKRERSESSGIKNHMRSLACIQSGRRKTALEITSISKRISKVIQVMRDFGIQSNIIEGGYSQALHDRKREMRHTFSNESESNLVGLEKNVERLVKELVGNDDSSHGVSITGLGGLGKTTLARQVFNHDTVKRHFDGLVWVCVSQDFTRKDVWQTILRDLSPGEKDSNLREDDIQKKLVQLLETKKALIVFDNLWKKEDWDRIKPMFPERKAGWKVLLTSRNDAIHPHSVTFKPELLTHDECWKLLQMIAFPKNDTTGYIIDKDMVEMAKEMIKHCGGLPLAVKVLGGLLAAQHTPRQWKMISENIKSHIVGGGISSNDDDSSSINHVLSLSFEGLPNYLKNCLLYLASFPEDREIELERLSYVWAAEGITNPRHYEGASIRDVADLYIEELVKRNMVISQRDLATSRFEICQLHDLMREICLLKAKEESFVKIVSDPASSSSVHSQASSKSRRLVVYGTRTFSGERDMKNSKLRSLLFIPVGYDWIMMRSNFMELPLLRVLDLRWAKFEGGKLPSSIGKLIHLKYLSLYDAKVTHLPSSLRNLKSLLYLNLNIRSHLNDVPNVFKEMLELRYLCLPWSTTSRTKLELGNLLKLETLKYFSTENSNATDLHRMTRLRSLHIFISGEGWRMETLSSTLSKLGHLEDLTIRSPENSVHLKHPKLIYRPMLPDVQHFPSHLTTISLHDCRLEEDPMPILEKLLQLKVVSLWWNAYVGREMVCSSGGFPQLLKLDLCGLDEWEEWKVEEGSMPLLHSLIIHWCHKLKELPDGLRFITSLQELSFYTKEREFQKRVSKGGEDYYKTQHIPLIRYDWPLEPEDSEVN >Al_scaffold_0002_591 pep chromosome:v.1.0:2:3736965:3743817:1 gene:Al_scaffold_0002_591 transcript:Al_scaffold_0002_591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXS2] MAYSNLLVLFEETGGNSFDISVKTVTAGILCGQVSESHSTSAEMVHTRLHKRNDVNSVAPEVHLHCEDGHVILYPP >Al_scaffold_0002_593 pep chromosome:v.1.0:2:3746335:3746619:-1 gene:Al_scaffold_0002_593 transcript:Al_scaffold_0002_593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KXS5] RQTVASCHPKTDVFSFGVVVFEIASGRHAVDLFFSEDKIILLDWVRRLSDNRKLLDAGDSRLLKGSYDNSDMKRLIHLALLYSLNNPIHRPNMK >Al_scaffold_0002_595 pep chromosome:v.1.0:2:3754567:3755987:-1 gene:Al_scaffold_0002_595 transcript:Al_scaffold_0002_595 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G59725) TAIR;Acc:AT1G59725] MGVDYYNVLNVNPTATEDDLKKSYRRLAMKWHPDKNPASNKKEAEAKFKQISEAYDVLSDPNKRQIYDQYGEDGLTATETASSQQQNHSSGNNNNNAGFRYYPRDAEDIFAEFFGASEKVFGGGGGGGGRFKSAEAGSQTNRKTPVNRKAPAIESKLACTLEELYKGGRRKMKISRVVPDGLGKTKPVEEILKIDITPGWKKGTKITFPEKGNQEPGVTPADLIFVIDEKPHSVYNRDGNDLIVDKKVSLLEALTGITLSLTTLDGRNLTIPVLDIVKPGQEIVIPNEGMPVSKEVSKRGDLRINFEICFPSRLTSEQKTDLKRVLGGSGIGAS >Al_scaffold_0002_596 pep chromosome:v.1.0:2:3759800:3760696:1 gene:Al_scaffold_0002_596 transcript:Al_scaffold_0002_596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXS8] MAPREKKPNKSCSDDDDLQRKKETLFKQRFPCFKKKATKLSVHCGNSVAFICYGPDDDLHVWPQPQDHNPQTLPEIVAKFNALIDYKRKHHACDLYEFPNLKGVSGDELRNHLVNLDSHLVGVKKQKISILKRSILKKPKPKETEENDHLRVSDNSAIISNRKVGLCLPWLPRKCSNLESSATSKVSKDVVIPDSSRLDPFTLGFSGSEYFPADPMEITDNWGVCANSGVWDPSWLDFDCSSTLFTDDWTVSGYTPLLRATDSFTASIYKRRSKDSLGSVF >Al_scaffold_0002_599 pep chromosome:v.1.0:2:3774285:3775463:-1 gene:Al_scaffold_0002_599 transcript:Al_scaffold_0002_599 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutathione S-transferase TAU 16 [Source:Projected from Arabidopsis thaliana (AT1G59700) TAIR;Acc:AT1G59700] MGEREEVKLLGVWYSPYAIRPKIALRLKSIDYDYVEEDLFGSKSELASSQIEPVKRILQYRDARKANWFPALRVAAITKSEDTKAKAMEEVEEGLLQLEDAFVSLSKGKPFFGGEAIGYMDICFGSLVVLLKAREKFKAEKLLDETKTHSLCKWADQFLSDETVKNVVPEIDKVAEFLQDLEVRAQSAASRS >Al_scaffold_0002_601 pep chromosome:v.1.0:2:3790707:3797872:-1 gene:Al_scaffold_0002_601 transcript:Al_scaffold_0002_601 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated ubiquitination effector family protein [Source:Projected from Arabidopsis thaliana (AT1G59680) TAIR;Acc:AT1G59680] MTTMSDLAVDLIGEILSRVPLTSLSAVRCTCKSWKALSKHQIVGKAEVAATKQFLGFMVVGSRMFTLGYDNSNRKIMRFPYVNVKTACPYLEIYDFNSNSWRVVEFNPPWFLHTWGVSLKGNTYFFAQDLLKTENDVNVKFENYLLCFDFTSEKFGPHLPLPFHSYDNEDGAVTLSCVRQEQLALLYQNSETVESLEIWITNQIDPNAVSWSIFLKVDIEPLIGFPKNFEPASFFIDEEKKVAVVSEDEYLYFTNETCRYQTLYIIGEDGYFKSFKIRCSDSPEFSSYAPSLVHIQTNQQGKRERKRKNKRRKRQITS >Al_scaffold_0002_605 pep chromosome:v.1.0:2:3811278:3812978:-1 gene:Al_scaffold_0002_605 transcript:Al_scaffold_0002_605 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutathione S-transferase TAU 15 [Source:Projected from Arabidopsis thaliana (AT1G59670) TAIR;Acc:AT1G59670] MGEEEEVKLLGTWYSPVVLRAKIALRLKSVDYDYVEEDLFGSKSELLLKSNPVYKKVPVLIHKSKPVCESLNIVEYIDETWSSSGPSILPSHPHDRALARFWSAFVDDKWFPALMTAVVTKSEDAKAKGMEEMEEGLLQLEDAFISLSKGKSFFGGETIGFMDICLGSFLVFFKAREKLKKEKVLDELKTPSLYRWANQFLSDETVMNVVPEIDRVAKFIVELEDRAQSVAP >Al_scaffold_0002_615 pep chromosome:v.1.0:2:3868046:3869283:1 gene:Al_scaffold_0002_615 transcript:Al_scaffold_0002_615 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated ubiquitination effector family protein [Source:Projected from Arabidopsis thaliana (AT1G59630) TAIR;Acc:AT1G59630] MWHRLARKLPSELEEEILFRVPPRSLVRFRSVCKEWNTLFHNKRFINKNFACNRPEFVLTTHSHIYSISVNLNDDPTMKVHDLCVDLHRLRYSLYGTCDGNLFFMYDSYKRGVVWNPLLRKTKLIAKDENICGRSMGYDGSRPEKSYKIIGRCSTNWYHRVAVLKFATNAWKVTHRTSFGEELQSLDSNSRVSLNGNLYLTGYKYPYTGQCFIEMLDFSKEIIKIFCMLPCKGKKGTSHTRMLSIYKGDRFSVLQQCKRTGEIKIWVTEKKIGNGDDGDNVVWINFMTVSRPDFPKLLTPMSTSYFVDNNIYGKSFVLCCNSKKPRQAWVYIVRGDMCKKIKIDGVLCKFQSSFYVPSLITIS >Al_scaffold_0002_619 pep chromosome:v.1.0:2:3901818:3902744:-1 gene:Al_scaffold_0002_619 transcript:Al_scaffold_0002_619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXU4] MEKMSKQMIKHCGGLPLAVKHKEYQGRGIVMERPLERLQMEKFVFLKSKKRISCALLIHFPSHLTTISLTDCRLVEDPLSMLEKLPNLYELSLLNTSFCGRRMVCSGGGFPQLHKLKLSGLDDLEEWIVKEGSMPLLHTLSIRRCNKLKEHLDGLRFFSSLEELNIYTVHLEFMEALSKEGEEYCKIPTHSSCSN >Al_scaffold_0002_625 pep chromosome:v.1.0:2:3939129:3940460:-1 gene:Al_scaffold_0002_625 transcript:Al_scaffold_0002_625 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase homolog 2 [Source:Projected from Arabidopsis thaliana (AT1G59580) TAIR;Acc:AT1G59580] MATPVDPPNGIRNQGKHYFSMWQTLFEIDTKYVPIKPIGRGAYGVVCSSVNRESNERVAIKKIHNVFENRIDALRTLRELKLLRHLRHENVVALKDVMMANNKRSFKDVYLVSELMDTDLHQIIKSSQVLSNDHCQYFLFQLLRGLKYIHSANILHRDLKPGNLLVNANCDLKICDFGLARTSNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQIKLIINILGSQREEDLEFIDNPKAKRYIESLPYSPGISFSRLYPNAHVLAIDLLQKMLVLDPSKRISVTEALQHPYMAPLYDPNANPPAQVPIDLDVDEDEDLGAEMIRELMWKEMIHYHPEAATMNNNELSEF >Al_scaffold_0002_627 pep chromosome:v.1.0:2:3952762:3953889:1 gene:Al_scaffold_0002_627 transcript:Al_scaffold_0002_627 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G59550) TAIR;Acc:AT1G59550] MESDHQRKLVSSFLEIAVDQTVETAIKCLNATNWKVEEAINLFFLIDRRNQSSTQKPSDSSTKLSSLYRPSLNLLFNGSFEDAKATSSSEDLWLLVHIQSKTELPCNTLNRDLWSNDDVSQALEFSGEIKAQSFLEDLKKYIDATPHEYFASMARNMRVKTKKICHLDRDMVNSPSDRVVVSSSGQEFEDVIMTLSEHEEETCLSSNMFKFPVLTEEPKGDCDRSVVCSISVQFPNGRRKQRKFLKSEPIQLLWSFCYSHMEESEKKAFKLVQAIPGASKTLHYGAKATFDQSGIANSIISVTWE >Al_scaffold_0002_63 pep chromosome:v.1.0:2:382866:383036:-1 gene:Al_scaffold_0002_63 transcript:Al_scaffold_0002_63 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KST3] GVDNSNGWIENGADAALGNGSTHGDVDREMTNKNSGSDRVEQVVNLTANNDNADLNK >Al_scaffold_0002_638 pep chromosome:v.1.0:2:4019527:4021106:1 gene:Al_scaffold_0002_638 transcript:Al_scaffold_0002_638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXW1] MEPHHYLSDLNVHSTRWLVHVKILSLWKEPTSSWRTEIKMILADEKGNRIDATIPNRHYHWNFLAVLKPGLWYRMSDFEVVRPDEKKTKYSCFPVEIKCIADTTMWPITVKCPYSFFDFVFPQTVEFAQEEEKEFVTGINHDFMEMQRADDDNVMMEVVAENQ >Al_scaffold_0002_639 pep chromosome:v.1.0:2:4021743:4023477:1 gene:Al_scaffold_0002_639 transcript:Al_scaffold_0002_639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXW2] MKKRNSLVDKGLTDVTPSSKRKCSTPKSRVISNLQQPNSSEKQTSVPVKSIFGRVFTDITNLTPVVLEESLCPRGKNLSVTDTGSLDENSYLTPVCVRQSLSSQEPYSLCSVPITASIHSSQCKGYINSTELSECLINDGANLTDIPIKQSTSSLASKDFLSSIRSEAIKSTLADENVQNLSSVRISQSYAQLGADTFLSDIRREATKNTIIQSNSGTQTLKSAKKRAPNRVLGDISNKPDRRRRHEPLGSSVLYSAQNKENYTPNFEYSEQQPKQRKSKKTPNLEDCRVNLFDSTPFEEEEISDLEQEYDVSSQEDMGSDSSSGYETELSVETDEVIHVQNKRGTCSKGRKGISLKRRKYTKGASNHIKRKTGYYQPNSYVHNFSVYI >Al_scaffold_0002_64 pep chromosome:v.1.0:2:384908:386075:-1 gene:Al_scaffold_0002_64 transcript:Al_scaffold_0002_64 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KST4] MSLANYVEKLGFNDIYINRIQHASQPSYTCFSYWFDISQDDTIENFHVSPLPRGTPINEIGYATSLYPISSSSSGFRIGTFPPFSSILAYTKTAQMRKRSGTCHHIEVRHESFQSYHLWDISNPITKSVLSFQEASSSSVYGNQRVAQDDPEQRDDPIVEVITPEVYNEPRNPFHGSGHDH >Al_scaffold_0002_640 pep chromosome:v.1.0:2:4033176:4034607:1 gene:Al_scaffold_0002_640 transcript:Al_scaffold_0002_640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXW3] MKLQILCLALVLIAIEANAAKQGINATIPALIVFGDSIMDTGNNNNLHTLLKCNFPPYGKDYPGGFATGRFSDGRVPSDLIAEKLGLAKTLPAYMNPYLKPEDLLKGVTFASGGTGYDPLTAKIMSVISVWDQLIYFKEYISKIKKHFGEEKAKEILEHSFFLVVSSSNDLAHTYLAQAHRYDRTSYANFLADSAVHFVRELHKLGARKIGVFSAVPVGCVPLQRTVFGGFFTRRCNQPLNNMAKQFNARLSPALDSLDKELDGVILYINVYDTLFDMIQHPKKYGFEVADKGCCGKGLLTISYLCNLLNPFTCSNSSAYIFWDSYHPTERAYQVIVDNLLDKYLSKVY >Al_scaffold_0002_643 pep chromosome:v.1.0:2:4040413:4045830:-1 gene:Al_scaffold_0002_643 transcript:Al_scaffold_0002_643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXW6] MEEVIAGELVSLGIQKLWDLLSRECERIQGVEDQVTGLKRDLNWLSSFLKDAYAKKHTSEVVKICVEEIKEIICDGEDTIETFLLKQKLGKTSGIKMRTKRLACIVPDRRESSLDIAGIRTRISDVIQDMQKFGVQQMIIDGGYMQPLRDRQKERRETFPKENESDDFVALEANVKKLVGYFVEDANVQVVSITGMGGLGKTTLARQVFNHEDVKRQFDGFAWVCVSQDFTRKNVWQKILGDLKPKEEEKKISEKTEPRLQDELIRLLETSKSLIVLDDIWKKEDWDLIKPIFPQTKGWKVLLTSRNESIVVPRSTTYINLKLECLTTKDSWTLFQRIALPIKDASEFKIDEEMEEIGKEMIKHCGGLPLAIKVLGGLLAAKYTLHDWKRLSKNIGSHLLGGKTNFNGDNNNSFNYVLSLSFDELPSYLKQCFLYLAHFPEDYMIKLENLYYYWAAEEVFEPRHYDGETIRDVGDVYVEELVRRNMVVSEREATTLRFETCYLHDMMREICLLKAKEENFLQITSSGPPTANHQSTVKSRRFVLHNPTTLHVGRDINNPKLRSLMVVQVNKSRWKLSGSSYRRVELLRVLDLSGAKFKGRNSAQGIGKLIHLRYLSLKHAKVSHIPSSLGNLKLLIYLNLSVHTRPICVPNVLKGMQDLRYLALPYVMRRKPQLELSNLVNLETLKNFSTKNSSLEDLRGMVRLRSLIIRLTEETTMETLSASIGGLQYLENLDIEASDWRIKEEIVLDFAHVKKLSFGTIMPRLPKEEHFPSHLMILELGSCYLEEDPMPILGKLLHLKEVRFGIAAFYGSEMVCSDGGFPQLEKLDINRLYNWEEWKVEEGSMPLLHTLSINRCRKLKKLPAQHLPSYLN >Al_scaffold_0002_645 pep chromosome:v.1.0:2:4048515:4049092:-1 gene:Al_scaffold_0002_645 transcript:Al_scaffold_0002_645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXW7] MEDIKFSPPSPTLASRRWLVNLLYGYQKEEEASLRGCAWLLQISPNLCSRRLQQRQTSFRHWLLWWYFAASCLSISHRSVLDCCLGVQPPVKLFSEKPLALHTSAVADLSNALAGSSPTIVVGSSSDVVDTVDISLVSGSLPITVAGPSSGEVISGSSPTDKAIHIFYSGP >Al_scaffold_0002_656 pep chromosome:v.1.0:2:4131053:4131640:-1 gene:Al_scaffold_0002_656 transcript:Al_scaffold_0002_656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KY58] MKSKFWLAEDRDYGTSTKPLVKRVANDRNIRRLIPKHRQPSLMQQTLSRSKAYRSKRRSQGNQRLLTPGGRKDTEGEDKCYATATKREDIVRSRKNSMRSLATSDLSSSNKLEDAQEHRENEFVVFNSRVSG >Al_scaffold_0002_658 pep chromosome:v.1.0:2:4153607:4156606:1 gene:Al_scaffold_0002_658 transcript:Al_scaffold_0002_658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KY60] MVGDQIIAFGVQKLLELLSQEYEKFQGVNDQVTDLKRDLSLLSSFLKDADAKKHTSAVVKTCVHDINEIIYDAEDIIETFLLNEEIGKTSFGKRVRKFAFTIVDRGKVASNIGGISKRISKVIRTMKSFGVHEMIVDGSRYSHTLQERQREMRQEFARGYESNFVGLETKVKKLVGYFVEEDNIQVVSITGMGGVGKTTLARQVFHHEMVKHKFDGLAWVPVSQEFTRKHLWQTILRELKPHVEKNKILEMTESMLQDELFRFLESSKSLIVLDDIWKEEDWDRIKQIFPPGKGDLFMVLSKKKDDNKSYSLCTLCLEIMKGTYFFTGWKVLLTSRNESVAVRGDTTFINFKSQCLSTEESWTLLQLIAFPKKDASEFMVDEEMEEMGKEMIKHCGGLPLAIKVLGGFLAAKYTIHDWKRVFKDIGSGSMGRTNLNDDNNSLVYHVLSMSFEELPSYLKHCFLYLAYFPEDDEIDVSTLSYYWAAEGILKPRKYDGETIRDVGDSYIDELVRRNMVISERDSRTSRFETCKLHDTMRELCLLKAKEENFLQIAGTRSPIVDSQSPCRSRRLVCQSPTNLHVERDINNCKLRSLLIVLDFYGESWMLSGSSFKRLELLRVLDLYKAEFQGGKLPKDIGKLIHLKYLSLREAKVSHLPSSLGDLILLIYLNINVYIGIGNMESIIVPNVLMGMQELRYLALPTCMSKDTKLELSKLVNLETLEEFTTENINIEDLRGMVRLRTLVMTLTSDTTVETLYASIGGLRHLENLEVADHRFDSKEGLVLDFVHLKKLSLRMYMQGLPRIQHLPSNLTTISLDGCGLVDDPMPILEKLLHLYEVKLIYNSFCGRRMVCSGGGFPRLHKLRLCGLERLEEWIVEEGSIPFIHTVSIWGCQKLKQVPVELLFITSLNHLNMDKIWEERFLEGGEDYYKVLHIPCITFRATYDE >Al_scaffold_0002_659 pep chromosome:v.1.0:2:4160330:4161181:1 gene:Al_scaffold_0002_659 transcript:Al_scaffold_0002_659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KY61] MVPHWERLSTNIRSHNVIRRTSANNNSIDNVLSLSFEELPSYLKHYFLYLAHFPEDYAIVVEDLAYYWAAEGIPRPRYYDGATIRQVADGYIEELVKRNMVISKRDARTSRFETCQLHDVMREVCLLKVKEGNSIHTVEIRASTATSQSLCKSCKIALHQLDRSYDPKWEMRNPKLKSLLLIKKKSWEKNRMTSRLCFTRLQLMRVLDLSYAVFKGGKLPSSIAMLIHLRYLSLSEAIVTHLPSSMWNLKQLLDLNLDVKGRLPTHMPDFMKEMRELTYLCSI >Al_scaffold_0002_667 pep chromosome:v.1.0:2:4293916:4294860:-1 gene:Al_scaffold_0002_667 transcript:Al_scaffold_0002_667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KY67] MRQINHCFHLPNDNGNQIRDIKDGDEDNLFNGWKVMSSLTRETEVVSTRIAPNIVDNQQLLNTQHDSTRRGDLVRVPGGVVSSTYAWSLRRLYTGYFDGVSYVSSLDQLVHVAPKMCYMNTSIIFLAESYLTIKDLTHSPKIMLHMLFHNGHSISAVTLMASPMYPVLTSLFM >Al_scaffold_0002_670 pep chromosome:v.1.0:2:4342046:4342444:1 gene:Al_scaffold_0002_670 transcript:Al_scaffold_0002_670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KY70] MAEIEEGEIVVFKSEKLTQNVPETQVEESQTRAAMVNDDSQVKVANETVEQSVTLINETVRNQIVDEHWSVVSPGKGCRSGEKTLNPLTFGQVKILSSSRYSVLNVEEETVDNETGSDMIKETDITGTRCRG >Al_scaffold_0002_675 pep chromosome:v.1.0:2:4400876:4401857:-1 gene:Al_scaffold_0002_675 transcript:Al_scaffold_0002_675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KY78] MSLLLPANLQQCLSSSSISLLSHLSIPPSPRLPAPNRRCLRLVTSCVSYDQSSVENEDLIVVHEALNFGGYHFCIVIGIVDVVLDLLSSRILLKEDNLIDIGGSLRICW >Al_scaffold_0002_676 pep chromosome:v.1.0:2:4407955:4408839:-1 gene:Al_scaffold_0002_676 transcript:Al_scaffold_0002_676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KY79] MMVFDRRHLDRFIFGPPWSFNGRICGYQLDSRVLGLIAVVFGDPFERFWSWEGTNIVIFETVTKFQASKPNLSSWFSLMGSSPKSSNPEKKRRCKCTMNFASLFAVGAPEALVIGVVALLVFGPKGLDERIEEKAKGIQRLEDLQRIEEKAKGFGEKE >Al_scaffold_0002_679 pep chromosome:v.1.0:2:4423228:4424472:1 gene:Al_scaffold_0002_679 transcript:Al_scaffold_0002_679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KY82] LQRRSLFTENPQSVDPNSLERRREHQYFVKKTWKTQVKLEHILPTIAAGPDVPMWKIGGEDDIKSKFSATSTWSQLRHVKPTQGCKRVVYDTKSQSIYATLYIKRADQGHRFIFALYLAVLKLKVEEVADECLGLRRVDTSLYLSENDKTYLLMALELPMVIPNKL >Al_scaffold_0002_682 pep chromosome:v.1.0:2:4461023:4462496:-1 gene:Al_scaffold_0002_682 transcript:Al_scaffold_0002_682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper (bZIP) transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT1G58110) TAIR;Acc:AT1G58110] MASSKGSQSVRNLMYPGKHALLPPKIPFPSVSASYSEYIPSGLIGSRHGQKLSDEKTHHQRTSSESHLVEELPFWLDDLLNEPESPARKCGHRRSSSDSYAYLDVANATNISLTLQNDFSYRNTVSSTHRGIQELDRNKNAQDAAFYSDANFLKQKSRQRDSLVASGARPSWLPFARESAGGKNMGSLYMSQDATVITERKNYAEPLSHDPKMLSFEENNSNPPPVTYEADNTKRAKQQFAQRSRVRKLQYISELERNVQTLQAEGSKVSAELDFLNQRNLILSMENKALKQRLETIAQEKLIKQLEQEVLEKEIGRLRVLYQQQQQTQKPSASRGRATSKDLDSQFSSLSLNTKDSNCRRDSVSVMGQFHF >Al_scaffold_0002_69 pep chromosome:v.1.0:2:404011:405405:-1 gene:Al_scaffold_0002_69 transcript:Al_scaffold_0002_69 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 28 [Source:Projected from Arabidopsis thaliana (AT1G64230) TAIR;Acc:AT1G64230] MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNVNSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >Al_scaffold_0002_700 pep chromosome:v.1.0:2:4598638:4598949:-1 gene:Al_scaffold_0002_700 transcript:Al_scaffold_0002_700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYA4] MEAKVTVTDRTDDDECLILASDGLWNVVPNETALWYNVVLCPRGAGRADCDDSVAAAVDNACSDASLLLTKFALARPSFDNVSVVVQWLTGGRGGIINHRLNN >Al_scaffold_0002_701 pep chromosome:v.1.0:2:4599894:4600166:-1 gene:Al_scaffold_0002_701 transcript:Al_scaffold_0002_701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYA6] MATQTMKKIYSCLMIVVLFTIVVSTYASTVEVCVKHCVPNQCMKVSQKATLPLCENACKKFCNQNKFSDEKLIVHRSSCDGLFSWLFCNK >Al_scaffold_0002_708 pep chromosome:v.1.0:2:4645019:4646712:-1 gene:Al_scaffold_0002_708 transcript:Al_scaffold_0002_708 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein [Source:Projected from Arabidopsis thaliana (AT1G58270) TAIR;Acc:AT1G58270] MFSDEKKSKNYGSIFLYCFFCFVLIVEVARFAKPYYNLQNLMETEAVVEEGFLAVEDSGKLPCRLLSRPSVSVPSQDQQKLSQAVTQETRTRPPNSYCVKFQSFITMAKQVKENGGKYESRPFSVGGYNWYTFHRARVDTSLYLRIDNSSLITNPKDVYADITFLAYKSSTDKYQSYQETDAQRFHLFRQQWGQITFLPIAYFENPGYGYSFDGGSVVFGVDINIVKPFENWEVFSNEQNIRDPIFEWRLTKFSTLFKDSYTSGSFSSGGRNWALKLYPNGVGNATGNSLSLYLLNESNDKGYVEAKLQIIDQNQSNHFVKKDRRNASKGYVVNDTLKFQVEILSFSKTDFYSHQSSVVLPISTGDST >Al_scaffold_0002_710 pep chromosome:v.1.0:2:4648293:4649837:1 gene:Al_scaffold_0002_710 transcript:Al_scaffold_0002_710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYB5] MRMMKDITGISKRFQLTSLFTLPASWLKVPPVTPSSCRWFFSHSLIPSQPLFADGMVKSYSWRTEHEAEKEDTSYEKERFDRFLNQVEAENQVILRLGRIELRIEREEKKC >Al_scaffold_0002_713 pep chromosome:v.1.0:2:4681161:4681523:-1 gene:Al_scaffold_0002_713 transcript:Al_scaffold_0002_713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYB7] MYHRNWSRAGPNHNHIIYHHIITTTAIAITTAVAIPTTTATTTVTYTTTITPSPTPHHHHYYNHQVAPTTFTTAIITVTATTPSPASLRRHHRHHQHYIVTITTITTAISIITITTTTTS >Al_scaffold_0002_715 pep chromosome:v.1.0:2:4690022:4690372:-1 gene:Al_scaffold_0002_715 transcript:Al_scaffold_0002_715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KYB9] VRHAQGIHNVEGEKNNEAYLSEDLCDAHLTPLGWQQVDNLHKHVKASGIFNRIELVVVSPLLR >Al_scaffold_0002_716 pep chromosome:v.1.0:2:4691789:4693762:-1 gene:Al_scaffold_0002_716 transcript:Al_scaffold_0002_716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYC0] MSHQSMTSNLSFYISSVSPLSSPLPSIRRLSLRCCSSLSSHDMEAKPSQGLYPLHRCKTIHLVRHAQGVHNVEGEKNHEAYLSEDLFDAHLTPLGWQQVDNLLKHVKASGISNSIELVVVSPLLRTLQTAVGTFGGEGYKDGLNAPLLMTAGAGNSDRPAISSLNCPPFIAVESCREHLVCLLIHLLHNWHLLKSNFFEMFLFLVQGVHPCDRRRNITKYREMFPAIDFSLIESDEDVLWKPNVREEDQDIAARGVKFFNWLSTRKEKEIAVVTHSGFLYHTLKSFGNDCDPSVKNEISSKFANCELRSVVLVDKCMNGSDPPVANYPGKIPAGEDLPSDNQK >Al_scaffold_0002_717 pep chromosome:v.1.0:2:4694616:4695186:-1 gene:Al_scaffold_0002_717 transcript:Al_scaffold_0002_717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYC1] MEAENVDEEKISGRAAAESVDEEFGRVASTRNEDPLPKLDEIKTTETQTDEADGQSKDKLALAIAQLQASISEKICQSLSWQFWRLDYNDIVFHSILRTRYTLILDVSRFKQLWVCVVVCQFGTTSQAFGGARGQGKGIEEQD >Al_scaffold_0002_718 pep chromosome:v.1.0:2:4703200:4703616:-1 gene:Al_scaffold_0002_718 transcript:Al_scaffold_0002_718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYC2] MVGNWGFSQPQLDFGGGAGRGGDTQLSQRSIWVIVPTLKKRKSGRGDASLGDLGHGRGAGLDLSGRSVRVIVPTVKLTVLLKIAPK >Al_scaffold_0002_721 pep chromosome:v.1.0:2:4719028:4719737:1 gene:Al_scaffold_0002_721 transcript:Al_scaffold_0002_721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYJ5] MEIVGDCKYFNFVDSTRLGMVFITMILLGCDNDEDLVGGEESRKESGKDETVCVEGDSISAHEVDVDEGDGRPREKTKTNVMEVVKVMTLNQSERKTKTKTKTMNEKKRMKKMRISMK >Al_scaffold_0002_723 pep chromosome:v.1.0:2:4748036:4749761:1 gene:Al_scaffold_0002_723 transcript:Al_scaffold_0002_723 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G58310) TAIR;Acc:AT1G58310] MDFGRSRDIISGLPDLGKKNPVISGEDYLEMINERNNQLSTSFMAFVDHVLALQGNSPLHKFSLKFGDGVVDRFRVIRWILKVLERGVSDLELDMHLKWKSSLPSKIFLSETLVRLKLSVDRGPRIDVDDVHLPKLKTLHIESVKFEQHGIGLNKLLSGCHILEELILEYISWCLWEFVSVSSTTLKRLTFCGELMQDENPISVSFDTPNLVYLMFTDVIADEYSKVNFDSLVEAHINLQMSEDQMEEARFSNSEGNLVANATDFIVGICNVKILYLAAYTLEIEKKIDQVKHFLETMPYVEQLILHYNTPIDEDVIEVYQQLQRHPKVASSKCKLQLISDNLSLSSQD >Al_scaffold_0002_724 pep chromosome:v.1.0:2:4754905:4755674:-1 gene:Al_scaffold_0002_724 transcript:Al_scaffold_0002_724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYJ8] MELSKTQTVHSKLGKSGTQFWQGNLTNVRWPNAKLAHEKEKDYRRMGLDLVSCLNTKLRSVKKTATIDLKGLVTSVSNLRDGLGPLDV >Al_scaffold_0002_746 pep chromosome:v.1.0:2:4952485:4953359:-1 gene:Al_scaffold_0002_746 transcript:Al_scaffold_0002_746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KYM2] CFSFVERERFHRQSFRTKVVLTRRKRGLEFPCDGPGQGGTCDISIGYVEASESIDAKFRSVCNRLTLQYFRYKSDASWNTNLDVIFKQCLEIPLIPYYKGGIIV >Al_scaffold_0002_751 pep chromosome:v.1.0:2:4995251:4995557:1 gene:Al_scaffold_0002_751 transcript:Al_scaffold_0002_751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYM8] MPLEVTPVSQLNSDLSTCKVKVRIARVWAYHKKDRPKDITGIDLLLVDDKGDRIQASIRSQLLTKFQGKLEEGIAT >Al_scaffold_0002_754 pep chromosome:v.1.0:2:5013087:5013799:1 gene:Al_scaffold_0002_754 transcript:Al_scaffold_0002_754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYN2] MPKPFLTSQRFSEPKIEVRVEPKTEVKLRRISEDAWIKRDRREITPSMIKLSLERLVSPSEQNNVGSEATKVPQDSEKCNATKRVKCESKNTNDMVMEEEPEVMVMIVPYADLYNNEKDLIEASFRETSKKNEELGPMKLIDSRFYKTSRRFSIGK >Al_scaffold_0002_756 pep chromosome:v.1.0:2:5021830:5022186:1 gene:Al_scaffold_0002_756 transcript:Al_scaffold_0002_756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYN4] MVQAPRTRSGTKRLREQFNKSIESLITLIEQEELEGRSFTKDIIRETPIEPQINPNVSKGQDLENEQDNNYFEQGTFQETEIIKDKACVQLKTQTASFLPVLQGIKEPALFIISKIIQ >Al_scaffold_0002_758 pep chromosome:v.1.0:2:5030135:5035677:1 gene:Al_scaffold_0002_758 transcript:Al_scaffold_0002_758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYN7] MVESVVEKIEGDVGSLAKGLHELEAIKERAQRCEKEIVYLKDVVNIWYGRWSRPHKSLIRDFLNSISDAKAPSPSVTEWWRTASLYADQTGGNVSRSVLIAGEYSDSKYSHGQHLTRLTIQDVIASAARSASFPVDHKNGMNAIFIWRDKHTSHGGNRPFFQDLIYNSLNKCNL >Al_scaffold_0002_760 pep chromosome:v.1.0:2:5043383:5044546:-1 gene:Al_scaffold_0002_760 transcript:Al_scaffold_0002_760 gene_biotype:protein_coding transcript_biotype:protein_coding description:heavy-metal-associated domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G57780) TAIR;Acc:AT1G57780] MGSWLKPQGITTMMGRWLTKSRENILDNVIVTDAELKISMNFEDCAKKIRKVACQFEGVKSCITDIDDQKVLVSGEFNLHKLVKTLKKKTGKKIEIVMKNEKSNDDKPETSIMEVEFGIPFLCEKYEKSFRKVISKWTGVETYVMDLENKKVVVIGNFDKDELSRKLNKKMQQKIKKAEKERQEWESEMMLKEAEEEKRVAEIYEEIDKDRNVYLNPITDYEKEMAKHYNMFSDENPNACSIS >Al_scaffold_0002_778 pep chromosome:v.1.0:2:7270721:7271447:1 gene:Al_scaffold_0002_778 transcript:Al_scaffold_0002_778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYQ5] MEHIFFANLDMMHDKNSSLERGKWVLTLVILRLDRAAFYVLWSLKGLQEVFINIKRRSTHLDLVPQKNNALVGAHIVQPMKKALSH >Al_scaffold_0002_780 pep chromosome:v.1.0:2:7286644:7288391:-1 gene:Al_scaffold_0002_780 transcript:Al_scaffold_0002_780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYQ7] MTYLFKGRALQAHIFEGKEPPQFVALFQHMVVLKGGLSSGYKNRMIEKGSSDETYTATRRNQCTFSSAREFLPEEGSIITWCTFWRYFMHGLAVVTKDQGKVPAALFALTSAFNSSSGK >Al_scaffold_0002_781 pep chromosome:v.1.0:2:7298231:7299381:1 gene:Al_scaffold_0002_781 transcript:Al_scaffold_0002_781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYQ8] MSIGLILRTKYMIINVRLSRNLSLSTSQSNESIFLIKMNTRQNTILGTKVTGDSTMSSYQVVASGEEQVVASKEENAVASEDISKFTMWSGELGFGLTVYRNKNFRLLEKKFTSVTCLDSNINFIETLRKNWTSTRTYMVNLFVIFSRDSNNQKEERGTNNDRTYRSQHYKSNSGIH >Al_scaffold_0002_783 pep chromosome:v.1.0:2:7308832:7310282:-1 gene:Al_scaffold_0002_783 transcript:Al_scaffold_0002_783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYR0] MNATENLVVALLFLLFLSAVVFQIISHNPTISKYLSDSITSKDDFLSRSLPSSPTSPASPATEESFLEMADSGTSSCASTNDSFRPTRLSSPSGNHEIRLQIWAETERRHREDEFDKEAEEKLKMSEHGQSSPKMPLMSSPRLPLRYSPTASPTFNQFVDYKSMKLLLIPVLPLVFWHSEQDYTIFYHPPVIKLIPPMVKTIKAKPQKNGEEVGDWAWYHELKRYFV >Al_scaffold_0002_785 pep chromosome:v.1.0:2:7327085:7328139:-1 gene:Al_scaffold_0002_785 transcript:Al_scaffold_0002_785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYR2] MAPKKRQRLGKVPMTEERSEPQDIQWTHGEKTSRSDFEKIKVHPTRFVDRFFLRQMGLAEGVDDLLQRIGMSCLKDMYYPTFEEETRDFLSTVKVEYEKPRDKVASQGLMTFKIRNKGYGLTIFDICEVYGFSKGEAVSFDTFHGANYLWNRIANGNYKTHGAKMTGIRNPVIRYVCKLLANTFFARKDAGAVTLKELCLLYQGLKHLLIDMRGVLHEYEFGDEINYGSIFANNLLQFKTWANTTPNPDLYIGGSPGSNGAKRW >Al_scaffold_0002_787 pep chromosome:v.1.0:2:7331480:7332933:1 gene:Al_scaffold_0002_787 transcript:Al_scaffold_0002_787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYR4] MNATENLVVALLFLLFLSAVVFQIISHNPTISKYLSDSITSKDDFLSRSLPSSPTSPASPATKESFLEMADSGTSSCASTNDSFRPTRLSSPSGNHEIRLQIWAETERRHREDEFDKEAEEKLKMSEHGQSSPKMPLMSSPRLPLRYSPTASPTFNQFVDYKSMKLLLIPVLPLVFWHSEQDYTIFYHPPVIKLIPPMVKTIKAKPQKNGEEVGDIWAWYHELKRYFV >Al_scaffold_0002_788 pep chromosome:v.1.0:2:7337352:7337594:1 gene:Al_scaffold_0002_788 transcript:Al_scaffold_0002_788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KYR6] KFKLWRHVTEAKKKHSEKKMTKSDEPKPKRLRIAKDTKKSSSTLNMPKRPLTGFFIF >Al_scaffold_0002_789 pep chromosome:v.1.0:2:7341363:7344928:1 gene:Al_scaffold_0002_789 transcript:Al_scaffold_0002_789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYR7] MQPAPKVFGKNTDQIKAFAQQFKQQTIRLQGFPLALQLLAYRNISGMAEALTDPSDHRDFVSWSSSKIPKQSTALTIIHSVEQTETLCVDPLVYVSLNQEVFDWDDEVKDKRVDYLLDKVSKGYRFSKREWPGGDCSTNLIGVIKQKASIVKKKPVKPRSKKKTLSVEKNPSSSKRRRSARHSKRFDPLSRDDLVARVECLESELVQIKSAFDLQVQRLSSHADKLEKRFQSMKSQRFRKKSFLAVSARKVLRQTFLPFSKQPADTVTAPVASKSNDEGLSGVDPSTNGTQVKSGGVSGLDNSIQREVLIGNDESGKGNSDKECNTDEFGDTTLGDTDSESSADESSDYDEGESKSHTDEPSKDASSSELNVQVQPQHKDDAPMDIDNTTTDLIDRLLGANNASKPQTVQVDMDIDPPTALVPPGSSPNVHIDNSVVSGDALVPVDNTEDLINYTFGNPHGFTDKDVFETPFAQQVAVWNPSSFFSSIINSNVPIQQDLSASLTSKLTSELDMTLPIFDTSSKQQKDGTGVLPIYGEAKTSSCLILPIISAQQEKKDMMLTICDTSSKQQKEGTEEHPIFDTATKSSCLILPISSSQQEQKDMRLPIFDTTSKQQKEGTEELPIFDTATKSSCLILPISSSQQAMSMLMTTKIIWMEMKIQKRVMAMGTLSR >Al_scaffold_0002_79 pep chromosome:v.1.0:2:440489:443941:1 gene:Al_scaffold_0002_79 transcript:Al_scaffold_0002_79 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family (UPF0016) [Source:Projected from Arabidopsis thaliana (AT1G64150) TAIR;Acc:AT1G64150] MLSLNLSESLRIPFQNPRPPKFDSCCSSPPSSSRRCVSSFPIPIGFSFRNQYFSRCLTQLRRNESQQLEFRCFRRNDSACYLEKAESEENIRNLDLLVDSSVAHSRRETLRLLKFLAVSGSVALLGNDPAFAASSIANGTQSLVSSLGDLGDISSGFASAFLLIFFSELGDKTFFIAALLAARNSAATVFVGTFGALGIMTIISVVLGRTFHYADEVLPFRFGETDLPIDDIAAVCLLVYFGVSTLLDAVSDEGKADEEQKEAELAVSELSGSGAGIVAAANTIISTFALVFVAEWGDKSFFSTIALAAASSPLGVIAGALAGHGAATLLAVLGGSLLGNFLSEKAIAYVGGVLFLVFAAVTVAEIVT >Al_scaffold_0002_791 pep chromosome:v.1.0:2:7358845:7359132:1 gene:Al_scaffold_0002_791 transcript:Al_scaffold_0002_791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYR8] MDWRIGREVRCLGGEVRALLGRLAVVFDGGMNYAINHVGLTNMPIVTGQMDGLLWIQT >Al_scaffold_0002_794 pep chromosome:v.1.0:2:7366561:7367272:-1 gene:Al_scaffold_0002_794 transcript:Al_scaffold_0002_794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYS0] MNTRQNTILGTKVTGDSTVSSYQVVASGEEQVVASKEENAVASEDISKFTMWSGELGFGLTVYRNKNFRLLEKKFTSVTCLDSNINFIETLRKNWTSTRTYMVNLFVIFSRDSNNQKEERGTNNDRTYRSQHYKSNSGIH >Al_scaffold_0002_803 pep chromosome:v.1.0:2:7479474:7481396:1 gene:Al_scaffold_0002_803 transcript:Al_scaffold_0002_803 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G64820) TAIR;Acc:AT1G64820] MEKDFSLVRNEEEEDNRNGASYLSVEMMKKVSSMAAPMVAVSVSQFLLQVISMVMAGHLDELSLSAVAIATSLTNVTGFSLIVGFAGALETLCGQAFGAEQFGKIGAYTYSSMLCLLVFCFPVSLVWIFMDKLLELFHQDPLISQLACRYSIWLIPALFGFALLQPMTCYFQSQGLILPLFVSSLGAICFHIPFCWLLVYKLRFGIVGAALSIGFSYWLNVFLLRIFMSNSALHREMKNLGLQELISSMKQFIALAIPSAMMICLEWWSFEILLLMSGLLPNSKLETSVISICLTTSALHFVLVNAIGASASTYVSNELGAGNHRAARAAVSSAIFLGGVDATIASITLYSYRNSWGYIFSNEKEVAHYVTQITPILCLFIFVNSFLAVLSGVARGTGWQRIGGYASLGSYYLVGIPLGWILCFVMKLRGKGLWIGILIASTIQLSVFTLVTFFTNWEQEATRARDRVFEMTPQVKGNQKTQIILEEDTQVLLNHITETV >Al_scaffold_0002_804 pep chromosome:v.1.0:2:7486509:7507106:-1 gene:Al_scaffold_0002_804 transcript:Al_scaffold_0002_804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ10] MQLLQFLLCHPSWNVRKTAYNSVTKIFLATSQLATTLLDEFSYFLSITGDQIVSSRTSDADNFVDHQVSFVPSVEVLVKALIVISSAVVTGPPCSWIVRAIFCLHHPSVLGTGKRKDVWKHLQDLPDKLSHDMLSETDIKTANHSLKKGLAGRETANLGRRDTAKLTKGHYKGKTAKEESRELMLKEEASTREIVHMIQKSLSLVLHALGEIGLANPVFCHSQLPFLFLPRSLAAQFQKYLQLVLPAILDGFADENESVHDAALGAGHILVEHHDTASLPLLLPAAEDGISMIIGISVKVLWNLLGIYCSRLENERMYYYSVTTEKCLNTCGFDVATFLSTNVESVCKSLLGPMGLMSPKTPEQPAAVYSLSTMMSLAPEVTFTVFKMHLQDLPDRLSHNMLSETDIKIFHTPEGMLLSEQGIYVAQTIGAKYTKQEPVLTWVYSTYSVLHLKHDSTFSILDLKTSSSYCLLNPGRQGITLALQSAADNKGPSCCYDLIDIPRTENCMKLSVFLICRLIPTHMFVERGSMLASDEEEYDLVREGVVIFTGALANHLAKDDPKVHNVVEKLLEVLNTPSESVKRAVTTCPSPLVLSKQEDAPALFLRLLDKLMKSDKYGERRGAAFGLAGVVMGFGISSLKKYGLIVTLQEALKLTELNSVEKKKKNLFRLCPFWQRRSTTSSSSSSTQDPNQNYRSRHGHRNTDVSPVSTPSLTMSSVARSLLPARRRLRLDPSSYLYFPYEPGKQVCSAIKLKNTSKSHTAFKFQTTAPKSCYMRPPGGVLAPGESVFATVFKFVEHPENNEKQKLNKKSKVKFKIISLKVKPGVEYVPELFDEQKDQVAVETGPRVVGEGLVIDEWVTDNYTYITFKIFEPKDMFRYIELLLPEVRKTSNHSLKKGLAGRETTNLGRRDIAKLTKKAEKGKTAKEEARKRQKSLSLVLHALGEMGLANPVFCHSQLQFYISICYKATFLDPLLRSPIVSAAAFENLEMLARCTVQPLCNWALEISTSLRLTAIDELDASSDFRPSIDKDGKTYEDNYKWGTRILCCSRISSISWTCVNELCLGLQAADVAAVSKCSLPQKARYGHDLGTDYSGIFKALSHINLNVCLAAAEPLADALYESSTSIQADPNKDVRGKMINAGNVIIDKHGKENVSLLFPIFENYLNKEGLEDKACRTKQSSAQFLGAMAFSAPQQLSQCLPRAVPKLTEALTDPNEYTRHSLDILLQSQRICFLTEVLVDPIPELRSVAARAVGSLIRGMGEDNFPDLVPWLFETLNSDTSNVERYGIQCSGINFQYVDFPIHHCMSITVIAALGTDYFENILPDLIRHCSYQKASVRDGYLTLFKFLPRSLGAQFEKYLQLVLPAILSDLAHENESVRDAALGAGHVLVEHHAITSLPLLRPVVEDGIFNANFVELLGDLLFKLAGRSLGELVRKLGERVLPLIIPKLSKGLTDPDVDKRQDVCIGLNEVMATAGRSWLLSFMDQLIPTIRTSLCDSAGLQAMDEIIPTLLEALEDDEMSTTALNGLKQIIRFSSTVSSGSSSPMLGQQLFFHTSCPSLSIFLSPLAEVAGAGFNTHLGTILPILLSAMGDENKEVQELAQERVVLVIDEEGVENFSKECLFSSKLYLIDEAPNMISTLIVMLSDSDSTTVTVSWEALAKVIGSVPKEVLPSYIKLVRDVVSTARDQERMKRLLDKLMESDKYGERREAAFGVERVVMGFGISSLTKYGLIVTPQEALIDISHPMEPGYNSHSLVVSLLWKLASKLSSDDCVVCVDLLAVLTLLQIFLATSQLATTLLDEFLDFLSITGDQIVSSRTSEGFDYHSVAGPSSSWIVRAIFYLHHPSIVGTRKRDVVWKRLQKCRKPRGYDVATFCLPIEKVFASIYKTFQINSLLILCSERLTVRDDIVIWAQKKTGAPIITLNSVVEAQRFLNKFEGSEHNEFVKAAKSDDEIQFLETSDNDVAKLLIPDLKSSNVFIGMVKIEAERYTVYDHFPAIYIVRSGNTCLQCRWILQNGEDIGASRQQQVMLFSKADDFQKLAQALEDIARKFKSKELCSGLAHGVVSRYYKSEPVPYNSSNHSLLHSSLKLTSGPNGLRDSKIKHSGMMLIKFCSESNRILRITPAEPGYTSHCLVVLLPWKLLLLFLVCHPSWNVRKTAYNSVTNIFLATSQLATTLLDDFSDFLSTITGDQIVYSRTSDADNPMDHQAPFVPSVEVLVKALIVISSAAVTGPPSSWTVQAIFCSHHPSVVGTGTREDVWKVILTLVIRHDCKSVSKLVVMMLLHEKVFASIYKTFQIDSLLILCSETNSKSLLGPMGLMSPKTPEQQAAVYSLYTMIFQTPEGMILNEQAIYVAQTIGAKYTNQEPAREAANSGRRDTAKLAKKADKGKTVKEEAQELMLKEEMPLRRWVLLIMCFVTASYLFERIIHSQWLNQQMIYGHGHDLGTDYSGIFKALSHINLNVRLAAAEALADSLH >Al_scaffold_0002_811 pep chromosome:v.1.0:2:7561839:7562207:1 gene:Al_scaffold_0002_811 transcript:Al_scaffold_0002_811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ16] MYNRDPELERALQEKDDSIQVLEKWMEEEKQANKKRDEEIATKDAKMAKFMKDVLSRFRKSKSISKHL >Al_scaffold_0002_821 pep chromosome:v.1.0:2:7616512:7619886:1 gene:Al_scaffold_0002_821 transcript:Al_scaffold_0002_821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS-LRR class) family [Source:Projected from Arabidopsis thaliana (AT5G22690) TAIR;Acc:AT5G22690] MVYTSSSSSSSSRNWVYDIFPSFRGEDVRKNFVCHFIKELDRKLITAFKDNQIERSRSLDPELKQAIRDSRIAVVVFSKNYASSSWCLDELLEIVRCKKEYGQLVIPIFYRLDPSHVRKQTGEFGKIFEKTCQHKTKQVQNRWSRALSHVSNILGYHSVTWENEAKMIEEITNDVLGKLNITPSKDFDDFVGMEGHIAEMSSRLCLESEEVRMVGIWGPSGIGKTTIARALFNRLARHFRGSIFIDRSFLCKSTKIYSKANPDDYNMRLHLQSNFLPEILGQKHIRIDHLGAVRERLKHQKVLILLDDLDDQVVLDTLVGQTQWFGRGSRIIVITKNKHLLRAHGICSFYEVGLPSDQLALEMFSRYAFRQNCPLPGFIEFSVEVAKLVGNLPLGLNILGSYLRGRIKEDWIHRLHRLRKGLNKQIEEALRVEYEGLGSRKDKAIFRHIACLFNEVEINDIKLLLEDSDLDVITGLHNLLDNSLIHERRKTVQMHCLVQEMGKEMVRIQSKNPAKREFLVDSKDIYDVLNGNANAEKVKGISWNLADLDELHIHKRAFERMKNLDFIRIYDDSLALHIQEKLHFPQGLDYLPPKLRFLSWDGYPMRCLPSNFLPEHLVVLRMRNSKLEKLWNGVHLPRLLEDMDMEGSSNLTELPDLSWAPNLTTLNLRNCPSLAEIPSSIMNLHCLKTLTLEDCTSLVSLPVNIDLISLYRLDLSGCSRFSRFPDISRNISFLILNQTAIEEVPWWINKFPKLICIEMWECTKLKYISGNISELKLLEKADFSNCEALTKASWIGRTTVVAMVAENNHTKLPVLNFINCFKLDQETLIQQSVFKHLILPGEKVPSYFTNQATGNSLVIHLLQSSFSQEFLRFRVCLVVDADKPNRSENGSIASTWVSCHFTCKDGNCYGSADSRIAIDLPRQIDNHLIIFDCHFPLSKDNGSLVNLNYDQVDLEFHFASDPLCKIKECGIRLSEVCPSPEYRPCNLNVRAHVWEGNENKADSDLDNETENGEETERSRKRIK >Al_scaffold_0002_826 pep chromosome:v.1.0:2:7653584:7660345:-1 gene:Al_scaffold_0002_826 transcript:Al_scaffold_0002_826 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64920) TAIR;Acc:AT1G64920] MGQKIHAFMFPWFAFGHMTPYLHLANSTQQKGHRVTFLLPKKAQKQLGHQNLFPHGIVFHPLTIPHVDGLPAGAETASDIPISLVKFLSIAMDLTRDQVEAAILALRPDLILFDLAQWIPEMAKAHKVKSMLYNVMSATSIAHDLVPGGELGVPPPGYPSSKALYHKHDAHALLTFSGFYKRFYHRLTTGLMNCDFISIRTCKEIEGKFCDYIERQYEKKVLLTGPMLPEPDKSKPLEDQWSHWLSGFRQGSVLFCALGSQTTLEKNQFQELCLGIELTGLPFLVAVKPPKGTKTIQEALPEGFEERVTGRGMVWGEWVQQPYWQPLILAHPSVGCFVSHCGFGSMWESLMSDCQIVFIPVLNDQVLTTRLLTEELEVSVEVQREETGWFSKENLSGAIMSLMDKDSEIGNQVRRNHSKLKETLGSPGLLTGYTDKFVDTLANLVNETNLE >Al_scaffold_0002_842 pep chromosome:v.1.0:2:7825355:7826616:-1 gene:Al_scaffold_0002_842 transcript:Al_scaffold_0002_842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KZ37] EELGETSVEGSGDVIARSSQPLFGLMMNMRSLDKAVELNYQWIAFDDVCYHVQVAVNNANLLLLVVSLPNPPPEAMSFDGLPLGAIEAIKATYRTGFQEVEVLSHITWKPKWGMIFSDIKKKVCRNCEVSQRSTMAITISLSVEHFVFSFITCT >Al_scaffold_0002_845 pep chromosome:v.1.0:2:7892348:7893161:1 gene:Al_scaffold_0002_845 transcript:Al_scaffold_0002_845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ39] MGCLNKKSSRNNLDSRHSLDSNLLDNNLLGILDSNLLDNRNLDNNLLGILDSNLLNKFNLLVSY >Al_scaffold_0002_847 pep chromosome:v.1.0:2:7918736:7921265:1 gene:Al_scaffold_0002_847 transcript:Al_scaffold_0002_847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ41] MAAIQAFTPLADIKPFKTHWKIQVKIVHSWTQYTQFTGETVEMVLADTAGTLIHATVKKPQVSKLQRFIVSGEWRIIEHFTLTKSTGKYRATKHGFKMSMMEKTVISRTPSVSDDIYVDLANFPDILNEAGLSENILIGERAVLNSFDMSLLEINATYPAVLDFVANLPPDVIPLTIEDSKAKQVNAEQRKKDYYDRFPRKTISALFCFAETGKCNIICTIMNIDTDYSWYFFSCLKCSKTAYKIPKVENEIVKKGKKEMFWCPTCKEDTPKVIPSGETTDPLDPTSSTPSSKRSMDAVSEDIEGQGSTTKKACVSSLLGDIEKEEIEGGKN >Al_scaffold_0002_852 pep chromosome:v.1.0:2:7959751:7960361:1 gene:Al_scaffold_0002_852 transcript:Al_scaffold_0002_852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ45] MVVIVVVTMIVVVSGGIVGLVAVVVMAVKVTITQPRLTVAVTLTVVGGNSSDGDGSSGDAEHDGSGGTNGDDNGGGGGGKSNNNLVGGNSGDGDHYGCGDTNGDNNGGGGGMVVVVTVIIVTFFLLS >Al_scaffold_0002_856 pep chromosome:v.1.0:2:7975281:7976458:-1 gene:Al_scaffold_0002_856 transcript:Al_scaffold_0002_856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ49] MAAISLELESLLEASYRHIKLKPLKSLKKELLHNLKTQAWKDKQLLHSLKTQVWSDKTQFGVFSRFSQLHDKFPKFPALS >Al_scaffold_0002_859 pep chromosome:v.1.0:2:8019268:8023096:-1 gene:Al_scaffold_0002_859 transcript:Al_scaffold_0002_859 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, type 2 [Source:Projected from Arabidopsis thaliana (AT1G65070) TAIR;Acc:AT1G65070] MNAFSLHLLIPTPVHLKSSRAASPFFSRASSLSSLRFASPLILRAASSDDSQSVENQTLEVLEWRALCNQLAPFASTSMGLSATKNAEIPVGNSPEESRNLLDETAAALAAMEMMESQRLGLSEIQDLSDIVERAVAGQLLTVRELCSVRSTLMAATSVFQKLRKAAISDKRVSPLVGLVQGCDFKDTLQQKIGFCIDCNMSMILDRASEDLEIIRSERRRNMEKLDSLLKKISTQIFQAGGIDRPLITQRRSRMCVAIRATHKSLLPGGVVLSVSSSRATCYIEPKEAVELNNMEVRHANSEKAEEMAILSILTSEVSMAQKDILHLLDRILELDIAFARASHAKWMNGVYPNVTSEHTKTPGLGGDHKSLSVDIDSAQHPLLLGSVLGSPNDGMVFPVPIDIKVESRAKVVVISGPNTGGKTALLKTLGLISLMSKSGMYLPAKNCPRLPWFDFILADIGDPQSLEQSLSTFSGHISRIRQILDIASENSLVLLDEICSGTDPSEGVALATSILQYIKNRVNVAVVSTHYGDLSRLKDNEPQFQNAAMEFSMETLQPTFRVLWGSTGLSNALTVAKSIGFNTGILENAHKWTEKLNPEQDVERKGSLFQSLVEERNKLKLQASKTEAFHRDLMNLYHELEHESHDLEKRERALLKKETQKVQEDLNSAKSKMQKLVAEFKSQLEIAQADQYNSLILKTEEAVAEIIEACCPIDLDSIEEPYSDYSPQAGEKVLVTGLGDKLGTVVEEPGDDETVLVQHGKIRVRIKKKDIKPLPRSTSSQTSNRSLRSKRQVNMKDLGSVLQMQQSEPVRIQTSKNTLDLRGMRAEEAVHQLDMAISGRDSGSILFIIHGMGTGVIKELVLERLRKHTRVSRYEQANPMNYGCTVAYIK >Al_scaffold_0002_862 pep chromosome:v.1.0:2:8051129:8051534:1 gene:Al_scaffold_0002_862 transcript:Al_scaffold_0002_862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ55] MRYHDNGFSYSDNDGNGSSSDGIYGDDGGGDGDGDRCSIAMKVVVTVVVVTVAVVVVVKSAGVVIPVTITVVIVTITVNQSGDIEGSNGGGGRNDGVVI >Al_scaffold_0002_863 pep chromosome:v.1.0:2:8057121:8059069:-1 gene:Al_scaffold_0002_863 transcript:Al_scaffold_0002_863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ56] MKKDSIYEETQREIYGEDRSKVSLMMMMMEKKRYKWHSRHCFSFGLLRLVVDSVKRSSPNLKVGSRRSGFNLYVFNGDLILVITRKEEGFSPAGFKRMGFLLSNLSQTLREKVWRRWFSFLLGSEMFFHLILEIDISRRSQVCHLVLNGLNLSDLERFISVAIVVQKGKGSRLEKLIPVVISLGEFWSIDEGNSEEVQRVSERREILEALSRFLYNLIKARRAKISRWGLMVIIVIDFCGSMIYFEGDIDLKMGVYKSVSQPGGIAHLISLSAIQSINELFLVLSFIILGFYWLYLFLGFIFFWLLIVLVEVMPQSSMVSQGSHGDIMSNMVNNLLSVFILAFYVGFNSQILYVSYIICVIEILIKGMYRLDMMVIDGGRNLLRLWFFFWLYLGFEFLGLSFGGIYIVDWEVLLLEFEIVSVAVWSWTADHRGFRLKTIEERRSGEGVFNRWFSGDRRRRALSTLPWAIVAGRNISKSYDVGRLILDLECMEWSFIGCNKRFYNSLISGFSWLDVDTLRVRISMVNCNLVSLRNNQGNEGHNPTHHGTVKGLTGGRNPLNRKVDNK >Al_scaffold_0002_866 pep chromosome:v.1.0:2:8073302:8073478:1 gene:Al_scaffold_0002_866 transcript:Al_scaffold_0002_866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KZ61] VELITMISNGSLSDHFLCKGDSLFFPHKPPYPVSVHYGFWCITFPRLGLGFVSFSLRV >Al_scaffold_0002_878 pep chromosome:v.1.0:2:8133974:8135180:1 gene:Al_scaffold_0002_878 transcript:Al_scaffold_0002_878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ74] MDEHVDDLKPIKLCWSIQVKVLNKWRERSEAGYRTLRMILCDKRGSMIEAKINSELITEYDSEFKDGDWISLHHFELDLVTGDMRTTRHAYRINFLPSTILNLIPDKDDLHYTLYPSSFLDVLDDKLIRTYLINLIGYVVDIEDIKYSDPSKRSAGYAMTSFKIKDANNYVLPCVAIGDLAEHFHDEWKRTRSSRVVCVLRWWGVYKVSGHMVIQSVGRCSRLDLDPDMPEVADFRVKSSASTGSSRYRA >Al_scaffold_0002_880 pep chromosome:v.1.0:2:8138242:8139725:1 gene:Al_scaffold_0002_880 transcript:Al_scaffold_0002_880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ76] MFTSKTYDSVWRLNPSKYEWVVETKVLCSWTRRLENSGRRLEFVLADREGNKIQCSLWGEVYDKFVSVIVSGSWFRIKDFKVVHQTGDCRATLHRYKMILLKNTTIVKIPGIDNNQFFDFVDFGSILDRRYSDDFLVGKICGSNCNMLSVIFTVLLTFHISVVQDLIGEVVDVQTSNIEAETNGTKLREGSVVFNDKGVPLSDEVIPDYDYLLDRSKRYNEGRLVVENKEPTRSLKRSNEDVYGFSSTKRFRPLTGGYKELLEEVGGINDQGHGRLGSEFGEDDEEDDDEEEEEDTEGDEEVEEDVDADEGEGEYEEEETYGEFGYDGDDSIYRTVSFYLRDRRNAMLRCRLFGKVALTFYDTFKEQADGAVICVIRWGLIRCYGGDVAIHATDCTRIEINPDIRGVECFDEL >Al_scaffold_0002_883 pep chromosome:v.1.0:2:8151803:8152857:1 gene:Al_scaffold_0002_883 transcript:Al_scaffold_0002_883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ79] MSSRFTSSSIERYNDFSRLNPAIVGWHVHVKVLRRFHTDDYISKGGLGLLLVDDKGNQIEALICSPLTSHYSTFIEEDEFYTIMNFRVVENSGFTKLTRSDFKIMFYDGTIVKEASSFSQDDYIVATPFGAIFNGYHDTSYLITLVGRVVESSDLTNVTDEPSVIGGYRYSFTIEDQEKKTLKCCAYGGVAIECHDRFRNVIGTDVTCVLRWWKVYQLLDGWRHVRIYSHPPYSKILVQSDADNVEHS >Al_scaffold_0002_884 pep chromosome:v.1.0:2:8153266:8157300:1 gene:Al_scaffold_0002_884 transcript:Al_scaffold_0002_884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQU6] MESFRCHGWPFDVMTYNRNMFTISSLNPLIHEWSTCVKILHVWHELDDVSNALNLILMDNQGTKIRAVIRESLVTRFSPLLIEGLWMILRKFSLIPDVDLVRTTPHRFKIQFSPDTCVDYLNYLACDYDFFNFARFRDIRTDLVGKVDNVNDIQLVQMVGSSKDISVVYFDLIDTEHTRLSIRLTGETAVRFHRQWKVNTDDVVICNIRFAKIVATSNRMWHCTNIGCSKIMVDAPLPGVVELKEWWADYCGSPRTGGSGYGDGVLSQFLDVTSDSSCISDVEYLDDVSAAVDLSSVLHVEASAAVLSLDEFKDVLSDLGALSADKDYVLAVLYLESRTQLKSLYLRYLLSRYLQNYADLRMKYFGDGRFVAAGMKPYPSDGLECDEILMNTNEDTLECINDIVISSWRLCPAYLRLRFVESLILYKSINVHTLCLKKVLLFITLGLELSDVQLEWYGFRVMRKVMGDLGFHHAGFEGVRLGIVRRLLTYKCDDMSM >Al_scaffold_0002_885 pep chromosome:v.1.0:2:8158797:8159931:1 gene:Al_scaffold_0002_885 transcript:Al_scaffold_0002_885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIJ8] MIPFTSDSCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0002_89 pep chromosome:v.1.0:2:499477:502589:1 gene:Al_scaffold_0002_89 transcript:Al_scaffold_0002_89 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSW4] MIARRFAIVSSFLSRINSKKTIQKLSTSSKTADPSLLMMDPKPKKTQQFSKSHVTASPSLSKIDLREAMKMRNDGDLLLTGKLTTSLSTSETASPSLSKNTDLVITSPSLSNIDVGEAMKKQNDVAMFLAEKVISVVAKNSNFVFSPASINALLTMVAATSNEE >Al_scaffold_0002_893 pep chromosome:v.1.0:2:8230711:8231391:1 gene:Al_scaffold_0002_893 transcript:Al_scaffold_0002_893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQV7] MKIKALDTDLPSFHRSSRPRLPELSEKCPLLEGRIADLEKEVREHKIIEEKNARAVNKANHIRDMTKEAEAGYNELEVTNLDLFKKL >Al_scaffold_0002_895 pep chromosome:v.1.0:2:8268455:8269498:1 gene:Al_scaffold_0002_895 transcript:Al_scaffold_0002_895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KQW0] MSRLQVLPGGKVIPTNRQTRRALSNINKNIIGAPVYPSAVNKRPFTKNGICNKKIPLHCIVWLLGKFAAQLAENNPQIHKEETKKPDLISNETLDRIIIDVEEGDFIEPMFVQHTEAMLEEIDRMEGIEMEDSNDIDVEVEESVMDIDSCDKNNPLVVVEYIDDIYCFYKKNI >Al_scaffold_0002_896 pep chromosome:v.1.0:2:8269706:8271191:1 gene:Al_scaffold_0002_896 transcript:Al_scaffold_0002_896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQW1] MFDFRHGGSNQKLLMDKKRGSKRIIGNNGMFETKVEKQLTCDFFRQLYNCSVSLRWDSKLMFLNQTTNQRDIESEIEVSQEGKVQGKAERIITTLKFEKEEGDITQQGKDTNSSTKGRVSRDRDTKYRVVQVVATCNGDLKRRIQKIPGVPIMYVMTYGYPEF >Al_scaffold_0002_899 pep chromosome:v.1.0:2:8310388:8315305:1 gene:Al_scaffold_0002_899 transcript:Al_scaffold_0002_899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KQW4] IVRYQFTETAQFAFRLMYWLVFNSSYLRSAPLLGFSSFDRIRRRSQREREIEKWLWLWVEQCPAYPEKFYAAASYIALEGFDSSTNDTALILYKQATVGPCIWSKANEKKSVMKLKIKHDCCNGSWSRKKISFERVILLEKIKIPSKFLVKTGLIFQCNKVKPRYRALFSYLVETSELVVKKYNFDQVYWNRMLKLSTDAKEKLEFSLPPVVNWPRRRIMQIKFVPTLLRFGKLLQQGSGLLLLLDFYPYLLGFCRLGLHLFQAAPLSSSSSCKRLAISSGESAMLGSSTISLPRHPHLRPPSTVR >Al_scaffold_0002_901 pep chromosome:v.1.0:2:8329435:8329882:-1 gene:Al_scaffold_0002_901 transcript:Al_scaffold_0002_901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQW6] MKPRDGGGKATNKDETSRRRRGHHHSERETLGKEDLVHNNVASVDGGGGAKPKQIDGGGEREQTWFKEIKTMWMRHVWKLACVVSYVGLRS >Al_scaffold_0002_906 pep chromosome:v.1.0:2:8446088:8446460:1 gene:Al_scaffold_0002_906 transcript:Al_scaffold_0002_906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQX1] MDAYGLWDLVKEIGEKENGSVFLNLEILNELIAWDWACSVCVKSGVLPEREQMDKNTTSWYVKLAYLSKEKRFSFVRAWEDSFVTTYAERMKNKVAAIHKEGEKRRH >Al_scaffold_0002_913 pep chromosome:v.1.0:2:8483840:8485158:-1 gene:Al_scaffold_0002_913 transcript:Al_scaffold_0002_913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQY0] MSNSSYPMDFVSDLNNERMNWKVHLKILSIWNLHSTPNSSMVTSMILVDEKANKIDAIIPNGLYRHNFKKNLKEGEWYFMSDFNVVPQNPISRYSWHPFMIQCKWETKMVHITPRSINNYMDFIDYDEIKYAGTQEKEYVTVDHLQSPRQMMIRWRKWILTKTKLGR >Al_scaffold_0002_914 pep chromosome:v.1.0:2:8488013:8490267:-1 gene:Al_scaffold_0002_914 transcript:Al_scaffold_0002_914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KQY1] GNKIQAGIKKEHISKFQRYVKNGDWKIIEEFSVNKATGTYRSTTHSYRINFHYATVFSPSPSISNEVWLDLVDFTTILSGNLDQNKLIKILLLIFNLLWFRLPSDGLALTIMGPKPRFEPLKVREQRSLGLPLKTIAELKASYEKLLSDGAKKILNRCEKCNAEVSAVEARYWLHLDVMDNTGETKLMLFDSFVEKIIGTPAYELLEGTDEAELDDPLPPPDVLMLTNNSDKEGTSNDVFSTPSSKRKEDFSDGSDQNSTSKKQCMEKQSDVKVDGVIDVENPKEHDLPKFINKLDEAGEQVITKASEEEQKKVLLKKIKVEKIEGQNGAK >Al_scaffold_0002_920 pep chromosome:v.1.0:2:8533055:8535742:1 gene:Al_scaffold_0002_920 transcript:Al_scaffold_0002_920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQY4] MAVHGFPLAIQLFTFRSIPLLLQYLPHSEDDSTFLHKTLTRLPKCKSFHTSNILAVENDPSMVVLYPHPDGPPFGSSESEDDKVGNLERLIFAGFPFTKAFWCSGDGSLPSLYTSRRRKEITATSTTSDSDSSEMQRQRKSSKPKFINTAEDVTTLLDKKLKGFKASLLADLRGMMRANESPPAVQSPTGKSPSHVSEARSSEPSRVTRSGRVGQYVRVPTAPGHSLSQRDGTRSDTIEPEGSTSATCNAKKNSHPPSSRPTSPTAVPQFSSQPPSPSSTAREQPLEPPAVSTVKQQFRFAQKRTPAKAFSLLAEATHSSADRHCSMVVNELPPSVPPVSDHLKKLSSPSVSLTTAGDSPVEVPPVAAVDITQFVLVDPPDEPLDVFPPMDVNAEVMPTRSLPHGIPVLPSPSILPSQRPKTRCSKRLRSSAAPEVNPPAPAPKLRLRHTSGDVKLEAACSKLLNTLHKPSPTKVSTLMSQLRRSTKSDYSICGTVFPATLFFDLLKPQQWVSSMQHMDLLISFVWDTYNPFFITRRITILDSMFTSIISNKYMSFKQHNNNKAFVWHPLLISYVKGQVSRRRPELQWMRDVDTVYLPMNWGTRHWVGLAIDLKKGHIDILDPFEDLTSARKVVSFMSPFAQMLPELILSVCGSIPALWPDTAFTFTRVPGLAQNKRGGDCGPLSVKFMEFTMLGLQSSLLNITPTQIDNARLRYALDIYETYVNKL >Al_scaffold_0002_922 pep chromosome:v.1.0:2:8543672:8545699:-1 gene:Al_scaffold_0002_922 transcript:Al_scaffold_0002_922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQY5] MSNEDHCATKVNADNFGSQSDNYLPMANNNEGYSNQSEYNSGFAQTNTDLDMEPQSNKNFNISDVAPQSPGDILVGDGVQHNWKQYREVFGYPGQLGVHDVVDGFGEDSIERTTFKTPETLAIVHVSEYVIDTPVEPMDEDNPLKPLVFAEDVSIKETLVSVIASQEIIDTPMQPISEDNPLQALVLVGDPVFDTTSKSEISNQSSPTEGKIFLRENIDGQNIVDNQVYL >Al_scaffold_0002_933 pep chromosome:v.1.0:2:8749975:8750256:1 gene:Al_scaffold_0002_933 transcript:Al_scaffold_0002_933 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L23 [Source:Projected from Arabidopsis thaliana (ATCG01300) TAIR;Acc:ATCG01300] MDGIKYAVFTDKSIRLLGKNQYTFNVESGSTRTEIKHWVELFFGVKVIAMNSHRLPGKVKRMGPILGHTMHYRRMIITLQPGYSIPPLRKKRT >Al_scaffold_0002_934 pep chromosome:v.1.0:2:8750275:8751781:1 gene:Al_scaffold_0002_934 transcript:Al_scaffold_0002_934 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L2, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KQZ6] MAIHLYKTSTPSTRNGAVDSQVKSNPRNNLIYGQHHCGKGRNARGIITARHRGGGHKRLYRKIDFRRNAKDIYGRIVTIEYDPNRNAYICLIHYGDGEKRYILHPRGAIIGDTIVSGTEVPIKMGNALPLTDMPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPVTPWGYPALGRRTRKRKKYSETLILRRRSK >Al_scaffold_0002_935 pep chromosome:v.1.0:2:8751964:8752113:1 gene:Al_scaffold_0002_935 transcript:Al_scaffold_0002_935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFQ7] MIGHTIAIHNGREHLPVYIIDLMVGHKLGEFSPTINFRGHAKNDNRSRR >Al_scaffold_0002_938 pep chromosome:v.1.0:2:8753466:8754941:1 gene:Al_scaffold_0002_938 transcript:Al_scaffold_0002_938 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L16, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KC71] MLSPKRTRFRKQHRGRLKGISSRGNRICFGRYALQTLEPAWITSRQIEAGRRAMTRNVRRGGKIWVRIFPDKPVTVRPAETRMGSGKGSPEYWVAVVKPGKILYEMGGVPENIARKAISIAASKMPIKTQFIISE >Al_scaffold_0002_944 pep chromosome:v.1.0:2:8759777:8761171:-1 gene:Al_scaffold_0002_944 transcript:Al_scaffold_0002_944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KR06] MGSVIFIKMVLLRRIFIRISGARNRSNRSQIYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFASVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLGVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFLMIRKQGISGPL >Al_scaffold_0002_946 pep chromosome:v.1.0:2:8762160:8763686:-1 gene:Al_scaffold_0002_946 transcript:Al_scaffold_0002_946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP47 reaction center protein [Source:UniProtKB/TrEMBL;Acc:D7KR08] MGLPWYRVHTVVLNDPGRLLSVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWNITGGTITNPGLWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLSGVACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQPVNPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSAGLAENQSLSEAWAKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPVFRNKEGRELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTKRQAV >Al_scaffold_0002_953 pep chromosome:v.1.0:2:8775874:8776467:-1 gene:Al_scaffold_0002_953 transcript:Al_scaffold_0002_953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRA4] MSWRSESIWIEFITGSRKTSNFCWAFILFLGSLGFLLVGTSSYLGRNVISLFPSQQIIFFPQGIVMSFYGIAGLFISCYLWCTILWNVGSGYDLFDRKEGIVRIFRWGFPGKSRRIFLRFFMKDIQSIRIEVKEGVSARRVLYMEIRGQGAIPLIRTDENFTTREIEQKAAELAYFLRVPIE >Al_scaffold_0002_954 pep chromosome:v.1.0:2:8777673:8779124:-1 gene:Al_scaffold_0002_954 transcript:Al_scaffold_0002_954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRA5] MEKSWFNFMFSKGELEYRGELSKAMDSFAPGEKTTISQDHFIYDMDKNFYGWGERSSYSNNVDLLVSSKDIRNFISDDTFFKVKMNVCEQCGHYLKMSSSERIELSIDPGTWNPMDEDMVSADPIKFHSKEEPYKNRGSMGSVVGEKITRLIEYATNQCLPLILVCSSGGARMQEGSLSLMQMAKISSVLCDYQSSKKLFYISILTSPTTGGVTASFGMLGDIIIAEPYAYIAFAGKRVIEQTLKKAVPEGSQAAESLLRKGLLDAIVPRNLLKGVLSELFQLHAFFPLNKN >Al_scaffold_0002_956 pep chromosome:v.1.0:2:8782056:8783552:1 gene:Al_scaffold_0002_956 transcript:Al_scaffold_0002_956 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KRA7] MRTNPTTSDPEVSIREKKNLGRIAQIIGPVLDVAFPPGKMPNIYNALVVKGRDTLGQEINVTCEVQQLLGNNRVRAVAMSATEGLKRGMDVVDMGNPLSVPVGGATLGRIFNVLGEPVDNLGPVDTRTTSPIHKSAPAFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEQNLAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGTLQERITSTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQQVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFKLILSGEFDSLPEQAFYLVGNIDEATAKATNLEMESKLKK >Al_scaffold_0002_958 pep chromosome:v.1.0:2:8787065:8787540:1 gene:Al_scaffold_0002_958 transcript:Al_scaffold_0002_958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRB1] MQGTLSVWLAKRGLVHRSLGFDYQGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHLTRIEYGVNQAEEVCIKVFTHRSNPRIPSVFWVWKRITYDSHPRLKRILMPESWIGWPLRKDYIAPNFYEIQDAY >Al_scaffold_0002_960 pep chromosome:v.1.0:2:8791897:8793908:1 gene:Al_scaffold_0002_960 transcript:Al_scaffold_0002_960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (ATCG00360) TAIR;Acc:ATCG00360] MPRSRINGNFIDKTFTIVADILLRVIPTTSGEKEAFTYYRDGMSAQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYRGEQAIQQGDSEMAEAWFAQAAEYWKQAITLTPGNYIEAQNWLTITRRFE >Al_scaffold_0002_964 pep chromosome:v.1.0:2:8800491:8800721:-1 gene:Al_scaffold_0002_964 transcript:Al_scaffold_0002_964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein Z [Source:UniProtKB/TrEMBL;Acc:D7KRB7] MVYILLLIAWRIKNMTIAFQLAVFALIITSSILLISVPVVFASPDGWSSNKNVVFSGTSLWIGLVFLVGILNSLIS >Al_scaffold_0002_976 pep chromosome:v.1.0:2:8921200:8923267:-1 gene:Al_scaffold_0002_976 transcript:Al_scaffold_0002_976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRD5] MERSKGPYITITIVDKVFQFPYVPSRCGFAQSQEAYDGAVNELFSTLDKIEDHLGSNRYLCGERLTLADVCLFTTLIRFDPVYNNLFKCTKKKLVEYPNLYGYLRDMYQIPGVAATCDISAIMDGYYKTLFPLNASGIQPAISSSGDQESLLRPHNRDLVGKAMEAQLAV >Al_scaffold_0002_979 pep chromosome:v.1.0:2:8935564:8937003:-1 gene:Al_scaffold_0002_979 transcript:Al_scaffold_0002_979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRD9] MSPQTETKASVGFKAGVKEYKLTYYTPEYETKDTDILAAFQVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHFEPVPGEETQFIAYVAYPLDLFEEGLVTNMFTSIVGNVFGFKALAALRLEDLRIPPAYTKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIYKSQAETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLTKALRLSGGDHVHAGTVVGKLEGDRESTLGFVDLLRDDYVEKDRSRGIFFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAVEGNEIIREACKWSPELAAACEVWKEIRFNFPTVDKLDGQE >Al_scaffold_0002_98 pep chromosome:v.1.0:2:555725:556222:-1 gene:Al_scaffold_0002_98 transcript:Al_scaffold_0002_98 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G63950) TAIR;Acc:AT1G63950] MIVWMGVYDQKSKSKILKSVADLPGIHYPCMDLKEGTLTLVGDVNPVEIVNKLRKKWRRAKLTLFVPYDALKEAKLAEAKQRREEIEREALYRYNREIREIVNDQEQGCVIC >Al_scaffold_0002_983 pep chromosome:v.1.0:2:8947877:8951619:-1 gene:Al_scaffold_0002_983 transcript:Al_scaffold_0002_983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRE3] MELYYLVLALTTPGTCFFKTSTSRAYEIAIEMALKASPAAGLFPPLRPTASSSPSTSNRPYSLRVLPLRPSFFGNSSGALRVNVLRLACANRLRCNGHGATMNLFERFSRVVKSYANAFISSFEDPEKILEQTVIEKNSDLTKMRQATAQVQPLNDESLLL >Al_scaffold_0002_987 pep chromosome:v.1.0:2:9042279:9043379:-1 gene:Al_scaffold_0002_987 transcript:Al_scaffold_0002_987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KRE6] LVLSCRTFISTSVNKLRQGLVPILENAVDKNILVDLHDLFQRFLFDTSLILMTGYDPKCLSIEMPKVEFGDAVDGVSDRVFYRHVKPVFLWRLQYWIGVGLEKRLKRGLAVFDQLLEKIITAKREEIKSLGTQHHSRGEAIDYLKPSDDKFIKDTILGFLIVARDTTSSALTWFFWLLSKNPEAMNKIRQEVNKKMPRFDPADLDKLVYLHGAVCETLRLYPPVPFNHKSPAKPDVLPSGHKVDENWKIVISMYALGRMKSVWGDDAEDFRPERWISYSGRLKHEPSYKFLAFNAGPRACLGKKLTFLQMKTVAVEIIRNYDIKVVEGHKTEPVPSVLFRMQHGLKVNITKI >Al_scaffold_0002_993 pep chromosome:v.1.0:2:9106311:9106982:1 gene:Al_scaffold_0002_993 transcript:Al_scaffold_0002_993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KRF1] MREPSMIVREAAAEQLEERQSDWAYFKPRHSRSLSATLQPRHSRSLLQTSSFFSFDSLPLLNSAADFGVDGGEVPFWCRLRSVEGAANGRTMPQSSCSKGAGSDYKFIDGWN >Al_scaffold_0002_998 pep chromosome:v.1.0:2:9221469:9222523:1 gene:Al_scaffold_0002_998 transcript:Al_scaffold_0002_998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMX1] MVKTRSERLREASLTWNSSCLTPTVVHRSEGPNISTTSERGTQANSSLSLCRFGSTVETEVRFPNRRSMYIVAVRSMAESPTAEKNQPYSSGFLQFFFSCNFEIDFSWGTASVLENAGIYVTKVEKLTQFVEMASFFP >Al_scaffold_0003_1 pep chromosome:v.1.0:3:462:767:-1 gene:Al_scaffold_0003_1 transcript:Al_scaffold_0003_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L9I1] ALQVHFLGYKAGMTHIVREVVKPGSQHHKEETCEAVTMIETPPMVVVGYVKIPDGLSTRSTVWAQHLSEEVRRRFYKN >Al_scaffold_0003_1006 pep chromosome:v.1.0:3:3998288:3998815:1 gene:Al_scaffold_0003_1006 transcript:Al_scaffold_0003_1006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L8U6] MTSSDQSPSHNVFVYGSFQEPAVVSLILECSPVIVSAQLHGYHLYRLKGRLHPCISPSENGVINGKILTGLTDAQLENLDMIEPLF >Al_scaffold_0003_1015 pep chromosome:v.1.0:3:4031560:4032309:1 gene:Al_scaffold_0003_1015 transcript:Al_scaffold_0003_1015 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like extracellular glycosyl-phosphatidyl inositol-anchored protein family [Source:Projected from Arabidopsis thaliana (AT3G10116) TAIR;Acc:AT3G10116] MTLYLVENAIKSKDLVGNVTIRWDFMNISRDSYTANVTIFNYLKKQEAIEGPWELGWTWYEDEILLSTLSAKVSKQSNVLKFGECCNHRVTFVDLPQQTDDDQRIVANCCKGGVIPSWFREADLAKSSSSFQISVGQVGIKFHPPPLDVMLTTQGLEYVCDSLSEVMNTPGYL >Al_scaffold_0003_1037 pep chromosome:v.1.0:3:4105088:4109949:1 gene:Al_scaffold_0003_1037 transcript:Al_scaffold_0003_1037 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop nucleoside triphosphate hydrolases superfamily protein with CH (Calponin Homology) domain [Source:Projected from Arabidopsis thaliana (AT3G10310) TAIR;Acc:AT3G10310] MTTGLHEFNLASRRAEEAAARRFQAVQWLKSVVGQLGIPNQPSEKEFISCLRNGMILCNAINKIHPGAVSKVVENYSYMNGEYQLPPAYQYFENVRNFLVALETLRLPGFEASDLEKDNLESGSVTKVVDCILGLKAYHECKITSNGNGLYKHVKTPTFQLSATKIQPLSASKTSRHLDMSSVRDINDCTDGESDKLKEIAKLFADHIFNSKENIDENLISLENGTGNPRANFEKIISRFPELQSVFKNLLSEGTLSPPELKSMPLEELLVHEEDQVLKTLFIKTKQDFKEFQVHLQRDLMELGNQMQEMSSAAQGYYKVVEENRKLYNMVQDLKGNIRVYCRVRPIFNSEMNGVIDYIGKDGSLFVLDPSKPYKDARKTFQFNQVFGPTASQDDVFRETQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPLGRSATEMGINYLALSDLFLIYIRTCSSEDDGLSLPDATMHSVNSTKDVLQLMEAGEVNRAVSSTSMNNRSSRSHSIFMVHVRGKDTSGGTLRSCLHLVDLAGSERVDKSEVTGDRLKEAQYINKSLSCLGDVISALAQKNSHIPYRNSKLTLLLQDSLGGQAKTLMFAHLSPEEDSFGETISTLKFAQRVSTVELGAARAHKETREVMHLKEQIENLKKALGTEEYNNVFNSGAKEIKSPYSRPFATTERTPPRLRRLSIENCSNTKANLEDRKGVKSPLASRRAQRLSLEGPKSCKNEENSKGDPTMEVHQLKNPRSPLSSYQNRAVKVDGRTSIPQLQLLQTPVKGASRNDIQMISVDSRTNGKGSQIRKSLRTIGKLINGSEKRKDNIPADPRSPLGVANNFSHIKSPDTSNAKTMRRQSLTGVMPPGQERSRRSSIGGKPIENGKKDLTKSSPVSLRLFI >Al_scaffold_0003_1045 pep chromosome:v.1.0:3:4151198:4153752:1 gene:Al_scaffold_0003_1045 transcript:Al_scaffold_0003_1045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G10390) TAIR;Acc:AT3G10390] MVSFSAPKKRRRGRSQRSVSSLNTLPVPNVGVLPGHTNFVSSSASSSGRLNVEIVNGSNQTLKSYPGIGDEIITINKEATTEALLALTAGFPADSLTEEEIEFGVVPVVGGIEQVNYILIRNHIISKWRENISSWVTKETFFDSIPKHCSSLLDSAYNYLVTHGYINFGIAQAIKDKFPAQSSKSSVVIVGAGLSGLAAARQLMRFGFKVTVLEGRKRPGGRVYTKKMEANRVGAAADLGGSVLTGTLGNPLGIIARQLGSSLYKVRDKCPLYRVDGKPVDPDVDMKVEVAFNQLLDKASKLRQLMGDVSMDVSLGAALETFRQVSGNDLATEEMGLFNWHLANLEYANAGLVSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVQTIRYGSNGVKVIAGNQVYEGDMVLCTVPLGVLKNGSIKFVPELPQRKLDCMKRLGFGLLNKVAMLFPYVFWSTDLDTFGHLTEDPNYRGEFFLFYSYAPVAGGPLLIALVAGEAAHKFETMPPTDAVTRVLHILRGIYEPQGIIVPDPLQTVCTRWGGDPFSLGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFVTGLREAANMAQSAKARGIRKRIDRNPSKNAHSCAILLADLFRDPDLEFGSFSIIFSRRNPDPKSPAILRVTLSEPRKRNEDPKADQYSNKILFQQLQSHFNQQQQIQVYTLLTRQQALDLREVRGGDEKRLNYLCETLGVKLVGRKGLGIGADSVIASIKAERTGGKLPSSSTSGTKSG >Al_scaffold_0003_1049 pep chromosome:v.1.0:3:4161102:4163704:-1 gene:Al_scaffold_0003_1049 transcript:Al_scaffold_0003_1049 gene_biotype:protein_coding transcript_biotype:protein_coding description:SERINE CARBOXYPEPTIDASE-LIKE 49 [Source:Projected from Arabidopsis thaliana (AT3G10410) TAIR;Acc:AT3G10410] MEKLTFLSFLLHFVVFIASTYPSSSFLLNDRTFERSNLPSTRAEKLIRELNLFPQQDLNVIDVADLPLTAAEGSGIVERKFVFPNILADNGGATVEDLGHHAGYYKLPKSRGARFISNSRFWFMHLYICVREDSASDLLCMFYFFFESRNKKDAPVVIWLTGGPGCSSELAMFYENGPFKITSNMSLAWNEYGWDQVSNLLYVDQPVGTGFSYTTDKSDIRHDETGVSNDLYDFLQAFFAEHPKLAKNDFYITGESYAGHYIPALAARVHKGNKANEGVHINLKGFAIGNGLTDPALQYPAYPDYALEMGLITQKEHDRLEKIVPLCELSIKLCGTDGTTSCLASYLVCNSLFSGVMSHAGGVNYYDIRKKCVGSLCYDFSNMEKFLNLQSVRKSLGVGDIEFVSCSTSVYQAMLVDWMRNLEVGIPTLLEDGISLLVYAGEYDLICNWLGNSRWVNAMEWSGKTNFGAAEQVPFMVDGKEAGLLKTYEQLSFLKVRDAGHMVPMDQPKAALKMLKRWMENSLIEDATVTVAAQGGEELVAQM >Al_scaffold_0003_1051 pep chromosome:v.1.0:3:4169138:4172750:1 gene:Al_scaffold_0003_1051 transcript:Al_scaffold_0003_1051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shugoshin C terminus [Source:Projected from Arabidopsis thaliana (AT3G10440) TAIR;Acc:AT3G10440] MVRATVLNVGDHAGEGVPINKAKGEKMVLEPPMNSAQRRKLGDITNLQNQKNLMNQGAKQQKQAILENMRLMKVVTERDGIKSELKKLRIDFQKVQEQNLLLAQANARILALKVLQHELGCKNGLVMARKLLLKAHANACGGACRTFQPNDADHENASESSNVNSLQINEKANNKRRVSGRKNPTNSEVLDIIDRLAETCPTEDNIDNKKLSASFKTRATGQTVNLQKVVDTRENKEDARVSLKKHPDWVKSQEPEPSENPYESSRFPLRRRSARLKSQEPEPSESLHESIETTRRKRSTISVRQSGMFNIQELGVIQNLNGLHDDQEIAAKAGCSVRELSTGSKPEAVEPHDSKQITGKSRVSLRRQSARFNFQELCVTENLNGPHNDQTIAANARCCASEQSIRSKHKAVEPHDMKETIGKSRVSSRRQSANIETPRAIKESADPPLHDDIIEESSHVSSSVSMELKRESKNKPTCDESEEMRKTTVGRPSRQAAEKIKSYKEPSLKEKMRGGF >Al_scaffold_0003_1071 pep chromosome:v.1.0:3:4251522:4252415:-1 gene:Al_scaffold_0003_1071 transcript:Al_scaffold_0003_1071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10595) TAIR;Acc:AT3G10595] MAETSWTREENEKFKNALVLFSAFLPTRFQIIAENVQKSVADVKEHYKEMVNDLLERGSSRVAFPNKLTEAMAQRSYQAERTKWNKETHEWFLIGLKRFGKDWRKIAVLLNSKNPKQVEIYAHNYFNWQSSEENVMKRPRANDITVENTEVNVMKRQRANDMVDTNVGSTGQQESLVVHPQPQHEPIVMQICHHAKASNSKCINN >Al_scaffold_0003_1077 pep chromosome:v.1.0:3:4267906:4273257:-1 gene:Al_scaffold_0003_1077 transcript:Al_scaffold_0003_1077 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: nucleoporin-related (TAIR:AT5G20200.1); Has 61042 Blast hits to 31782 proteins in 2093 species: Archae - 202; Bacteria - 16480; Metazoa - 16017; Fungi - 12552; Plants - 1653; Viruses - 629; Other Eukaryote /.../509 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G10650) TAIR;Acc:AT3G10650] MASAARGESSNPYGGGLGTGGKFRKPTARRTQKTPYDRPPTSVRNSGIGGGDVRGGGWLSKLVDPAQRLITYSAHRLFASVFRKRLGSGETPVQSPEQQKQIPERDVNQETKVGHKEDVSNLSMKNGLIRMEDTNASVDPTKDGFTDLEKILQGKTFTRSEVDRLTTLLRSKAADSSTMNEEQRNEVSIVVRHPPSHERDRTHPDNGSMNTLVSTPPGSSRALDECIASPAQLAKAYMGSRPLEVTPSMLGLRGQAGREDSVFLNRTPFPQKSPTMSLVTKPSGQRPLENGFVTPRSRGRSAVYSMARTPYSRPQSTVKIGSLFQASPSTWEESLPSGSRQGFQSGLKRRSSVLDNDIGSVGPVRRIRQKSNLSSRSLALPVSESPLSVRANGGEKTTHTSKDSAEDIPGSSFNLVPTKSSEMASKILQQLDKLVSTREKSPSKLSPSMLRGPALKSLQNVEAPKFLDNLPEKKADLPDSSYQKQEISRESVSREVVAPSEKTGGAIDGTSKTGFSKDQDTRGKGVYLPLTNSLEEHPPKKRAFRMSAHEDFLELDDDHGAASSPFEVAEKQNAFKVEKSHISMPKGEKPLTPSEAMPSTSYISNGDASQGTSNGSLETGRNKFVTFPIEAVQQSNMASESTSKFIQGTEKSSISFGKPTAEEKGIPLEEPKKPAAVFPNISSSPAATGLLNQNSGASTDIKLEKTSSTAFGVSEAWAKPTESKKTLSNNASGVESTTSAASTLNGSIFSAGANAVNPPPSNGSLTSSPSFQPTISNIPSDNYVGEVPSTVQSLAATHNSSSIFGKLPTSNDSNSQSTSAPPLSSTSPFKFGEPAAPFSAPAVSTSSSQISKETEVKNATFENTNTFKFGGMASADPSTGSVFGAKSAENKSTPGFVFGSSSPAVADAGNNIFGGTSSVVGGSTLNPSTAAASAPESSGSLIFGVTSSSTPGTETSKFSASSTATNTGNSIFGTSSPAFTSSGSSMFGGVAASTGSSVFGFNAASSASAASSQSQASNLFGAGNAQTGNTGSGTTTSTQSIPFQFGSSASAPSFGLSGNSSLASNSSPFGFSKSEPAVFTSGSTPQLSSTNSSASSSGTMSSPLFGTSWQAPNSAPNSAPVFSSSFTTSSTPTFSFGGSSAATASSTPAPIFGASTNTPSPSPIFGFGSTAPSTPQQPVFGNSATLSQSLFGNSNPGFAFGAANNANGINNNQQVSMEDSMAEDTDQANKATMVAPMFGQAAVSMPQPNFGFGGGAATPPPSMANPFQFGGQPMGSTPQNASPFQASQSLEFQGGGSFSLGSTGGGDKSGRRIFKAKKSNRKK >Al_scaffold_0003_1087 pep chromosome:v.1.0:3:4317958:4318882:1 gene:Al_scaffold_0003_1087 transcript:Al_scaffold_0003_1087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L9Q4] LFDWQLRDGSSHQGTVTSMEPNEGTFVLHTENTKKGKINPVHLVDVPGHSRLRPKLEEFLPQAAAIVFVVDALEFLPNCRAASEYLYDILTNANVVKNKISVLLCCNKTDKLTAHTKEFIRKQMEKEIEKLRASRSAVSTADIANDFSIGIEGEVFSFSHCYNKVTVAEASGLTGETVQIQDFIREYIKPLAQTCINRLNLRLDSYAFGL >Al_scaffold_0003_1088 pep chromosome:v.1.0:3:4321335:4322162:1 gene:Al_scaffold_0003_1088 transcript:Al_scaffold_0003_1088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L9Q5] MDDGYGRSLVIDSPGLKYLRIIDHPLGNNISIQNMPCLLSAVVFRTHVKDKFLTSLSSVTCLNLANIKVACCSTINFSRLRKFQLYPSSSEHCWMETLVLLLHSAPKLKALIINTAFDGRHGEVPLSWNQPSSVPGCLLSRLDNFEWIDFGGSREEKQFVAYILENSKCLKTVGISLKYSKNNEEKKKIMEDLESMYRVSASSQLLLRS >Al_scaffold_0003_1091 pep chromosome:v.1.0:3:4333201:4334389:1 gene:Al_scaffold_0003_1091 transcript:Al_scaffold_0003_1091 gene_biotype:protein_coding transcript_biotype:protein_coding description:emp24/gp25L/p24 family/GOLD family protein [Source:Projected from Arabidopsis thaliana (AT3G10780) TAIR;Acc:AT3G10780] MAISPVLFIGLMCLAGGGSIFPAVEAIWLTVPTSGERCVYEDIQANVVVVLDYICIDESFTQLGPTLDVRVTSPYGKELYKIANVTHGQAAFTTSESGTFLACLAMHHDQTHHSVNNSVIVSLDWKMGIRAKDWDSVAKKEKIEASPSFPIHIYGVELEIRKSTEYASAIRANILYLRIREAYMREINEKTNQRVAQLGFMSFGLAFGVSLIQVWHLKRFFLKKKLL >Al_scaffold_0003_1102 pep chromosome:v.1.0:3:4364990:4366965:-1 gene:Al_scaffold_0003_1102 transcript:Al_scaffold_0003_1102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10890) TAIR;Acc:AT3G10890] MKYLCLIVFLAIVIAQNSSYLGVKAVSSDGFVSRKGVQFILNGKPFYANGFNAYWLAYEATDPTTRFKITYVFQNATIHGLTIARTWGFRDGGYRALQIAPGVYDEKTFQGLDFAIAEAKRLGIKMIITFVNNYSDFGGRKQYVDWAKTTGQNVSSDDDFYTNPLVKQYYKNHVKTMVNRVNTFTKVAYKDEPTIMGWELMNEPQCRADPSGKTLMAWINEMAPYVKSVDSKHLLSTGLEGFYGDSSPQRMTSLNPVAANVLGTDFIANHNLDAIDFASIHSYPDLWFPNLDEKSRLDFLRKWLVDHLEDAQNILRKPLILGEFGKPTNIPGYTQAQRDAVFNATFDTIYESAQKGGPAAGALFWHVISDGMNNFKDPLSIVLSENSTTVNIITEESRKLGLIRGKGLSKKLKFK >Al_scaffold_0003_1105 pep chromosome:v.1.0:3:4382328:4383744:-1 gene:Al_scaffold_0003_1105 transcript:Al_scaffold_0003_1105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein [Source:Projected from Arabidopsis thaliana (AT3G10915) TAIR;Acc:AT3G10915] MDSLSDIDGDCDGRNEGGSSSDYRLLGRQVTVHQFMGAADLLLWRRRHLSLGVIIISTVAWLIFEFSGLPFLSVSSDVLLIGIIISFVHARVSAFRKRQLHSLPELVLSEEMVNSAAASFRIKLNHLLVMAHDVTVGNDFRLFFKQVVICLWLLSAIGSYISLCTLVYIGTILSVTIPALYSKYQSKVDKCCGTIHRRLSHHYKIVDENVISRLSWSLTNDKDS >Al_scaffold_0003_1112 pep chromosome:v.1.0:3:4402897:4405767:-1 gene:Al_scaffold_0003_1112 transcript:Al_scaffold_0003_1112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10970) TAIR;Acc:AT3G10970] MDCSCSTRPSSLLISSEPSFRFPHSNFSKNLSFQIPKDTKLVKQRLVVRSSSGSDYQNGDVNGFPLKPNKLFMQEAIGAEYGEGFETFRQDGPLKVDVDFWNEKLQDGFLQRIRYAMKPDEAYGLIFSWDNVVADTRSLKLEAWKQLAAEEGKEITEEVDIQRLMLYAGADHVLSKVLYWEKTQSKIDRLKLRLSEIYYDSLLKLTKPKEGLRDWLDAVTTARIPCAVVSNLDRKNMINALDRMGLQKYFQAMVSEEDGMESIAHRFLSAAVKLDRKPSKCVVFEDDPRGITAAHNCTMMAVGLIGAHRAYDLVQADLAVGNFYELSVINLRRLFANKGCTFMDHEKQIIEKSPPKRKLTIDTIF >Al_scaffold_0003_1119 pep chromosome:v.1.0:3:4438945:4442190:1 gene:Al_scaffold_0003_1119 transcript:Al_scaffold_0003_1119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L9T9] MPKSNDADVAESEAVPLLDLAKPSLPISFPIKSLQDLKSRSYFVSFHFQFNRSTVPLRRDSDDLPNRPRVLVCHDMKGGYVDDKWVQGCENDAGFAIWHWYLMDIFVYFSHSLVTLPPPCWTNTAHRHGVKVLGTFITEWDEGKATCKEMLATKESAQMYAERLAELATALGFDGWLINIENDIDEEQIPNLKEFVSHLKKVLQKKSCIYLHLGRWYDSVTIHGNLQWQDQLTELNKPFFDLCDGIFMNYTWKESYPKLSAEVAGDRKFDIYMGIDVFGRGSFGGGQWTVNAALDLLKRSNVSAAMFAPGWVYETAQPPNFHTAQNKWWSIVEKSWGIVQTYPQVLPFYSDFNQGFGYHVSLEGRQLSDAPWYNISYQSLQPLLEFNEEDNKDIIHVTVDKKGKMFLTILSKHLNNYYEFDSAREASFNGGGNIAFRGKLKGDAYFTTRLFKPHLQLSSSPITISYSVKSDETSKLGILLSFSSPSQETKSILVAPQDSIRRFNGMFSQCLATSAQTVSEWTVHETSLVMDGHTLTEISAFCYRPDNSTKSAEYVALLGHISIKDHVQSQHKPETLLPASPWVIEAHNIELVQGNSGSKSLRVKLEWRQKDLEDSAFPKYNVYAENVKSTDLRSRKVLEKPISETVFLGVAHVPAYYVGELVVESDVKGVRFVVQACGKDGSWANLDDSPKLLVELEGLSFQDSS >Al_scaffold_0003_1127 pep chromosome:v.1.0:3:4480330:4480720:-1 gene:Al_scaffold_0003_1127 transcript:Al_scaffold_0003_1127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L9U9] MGARFLVQGTGVTLGWISGYYLYGGQLDKYEEKRQQIVMDVFGNPAEIEDLRKKMWPELRKMAWFRSLDKKWSDYKSKS >Al_scaffold_0003_1142 pep chromosome:v.1.0:3:4541030:4542456:1 gene:Al_scaffold_0003_1142 transcript:Al_scaffold_0003_1142 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G11340) TAIR;Acc:AT3G11340] METRETKPVIFLFTFPLQGHLNPMFQLANIFFNRGFSITVIHTEFNSPNSSNFPHFTFVSIRDGLSEPESYPDVIEILHDLNSKCVAPFGDCLKKLISEEPTAACVIVDALWYFTHDLTQKFDIPRIVLRTVNLSAFVAFSKFHVLREKGYLSLQETQADSPVPELPYLRMKDLPWFQTEDPRSGDKLQRGVMKSLKSSSGIIFNAIEDLESDQLDQALIEFPVPLFCIGPFHRYVSASSSSLLAHDMTCLSWLDKQETNSVIYASLGSIASIDESEFLEIAWGLRNSNQPFLWVVRPGLIHGKEWIEILPKGFIENLKGRGKIVKWAPQPEVLAHRATGGFLTHCGWNSTLEGICEAIPMICKPSFGDQRVNARYITDVWKIGLHLENKIERTKIESAVRTLMTSSEGEEIRKGIMPMKEIAEQCLKLGGSSFRNLENLIAYILSF >Al_scaffold_0003_1143 pep chromosome:v.1.0:3:4542787:4545959:1 gene:Al_scaffold_0003_1143 transcript:Al_scaffold_0003_1143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G11380) TAIR;Acc:AT3G11380] MSMTLNLQRHAKRILAYSNRPRLFCSYRNGTLSSPGTNQTLLSRIESAADQKAAITTVLEEWRQQQKHGNHQLNPSLVKGIVEKLHDSKRYRQALEVSNWMIEKKICNHLPEDLAVRFHLIENVLGLEEAEKFFQSIPENLKGESIYTSLLKSYAKSGEISLRKAEYTFEKMRKLGMLLRPSPYNSMVSLYSSLRNRNKVDEILREMKENNLELDSPTVNNALRVYAAVCDVATMDKFLADWNAITTLEWLTTLEMAKAYRLIRLYGEAGEREDVYRIWDLYKNTKEKDNEGFRALIGSLLKLDDINGAEKIYYDEWESSGLEFDLRIPTMLMSGYRAKGMVKKADKLLYKTMKNKRLVISINPFVEELVKNRNQVKPSDLRDLIKNLRDSNQLSKALEASTWMCEKRGFNLFPEDYAIRFHLIEKVLGLEEAEKFFESSIPKNMKDYSVYDTLLTSYTRSDKTLVKAEGVFEKMRELGFLSKLSPFNSIISLYIEQRKLSKVNKLLCDMKQNNIYRA >Al_scaffold_0003_1144 pep chromosome:v.1.0:3:4546202:4546883:1 gene:Al_scaffold_0003_1144 transcript:Al_scaffold_0003_1144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAM2] MERVQEEGKTGICNEGYRIMISSLLKFDDVKGAEEIYGEWKPKGSDFDTRIPCLLISHYSKEGDEVKVLERYRMFSKMCNIVDMRT >Al_scaffold_0003_1163 pep chromosome:v.1.0:3:4617985:4619534:-1 gene:Al_scaffold_0003_1163 transcript:Al_scaffold_0003_1163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 55 (VPS55) family protein [Source:Projected from Arabidopsis thaliana (AT3G11530) TAIR;Acc:AT3G11530] MAMTIEVLAGLAFMFSSSILLQILACAIYGNWWPMLSALMYVVVPMPCMFFGGGSTQFLTSRDGGGWIDAAKFLTGASTVGSLAIPIILRHAQMIETGAMLIEFTSFFIFICTVMCFHRASLDDDW >Al_scaffold_0003_1176 pep chromosome:v.1.0:3:4672420:4674038:-1 gene:Al_scaffold_0003_1176 transcript:Al_scaffold_0003_1176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAQ2] MEKVVVSALAISVRRIFFLFIIFLTILLIWAILQPSKPRFLLQDATVYAFNVSGNPPNLITSNFQITLSSRNPNDKIGVYYDRLDVYATYRSQQITFRTSIPPTYQGHKEVNIWSPFVYGTSVPIAPFNGVGLDDDKDNGAIMLIIRADGRVRWKVGTFITGKYHLYVKCPAYINFGNKAAGVIIGDNAVKYTFTSSCSVSV >Al_scaffold_0003_1186 pep chromosome:v.1.0:3:4711858:4712441:-1 gene:Al_scaffold_0003_1186 transcript:Al_scaffold_0003_1186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAR2] MSQPNLLRYVIEQATRGGKSVVSRRNFSSSHCKFDERERSVLMYKMKSSTNRRRLLAFLVATSLSFHLWEFTKKQNARHEAKKVQLDPKN >Al_scaffold_0003_1206 pep chromosome:v.1.0:3:4801096:4805720:1 gene:Al_scaffold_0003_1206 transcript:Al_scaffold_0003_1206 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutaredoxin-related [Source:Projected from Arabidopsis thaliana (AT3G11920) TAIR;Acc:AT3G11920] MIPIKFARENPRNSEKVTNGQSCTISSDIVSPPCSNSNPMSDHGHPATPIREAVSQNPMKEISIDDSYLPPHPQLPKLEPPRGRRVSLEELLHPPEKFTADLMKFVRQSGNAISKRISVLLENDDDSDSKKTIDDDVTEFKISGVKVLVKMKTEEEIRGRITFFSRSNCRDSTAVRLFLREQGFDFSEINIDVYTAREKELIERTGSSQVPQIFFNEKHFGGLMALNSLRNSGEFDLRVKEFLKEKCCGDAPAPVMYGFDEESNKDVVVVDEILRFVRVLRQKLPIKDRLLKMKIVKNCFSGAEMIEILIDYLDCGRNKAVEVGMRLAKKHFIHHVFGENEFEDGNHYYRFLEHEPFISKCYNFRGSTNDMEPQNAGIVGQKLFKIMTAILESYSSNDRSCVDYLRISQSEEFRRYLNLAQDLHRLNIVELSTEEKLAFFLNLYNAMVIHALIRIGRPEGVIARRSFFTDFQYVVGGYSYSLSSIRNDILRRGRRPSYPFIIPFINDNARHKLGLQKLNPLVHFGLCDGTKSSPVVRFFTPQGVEAELKRAAREFFQNGGIEVVLDKRTIHLSKIIKWYKEDFSEEKKMLKWIMSYIDANDAGLLTHLLGDGGGSFNIVYQDYDWSINN >Al_scaffold_0003_1211 pep chromosome:v.1.0:3:4818057:4825112:1 gene:Al_scaffold_0003_1211 transcript:Al_scaffold_0003_1211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor (CPSF) A subunit protein [Source:Projected from Arabidopsis thaliana (AT3G11960) TAIR;Acc:AT3G11960] MAAPEDESSAQSQSSSATAAPTPPPSSSSSSAGDHYLAKCILRPSVVLQVAYGYFRSLSSRDIVFGKETCIELVVIGEDGIVESVCEQYVFGTIKDLAVIPQSSKLYSNSLQMGKDLLAVLSDSGNLSFLSFSNEMHRFSPIQHVQLSTPGNSRIQLGRMLTIDSSGLFLAVSAYHDRFALFSLSTSSMGDIIHERISYPSEDGGNGSSVQAISGTIWSMCFISKDFNDSESKEYAPVFAIVINRIGSLMNELALFRWNVKEESMCLISEYVEAGALAHSIVEVPHSSGFAFLFRIGDALLMDLRDPQNPCCLFRTSLDLVPASLVEEHFVEESCRVQDGDDEGFNVAACALLELSDHDPMFIDTESDIGKLSSKHVSSWTWEPENNHNPRMIICLDDGEFYMFELIYEDDGVKVNLSECLYKGLPCKEILWVEGGFLATFAEMADGTVFKLGSEKLHWMSSIQNIAPILDFSVMDDQNEKRDQIFACCGVTREGSLRIIRSGINVEKLLKTAPVYQGITGTWTVKMKLTDVYHSFLVLSFVEETRVLSVGLSFKDVTDSVGFQSDVCTLACGLVADGLLVQIHQDAIRLCMPTMDAHSDGIPVSSPFFSSWFPDNVSISLGAVGQNLIVVSTSNPCFLSILGVKSVSSQCCEIYEIQRVTLQYEVSCISVPQKHIGKKRSCASSPDNSCKAAIPSGMEQGYSFLIGTHKPSVEVLSFSEDGVGVRVLASGLVSLTNTMGAVISGCIPQDVRLVLVDQLYVLSGLRNGMLLRFEWPLFSNASGLNCPDYFSYCKEEMDIVVGKKDNLPINLLLIATRRIGITPVFLVPFSDSLDSDIIALSDRPWLLQTARQSLSYTSISFQPSTHATPVCSSECPQGILFVSENCLHLVEMVHSKRRNAQKFHLGGTPRKVIYHSESKLLIVMRTDLYDTCTSDICCVDPLSGSVLSSYKLKPGETGKSMELVRVGNEHVLVVGTSLSSGPAILPSGEAESTKGRLIILCLEHTQNSDSGSMTICSKAGSSSQRTSPFRDVVGYTTEQLSSSSHCSSPDDNSYDGIKFDEAETWQLRLASATTWPGMVLAICPYLDHYFLASAGNAFYVCGFPNDSPERMKRFAVGRTRFMITSLRTYFTRIVVGDCRDGVLFYSYHEESKKLHQIYCDPAQRLVADCFLMDANSVAVSDRKGSIAILSCQDHSEFGTKHLAFSPRDDPEYSSPESNLNLNCAYYMGEIAMAIKKGCNIYKLPADDVLRSYGLSKSIDTADDTIIAGTLLGSIFVFAPISSEEYELLEAVQAKLGIHPLTAPVLGNDHNEFRGRENPSQATKILDGDMLAQFLELTNRQQESVLLTPQPSPSTSKASSKQRSSPPLMLHQVVQLLERVHYALH >Al_scaffold_0003_1217 pep chromosome:v.1.0:3:4845370:4846874:-1 gene:Al_scaffold_0003_1217 transcript:Al_scaffold_0003_1217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZN2] MATLSTNDNERRNTKLSPFLHFNFFFFFVVLLALVRHVFSINTLSSTETLTISSNRTIVSPGNVFELGFFKTTTSSRNGDRWYLGIWYKSISERTYVWVANRDNPLSKSIGTLKISYANIVLLDHYDTPVWSTNLTRMVKSPVVAELLDNGNFVLRDFKSNNQNRFLWQSFDYPVDTLLPEMKIGRNLKTGHESFLSSWRSPYDPSSGGFSFKLETQGLPELYLYKKEFLLYRSGPWNGVGFSGIPTMQNWSYFDVVNNFIENREEVAYSFNVTDHSMHYLRFTLTSEGLLQIFRWVTISSEWNLFGVLPTENCDLYQICGRDSYCDMKTSPTCNCIKGFVPKNVTAWALGDTFHGCVRKSRLNCHGDVFFLMKRMKLPDTSTSIVDKRIGLNECKERCSKDCNCTGFANKDIRNGGSGCVIWTRELRDMRNYVAGGQDLYVR >Al_scaffold_0003_1223 pep chromosome:v.1.0:3:4872388:4874400:1 gene:Al_scaffold_0003_1223 transcript:Al_scaffold_0003_1223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) [Source:Projected from Arabidopsis thaliana (AT3G12060) TAIR;Acc:AT3G12060] MASDTVKHMPIHGVSAFSSVTVEIKNFFSAVKPRKTSTFVYAFVVTFVALTVFLAFSPSPITVAIAPSISSYILPNITVSNSPNSPASTLDSNFTTLPTPAPENLTAVTKNVTFESPIANGTTDPTATDNTFQFKTDHAKENISCPDNKTDVTARDLDKHGARKAPLSEVLAVNSSASQMRKQRRKSSLRKVIESLKSCEFFEGDWVKDDSYPLYKPGSCNLIDEQFNCISNGRPDVDFQKLKWKPKKCSLPRLNGGKLLEMIRGKRLVFVGDSLNRNMWESLVCILKGSVKDESQVFEAHGRHQFRWEAEYSFVFKDYNCTVEFFALPFLVQEWEVTENNGTKKETLRLDLVGKSSEQYKGADILVFNTGHWWTHEKTSKGEDYYQEGSNVHPKLDVDEAFRKALTTWGRWVDKNVNPKKSLVFFRGYSPSHFSGGQWNAGGACDDETEPIKNETYLTPYMLKMEILERVLSGMKTPVTYLNITRLTDYRKDAHPSIYRKQKLSAEESKSPLLYQDCSHWCLPGVPDSWNEILYAEILVKLDQLGGRRRRKPLKDHRS >Al_scaffold_0003_1225 pep chromosome:v.1.0:3:4878367:4880236:1 gene:Al_scaffold_0003_1225 transcript:Al_scaffold_0003_1225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZP0] MWSRRNFCSSRNVNESMTGEGSSMTVVQKGINAGFSLFVKEITVQVPATSQTVKVWSNQLLKGDWGGSHLHTESRFSPLMEQLSEPRKDREALEDSSHEMEIPGSPSYPEYLSGATSAAAGYGTAYHLFEVDEMELVKERQKVETNKQEALK >Al_scaffold_0003_1234 pep chromosome:v.1.0:3:4908113:4908541:-1 gene:Al_scaffold_0003_1234 transcript:Al_scaffold_0003_1234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZP9] MPAKAGWVIRDDRGTFIGAGQAIGKPTNSCLESELQALIMAMQNCWGKGYKKILFEGDNKEIEEILNGNKANFGAYNWIREVSAWRKRFEECRFVWTRRDCNMVADTLAKGHLPTLSQFYFHAYVPYAIVNTLHCDFVTSNA >Al_scaffold_0003_1235 pep chromosome:v.1.0:3:4908816:4910058:-1 gene:Al_scaffold_0003_1235 transcript:Al_scaffold_0003_1235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZQ0] MMILVHLSIFFSILFISLPSSHSCTANDKNALLEIKKSLNNHPLLSSWTPQTDCCTVWSGVQCTDGRVTYLTLSSSYFSGNIPPAITKLKSLDILFFKYSNFSGPIPDNISDLKNLTYLGLSFNQLTGPIPGSLSQMPKLQAIELNDNKLTGSIPDSFGSFVGNIPALELSNNRLSGKIPESLSKYDFNAVFLSGNRFTGDGSMFFGRNKTTIQLDLSRNMFQFDLSKVKIARSILMLDLSQNRIFGKIPRVLTTLRRLERFNVSYNRLCGKIPSGGRLQTFEPSAYSHNLCLCGTPLKAC >Al_scaffold_0003_1238 pep chromosome:v.1.0:3:4916925:4917885:-1 gene:Al_scaffold_0003_1238 transcript:Al_scaffold_0003_1238 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase homolog A4D [Source:Projected from Arabidopsis thaliana (AT3G12160) TAIR;Acc:AT3G12160] MSNLYGDYNQKIDYVFKVVLIGDSAVGKTQLLARFARNEFSVDSKATIGVEFQTKTLVIDNKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMAKWLEELRGHADKNIVIMLIGNKCDLGSLRAVPTEDAQEFAQRENLFFMETSALEATNVETAFLTILTEIYRIISKKSLTADDDDADGNSSLLKGTRIIIPSEQESGKRGGCCGKS >Al_scaffold_0003_1257 pep chromosome:v.1.0:3:4978918:4980209:1 gene:Al_scaffold_0003_1257 transcript:Al_scaffold_0003_1257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex (NAC), alpha subunit family protein [Source:Projected from Arabidopsis thaliana (AT3G12390) TAIR;Acc:AT3G12390] MTTEEKEILAAKLEERKIDLDKPEAGGKSKQSRSEKKSRKAMLKLGMKPITGVSRVTVKKSKNILFVISKPDVFKSPASDTYVIFGEAKIEDLSSQIQSQAAEQFKAPDLSNVISKGESSSATVVQDDEEVDEEGVEPKDIELVMTQAGVSRPRAVKALKATDGDIVSAIMELTT >Al_scaffold_0003_1272 pep chromosome:v.1.0:3:5025361:5025864:1 gene:Al_scaffold_0003_1272 transcript:Al_scaffold_0003_1272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L0I0] PPRTNHKSQNDSVNCIHKRSPSDQSHHHRSWRKLRRRDPKREDPSHRELAEVPKPQEERRRLKKHGDAPPISQIWRMVPPLGAAPLLQSPHQSHNLKQRETRRTSFAPCVATRRFTTNKRRRASGIWTPPKLQRGGGEDNREEGERERSPLRPPVRSTMTGEAEIEI >Al_scaffold_0003_1280 pep chromosome:v.1.0:3:5058135:5061390:1 gene:Al_scaffold_0003_1280 transcript:Al_scaffold_0003_1280 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G12640) TAIR;Acc:AT3G12640] MGSADLVDDRTFNGDFSVEGAAKLKEMVMVKLKEFMGDYTDDTLVEYVIVLLRNGRRKEEAKNELKIFLGDDSDSFVAWLWDHLAESVDEYFSSHVEGTTIKSSLISSQNEDKALVPMDSESERGRSDKSNGARRGRQWRSQPTNVSEIPPLLSSEVHKIHNYEKKDHKHRHNKRSPSPQSQSHRKRSRTDDSRNEQREAKPDVSRRLLQFAVRDALAISRPVNSSTESSLKRLRSVVSTSTQDSSDPDPARKIRSVARVVNPMATVMKAVAEAAEDAKKPRSGRSVFDRISHSTGFSETLDQHMVHGEVSPKNEESRNFSEDQEAVQLQYTQSLDNNGVYVEKMTTYDTGLQPAFSSDRGRLGSSVNVSHPSTFLGNRINNPNSLQHRLVDDSKRLTGSNYQNRLTEVDTKHKTASFSGNVDTGKTVSLEEQMKVPDVGLQRYMDEGRLVSSEANNQLSTQKILSDTIRNGNIKPAANVKEDSTTNKSVPGTLSTTRPLEDASSRTIFVANVHFGATKDSLSRHFNKCGEVLKATIVTDPATGQPSGSAYIEFTRKEAAENALSLDGTSFMSRILKIVKGSNGQQQEAASSMTWPRGGRFARAPSYFRGGAVRGRSVVRGGARSMQWKRDSADTGNNNNVAPNNARSLTYVRTESKSDGIAND >Al_scaffold_0003_129 pep chromosome:v.1.0:3:448824:450462:-1 gene:Al_scaffold_0003_129 transcript:Al_scaffold_0003_129 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02100) TAIR;Acc:AT3G02100] MDNNLNKRMGRPHVVVIPYPAQGHVLPLMSFSRYLAKQGIQITFINTEFNHNRIINSLPNSSHEDYVGDGINLVSIPDGLEDSPEERNIPGKLSESVLRFMPKKVEELIAETSGGSCGTIISCVVADQSLGWAIEVAAKFGIRRAAFCPAAAASMVLGFSIQKLIDDGLIDFDGTVRVNKTIQLSPGMPKMETDKFVWVCLKNKESQKNIFQLMLQNNNSIESTDWLLCNSVYELETAAFRLGLKILPIGPIGWGHSLQEGSMSLGSFLPQDRDCLDWLDRQIPGSVIYVAFGSFGVMGDVQLEELAIGLELTKRPVLWVTGDQQTIKLVSDRVKVVRWAPQREVLFCGAIGCFVSHCGWNSTLEGAQNGIPFLCIPYLADQFINKAYICDVWKIGLGVERDERGVVPRLEVKKKIDEIMSDYGEYKERAMKIKEVVMKSVAKDGISCENLNKFVNWIKSQVY >Al_scaffold_0003_1295 pep chromosome:v.1.0:3:5107334:5109498:-1 gene:Al_scaffold_0003_1295 transcript:Al_scaffold_0003_1295 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial editing factor 22 [Source:Projected from Arabidopsis thaliana (AT3G12770) TAIR;Acc:AT3G12770] MSEASCLASPFLYTNSGIHSDSFYASLIDSSTHKAQLRQIHARLLVLGLQFSGFLITKLIHASSSYGDITFARQVFDDLPRPQVFPWNAIIRGYSRNNHFQDALLMYSKMQLARVSPDSFTFPHLLKACGGLSHLQMGRFVHAQVFRLGFEADVFVQNGLIALYAKCRRLGCARTVFEGLPLPERTIVSWTAIVSAYAQNGEPVEALEIFSQMRKMDVKPDCVALVSVLNAFTCLQDLEQGRSIHASVMKMGLETEPDLLISLNTMYAKCGQVATAKILFDKMKSPNLILWNAMISGYAKNGFAKDAIDLFHEMINKDVRPDTISITSAISACAQVGSLEQARWMDEYVSRSDYRDDVFISSALIDMFAKCGSVECARSVFDRTLDRDVVVWSAMIVGYGLHGQAREAISLYRAMERDGVHPNDVTFLGLLIACNHSGMVREGWWFFNRMADHKINPQQQHYACIIDLLGRAGHLDQAYEVIKCMPVQPGVTVWGALLSACKKHRHVELGKYAAQQLFSIDPSNTGHYVQLSNLYAAARLWDRVAEVRVRMKEKGLNKDVGCSWVEVRGRLEGFRVGDKSHPRYEEIERQVEWIESRLKEGGFVANKDASLHDLNDEEAEETLCSHSERITIAYGLISTPQGTTLRITKNLRACVNCHAATKLISKLVGREIVVRDTNRFHHFKDGVCSCGDYW >Al_scaffold_0003_131 pep chromosome:v.1.0:3:460446:462811:1 gene:Al_scaffold_0003_131 transcript:Al_scaffold_0003_131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAK8] MESQQSNLETPLKIQPDHQEITTLNPLSPPQPLPESCRNHDSPPPRASTNEPMKKIGTPDRLRVPIAFKHPERYRSPTDAMMSPVTKGLLARTRKASGSLIPPSFNQTKIQELRKPESETENKEHQWKHSMSSRSSSRSSSITSASSDGHFDIRHFPLPKPSLSASEAQKLRESHQAYNSSVSSYTSSSWSSNHQNHLVDLPGYDPSRIPSSVFSSKPGNSTEWSLASNESLFSIHDGNFSISTALRLAEIPKFEETVHEITEINTVPLPPPLPPGKKPNESEKETISEEEPYQVENLDSEIDNNEEGEEKMSEVESDDEHEDMIEAEVLVEKEVIETVKENKPEDSNSIVSHSPSISCRSDTSNNSIGSFAFPLLQKEDAVIKTPSVQIKGNLSHKRKPEYQLPQSRMLLPQQQLQPYSESSMLTESESESIQQRKASKKFESPTQSLKASRTCWFSCFHCPSKCSIFK >Al_scaffold_0003_132 pep chromosome:v.1.0:3:463960:464184:-1 gene:Al_scaffold_0003_132 transcript:Al_scaffold_0003_132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAK9] MATTAAVITTAVAGTTAVAGATAIVGATTVAGKTPSPEKPLSPEKPPSPEPPPPPEPPPPPKPPPPPLVISPTL >Al_scaffold_0003_1329 pep chromosome:v.1.0:3:5256094:5262299:1 gene:Al_scaffold_0003_1329 transcript:Al_scaffold_0003_1329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L0N3] MNSGDTLSTLAQFISSSERFFRRADGYGGFCYCWATVFALVFILLYQFVLSPKLRFFSHASSSSSSPVVSVSQSLSSQSGISTTLVSDEDLKGLIEKLEETSEDAQIWENVIQKSNPRVSYTAKCCKPTDGGPMKYLSTTVFEDCSPEVLRDFYMDNEYRKQWDKTVVEHEQLQVDSNSGIEIGRTIKKFPLLTPREYVLAWKLWEGKDKFYCFIKECDHNMVPQQRKYVRVSYFRSGWRIRKGKNACEIHMFHQEDAGLNVEMAKLAFSRGIWSYVCKMENALRKYIATSHRPQGPTLSAVSLMKKCLKASALQYLFVKSQFGFLKLNMPSLASHPCVIPQIPSELESQTDDITNFSGTTTSGLHTGEGAKRKKLLRKPSKKLIANGMLLLGGAVGGAICLSRGHSALGAKVALAYFLSKMRKRGAPLSQTTQNAVSALNDAYKSMNSPSKLKGWSSSGGDPCGDSWDGITCKGSSVTEIKVSGRGLSGSLGYQLGNLKSLTYLDVSKNNLNGNLPYQLPDKLTYLDGSENDFNGNVPYSVSLMNDLSYLNLGRNNLNGELSDMFQKLPKLETIDLSSNQLTGKLPQSFANLTGLKTLHLQDNQFKGSINALRDLPQIDDVNVANNQFTGWIPNELKNIGNLETGGNKWSSGRAPSPPPGTRHIDRNSSGGGGGSSKALTLGVIIAVSCIGGLILIAGVIALFSRRKNSHHSSHFFDEEKGSNRNKPLFTPQSSQMLQFDNMEEFKGQKTVDSNTSLETKPSVKRTSSVSFKNSPTFHLIPSTQVAATPDHSSTPENSPDTRGVKVFSLTDLQNSASCFSPNRLLGEGTIGRVYKAKFQDGRKYAVKEIDSSLLGKGNPEEFSHIVSSISSIHHKNMAELVGYCSEQGRNMLVYEYFTSGSLHRFLHLSDDFSKPLTWNTRIRIALGTAQAIEYLHETCSPPLVHKNIKSSNILLDNELNPRLSDYGLANFHHRTSQNLGVGYNAPECTDPSAYTQKSDVYSFGVVMLELLTGRTPYDSERPKAEQSLVRWAKPQLKDMDTLDEMVDPALCGLYAPESVSAFADIVSICVMTEPGLRPPVSNVVEALKRLV >Al_scaffold_0003_1332 pep chromosome:v.1.0:3:5274268:5279397:-1 gene:Al_scaffold_0003_1332 transcript:Al_scaffold_0003_1332 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 8 [Source:Projected from Arabidopsis thaliana (AT3G13090) TAIR;Acc:AT3G13090] MENPIDFLLFQPIYLSVLSFFLNLVLLLILFGSWLCKKRVACEAIMNEEFKHMSLSYNKLVLICCVSLSVFYSVFSLLSCVHWHTNGWAFLDLLLAALTWGTISIYLCGRYTTSREQKLLFLLSVWWVFYFVVSCYRLVVEFVLYKKQEMVSVHIVISDLVGVCAGLFLCCSCLWKKGEGERINPLKEPLLTRAESSENEEATAPFSKAGILSLMSFSWMSPLITLGNEKIIDSKDVPQVDRSDRAESLFWIFRSKLQWDDGERRITTFKLIKALFLSVWRDFVLSALFAFVYTVSCYVAPYLMDNFVQFLNGNGQYKNQGYVLVTTFLVAKLVECQTRRQWFFRGAKAGLGMRSVLVSMIYEKGLTLPCHSKQGHTSGEIINLMAVDADRIGAFSWFMHDPWILVLQVSLALWILYKSLGLGSIAAFPATILVMLANYPFAKLEEKFQSSLMKSKDNRMKKTSEVLLNMKILKLQGWEMKFLSKILELRHIEAGWLKKFVYNSSAISSVLWTAPSFISATAFGACLLLKIPLESGKILAALATFRILQGPIYKLPETISMIVQTKVSLNRIASFLCLDDLQQDVVGRLPSGSSEVAVEISNGTFSWDDSSPIPTLRDMNFKVSQGMHVAICGTVGSGKSSLLSSILGEVPKISGNLKVCGRKAYIAQSPWIQSGKVEENILFGKPMEREWYERVLEACSLNKDLEILPFHDQTVIGERGINLSGGQKQRIQIARALYQNADIYLFDDPFSAVDAHTGSHLFKEVLLGVLKHKTVIYVTHQVEFLPKADLILVMKDGKITQAGKYNEILDSGTDFMELVGAHTEALATIDSYETGYASEKSTTNKENGVLHHKEKQEIDSDNKPSGQLVQEEEREKGKVGFTVYKKYMALAYGGAVIPLILVVQVLFQLLSIGSNYWMTWVTPVSKDVEPPVSGFTLILVYVVLAIASSFCILIRALLVAMTGFKIATELFTQMHLRIFRASMSFFDSTPMGRILNRASTDQSVADLRLPGQFAYVAIAAINILGILGVMVQVAWQVLIIFIPVVAACAWYRQYYISAARELARLAGISRSPMVHHFSETLSGITTIRSFDQEPRFRGDIMRLSDCYSRLRFHSTGAMEWLCFRLELLSTFAFACSLVILVSVPEGVINPSFAGLAITYALSLNTLQSTLIWTLCDLENKMISVERMLQYINIPSEPPLVIESTRPEKSWPSRGEITICNLQVRYGPHLPMVLHGLTCTFPGGLKTGIVGRTGCGKSTLIQTLFRIVEPAAGEIRIDGINILTIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDDQIWEALDNCQLGDEVRKKELKLDSPVSENGQNWSVGQRQLVCLGRVLLKRSKLLVLDEATASIDTATDNLIQETLRHHFADCTVITIAHRISSVIDSDMVLLLDQGLIKEHDSPARLLEDRSSLFSKLVAEYTTSSESKSRRS >Al_scaffold_0003_1333 pep chromosome:v.1.0:3:5280481:5285717:-1 gene:Al_scaffold_0003_1333 transcript:Al_scaffold_0003_1333 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 7 [Source:Projected from Arabidopsis thaliana (AT3G13100) TAIR;Acc:AT3G13100] MKQSYAMDNPIDFFLLESNYFPMFSIFFNLLLLLVMFGSCVYKKRLGWENSDNERFKDMSLTYNKLVVICCETLFALNSVLSLLSCFHLHTNGWDGSDLMSLLDLLFTALSWGAITFYLRSLSTDSHDQKFPFLLRVWWVLYFMFSCYRLLVDISLYKKQELVSVHLLLSDVVAVSVGLFLCYSCLQKQGEGERINLLEEPLLNGGESSATTSVQLDKAEEDAEVVTPFSNAGFLSHVSFSWMSPLIVLGNEKILDSEDVPQVDNSDRAEKLFWIFRSKLEWDDGERRITTFKLIKALFFSVWRDILLSTLFAFVYTVSCYVAPYLMDTFVQYLNGQRQYSNEGVVLVTTFFVAKLVECQARRNWYFRLQKAGIGMRSVLVSMIYEKGLTLPCYSKQGHTSGEIINLMTVDAERISAFSWYMHDPWILVLQISLALLILYRSLGLGSIAAFAATFLVMLGNIPLAKLEEKFQGNLMESKDNRMKKTSEVLLNMRILKLQGWEMKFLHKILDLRRIEAGWLKKFVYNSAAISSVLWAAPSFVSATAFGACMLLKIPLESGKIIAALATFRILQTPIYKLPDTISMIVQTKVSLDRIASFLCLEDLQQDGVERLPSGSSKMDVEVSNGAFSWDDSSPIPTLRDISFKIPHGMNIAICGTVGSGKSSLLSSILGEVSKISGNLKVCGRKAYIAQSPWIQSGKVEENILFGKPMQREWYERVLEACSLNKDLEVLPFHDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLGLLRNKTVVYVTHQLEFLPEADLILVMKDGRITQAGKYNEILESGTDFMELVGAHTDALAAVDSYEKGSASAQSTTSKESKVSNDEEKQEEDLPNPKGQLVQEEEREKGKVGFSVYQKYMALAYGGALVPVILVVQILFQVLNIGSNYWMAWVTPVSKDVKPPVSGSTLIIVYVVLATASSLCILVRAMLAAMTGFKIATELFNQMHFRIFRASMSFFDATPIGRILNRASTDQSAVDLRLPSQFSNLAITAVNILGIIGVMVQVAWQVLIVFIPVIVACTWYRQYYISAARELARLSGISRSPLVQHFSETLSGITTIRSFDQEPRFRTDIMRLNDCYSRLRFHSISAMEWLCFRLDLLSTVAFALSLVILVSVPEGVINPSFAGLAVTYALNLNSLQATLIWTLCDLENKMISVERMLQYINIPSEPPLVIESTRPDKTWPSRGEITICNLQVRYGPHLPMVLRGLTCTFPGGLKTGIVGRTGCGKSTLIQTLFRIVEPTAGEIRVDGINILTIGLHDLRSRLSIIPQEPTMFEGTVRSNLDPLEEYADDQIWEALDKCQLGDEIRKKELKLDSPVSENGQNWSVGQRQLVCLGRVLLKRSKVLVLDEATASVDTATDNLIQETLRQHFWDCTVITIAHRISSVIDSDMVLLLDQGLIEEHDSPARLLEDKSSSFSKLVAEYTASSDSRFKRSY >Al_scaffold_0003_1340 pep chromosome:v.1.0:3:5302168:5304835:-1 gene:Al_scaffold_0003_1340 transcript:Al_scaffold_0003_1340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spo11/DNA topoisomerase VI, subunit A protein [Source:Projected from Arabidopsis thaliana (AT3G13170) TAIR;Acc:AT3G13170] MEGKFPISETTNLLQRIKDFTRSIVEDLAEGRSPKISINRFRNYCINPEADCLCSSDTPKGQEILTLERKPQTYRIDMLLRVLLIVQQLLQENRHASKRDIYYMHPSAFKAQSIVDRAIGDICILFQCSRYNLNVVSVGNGLVMGWLKFREAGRKFDCLNSLNTAYPVPVLVEEVEDIVSLAEYILVVEKETVFQRLANDMFCKTNRCIVITGRGYPDVSTRRFLRLLMEKLHLPVHCLVDCDPYGFEILATYRFGSMQMAYDIESLRAPDMKWLGAFPSDSEIYSVPKQCLLPLTEEDKKRTEALLLRCYLKREMPRWRLELETMLKRGVKFEIEALSVHSLSFLSEVYIPSKIRREVSSP >Al_scaffold_0003_1352 pep chromosome:v.1.0:3:5340993:5343058:-1 gene:Al_scaffold_0003_1352 transcript:Al_scaffold_0003_1352 gene_biotype:protein_coding transcript_biotype:protein_coding description:regulatory protein RecX family protein [Source:Projected from Arabidopsis thaliana (AT3G13226) TAIR;Acc:AT3G13226] MLKQGFRLSIAIQHRVFVIPWVKRHSAPRILCSDQRDYTSSGLIKYVPNKSRKITQDLTSQPLDVTRLDHSVLGSQVKGNSKEARDGTFLDLQEKNDTGSYMNVSCDDSDEGILSLDKGINASRWDHSVLGSKVKEETGEGTVLHLRVKNDAEMYSDASCDDSNEESSNEVRSTGYKSTRQHKQAYDKVKLHMEAEQAKSSKEACKTTQEAEHMAMRYLGLRAYSAADLKKKLMGKKFPLEVVDRVINDFQIRGFINDSLYAESFTRSRWSSLSWGPRRIKQALFKKGISNKDSETAIKLVFEKRQCKEGDEEAELNHGLSKEAVDQLYVQASKRWLQGRDLPIETRKARVIRWLQYRGFNWGVVSQLLKRLESTHES >Al_scaffold_0003_1358 pep chromosome:v.1.0:3:5357661:5362136:1 gene:Al_scaffold_0003_1358 transcript:Al_scaffold_0003_1358 gene_biotype:protein_coding transcript_biotype:protein_coding description:varicose-related [Source:Projected from Arabidopsis thaliana (AT3G13290) TAIR;Acc:AT3G13290] MASSPGNTSPHNPPPFDLSTIFKPSSNPYPPPTGPFLNNQQLYAPPGIAAQPSPVNQTQQDASSSSSATNLHPQRTLSYPTPPLNPQSPRVNHNPGTHILALLNNNNNGGALANQEPSHQLPVVNHNEIARSFPGGSGPIRVPSGKMPKGRRLVGEHAVYDVDVRLQGEIQPQLEVTPITKYGSDPQLVVGRQIAVNKVYICYGLKGGNIRVLNINTALRSLFRGHSQRVTDMAFFAEDVHLLASVSLDGKVFVWKISEGSEGDDQPEITGKIVLALQILGEEDTKHPRVCWHCHKQEILVVSIGKHVLRIDTTKVGRGEVFSAEAPLQCPLDKLIDGVQIVGKHDGEVTDLSMCQWMTTRLVSSSVDGTVKIWQDRKAQPLVVLRPHDGHPVNSATFVTSPERPDHIILITGGPLNREMKIWVSAGEEGWLLPADTESWRCTQTLDLKSSSEPRAEKAFFNQVIVLPEAGLLLLANARRNAIYSVHLDYGASPVDTRMDYLSEFTVTMPILSFIGTNDHPEEPIVKVYCVQTLAIQQYTVDLCLCMPPPRENVGFEKSDSSVSREANLVESTSEPPGIKPTELPSADSVPKPSILVNRSESADTLSFPAGSASAEVTPPAIVPPNSDPKTSGMPSETSDTGSAYAPSPQLSLSPRLSSKLSGYHTPVEAIEQVLPRHELGGKTSSADYSYDRQIDDVGERNLDVSSVEENCRRKDTNVTPDDDLSGIRSPSAFFKHPTHLVTPSEILMGVSSTEASIATEDKRDRDANIQDVNNDARGLEVEVKEVGEARTSQNGEINYHETTENHTSESRENIFCSQASNLSTEMARDRHPITEDTVIPGDSMTYVQPFQSGDERGLDSRDVSAKLPESGSSSGPLQSPATTSKGKKQKAKNSQGPGLSSTSSNVANLADSFNDRSHPMTDSLAQLLAMQETMNQIMASQKEMQRQLSNAVTGPIVKEGKKLEVALGRMIEKSSKSNADALWARFQEEAVKNEKALRDHGQQIVNATTNFMSKELNAMFEKTMKKEFAIVAPTLARAVTPVIEKTVSSAITESFQRGIGDKAVNQLEKSVNSKLETTIARQIQAQFQTSGRQALQLL >Al_scaffold_0003_1367 pep chromosome:v.1.0:3:5402927:5404467:-1 gene:Al_scaffold_0003_1367 transcript:Al_scaffold_0003_1367 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain interacting protein 3 [Source:Projected from Arabidopsis thaliana (AT3G13360) TAIR;Acc:AT3G13360] MNESVPESVEDNGNSVPANGLLVLPDIDHEEGGVGSPQRSNSVGSPGGSVHSTRKGFGLKKWRRIKRDGPVRDEAAPVDDGSKLLKRGLAGLVNPPSKHVDLSSVEARQSSEGSVGSVNMVHHPGVANGFNPDIGCMFAVGQAFEKSEEHSGNTIGAKNVLGGKIVSGSQEKLWSDTIKKASEERGNSEKEKPCSSLDSDLRSSDFVFSSGSVSVANHGEKDERLTMNYIGGFSNEGQVQEEVQTYSRSKNGYKEDDGDESKKNNNHWADKDPLAESIGSFAALQEALWKEVQSFQELGKESILLHSNTDELSAAQPSHENCREDNSTSSGSKALILKVKVKHLEHKLEEARAALEAKEARIHELENLKIESELEGIFQRRIETEIEHLILTRTLSSLQVLQEPKKLHSLKEDLESNRGNILGKTCKLGFYILTQLILLVSILRLLVLQFSPDSRLVIPT >Al_scaffold_0003_1375 pep chromosome:v.1.0:3:5454120:5454452:1 gene:Al_scaffold_0003_1375 transcript:Al_scaffold_0003_1375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1E3] MMVKFCLIASLLVLSLAISSYVVLAQSKEETIGETKHIRKIMKIRAPRSKSPPDRQWGESDSPQAAGPNSV >Al_scaffold_0003_1393 pep chromosome:v.1.0:3:5528547:5529808:-1 gene:Al_scaffold_0003_1393 transcript:Al_scaffold_0003_1393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1F9] MQQQVYFPSDHPRHIFEESPCESGDAICKLCKNLEEEPEPEVDPEVDLAALVEIDGKSKRHFSHEHDLLRLDMDEEEEKSEQVCQACVLPIPFGSFFQAMRLCSPRVV >Al_scaffold_0003_1398 pep chromosome:v.1.0:3:5552382:5553343:1 gene:Al_scaffold_0003_1398 transcript:Al_scaffold_0003_1398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1G3] MEGGAEDLSVEIVGLLETAYERREPMTKIRKQLERMSETLAEAVPHSKYAEAIVKGMLLAVQRRANLNASKKPSIRFLIHIYGPILIPYATSKTTQVCGEDICLEPQSPFFPVFGDIIQTLSRHCVNVEAIVDWEERRKEARERGILSPQEMNLLRNMENTQSGPTGGILEGFEIGKGSQDARDMGL >Al_scaffold_0003_1404 pep chromosome:v.1.0:3:5573315:5574125:-1 gene:Al_scaffold_0003_1404 transcript:Al_scaffold_0003_1404 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G13672) TAIR;Acc:AT3G13672] MEPRINDLQIESRVHALLDFPVRTNQMPSAIYQILLQCPNDDTEELNIENSKKKPYKCPYSGAKCNVTGDIQRLLLHLRNDHNVEMHDGRSFSHRYVHHNPKHLHHATCMLTLLDCFGRQFCLYFEAFHLRKTPMYIAFMQFMGDEEEAMSFSYSLEVGGNGRKLTWQGVPRSIRDSHKTVRDSQDGLIITRKLASFFCTDNNTTNKELKLKVSGRVWREQPISL >Al_scaffold_0003_1406 pep chromosome:v.1.0:3:5576171:5578740:1 gene:Al_scaffold_0003_1406 transcript:Al_scaffold_0003_1406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1H0] MGSRGNRVGDRWNEMDCDEKTSVIQEEIKRVGKLPSNSVYAVHRLKVLNKINDLLSVQRTLSQEKDFVVIVIIFIREKSKTLLHHHPYIKSPNPYHTEEKLKPKSKKMRNVMENIKSKRDVIVCRGIWYAMTLLSLFGLSISLNLLWPPGDSSSTSRFLRDGAVSATTFYAVTLLRYLFFTHPPSTCYTEFTAPKERIPQVVFSELALYLVFTTPLQCSLLFTNNVLSLLYISLFIISLFTGGIGLIQLSEKCRMETRHALITLFFGWLFGLFGIFEALYCNDYNGVALFLANLYVMFSLGLFLYNFPLRRTCILCLLLPFLFNPKRKSLSEYTYILSN >Al_scaffold_0003_1421 pep chromosome:v.1.0:3:5638868:5639155:-1 gene:Al_scaffold_0003_1421 transcript:Al_scaffold_0003_1421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1I5] MAMAGWHLSRNKMLFFSGDVFTSLAVCVHLTPYFPSVSDMVASVSSVVIYYHCISCINEVDQIVWGVKPVPNPEFVHRNNGSKLNYFEKNWDWMK >Al_scaffold_0003_1426 pep chromosome:v.1.0:3:5655616:5659065:1 gene:Al_scaffold_0003_1426 transcript:Al_scaffold_0003_1426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L281] MVAKEEEFTGNLKQQLVKLFDASLKLTVPDEPSVKPLVVVGKFEDYQCNNAMGLWSKVKGKGTQFTRPLAVAEALVTNLPTCDMVESCSVAQPGYVNVSIENMLINGIETWAPTLSVKRAVVDFSSPNIAKKMHVGHLRSTIIGDTIARMLEYSNVEVLRRNHVGDWGTQFGMLIENLFEKFPDSNSAMETAIEDIQSLYKPSKTKFDADLDFKRKAQQAVVRLQGGDPVYHKTWQKLCEISRADYAEIYQRLRVELEEKGESFYKPYIANMIEELNSKGLVEESDGARVMFIKDFKNPCMLVKSDGGFTYDTTDLAALWYRLNEEKAEWIIYVTDAGQQEHFDRFFKAARQVGWLPDNDETYPRVSHVKFGVVKGEDGKRFRNRDREIVPLADLLDEAKTRSKAALIERAKEKEWTPEELDQTAEAIGYGAVKYADLMNNISTDYKFSYDKMLNEKGNTAVYLLYAHARICSIIKKSGKDIDELKKTGKLALDHANERALGLHLLQFAETVEEACTKLLPHILCEYLYDLSEHYTKFYSNCQVNGSAEETSRLLLCEATAIVMRKCFHLLGITPVYMI >Al_scaffold_0003_1427 pep chromosome:v.1.0:3:5659944:5671593:1 gene:Al_scaffold_0003_1427 transcript:Al_scaffold_0003_1427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L282] MASQLHTSKVQGNSVPNPNTVASILYSPEKAGAFNCEYIYEIGQKGLGVLQQEDSRFNNFIIGKKRRGINDVKFSYNVWDLFRYKEEINRDSLGKEELDQIKASIRSYLRLLSDYMQFPAALDTLEYLLRMYKIHIYDWQDLIFCSLPYCHKPEFTRILQLVCPNGNSKWDLLLDLVKDSDAPVPREVFIKSLCDIQIVNSLCENACETRTKKSELSKSVFMFTTAIILGVRTKLTTIDEVKPVVFFIDQAISSGGSYTTCALMIICQLSKKTLQHSNFLTWIVKTSQGSQDYNWLRLSVITLINFVQLQSVTSIRKSILADLIKIEYLAPKEDETEDEKKDKKKKDKKQPFAKVLLGISEEFNISRFLDILLDSCLPHYDTYEDRFSQTIASIIDTVPMNINMVDLTSKVLSQCMILSGEWARTILLAIYNKYPDELLEAVENFLDNEIQTELKLDMLSQMMDFCELDKVALGLAFKKTLLKCVKEKAQKLRTEKALSGSSSQNRRELNADDITIELFGKMCEELIQALTEQYLYPQALLGPILSQAVVKPPSSLKEHPHVPTVEKVDEWLVSCITQMATSYSDLALKHEVPKEARSKGLREKKLEKEEEEENKMLKVVDKILGLVSAIQRDGENFKVWSLRATPLNYLFRISSSTRFATAIYGRRSYHSSLAHHSWLTHLQLYWELSICVNSFSILIKSLLPLPDRYHGLTDIDKMLPPTVSSVFCLCKSVFLILKGSPYISFIWVPITVFLTRYVDMIANPVVADVFRRRAKVDCFPRYARQLSLLDIWRLKLHFFRNKGISTHHNPEFTTIVVLQDIPSPQSSASPVLAFTILNGSIAVLALFRCMRLIPTTQA >Al_scaffold_0003_1445 pep chromosome:v.1.0:3:5734735:5736235:-1 gene:Al_scaffold_0003_1445 transcript:Al_scaffold_0003_1445 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor 4A1 [Source:Projected from Arabidopsis thaliana (AT3G13920) TAIR;Acc:AT3G13920] MAGSAPEGTQFDARQFDQKLNEVLEGQDEFFTSYDEVHESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGVLQQLDFSLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHVVVGTPGRVFDMLKRQSLRADNIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINFDLPTQPENYLHRIGRSGRFGRKGVAINFVTHDDERMLFDIQKFYNVVVEELPSNVADLL >Al_scaffold_0003_1448 pep chromosome:v.1.0:3:5751208:5752343:1 gene:Al_scaffold_0003_1448 transcript:Al_scaffold_0003_1448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2A5] MEINGQEGHRHEESSSEWFVKYLKHQGDWLEKTRGNLMVTATVIAGMSFQVMVNPPGGVWQSDNCSFGNQTGTAPVCKGKAGTAVLEYESSKRIAYLGMVISSTVSFSASMSLILLVISGIRLRNRMIMAILVTFMVVAVLCISAAFFFTIVLVQSDDQIIRDILLIYVGFWVIFPVLILLIQLVRFIGWLICFMCCCCCQRRRRSPQRLLPLAPSPAN >Al_scaffold_0003_1456 pep chromosome:v.1.0:3:5797664:5799000:1 gene:Al_scaffold_0003_1456 transcript:Al_scaffold_0003_1456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2B4] MQEFHSKESLSYPVTSWENSVFTNSNVQGSSSMTDNNTLSLTMEMMSTHFPEMKQTVFQLHDHDSSSTQSTGGESYSEVASLSEPNNRYGHNIVVTQLSGYKENPENPIGSHSKSKVSQDSVVLPIEAASWPLHGNVTPHFNGFLSFPYASQHMVQHPQIGGLVPCRVPLPHNIPENEPIFVNAKQYQAILRRRQRRAKLEAQNKLIKVRKPYLHESRHLHALKRARGSGGRFLNTKKLQESKSSQAPPFLDPPHVFKNSPGKFRQRDISRGGVGSSGSTTSCSDITGNNNDMFQQNPQFGFSGYPSNHHVSVLM >Al_scaffold_0003_1464 pep chromosome:v.1.0:3:5820291:5822775:-1 gene:Al_scaffold_0003_1464 transcript:Al_scaffold_0003_1464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mono-/di-acylglycerol lipase, N-terminal;Lipase, class 3 [Source:Projected from Arabidopsis thaliana (AT3G14075) TAIR;Acc:AT3G14075] MATATMATAAGAAALLYYTLNRKLIAGPSDVDDENSDTSASRASLRIDRVSHRLIQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGINFLIKRQGLLHVDRVFGGKDSIELKGSEVATELRYLLHLLTLCWHFSKKPFPLFLEETGFTKENVLIHEPKAGILKPAFTVLVDHKTKCFLLLIRGTHSIKDTLTAATGAIVPFHHTVVNERGVSNLVLGYAHCGMVAAARCIAKLATPCLLKGLEQYPDYKIKIVGHSLGGGTAALLTYILREQKMLSTATCVTFAPAACMTWELADSGNDFIVSVINGADLVPTFSAAAVDDLRAEVTASAWLNDLRNQIERTRILSTVYRSATALGSRLPSMATAKAKVAGAGAMLRPVSSGTQVVMRRAQSMLTRPALSISSWSCMGPRRRASATQSNSEHQLDSSEAMSQDIPETSGPLLVTDEEITGKWKSEDETSPRLGTTDLDECEDPAEMDTREERMTEAELWQQLEHDLYHDTSEQPEETDVAKEIKEEEEAVIAEAGVAPPESQTAEMKEYRRFLPAGKIMHIVTVRPEAVEANEEEEEDGSTLERPETVETVEEGRVGIFLTPRSLYSKVRLSQRMISDHFMPVYRRQIERLIQELTVEHDP >Al_scaffold_0003_147 pep chromosome:v.1.0:3:514055:514793:1 gene:Al_scaffold_0003_147 transcript:Al_scaffold_0003_147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZE8] MKNTKAKLITLLLGFLFLMMMMMIMNFHHALQGGSKYEDYGLIASGSEHLLNMGRKIKSLKPIDSETKKRSRKGLVSTDSAREIDNLMRSDYPSRMNGRKRTPIHN >Al_scaffold_0003_1475 pep chromosome:v.1.0:3:5867531:5867737:-1 gene:Al_scaffold_0003_1475 transcript:Al_scaffold_0003_1475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2D3] MGFLHKLWDETLAGPTPENGLGKLRNHISGENGNRKLMVNLRRVPDSPDRSSNPGSPLTRMLLLFTLF >Al_scaffold_0003_1488 pep chromosome:v.1.0:3:5935034:5935811:-1 gene:Al_scaffold_0003_1488 transcript:Al_scaffold_0003_1488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L325] MQINRRPFETKTWQNRICPSETMVKIHPDLITSGTGEETISPYLTTEQETFTIWMKSLVFNTNGCTVFDSKGKIIYRVDNYNSKSCREVYLMDLSGHVLFTLRRQKFGLFKTWEGYRSSSGTAESTTKLEYFRVKNNVFQIPNKDSSSSYRVIAGSCRNDEQYCYKMVTRGSSLAIEDHCGKLLAEVKRKQSINGLKLGDDVLTMMVESQVDHSFIIGLVLAHSLINCIL >Al_scaffold_0003_149 pep chromosome:v.1.0:3:525686:543069:-1 gene:Al_scaffold_0003_149 transcript:Al_scaffold_0003_149 gene_biotype:protein_coding transcript_biotype:protein_coding description:auxin transport protein (BIG) [Source:Projected from Arabidopsis thaliana (AT3G02260) TAIR;Acc:AT3G02260] MADDLANLCRFLFDDTAFPSLSSSASSDLFSRRLRSDDSIKRGLRSFYLLLRWGIAPIDDSSGQLRFQTWSDSQVQALVSISQAILLLSRSLLGTDLTLNSLNQGLVDQLEPIVLGVIQAVMEFSLSFLEKSSFRQNDLKMEINMEILLEVASFDGSEKQYDILPAVSPAEVAELWPAFSGEHDNMEIHSLVKCTFQGGRCSNEEKPVDRLLITLMSECIESDVQAQSVIKPSFQQDCGDLNPLTRHLAVVHLGCVCRLIMVCKELVQLPNVLDEKTVDQAFLDKLSFCLRILKLLGSLSKDVQSIENDGSLLQAVASFTDAFPKLFRVFFDFTNHIATEGNIESLSLALVEGFLNLVQLIFGKSSVFQNVQACVAASIVSNLDSSVWRYDVSSCNLTPPLAYFPRSVIYTLKLIQDLKRQPYHIQDLRVLESEVTYDDASSTVDSVYFHLRQENIPLLKCFTVEDIMRVIFPSSSQWMDNFFHLVYFLHHEGVKLRPKVERTYSSLRSNSFAEVESQISHDDEALFGNLFSEGSRSLCSIEPNDQPPVSVSSNLPLQAAKELLNFLRACVFCQEWVPSIYEDGCKKLDAGHIDILLNIVGCSIEDKASDGGCMLQDEGRPGHVAFELLLNLLRSRALSDFLESYLFQQILVVENSDFNYNDKTLALLAHTLLCRPGLAGAPLRDKIYDGFVSFVAERARGICAEALSLKELTACLPSAFHIEILLMAFHLSNEAEKAKFSNLIASCLQKVDTPSGICDGPQLSSWAILISRLLVLLHHMLLHPNTCPTSLMLDLRSKLREVRSCGSNLHVTVGDHLSSWVSLVARGITDSWTEDESVSHLMSQMIDFSPHPPTFQNDVSAAKTLNLDYGDLSASLSRVLGLWKGKKAGKVEDLIVERYIFMLSSDIARINCALDSQPSLHVNYQNVDISSSVDLICTSHLLVGDINVVGRNIELRNILIGVLNQLQAAPEQVVEDLGFDFIREGAWLSLLLYFLDGGVWDYCKKNSCSEIYPFWRECTSVDAKYVAAAEGVVSYLMETGDIAELLRMLSSLVGKYLRVYKKAFLATFSAWNHHGHSSPSLLLLKHTQFGKSLQGEYAKIGDNSLHLQCIFYLSKLDALGDGRGSGVLWKVFWEFMVHGFPTSLQTSSAILLSCILSIRCIVLTIDGLLKLGNSKEKFGADTSVLHQLLDSIMIIKFDQVFESFHGKCEEIHQNICAVLQLPDLTELFLMKDMEGFVRDISAEQIDRSHVLEGVIIKIVDVMDSLSKDSSKSDIFKFYLGGDAVSEHTREFYELQRGDLSVFIDSLDYCSLEPVNIKVLNFLVDLLSVAQSPDLRRRVQQKFIDMDLVSLSGWLERRLLGSFVEEIDGKKTAKGNSLPFREAAMNFINCLVSSTNDLHPRELQNHLFEALLISLDSAFLSFDIHMSMSYFHFVLQLAREDNLMKMVLKRSIMLMEKLAADEKLLPGLKFLFGVIGTLLSNRSPSHGESLCGKSLASYKNTATGPLVPKLSGTTKKSDTLALPVDQEGSSISLECDVTSVDEDEDDGTSDGEVASLDKEDEEDANSESYLASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFNGNGSAPARGTNNFQSFLPLSEDADQLAESDSDVEEDGFGEENHVVLSIPKETQYKMSLLLEELGIEDRVLELFSSLLPSITSKRDSGLSKDKQVNLGKDKVLSFDKDLLQLKKAYKSGSLDLKIKADYTNSKDLKSLLANGSLVKSLLSVSVRGRLAVGEGDKVAIFDVGQLIGQATIAPINADKANVKPLSRNIVRFEIVHLAFNPVVENYLAVAGLEDCQILTLNHRGEVIDRLAVELALQGAFIRRIDWVPGSQVQLMVVTNKFVKIYDLSQDSISPTQYFTLPNDLIVDATLFVASRGRVFLLVLSEQGNLYRFELSWGGNAGATPLKEIVQIMGKDVTGKGSSVYFSPTYRLLFISYHDGSSFMGRLSSDATSLTETSGMFEEESDCKQRVAGLHRWKELLAGSGLFICFSSVKSNAALAVSLRGDEVCAQNLRHPTGSSSPMVGITAYKPLSKDNVHCLVLHDDGSLQIYSHVRSGVDADSNFTAEKVKKLGSKILNNKTYAGAKPEFPLDFFERAFCITADVRLGSDAIRNGDSEGAKQSLASEDGFIESPSPMGFKISVSNPNPDIVMVGIRMHVGTTSASSIPSEVTIFQRSIKMDEGMRCWYDIPFTVAESLLADEDVVISVGPTTSGTALPRIDSLEVYGRAKDEFGWKEKMDAVLDMEARVLGHGLLLPGSSKKRALAQSASMEEQVIADGLKLLSIYYSVCRPRKEVVLSELKCKQLLETIFESDRETLLQTAACRVLQSVFPRKEIYYQVKDTMRLLGVVKVTSILSSRLGISGTGGSIVEEFNAQMRAVSKIALTRKSNFSVFLEMNGSEVVDNLMQVLWGILESEPLDTPTMNNVVMSSVELIYSYAECLASQGKDTGVHSVAPAVQLLKTLILFPNESVQTSSRCVLVLAISSRLLQVPFPKQTMLTTDDLVDNVTTPSVPTRTAGGNTHVMIEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCEACYEVLDADRLPPPHTRDHPMTAIPIEVESLGADTNEIQFSADEVGISNMLPVITSSIPQASTPSIHVLEPGESAEFSASVTDPISISASKRAVNSLILSEFLQELSGWMETVSGVQAIPVMQLFYRLSSAIGGAFMDSSKPEEISLDKLIKWLLGEINLSKPFAASTRSSFGEIVILVFMFFTLMLRSWHQPGSDGSSSKLGGSTDIHDRRSVQSSTVVATQSSLDVQERDDFASQLVRACSCLRNQEFVNYLMNILQQLVHVFKSRAANVEARGSSAGSGCGAMLTVRRDLPAGNYSPFFSDSYAKAHRADIFVDYHRLLLENVFRLVYTLVRPEKQEKMGEKEKVYRNASSKDLKLDGFQDVLCSYINNPHTGFVRRYARRLFLHLCGSKTQYYSVRDSWQFSNEVKNLYKHVEKSGGIENNVSYERSVKIVKSLSTIAEVALARPRNWQKYCLRHGDFLSFLLNGVFHFAEESVIQTLKLLNLAFYQGKDVSSSVQKAEATEVVTGSNRSGSQSVDSKKKKKGEDGHDSGLEKSYVDMEGVVDIFSAKGGDLLRQFIDFFLLEWNSSSVRTEAKSVIYGLWHHGRHSFKESLLAALLQKVRYLPAYGQNIVEYTELVSLLLGKAPENNSKQAINELVDRCLNPDVIRCFFETLHSQNELIANHPNSRIYSTLSNLVEFDGYYLESEPCVACSSPDVPYSKMKLESLKSETKFTDNRIIVKCTGSYTIQSVTMNVHDARKSKSVKVLNLYYNNRPVSDLSELKNNWSMWKRAKSCHLSFNQTELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFIFDNMENDEDMKRGLAAIESESENAHKRYQQLLGFKKPLLKIVSSIGETEMDSQHKDTVQQMMASLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMSYLHQKNSNFSSGASRCVVSKTLNNCYGCATTFVTQCLEILQVLSKHPRSRKQLVAAGILSELFENNIHQGPKTARAQARAALSTFSEGDLNAVNELNNLVQKKIMYCLEHHRSMDIALATREEMLLLSEVCSLTDEFWESRLRLVFQLLFSSIKLGAKHPAISEQIILPCLKIISVACTPPKPDTAEKELTMGKSTPAVQEKDESAAGIIKYSSESEENNPNVSQKTRDIQLVSYLEWEKGASYLDFVRRQYKASQSIRGASQKSRTHRSDFLALKYTLRWKRRSSRTSKGGLQAFELGSWVTELILSACSQSIRSEMCTLISLLAAQSSPRRYRLINLLIGLLPATLAAGESSAEYFELLFKMIETQDALLFLTVRGCLTTICKLISQEVGNIESLERSLQIDISQGFTLHKLLELLGKFLKVPNIRSRFMRDNLLSHVLEALIVIRGLIVQKTKLINDCNRLLKDLLDGLLLESSENKRQFIRACVSGLQTHAEEKKGRTCMFILEQLCNLICPSKPEAVYMLILNKSHTQEEFIRGSMTKNPYSSAEIGPLMRDVKNKICQQLDLLGLLEDDYGMELLVAGNIISLDLSIAQVYELVWKKSNQSSTSLTNSALLASNAAPGRDCPPMTVTYRLQGLDGEATEPMIKELEEDREESQDPEIEFAIAGAVREYGGLEILLDMIKSLQDDFKSNQEEMVAVLDLLNHCCKIRENRRALLRLGALSLLLETARRAFSVDAMEPAEGILLIVESLTLEANESDSISAAQSALTVSNEETGTWEQAKKIVLMFLERLSHPSGLKKSNKQQRNTEMVARILPYLTYGEPAAMEALIEHFSPYLQNWSEFDQLQQRHEENPKDDSIAQQAAKQRFTVENFVRVSESLKTSSCGERLKDIVLENGIIAVAVKHIKEIFAVTGQTGFKSSKEWLLALKLPSVPLILSMLRGLSMGHLPTQTCVDEGGILTLLHALEGVSGENDIGARAENLLDTLADKEGKGDGFLGEKVRALRDATKDEMRRRALRKREELLQGLGMRQELSSDGGERIVVSQPILEGFEDVEEEEDGLACMVCREGYKLRPSDLLGVYSYSKRVNLGVGNSGCARGECVYTTVSYFNIIHFQCHQEAKRADAALKNPKKEWEGAMLRNNESLCNSLFPVKGPSVPLAQYLRYVDQYWDNLNALGRADGSRLRLLTYDIVLMLARFATGASFSADCRGGGRDSNSRFLPFMFQMARHLLDQGGPVQRANMARSVSSYISSSSTSTATAPSSDSRPLTPGSQLSSTGTEETVQFMMVNSLLSESYESWLQHRRVFLQRGIYHTFMQHAHGRVATRAAEPTSSGGKTQDAETLTGDELLSIVKPMLVYTGMIEQLQQLFKPKKPVHIEPIKKEGTSSGVELEPWEIVMKEKLLNVKEMVGFSKELISWLDEINSATDLQEAFDIVGVLADVLSEGFTQCDQFVRSAIDKD >Al_scaffold_0003_151 pep chromosome:v.1.0:3:546760:548052:1 gene:Al_scaffold_0003_151 transcript:Al_scaffold_0003_151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZF2] MEGFESNGSDASNIPKDRIRVEGYDTSIYEYDLELALTKHFSSCGEISHIYIPRNFEKGILKSFAFVDFATEGAVEKALNLSRSAVEGGWRVFAEESPFNGDYIDPGWADVSFKHFSSERTKAIISIREEGAAEKALELSGRDMGGWNITVECVMPPMDSEKNFPTSNRAPPSILGIMKKMKKKMKKKMNKKTTD >Al_scaffold_0003_1514 pep chromosome:v.1.0:3:6094163:6096473:-1 gene:Al_scaffold_0003_1514 transcript:Al_scaffold_0003_1514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid cyclases/Protein prenyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G14490) TAIR;Acc:AT3G14490] MEATRTINYGLPNVPLSLKTNFSLFPRRWIHNHTLFLKPTKEHHLVCVRATESNADLESSRPLAHFSPTLWGDHFLSVPLDVAEFADFSREMEVTMKPKVRNMLMSSKNGDNERIRLIYLLINLGIAYHFEIEIDEILGQAFGNLEDMIAKQNDLETISIMFEVFRLRGYYMPCDAFYRFKGEDGRFKESLAEDIRGMLQLYEAAHLGTPSEDIMDEALSFTRYHLESLIGHHAVSASPHLSKHIKNTLNRARYHNMEIIVSREFISFYDQEEDHNETLLKFAKLNFNYCQLHYIQELKDLTKWWKELDLASKLPYIRDRIVEVYFGTLTMYFEPRYSFGRNIVTKLTMLATVLNDTCDAYGTLPEVTSLIDSFQRWDLGETEKLPSYIRIVFRSLFDTLEEIEQEIRPRGRSRSVQVAIDAMKKLGKAYLAIAKWARASHVPTFEEYMEFAMQTSMDQYAAYSFIVMEDCDENQTCEWYNSRPKMMEALNSVFRLKNDIITYEKETNRGDVAKGLNCYMKQHGVTKEEAVGELNKMASDYYKIIMEEYLTTTAVPRPILVRCLNVSRPIDLFYRDSDEFTDPSFGKLKEVITSFFIHPIAL >Al_scaffold_0003_1518 pep chromosome:v.1.0:3:6117075:6118304:1 gene:Al_scaffold_0003_1518 transcript:Al_scaffold_0003_1518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G14580) TAIR;Acc:AT3G14580] MIIRRLVLFAASNSNPGRPQSLSASTVRLLSSSSSDRYTPSSQRYSGDDKLARLKHKDWLAPNEVLKIFENVKDPSFLMPAYQHYSKRKDYQPTESLYALLINKFGQAKMFDEIEEVMSTIKLEKRCRFSEDFFYNLMRIYGNLAGRINRAIEILFGMPDFGCWPSAKSFNFILNLLVSAKLFDEIHKIFVSAPKLGVEIDACCLNILIKGLCESGNLEAALQLLDEFPKQKSRPNVMTFSPLIRGFCNKGKFEEAFKLLERMEKERIEPDTITFNILISGLRKKGRVEEGIDLLERMKLKGCEPNPGTYQEVLYGLLDKKRNLEAKEMMSQMISWGMRPSFLSYKKMVLGLCETKSVAEMDWVLRKMVNHGFVPKTGMWWKAVCCVVSKNNDSQANLDRITAGKETPG >Al_scaffold_0003_1520 pep chromosome:v.1.0:3:6123109:6123951:1 gene:Al_scaffold_0003_1520 transcript:Al_scaffold_0003_1520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L360] MTSGGVEYDKNRSAVTDHQHHQNQPPPPSFQGVPNYPPPPQQQQPPATGYPQPDRPYVAGYAVAAPEHRRLPCCGIGVGWVL >Al_scaffold_0003_1526 pep chromosome:v.1.0:3:6156804:6157910:-1 gene:Al_scaffold_0003_1526 transcript:Al_scaffold_0003_1526 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G14740) TAIR;Acc:AT3G14740] MDAQYQDLPPLKRLRLMQRDLEAAQQQQLLNQPEAKSLQLPAKKRKQTRVDYDDDAENSNPTYHCLPAKKRIWAIDPDLLSGNPFSPFDLNVEYKPPSVEEKSIEKKSTLMVESSLEVEDDDDDKENVDPLGKEKVLDLSDREVEDEDGIMCVVCQSTDGDPSNPIVFCDGCDLMVHASCYGNPLVKAIPEGDWFCRQCISSKNREKLFSCCLCTTKGGAMKPTNDGRWAHITCALFVPEVYFEDPEGREGICCREIPSKRWKDRCYLCKVRRGCVIECSEMRCKLAFHVTCGLKEDLCIEYREDKKSGGIVVGFCNEHTKLWERQQESGKYKIVARDEDKK >Al_scaffold_0003_1541 pep chromosome:v.1.0:3:6217334:6221985:1 gene:Al_scaffold_0003_1541 transcript:Al_scaffold_0003_1541 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoesterase [Source:Projected from Arabidopsis thaliana (AT3G14890) TAIR;Acc:AT3G14890] MADINLPTDFCFRERREREQNPNHLFLEFHHGYMITVVPFVSLRFLFPLLINRSTLFFREQPQYLLYNHSYILRTTMPVVAEYAKSNRSSCKSCSKKIAVKSLRLGLISKGPGGVDMTRWHHFDCFPTDSESIASVDDIQGLSVLEKEDQDALTKLVEQGGQEPAKKQRDEKKEKVKKPLVGPKRFNEATTSKVIADYAKSSRSSCKRCSQTIVSKDLRVGLVTRDARGFDVTSWHHLGCFPIDWHPIDSVEDVGGYSSLEKGDQKELQQLAELSGKDTLIDDVQKMDEGDDESVADNELTEETKKGKHSQVAKLVEQPGEPAKEVNEDEEIKMPASDEISGQKIKETTGSPDSSKVISEYAKSSRSTCKKCSQTIAAKELRLGLVTRNFRGFDMTQWHHVGCFPVDSDPISSVEDVGGFSELQSGDQDALKELVQQCGKKTLVDKMDEDNDESEAKNKLTEETNKRKHSEVGEIVEKDESLTKANQHKAKTHKVNISESTSQVEVEAEISLSASDVKDKYRDANLLPKWKAFETVIFLERDDGLNDSEKIAAFDFDGCLAKTSVKIVGADAWSLMYPSIPEKLQSLYNQGYKLVIFTNESNIDRWKNKRQAAVDSKIGRLNSFIERVKVPIQVFIACGVSSSGGKGSKDDFYRKPKAGMWQLMKKHFNSGIAIDMDKSFYVGDAAGRKMDHSDADIKFAQASGLKFYTPEEYFIPASTSAGT >Al_scaffold_0003_1548 pep chromosome:v.1.0:3:6249010:6249415:1 gene:Al_scaffold_0003_1548 transcript:Al_scaffold_0003_1548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L390] LFPVLESGWPRNVCIFASTDNSGLIFLNYTGLAIVGYAAVVLGLVSLSPFLVMSAMAIPKIKPHRWYSCRGSGQASKDIPVGASYRCGSAYQLEGMAELGFLPKFDRN >Al_scaffold_0003_1578 pep chromosome:v.1.0:3:6368011:6368696:1 gene:Al_scaffold_0003_1578 transcript:Al_scaffold_0003_1578 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7L403] MISIILGDHLDRRSRSISGSPNKDKTHPFSVFRGRTELYSFPESQSEAAARVQENVRQFNGNYIFVFVIFFLLSLYKQPIPFLTLLASFPVTDYLDKLIIKTGLDQAYPFVRRLLFFISKLGIAALLMRTEVVIAFFFSLLAAYFAMMLHGALRILHE >Al_scaffold_0003_1590 pep chromosome:v.1.0:3:6402203:6403120:1 gene:Al_scaffold_0003_1590 transcript:Al_scaffold_0003_1590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L415] MMTRSSSSSSSNCSHLFVRHGICFTCKTKVSYVEGRAFDYLFSGLRLSHEAVSFTKQLTTLVSVYGHKKLHLLVLDLDHTLIHSMKTLNLSNAEKYLIKEEKSGSRKDLRKYNDRLVKFRPFVEEFLKEANKLFTMTAYTRGGSTYAKAVVRMLDPNKIYFGDRIITRKESPDLKTLDLVLADERGIVIRNLLEITSYFYFKNDHRNIMRSRLSYAERKTDESRTKRALVKLLKFLKEVHNGFFTCGLEEQLDIKDVRYLIKGPLKPHGC >Al_scaffold_0003_1617 pep chromosome:v.1.0:3:6506056:6506707:1 gene:Al_scaffold_0003_1617 transcript:Al_scaffold_0003_1617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4U2] MRGVPDPSTLDPSRGVAVASYAPLVGFASGDLGFFSEVRSALFPAITASTARLFGFASGNLGYNSEVVRLLLRILQKFRVNWIALMAPSGRALSVKVPGTGVK >Al_scaffold_0003_1625 pep chromosome:v.1.0:3:6554715:6556304:-1 gene:Al_scaffold_0003_1625 transcript:Al_scaffold_0003_1625 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G28030) TAIR;Acc:AT1G28030] MTISSKTQFPLFLPAIDFSSQDLKPETLEWDSMRARVRKTLEKYGCFEALFDGASVELRKAVFEASEEVFHLPLETKISTKSDKLYKGYAGQKILRFVKILYISKNVQSFAEQLIKLDVKHFLTILCQNDVVDGLEIKTKDGEEWTKAKPTQDSSFLVIAGASLHVLLNGGVFPPLHRVVITGKKDRHAAGLFLLPKEGLIINAHEEVVDDVHPRLYKPFDFEAYFKFTYTDTKKRDLSALKTYCSL >Al_scaffold_0003_1626 pep chromosome:v.1.0:3:6557740:6560559:1 gene:Al_scaffold_0003_1626 transcript:Al_scaffold_0003_1626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4V1] MDDYGITEEDLVIEEAQGYPRAYAKICRDFEVFPYRNGPPFTFMPYILQQNESLRCREVDAMFPVIDPKARPTTKPKIFLSLLWKQLNHLGNAGFDPAVIRIDPYGNVVYFHADSASPLAWSFDHWFPCSRGGLTVPSNLRIVQWQARKNKKDKLEFLVPWWDLQVGISVNQFLSIFAASSSDFRRRAFSFLFKEGENEELNGIQMVESHHFPQHFVESKEKFGLASAAVVVSRRDPYDPSLVLRSLDCNRQTPARKMRFGAAKENETPDLMKNPYQAIVAARDSLRHREEAQNMRAEMKKLDDETNDLTRKNSEDRLSIQELENELVKRRRRAEKCRRLAEAQCSYRNTLEKMIRDAMHQSVVYKEQVRLNQAASSALMARLEAQKAICDGSEKELHKKFKEREELENQVRPELEKARKRSRLLLNDEDDLLLDDRDRKLSLYLPGTSEETSSHKELRVHFEEEHKAAASEAEIKKHCEIEEEEEQKTPEEAEKSLVALEDNKPVEEKLDVEEGKRGSRSFRAFHVFKAPEKEEDEESRRERGKGNVEKWLHILLENNNKSDPHHLETEKSKKIDEMIEKLDHKFPFLEKVDEEEVDLQLQAKEANNNTSKVSEEEVDLQLQAKEANNNTSKVETRAESSRRSRMSFDLKNTPEKSGRDKVVKRSESARTFRRIPSSPSLIFGMKKGIDCIRKKPVVSRNDDENEYLVKNNFIKSSLQTIKRAVKF >Al_scaffold_0003_1630 pep chromosome:v.1.0:3:6570746:6573313:1 gene:Al_scaffold_0003_1630 transcript:Al_scaffold_0003_1630 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT3G15605) TAIR;Acc:AT3G15605] MGISQQDNFSMLQSNSAGGVEKPDFKWGAKRGVGRKDNKVRFYESFTYDGIEYWLFDCAYFYIHGQCETSIGKLVSMYETSAGEKKVKVIWFFRPIDIHRFLGDYEPQWDELFLACGDEKGVSNINDVETIMGKCNVVCTSEDRRNPRPGSNELRRANYVFSRTFDTILRIISEDFADAIAGIGVDKLFNMRRDKQPVKRVNSSAAASTRASPVKHFRPDLGSTKLGKNDNRDGKLMSRTSSLKKVSFLEDRAGHVHVKKNPPINTDTTSRGPILKTRAFGELYASGSSVDAKPSKKRKLILNTPETDDSDDPGPQSGEKKIMKNPPLIEKAPSQNIEKKSWYKKLPFEDELKPAIEKGRVLLIENLEPSYTSLEVEDLCRQAFKEAVDAKMIPSSLVSSPHSGICRALVIFGTTKAADSAMSQLTEKCLMLPGQRPLLGSKKVPLEIGRCRSFTGHFSMVDRSMMTTQKVSCCISHVKDFSCFNSLKIPEYKTLSHTILFF >Al_scaffold_0003_164 pep chromosome:v.1.0:3:590100:592871:-1 gene:Al_scaffold_0003_164 transcript:Al_scaffold_0003_164 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02410) TAIR;Acc:AT3G02410] MQLSPERCRPMSLTASEIKEACSANSDEMELLHGSNRLSSPEHVRRRVSGNSSAEGSPRICRQQSFGRDIGHAAAETYLITRLSFNLLGYLGVGYRWITRLLALACYAMLLMPGFLQVAYLYFFSSQVRRSIVYGGHPRNRLDLYLPPTNDGLKPVVVFVTGGAWIIGYKAWGSLLGLQLAERDIIVACLDYRNFPQGTISDMVSDAAQGISFVCNNISAFGGDPNRIYLMGQSAGAHISSCALFEQAIKESRRESISWSVSQIKAYFGLSGGYNLFNLVEHFHNRGLYRSIFLSIMEGEESFEQFSPEVRLKDLSVRKAAALLPYITLFHGSADYSIPPEASKTFTDALRAAEVKAELVMYKGKTHTDLFLQDPLRGGKDELFDHIVSMIHADDSYALRNDAVAPPRKRLVPEFLLKLAGKVSPF >Al_scaffold_0003_1642 pep chromosome:v.1.0:3:6613989:6615225:-1 gene:Al_scaffold_0003_1642 transcript:Al_scaffold_0003_1642 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15700) TAIR;Acc:AT3G15700] MGKDFKSMVTRCIYVGKENDNVKKLKTATEELKDLRNNVMKRVKMYEDQQKLKRLEKVQVWLRQADVAIKEAEEILIAMMSSSSSNGSSMMSCHKMDKKLCKKLKEVNEIKSRGTFDVVVENSGIGGSMMISTVDRDDQTVGLEAVSGLVWRCMTVDNTGIIGLYGVEGVGKTTVLTQVNNRLLQHKLNGFDFVIWVFVSKNLNLERIQDTIREKIGFLDRLWTNKTEEEKAGKIFEILSKRRFALFLDDVWEKVDLVKAGVPPPDGQNGSKIVFTTCSDEVCREMGAQTKIKMEKLPWERAWDLFKKNAGEDTVKSHPDITKVAQEVAAKCDGLPLALVTIGRAMASKKTPQEWRDALYILSNSPPNFSGPIP >Al_scaffold_0003_1645 pep chromosome:v.1.0:3:6622906:6624379:-1 gene:Al_scaffold_0003_1645 transcript:Al_scaffold_0003_1645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4X1] VIRVKKEKRENKKKTKKERKISKETRNEKKKKKKKKKKKKKKQEMKTQEKMIKKRWSKFSLIRKKPKNEAETKNNN >Al_scaffold_0003_1648 pep chromosome:v.1.0:3:6631187:6631674:1 gene:Al_scaffold_0003_1648 transcript:Al_scaffold_0003_1648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4X4] MLSCSNGTVVIATAMVCSSTALFLAMARQFHGNHQTSKVLDQTPRPILRSCLSSEKTRKQRKRIKKVRFADNVKDTEGNGKEYRRRELNRRSVPEPVTKPGKTGSMCRISTMPANRMALYNGILRDRDHRVQCSY >Al_scaffold_0003_1661 pep chromosome:v.1.0:3:6691726:6696542:-1 gene:Al_scaffold_0003_1661 transcript:Al_scaffold_0003_1661 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase-related [Source:Projected from Arabidopsis thaliana (AT3G15950) TAIR;Acc:AT3G15950] MGTKFLALVLSLCLVLSSFYEVSCQDEGSGSLSTLDLIEHEYQTSVNSLQGNEVDQTETSGQKNSTSNNTISLSLSEEPVETVKESVDTSAELGAVTDEADKPSSMLDHIELEFEAHVNELKEAGSDGINKFDESKEDEEAARRHKMLEAIEREFEAAHAGFEQLKTDDSTQGLDDEQSAKRQSMLDEIERDFEAATKGLEQLKADDITGVNDEEHAAKRQKMLEEIEREFEEATKGLEELRHSTSSTDDEAHSAKRQSMLDEIEREFEAATSGLKELKINAFTIKDDSSEIARCYAFFTDAKRQSMLDAIEREFEAVTESFKQLEDIADNKDEGDDQSAKRQSMLDEIEREFEAATNSLKQLNLDDFTEGDDNEQSAKRHSMLEAIEREFEAATKGLEELKANDSTSDKDDDEHVARRKIMLEAIEREFEAATRGLEELKNESEHAENNRKSMLEAIEREFEAATNAKTNGEDSAKNPSTISTVQKTSGGYNAGLEGLLKPADGVCGCFNKDKDGLQADTDSSINIAEILAEESKLQGSGTSPLTTSLNNLVDTHRKETSSKVGSVLGSSSSVTSTTSESAATSESIESLKQTLRKLRGLSARDLVNHPNFDAIIAAGTRYEVLSSASIGYISLLAKYKTVIKEGLEASQRVQIAQTRAKLLKETAMEKQRTVDSVFAAAKTTAQRGDALHIRIVAIKKLLAKLEAEKVNVDSKFTSLTTNLSELLKEASQAYEEYHEAVHKAKDEQAAEEFAVETTKRAEHIWVEFLSSLN >Al_scaffold_0003_1678 pep chromosome:v.1.0:3:6761672:6762353:1 gene:Al_scaffold_0003_1678 transcript:Al_scaffold_0003_1678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5K5] IVFQKQNKEKQKKKKTQKQKQNLRQSLCLRRYLRRSLSLFPVSIDLSPSLSVSVALCVSVALFLSFSLPLSLSASVSVSIAQSSSVDS >Al_scaffold_0003_1683 pep chromosome:v.1.0:3:6774928:6776900:-1 gene:Al_scaffold_0003_1683 transcript:Al_scaffold_0003_1683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tesmin/TSO1-like CXC domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G16160) TAIR;Acc:AT3G16160] MTGNADSGKIATEKDPRKIVFTKLELSPVFLESPVKEIPPFPPISREHSETKDTTDQEGITWRKRCRCKQSKCLKLYCDCFASGVLCTDCDCVDCHNNSDNYDARDAAVVNVLGRNPNAFNEKLFSSINDKQCKAAPDTRPGLLSRGCKCKRTKCLKKYCECFQANVLCSDNCKCINCKNVSEAFQPSVFAWGLNSRKLYEGFDNPEKKFVCDLGIISPSEDSVGFNSHNTAGCMNYAPGFSAHNSPQVYRRRRHQELPEWNSCPAPLFSIPDNSIQNALGSPMSSSPKLPYRKKKPPLGYASTVVPDLGDICSLLLAASETATANAEDQNRICIKPDDKVDNVYTEVLSESESGNVEEEIQSFRRLIELIDAQYNGEEHSKCKTETSVHETDIYMEQERAVLETFRDCLQTFIKSRLDSI >Al_scaffold_0003_1687 pep chromosome:v.1.0:3:6795144:6796397:-1 gene:Al_scaffold_0003_1687 transcript:Al_scaffold_0003_1687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismatase family protein [Source:Projected from Arabidopsis thaliana (AT3G16190) TAIR;Acc:AT3G16190] MAERWRNTALLVIDMQKDFIEESSMIRVKGGKSIVPNVIRVVELARQHGILVIWVVREHDPRGRDVEIFRRHHYNSDQVGPTVKGTVGAELVDGLIIKEEEDYKIVKTRFSAFFSTNLHSFLQTSGVTNLVIAGVQTPNCIRHTVFDAVELDYPNVTVITDATAAATPEIHTANILDMKNIGVKTPTLHEWSEELA >Al_scaffold_0003_1705 pep chromosome:v.1.0:3:6865576:6866306:-1 gene:Al_scaffold_0003_1705 transcript:Al_scaffold_0003_1705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family (UPF0497) [Source:Projected from Arabidopsis thaliana (AT3G16300) TAIR;Acc:AT3G16300] MAKAAEQKQNSTVVIEETKLDIRDVVNTTTTYGEDNGHGGGRRNDVAMVVLRAMCMAVSAVAVSLMVTARETSMTTLYGFEFQLHAVWSLSDSLIYLVVVSSATVLYSLIQLIISGTRLMRKFPVIPTRTQAWFCFVADQIIGYAMVSGGSAALGVTNMNRTGIRHMPLPNFCKSLGFFCDHLAVSVVFALIAFLLLAASSFLDVLSLSRDR >Al_scaffold_0003_1709 pep chromosome:v.1.0:3:6883228:6883620:-1 gene:Al_scaffold_0003_1709 transcript:Al_scaffold_0003_1709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5N7] MCGIKISLFVLVLLTYILKGSASMEATNSVLEAEALALREALIQLKRLNYRNVTFCGDSISLYGHLEMNVSQQAKAESPQEIQGYVQDIITLAHDSYKFKYINRKANNLADTLARQARINNSPMVVSWIN >Al_scaffold_0003_1714 pep chromosome:v.1.0:3:6904542:6906883:-1 gene:Al_scaffold_0003_1714 transcript:Al_scaffold_0003_1714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5P3] MALVKSETQALENHQHSSMFASLYVGDLSPDVTEQDLIHRFSLTVPVLSVHLCRNSVTGKSLCYAYINFDSPFSASNAMARLNHTDLKGKAMRIMWSQRDLSYRRRTGFGNLYVKNLDISITSSGLERMFNPFGVILSCKVVEENGQSKGFGFVQFETEQSAVTARSALHGSMVDGKKLFVAKFINKNERVAMAGNKGFTNVYVKNLIENITEDILHRLFSQYGTVSSVVVMRDGMGRSRGFGFVNFCHPENAKKAVDSLHGRQVGSKTLFVGKALKRDERREMLKHKYRDNFIAKSNMRWSNLYVKNLSESMNDTTLREIFGRYGQIVSAKVMRHENGRSKGFGFVCFSNREESKQAKRYLNGFSVDGKLLVVRVAERKEDRLKRLQQYFHAQPRHYTQAPLVPSPAQPVLSYVPSSYGYLQPFHVGASYYYMGTQLPQMSGHQNITNDVPAGKGPLKEKRSLHLVYKHPAYPVAKSEAKQKLVFKGDGNRTLEAATCSKATTSDEVFNEEASSTLIAMLSLSPKDKAEKSGKQIAMIEAA >Al_scaffold_0003_1725 pep chromosome:v.1.0:3:6976644:6976796:-1 gene:Al_scaffold_0003_1725 transcript:Al_scaffold_0003_1725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5Q8] MVASWPLYAQQFNRVVIVNEIKLAIPMNESDMDFVSSMEVENQDNARWSG >Al_scaffold_0003_1738 pep chromosome:v.1.0:3:7026173:7029725:-1 gene:Al_scaffold_0003_1738 transcript:Al_scaffold_0003_1738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L649] MKIEEGPIKFRMIVIVPSETSAYIGIFLLYQGQDMYTGVKTITIKPAAAFAIREQLYQIAIPIVASARESRLSKKGRRGSDHGSARFFRVAPICLSKYLVYFLQPKLAKEPVPQQLESITKEILVPLVSVLHRLVDKVKSHMPSALSPLLGSFCRDMIRILDSLSFDWSVILLMEIINCSMKIVKHSSNISVGMFPVPLKSYREDARYFSSMSKPNKNCFCNSGTCLFDALAVNSSKHGTCEFPSPGNVSPRLGNGLSIEVKELCWLEHMREWGPTIAYDSASEINKIMNLLPLLVGFSLLICFSLIFMEKKVTRGQRRRITGKEMSCAERESLCVKKV >Al_scaffold_0003_1747 pep chromosome:v.1.0:3:7077899:7078534:1 gene:Al_scaffold_0003_1747 transcript:Al_scaffold_0003_1747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein [Source:Projected from Arabidopsis thaliana (AT3G16670) TAIR;Acc:AT3G16670] MAIIKNKHITFSLFLFCLIVASPMANAQIGLGGINVPIINGVLFCTINGAPLNGTSATAFANAVVQLQCGSLNTVVAETITSITGLFTFSTTGIQISLPTLLNDCRIVVPTPRSSCSSTLPSTGQLVSQLRIVGSLISGLLNIVAILPTGFVPTV >Al_scaffold_0003_1748 pep chromosome:v.1.0:3:7080468:7082322:-1 gene:Al_scaffold_0003_1748 transcript:Al_scaffold_0003_1748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L659] MPSQQQYNPQFNNSSRMQGQGQRGQRDNVVGNVITNSPVVHDHFHQVSNALAQLSPAQIEQLASQLNSKATCQTPSINEAHGVNYASTSADLFNSNILPLPLPNTTSSPIPVQNPFPTNNDVLSDNSGSSVDSDITIPVTTNRPKRNIRAPSYLADYHCNLVHDLPTVS >Al_scaffold_0003_1757 pep chromosome:v.1.0:3:7133874:7137937:-1 gene:Al_scaffold_0003_1757 transcript:Al_scaffold_0003_1757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L673] MSSKSTKRKVTETFDSLKSKKPKLVSGEQQQQQHGKPKFVKPKSFGDSLSKKERRVQAKELTEARKKKRKPHYNLEQELASLWEKMRRRDIGKEDRSKLISEAIRKMKGKVPEIAVSHVSSRVLQTCVKFCSQAEKDALFAELQPQFLNLASNKYAVHFIQKMLDGASKQQLAACIFSLRGHVAPLLRHLFGSVVVEHAYRLGTAAQKQELLAELYSTELQLFKGLTSSTEKTVVDIIAKLGLQKGAVNRHMTAIIQPILEKGIVDHTITHKLLIEYLTIADKTSAADVLQLLTGSLLLRMVHTRDGSRLAMLSIKHGSAKERKKIIKAMKEHVTKMGFYQFGSMVLACIFSIVDDTKLVTKIIVRELEASLKDLVMDKNGRRPLLQLLHPNSSRYLSHDDLAALDLSVPSLCSMDKSDTSSKTKDTDGNESGEETKDEQDDTVAEHTDHEENVTAMGGKKDPLVRRQELLVNSGLAERLIDVCVENAEEFLKSNFGKEVMYEVAIGGSDGILCPSLSEKLYELYEAISSVAAKPKPQESGKDSEHILENFHSSRTIRRLVLDCPGFASTLFKKALSGKCRSWAQGHCSKILSAFLETEDFQVREMAKTELQVLVSEGTLKISAAKKPE >Al_scaffold_0003_179 pep chromosome:v.1.0:3:637092:639200:1 gene:Al_scaffold_0003_179 transcript:Al_scaffold_0003_179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZI1] MVLRGEELEFNLREWARKGHLTREDQSSRRFSASCIRSFREDHKSTSCTTNFTISSTASSPGYSLKGDEIDPSNYSFTSALKALQEKTVYKKNWDWLKPEGVELNSKWNEAEKYICNPLSGEVPLECLSSKTLNSRSFRNLSTKHAPLMILPSNPNPNIPRIIHEDPKTPDPVLIQEKKVVGSKRDVVSAPENVSAVKTTPIMERSTKRQVEADDSHVEYALKLKAQQEDVKLEEKEQNMMTKEIREEKKRGSGCFSMSWKKKMQKQPRTSKCIFLICLPHLFKAS >Al_scaffold_0003_1799 pep chromosome:v.1.0:3:7308315:7308798:1 gene:Al_scaffold_0003_1799 transcript:Al_scaffold_0003_1799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich protein [Source:Projected from Arabidopsis thaliana (AT3G17155) TAIR;Acc:AT3G17155] MGCTKTLVTCFLVIIVATSFSNHKVLASDAGIEGFQIDNCNTRCYGRDECMNYCIRAGFPKGGQCGSLCIPCGFKCCCQK >Al_scaffold_0003_1803 pep chromosome:v.1.0:3:7321270:7321826:1 gene:Al_scaffold_0003_1803 transcript:Al_scaffold_0003_1803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6P6] MEEREELPWMKRLRRKFLQGNKKNITHVMHRDYWICCAETLSFSSEIQFH >Al_scaffold_0003_1805 pep chromosome:v.1.0:3:7327314:7327652:-1 gene:Al_scaffold_0003_1805 transcript:Al_scaffold_0003_1805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6P7] MFLRLMIPAMMSAFVPINIRKKSNAMLDVKKITKNVPLNKDPGDCAIYTLKYIECLALGKSFDGLCDENINAIRVKLAAELFDEVREAAKPSNLDLCGVGFKIPSLMDESIE >Al_scaffold_0003_1807 pep chromosome:v.1.0:3:7332570:7334724:1 gene:Al_scaffold_0003_1807 transcript:Al_scaffold_0003_1807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6P9] MVKESQKIVALDQDIPVIDMSQERLRVSMQIVKACESIGFFKVVNHGVDPDVISRMEQESINFFAKPVLEKKSVGPVVNRPFGYGLKDIGLKGDIGEVEYLLLHTNPLFLSQLSSGNDSTKFGSAVTCYVEAVKQLACEILDLTAEGLRLPPHTFSKLIRAVDSDSVLRFNHYPSSDQFLSGANLSDKSVSLPRVGFGEHTDPQILTVLRSNGVGGLQVAFPDGRWVSVSPDPSVFCVNVGDLLQVMTNGRFLSVRHRAVTTGHASRLSMAYFAGPSVHAKIGPLPTIVTAADQPRLYRTFTWADYKKFAYSLGLGDNRLDIFRSRVDEEDELGF >Al_scaffold_0003_1818 pep chromosome:v.1.0:3:7371773:7373482:-1 gene:Al_scaffold_0003_1818 transcript:Al_scaffold_0003_1818 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein [Source:Projected from Arabidopsis thaliana (AT3G17280) TAIR;Acc:AT3G17280] MTMISDLPYDLLSEILSRLPIKSLPKLKTTCKRWYASEMVFLMNHEVYSISVEIHGIPKGRWASMGFTGTLTIPEDSDLEIFRIHHLDGLLLCATMDCRLVVWNPCTGQITWIKPRICYNSDDIYALGCGNNKSSSLDSYKILRCCDDNHEMPESEIYDFSSSSWRGLDGVTANCFIECNGVTLKESAYWYASDEKETPTGKFILRFDFATETFARLCLPLTFQRDHDNKSVLVAVVGEEKLALLQQFDHRVHSLKYSKMKIWVTDTKIGEAKDLSWSNFLVVDLADDNLPSVTSFLLDEEKRVAVCSDAVCSDPDTEDEDRSRIYIVGEDVDKFVYEDVSTETWPNQPFLVNYIPNLVHIEKDAPIVEVQKKRKRQELSLTGPVCVCDVIQARRTWQLTKVVRRV >Al_scaffold_0003_1822 pep chromosome:v.1.0:3:7383111:7384255:-1 gene:Al_scaffold_0003_1822 transcript:Al_scaffold_0003_1822 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein [Source:Projected from Arabidopsis thaliana (AT3G17320) TAIR;Acc:AT3G17320] MTKISDLPRDLAEEVLSRVPVTSLRAVRFTCKKWNTLTKHRSFTKKLVCQAKAEPKKKQAKEFHAIMTMNYKVYLMSVNLDEIHKDDNVESSIKQKGKLISLNVADRISISQVCHCDGLLLCITNDNSRLVVWNPYSGQTRRVQPRISYKRWDYYKYALGYEMNNNSYRSHKILRFTPTMGDVEVTPDWTIDQHGVSLKGNTYWSSLRQKSLHFEILEEIPGFLLCFDFTTEKFGPHLPLPFKYPFREDTITLSIVGEEQLAVLGQHQHWTEIWISNKIEPNAVSWSKLFLIVDEIRLDPARAATFFVDEEENVAVSSRNTANIVGVDGYDKEADLGESVHKYCFPLVCSYVPSSVQI >Al_scaffold_0003_1823 pep chromosome:v.1.0:3:7384823:7387170:-1 gene:Al_scaffold_0003_1823 transcript:Al_scaffold_0003_1823 gene_biotype:protein_coding transcript_biotype:protein_coding description:evolutionarily conserved C-terminal region 6 [Source:Projected from Arabidopsis thaliana (AT3G17330) TAIR;Acc:AT3G17330] MYYPAYYCSGYDSSVEWENRQVILGVDGSEVQYTGGQNENSPYICYTPSYGYAQSPYNPYNPYIPGASIGVDSSFLGFQQYYSNPPYENAASSPTYAPYVIQPDMVSNSSTDSLATTDLANGGQSDGRGSKPRSASAIAVFPKDAPKSSTVNSLGMTHGKLRSNTGQNKQPGIPKNVSPTASAHSLQGKTASVDTVSSSRLSSYGHFDIAKGFPSIVSNSCKPRSKMYDSRGDTDVTGSPDTSEQNRGIRTRRSRNQLIVKAYTTKAGNVDSEGNIVISPDQYNKEDFSLDYSDAKFFVIKSYSEDDVHKSIKYGVWSSTLHGNKKLQGVYEDTQRIATEKSRECPIFLFFSVNASGLFCGVAEMTGPISFDRDMDFWQQDKWSGSFPVKWHIIKDVPNSYFRHIILHNNENKPVTNSRDTQEIILKQGLEVLKLFKNHAEKTSLLDDFMYYENRQRLMQEERARLPFRTFRRPFPVLKLDCYDRSKKSSKDVVKKPPVTSAETKGVQLKNSDGNEKSNPQEATEDSTPSTLKIGSLTIKPTACTTFNPTQAKSKPAPSLSSDHKSDSSEEVTGSLADDILRVGSLPIKVKVSADSSSKIVAVGTTLLNSRSVQK >Al_scaffold_0003_1850 pep chromosome:v.1.0:3:7507966:7508711:-1 gene:Al_scaffold_0003_1850 transcript:Al_scaffold_0003_1850 gene_biotype:protein_coding transcript_biotype:protein_coding description:indole-3-acetic acid inducible 31 [Source:Projected from Arabidopsis thaliana (AT3G17600) TAIR;Acc:AT3G17600] MEVSNSCSSFSSSSVDSTKPSPSESSVNLSLSLTFPSTSPQREARQDWPPIKSRLRDTLKGRRLLRRGDDTSLFVKVYMEGVPIGRKLDLCAFSGYESLLENLSHMFDTSIICGNRDRKHHVLTYEDKDGDWMMVGDIPWDMFLETVRRLKITRPERY >Al_scaffold_0003_1853 pep chromosome:v.1.0:3:7516357:7518930:1 gene:Al_scaffold_0003_1853 transcript:Al_scaffold_0003_1853 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation/H+ exchanger 19 [Source:Projected from Arabidopsis thaliana (AT3G17630) TAIR;Acc:AT3G17630] MASTNVTGQCPGPMKATSNGAFQNESPLDFALPLIILQIVLVVVFTRLLAYFLKPLKQPRVIAEIIGGILLGPSALGRSKAYLDTIFPKKSLTVLDTLANIGLLFFLFLVGLELDFTAIRKTGKKSLLIALAGISLPFVVGVGTSFVLSATISKGVNQLPFIVFMGVALSITAFPVLARILAELKLLTTDIGRIAMSAAGVNDVAAWILLALAIALSGDGTSPLVSVWVLLCGSGFVIFAVVAIKPLLAYMARRCPEGEPVKELYVCVTLTVVLAASFVTDTIGIHALFGAFVVGIVAPKEGPFCRILTEKIEDLVSGLLLPLYFAASGLKTDVTTIRGAQSWGLLVLVILTTCFGKIVGTVGASMLCKVPFREAMALGFLMNTKGLVELIVLNIGKDRKVLNDQAFAILVLMALFTTFITTPIVMLIYKPARKGAPYKHRTIQRKDHDSELRILACFHSTRNIPTLINLIESSRGTGKKGRLCVYAMHLMELSERSSAIAMVHKARNNGLPIWNKIERSTDQMVIAFEAYQHLRAVAVRPMTAISGLSSIHEDICTSAHQKRVAMILLPFHKHQRVDGAMESIGHGFHEVNQRVLQRAPCSVGILVDRGLGGTSQVVASEVAYKVVVPFFGGLDDREALAYGMKMVEHPGITLTVHKFVAARGTLKRFEKSEHDEKEKTEKETDEEFVRELMNDPRGNESLAYEERVVESKEDIIATLKSMSKCNLFVVGRNAAVASLVNSTDCPELGPVGRLLSSSEFSTTASVLVVQGYDPAADTRPLVEEDESYEQASRDISDSTV >Al_scaffold_0003_1854 pep chromosome:v.1.0:3:7520158:7521339:-1 gene:Al_scaffold_0003_1854 transcript:Al_scaffold_0003_1854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7A9] MMSDLPSDLAEEVLSRLPVTSLRGFRAACKKWNTLSKERSFTRKHLAQAKAAAAREFMVVMVMNSRVYLMGINLHGVHESVDPSINHQGKLVSLNDSDRVDISRVYHCDGLLLCIAKNYSRFVVWNPYSCKTLWLQPRSPHPRLDWYTYAIGYEKRKSCRNYKVLRFVDLAETEFVKYEIYELKSNSLRVLDVTSDWKIEIYARGVSLKGNTYWFATDKFPEISNNVRHSVDFLICFNFTSERFGPRLPLPFFSLNGDTVSLSSVREEQLAVLFQRGDNLKMEIWVTTKIEPEVVLWSKLFLAVDMQPLTDFPFLYTDASFIIDEEKKVVVVFDKDKDVMNTTRNTAYIIGEDGYYKEVDLGKSTDEFQYPLMCSYVPSSAEIKQGNKRKKNV >Al_scaffold_0003_1860 pep chromosome:v.1.0:3:7536632:7537191:-1 gene:Al_scaffold_0003_1860 transcript:Al_scaffold_0003_1860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17675) TAIR;Acc:AT3G17675] MLNQGNQFSSLIILYAIFSLSSLMLKSEGTEHIVGDSSGWELFTNYTNWTQGREFHVGDVLVFNYKSDQHNVMQVNSTAYTDCGIDNYTSLFTKGNDSIIISEVGELWFICAVGDHCENGQKLSINVAP >Al_scaffold_0003_1866 pep chromosome:v.1.0:3:7564942:7566268:1 gene:Al_scaffold_0003_1866 transcript:Al_scaffold_0003_1866 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 57 [Source:Projected from Arabidopsis thaliana (AT3G17730) TAIR;Acc:AT3G17730] MAPVGLPPGFRFHPTDEELVNYYLKRKINGQEIELDIIPEVDLYKCEPWDLAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRGGYWKSTGKDRRVTSQSRAIGMKKTLVYYKGRAPQGIRTDWVMHEYRLDDKDCDDPSSLQDSYALCRVFKKNGICSELESERQLQTGQCSFTTASMEEINSNNNNNYNNEYETMSPEVGVSSACVEEVVDDKDDSWMQFITDDAWDTSSNGAAMGHGQGVY >Al_scaffold_0003_1874 pep chromosome:v.1.0:3:7597954:7599171:1 gene:Al_scaffold_0003_1874 transcript:Al_scaffold_0003_1874 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 17 [Source:Projected from Arabidopsis thaliana (AT3G17790) TAIR;Acc:AT3G17790] MDSRRSLMSATASLCLLLSIFTTFVVVSNGELQRFIEPAKSDGSVSFIVIGDWGRRGSFNQSIVAYQMGKIGEKVDLDFVVSTGDNFYDNGLFSEHDPNFEQSFSNIYTAPSLQKQWYSVLGNHDYRGDAEAQLSSVLREIDSRWICLRSFVVDAELVEMFFVDTTPFVKEYYTEADGHTYDWRAVPSRNSYVKSLLRDLEVSLKSSKARWKIVVGHHAMRSIGHHGDTKELNEELLPILKENGVDLYMNGHDHCLQHMSDEDSPIQFLTSGAGSKAWRGDINPVTINPKSLKFYYDGQGFMSARFTHSDAEIVFYDVFGEVLHKWVTSKQLLHSSV >Al_scaffold_0003_1875 pep chromosome:v.1.0:3:7599429:7601001:-1 gene:Al_scaffold_0003_1875 transcript:Al_scaffold_0003_1875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF760) [Source:Projected from Arabidopsis thaliana (AT3G17800) TAIR;Acc:AT3G17800] MDAVTASLVRSPVLPPRTSDNGSGSMFLTASGPGFTRSGSSRLQLRLRVCPKSLQNASARSSRSLQSLTTTAKTRRSFVVRASSASNDASSGSSPKPIAPLQLQSPAGQFLSQILVSHPHLVPAAVEQQLEQLQTDRDSDGQNKDASSVPGTDIVLYRRIAEVKENERRRTLEEILYALVVQKFMEANVSLVPSVSPSSDPSGRVDTWPTKVEKLERLHSPEMYEMIHNHLALILGSRMGDLNSVAQISKLRVGQVYAASVMYGYFLKRVDQRFQLEKTMKILPGGLDESKTSVEQTEEKTTYQAVSSHPEVGSFAGGVSAKGFGSEIKPSRLRSYVMSFDAETLQRYATIRSREAVGIIEKHTEALFGKPEIVITPEGTVDSSKDEQIKISFGGMKRLVLEAVTFGSFLWDVESHVDARYHFVLN >Al_scaffold_0003_1880 pep chromosome:v.1.0:3:7622254:7628626:-1 gene:Al_scaffold_0003_1880 transcript:Al_scaffold_0003_1880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17850) TAIR;Acc:AT3G17850] MVFKNKLFFSSKKSGSSSPDSSNSPRSVGSNSPIRSDKKKSKSASKDEPQIPNPGVGCKQTQIKDGLKKKDGSSKGKQLSSEVQAHSIGKSNLSPSSEAKKPPPPEVKEGPAFVSPIMASSLGLNRIKTRSGPLPQERVFNYRNDPATSNLSKMGADGGDLGSDSATTGSGSGNRKKEAGSSKLGLEENMGRTRPSDNKSDRDSLSPDAGPPRSLSPTLPASGSRLQNVASSSGTGRSEMSSGRSGPLRNSDFCTPENSYEWENPKESESPRYQALLRMTSAPRKRFPGDIKSFSHELNSKGVRPFPLWKPRRSNNVENLIICLFQEILVLIRAKFDKAKEEVNSDLAVFAADLVGVLEKNAESHPEWEETFEDLLILARSCAMTTPGDFWLQCEGIVQDLDDRRQELPPGVLKQLHTRMLFILTRCTRLLQFHKESWGEEEQVVQLRQSRVLHSIEKIPPTGAGRSSSAAKVLKVPSTKKAYSQEQSGLDWKEDAVLRSVPPLSPTENYALKESESPANIDRMSSWKKLPSPALKTVKEAPASDEQNDIKVEPPNMVGNRQASDDAAVAILNYPPAKDSHEHSKHRHNISWGYWGEQPLISEESSIMCRICEEEVPTTHVEDHSRVCTLADKYDQKGLSVDERLMAVAGTLDKIAETFRHKDSLAAAESPDGMKVSNSHLTEESDVLSPRLSDWSRRGSEDMLDCFTEADNSIFMDDLRGLPLMSCRTRFGPKSDQGMTTSSASSMTPRSPIPTPRPDPIEHILGGKGTFHDQDDIPQMSELADIAKCAADAIPGDDQSIPFLLSCLEDLRVVIDRRKFDALTVETFGTRIEKLIREKYLHMCELMDDEKVDLLSTVIEEDAPLEDDVVRSLRTSPVHPRDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILINVRNPFVVRFFYSFTCRDNLYLVMEYLNGGDLYSLLRNLGCLEEDIVRIYIAEVVLALEYLHSEGVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLAGPAVSGTSLLDEEDSRLAASEEQLERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGIILFELIVGIPPFNAEHPQQIFDNILNRKIPWPHVPEEMSAEAHDIIDRFLTEDPHQRLGARGAAEVKQHIFFKDINWDTLARQKAAFVPASESAIDTSYFRSRYSWNTSDEQFFPSGEVQDYIDAGSSTGSSGCSSNHHEEGEPENSLSLMFVGCAISLNGINVATQAEECEGHAEFESGVPVDYSFSNFSFKNLSQLASINYDLLSKGWKDEPQQIPHHK >Al_scaffold_0003_1899 pep chromosome:v.1.0:3:7705372:7705972:1 gene:Al_scaffold_0003_1899 transcript:Al_scaffold_0003_1899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L7Y9] MPFIKKKRCTRFCLMGASHSTNVNNHPHSRNPSNHPLTNNTNTNSSRHSASTSDRLSVSNLRSQLTTIYRNQEEEEEEEEEEEEEGKEKRAEEEAKSFGLVRDFDLSGLNCIR >Al_scaffold_0003_192 pep chromosome:v.1.0:3:687780:689660:1 gene:Al_scaffold_0003_192 transcript:Al_scaffold_0003_192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZJ5] MTMALLFNSTMTVAMKQNPAVTVSFPPPACLGYSYSPPRRLRVSCVATNPSKTSEETDKKKFRPIKEVSNQVTHTITQEKLEIFKSMESWAQENLLSYLKPVEASWQPQDFLPETNDEDRFYEQVKDLRNRTREIPDDYFVVLVGDMITEEALPTYQTTLNTLDGAKDETGGSLTPWAVWVRAWTAEENRHGDLLNKYLYLSGRVDMRHVEKTIQYLIGSGMDSKFENNPYNGFIYTSFQERATFISHGNTAKLATTYGDTTLAKICGTIAADEKQHETAYTRIVEKLFEIDPDGTVQALASMMKKRITMPAHLMHDGRDDDLFDHYAAVAQRIGVYTATDYAGILEFLLRRWEVEKLGMGLSGEGRRAQDYLCTLPQRIRRLEERANDRVKLGSKSKPSVSFSWIYGREVEL >Al_scaffold_0003_1942 pep chromosome:v.1.0:3:7894020:7896005:-1 gene:Al_scaffold_0003_1942 transcript:Al_scaffold_0003_1942 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 58 [Source:Projected from Arabidopsis thaliana (AT3G18400) TAIR;Acc:AT3G18400] MEENLPPGFRFHPTDEELITHYLCRKVSDIGFTGKAVVDVDLNKCEPWDLPAKASMGEKEWYFFSQRDRKYPTGLRTNRATEAGYWKTTGKDKEIYRSGVLVGMKKTLVFYKGRAPKGEKSNWVMHEYRLESKQPFNPTNKEEWVVCRVFEKSTAAKKAQEQQPQSSQPSFGSPCDANSSMANEFEDIDELPNLNSNSSTIDYNNHIHQYSQRNVYSEDNTTSTAGLNMNMNMASTNLPSWTTSLLGQPLSPINSLLLKAFQIRNSYSFPKEMIPSFNHSSLQQGVSNMIQNGSSSSQVQPQPQEEAFNMDSIW >Al_scaffold_0003_195 pep chromosome:v.1.0:3:694302:697910:1 gene:Al_scaffold_0003_195 transcript:Al_scaffold_0003_195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZJ7] MLPKKPIISSAEQHSFDETRWVINVQKSLDAEIEEHDLEEVTVSIFNVPKALMCSHPDSYTPHRVSIGPYHCLNPELHEMERYKLMIARKTRNQAKSFKFHDLVEKLQSIEIKIRACYHKYIGFNGETLLWIMAVDSSFLIEFLKIYSFRKVETLINRVGHNEILRDIMMIENQIPLFVLRKTLEFQLESTESADDLLVSVLTGLCRDLSPLVIKFDDDEILKAQFHECNHILDFLYQMIVPRIEEEELEEEEDEENRADDNGGNRAIRFLEEIKHQFKRVFASRPADLILRFPWRIISNLPGFMALKLSADYLFTGQENEATTTRRESSSSVSASDIEKPPLVEELTIPSVSDLHKAGVRFKPTANGNISTVTFDSNSGQFHLPVINLDINTETVLRNLVAYEATNTSGPLVFTRYTELINGIIDSEEDVRLLREQGVLVSRLKSDQEAAEMWNGMSKSVRLTKVGFLDKTIEDVNRYYTGRWKVKIGRLVEVYVYGSWQILAFLAAVLLLISQNASRNLAVTRISKKKTQTTPSLTSLSRFSYLESSGYASARNIRFFSASPPTEENPVSLPTDEIPISSAAELTLEESVASALGFSESGHFGVNGGTSVEAVGGVAEYGDSEIVAIENEVTEVYQFDDEKLESVLSLLRSDEESLEFGLNALNVDLHLDFVVRVFEFPGISGKNLIRFLKWATEREEITVTTSLVESLLVAIAGDTRRMDAYGLWDLVKEIGEKESSSSVLNLEILNELIALFGKLGKSKAAFDVFSKTAEFGFTPNAKTYYLTLEALCKRSFMDWACSVCERMLKSGVLPEGEQMGNIISWFSKEGKAEEAYSVYELAKTKEKSLPPRSVATLISALCKNDGTITFAQEMLGDLSGEARRRGIKPFSDVIHSLCRMRNVKDAKSLLLDMISKGPAPGNAVFNLIVHACSKTGDLDEAKEVLKLMESRGLKPDVYTYTVIISGYAKGGMMNEAQEILAEAKKKHKKLSPVTYHALIRGYCKIEEYDEALKLLKEMDRFGVQPNADEYNKLIQSFCLKALDWEKAEVLFEEMKQKGLHLNAISQGLIRAVKEMESEAKVTEDDNLLAEA >Al_scaffold_0003_1954 pep chromosome:v.1.0:3:7936062:7937306:1 gene:Al_scaffold_0003_1954 transcript:Al_scaffold_0003_1954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L8J0] METIDDFWFTKSGSKYRRVHQIEEFGYWMLQSVFHGFVNLLTSQQEVHNLEANPYDDVPVFPSQADSPSQAAHKPK >Al_scaffold_0003_197 pep chromosome:v.1.0:3:701721:702452:-1 gene:Al_scaffold_0003_197 transcript:Al_scaffold_0003_197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein family [Source:Projected from Arabidopsis thaliana (AT3G02670) TAIR;Acc:AT3G02670] MASLATTLALILFLICHLTPETALARPLNDQKPVDGVVTTTTDEAKNLAFVSDPFPSLQSSPPTSAIPGSPGFTLPFPFPSSPGGIPGIPGSPGFRLPFPFPSSPGGIPGIPGSPGFRLPFPFPSSPGGIPGIRGSPGFRLPFPFPSSPSGGGIPGIPGIPGFPSFRFPPLPIPFPPLPIPSSPLAGGGIPGIPGIPGIPGIPGFPGFRFPPLPFLPPSTQ >Al_scaffold_0003_1971 pep chromosome:v.1.0:3:8012486:8014922:-1 gene:Al_scaffold_0003_1971 transcript:Al_scaffold_0003_1971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G18670) TAIR;Acc:AT3G18670] MDTEKGYPRGFRADSLRTETGRISFRSDSMQIDEAAELPQGEIRQENSTYLVLFKNIDSGNLEATKEFLDRNPEALTASLTSNGDTPIHKAVLSGHIKIVEEIIRRIHDPKQVLKIKNDNGYTALSYAATGGIVRIAECLVNRCPGLVSVRNAKEHIPIVVASLYGHKHLVEYLYNHTPLSDLDPCDDSDEHKGKNGAMLVTNCIVDGLYGIALDLIQRYPKLAYTRDSDNDTAIIALAQTPHAFPSVPHIIRRVYKLKLGHAQAKEILDCICQEIPKFDAAQQKNAGLNQALFKAVENGIVEYIEEMMRHYPDIVWFKDSCGLNIFFYAVSQRQEKIFSLIYNMGAKKNILATNWDKFHNNMLHHAAYRAPASRLNLIPGAALQMQRELQWFKEVERLVQPKHRKMVNLKQKKTPKALFTDQHKDLVEQGEKWMKETAASCTVVAALITTMMFSSAFTVPGGYRSDGMPLYIHKHMFKIFLISDAISLFTSCMSLLMFLGILKSRYREEDFLRSLPTKLIVGLFSLFLSMATMMVTFVVTLMTLVGEKISWVSAQFMFLAVIPLGMFVVLQFPVLLEIFCSTYFPRVFDKPPQSRRMFKLFSRF >Al_scaffold_0003_1980 pep chromosome:v.1.0:3:8062008:8064411:-1 gene:Al_scaffold_0003_1980 transcript:Al_scaffold_0003_1980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13 [Source:Projected from Arabidopsis thaliana (AT3G18770) TAIR;Acc:AT3G18770] MSSSHNRSNKNSEGAKAEQIIFEFFAKSLHIILESRTPFMSSRNFSGDQMICSPSSSSSSSSSVRPRDKWFNLALRECPAALESFDIGRRSSLEPLVVDVVLVVRPLVGDQMNLSGKRELIRNFSGKDYQSGWNSDQDELGCEAKNEQIIERWVVQYDNRKIRESVTTSSRRSSSNKLQVMYKKATLLLRSLFVMVRLLPAYKIFRELNSSGQIFKFKLVPRVPSIVEPFTRREEAEMQKFSFTPVETICGRLCLSVLYRSLSDVSCEHSTPMSPTFITDYVGSPLADPLKRFPSLPLSYGSPPLLPFQRRHSWSFDRYKASPPSVSCSPSPTRSDSHALVSHPCSRHLPPHPSDIPTGRRKECYAEGYPPSQDFSPPPSPSAPKHAVPRGITRTESAPVRIPAPTFQSKENVVAPSGHLKLSRHPSLKPVRNLGPGESGGAIDKLFLYGRDDFRRNSGVRPSSNSSPRISFSRSSSRSFQDDFDDPDFPCPFDVEYDDIADRNSRPGSFDHRGDIHEPLDSSGSYPKKSQDAEVGALVRMLKKAPPLRQDVSESSIPEICWNNNNSNKPAGAHEIAVASITASGITLASKTTADALEELRSYKEMKNHLLLSQSTSNPSSVTTSPFEV >Al_scaffold_0003_2 pep chromosome:v.1.0:3:1739:3670:-1 gene:Al_scaffold_0003_2 transcript:Al_scaffold_0003_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02070) TAIR;Acc:AT3G02070] MGDSSSSTSRSSKNDTEDDRMIALMLSEEYSKLDGAVGRRLSNLAPVPHVPRINCYIPNLNDATLDHQRLLQRLNVYGLCELKVSGDGNCQFRAVSDQLYRSPEYHKQVRREVVKQLKDCRSMYESYVPMKYKRYYKRMGKLGEWGDHITLQAAADRFAAKICLLTSFRDTCFIEIIPQYQAPKRELWLSFWSEVHYNSLYDIQAVPVQHKAKRKHWLF >Al_scaffold_0003_2012 pep chromosome:v.1.0:3:8219976:8222306:1 gene:Al_scaffold_0003_2012 transcript:Al_scaffold_0003_2012 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein [Source:Projected from Arabidopsis thaliana (AT3G19090) TAIR;Acc:AT3G19090] MAQMQREEVESVTTEKKGLDGGGGSSGAQATAFKFNAQAPEFVPRSHTTAPATQVSPVSGYFYPCFHYNGGCIGGCGGGVCGGGGTGVGTQSSDWIYVGGGDPTAQHQHVHDPAAAFFISNPAVQFPANQNSSSSSKNLLSDDLRLKIVKQVEYQFTDMSLLANESISKHISKDPEGYVPISYIASTKKIKALTSNHHLVSLALRSSSKLVVSEDGKKVKRTSPFTDRDREELQGRTVVAENLPDDHSYQNLEKIFGVVGNVKAIRICHPPESNSSRPKGDFLMSNKIHALIEYDNTVIADKAVEKLNDERNWRKGLRVRLLLRCSPKSVLKNRRNFDGILIDDELPSYESGEDSPRLHLTESQLDNDGDDNNVGGLWGKGRGKGRGRSPRSYAVGGGGRSFGIGLGVSLGIPSLGSHESSSPKTATKGPRMPDGTRGFTMGRGKPSISLSPNNL >Al_scaffold_0003_2029 pep chromosome:v.1.0:3:8291404:8291910:-1 gene:Al_scaffold_0003_2029 transcript:Al_scaffold_0003_2029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L9X0] MRVHPIPRNLNNTLIHHHHHNPTREPGKNLRRLPHIFNRVLELPLRSEADVTVEERHDCFRFVAETVGLCGGDGEMRAYMVEIHPGITKIVVRTNGSSSLGLSLDELELDVWRFRLPESTRPELVTVACVDGDLIVTVPKNAEEEDDDDDGGGDFGQGMGSGRLVLVQ >Al_scaffold_0003_2031 pep chromosome:v.1.0:3:8295256:8296422:-1 gene:Al_scaffold_0003_2031 transcript:Al_scaffold_0003_2031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF677) [Source:Projected from Arabidopsis thaliana (AT3G19250) TAIR;Acc:AT3G19250] MPHCFTFKPPSAEASLADDPLPHSSPEGSVASTFNISLELAHAFQTPSYHDIRSRLLAVDPTQENLELFLSQELKPKNECVQEALSLRHAKQTTLTNLISTFFQHSEDATRFCLNLYQNVHSARCHLYTPLLDLFKIFPRDSHSAIDESFCNLAFDVFLKLDTFENPFASPESHSFQDTQLCFYQLADKLDTRIRKSKSRVRLLHHATAGSALCLVTAVVAVAASAAFIAYHALPTLVVVAGPLCTPYLPHSFKKEELSNISQLNVAAKGTFALNKDLDTIDRLVSRLHTGIKNDKLLIRLGLERGRDVYSVQEFVKQLRKSHVNHTHQLEVLEDHICRWFTNVNKSRSLLLKEILRPQT >Al_scaffold_0003_2041 pep chromosome:v.1.0:3:8346851:8347285:1 gene:Al_scaffold_0003_2041 transcript:Al_scaffold_0003_2041 gene_biotype:protein_coding transcript_biotype:protein_coding description:maternally expressed pab C-terminal [Source:Projected from Arabidopsis thaliana (AT3G19350) TAIR;Acc:AT3G19350] MVRLSVSNKVVYYGNLPPYDLASLPPETQRKMIGETLYQMVEELEPRFAPKITGMILELDQDRVFHLMESPEALKETVKEAMKILADWIPQQMQLLGKEDACKFLASMLPAKL >Al_scaffold_0003_2045 pep chromosome:v.1.0:3:8373585:8373785:1 gene:Al_scaffold_0003_2045 transcript:Al_scaffold_0003_2045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L9Y7] DSGEEKECLAVGWQFDGLNDGNIQALRERLGAEMFEEVPLTGDIALTNPLPRRAEFKIPYLNDHSE >Al_scaffold_0003_2054 pep chromosome:v.1.0:3:8415050:8416418:1 gene:Al_scaffold_0003_2054 transcript:Al_scaffold_0003_2054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein [Source:Projected from Arabidopsis thaliana (AT3G19460) TAIR;Acc:AT3G19460] MGDSVSSSSTRVSVHQSLGAGSVADLLLWRNQTGATILLISSTGFWFLFERAGYNLLSFVSNVLLLLVAILFLWAKSATVLNRPLPPVPNMEIPEEFAIKAADDLRVWINHVLSIASDITIARNPIRLLQVSLVLWAISYVGTLINSFTLVYIGILLSLSFPIVYEKYQNHIDEKVNSTSKFVRSISRKFPMPINKEKKHQ >Al_scaffold_0003_2060 pep chromosome:v.1.0:3:8437097:8438728:1 gene:Al_scaffold_0003_2060 transcript:Al_scaffold_0003_2060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF626) [Source:Projected from Arabidopsis thaliana (AT3G19520) TAIR;Acc:AT3G19520] MGFFSGQMNYWRQIAESDGFDINDVPVPRGTRAGLWSVNCQHPRFRLRACLPKIYAMVGLHHYNLLKGTNFQHHDLLKYNKSMNCVRSYYITSVAVDLSSQFQKTFQIRADEKSYGDLDLTVSIARIKDEEKVTTQKRFIHHFHGEADADDFYQGALPDWPSVDDFNDQKRFYLVTESDFQSNDWIRLYLNLAVCGRRKMTSENDLSKLQILKVAIETKEEDLQPPSRRLKAKSAYVYITFKGLDRAPIGDEIGEHVERKAIVRRVIDEYYFTLLGGFSIGEIKKL >Al_scaffold_0003_2064 pep chromosome:v.1.0:3:8458059:8459149:1 gene:Al_scaffold_0003_2064 transcript:Al_scaffold_0003_2064 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT3G19560) TAIR;Acc:AT3G19560] MTMMYDFSQDLLEEILSRVPVTSLRAVRSTCKRWNDIIKDPSFSKKYGGKGANEFLVIMLNDFRACLMSVNLHGILDNKDLCIIVMAYILLCITNEDNTRLVVWNPYLEQTRWIQPINKFCKFDRYCMGYDNNNNNHKILRFFIRFGYIEYEIYNFMSNSWSVPHTTTNWDISPYCSRGVSLKGNTYFIAQKKMEVEQVETERFGPLLPLPFHYSIGNLVILSTVREEQLAVLFKRCGAYETKIWITTKIEPNTVSWSNFVHGENSTNNTAYMIGEDGYCKEVDLGESKFCPSMWSYVPSCVQIQ >Al_scaffold_0003_2080 pep chromosome:v.1.0:3:8512944:8514207:1 gene:Al_scaffold_0003_2080 transcript:Al_scaffold_0003_2080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LA21] MRECISIHIGQAGIQVGNACWELYCLEHGIQVHDEHFETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLTDNCTGLQGFLVFNAVGGGTRSGLGSLLLERLSVDYGKKSKLGFTMYPSPQVSTSVVEPYNSVLSTHSLLEPTDISILLDNEAIYDICRRSSALRRKESSLKLVRILLLWRKTTRRLVLREVMMKMIKA >Al_scaffold_0003_2096 pep chromosome:v.1.0:3:8575217:8576079:-1 gene:Al_scaffold_0003_2096 transcript:Al_scaffold_0003_2096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LA39] MEIAMFHFIDSQLCWVWALTKLIQLQKKQIGKTIGKREKSSDPVVSPEADCRSTNSGGIQAPRLCEGTEGLEPTTEEPDFRRRSFSDEPPYPLRNSQNAQHPTSGIDVLRSRPSNLRSSDQTPLSHFRKSPIFPEQNPIKPVRWKQIAEETNS >Al_scaffold_0003_210 pep chromosome:v.1.0:3:743529:744655:-1 gene:Al_scaffold_0003_210 transcript:Al_scaffold_0003_210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT3G02800) TAIR;Acc:AT3G02800] MCLIMETDDHEAVVLAPPSNFSMVEDGIYRSGFPRPENFSFLKTLNLRAIIYLCPEPYPEENLQFLEANNIKLYQFGIEGKTDPPTLMPKDTVLDALRVLVDVRNHPILIHCKRGKHRTGCLVGCLRKVQSWCLSSVLEEYQKNAGLKWRQRDLNFIETFDIVSLRQCLLSIMYQYHGYGFKRRRLAYEDENVQTPKPLAAKV >Al_scaffold_0003_2115 pep chromosome:v.1.0:3:8660315:8660563:-1 gene:Al_scaffold_0003_2115 transcript:Al_scaffold_0003_2115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAT6] KFKLWRHVTEAKKKHSEKKVTKSDEPKPKRLRIAKDTKKSSSTLNMPKRPLTGFFIFV >Al_scaffold_0003_2119 pep chromosome:v.1.0:3:8677560:8677955:1 gene:Al_scaffold_0003_2119 transcript:Al_scaffold_0003_2119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAU0] MRMLPIIHRDVKSSNILLDESLSAKVADFGLSKLVGEAHESTQMKGTMGYIKSRILHEESICPRRPMCMHAFGVLMLELLTSKSPIVKTEERRGHRWVRLRKRLRT >Al_scaffold_0003_2134 pep chromosome:v.1.0:3:8756354:8759862:1 gene:Al_scaffold_0003_2134 transcript:Al_scaffold_0003_2134 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta glucosidase 24 [Source:Projected from Arabidopsis thaliana (AT5G28510) TAIR;Acc:AT5G28510] MSIGLLLLLIIVGSPVNADGPVCPPTPSNKLSRAHFPEGFLFGTATAAYQVEGAVNETCRGPSVWDIYCKKYPEKCNGDNGTQAVEFFYRYKEDVQLMKNLNTDAFRLSISWTRIFPRIIPFVTVFHWDTPQSLENEYGGFLSANIVKDFREYAEYVFQEYGGKVKHWITFNEPWVFAHAGYDVGKKAPGRCSPYAKERTVKGECLGGRSGYEAYLVSHNLLNAHAEAVEAFRQCEKCKGGKIGIAHSPAWFEPHDFKDAQNGATVDHIVGHRLPKFTTEQKAKLRNSADFVGINYYTSTFSNHMEKPNHAELRFKQDSLVEWKDKNINEVSIGSKPATAELAVYSRGFRKVLKYVKDKYANPEIIIMENGYGEKLGDNDTVAVGTADYNRETYLKRHLLSMYEAICEDKVNVTGYFVWSLLDNFEWQEGFKTRFGLYYIDFKNNLTRHEKVSGKYYREFLSQGVRPSAIKKDEL >Al_scaffold_0003_2135 pep chromosome:v.1.0:3:8761023:8762236:-1 gene:Al_scaffold_0003_2135 transcript:Al_scaffold_0003_2135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAV6] MSYRYNLAVSGDRQPIVISQYQTNHFGVKPLNHLIYDEGLIISTCGMKLDRGSVLKEKGVNESLGMVVLVVRPPSDDDDDDWQINDEDWD >Al_scaffold_0003_2172 pep chromosome:v.1.0:3:8899847:8901819:-1 gene:Al_scaffold_0003_2172 transcript:Al_scaffold_0003_2172 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G20395) TAIR;Acc:AT3G20395] MGIAKMILLKLTYAVSSWITGAVVIMSRTIKRALLGSFILILASASVVVAAIVGAIEGHTTDIGFLQGSLLGVVAGVITAVQLFGPVLHGDQPLSKVALLRRVVNGKAIMGLVRPFALKAYQWQIITLDTNYMESSNIYDFKQEKKGLSKSSIENIPMFYNRSDQQTKSSCSICLQDWEEGEVGRKLERCGHKFHMNCIDEWLLRQETCPICRDHLS >Al_scaffold_0003_2177 pep chromosome:v.1.0:3:8913282:8920575:-1 gene:Al_scaffold_0003_2177 transcript:Al_scaffold_0003_2177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha amylase family protein [Source:Projected from Arabidopsis thaliana (AT3G20440) TAIR;Acc:AT3G20440] MVSLSNQTRFSFHPNNLFLSENRRLGISGVNFPRKINVKITCFAAERPRQEKQKKKSQSQSTSDAEAGVDPVGFLTRLGIADRIFAQFLRERHKALKDLKDEILKRHFDFRDLASGFELLGMHRHMEHRVDFMDWGPGARYGAIIGDFNGWSPTENSAREGLFGHDDFGYWFIILEDKLREGEEPDELYFQQYNYVDDYDKGDSGVSAEEIFQKANDEYWEPGEDRFIKNRFEVPAKLYEQMFGPNSPQTLEELGDIPDAETRYKQWKEEHKNDPPRNLPPCDIIDKGQGKPYDIFNVVTSPEWTKKFYEKKPPIPYWLETRKGRKAWLKKYIPAVPHGSKYRLYFNTPDGPLERVPAWATYVQPEDEGKQAYAIHWEPSPEAAYKWKNSKPKVPKSLRIYECHVGISGSEAKISTFEEFTKKVLPHVKRAGYNAIQLIGIPEHKDYFTVGYRVTNFFAASSRYGTPDDFKRLVDEAHGLGLLVFLDIVHSYAAADQMVGLSLFDGSNDCYFHYGKRGHHKHWGTRMFKYGDLDVLHFLISNLNWWITEYQVDGLQFHSLASMIYTHNGFASFNNDLDDYCNQYVDRDALMYLILANEILHVLHPNIITIAEDATYYPGLCEPVSQGGLGFDYYVNLSASEMWVSLLDSVPDNEWSMSKPVLQIVSTLVANKEYADKMVSYAENHNQSISGGRSFAEILFGGVDNGSPGGKELLDRGVSLHKMIRLITFTSGGRAYLNFMGNEFGHPERVEFPTQSNNFSFSLANRRWDLLESGVHHHLFSFDKELMDLDKSKGILSRGLPSIHHVNDANMVISFSRGPFLFIFNFHPSNSYEKYDVGVEEAGEYTMILNSDEVKYGGQGLVTEDQYLQRSISKRIDGQRNCLEVFLPSRTAQVYKLTRILRI >Al_scaffold_0003_2184 pep chromosome:v.1.0:3:8957550:8960497:1 gene:Al_scaffold_0003_2184 transcript:Al_scaffold_0003_2184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB03] MFLRRRFLSSSLFLSLPSLCRFSSSVSVSSGLPPPPRPDYMGNSDCRAIPLSDSVAKHARLSPFAENEVKLLTIASTRILCEYLSLLDPSAKKLWGEMLDGIVHLISHNEQELSKNTASMPGEDPVWYFCSSLEKLCSSYPDIYPNNIEKSLSPANMSYLVKLCSHYKCEIFRQFLSVKVSQWETVRIDVFDPCMIKMPQFSMPLMCWGMCTNCIGFEMLSATKGITFSARCRRFLSSSCALSLPSLCRFSSSVSVSSGLPRPPRRDYMANSDCRAVPLRDRVAFLIRLSDLDTAAKHARLAPFAENDKEYAVDWVCGAIIVAMCKAKRYRDALDLIRYFFNEHKMIPPKGSFNHVLKGVLDDSSNATKTLLKVKRLAQAGSFDEAMDSVSVKGCMRQIKPFGMCLDVSNSLIRELLDLGKFKEALKLFGEIKIKDDHNRAAVASATFMEYWFKKGKEDEAMECYNNLLATKLTKIESTGSIALLKVLLKYDKKTQAWALFHHLVDSDRQTKYEFVDSDKLNPIMVNECFKMGQIHAAIQTFKIPMADPDASGLRNIITCFCELGLLKEADHFFAKMPRDCTPDVSTYKALMDAYVKAGRVDDILQISNHMVDASLSQVAKVSCLFFQQPQ >Al_scaffold_0003_2187 pep chromosome:v.1.0:3:8973017:8975293:-1 gene:Al_scaffold_0003_2187 transcript:Al_scaffold_0003_2187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB05] MDSIEPPSFSLGFDLDAASDPHQNPSSTGDQLIGDGDNEPEAGLTVSDSDRELEPDFRSPVLKRLRRGINPNKCSWKDDRGVAIEDRDDDIEEFSSPEDFPTGRLEWVWSLHADNLLFTRTLIKRHSTQTDAPASARSHFSSCSSRVPLHGSGVLSNQPSISRGKRKQSDVPASAASGISSVASLFQRSSRSPLRRFQLLDSDSEDDHPSTSRDLSRVTKKHDSSSKDQPSIAIKPKRKEPGSIPSIKDLWKDFSPAISKIQTPALDDVCQDYFSSIKTTSTAQKQSSAAASSSNSGNHNLTGFQQTGQFLDFSHPSPPSHRFFLHSDPRIRNLARQRLPNFLPLGIVNDRESQREVFLVDYMNQFGSKGSSKTGDSSSKSCRRGQTKSKFSKGQESAHNSEGWLNPKTRAAAPKDAGKRRVSANSGSAGHWFTSPEGRKVYISKSGQEFSGQSAYRCYRKENGGGFKKSRKKRQPKKKAKN >Al_scaffold_0003_2190 pep chromosome:v.1.0:3:8989369:8990213:-1 gene:Al_scaffold_0003_2190 transcript:Al_scaffold_0003_2190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LB08] MILGDEHFTQIQAVVRDELIDNYFSRSIIDEWVSIKNFDVSRVNIILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVIGKIVNVIELVFVPSVEHSQGGYFELYFGLRDTEFIHLECRLMGDLAVEFYDLWKRRSHNTVICIIKFVKLELPQEHRWRCTNVTGCTRIMLNLDLSITDEMLC >Al_scaffold_0003_2191 pep chromosome:v.1.0:3:9000059:9000721:-1 gene:Al_scaffold_0003_2191 transcript:Al_scaffold_0003_2191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB09] MNMGLVSSHQTTVVSGDDLFVHQSIRSEKFRSLAVDESVEFKVEVDNAIRVKAVEVSGPEGEDVVVEIIEENMVVEEVVVMVLALGVVNQVIWREIVLKVVEDTVVVEVVEGTVCSSGGGGGTCFSGFICFV >Al_scaffold_0003_2192 pep chromosome:v.1.0:3:9002759:9004032:-1 gene:Al_scaffold_0003_2192 transcript:Al_scaffold_0003_2192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB10] MTVGGEVPVPFLQEKDIPSRFLDEERVPNDASSSGATIFVSSFSLKKLFAGVKEALLEDPEDEKKTSGEAEARPVSYSYSFFHIICALASMYGAMLLSGWTDSSKNATLIDVGWTSV >Al_scaffold_0003_2193 pep chromosome:v.1.0:3:9006645:9007529:1 gene:Al_scaffold_0003_2193 transcript:Al_scaffold_0003_2193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LB11] GFDFKPDVCIPRLEGYSPFYFGENEEQPPTEMVLYGRLGVHWFNFEQKRKLKFIRIPKLNTEHPFSVSYFITVEVKDDDAAAADSLTLQTLVRRPSFPELKLLMERCRIKPAEISDHSFNCFYQSFRGCMPTFLSELPEEADDDDDGVRFYEVQTKDIDNNDWLRLYTEFALFQVCEAGSHSFLPQQIKIKKILVETREPHTDPSLKLDSMNAIFHISFRANSCDYTSVVRRSTDGISGHMFLEVENFSPQVPS >Al_scaffold_0003_2198 pep chromosome:v.1.0:3:9027983:9029027:1 gene:Al_scaffold_0003_2198 transcript:Al_scaffold_0003_2198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB16] MVTFRQYFFITLKLYSRFKYFTVVMMRIFLSYKKLFKVPIVDKNHRRLEQVPPQAKQVDDHARKVHAQQLILARPGNAKLGIPPSSSKSLSCPILPSSSKSCPSPA >Al_scaffold_0003_2201 pep chromosome:v.1.0:3:9040161:9041450:-1 gene:Al_scaffold_0003_2201 transcript:Al_scaffold_0003_2201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB19] MADTLKRMLESGKLTDEQCLSMLRGLDFDKEAKVVGECTSEITPVHSRKRKKKAIQGTNEEEEVDNRKSLPQLLDMRKLPNEEKKRIFCQYEELGVEEDMDYIRQIANTQGFDFKPDVRIPRLKGYSPFYFGENEEQPPTEMVLYGRLGVHWFNFEQKRKLKFIRIPKLNTEHPFSFSYFITVEVKDDDAAAADSLTLQTLVRRPSFPELKLLMERCRIKPAEISDHSFNCFYLSFRGCMPTFLSELPEEADDDDGVRFYEVQTKDIDNNDWLRLYTEFALFQVCEAGSHSFLPKEMNIKKILVETREPHTDPSLKLDSMNAIFHISFRANSCDYTSVVRRTTDEISGHMFLEVENFSPQVPS >Al_scaffold_0003_2216 pep chromosome:v.1.0:3:9112583:9113704:-1 gene:Al_scaffold_0003_2216 transcript:Al_scaffold_0003_2216 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein [Source:Projected from Arabidopsis thaliana (AT3G20690) TAIR;Acc:AT3G20690] MMMSNLPQDLVEEILSRVPLTSTRAVRSTCKKWNALSKDQSFANKHIGNIVATPEERDFLMIMENKAYLIGVNLHGIQNNNVDLSIKRKGKTIDDDVIITLVVLFNPYWGKHKWFKRTNNYGRFDKFAFGYDKSCGSHKILRLFGDYLNNIEIYNLSSDSDSWMVPSVTLEWDIVDMHDDVSLKGNTYWYAKDKESEDYYLLCFDFTRERFGPRLPLPQPFINEGCGSLSVVGEEKLAVLLQHWGASEMEIWVTNKIEPDEVSWSKFLKVYKRPHCFYVANFLIEEEKKVAVVFDDYETKSFKSNIVSNAYIIRENGYFRTVDLSEYPYTTRCGLACSYVPSSVQIM >Al_scaffold_0003_2218 pep chromosome:v.1.0:3:9116971:9118071:-1 gene:Al_scaffold_0003_2218 transcript:Al_scaffold_0003_2218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZV5] MMMSNLPQDLVEEILYENSAIYLQKRSICKNWNALSKDPIFTNNYIRNVAASGEREFLMIKEFSVYLVGVNLHGIQNNNVGLSIKRKGRLISMDNTVRRFCISQVFHCNGLLLCVSGKNMDNNRLAVWNPYCCKPKWIKPTRYTYYGTAERFALGYDKSCGSHKILRLFGDNLNNIEIYDLSSNSWRVPNVTLERDIVYMQQGVSLKEKTYWYARDKESEDKYLFSFDFTRERFGPRLPLPFMYFDDVSLSVVKEEQLAVLVKRWDTCEMEIWVTDKIEPDVSWSRFLKVDIKPRFDFANFAVIDVEKKVALVFDKNEAEKLQSSYSIAYIIGEKGCFKEVDLGESPYTPLSCLACSYVPSYVQLK >Al_scaffold_0003_2224 pep chromosome:v.1.0:3:9145701:9146887:1 gene:Al_scaffold_0003_2224 transcript:Al_scaffold_0003_2224 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 29 [Source:Projected from Arabidopsis thaliana (AT3G20750) TAIR;Acc:AT3G20750] MEQELDLTLKLGLPNSTVDTHLSLNLSTTTTNQGDNNNDGGEVLNYRRALWGNDEVIHNEAARNNVEFNIRIYNYVFQQLAGAPNTLNFAPYPMPSPAPAPAPAPAPETPPASDEYVLIDVPARRVRRNNSQTMANTWNENATAKRLRGCGGSCGGRIEGMKKCTNMNCNALNTPMWRRGPLGPKSLCNACGIKFRKEEERKAKRNGVIELDN >Al_scaffold_0003_223 pep chromosome:v.1.0:3:798347:799625:1 gene:Al_scaffold_0003_223 transcript:Al_scaffold_0003_223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L0A6] MEDNNPPKDYYKILEVDYDATEEMIKVSYRKLALKWHPDKHNGDTVATSKFQEINEAYNVLMDPALRFEYDLTGIYEIHKYTLREYLARFKGMILTCNGLGISHSSSPWTQQLAEGNNTTDEQGYNLDTV >Al_scaffold_0003_2238 pep chromosome:v.1.0:3:9219789:9220007:-1 gene:Al_scaffold_0003_2238 transcript:Al_scaffold_0003_2238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KZX8] QVSFSLLQTFSLFLFYLLSSPYPFLSIIICYFPLFCHIAPYIYPHVLIHSYTHPHIHAYLAFTGFLTQPHHL >Al_scaffold_0003_2246 pep chromosome:v.1.0:3:9261821:9262336:1 gene:Al_scaffold_0003_2246 transcript:Al_scaffold_0003_2246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KZY7] DDSETVAMIKELLETRIRPAVQDDGGDIEYCGFDPESGIVKLRMQGACSGCPSSSVTLKSGIENMLMHYVSEVKGVEQEFDGEDEEGTLSGEVRVE >Al_scaffold_0003_2249 pep chromosome:v.1.0:3:9278467:9278794:-1 gene:Al_scaffold_0003_2249 transcript:Al_scaffold_0003_2249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZY9] MTFRATILAIFMIVLVLGMETKETQGQETCHDLIMKRDCDEATCVTMCQQKWKGGVGSCFQNVNVMSCLCNFPCQV >Al_scaffold_0003_2250 pep chromosome:v.1.0:3:9291510:9291695:1 gene:Al_scaffold_0003_2250 transcript:Al_scaffold_0003_2250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZZ0] MYTVVVVAVRKVVTGEVMMVLEEAVVVFVASGDGGVVVANSNSDFIVVIVLPSRKGEEYGG >Al_scaffold_0003_2259 pep chromosome:v.1.0:3:9326482:9329392:1 gene:Al_scaffold_0003_2259 transcript:Al_scaffold_0003_2259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZZ8] MHLPEDLVVEILSRVPTVSSLARLRSTSKRWNTLVKDGRLAKKHSAYAPRQSPLVIMLINFRVYLVSINLNNNVPPSAKVTCQFSLKDPLSIFSKEIDIRSTFHLDGLLLCNTKDNRLVVWNPCSGETRWIQPRYSYKDSDCYALGYDNKSSCYKILRMHRFFVGNILHIESEVYDFASHSWRGVGESTSWFITQISCRRGVCVKGNTYWLAGGQYEPRNDHFLLRFDFSSERFQFLSLPADARRDYGNMALSVTKENQQLCLLATQVLDINVWMATKIESTGAILWSKFLTVTGADIRYRLQFNIGMSFLVDHEDKVVVSCNSVFPNIIHIVGEDKYIESFSSTMHLPEDLVVEILSRVPAVSLARLRSTSKEWNALIKYGRLAKKHSAYAPRQSSLVIMLINFRVYIVSINLHGINNNKDVPSAKLTGQFSLKDPLSNSSEEVGICSVFHCNGLLLCTTKANILVVLNPCSGEKMWIQPRVITYKEFNHYALGYDNRSSCYKILSVDRSGYRFPFQTEYQVYDFTSKSWRVVGETGGLLIPRIQRLGISVKGNTYWLANNGQGRVILQCYDFSTERFQSLSLPKDAPRWYSDVAL >Al_scaffold_0003_226 pep chromosome:v.1.0:3:807460:808310:-1 gene:Al_scaffold_0003_226 transcript:Al_scaffold_0003_226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L0A9] MGQGLTYNKRKPGYKTVYRKTRRKKKIDSTPVDHRSQRNQTLDPAKPKLTASWPHREIEITDAGFRFPAAISLLRSTNPPQASHKVSLSDEAVRRPPLQSSSSAIPEPRPHQRGNTTPLLTENHHDSHLDHFRRISADDKNREPEKLDRKLGIRLQERENGTIIDAMIVFFNR >Al_scaffold_0003_2269 pep chromosome:v.1.0:3:9372192:9372455:-1 gene:Al_scaffold_0003_2269 transcript:Al_scaffold_0003_2269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L0Q1] MCSDHCKSRQRAAEGAQTIVKVIRAVVDAQTVVQVIGDAESRSECCEDQRAAEGAQRIVKIKELQNAFLESVKIEAAKGASNSIFYI >Al_scaffold_0003_2273 pep chromosome:v.1.0:3:9391919:9394768:-1 gene:Al_scaffold_0003_2273 transcript:Al_scaffold_0003_2273 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:CoA ligase 2 [Source:Projected from Arabidopsis thaliana (AT3G21240) TAIR;Acc:AT3G21240] MSSSNDVIFRSKLPDIYIPNHLPLHDYIFENISEFAAKPCLINGPTGEVYTYADVHAISRKLAAGLQILGVNQHDVVMLLLPNSPEVVLTFLAASLIGAITTSANPFFTPAEISKQAKASAAKLIVTQSRYFDKIKNLQNDGVLIVTTDSDVIPENCLRFSELTQSGDLRVNSKISPDDVVALPFSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLYFNRDDVILCVLPMFHIYALNSIMLCSLRVGATILIMPKFEITLLLEQIQRCKVTVAMVVPPIVLAIAKSPETEKYDLSSVRMVKSGAAPLGKELEDAISAKFPNAKLGQGYGMTEAGPVLAMSLGFAKEPFPVKSGACGTVVRNAEMKILDPDTGDSLPRNKSGEICIRGNQIMKGYLNDPVATTSTIDKDGWLHTGDVGFIDDDDELFIVDRLKELIKYKGFQVAPAELESLLIGHPEINDVAVVAMKEEDAGEVPVAFVVRSKESNISEDEIKEFVSKQVVFYKRINKVFFTNSIPKAPSGKILRKDLRARLANGLVN >Al_scaffold_0003_2274 pep chromosome:v.1.0:3:9394891:9399820:-1 gene:Al_scaffold_0003_2274 transcript:Al_scaffold_0003_2274 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 6 [Source:Projected from Arabidopsis thaliana (AT3G21250) TAIR;Acc:AT3G21250] MDLSLLLLLCSWMNLRSSSVAAQDCSEAGLSDPLLTKNPRKESARLATAGFFSILSFSWMNPLLSLGFKKPLSPQDIPSVVPEDEAELAYSKFSQAWDSLLAEGSSSKERNLVFRAVAKVYFKENIFIAVCAFFRTLAVVSLPLMLYVFVDYANSDHRDLRNGFFNLACLVMLKLVESLTMRHWYFASRRSGMRIRSALMVAAYKKQLKLSSLGRKRHSSGEIVNYIAVDAYRMGEFLWWFHSGWSLTLQLLLSTAVLFGVVGAGAFPGLILLLLCGLLNLPFAKMLQNCQTQFMIAQDKRLRSTSEILNSMKVIKLQSWEDEFKKKIESCRDDEFKWLAKAQLTKAFGTFLYWMSPTIVSSVIFLGCALLKSAPLNASTIFTVLATLRVMSEPVRLIPEAISAIIQVNVSFDRLNKFLLDDELKMDEIERSGLEAYGTTVDIQAGKFSWDPETKIPTLRNIHLEIKHGQKVAVCGPVGAGKSSLLHAVLGEIPKVSGTVKVSGSIAYVSQTSWIQSGTIRDNILYGKPMEARRYIDAIKACALDKDMNGFGHGDLTEIGQRGINLSGGQKQRIQLARAVYADADVYLLDDPFSAVDAHTAGVLFHKCVEDSLKEKTVILVTHQVMEEGRITQLGKYEGLLMMGTAFQQLVNAHNDAVTVLPLASNESLGDLRKEGRDREIRNMAVVEKIEEDIEKTDIPGVQLTQEEEKESGYVGLKPFLDYFRVSRGWCLLWSSVLGQVGFVVFQAASTYWLAFAIGIPNLTNTMLIGVYSIISTLSAGFVYARAITTAHLGLKASKAFFSGFTNAVFKAPMLFFDSTPVGRILTRASSDLNVLDFDIPFAFIFVVAPAVELTAALIIMTYVTWQVIIIALLALAATKVVQDYYLASARELIRINGTTKAPVMNYAAETSLGVVTIRAFGTVERFFKNYLHLVDADAVLFFLSNAAMEWVILRIETLQNVTLFTCALLLILIPKGYIAPGLVGLSLSYALTLTQTQVFLTRWYCTLSNSIISVERIKQYMNIPEEPPAIVDDRRPPSSWPSNGTIHLQELKIRYRPNAPLVLKGISCTFREGTRVGVVGRTGSGKSTLISALFRLVEPASGCILIDGIDISKIGLKDLRMKLSIIPQEPTLFRGCIRTNLDPLGVYSDDEIWKALEKCQLKTTISNLPNKLDSSEVSDEGENWSVGQRQLFCLGRVLLKRNKILVLDEATASIDSATDAIIQRIIREEFADCTVITVAHRVPTVIDSDMVMVLSFGDLVEYNEPSKLMETDSYFSKLVAEYWASCRGTQNLQL >Al_scaffold_0003_2288 pep chromosome:v.1.0:3:9465948:9466265:1 gene:Al_scaffold_0003_2288 transcript:Al_scaffold_0003_2288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L0R8] MKTVSARSNIPLYVFVLALVLSPKLLCQADGMPLGVFLRQKVMFLWKGGVRKLMAPSPPILMCPQCECCAPAPPGFCCPCRCPDGP >Al_scaffold_0003_2289 pep chromosome:v.1.0:3:9469326:9470479:1 gene:Al_scaffold_0003_2289 transcript:Al_scaffold_0003_2289 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G21360) TAIR;Acc:AT3G21360] MAELLVEETRIPQQKHYKSKPFPAVISPPSSSIPIPAVSLPLFTQSIKNQKPYLDSLLHESGTVIFRGFPVNSAEDFNDVVEAFGFDEFPYVGGAAPRTSVVGRVFTANESPPDQKIPFHHEMAQVPEFPSKLFFYCEIEPKSGGETPIVLSHVVYERMKEKHPEFVQRLEEHGLLYVRVLGEDDDPSSPIGRGWKSTFLTHDKNLAEQRAANLGMKLEWTEDGGAKTVMGPIPAIKYDESRNRKVWFNSMVAAYTGWEDKRNDPRKAVTFGDGKPLPEDIVHDCLRILEEECVAVPWQRGDVLLIDNWAVLHSRRPFEPPRRVLASLCK >Al_scaffold_0003_2300 pep chromosome:v.1.0:3:9518434:9520933:1 gene:Al_scaffold_0003_2300 transcript:Al_scaffold_0003_2300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L0T0] MQYLSYSRRIARVALMETDVRFVYNASHVVQRPSHRSLSHSAFPGKMDTCNQLYAQSPMTGLMMLRANFTSETKHVENPTEAVKELHGKILDSVNVKRSMAPNAWLWSLIENCRNEDDISFLFEVLQNLRRFRLSNLRIHDNFNCNLCQHVAKTCVRVGTINHGKRALWKHNVHGLTPSVASAHHLLSYALEHKDAKLMDEVMKLLKMNNLPLQPGTADFVFRICHDTDNWDLLAKYSKKFCKAGVKLRKTTFDVWMEFAAKRGDTESLWNVDKLRSETYTQHTLSGAFSCAKGFLLEHKPEEAAAVIQIICQAYPDEKKSAFEAEFKKLVNEWPVDIIKHQNEEDKKAVAASLKSDIPAMVNALVNSGLRVRVDLDELNKNEALLI >Al_scaffold_0003_2329 pep chromosome:v.1.0:3:9636549:9638028:-1 gene:Al_scaffold_0003_2329 transcript:Al_scaffold_0003_2329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroneopterin aldolase [Source:Projected from Arabidopsis thaliana (AT3G21730) TAIR;Acc:AT3G21730] MHSSLKTTAPATLERLCILLFFLFCATVLGTESLEPLHEDKLILRGLKFYGFHGVLPEEKKLGGLFTVDIDLWLSLKKAIESDNLADTVSFADTFSLVKKIVEGPPKNLYETVADHIASKMLETFPKINVIRVKFGKPNPSLVNSTVDFLGAELFRKRKH >Al_scaffold_0003_2333 pep chromosome:v.1.0:3:9649773:9650347:1 gene:Al_scaffold_0003_2333 transcript:Al_scaffold_0003_2333 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 9 [Source:Projected from Arabidopsis thaliana (AT2G19820) TAIR;Acc:AT2G19820] MDASRVNVNRAPCALCTTKNKRCPKNCEFAPYFPAEKLGEFESAHKLFGTPNIMKMMRLVSEDENKGMLASSILMEGDAWKKDPVRGGFGIIQKLKWQIELRKLYLNELKEKIKVEKEKTELRL >Al_scaffold_0003_2349 pep chromosome:v.1.0:3:9760067:9760447:1 gene:Al_scaffold_0003_2349 transcript:Al_scaffold_0003_2349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1J1] MKNLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCGGALGRRSSCFANRNLKNYDLLLVTIFTSSARFRSRFTTVS >Al_scaffold_0003_2350 pep chromosome:v.1.0:3:9762414:9763244:-1 gene:Al_scaffold_0003_2350 transcript:Al_scaffold_0003_2350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L1J2] SILFPNSKTSDASSSFLERVRNSVAENGPKLREASERTGREILLWTRRSSSLRALLVITMGTIFLLTTMALVVFTLFFVAATANAIIISLLISLAVAGGFLAFFFLCLTGIYIGALSEGIASLIVAAPRCSEIPELGDLRDIFEKKYGKENSLYNDLLSWNYEWN >Al_scaffold_0003_2352 pep chromosome:v.1.0:3:9766307:9767649:1 gene:Al_scaffold_0003_2352 transcript:Al_scaffold_0003_2352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF26) [Source:Projected from Arabidopsis thaliana (AT3G21980) TAIR;Acc:AT3G21980] MLSIFGSVHILAVVAIQLLIIPSVSSLNLTNAYLHHKCNNTEGKYSYGSAFEKYINLALRAMDSDNFLNGFGFIERGEDPNKVFVMYQCRGDSYGSKCQSCISAAVSGIDYENDFYLSNPKNVNDKELFNKETSALLVELTNKATDKNNMVGNQFVLYAAGEKRIGTKNVYAMVQCTKDLVTTTCAACFEWIFKMFSKCCDGKRGGRVLGTSCNFRYELYPFLRN >Al_scaffold_0003_236 pep chromosome:v.1.0:3:846087:846702:-1 gene:Al_scaffold_0003_236 transcript:Al_scaffold_0003_236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L0C0] MGSPHARNFSSPPGISNESSIVWWLIVRGIGASVGYITASELEKHNNELLRDCEEYLERTEKLWSDRVGRHNLMAGRKRQ >Al_scaffold_0003_2361 pep chromosome:v.1.0:3:9797983:9799171:-1 gene:Al_scaffold_0003_2361 transcript:Al_scaffold_0003_2361 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22100) TAIR;Acc:AT3G22100] MAQIRKSEFVSLPDKNPCPWDQYHLILKTIQRSRFNQYNTLKPPDEGRATNSRQKHITESSSFLVSPPSLHHPITKRHSEKMETEFDSLTELPPLPPSDFTPSSFTFSDHQLDLSLSHADSLFLDSTLSLLNRHHLTESTRLEHLFYDSTQLFQNDLATTTTPFLHLPNLTSIEQPPAVEEEPTTMKLFPSLSPPLPLPDAKRRKKLNSYSSSTNSGSPTASSSNTNDGGTGITKRKKISDKIRSLEKLMPWENKMSLAMILEESHRYIKFLQSQIASLSWMPLESVYNTAGEVGESDLLKSLTRQQILQVIANSPGSRNVLSSHGVCLFSYEQLLSLKTMSRNF >Al_scaffold_0003_2362 pep chromosome:v.1.0:3:9799752:9800449:-1 gene:Al_scaffold_0003_2362 transcript:Al_scaffold_0003_2362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1K4] MNSMENRSFSVMLKTRASEGASDRHPSQLRFYFNCSFSCTNATIKKFRDALAKHGPDRCSLGRTKGLEEKELVALAANKDLNFTYTPKPVPVEKEAATPNLNPSLPSFRLILSKAFRRECTA >Al_scaffold_0003_2365 pep chromosome:v.1.0:3:9821644:9826635:-1 gene:Al_scaffold_0003_2365 transcript:Al_scaffold_0003_2365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1K8] MSSEKEEKESSKNPTTPPIAVPPTATPPIAKPPVATPPTTKPPVATPPVATPPIATPPITKPPVTTPPVATPPIAKPPVATPPTATPPVATPPIAKPPVATPPIATPPIAKPPVVTPPIATPPIATPPIAIPPIATPPVSKPPVATPPVATPPTAMPPVATPPIAKPPVVTPPVATPPIAKPPVATPPIATPPVSKPPVATPPVATPPTTMPPVATPPIAKPPVATPPVATPPIAKPPVATPPIATPPVSKPPVATPPVATPPTIMPPVATPPIAKPPVATPPVATPPIAKPPIATPPVATPPIAKPPIATPPIAKPPIATPPVATPPIAKPPIATPPIAKPPTATPPTSIPPVATPPITTPPVATPPIATPPVAKPPVATPPVASPPVAKPPIATPPIAKPPVATPPIAKPPVATPPVATPPVATPPISTPPIANPPIAKPPAASPPVAKPPLATPPIAMPPVATPPTSMPKPKTCPIDTLKLGSCVDVLGGLVHIGIGQSAKQKCCPVLEGLVDLDAAVCLCTTIKAKLLNIDLVFPIALEVLLGCGKKPPPGFKCPTEISPVATPPVAKPPMATPPMAKPPVATPPVAKPPVTMPPIATPPVAKPPVATPPVAKSPVAAPPIATPPTSMPKPKTCPINTLKLGACVDVLGGLVHIGIGQSTKEKCCPVLEGLVDLDAAVCLCTTIKAKLLNIDLILPIALEVLLIVVKIHHLVSSVPRD >Al_scaffold_0003_2371 pep chromosome:v.1.0:3:9851829:9852950:1 gene:Al_scaffold_0003_2371 transcript:Al_scaffold_0003_2371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L1L2] FLACFQKLDDAEKEKHVFHTIESIKSTYKVNKVWTGDPFSQRLPWESISNYQINSMNLKEDNLTGFVPRSLRKRTMASGLALRELMLKENECIQELIQDHFCLREREGSHVALKTTSKVIGKGGF >Al_scaffold_0003_2382 pep chromosome:v.1.0:3:9914576:9915673:-1 gene:Al_scaffold_0003_2382 transcript:Al_scaffold_0003_2382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1M2] MNPSEQNHFSVEKPSQTSSGPYTSPPPIGYPTRDAMVGDPPAASVETKSKGDGFWKGCCAAICCCCVLDACF >Al_scaffold_0003_2394 pep chromosome:v.1.0:3:9960463:9961399:-1 gene:Al_scaffold_0003_2394 transcript:Al_scaffold_0003_2394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L1N5] AARYSDTDDLRSLASYGVSLDSLDSQGRTVTYVGVLTLILVYSDTQIKEFEICIWLRRITVVEYLISEGVDINALNDENNAPLHWACLNGHVEKLENCRCERTPMDEAIGAEKMEIIDAINTTVAQMELENTRVTRLFQTSPSRHRKC >Al_scaffold_0003_2404 pep chromosome:v.1.0:3:10030533:10032825:1 gene:Al_scaffold_0003_2404 transcript:Al_scaffold_0003_2404 gene_biotype:protein_coding transcript_biotype:protein_coding description:with no lysine (K) kinase 2 [Source:Projected from Arabidopsis thaliana (AT3G22420) TAIR;Acc:AT3G22420] MNGEESFVEDCSVFVEIDPSGRYGRYDEVLGKGASKTVYRAFDEYEGIEVAWNQVKLRNFTRNPEELEKFFREIHLLKTLNHQNIMKFYTSWVDTNNLSINFVTELFTSGTLRQYRLRHRRVNIRAVKQWCKQILKGLLYLHSRSPPIIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAVRCVGTPEFMAPEVYDEEYNELVDVYAFGMCVLEMVTFDYPYSECTHPAQIYKKVTSGKKPEAFYLVKDPEVREFVEKCLATVTCRLTALELLEDHFLQEDNVDGFDMRPIDYYNGYDETGVFLRQPLIDDPLYHDQFESSQICEIDLFANDDEDHVDISIKGKRNGNDGIFLRLRISDAEGKVSIIFGRLKIIGLKTVKCFRLLCNAGRIRNIYFPFETAIDTAWSVAAEMVSELHITNQDVAKIAEMIDAEIAALVPDWKTDAESSSNVNNNNNNNTAGFCGDCASNGYIQETVSSGEKSHHHHHEFDSSDDKSCSSVHGRFADIWGLRESYSDDGGKQSSRKVRSGRWSKNEMRRELRWLKARHRIQLMKVRGQTICDTPMEISVTPGNSASPPLLYRAISLPVDAVDM >Al_scaffold_0003_2413 pep chromosome:v.1.0:3:10060916:10061881:-1 gene:Al_scaffold_0003_2413 transcript:Al_scaffold_0003_2413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed maturation protein [Source:Projected from Arabidopsis thaliana (AT3G22490) TAIR;Acc:AT3G22490] MSQEDQPKRPQEPVKYGDVFEVSGELADKPIAPEDANMMQAAETRVFGHTQKGGAAAVMQSAATANKRGGFVQPGDTTDLAAERGVTVAQTDVPGARVTTEFVGGQVVGQYVEPRPVATAAATEAEAVGLSLQSAITIGEALEATVQTAGNKPVDQSDAAAIQAAEVRASGTNVIAPGGIAASAQSAANHNATVDRDEDKIKLIDVLAGATGKLTADKAVTRQDAEGVVGAELRNNPNLSTHPGGVSASITAAARLNERADI >Al_scaffold_0003_2421 pep chromosome:v.1.0:3:10099151:10100613:1 gene:Al_scaffold_0003_2421 transcript:Al_scaffold_0003_2421 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLANT HOMOLOGOUS TO PARAFIBROMIN [Source:Projected from Arabidopsis thaliana (AT3G22590) TAIR;Acc:AT3G22590] MDPLSVLKDFTIRGDVDKIERVGVNYRFGSEYSFPCATETAYRSKSGSLYTLEALVHYVKNQHLKHGEYMQSTVKNSVPAVTLPDRKPLLDYLTGRVASSDSIDYLLLQQQNAQSQKQNEEYRPDQDNSAFVSRENAIEDMEVEDFGKSGEDVDYIMLIRSNERPLKSRDAILQCKNRDFYSVLVNSTKREEERQRIESHQRKDGLVAKSRLMGAEERGIVGFSGGGDDNGYDANPKSKLHFRAGKIGEGVPIILVPSASQTLITIYNVKEFLEDGVYIPNDVKAKEMKGLKPDCITVQKKFSRDRERVVTAYEVRDKPSALKPDDWDRVVAVFVLGKDWQFKDWPFKDHVEIFNKIIGFFLRFEDDSIESAKTVKQWNVKIISISKNKRHQDRAAALEVWEKLEEFVRSRSHS >Al_scaffold_0003_2422 pep chromosome:v.1.0:3:10101842:10102526:-1 gene:Al_scaffold_0003_2422 transcript:Al_scaffold_0003_2422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22600) TAIR;Acc:AT3G22600] MKMGMSLVFLTVFMAVMSSTRVSAQSSCTNVLISLAPCLNYITGNSTSPTQQCCRQLGSVVQSSPACLCQVLNGGGSQLGINVNQTQALGLPTACNVQTPPVSRCNTAGGGGGGSSSDSPAESPNSSGPGSGSKTIPAGEGDGPSSDGSSIKFSFPFLAFLSAASYIAIF >Al_scaffold_0003_2425 pep chromosome:v.1.0:3:10106544:10115118:1 gene:Al_scaffold_0003_2425 transcript:Al_scaffold_0003_2425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1R9] MTNDTLVYRSPCSYSMKIQSLSQLKKLFPKSAYKSLTISSGKYNWRLVIYPKDVEGNQFNALRPVWGFSQVIPLDTFNDPENGYVFDGDQCEFGIHFQLREFVDRPREFGIQWFLIKRDNFPIGMWNHHINTS >Al_scaffold_0003_2429 pep chromosome:v.1.0:3:10131288:10131904:1 gene:Al_scaffold_0003_2429 transcript:Al_scaffold_0003_2429 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-DIRECTED DNA METHYLATION 1 [Source:Projected from Arabidopsis thaliana (AT3G22680) TAIR;Acc:AT3G22680] MQSSMTLELRPSADSGSSDVDAEISDGFSPLDNSHRDVADEGSLLRRAEMYQEYMKQVPIPTNRGSLIPFTSWVGLSISMKQLYGQPLHYLTNVLLQRWDQSRFGTDSEEQSLDSIFHPSKAEATIWLVEEIHRITSSHLHIASLWGSDPMYHSFIDPIFPKIETSS >Al_scaffold_0003_2448 pep chromosome:v.1.0:3:10255781:10256311:-1 gene:Al_scaffold_0003_2448 transcript:Al_scaffold_0003_2448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2F9] MALPITELNAVKPFKTQWKIQVKIVHSWTQYTQYSGETVEMILADTSGTLIHATVKKQQVNKFQKLITTGEWRTVENFTVAKSTGKYRPTSLPFKMTLMNTTAISRIPSISDDLYFDFANFPDILNVNGLNENILIGIFHSAYFFL >Al_scaffold_0003_2451 pep chromosome:v.1.0:3:10263103:10265556:-1 gene:Al_scaffold_0003_2451 transcript:Al_scaffold_0003_2451 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor 3 subunit C2 [Source:Projected from Arabidopsis thaliana (AT3G22860) TAIR;Acc:AT3G22860] MYRLQGGSESEDESDFEKEVESNNIRYLADLPDGEFCCCMDTKRVVKPRKDKCFEEMTNTIENMKHAMNINDCVYLQETFEKLNKHLERVLTITKSVKAPTLYIKTLVLLEDFLNEDKVRKMSTSNSKAFNSMRQKLKKNNMQYQEDINRFRESPDIEDDDEYEEEVEESTDDNVSWEMLFSLDHEVITWNMVNKKFKEIMAARGSKRRSARLKLKTGETHADKLMDLTKIAKTPAQKLEILFSVISAEFGGLSEYMPIDVWKKCVVNMLTILDIIVKYYNINVVDDTAEPDEKETSKPVDYDGTIRVSGNLVAFLERIETEFFKGLQCIDPHTNEYVERLKDEPMFLALAQKIQDYLERSGDYKGASKVALILVESIYYKPQEVFDAMKKMADEEIEEGKESGPTSQIVPRKPTFAGSSRAMMDTLISFIYTNGDERAKSRAMLCDIYQHALMDNFVTARDLLLMSHLQDNIQHMDISTQILFNRTMAQLGLCAFRVGMINESHSCLSELYSGHRVREFLGQGVSKNRDHEKTTEQILMERRRQIPYHMHINLELLEAVYLTCAMLLEVPNMAANSHDAKHKSVSKNICRLLEISERQAFTAPPETIRDHVMAATRALTKGKFQEAFSVLNSLDVWRLFKNRDSVLNMVKARIKEVALRTYLFTYSSSYYKSLSLDELAKMFDISESQVHSIVSKMMINEELTAKWDQPTQCIIFHEVQHNRLQSLAFQITEKLSTLAESNESAMESRNGGAGLDMSSRRRDNSQDYAAAASGNRGLQMDGSSLTRGVSA >Al_scaffold_0003_2452 pep chromosome:v.1.0:3:10266147:10267548:1 gene:Al_scaffold_0003_2452 transcript:Al_scaffold_0003_2452 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein [Source:Projected from Arabidopsis thaliana (AT3G22870) TAIR;Acc:AT3G22870] MTLTISDLPRDLLEKEIFSRIPLKYVRALRLTCKEWETLIKSRSLTIDEEESQMIVLMDYNLCLMSISMNGGEPSTEIRGKLTCLDEQVKISQFFHCEGLLLCILKDDTKVVVWNPYWGQTRMIQPRFSHRPGGTDWINYALGYYENNSKMKSCKFLRFLDYFYYIPAPTKEKIFFWYEIYDFDTGLWTTLDVTPHWRIRFDNYGVSLKGNTYWCATERNPADPFLNVDHIICFDFTSERFGPLLPLPFRTTYYNLVTLSCVREEKLAALFCHDGIVEVWITTNIEANKVSWSKFLTFDVGDYRISPCTDSFFIDDVNKVAMIFDKTWNCNTVTIIGEAGYVRDVDLGEPAHQNSLLLVCPYVPSLVQIKKPARCKRKRQSSLENHQYKRNMVRLVALKKFEI >Al_scaffold_0003_246 pep chromosome:v.1.0:3:886801:887920:1 gene:Al_scaffold_0003_246 transcript:Al_scaffold_0003_246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L0D0] FIFIKTKYQKINLYEIHREEKKSKKNKTKKNKKKQKKTKKTKKKQKKKILKKKKKKKNKNKKQK >Al_scaffold_0003_2460 pep chromosome:v.1.0:3:10312679:10314195:-1 gene:Al_scaffold_0003_2460 transcript:Al_scaffold_0003_2460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2G9] MRIVGFGLKTLSSTIISTGGAISSSKPANDEYKSITIAITDQAQSISTHPDKPKQHLKQLELQKPNSTEELIQNGEISSRMEDLLADRRNNPMRGELKPTRFSQDSGEVISWQIRCRLFDTRNYSSVSPLRIDCSSISNRNVEEKQKSHRKQEEEAQINYRYGSRSGKTQKSESIMNQNNEASKKQKQTIEEI >Al_scaffold_0003_2461 pep chromosome:v.1.0:3:10317019:10318562:1 gene:Al_scaffold_0003_2461 transcript:Al_scaffold_0003_2461 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein gamma subunit 2 [Source:Projected from Arabidopsis thaliana (AT3G22942) TAIR;Acc:AT3G22942] MEAGSSNSSGQLSGRVVDTRGKHRIQAELKRLEQEARFLEEELEQLEKMDNASASCKEFLDSVESKPDPLLPETTGPVNDTWDQWFEGPKEAKRCGCSIL >Al_scaffold_0003_2465 pep chromosome:v.1.0:3:10328909:10329520:1 gene:Al_scaffold_0003_2465 transcript:Al_scaffold_0003_2465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paired amphipathic helix (PAH2) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22961) TAIR;Acc:AT3G22961] MKNQEIDFYQTPSDCSDEEIQRSCEFVKKVKELDDKTIIVKFVNAMKSYHYQSKSLSYIDLKTKVASILRNHDVLLQEFYQLLLDLPITEKNCNSAKSDVERTVEFMNKVEALGKDLHGVFLNLFAFGGDIVTLIEQFDVIFRDHASLKEEFKAFLIDSRILKNPKRGFEAEVEESRYMAPSYKTRLEAEEELSSSILVNFHT >Al_scaffold_0003_248 pep chromosome:v.1.0:3:900130:901878:-1 gene:Al_scaffold_0003_248 transcript:Al_scaffold_0003_248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G03080) TAIR;Acc:AT3G03080] MATTCNATTVTNKQVILRDYVTGFPKESDLIFTDSTIDLKIPEGSKTVLVKNLYLSCDPYMRIRMGIPDPGTAALAPPYIPGEPIYGFSVSKVIDSGHPDYKKGDLLWGLVGWGEYSLITPDFSHYKIQHTDVPLSYYTGLLGMPGMTAYAGFYEICSPKKGETVFVSAASGAVGQLVGQFAKIMGCYVVGSAGSNEKVDLLKNKFGFDDAFNYKEEKDLNVALKRCFPEGIDIYFENVGGKMLDAVLLNMKLHGRIAVCGMISQYNLEDQEGVHNLANVIYKRIRIQGFVVSDYFDKHLKFLDFVLPYIREGKITYVEDIVEGLENGPSALLGLFHGKNVGKQLILVAPE >Al_scaffold_0003_2480 pep chromosome:v.1.0:3:10446224:10448756:1 gene:Al_scaffold_0003_2480 transcript:Al_scaffold_0003_2480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L2J4] VKEILSYYPSNYKQFAVIPLLDLAQQQHGGWLPVSAMNVAGAPFYPLETGRKDSAAAFREIAEQQLPAPHTTLSVILAKFFAKGFNERDTVSLWFLFHFKGSS >Al_scaffold_0003_2483 pep chromosome:v.1.0:3:10468957:10469439:-1 gene:Al_scaffold_0003_2483 transcript:Al_scaffold_0003_2483 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated ubiquitination effector family protein [Source:Projected from Arabidopsis thaliana (AT1G23037) TAIR;Acc:AT1G23037] RCVVISFDLNSEEFNLIELPIKAPLGRSACPTNLMNYREKVAVFEYSHLITEYILDLWVVEATGKSKWSHKVFVLPCHQLRESLAVDKLLIKGSSRSGEVRLSGRRFDRTKISVNAIYDLEKHRITQEVVIGPLYPMFSLSGYLQTNLWDDFESLMYLET >Al_scaffold_0003_2487 pep chromosome:v.1.0:3:10501458:10507924:1 gene:Al_scaffold_0003_2487 transcript:Al_scaffold_0003_2487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2K5] MRHVRKVLKVRSTVERRDMSEKEKRGLFFYFFHLQTNKRKQGDNSYNDIPTRKQPQRKKCKNTDKVAADLGTRTCDQELGDKADNDVPLKTSQQDNKKTEEEFIIVSDDEEEVEIPINNQENKQTETREESCSPPDEDSHENVNDEKVAQEEGATDEVPHANEENDPQCSNVNDKVSQKKEKQHEEMRNMDGAPQGTQESDSQRSNVNDKVAQTKEAQHNKMGHSEEPSQESDSQQSKVNDKEEEARLKKEHSDRKLSEIQQKEIDDRVILWAKNKNFIFMMSSLHQIIWSNSSWETVHHFNLVNNDNEIGLAKRKALLALHPDKQHGASAEQKYLATRLFSVIKQQWDIYIRKKQV >Al_scaffold_0003_2493 pep chromosome:v.1.0:3:10565596:10567988:1 gene:Al_scaffold_0003_2493 transcript:Al_scaffold_0003_2493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal transduction histidine kinase, hybrid-type, ethylene sensor [Source:Projected from Arabidopsis thaliana (AT3G23150) TAIR;Acc:AT3G23150] MVKEISYGFLILSMVMFVSPVIAINGGGYPRCNCEYEGTSFWTTENILETQRVSDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFEFIAFIVLCGMTHLLHGWTYSAHPFRLMMALTVFKMLTALVSCATAITLITLIPLLLKVKVREFMLKKKAHELGREVGLILIKKETGFHVRMLTQEIRKSLDRHTILYTTLVELSKTLGLQNCAVWMPNDGETEMNLTHELRGRVGYGGCSVSMEDLDVVRIRESDEVNVLSVESSIARASGGDVSEIGPVAAIRMPMLRVSDFNGEPSYAILVCVLPSGQPRDWTYQEIEIVKVVADQVTVALDHAAVLEESQLMREKLAERNRALQMAKRDALRASQARNAFQKTMSEGMRRPMHSILGLLSMIQDEKLSDEQKMIVDTMVKTGNVMSNLVGDSMDMSDGRFVTEMKPFSLHRTVHEAACIARCLCLCNGIRFLVDAEKSLPDNVVGDERRVFQVILHMVGSLVKPRKRQEGSSLMLKILKERGSLDRSDQRWAAWRSPASSADGDVYIRFEMNVENDDSSSQSFASVSSRDQEVGDVRFSGGYGLGQDLSFGVCKKVVQLIHGNISVVPGSDGSPETMSLLLRFRRRPSISVHGSSESPAPDHHAHPHSNSLLRGLQVLLVDTNDSNRAVTRKLLQKLGCDVTTVSSGFDCLSAVAPGSSSSSTSFQVVVLDLQMVEMDGYEVAMRIRSRSWPLIVATTVSLDEEMWDKCAQIGINGVVRKPVVLRAMESELRRVLLQADQLL >Al_scaffold_0003_2507 pep chromosome:v.1.0:3:10654846:10655223:-1 gene:Al_scaffold_0003_2507 transcript:Al_scaffold_0003_2507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L399] MSHRLIAPLETRHSDESTHWQIYKYQSQLLEKEEEEEGAHELVDSMEGAWRRVVAASPHLKVDEKASEFIYKFKEEMRMEKERSFLEFQERLIRSS >Al_scaffold_0003_2516 pep chromosome:v.1.0:3:10700877:10702241:1 gene:Al_scaffold_0003_2516 transcript:Al_scaffold_0003_2516 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein [Source:Projected from Arabidopsis thaliana (AT3G23350) TAIR;Acc:AT3G23350] MGTLWFGEIRKQASSFIQDKYNVARLVLTDVTEAELLVEEVTNDDPSSPDAKTVTKIAEASFDTVDYWRIVDEGEMKKWREAYKAMVLLEFLLTHGPLHLPHDFLYDLDHFRFLSTFQYVDDNGFDWGAQVQKKAEQIQTLLLGKEELREARLKALKITSQINGFGNSTTFSPSPSPSNSFSPLKTSNSTPTKRNNPISESDSLIDCENKLTEKDRTGEETLISGICSKLAGFSPLKKFNGGRTTAAKFQTLSNVERVSSKCYDRRNSIGY >Al_scaffold_0003_2525 pep chromosome:v.1.0:3:10782689:10784011:1 gene:Al_scaffold_0003_2525 transcript:Al_scaffold_0003_2525 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXLEIQPTDASNLSLSSGRDEDEKTLVGGGKGGGFGGGFGGGAGGGFGGGAGGGFGGGAGGGGGGGGGGGGGGGGFGGGGGFGGGHGGGVGVGGGVGGGIGGGGGHGGGVGGGFGKGGGIGGGIGKGGGIGGGIGKGGGIGGGIGKGGGIGGGIGKGGGVGGGIGKGGGIGGGIGKGGGIGGGIGKGGGVGGGIGKGGGVGGGFGKGGGVGGGIGKGGGIGGGIGKGGGIGGGIGKGGGIGGGIGKGGGIGKGGGIGGGIGKGGGIGGGGGFGKGGGIGGGIGKGGGIGGGGGFGKGGGIGGGIGKGGGIGGGIGKGGGIGGGGGFGKGGGIGGGIGKGGGIGGGGGFGKGGGIGGGIGKGGGIGGGIGGGGGFGGGGGIGGGIGKGGGFGGGGGFGKGGGFGGGGGGGGGGGGGGIGHH >Al_scaffold_0003_2526 pep chromosome:v.1.0:3:10785506:10799051:1 gene:Al_scaffold_0003_2526 transcript:Al_scaffold_0003_2526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3C0] MTQADLGLADAYINGDFLFVNKETGLLNLIMILIASKELNSNLAKKRGRWTPMFLTTGLASAKHFLKHFYRQNNITQARRNISRHYDLSDDEDLKTAQMRKIYLLIDKSRIEKNHEVLDIGCGWGTLAIEAVRRTGCKYTGITLSIEQLKYAEEKVKQAGLQDRITFKLCDYRQLSDAQKYDRIISCERLEHVGHKFMETFFSHCEAALAEDGIFVLLFISIPEERYNEYRLNSDFIKEYIFPGGCLPSLARVTSAMSSSSRLCIENVENIGIHYYQTLRLWRKNFLERQKQIIDLGFDDKFIRIWEYYFDYCAAGFKTLTLGPWRLPDIFLTSRERSCIR >Al_scaffold_0003_2540 pep chromosome:v.1.0:3:10885040:10886844:-1 gene:Al_scaffold_0003_2540 transcript:Al_scaffold_0003_2540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3D5] MEMIKTGICGSVINTMGQEKKKTEPRPAKFVTPSYSLILKGEKTPVSETYLNDEYAIRKVNGNTFLHKVMPPRIRNNQFDEIDSTMRKIAFLPLGSQETPKKMFIGARTPTMVPTLDPKSQLDD >Al_scaffold_0003_2546 pep chromosome:v.1.0:3:10932791:10933048:-1 gene:Al_scaffold_0003_2546 transcript:Al_scaffold_0003_2546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L3E2] WTKIRKIKLQQSLTQSQTKNQINPPRINQKLKRIEETCKWIKNQPESALDDESGGTNYRKEQGKRDRIPRSKKKTIEEEGRSASE >Al_scaffold_0003_2550 pep chromosome:v.1.0:3:10949839:10950549:1 gene:Al_scaffold_0003_2550 transcript:Al_scaffold_0003_2550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3E6] MDIEEQTPLDFQNHLRDSHRKIITASPIILILDQFVNPMKSISFASKISRCQKQCFFVIVAILKYIYVKDEGGFWSNMRFWMSSRDFVIVAI >Al_scaffold_0003_2551 pep chromosome:v.1.0:3:10953029:10954600:1 gene:Al_scaffold_0003_2551 transcript:Al_scaffold_0003_2551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3E7] MDESAIKGLNLLELNDSGDDSGDDSGDDSGDDSGADSQTPRISSTTVSVEGYDTWLRKYPLKLVLEKHFASCGEITNIYVPTDFERGILKSVAFMRIEGEGAEEKALQLSGTDIGGWTAIVKPAPWQKVFMDDPRYAGPRCAAAPADTKTHMIRITGYDTSLPKIDMQIALYKHFSSCGSIWKVIVLKSGAAFIYLEGERCVDKALELSGRNMGGSTLVVEPVVPRPDILKKRRPLACTTTGYTLPSTLLEVAKKKKKMETEKEKEKEKEKEKEKGKEKEKEKEKEKEKEKEKKMMEMVKNKKMHKLKMKTKMNMYMEK >Al_scaffold_0003_2552 pep chromosome:v.1.0:3:10956158:10956842:1 gene:Al_scaffold_0003_2552 transcript:Al_scaffold_0003_2552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3E8] MFHVSFFCIRENCAEDEVTLKAIQDARSWQLAHLDLVPPGRPSPQVIAETRGYVLPTADSIQIETDAAWNEGSHQCGMGWIGRNLYGTSVFIGSASSSHVISALTGEALAVRASLKEAWSRKILAGHIKSDSQVLMEGEELCADHEDQFLQRAYQLHLMFHYRK >Al_scaffold_0003_2554 pep chromosome:v.1.0:3:10963288:10964295:-1 gene:Al_scaffold_0003_2554 transcript:Al_scaffold_0003_2554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 7 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L3F0] RREQRILHHSSINNFGDSIEGTYVCFGGNYTTEDVLPSLEEQGVPQLYPKDSNVDYKKELRSLNRELQLHILELADVLVDRPSQYAKRIGEISSIFKNLHHLLNSLRPHQARATLIHIMELQIQQRKQAVEDIKRRREEAQRLLKDAFVALDG >Al_scaffold_0003_2557 pep chromosome:v.1.0:3:10972067:10973860:1 gene:Al_scaffold_0003_2557 transcript:Al_scaffold_0003_2557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3F3] MKCLQERGRRRSMQFWNWKEDSQSKRFYYDENLLHLVLKVHGFEEIQTFGGNFGDGQSQALENLRGLYGVVIRLKKIFRSIHRQRKRALKVKRQQEQDTPQEKAVGRLQLFVSNLQVFTETMSFWRIPEIAKLPVRPESTVTARRRTRNRGRDVWEDNRTVDEADNGERSVSEHSDRSCVQ >Al_scaffold_0003_2563 pep chromosome:v.1.0:3:11006166:11007245:1 gene:Al_scaffold_0003_2563 transcript:Al_scaffold_0003_2563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3G0] MHIGQAAAKEGEFQMIMLMGYNLYLTSIVLNGDPSIEHKGKLTCLDKQVKISQLYHCEGLLLCILKEDSRFVVQNPFLGQTRWIEPRYSHRPNGWDRFIYALGYVNKESCRSYKFLRFIDYYYKAPEKQFFWYEIYDFDSDLWTTLDVTPHWRIAFCNPGVSLKGNTYFCAAERNVDVDEVLANSLICFDFTSERFGPLLPLPFSGGHHDYTTLSCVREEKLAVILQHDESNPYELDLWITTKIETEEEKKVAFGFDDRNRHRVIVIGEAGYLRALDLVGDFGDQRCTPDLSSYVPSLVQIKQPEGRERKQESDLEKSRYDENMSRLNSL >Al_scaffold_0003_2564 pep chromosome:v.1.0:3:11007789:11009492:-1 gene:Al_scaffold_0003_2564 transcript:Al_scaffold_0003_2564 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G23690) TAIR;Acc:AT3G23690] MNMDKETEQTLNYLPLGQSDPFGNGNDGTIGDFLGRYCNNPQEISPLTLQSFSLNSQISENFPISGGIRFPPYPGQFGSDREFGVLGSQPTTQESNKSSLLDPDSVSDRVHTTKSNSRKRKSIPSGNGKESPASSSLTASNSKVSGENGGSKGGKRSKQDEAGSSKNGVEKCDSKGDNKDDAKPPEAPKDYIHVRARRGQATDSHSLAERARREKISERMTLLQDLVPGCNRITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRMEFNANAALSTEMIQPGESLTQSLYAMACSEQRLPSAYYSLGKNMPRFSDTQFPSNDGFVQAETPGFWENNDLQSIVQMGFGDIQQQSNNNNCKKSSEPTLQMKLEP >Al_scaffold_0003_2577 pep chromosome:v.1.0:3:11088428:11094276:-1 gene:Al_scaffold_0003_2577 transcript:Al_scaffold_0003_2577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L443] MSNPKVFFDMTVDGKPVDRIVMELFADTTPRTTENFWALCTGEKGIGKSGKPLHYKGSCIHHVSIKNRDPISTKEEAEDSNLAKEKKGFSVSDNKKTKQEPKMPLSENRPKSNNITSVWKPKSPTEQTKPSKLEPNNKKTKQEPKMPLSENRPESNNITSVWKPKSPTEQTKPSKLEPRDIIVGDGSGGESIYGGTFEDENYIKQHTGPGILSMSNGGPDSNNSQFMICMKKCFGLDDVHVVFGQVVEGLDVFKSIMEEVQTYSGKLSKPW >Al_scaffold_0003_2579 pep chromosome:v.1.0:3:11106807:11118092:-1 gene:Al_scaffold_0003_2579 transcript:Al_scaffold_0003_2579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L446] SVPLGEHHQRLPKDLTSKTVSDGLYGKHLHVYSWPEGELKQILDLGDTGLLPLEVRFLHDPDKATGFAGCALSSTMVRFFKNDDETWSHEVAISVEPLKVENWILPEMPTLITDFLISLDDRFLYCSNWLHGDIRQYNIEDPKTPVLTGQLHVGGLVQKGSHVLALGEEGKTFQFDVPKIKGQRLRGGPQMFQLSLDPTRYNKFHNHCIQE >Al_scaffold_0003_2581 pep chromosome:v.1.0:3:11132436:11134779:-1 gene:Al_scaffold_0003_2581 transcript:Al_scaffold_0003_2581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L448] DWIVRFDTPLNLGHYLLSVVVQAHRKEASALLLLDDAKILRDDAFRRFKAGACKNCGGLKHNAKNCLDKPYAIGAKYKELIYPDEQETVKKKENVGQWERRFVPISRNENGLKISADYVDKSLKTVFINARDRQDIPHYLKA >Al_scaffold_0003_2582 pep chromosome:v.1.0:3:11135804:11136449:1 gene:Al_scaffold_0003_2582 transcript:Al_scaffold_0003_2582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L449] MRKKESSKEIRQKREARVQSYDTSQRFKAGACKNCGGLKHNAKNCLDKPYAIGAKYKELIYPDEQETVKKKENVGQWERRFVPISRNENGLKISADYVDKSLKTVFINARDRQDIPHYLKA >Al_scaffold_0003_2583 pep chromosome:v.1.0:3:11139207:11139953:1 gene:Al_scaffold_0003_2583 transcript:Al_scaffold_0003_2583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L450] MMQPPQSADPMASLRELPQAFCRRAAVKGCIYGGWENDDSVREAAVSGRHLQHAAEKTGQEEDKSLAS >Al_scaffold_0003_2590 pep chromosome:v.1.0:3:11185621:11189090:1 gene:Al_scaffold_0003_2590 transcript:Al_scaffold_0003_2590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L457] MELRKFLFDMLLAFGRVANKRLSCSEVLHLLLHDNTLEVREILVHQPHNDIFLCNTDMLRRRDFHAYANSHRYEKQMVQYANGEEAVEYRNSVAVNPNGDPLLAIATRRYRINDVDMFLIHYPERCLVKLDKIPPPPRLGSITCFLPLRPPPRPPALPPPRPPVAPPPLPPPGAPPSPPPPGAPPSPPPPGAPPPPPPPPGAPPQPPSPSAPPPPHSRGAPPPPLGCALYANGEETVEYWNSVSVNPNGDPLLTIATRRYRINDVDMFLIHYPEVNAQVAHIPEQHPRIPLLPMVPMGPPLQHSIGRWSRKALQAF >Al_scaffold_0003_260 pep chromosome:v.1.0:3:951439:953723:-1 gene:Al_scaffold_0003_260 transcript:Al_scaffold_0003_260 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 45 [Source:Projected from Arabidopsis thaliana (AT3G03200) TAIR;Acc:AT3G03200] MAPVSLPPGFRFHPTDEELITYYLKRKINGLEIELEVIAEVDLYKCEPWDLPGKSLLPSKDQEWYFFSPRDRKYPNGSRTNRATKGGYWKATGKDRRVSWRDRAIGTKKTLVYYRGRAPHGIRTGWVMHEYRLDETECEPSAYGMQDAYALCRVFKKIVIEAKPRDQHRSYVHAMSNVIGNCSSRFDACSDLEISSTTHQVQNTFQPRFGNERFNSNAISNEDWSQYYGSSYRPFPTPYKVNTEIECSMLQHNISLPPLRVENSSVSDCDFFKSMTHNNDNGVFDDFTFAASNSNHYNSVGDQVIHVGNYDEQQLITSNHHMNQAGYIEDQKIISSLDNTDQDLGFHGNNTNDNIDIDDFFSFDTYNEENVNRIEDNEDVNKNETLDSSEFDVVEEETRFNNQMLISTSQTTKILYHQVVPSHTVKVHVNPINHNVEERTLFIEEDKDSWLQRAEKITKTKLTLFSLVAQQYYKCRLAIFF >Al_scaffold_0003_2610 pep chromosome:v.1.0:3:11307369:11308503:-1 gene:Al_scaffold_0003_2610 transcript:Al_scaffold_0003_2610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L476] MIPFTSDSCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKQRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENVME >Al_scaffold_0003_2617 pep chromosome:v.1.0:3:11365666:11366777:1 gene:Al_scaffold_0003_2617 transcript:Al_scaffold_0003_2617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L482] MVLLWRCTIPHWELVSERLLRVIYYVYSKDIKPKNAVYKDGSKSVQFQLIKTTWKDFSDGVIVLHRLVKVLGRKDWSFDDRLSSSTIEKCKQVLKRVDDELRSAKPVSESNGFTREIIESKISDLWVSLFDEEVVDLWKSSLFDEEAGQEPAKVIKIRVLRDLFQPIMGNSWHCYANPKAPLRHSEMQRLPVYSPYIWPVYSPYILRNDFAKQEMKEEVVRLGVEISLHVVGSVFYLYDDIYNMLRFYYKLLEDAKKDLAHNSPVMKSLIGVIHYVNTKYIKPKNGVCQNGGQSVQWRLIWTTLESFGTGIRDLDLLVSIRRSGGSYLDGREFASSIEKATC >Al_scaffold_0003_2618 pep chromosome:v.1.0:3:11374469:11376184:1 gene:Al_scaffold_0003_2618 transcript:Al_scaffold_0003_2618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7L483] MGTHRIFIGLIALCCFCLPHLIEAKPFGVYQQQVFVDQSGHGNFTTIQKAIDSVPINNRHWFFINVKAGLYREKIKIPYEKPFIVLVGAGKRLTRVEWDDHYSVAQSPTFSTLADNTVVKSITFANSYNFPSKGKMNKNPRTPAVAALIGGDKSAFYSVGFAGIQDTLWDSDGRHYFHRCTIQGAVDFIFGSGQSIYQSCVIQVLGGQLEPGLAGYITAQGRTNPYDANGFIFINCLVYGTGMAFLGRPWRGYSRVIFYNSNLTDVVVPEGWDAWNFVGHENQLIFAEHGCFGSGATTGRRVKWVKKLSGSAIQNLADLSFINRGGWVEDLPIPA >Al_scaffold_0003_2626 pep chromosome:v.1.0:3:11415514:11417661:-1 gene:Al_scaffold_0003_2626 transcript:Al_scaffold_0003_2626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L493] MDLRVCSKKKTKRDVTKSVESSDFETSTVNSQVGNGCNEVLNRKRKLKQKPAEVASDVEVDSDGSREARIGYLSSLKASASTDSSHLKVFLAKVYELFWSQPPALMCKLTEYFAIALSQVSFRWVEMFKGAPFSMLIDVPLCYIPEPLYETSVHWIKQRDFDKLSAYVLWASTRILKDLPQQHTSSTSQLEFFVVLAMVLRTRPDTLIRVSYQLRTRPIWQGQDALLVLVWTMAQASQVDLSVGLYSWANNLLPLVGNIKCCSPQSVDLILQSVENILSNPEAETILVNGVVRDGDWLIPPTSFEILVRLTFPLSSERVKTTERFEAIYPLLKKVALAPGCNAMEEIFELSLKLLVVEGNPVLAKEATEIAIWLLTENADCFEQWEILYKENLEASVALLKKLVEEWKDHSLKLISTPSDTLTLNRAMTSFRLESKNAISEGAANPSLYKEADKSCNVILRRLERTTVTTAMLLAAAGATTAATALILSFR >Al_scaffold_0003_2642 pep chromosome:v.1.0:3:11483597:11484121:1 gene:Al_scaffold_0003_2642 transcript:Al_scaffold_0003_2642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4B0] MKNKSIYGYIIIVVTLLSVVARVTLAQPPKGHPGADINPQQTLAAHNKARAEDGVGPMVWNDTLAAYAQSFANKRIGDCALTHSSGPYGENIILGRYPDSNLSGPVAVGYWMEEKPNYNYKLNKCDFACHDYTQIVWRNSVRLGCGSVRCQNDANVWIICSYDPPGNIPGERPY >Al_scaffold_0003_2643 pep chromosome:v.1.0:3:11486619:11488045:1 gene:Al_scaffold_0003_2643 transcript:Al_scaffold_0003_2643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4B1] MTKIEGIVFGNGDLTSSSKSSSSLSAILIEVKTVVATRVAGICEREQVAARTKPYSAELRDHLSPIAVVTQNRAIVLHLKLMVQSHPTGLSKNNLLKLFEPRPPLEYKPPSEKRKCPHCMAQLVSNFAEPGDAP >Al_scaffold_0003_2644 pep chromosome:v.1.0:3:11489512:11489990:1 gene:Al_scaffold_0003_2644 transcript:Al_scaffold_0003_2644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4B2] MERAGPGSFLSRPNVVGIISITSDIAPYMNPRISLAKLKAQEAYELFEKEGNRPIMIDELASELGLGPSVPVHAVLHDWLRHTDGKLSFLGFVKLLHGVSSRTIKAH >Al_scaffold_0003_2646 pep chromosome:v.1.0:3:11498642:11498899:-1 gene:Al_scaffold_0003_2646 transcript:Al_scaffold_0003_2646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4B4] MARLFVSFPMQPTQISFSASSSQPLFSPLVKNFPDGVAGGLCLRRRIRDCSVVTRVKLSTSSYLLTFANSCYSCRRHCLHFNQNR >Al_scaffold_0003_2647 pep chromosome:v.1.0:3:11501365:11501864:1 gene:Al_scaffold_0003_2647 transcript:Al_scaffold_0003_2647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4B5] MERAEPGSFLSRPNVVGIISITSDISPYRNPRISLAKLKAQEAYELFEKEGNRPIMIDELASELGLGPSVPVHAVLHDWLRHTDEKLSFLGFLAARSKLIRRVLKHGMICMRFV >Al_scaffold_0003_2648 pep chromosome:v.1.0:3:11507679:11508203:1 gene:Al_scaffold_0003_2648 transcript:Al_scaffold_0003_2648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4B0] MKNKSIYGYIIIVVTLLSVVARVTLAQPPKGHPGADINPQQTLAAHNKARAEDGVGPMVWNDTLAAYAQSFANKRIGDCALTHSSGPYGENIILGRYPDSNLSGPVAVGYWMEEKPNYNYKLNKCDFACHDYTQIVWRNSVRLGCGSVRCQNDANVWIICSYDPPGNIPGERPY >Al_scaffold_0003_2649 pep chromosome:v.1.0:3:11512514:11512992:1 gene:Al_scaffold_0003_2649 transcript:Al_scaffold_0003_2649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4B7] MERAGPGSLLSRPNVVGIISITSDIAPYMNPRISLDKLKAQEAYELFEKEGNRPIMIDELASELGLGPSVPVHAVLHDWLRHTDGKLSFLGFVKLLHGVSSRTIKAH >Al_scaffold_0003_2653 pep chromosome:v.1.0:3:11578920:11581303:1 gene:Al_scaffold_0003_2653 transcript:Al_scaffold_0003_2653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4B9] MKNKSIYGYIIIVVTLLSVVARVTLAQPPKGHPGADINPQQTLAAHNKARAEDGVGPMVWNDTLAAYAQSFANKRIGDCALTHSSGPYGENIILGRYPDSNLSGPVAVGYWMEEKPNYNYKLNKCDFACHDYTQIVWRNSVRLGCGSVRCQNDANVWIICSYDPPGNIPAELRDHLSPIAVVTQNRAIVLHLKLMVQSHPTGLSKNNLLKLFEPRPPLEYKPPSEKRKCPHCMAQLVSNFAEPGDAP >Al_scaffold_0003_2654 pep chromosome:v.1.0:3:11582770:11583248:1 gene:Al_scaffold_0003_2654 transcript:Al_scaffold_0003_2654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4C0] MERAGPGSFLSRPNVVGIISITSDISPYRNPRISLAKLKAQEAYELFEKEGNRPIMIDELASELGLGPSVPVHAVLHDWLRHTDEKLSFLGFVKLLHGVSSRTIKAH >Al_scaffold_0003_2658 pep chromosome:v.1.0:3:11597337:11598225:1 gene:Al_scaffold_0003_2658 transcript:Al_scaffold_0003_2658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4C4] MMRMSIGWRVHSRSLKRFCFIRSFSLRPMPSLDISLRFRLWAFDERNMFLAWISRVRDMTICANTFKLMQLYSVFKPLPQFGYMSSLYVTLTAYDLKWFPIFLSSPNLKSLILERIGGSFHQLSPKAMERVSISSVTECLLSSLEFVDFKSPIWELAPEMKLVWYFLENSPTLKKLTLHLKSHSITDDFIKKLLKIPRCSTECEVVFL >Al_scaffold_0003_2659 pep chromosome:v.1.0:3:11599773:11600999:1 gene:Al_scaffold_0003_2659 transcript:Al_scaffold_0003_2659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4C5] MAFQVLVRLPLKSLARFRSVCREWKLLIDSEFFRDYFISLNSSSVSWSIIQTRHHILSLDIVGHHGCKTWGLTHFPGSFVSFFAETTIRKLQVLACTDGLVLIYAEASDGTPMHYVGSPLFQEWFQIPLPPDIHLQDDHKRFNDSGLVTKMQSGTVVSYKVVWLIAHAFARVDFGIYSSDTGEWEIKKVPCLHSAFWFSHHKPIALNGILHWLSNLTGSFVAYDFYGGHDDDDACDIIHFPDSGKDDELRRFRRTLSTSEGSIVYFNEFGGNGNRILRVWRLVKYTDGPKAWQLFREVSLVTLIDLGIYYFPVVMHPLNSEIIYLWSRNKKGMVLFNLRTHVFSLHKELEDETKCMDGCTLSFNRCSEYMESMYGYFFPSSYGGTNCLFASQYVLPRWLHRLPRPQPS >Al_scaffold_0003_2660 pep chromosome:v.1.0:3:11602377:11603005:1 gene:Al_scaffold_0003_2660 transcript:Al_scaffold_0003_2660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4C6] MRRVHFPDILFLMETKNSSNHVLDVKKWLGYDSSHIVDPEGLSGGLAIFWKASYDVEILHSDKRIIDTKIKFGSLALTDQVRQ >Al_scaffold_0003_2677 pep chromosome:v.1.0:3:11711490:11711964:1 gene:Al_scaffold_0003_2677 transcript:Al_scaffold_0003_2677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L522] MVVDGMNKDRWCLDVQGNLVCKPLHRGSLGAHCFQFHAIDKDKELTAENCQILCAAAARGLKPDSSFTQEDFKLVEIALYGGSSVDK >Al_scaffold_0003_2689 pep chromosome:v.1.0:3:11771657:11772206:1 gene:Al_scaffold_0003_2689 transcript:Al_scaffold_0003_2689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L532] MVVDVDTPIYTSELPHLHVSQPKQTLVMITRTYGLWETAENDGEVKQQSIWLRFGRKSVRNRRRKEAGKIATREKG >Al_scaffold_0003_2692 pep chromosome:v.1.0:3:11797724:11805919:-1 gene:Al_scaffold_0003_2692 transcript:Al_scaffold_0003_2692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G24480) TAIR;Acc:AT3G24480] MKNNTTQSLLLLLFFFFSVTEISHSLSISSNARLSDTEVRFIQRRQLLYYRDEFGDRGEKVTVDPSLIFENPRLRSAYIALQAWKQAILSDPNNITVNWIGSNVCNYTGVFCSRALDNRKIRTVAGIDLNHADIAGYLPEELGLLTDLALFHVNSNRFCGTVPHKFKQLKLLFELDLSNNRFAGKFPTVVLHLPSLKFLDLRFNEFEGTVPKELFSKNLDAIFINHNRFRFELPENFGDSPVSVIVLANNHFHGCIPTSLVEMKNLNEIIFMNNGLNSCLPADIGSLKNVTVFDVSFNELVGPLPESVGGMVAVEQLNVAHNLLSGKIPASICQLPKLENFTYSYNFFTGEAPVCLRLPEFDDRRNCLPGRPAQRSSRQCSAFLSRPPVDCGSFGCGRSVKQSPPIVALPPPPPPSPPLPPTVYSPPPSPPVYYPPPSPPVYSPPPPPPVYSPPPPPPVHHSSPPPPSPEFEGPLPPVIGVSYASPPPPPFY >Al_scaffold_0003_2693 pep chromosome:v.1.0:3:11826086:11826856:1 gene:Al_scaffold_0003_2693 transcript:Al_scaffold_0003_2693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L536] WDGLTHYQTTSCAFSMRQKGKLNPVHLVDVPGHSRLRPKLEEFLPQAAAIVFVVDALEFLPNCRAASEYLYDILTNANVVKNKISVLLCCNKTDKLTAHTKEFIRKQMEKEIEKLRASRSAVSIADIANDFSIGIEGEVFSFSHCYNKVTVAEASGLTGETVQIQDFIREYIKPLAQTCINRLNLRLDSYAFGL >Al_scaffold_0003_27 pep chromosome:v.1.0:3:90403:91527:1 gene:Al_scaffold_0003_27 transcript:Al_scaffold_0003_27 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT3G01850) TAIR;Acc:AT3G01850] MLTITPRSSGFDPAAAGSLLLIRRCCHRIGLRKSSGEGQSDDRSGLHMDIMKLVSALTTILMVLLFHLQDGHFVPNLTIGAPLIESLRKHTNAYLDCHLMVTNPIDYVEHMAKAGASGFTFHVEVAKGILAPFQTILIFYGFDLNCLFQRIGNNLSAGMRPAVALKPGTPVEQVYPLVEGTIPVEMVLVMTVEPGFEFMPNMMGKVMLGLL >Al_scaffold_0003_2700 pep chromosome:v.1.0:3:11865748:11866974:1 gene:Al_scaffold_0003_2700 transcript:Al_scaffold_0003_2700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L542] MAPKTKWTGKKRKRGASRRKEVGEEDGETSSKNPIERVEVVEEEREEDRGALSPVVKNEEDVNEEEENEEDENEEGEENEDGGETSSRTLGDSSDERSKDEISEKNRDERLCFVRSPKTCPVYESKKYCVRPILINFVHVVVIGKFILGMYQPVNCILISNDGNCVLAGCLDSTMRLLDR >Al_scaffold_0003_2704 pep chromosome:v.1.0:3:11912600:11913630:1 gene:Al_scaffold_0003_2704 transcript:Al_scaffold_0003_2704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a defensin-like (DEFL) family protein. [Source:Projected from Arabidopsis thaliana (AT3G24513) TAIR;Acc:AT3G24513] MMNVSLKLSLLIFILVITSNIGSEARELTGVDKKLEVATGSSNYGGTARTLVARPCKRDVDCNRQCPRIQGGECNHRHGTCDCF >Al_scaffold_0003_2708 pep chromosome:v.1.0:3:11934361:11936805:1 gene:Al_scaffold_0003_2708 transcript:Al_scaffold_0003_2708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24540) TAIR;Acc:AT3G24540] MSSASSSPPPESAIFQETPPPDSFRSPSTIASPPPPPRRSPSTSPPPGLGSRSPPPPASPSGEGSPTTPTITPGFSLSPPSSSTLLSTGVVVGIAIGGVAVLVVVLTLICFLCKKKRRRDDEALPTPIGIHQRTFTYGELANATNKFSEANLLGEGGFGYVYKGILTNGKEVAVKQLKAGSAQGEREFQAEVNILSQIHHRHLVSLVGYCIAGAQRLLVYEFVPNNTLEFHLHGKGRPTMEWSSRMKIAVGSAKGLSHLHENYNPKIIHRDIKAANILIDIKFEAKVADFGLAKIALDTNTHVSTRVMGTFGYLAPEYAASGKLTEKSDVYSFGVVLLELITGRRPVDANNRRDGLQSLMVAACVRHKARGRPRMDQVVVRVLEPRGSHRVTAMYTVHRGSTYYDTSQNDEHHEEVFRIRIGHDRRGTVIQLVTMDCTLPA >Al_scaffold_0003_2728 pep chromosome:v.1.0:3:12027168:12027664:1 gene:Al_scaffold_0003_2728 transcript:Al_scaffold_0003_2728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L569] MPIAGATPTPRVLLPVATKHFHVRGEEGGGRSEDAAGNLKDKVKNATEETKDSIKATAKSVERNMNTKM >Al_scaffold_0003_2729 pep chromosome:v.1.0:3:12028814:12029980:1 gene:Al_scaffold_0003_2729 transcript:Al_scaffold_0003_2729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L570] MSVCSAGFVIPRAAAYHRRWKIKQIGDPYLRNRRVIDKGSSRLFLMEGAMLKSILYLELAERGGEVAAAHVKEVIHQHLSATSRGHVIHQANNWRPLPATR >Al_scaffold_0003_2737 pep chromosome:v.1.0:3:12139700:12145628:-1 gene:Al_scaffold_0003_2737 transcript:Al_scaffold_0003_2737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L581] SPQGTVLKSKAIFNQKAPKVASFSSRGPNTIAVDILKPDVTPPGVEILAAYSPLNSPSEVWFDKRHVKYSVLSGTSMACPHVAGVAAYIKTFHPEWSPSMIQSAIMTTWRMNATGTGVASTEFSYGAGHVDPIAALNPGLVYELDKTDHILIAGEDVTCTRKSLPRNLKYPSMSAKLSESNSSFTVTFNRPLTNLGTPNSTYKSKIVINHGSKLKVKVSPSVLSMKSVKEKQSFTVTVSGSNLNTNLPSSANLIWSDGKHNVRSPIVVYTYSG >Al_scaffold_0003_2743 pep chromosome:v.1.0:3:12189514:12190076:1 gene:Al_scaffold_0003_2743 transcript:Al_scaffold_0003_2743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5R8] MDLCFAKSVSQFSDGIEDRVVVGSLDLNQCRWLPDEIAGTKPEGIGVDFARAYLSNVCVAKELHRNGVGYKLIDKSKRVGITDMYVHVMVANEAAQRLYMKSGIEQETAEQRGKLDTLIDHNGSSSGSAYLLLPWS >Al_scaffold_0003_2745 pep chromosome:v.1.0:3:12201070:12201578:1 gene:Al_scaffold_0003_2745 transcript:Al_scaffold_0003_2745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5R9] MPRENQIPHLGRVHRGAGGDHETPLQKCKDNAHRIEFVPKKRVFDERMPRENQIPHLGRVRRGAGGDHETPLQKCKDNAHRIEFVPKNRVFDEWTIIYMFNERKSVGSKKVAQSKSKATLSRRMPRENQIPQKSSQRSRR >Al_scaffold_0003_2751 pep chromosome:v.1.0:3:12232248:12232547:1 gene:Al_scaffold_0003_2751 transcript:Al_scaffold_0003_2751 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLAVATA3/ESR-RELATED 41 [Source:Projected from Arabidopsis thaliana (AT3G24770) TAIR;Acc:AT3G24770] MATSNDQTNTKSSHSHTLLLLLIFLSLLLFISFTIPMTRPEFTSTVAPFKRVLLEPSVPASSTMDLHPKACARHNRTSRRREFGNDAHEVPSGPNPISN >Al_scaffold_0003_2754 pep chromosome:v.1.0:3:12261798:12264071:1 gene:Al_scaffold_0003_2754 transcript:Al_scaffold_0003_2754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5S8] MASSLLGPPEIRDSYAPLTKPIPKSLTKPITASGPSNPVTETKVSNVNTSPPTGQTEERPPTYLAALLKNINTCRPSVPKVSNVRKSTKVNVKPVKPVRPRKVDPYKSSRPMGYSENGSATYLSSGNPCLDFFFHIVPSTPKLSLEQRLQEAWDHDALTTLKLICNLRGVRGTGKSDKEGFYTAALWLHGHHPKTLASNLESISKFGYFKDFPEILYRILQGSEIRSIQKSEWYKTIAAAILRRRSKFSRRGRGVGRGFGLGHGRGRRMRGLKRPAATRSLRVANAKRKDQEEKAKASLERKQKKVSMGKAAFTRYTNDPDYRFLHERVSDLFANQLRRDLEFLKSRQPKQISLAAKWCPSLDSSFDKATLLCESIARKIFPKESFPEYEGVEEAHYAYRVRDRLRKQVLVPLRRTLQLPEVYMGARAWRFLPYNRVPSVAMASYKEIFLYRDAERFQQYLNDAKTGKTKIAAGAVLPHEIIKNLDGGDGGQVAELQWKRMVDDLKEKGSLTNCMAICDVSGSMSGEPMEVSVALGLLVSELSEEPWKGKLITFSKDPELHLVKGDDLRSKTSFVKRMQWDMNTDFQKVFDLILKVAVEAKLKPEEMIKTLFVFSDMEFDEASYPSNDGWDTPSEKGYGEAVPEIVFWNLRASRSTPVLGNKKGVALLSGFSKNLIKVFLKHGGEIDEGKIEDGKIDPITIMEAVISRDEYKSLVVID >Al_scaffold_0003_2769 pep chromosome:v.1.0:3:12370703:12371661:-1 gene:Al_scaffold_0003_2769 transcript:Al_scaffold_0003_2769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5U2] MAAVQAFTPLADIKPFKTHWKIQVKIVHSWTQYTQFTGETVEMVLADTAGTLIHATVKKPQVSKLQRFIVSGEWRIIDRPFDELPAVLFTT >Al_scaffold_0003_278 pep chromosome:v.1.0:3:1018648:1019352:1 gene:Al_scaffold_0003_278 transcript:Al_scaffold_0003_278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L0G1] MGFKVLHQKRDGPKTGRIQNQPGQSITNVFFSMFLVLHYLTNQANSDSSLEHTGITTPLPPPPPRKTYCSRSSSSPPPPKYMYVTGVPGELYRTEPDDQWGYYSSVNRNLVKCLMVIVIVGFGVINL >Al_scaffold_0003_2781 pep chromosome:v.1.0:3:12442925:12443286:1 gene:Al_scaffold_0003_2781 transcript:Al_scaffold_0003_2781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5V2] MANHHRLLRGGGSPAINGVKIRLTAFASTIALFLFTLSFFFVSDSNDSPDLLLPGVEYSNGVGSRRSMLDIKSDPLKPRLIQILEQSL >Al_scaffold_0003_2788 pep chromosome:v.1.0:3:12492357:12493563:1 gene:Al_scaffold_0003_2788 transcript:Al_scaffold_0003_2788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5V8] MVEIELKKVAAKDQQGDVEYTGYPLSVKDVVLTLTGSDSTSITLTWAVSLLLNNPATLKAAQEEIDNCVGKGRWVEESDIRNLNYLQAIAKETHRLYPRAPLTRIREAREDCFVGGYRVEKGIRLLVNIWKLHRDPMIIWPDPKTFKPERFMEEESQCGKGDFEYIPFISGRRSCPGINLDLRVVHIVLARLLQGFELRKVSGEPLDMAEGPGLALPKINPVDKLDYF >Al_scaffold_0003_2791 pep chromosome:v.1.0:3:12515616:12516653:1 gene:Al_scaffold_0003_2791 transcript:Al_scaffold_0003_2791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5W2] MNIMYHDYDHLTASGKNLWSNFFVLVDAAVMLYEEEQRRKIVSEEEEETQKRIFCLFPRKTRSSLVKRQQKLNGFLTSTSSSFIDLNQFPTDSETEQNHLRLLSSSCFIPADSETKTLQNPSSEPCSSLVVFDYKMAESEERETKDPVNPNFQCSLSLCLTENTSRKRRAVEQRKRTGGVKKAKVAPFSQTAREAPEWLVKVMRDMKEAKDAKLIFEKTLFVTDVNPTQNRLSMPFNNLIRNDFLTSVESRIIDKDINNDKKIGVGAILVDQRSEKWGVMLKRWEMKKESGKGSWNYNLICGWNDIVEANGLKDGDNISLWSFRCCGVLCFAMEQSSSSLALCLC >Al_scaffold_0003_2792 pep chromosome:v.1.0:3:12517163:12518153:1 gene:Al_scaffold_0003_2792 transcript:Al_scaffold_0003_2792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5W3] MIPTNSTSFISFHEKNPLTGLAPRQASLTAGDNLGPLLAPCLVSLSRAHGISFFWVKFSLIEILSRFGSSNFPPTGSFLMCCLYCLIGRGLRYSFEIAPLNWLCKYILQILRRASFDENLVSLTLCLVPVRLEFMEPSSTSQCLLIRTIHHVLELIANVFSTSLGFVCDLVLYCPHLRFLLDMLSNYLPFISLFTYDCNLLVSCLVLAKTLSSGVCATRLLDRSLQKVLAFCDFFLRCDIEKYFKIFTEKQFNITIVLRIPKVPGNGGYSNINKRHET >Al_scaffold_0003_2798 pep chromosome:v.1.0:3:12580324:12582608:1 gene:Al_scaffold_0003_2798 transcript:Al_scaffold_0003_2798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L6B8] MLDLNLDVDSAESTQNERDSITVKGVSLNQMDESVTSNSSAVNAEASSCIDGEDELCSTRTVKFQFEILKGGEEDDDERSAVMTKEFFPVAKGMDFMDSSAQSSRSTVDISFQRGKQGGDFIGSGSGGDASRVMQPPSQPSSQYRGVTFYRRTGRWESHIFNFVNPIGGFDTAHAAAAYDRAAVKFRGLEADINFIISDYEEDLKQMANLSKEEVVQVLRRQSSGFSRNNSRYQGVALQKIGGWGAQMEQFHGNACDKAAIQWNGREAASSIEPHASWMIPEAGNLLLSSTSTWEVKDGPKQKDRALRLLHVSNSTVCGRNTKMETHMAAAACDTPFNFLKRGSDHLTNRHALPSAFFSPM >Al_scaffold_0003_2801 pep chromosome:v.1.0:3:12624380:12626793:1 gene:Al_scaffold_0003_2801 transcript:Al_scaffold_0003_2801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6C0] MKNRQKTQNFLKSKIRASPAISSQQSLTLASMASSHQSQPLLSIQMGNEETLNLDDDYMTGDEMDMDEENQNEEQEEVDSLASTAENLVKGKRRRKRSQCWKTFRIIGSSKKNGVIISDVCSLCDSVF >Al_scaffold_0003_2805 pep chromosome:v.1.0:3:12664717:12664908:-1 gene:Al_scaffold_0003_2805 transcript:Al_scaffold_0003_2805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L6C7] MIILYEVIKPELMPPGPPLKPFVYQRPPGIMSQIERQEKRLLAIWRARNTERNGETKEEAGPGS >Al_scaffold_0003_2808 pep chromosome:v.1.0:3:12716983:12717495:-1 gene:Al_scaffold_0003_2808 transcript:Al_scaffold_0003_2808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6D0] MTAETRGGRVIKPELMPPGPPLKPFVYQRPPGIMSQIERQEKRLLAIWRARNTESERNGETKEEAGPGSW >Al_scaffold_0003_2809 pep chromosome:v.1.0:3:12729402:12731771:1 gene:Al_scaffold_0003_2809 transcript:Al_scaffold_0003_2809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6D1] MEDKRISNETGRKILVENSREYFRPVSYEQTFEGKIVGNAKEHYGKIITKELGKEPNMLNFMEETHLNKKTRTISDLKTKQILDGVKRKLELTNSQRQAEGDDSVQSNTYTEEEINTVMLEEVPIVKGKRYGFGRLFDEGSTSTAPRHTAKLLEKIQTLMDRDVEKERQLAYLMECNKLMLQQLSQIQPPLYPTGPNETTKATNTSVDDDDDDETQE >Al_scaffold_0003_2818 pep chromosome:v.1.0:3:12788264:12795837:1 gene:Al_scaffold_0003_2818 transcript:Al_scaffold_0003_2818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6T6] MENLPERIFKSGEEPDGDRVHKYFQLQYLNDLSEHLEADEISKIRGLRLGKLFDIWKKFSFSNKLLTGLRCDPISIGKADGKKKVSKNKIKKKSIEAPYWFTLFARNEDVTPEILIKRLKSGGVRDPDTRLKYALLVLIDGVLCPRSLNMKIQEETVEVLRDVDKFLNHSWGRISFDMTMSCIKSRKASGLAQTSFAVQGFVHALQLVLLEVVPDIEKSMPVDTPVFVGEDSEEEAVVVSALALAKLVQEGHQWSGDEFGGGVVASALLVEPKEKAEGKKVVKSRKRKKSPVCGSSSDGSQPVPFSESQMEWLAKQISSQVFVAVSSVEDRLVSHLKGKSGGGPIGGKIAAPEAVAPVSKGKVKPISRGKKAVLPKRKQMRVDGKLRQIRDDDETETATVPVGDESETVGGKGGEEGGEASVTMEDVETEERGCKEGEDVRTASQQGDAEEHLDLHPDDTVKSVLDSLNRGGAEVSENLGPDFEQEDPAVLSGDGLKDQDDAVKSGDGLKDQDDAAKSGDGLEDQDVAAKSGDGLEDQGVAAKSGDGLEDQDVAAKTVNDDAECQGKESTEGGSLALFPTGASVGSEENVSGEGDASDKKWLEFEKYLADNGKLFLGGSLFLVTKDVEEIVGLQVVMGPWGTKFASLVLAHRLKFEKSVKKKYVFDQDLMSCFPLNFDSLYFPFNFDKQHWVGMCLDIRGRYLYVFDCNQKVRRDTRLRKEMEPLLEMLPFVVRQVSPQLMKAVPSDPFILSRDSLLPTCLNPSESGLMSVLFI >Al_scaffold_0003_2822 pep chromosome:v.1.0:3:12825732:12827192:1 gene:Al_scaffold_0003_2822 transcript:Al_scaffold_0003_2822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:Projected from Arabidopsis thaliana (AT3G25290) TAIR;Acc:AT3G25290] MSSSSVRISLSFIFLALLISPSVSQTCKSQTFSGDKTYPHCLDLPQLKAFLHYSYDASNTSLAVVFSAPPAKPGGWIAWAINPTATGMVGAQTLVAYKDPGNGVAVVKTLNISSYSSLIPSKLAFDVWDMKAEEAARDGGTLRIFARVKVPADLVAKGKVNQVWQVGPELGPGGMIGRHAFDPSNLASMGSLDLKGDNSGGTISGGGEVNAKIKNRNIHGILNAVSWGILFPIGAIIARYMRVFESADPAWFYLHVSCQFSAYVIGVAGWATGLKLGNESAGIRFSAHRNIGIALFTLATIQMFAMLLRPKKDHKYRFYWNIYHHGVGYAILILGIINVFKGLNILKPQDTYKTAYIAVIAILGGIALLLEAITWVVVLKRKSNNSMKPLRT >Al_scaffold_0003_2826 pep chromosome:v.1.0:3:12843610:12847916:1 gene:Al_scaffold_0003_2826 transcript:Al_scaffold_0003_2826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6U4] MQRRFLSSISATAGNTKTLNQGRWSVKQVKKSNFHVTLDEIRTSIDSSDFIALSLQNTGSYAAAWHRVSAIDTPQTSYLKAKYAAERYQILQFALCPFSLHGSKLTVHPYNFHLFPRDELKCGMPSYSFSCQASRLTAMAREGFDFNTCIYEGISYLSRAQESASKFLSGNPILADHITVSSSPATVADTVFVGRIRSRVKNWRQSCIDSGSKTGDDDLVSSLRRLVLGSEQYGSRLCLTIDVCSERQVQLILEMLTEFSDDVVPLLVASKSRGTQAVRTVFMSSKEDKDLFKRELQDLEKEENRRVRGFREVVDLISSSQKPVVSQNYLSDFTSIHAKFLGPLPSNLDDFSSSLSSAFPNVVDLSQFMKEISPLSNISNLPAAMSSLNRFFVPVDVEVANQGCPVKLNEGHQSHGQNAVMISQLFAKLCTIQKSDQSTVQSNEDFQALASDEHANSVTSCSKNAGDENSKLRELGVIWGLAKKMTAAKLKNVLQKSHPVFAQEFDVKYLDRSCAIVVFWESGPSETFLSVVNNEEQLDGSLREMVAQGLRASGYETYKRACRLGFWEADLADSLDKALESSDTDPDSDTKPSEIDWPRLNPVYEPVIVASLSKRPRRQLNTVGEKKWASMILRRKSRKLGFLASSSPLFSSLNSKNRPISSSGNIVCKVLLKESLNGPMWNVSFLRSSWFHSNSVPETGDCLSKSENSSSQDGGSSTKLKRKKLKGKRAVVRWLKFFRWKKKKEFERMTAEEKILNKLTKARKKEERLMETMKKLEPSESAETTHDPEILTPEEHFYYLKMGLKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVVIKTFTPDEVNEIAVELARLTGGIVLDVHEGNTIIMYRGKNYVQPPTEIMSPRITLPRKKALDKSKCRDALRAVRKYIPRLEQELQLLQAQAETKREYLNVKVDDIQERSDELKKIIERSEECLEDEEEEEDAGSELATDSDLSDIFETDSELEDEKTVRPLFLEEFEKFPAINNNREDEDFGKAKSEGEENDDKSPNFDEVDKMFLRAASLLKKKRR >Al_scaffold_0003_2830 pep chromosome:v.1.0:3:12866685:12868363:-1 gene:Al_scaffold_0003_2830 transcript:Al_scaffold_0003_2830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6V0] MAQRSGRPEIRKARDRWVDWVEPRNRGGSGSLYRRRSNFPTIGGNYRESEANENRGGSSSRLDKHEPKISTALITFTADEQKVNLLSSSPSSDDAMCLIFTPSPITTPPTRPKPHLQFDENLHLCRPELRRELNRRGYPSSIVVVVVDLVIDLCPQSKIRVCLNLSLPLSHIRIELVHQLIFKRFAYVFIYFLDLVENRIKNWSNCVISSIWFLNFL >Al_scaffold_0003_2837 pep chromosome:v.1.0:3:12913883:12916736:-1 gene:Al_scaffold_0003_2837 transcript:Al_scaffold_0003_2837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L6V7] YLECGQSELRGGGRVNMVVAASAAVLESSALFINASEGDELPLKPSSLPCLTDFSAGDCNFLKQFLPKSIGDMDTLYSLNLVGSNIEELPEDFGKLENLVELRMSNCKMLKRLPESFGDLKSLHHLYMQETLVSELPESFRNLSDLMILKMPKKPLYRIAESDAAGTSEEPRFVEVPNSFSNLLLLEELDARSWRISQVSSPSSHVNWSSQTWQTASHWSVFRPFRAEDNILRLMKVLSCGRKRKVILEAPLYNKDCVLGNILAAHFLSSSDPSRANSYVETAASNLEQCTPYEKAVYEALTYLISEDRDDDLAFELHTKLLNRFPKDLASLKRAQLLCFYMGQPDPFLGLVQQVLPANQEESYIHGILAFPLLELGRMEEAVAASKKGYEINKEEAWAHHCVEFLKQFYMTFSICILYSQLCHVLQHECRFKEAVEFVEPLTESWPSCSFFYTHNWWHVALCYLEGGSPMSKVEEIYDHHIWKELEKDDAVPPEVYLNALGLLMVLKTV >Al_scaffold_0003_2841 pep chromosome:v.1.0:3:12934602:12934874:-1 gene:Al_scaffold_0003_2841 transcript:Al_scaffold_0003_2841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6V9] MASNAPSKDLGSEDKKAGDDKKTGNKKDSAEGSSQEGLDYWEGCLETRAERKKENFRHEAKAGTGTGANKKK >Al_scaffold_0003_2842 pep chromosome:v.1.0:3:12938984:12940846:-1 gene:Al_scaffold_0003_2842 transcript:Al_scaffold_0003_2842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L6W0] TKSESKQETTKPETTSETKPETKPEPQKPKHMRRVSSAGLRTESVLQRKTENFKEFYSLGRKLGQGQFGTTFLCLEKGTGNEYACTSISKRKLLTDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDVAAVHLVMELCSGGELFDRIIQRGHYTERKAAELARTIVGVLEACHSLGVMHRDLKPENFLFVSKEEDSLLKTIDFGLSMFFKPDEVFTDVVGSPYYVAPEVLRKRYGPESDVWSAGVIVYILLSGVPPFWAETEQGIFEQVLHGDLDFSSDPWPSISESAKDLVRKMLVRDPTRRLTAHQVLCHPWVQIDGVAPDKPLDSAVLSRMKQFSAMNKFKKMALRFFQLVLSEEEIAGLKEMFKMIDTDNSGQITFEELKAGLKRVGANLKESEILDLMQALDLRNRKYKNSLT >Al_scaffold_0003_2843 pep chromosome:v.1.0:3:12940904:12941607:1 gene:Al_scaffold_0003_2843 transcript:Al_scaffold_0003_2843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L6W1] IQLVGAATSLYSATCFALGKYGNGNPYPINLSTIIGLSGWLPCAKTLGGKLEEEQIKNRAASLPIIVCHGKADDVVPFKFGEKSSQALLSNGFKKVTFKPYSALGHYTIPQEMDELCTWLTSTLGLE >Al_scaffold_0003_2844 pep chromosome:v.1.0:3:12944196:12945227:1 gene:Al_scaffold_0003_2844 transcript:Al_scaffold_0003_2844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6W3] MAETTKPFNKELHVSHIGRMRESEEWELWRKRSMQNKVEVRLQMFPLLALWDCRFTLTSMCSRRVNFSSVFSAIIVSMLLVEVKMLFRLEFKKF >Al_scaffold_0003_2847 pep chromosome:v.1.0:3:12956546:12960796:-1 gene:Al_scaffold_0003_2847 transcript:Al_scaffold_0003_2847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6W6] MEGALKMQLKLEASVNGVRISIDTTWTRELRSFLIVPLFKCLVALCLIISLLVFIEGIYMNLVVLYVKLFKRKPEKSTNRSRCRRTLSSDMKPTPWSLFKFQCTTKKRYIYMYSVLQLSIGAACRLIWPLERLIVQVLDDSTNQTIKKYRTEFQGLVNTECAKWESQGVNIKCERRDNRNGYKAGALKQGMKHNYVKLCSYVVIFDTDFQPEPDYLQRSVPFLVHNPEVALVQARWRFMNSNKCLMTRMQEMSLNYHFMAEIESGSTRHAFFSFNGTAGVWRMDAMEEAGGWHDRTTVEDMDLAVRAGLLGWKFVFLNDLTM >Al_scaffold_0003_2850 pep chromosome:v.1.0:3:12968366:12969055:1 gene:Al_scaffold_0003_2850 transcript:Al_scaffold_0003_2850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6W9] MPPKGKSSRGRGGGATTRRVAAGGGQTSRQEAAGGGQTSRQEAAGGGVTTRRVAAGGGQALPQEAVGGGETSRPVASRDRVRTFVGHRPPVTASGVGTSSNASNPSSASQSATQSQVSRPSLNSSRQNPPPRQTPPLNRQTPPPQPQHQPPSPQTQPLPEHDANNQVLPENEDLVEEEIDDVGQEDDEENPHPGEDYQEMLDRLLALPGREHLTRLSVHPIPGVETLWY >Al_scaffold_0003_2851 pep chromosome:v.1.0:3:12977950:12978324:-1 gene:Al_scaffold_0003_2851 transcript:Al_scaffold_0003_2851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6X0] MWCQLLPKTGQFSTAKEVIRERIKVRDGIPFMWRLLEKSYSMEGTAEAESSTGETAKKLESCYI >Al_scaffold_0003_2852 pep chromosome:v.1.0:3:12982345:12982638:-1 gene:Al_scaffold_0003_2852 transcript:Al_scaffold_0003_2852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6X1] MVVVAVRKVVTAEVMMVLEEAVVVFVASGDGGVVVANSNSDFIVVSVAKRRRIRWVMMIMVDKISNNDFIVAVVLPSREGEECGVVVVKRCECLCDF >Al_scaffold_0003_2861 pep chromosome:v.1.0:3:13033321:13039698:1 gene:Al_scaffold_0003_2861 transcript:Al_scaffold_0003_2861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7D8] MKLQPEHGVSWVAYFFLMAIFVLASNTISILSIVRSISYIKKVMKHQKDTKLQRIFFEKNGGDMLIERLSGAGSSNIDVKIFTEDDMKEATNGYDESRILGHQGGQGTVYKGILPDNSIVAVKKTRLGDNNQVEQFINESPCWSMNSLPVAPFSIICTVPCMFASSLTWEHRLKIAIEVAGAIAYLHSAASIPIIHRDVKTENILLDENLTAKIADFGGSKLMPMDKEQFTAMSGHLLGSNIVFAQGHTSSRGYDSIKNVATFDIEAGR >Al_scaffold_0003_2863 pep chromosome:v.1.0:3:13043390:13046955:-1 gene:Al_scaffold_0003_2863 transcript:Al_scaffold_0003_2863 gene_biotype:protein_coding transcript_biotype:protein_coding description:formin homology 1 [Source:Projected from Arabidopsis thaliana (AT3G25500) TAIR;Acc:AT3G25500] MLFFLFLFFFYLLLSSSSDLVFADRRVLHEPFFPVDSPPPSPPSPPPLPKLPFSSTTPPSSDPNTSPFFPLYPSSPPPPSPASFASFPANISSLIVPHATKSPPNSKKLLIVAISAVSSAALVALLIALLYWRRRRTNQDLNFSDDSKTYTTDSSRRVYPPPPPATAAPTRRNAEARSKQRTTTRTSSTNNSSEFLYLGTMVNQRGIEEQSLSNNGSSSRKLESPDLQPLPPLMKRSFRLNPEVGSIGEEEEEEEFYSPRGSQSGRETLNRVGVPGQNPRSVNNDTISCSSSSSGSPGRSTFISISPSMSPKRSEPKPVVITTPEPPARISPAELTDYRFVRSPSLSLASLSSGFCPVKNSDEGGLNQISRSPTVTSLTASPDNNKKENSPLSSASTSPERRPNDTPEAYLRSPSHSSASTSPYRCFQKSPEVLPAFMSNLRQGLQSQLLSSPSNSHGGQGFLKQLDALRSRSPSSSSSSVCSSPEKASHKSPVTSPKLSSRNSQSLASSPDRDFSHSLDVSPRISNISPQILQSRVPPPPPPPPPLWGRRNQVTHKAETISKPPSLTPPSHPFVISSENLPVTSPMETPETVSASEPAEETPKPKLKALHWDKVRASSDREMVWDHLRSSSFKLDEEMIETLFVAKSLDNKPNQSQTTPRCVLPSPNQENRVLDPKKAQNIAILLRALNVTIEEVCEALLEGNADTLGTELLESLLKMAPTKEEERKLKAYKDDSPVKLGHAEKFLKAMLDIPFAFKRVDAMLYVANFESEVEYLKKSFETLEAACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRAEGTRLSGNNTDDIKCRKLGLQVVSSLCSELSNVKKAAAMDSEVLSSYVSKLSQGIAKINEAIKVQSTIIEESNSQRFSESMNTFLKRAEEEIIRVQAQESVALSLVKEITEYFHGNSAKEEAHPFRIFLVVRDFLGVVDRVCKEVGMINERTMVSSAHKFPVPVNPMLPQPLPGLVGRRQSSSSSSSSSASSSDDEHNSSH >Al_scaffold_0003_2864 pep chromosome:v.1.0:3:13049687:13050320:-1 gene:Al_scaffold_0003_2864 transcript:Al_scaffold_0003_2864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7E1] MERLDKSLKEAIQSHPIRKCKDKERNLTISRNKDHEIKHDTLTEMPSRRHRNNKKHKSENKIWVGKSKKQWHYYRQSSPSIKQIRHHPPRRNHEPHRSETLDRFHREHNLTDRNNQQETPNEGHKNSKSENTTERRSTKEEKRNEN >Al_scaffold_0003_2871 pep chromosome:v.1.0:3:13074642:13075776:-1 gene:Al_scaffold_0003_2871 transcript:Al_scaffold_0003_2871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7E7] MIPFTSDPCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIFNVRELVFVPSVEHSQGGYFELYFDLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDFSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0003_2872 pep chromosome:v.1.0:3:13082891:13086316:-1 gene:Al_scaffold_0003_2872 transcript:Al_scaffold_0003_2872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7E8] MFSEDIPSILDGDSLILGELYYLTKDKTDYAWYTTSIKIEDDDIPDQKGQKTILRVAGLGHALIVYVNGEYASNAHGSHEMKDSGSYMEHTYAGPRGVSIIGLKSGTRDLIENNEWGHLVYIEEGSKKVKWEKYGEHKPLTWYKTYFETPEGENAVAIRMKGMGKGLIWVHGIGVGRYWMSFVSPLGEPIQTEYHIPRSFMKEEKKKSMFVILEEEPVAKMCNRLGYECHNVSTLKRERFHRQSSRTKVVLTRRKRGLESFPCDGPGRGGTCDISIGYVEASESIDAKFRSVCNRLTGLQYFRYKSDASWNTNLDVIFKQCLEIPLIPYYKGGIIV >Al_scaffold_0003_2881 pep chromosome:v.1.0:3:13164961:13165459:-1 gene:Al_scaffold_0003_2881 transcript:Al_scaffold_0003_2881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7F8] MASTNVEFTCYVGNLESDTEENDLKNAFSQFGDVIASKVIRERDYESDYEYYDSEDDYECESSVYMTTRKVYGFVTFKDEKSMKDAVKGMNGKKLGLKTINVQESHSSRRSRRHHDGGRVGSKRT >Al_scaffold_0003_2883 pep chromosome:v.1.0:3:13173638:13175247:-1 gene:Al_scaffold_0003_2883 transcript:Al_scaffold_0003_2883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L7G0] QSNMGAKNHGLVLPDANIDATLNALLAAGFGAAGQRCMALSTVVFVGDSKSWEDKLVERAKALKVTCGSKPDADLGPVISKQRLIQFGVDDGAKLLLDGRDIVVPGYEKGNFIGPTILYGVTPDMECYKDPLIFLVYMCIKLSFLMLTYVYK >Al_scaffold_0003_2903 pep chromosome:v.1.0:3:13331334:13334516:1 gene:Al_scaffold_0003_2903 transcript:Al_scaffold_0003_2903 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT2G04350) TAIR;Acc:AT2G04350] MEDSGVNPMDSPSKGSGFGVYGIIGGGIVALLVPVLLSVVLNGSKKGKKRGVPIKVGGEEGYTMRHARAPDLVDVPWEGATTMPALFEQSCKKYSKDRLLGTREFIDREFVTASDGRKFEKLHLGEYKWQSYGEVFERVCNFASGLVNVGHNVDDRVAIFSDTRAEWFIAFQGCFRQSITVVTIYASLGEEALIYSLNETRVSTLICDSKQLKKLSAIQSSLKTVKNIIYIEEDGVDVTSSDLNSMGDITVSSISEVEKLGQKNHVPPILPSKNGVAVIMFTSGSTGLPKGVMITHGNLVATAAGVMKVVPKLDKNDTYIAYLPLAHVFELEAEIVVFTSGSAIGYGSAMTLTDTSNKIKKGTKGDVSALKPTIMTAVPAILDRVREGVLKKVEEKGGMAKTLFDFAYKRRLAAVDGSWFGAWGLEKMFWDTLVFKKIRAVLGGHIRFMLCGGAPLSPDSQRFINICMGSPIGQGYGLTETCAGATFSEWDDPAVGRVGPPLPCGYVKLVSWEEGGYRISDKPMPRGEIVVGGNSVTAGYFNNQEKTDEVYKVDEKGTRWFYTGDIGRFHPDGCLEVIDRKKDIVKLQHGEYVSLGKVEAALGSSNYVDNIMVHADPMNSYCVALVVPSRGALEKWAEEAGVKHSEFAELCENSEAVKEVQQSLTKAGKAAKLEKFELPAKIKLLPEPWTPESGLVTAALKIKREQIKSKFKDDLNKLYA >Al_scaffold_0003_2909 pep chromosome:v.1.0:3:13387794:13389280:1 gene:Al_scaffold_0003_2909 transcript:Al_scaffold_0003_2909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7I3] MANNNEGYTNQSEYNSGFEQTKTDLDMELSKQPQSNKNFNISDVAPQSPGDILVGDGVQHNWKQYREVFGYPGQLGIHDVVDGFVEDSIERTTFKTPPLVFAEDVPIKETLVSVIASQEIIDTPMPPISEDNPLQALVLVGDPVFDTTSKSEISNQSSPTKGKIFLRENIDGQNIVDNQVYL >Al_scaffold_0003_2912 pep chromosome:v.1.0:3:13403810:13406785:1 gene:Al_scaffold_0003_2912 transcript:Al_scaffold_0003_2912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L814] MEEKKEAAATEEATTSEWMSRPNMVSPEEERFRSGGTKCRALNLVSIQQWRKKRRRCARGEETTAGEMNELVDLRCMDGEAQPIALLNGKEDRYGGVEVNLMEVEPMTAEEFYAKLDVSLKAWKDQGKKGIWIKLPCELLSLVDIAIKKGFTYHHAEKEYAVLTSWISDLPSTIPANASHRIGIGALVLNKNREVLVVQEIDGVFKGTGLWKLPTGVIQEGEGIWAGAVREVKEETGIETKFVEVLAFGESHQSFLERKTDIYFLCELEPSTFEIKKQDSEILDAKWMPIEDYVKQPFIQNRENFRYMANICLKRSREEYLGFSTVLTKNLTGKESYLYCNTADFLNGKLDHASTSLFTTLFRKCFCLT >Al_scaffold_0003_2914 pep chromosome:v.1.0:3:13410042:13411451:1 gene:Al_scaffold_0003_2914 transcript:Al_scaffold_0003_2914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L817] MELKDSGSIIKGYPAEDPKRLNMHIRNALHILKHGKNEEDSRIQFVPGLGSLPLLRVLDLSGVMFEGAKLHSSIGELIHLRLLNLYEAWVCHLPSSLRNLKRLLYLTLFVNYLGPVHVPNVLKEMLELSCTSETISSSLREMTYFETFALFDWQEIRLADHGGEVVLDFIHPKNLVLTCGFPQLCDLVLAEQMELEEWRVEEGSMPCLRTLTAKSWSFRMKEKLVAGIRTEARLAPRLEAGSLSGRPNPTLNVFPGGPRGPDENLGGQRESNCGHHIGITPSSTTSPTHVG >Al_scaffold_0003_2918 pep chromosome:v.1.0:3:13430381:13431278:1 gene:Al_scaffold_0003_2918 transcript:Al_scaffold_0003_2918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L821] MKHHNANPPKSDDLVELPLFPLPLVLFPGATIPLQIFEFRYRVMMQTLLQSDLRFGVVYSDAVSGSVAGIGYVGEIVKHERLVDDRFFLICKGQEQFRITDLVRTKPYLVAKVTWLEDRPSGEENLDELANEVEALMKEVIRLSNRLNGKPDKESQDLRKNQFPTPFSFFVGSTFEGAPMEQQ >Al_scaffold_0003_2923 pep chromosome:v.1.0:3:13452929:13458127:1 gene:Al_scaffold_0003_2923 transcript:Al_scaffold_0003_2923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L827] GNLYNPSNSELEFIPLGGHYVIATALGTLDGFLALECQDTLLENKYFANGIEAGWGNLGGGAKQLIIPIVFSLIRKMGATKFTAWR >Al_scaffold_0003_2933 pep chromosome:v.1.0:3:13516223:13516494:-1 gene:Al_scaffold_0003_2933 transcript:Al_scaffold_0003_2933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L842] MGIKWKLRGKYTIRGKTSERFEHREENRKDWLTTLYGLIEEAGKANKIPEDMRSDGILVVFPSHR >Al_scaffold_0003_2934 pep chromosome:v.1.0:3:13517866:13519396:-1 gene:Al_scaffold_0003_2934 transcript:Al_scaffold_0003_2934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L843] MSYDYLFKYIIIGDTGVGKTCLLLQFTDKRFQPVHDLTIGAGFGDRMVTVDGRSIKLQIWDTAGQETFRSITRSFYRGAAGALLVYDISRRETFNHVASWLEDVRHYASTHNMSIILIGNKCVLVRKRAISKEEGEEFAKQHGLLFLETSARTSQNVEEAFIKTAANILEKIENGVFDVSNESPGIKVGYSHPHGEAGGRYGTISQGGGCCG >Al_scaffold_0003_2935 pep chromosome:v.1.0:3:13520742:13521734:-1 gene:Al_scaffold_0003_2935 transcript:Al_scaffold_0003_2935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L844] MTITNLPSVLLEEIFSRLPLNSMRAVRLACKTFYTLSKGKSFAKLHIGKEAATTREGESRMILLMDYNLYLISVVAGEDPYIESKDTRLVVWNPYWGQTRWIEPRYSHHLIGCERFTYALGYVDNKSCRSHKLLRFIDDYFYGPEFEWYEIYDFESGLWATLDVTPHWCISLGSHGISLKGNTYWSAIKRNARHGLTISDHDHIICFDFTRERFGPLLPLPLSVEYYGYVTLFCVREEKLAALQQNNRWNPYKFNIWITTNIEHEQVSWSKFLTVDMGTETPSTNESFFIDEEKNCHAFW >Al_scaffold_0003_2937 pep chromosome:v.1.0:3:13531828:13532256:-1 gene:Al_scaffold_0003_2937 transcript:Al_scaffold_0003_2937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L847] ENRYRKRYLDLMINEDIHNILLGKSNVMKYIQTFLSNKIFVEVETLVMSVSVGGGAVLPYSVYHKNKNIKTYLRIAQEIYLKKLVVGGFGRVFEIGKQFRNDRENKTTHVLSYQCDSER >Al_scaffold_0003_295 pep chromosome:v.1.0:3:1074907:1079214:-1 gene:Al_scaffold_0003_295 transcript:Al_scaffold_0003_295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L160] MVRLRRQSWFVEQKPDSEDLIDTAQLTKHETLDLQNNSLSFEIPDGIGKLVNISTLSLSLNKLSGGIPLSIQNLTNLETLELENNNGLSEGKIPDWLKNQTALLFLDLSMNKLEGSFPQWLADLKIETIIFSDYRLSGSLPPSLFQSISLSVLALSRNNFSGQIPDMLGETWVMTLMLTENNFSGSRTLLEQAIRRSSIFFRQSQKLKAFNLSYNDISGLIPQSFGNLEKVEILDLSHNNLSGEIPQTLSKLSKLNVSELKNNKLTGRIPESPQLDRLNDPNTYANNNKLCGMQIQEPCSTQTKQPEEDKEETVF >Al_scaffold_0003_2950 pep chromosome:v.1.0:3:13577189:13578507:1 gene:Al_scaffold_0003_2950 transcript:Al_scaffold_0003_2950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L860] MSHLIIHILTYSLRAGLSAAENNESVFFFLSLSLSLKSRYATKKKPEANCEFRLESEESPTRMTAGRRSSVRPSSPPSPVLHGVFDSPKLRGWIAKLGRSSPDLPVSSRNRILIFNYLFFKMRELRGVEMDCEDHKLRDSFTV >Al_scaffold_0003_2953 pep chromosome:v.1.0:3:13606723:13608639:1 gene:Al_scaffold_0003_2953 transcript:Al_scaffold_0003_2953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L8J8] MVTLIVATTSDPASINPAAALLAMPGWIAGPILPPDIKSFSNKQTRVIQHDRSIVKEDDLDLRWEEATGEVIDEVIFLSRHTAVSNRPALTVHPIGVLHLKDGESPPQGGKPGWAALPNPRIGPWFRLLKKMAEAHGLVPEFEITLEATHHGPITNKPTMFLEIGSTEEYWKRDDAAQVMALLMWEGLGLGGGEAVGNWNSETGKRKVLLGIGGGHYAPRHMDIVLKDDIWVGHLLSGYSLPMEDPTQTKTTPVENYIGGNWRQSIKAAFEATKAAFPGGEILAHLDHKSFKGWQKKAITEFLAEESINVGKPNDFT >Al_scaffold_0003_2954 pep chromosome:v.1.0:3:13613217:13614917:1 gene:Al_scaffold_0003_2954 transcript:Al_scaffold_0003_2954 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc-dependent activator protein-1 [Source:Projected from Arabidopsis thaliana (AT2G04880) TAIR;Acc:AT2G04880] MAEVGKVPASDMELAYSSETKAADDVAATDKVEVTPVTVTITDTVVESSKSTDCKELQQLVPHTVASLSEVDVASPTSEKAPKISESSTALSLQSGSEGNSPFIREKVMEDGYNWRKYGQKLVKGNEFVRSYYRCTHPNCKAKKQLERSSGGQVVDTVYFGEHDHPKPLTGAVFINQDKRSDVFMACSVTYQLFTVSYGIMFVEKSSGSSVQAHRQTEPPKIHGGLHVTVIPPAEDAKTDISQSSRIKGDNTHKDYNSPTSKRRKKGGNIELSPVERSTNDSRIVVHTQTLFDIVNDGYRWRKYGQKSVKGSPYPRSYYRCSSSGCPVKKHVERSSHDTKLLITTYEGKHDHDMPPGRVVTHNNMLDSEVDDKEGDANKTPQSSALQSITKDQHVEDHPRKKTKTNGFEKSLDQGPVLDEKLKEKIKERSDVNKDQAANHAKSETKSDDKTTACHEKAVVTLENEEQKPKTEPARS >Al_scaffold_0003_2963 pep chromosome:v.1.0:3:13678276:13679061:-1 gene:Al_scaffold_0003_2963 transcript:Al_scaffold_0003_2963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L8K8] IYSVSIDLKIEVCELTFYFPGLESHIPKYLIDCDKLLLCGTEKGAVVWNPCLRQSRWIEQDLNQTRMEAYGIGYNNKGSYKIVAGCCWKEHPTKSLWTIHDFASNAWKDLELDSGNRKLDSGISKEEKRPILHNKSTGVSLNGNLYWITFYDKTDPVYNLYKFNYSSEKFFRFCDLPCGTTDYLKDALVLKVFEGDRFSLLKQCHATKKIEVWVSKYKINNNLDSEDVEWIKFMEVSSPNLPDLVDPRYSQPSYFIEDKGS >Al_scaffold_0003_2969 pep chromosome:v.1.0:3:13719374:13721395:-1 gene:Al_scaffold_0003_2969 transcript:Al_scaffold_0003_2969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L8L5] MNLRSSHYLNELPDLSTATNLQELYLNGCISLVELPYSIGNAIYLKILELSGCSSLVELPFSIGNAINLQDLYLSNFSSLVELPSSIENATTLRKLDLSGCSSLVELPSSLGSAINLQDLYLINCSSLVKLPSSIRNAANHKILDLSGCSSLVELPSSIGNATNLQTLNLSNCCRLVELPSSIGNATNLQTLNLSGCSSLVELPSSIGNATNLQTLNLRNCLSLVELPSSIGKATNLQTLNLSDCHRLVELPTSIGNATNLQTLNLRDCLSLAQLPSSIGKATHLQSLNLSYCTSLVELPSLIGNATSFQKLNLSYCTSLVRLPSSIGNVSNLQTLNLRDCKSLVELPSSIGNLTKLDLDIRGCSSLVELPSSIGNFIMNQDGGNIYSFNTCTSLLQIPSSIGNAIKLESLNFYGCSSLVDVPASIGNLINLDVLVFSECSSLVEVPTCIGNLINLTYLDFNGCSSLVAIPASIGNLHKLRMLAMKGCSKLEILPGNVNLKSLDRLVLSGCSSLRCFPEISTNIRELYLSGTAIEVVPSFIWSCLRLETLDMSYCKNLKEFLHTPDSITGHDSKRKKVSPFAENCESLERLYSSCHNPYISLNFDNCFKLNQEARDLIIQTSTQLTVLPGGDIPTYFTYRASGGSLVVKLKERPFCSTLIFKVCIILVSKKNG >Al_scaffold_0003_2971 pep chromosome:v.1.0:3:13736043:13737946:-1 gene:Al_scaffold_0003_2971 transcript:Al_scaffold_0003_2971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L8L7] MVSSSSSSRNWDHNVFPSFHGPDVRKGLLSHLLEKFKIKGIQSFIDNEIRRGESIGPELKRAIKGSKIALVLLSKNYASSSWCLDELVEIMNKELGQTVMTIFYDVDPTEVKKQTGVFGKVFGETCKGKTEEKIDTWRNALEGVATIAGYHLSNCFQLRAIMVNIKESYRQLGLDEKSAQLKLQSHMLSEMLNQKDIMVPNLGVAQERLKDKEIFLVLDDVDRLEQLDALAKETRWFGPRSRIIITTQDLRVLEAHGINHIYKVDFPSTHEAFQMVCIYAFGQKNPEYDFTELVWEVANLASQLPLGLKVMGSHFKRKSKQEWKNALPSLKNRLHADIVSVLKNSKIGMHSLLVKLGKDIVRKQFDEPGQRQFFVDSGENCEVLLADEAT >Al_scaffold_0003_2973 pep chromosome:v.1.0:3:13744223:13747753:-1 gene:Al_scaffold_0003_2973 transcript:Al_scaffold_0003_2973 gene_biotype:protein_coding transcript_biotype:protein_coding description:scramblase-related [Source:Projected from Arabidopsis thaliana (AT2G04940) TAIR;Acc:AT2G04940] MLCLSRRSLSSLLRSGFNLRGDLAAAALSCSSTFFDSDGGIKNFHSRAGNAILWRNSPLCSPQGSFFGREQVSSSVFRCFCSGSDTSPSLDRKFLAQLWVADKKKLKAMEKRYRKAYKYRNYTVNDGFDVHSEIVEPAVHQPPVSQSMSGLLRPKTSDEAKIATLLARSNLLITRDIEWANLVLGFEQENRYIVVDVCYPQAPVGSIREQSNLIARQLLRTRRPFVASITDALGNELFRVRRPFWWITSSIYAEIDGEEIGVVHQRWHLWRRIYDLYLGNNQFAVVENPGFWNWTFTVKDADGEVLAQIDRDWRGFGFEIFTDAGQYVIRFGKADAAAKTGPATMIEELEVKRPLTLSERAVVLTLAISLDNDYFSRHGGWGIPFMAVGE >Al_scaffold_0003_2974 pep chromosome:v.1.0:3:13749353:13750387:-1 gene:Al_scaffold_0003_2974 transcript:Al_scaffold_0003_2974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L8M1] MGHIGSIRAKYLNDVAARDVLKKQLAVAIYLIDRLAFRAGYEKIVFSGEDSTCFQYTTDVDVSVGKAIRQLHCGKSKTDKGI >Al_scaffold_0003_298 pep chromosome:v.1.0:3:1089791:1091242:-1 gene:Al_scaffold_0003_298 transcript:Al_scaffold_0003_298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L165] WKKAKTMLTMKLNEDEWLEYENVMQDVVKTSGLNKSIFYDLRGNHDIFGVPAVGSSVDFFPKYSINGQMERKGNVNTITVEVGYALPTWSIVDSFPCHGPPIGDIIYYPILWLPLYSFFLVFLIPKCIITVFKKQYTLKKFIAKKRPITLVLWILQYLCRMPVVWFGYMAYLFYLIFFPWFSGEVYTDSGNRTYMTIIGWVVTSSGADRQHEYSWLYVVWLLRERSTKRDVSGMNEDDHDRGRKKRSQRRSSCSLHWLYIGSLSAYERNVVHFPGYSLVVPLLLLYVICKTHP >Al_scaffold_0003_2986 pep chromosome:v.1.0:3:13832242:13833468:1 gene:Al_scaffold_0003_2986 transcript:Al_scaffold_0003_2986 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G05260) TAIR;Acc:AT2G05260] MASDREEFNLCGPSHLTTVDWANEDHQRCVAACLVQGIYIVERDRQLNREGSEALAPPWWESFNFKLIRHLKDDADFSIFGGIYEYKSLQPDVVDSGVPRYVIAFRGTLTKADSITRDIELDIHIIRNGLHRTSRFEIAMQAVRSMADSVGASSFWLTGHSLGAAMALLAGKTIAKTGIYIKSLLFNPPYVSPPIERIANERVRHGIRFAGSLITAGLALSRTLKQTQQPQQQQLQLQNLTEDPLEALSLWLPNIHVNPGDHLCSEYIGFFEHRGTMEQIGYGAGIVERMAMQHSLGGLLMDVMGVSNAVEVEEPVHVIPSANLIVNKTNSEDYKDAHGIHQWWRDDQDLVSHIYMYK >Al_scaffold_0003_2989 pep chromosome:v.1.0:3:13849656:13850481:-1 gene:Al_scaffold_0003_2989 transcript:Al_scaffold_0003_2989 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G05330) TAIR;Acc:AT2G05330] MATQTNKDHFSGGLAKILAEKWQVDVRLKAVDSHEGSVISAHKVILASRSEVFKKMLESDEFKNSAKETITLSEIKQEELEAFVEFIYSDGSMLSAKVKQHAMVLYRAADKYEILQLRDLCRSELISSLNSTNSLDFIELAQTPFDKVLNDAALSYIKTNEFMIGSFDKFKLFVDNYPNLAVEIMMAFLPPSRSCSKCGLKTYHNQTGTSCCNCGFDYPSSI >Al_scaffold_0003_2994 pep chromosome:v.1.0:3:13899400:13900647:1 gene:Al_scaffold_0003_2994 transcript:Al_scaffold_0003_2994 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein [Source:Projected from Arabidopsis thaliana (AT2G05410) TAIR;Acc:AT2G05410] MINCFLIFFVQFRVYRIIFFYCFSIVFACRRIKAFPKGYYNNDNISLFVDVPHKESLPIGWRRHAKISLTLVNQFSEKLSQLKERQQWFNQKLSSWGFLAMIPLTELHARKGFLVNGELKVVAKIDVLEVVGKLDVSQESLSVVETVDVNGFQVLPSQVESVKRLFEKHQDIVSKFRLKNPYLKTACMNVLLSLIQTLCQSPQELSNDGLSDAGVALEYLIETGLKLDWLEKKLGEVKEKKKKEEACLVRLREMDEQLQPFKKRCLDIEDQISKEKEELLAARKPLSLYDDIDNIV >Al_scaffold_0003_2996 pep chromosome:v.1.0:3:13904016:13909888:1 gene:Al_scaffold_0003_2996 transcript:Al_scaffold_0003_2996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L8P1] MGTKVDTTITWVIRDSFSLQDASIYSDKFVVDGCKWHLRFYPKGYNKANCLSLYLHVPDIESLPIGWRIHAKFSLTLVNQYSGKLSKIRETQHWFDQKAPNWGFQEMITLTELHAKAGLVVNGELTIVAKIDVLEVVGKLDGSEESSSVVETVDVNGFQVLPSQVESVKLLFESHQDFASKFRLNNPYMKTAYMNVLLSLTQTLCRSPQELSNDGLFDAGAALSYLREAGFKLDWLEKKLGEVKEKKKKEEACLARLHEMDEKLQPFKKKCLDLEALIDKEKEELLEARAPLP >Al_scaffold_0003_2998 pep chromosome:v.1.0:3:13928110:13928738:1 gene:Al_scaffold_0003_2998 transcript:Al_scaffold_0003_2998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease family C19-related protein [Source:Projected from Arabidopsis thaliana (AT2G05430) TAIR;Acc:AT2G05430] MSKIVESKSTVESKSIVSHPGTAYDEDWDNDEIVSINGFWIFRSQLDLAKRIFEKHPETASNFCLKSEFAKETYLTALLDLIDMMNMLPQQSLFEAELKEAQNTILDLEAAGFKLDWLKRNLEEIRFTKKKVKDRTARMRELDRKIQKHLEELSVLQEEMKKEQFEAMCDKPEYHLDSLV >Al_scaffold_0003_3029 pep chromosome:v.1.0:3:14298928:14309840:1 gene:Al_scaffold_0003_3029 transcript:Al_scaffold_0003_3029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L999] MSGIAEVLVAVGDDIFLVEEDMVQTIRFDEPSVDDSEMQNDDSGNLIGVMETKQIAINYSCESALPPQQMAWCGMDSVLLYWDEDLMMVGDPVHYFYDEPVILIPECDGVRILSNTNLEFLQRVPDSTESIFKIGSTSPAALLYDALDHFDRRSAKADENLRLIRSSLSEAVESCIDAAGHEFDVTRQRALVRAASYGQAFCSNFQRDRVQETCRTLRVLNAVCDSAIGIPLSIQQYKLLTPVVLISRLINANCHFLALRISEYLDMNKEVVIMHWACAKITASPSTPDSHLLEILLDKLQLCKGISYAAVATHADNCGRRKLAAMLVEHEPRSTKQVPLLLSIGEEDTALVKATESGDTDLVYLVIFHIWQKRPPLEFFAMIQGRVLARDLFVAYARCHKHEFLKDFFLSTGLIHVVAFLLWKESWDMGINPMASKGSPLHGPRIKLIEKARNLFSQTKEHTFESKAAEEHAKLLKIQHELEACTKQAIFVDSSINDTIRTCIVLGNNRAAIKVKTEFKVSDKRWYWLKAFALARIKDWAALEKFSKEKRPPMGFRPFVEACIDADEKAEALKYIPKLSDLVERGEAYARIGMAKEAADCAAQANDGGELLERFRKTFVQNAIFDTLKMPFQGAS >Al_scaffold_0003_3031 pep chromosome:v.1.0:3:14317055:14318718:1 gene:Al_scaffold_0003_3031 transcript:Al_scaffold_0003_3031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L9A1] IIVQELLSETQKKLQSQKILVVGRSTNLYRNRYKILIIRLRLYIVVDKLLDLKRCLRGVVFKPIVCSQTSDFEQGSVASWILKEDRDLVLYLEVFKDINLKDYRCISKNHFENLHGVLGVLSPRMDDFHLDLLLGFERFDYIKFDDGESHCLRGIEVV >Al_scaffold_0003_3035 pep chromosome:v.1.0:3:14339688:14340038:1 gene:Al_scaffold_0003_3035 transcript:Al_scaffold_0003_3035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L9A5] LLKSHHYGLREKLINSRAAQHIQQVDWSARFNIAVEIANGLKVSPILHRNIYQRISWTRIWSLPFQITDSTITTATITGRTGYIAPGTDMALRCTEKRPVKDSTDVKVLEEHFWFS >Al_scaffold_0003_3038 pep chromosome:v.1.0:3:14374091:14375476:1 gene:Al_scaffold_0003_3038 transcript:Al_scaffold_0003_3038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L9A7] MDDTLKKKAESRVSFDTNPLKPLGDLLEREGLLTQRSGIKVDINYELCREKVTEVIHCANEVG >Al_scaffold_0003_3039 pep chromosome:v.1.0:3:14383002:14384300:1 gene:Al_scaffold_0003_3039 transcript:Al_scaffold_0003_3039 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 11 [Source:Projected from Arabidopsis thaliana (AT2G05900) TAIR;Acc:AT2G05900] MALVGLHAATVDMEFIGVEDSGDREDKQIAVSIISSGKNADKTEDPDSLIFTGFGGTDKYHDQPSDQKLERLNIPLEAAFRKKSIVRVIRGMKDEKRTHGNVYIYDGTYMITNMWQEEGQNGFIVFKFQLVREPDQKPAFGIWKSVKNWKNDLSTRPGLILQDLSNGAENLKVCVVNEVDKENGPSLFTYVTSLHHEVINIRPMVDPCACGRRSCGPKSVFENISVSSSLVISAKKAGNVARFMNHSCSPNVFWQPISREENGLWCLYIGFFAMKHIPPLTELRYDYGKSRGGGKKMCLCRSKKCCGSFG >Al_scaffold_0003_3040 pep chromosome:v.1.0:3:14385115:14386473:1 gene:Al_scaffold_0003_3040 transcript:Al_scaffold_0003_3040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L9A9] MRVNDVRISTTITPDLTKTKGGMSSSTGQQEACLTMESIFHLEDLGFDIHQQYAYFGVTD >Al_scaffold_0003_3041 pep chromosome:v.1.0:3:14396441:14397360:-1 gene:Al_scaffold_0003_3041 transcript:Al_scaffold_0003_3041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF567) [Source:Projected from Arabidopsis thaliana (AT2G05910) TAIR;Acc:AT2G05910] MKAVVSKLHCSSMEEVMVVRRRPHVVNGGGFVVTDCKENIVFKIDGCGVLGTKGELVLRDGDGNDLLLINKKGGMVQALSIHNKWKGYSYDYQGSPKPVFSLRDPKHSCFSIIGSIRISVQPGNCYFDVKGYFPDRDCGIIDSTGNVIAQVKEWIGSRDIYKVVIKPSVDKAFVFGVIAVLDYIYGESTSC >Al_scaffold_0003_3042 pep chromosome:v.1.0:3:14401848:14402518:-1 gene:Al_scaffold_0003_3042 transcript:Al_scaffold_0003_3042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L9B1] MWFAWLMYFSGRAKLYGVEEDIAEECLQFWISLSEGKIQLLMTLLTPARFKSTPSGYKADKGRSPSGRKADKGRSPSGHKADKGQSLSGRKAGKFMENFRKKSLINREQPSE >Al_scaffold_0003_3043 pep chromosome:v.1.0:3:14416949:14417525:1 gene:Al_scaffold_0003_3043 transcript:Al_scaffold_0003_3043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L9B3] MAAVQAFTPLADIKPFKTHWKIQVKIVHSWTQYTQFTGETVEMVLADTAGTLIHATVKKPQVSKLQRFIVSGEWRIIEHFTLTKSTGKYRATKHGFKMSMMEKTVISRTPAVSDDIYVDLANFPDILNEAGLSENILIGTSS >Al_scaffold_0003_3045 pep chromosome:v.1.0:3:14435745:14438249:-1 gene:Al_scaffold_0003_3045 transcript:Al_scaffold_0003_3045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:Projected from Arabidopsis thaliana (AT2G05920) TAIR;Acc:AT2G05920] MASSSSITITTFLFLFLLHTTAKKTYIIRVKHSDKPESFLTHHDWYTSQLQSQSSLLYTYTTSFHGFSAYLDSNEADSLLSSNSILDIFEDPLYTLHTTRTPEFLGLNSEFGVYTGQDLASASNGVIIGVLDTGVWPESKSFDDTDMPEIPSKWKGECESGSDFDSKLCNKKLIGARSFSKGFQMASGGGFSSKRESVSPRDVDGHGTHTSTTAAGSAVGNASFLGYAAGTARGMATHARVATYKVCWSSGCFGSDILAAMDRAILDGVDVLSLSLGGGSAPYYRDTIAIGSFSAMERGVFVSCSAGNSGPTRASVANVAPWVMTVGAGTLDRDFPAFANLGNGKRLTGVSLYSGVGMGTKPLELVYNKGNSSSSNLCLPGSLDSGIVRGKIVVCDRGVNARVEKGAVVRDAGGLGMIMANTAASGEELVADSHLLPAVAVGKKTGDLLREYVKSDSNPTAVLVFKGTVLDVKPSPVVAAFSSRGPNTVTPEILKPDVIGPGVNILAGWSDAIGPTGLEKDSRRTQFNIMSGTSMSCPHISGLAGLLKAAHPEWSPSAIKSALMTTAYVLDNTNAPLHDAADNSLSNPHAHGSGHVDPQKALSPGLVYDISTEEYIRFLCSLDYTVDHIVAIVKRPSVNCSKKFSDPGQLNYPSFSVLFGGKRVVRYTREVTNVGAENSVYKVTVNGAPSVAISVKPSKLAFRSVGEKKRYTVTFVSKKGVSMTNKAEFGSITWSNPQHEVRSPVAFSWNRF >Al_scaffold_0003_3048 pep chromosome:v.1.0:3:14474322:14474864:1 gene:Al_scaffold_0003_3048 transcript:Al_scaffold_0003_3048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L9B9] CSASSLDHGHRVLPPILLSSVRVSATQTLPQISNSRRTQPPPWLAKEKLGFPLVGLAFNFGPTSFRGPKPMRCEPTSLFNKPRSATLFTLSSLKQESCRSLTAYGRGHHRLEKSLPLFGFYFQHLQIPVTSSSMGVNSKSLRNYGILIPNLNCRRLESGLGSSIPKYHSPTISYIDQKHC >Al_scaffold_0003_3061 pep chromosome:v.1.0:3:14611383:14613121:-1 gene:Al_scaffold_0003_3061 transcript:Al_scaffold_0003_3061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LA56] MMQYSAQSSPFFSTPTGHENISEFLGFSTQTALGGTSDDHEANPNTEDLTPLRKKSSKSSKNSKWTTEQNLVLVSGWTDYDQSEQVDLLHRNHSKPRPNAYHIKNESYSYSLVSYMRPIVDLGFAVGGAWVLLGDGYESGKFSPAASHGRFSFTCGSFSLNRDVASLRYLDCSVLLPLAGFLLRFNFLVVHRRISLRYAYVVAVVATARFRLVVELVGESLCSFLLRNGGERRLLWLSVNALVPPGAVKPL >Al_scaffold_0003_3062 pep chromosome:v.1.0:3:14616672:14617031:1 gene:Al_scaffold_0003_3062 transcript:Al_scaffold_0003_3062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LA57] MGKSWFSAVKKALSPEPKQKKDQDLKLKCSRLEAHLEKVKRKCSDLEAQLLEEKAKVLAAIAPLSSFDDGVFDDFFEFLCK >Al_scaffold_0003_3084 pep chromosome:v.1.0:3:14802235:14804970:1 gene:Al_scaffold_0003_3084 transcript:Al_scaffold_0003_3084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LA75] MEIVTGLARIIAATTTTIAFSPNPLSLSVLDPAFESWLRDSSYLELLDHRTSAAAAAASSSASVSSSAAATSAASDDEHPLPVVSSLLFCLDASLSLLFLQLTLSPSSPMIFPEIRHRGPPVSLAIVTLIRFQRLLSKRELEFMSKSNDSLGITLRYSSSSSLKLITWDDEYIGIYLELLDVELAKIKYKQKMPKEMRRDRICEKFLETTGISLPWDSFKSKYDTLRNKWTSYKRLINFTGMHVDHSTDQIFGSAITMDSDWWNDREKWQKQESVEIKNEATPYKEAYGVLQSIQGLIRWTDFWWACIKVLKEDLFAREMMVSCENDHKKITFLEGYTGYDRHSYFIGDRLNKLQSCKSGLPSVVNLEQNISNTNMETHEEFNASHAELMSLFKEIRYERGTRKTGCDGESSQTKINLED >Al_scaffold_0003_3086 pep chromosome:v.1.0:3:14808684:14810052:-1 gene:Al_scaffold_0003_3086 transcript:Al_scaffold_0003_3086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LA76] MMRLGLQNGGGSESYPERPIIYILLKNGVCGYMPMMELGVSSNMFDIKKNALKKLSKQQSAYRIKLLSSYKEMVAVVVEMVNASRSLRCYTKLGTESLVQFSCSKEDSSDAGDCGGIPVFNFWNVSTFGKTQKQKFGTCHIDMITKKMAEEFVEMFIREVMIKGLLIMELISLSTEVPSTHQQQLVR >Al_scaffold_0003_3093 pep chromosome:v.1.0:3:14864173:14865545:1 gene:Al_scaffold_0003_3093 transcript:Al_scaffold_0003_3093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LA84] MEPKPRFQPLKVREERSKQFARKTIAELKASLEQDVMDNTGESKLMLFDSFVEQIIGIPACDLVDPTTEELDDPLPLNDVVKNIIGKTYQFALCVEQDNISRGNDEYKVSEVLTSQNLNHAALEPEVDYPVDLSSMSSSDQSTDVKVHGVIAVDNSKEHDQPKFIHKLDEAGQEAITKVSEAEQKQVLLKNIKLEKLEGQKGAK >Al_scaffold_0003_3094 pep chromosome:v.1.0:3:14871410:14871910:1 gene:Al_scaffold_0003_3094 transcript:Al_scaffold_0003_3094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LA85] MAVLFSDLNRRKKKWLVFVKVLSIWNNPPKHPNDQTIMILVDETRQRIDATVPTIAIKRNFPRELREGHWYLLSEFDVIPPSLPERNSDHFYQISCNRNTEVDPVRPKSQSEFFNCVDFFSINNASKEDKQFVV >Al_scaffold_0003_3098 pep chromosome:v.1.0:3:15002645:15003415:1 gene:Al_scaffold_0003_3098 transcript:Al_scaffold_0003_3098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LA90] MEESQENAVVAGVRVSGRKVAPEKVSKKGLVEKLQKQLEDGFRRINKKFDGFDKRLKCVELDVKSLKEGRGKANELDKRGEEKDYELEEDEIEESGGEDKENASELEEDENGEDGEKDKEFEENDNGEDGDKELEGKELEENENGDNGEKEKEGDLEGNDLDGDNEKEGDLERNNLDGDKEKEKEKSSEKRQQMKKTYERKRTRKTSAKEQEEEVQVGKKAKVTKKKVDPKKKVDPKKKKVGVMVRSPIMTRNKKK >Al_scaffold_0003_3103 pep chromosome:v.1.0:3:15074241:15074888:1 gene:Al_scaffold_0003_3103 transcript:Al_scaffold_0003_3103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LA94] MQQRKPTASRPSGTPWSLTLLKMDVGRAASRSDLSTVRDALEVSAEMVKKDANNVSDYVQRHLNILAIN >Al_scaffold_0003_3106 pep chromosome:v.1.0:3:15097305:15099678:1 gene:Al_scaffold_0003_3106 transcript:Al_scaffold_0003_3106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LA97] MASEDEIDIDVEPESVPESVKTRKRSSSSTAMPPKPKKKYAKRAPVWQHFVLKEGDDLHSICTSPMISHIERCKSFKEYDERDKQQKLSGVDGGNMKVVRNNLASSQPTSSQPPLSTDLDMDGK >Al_scaffold_0003_3109 pep chromosome:v.1.0:3:15143899:15144770:1 gene:Al_scaffold_0003_3109 transcript:Al_scaffold_0003_3109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAA0] MVSISSMAFPSSVTSMAFPSSVRRIFSSVSSSHNFSRMRSFSSVSVSSSVRSFSVRSFSSTNEELFAKEAEEKLAKEKDEELFAKEAEEKLAKEKDEELFEKEAEEKLAKEAKEAEKLATEAGKVEFAEISDRFTNLLKVHLTPPFTESDVNERKIPVNGYEFLYFLFELPSSKKLVEILMGEKSVQFFLDEVEQNDLAKKYWDLIEEKKAENWDLMKKAEEERKKKAEEERKKKAEEEKKKAEEELKGNDVAEEEQGGEEDDVAEK >Al_scaffold_0003_3110 pep chromosome:v.1.0:3:15145149:15145781:-1 gene:Al_scaffold_0003_3110 transcript:Al_scaffold_0003_3110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAA2] MVSISSMAFPSSVTSMAFPSSVRRIFSSVSSSHNFSRMRSFSSVSVSSSMRSFSKLAKEKDEELFAKEAEEKLAKEKDEELFEKEAEEKLAKEAKEAEKLATEAGKVEFAEISDRFTNLLKVHLTPPFYGIGHKRTKYWNACAHYAIEGLGVEFAFDKKEIPVNGYEFLYFLFELPSSKKLVEILMGEKSAG >Al_scaffold_0003_3116 pep chromosome:v.1.0:3:15227952:15228334:-1 gene:Al_scaffold_0003_3116 transcript:Al_scaffold_0003_3116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LB23] MLSPVVEADEEENEEEEYGNEEEENEEEENEEGQGNGEDGGETSGSSTTLLDSSSDESIEDEIADGNRVKNAAEMNREILRYLGKTGRTGNTIVCDIHVELGNT >Al_scaffold_0003_3117 pep chromosome:v.1.0:3:15231276:15232648:-1 gene:Al_scaffold_0003_3117 transcript:Al_scaffold_0003_3117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LB24] VHLVTHLSYFFRRDQPPPPSVYPTNRDRFQEMQLVFSALLGVATGLDLAELCCDGYPTWARMVLWVMAEFFLIGSDIQELIEAQVVETRTEAKKKLGE >Al_scaffold_0003_3141 pep chromosome:v.1.0:3:17380375:17384905:-1 gene:Al_scaffold_0003_3141 transcript:Al_scaffold_0003_3141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB27] MKKGPIFLDYNAFLSCRRFFLDLDLVFSSVSDLHRSAKVLHGGPVVSLFLFTSQRRCGLPPSMYSSLRFGGCYGAPLAQPSFWLLAATAEVSGATVGVRCLAWCSTVDPVWMLMADVGARVQKVWLKSYDSGISAISPGEKKKNRFVKVAGFPGELWWVGASAGKELGQKQVSSVYGLAWHETNPKFVQSPGTKPIPGTSNYQVRNQSQVRPSRDTKPIPGSSRWSSSELVSLHQSTEVWITSIDVFIAPVWRRRRSVAWFLVKDVEDASVDYLSLNRRSGCWRLRRKLVVLQWVIVVWRGAQRLKTYDSGISAISPGEKKKVKPECFDLLGQESLPHNQFVKVAGFSGELWWVGASAGKELGQKPVSSVYGS >Al_scaffold_0003_3143 pep chromosome:v.1.0:3:17388066:17388483:-1 gene:Al_scaffold_0003_3143 transcript:Al_scaffold_0003_3143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB28] MGLLSLNRRSGCWRLRRKLVVLQWVFVVWRGAQRGKGSEGLGELRLLDSLYFLIPPPQLKSYDSGISAISPGEKKKESLPQNRFVKVAGFPGELWWVGASAGKELGQKQVSSVYGS >Al_scaffold_0003_3144 pep chromosome:v.1.0:3:17398615:17401732:1 gene:Al_scaffold_0003_3144 transcript:Al_scaffold_0003_3144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB29] MEKFRIDGEVTAKKRLRLTANVVDKLVDQASEEMGEEEDIVAVDHGEFWGDLKVAAEKEPNWNELKSAFNSCRSLSLEKGKMLGLLFMVHVDDGDGNEDEDEPEPVLLLQWNGGCPRICINSLFATEKLRKKKIKLQHLVVRPMAEIYPVWPGENVVYGKGVGDNKLVDNLLHDIINDGLKESGFAGDPEIGKKTGKKKKEVDSEDDFVDPPTKRKAETEKRIKKKSNNGKQLRDVSDDSGEEVLEEQGMERLFKMIGSLSEEMKTLNTNLVAGHQKVDQKCEGLKRSVTDLQGDVEKLRKKAGEKNDEINEDSANGSEEGTGTNDEV >Al_scaffold_0003_3145 pep chromosome:v.1.0:3:17405445:17406626:1 gene:Al_scaffold_0003_3145 transcript:Al_scaffold_0003_3145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB30] MSWHKQEKQTSQEGFPISVVFRKEKGKGKRKAGSKKTKTTECVDPTKTSKKLFLEEVSGSMSPLVKDPKGGCIKKEPPSHKNTKLTLLSEKKKPEPDLDLEWSDPEEKEKENKLTATLDKLLVLCSKEDYVPRPCRPRHLASTQLDPYVWSSIVKRIVRDKILSPAAYDPFELDNPLDYTNSSTMFYLKIKNPKEQWPKGEPEYGWLTDVDCIP >Al_scaffold_0003_3148 pep chromosome:v.1.0:3:17425047:17426630:1 gene:Al_scaffold_0003_3148 transcript:Al_scaffold_0003_3148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB33] MSGFDRRFSKRLFLISQWFFSGRIYVYQLNLMAIGSFLMFFGSISELLGILCSGSMRRGFDRRFSKWLSLVSQWCFSGRIYVYGLNLMIVGSILMAFGSISELLVVFYSGSMRRVSFNCLVLWEEAKVSVNFCFGLKLYRMGSVLERNMESYRCGLVLCRGDLQLPFSMEAARISISETEREVPWNPTMSRQDLVVFCLDFGLLRLLLVSVYGLESQFKVGLSISHFVLVAFMGDLQCLFITKEEGFSILEFRRIDLSFYLSIGSQSWSFEVLISIWEKDFTSLASCTGIFLVPKTRFGKCLFGNWFWRRRIVVDTLFDRQNDLSFSFFQNMETKDQIFSFSYNEWAISLENNSSLMREYLTNDFGIWESSWVWFSWAYNLVLYVVVKQKQVCNALCKEACTDLVSEAETEPMFALAGQEKVSDTMWASTLEIMMFLFTYYRIYFNLESWFLMWKQKRRISRVNCDWSFIRNNQKNEDHNPNYGTVKDFTGGRNPPIHKVDK >Al_scaffold_0003_3152 pep chromosome:v.1.0:3:17463870:17464097:-1 gene:Al_scaffold_0003_3152 transcript:Al_scaffold_0003_3152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LB36] YLPMYEYFHDAQKIAKDIKVGYANDPDKLAEVQGLEKVAERNIVAVNLFCQDRSLKVSFEFTHHPYFATAVVRRS >Al_scaffold_0003_3156 pep chromosome:v.1.0:3:17483946:17485310:-1 gene:Al_scaffold_0003_3156 transcript:Al_scaffold_0003_3156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB38] MVPGNSHLSSSTCFTFAEINHDRTTKLSKRDLVYPKRNPPHQAKAYGELLLRDTISSTGTAMFLWFSSPASHPDQTRQTLPLPSPEMHVLLQNLSPSPYSGRRTQDLLLPVKKKLDSPRFFDPKINCLKKKGILFPSPMSRWCDYCHQSSINFLSPTHLFTKLKQSLTNKIVKARSSRDYKKSFRLFVENPEPSSHALESKRWKKNGIMIPSPRRGGYLRFFHLFPSFPLFTKIRQVQFNIFKVSIHESESLRKNGIMIPFPWSGGYRSFPNFLSPIPPDYRTKVNHLLADEQLQLTFLVPARTSVMEPSSTSSRLLTMTTLSSIDSLVEDHSLAVTSHVPECLIAFVAKLSWNPFRFTLSIMLWHWGMPPLFMF >Al_scaffold_0003_3157 pep chromosome:v.1.0:3:17493296:17493884:1 gene:Al_scaffold_0003_3157 transcript:Al_scaffold_0003_3157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB39] VMCDPYTPAGVPIPTNKRHNAAKIFRHQSLPPRSLGFILSKEIKPLISTVFEGKDANVIAHGARNCGKTYLIHVLKAIPL >Al_scaffold_0003_3163 pep chromosome:v.1.0:3:17578437:17579239:-1 gene:Al_scaffold_0003_3163 transcript:Al_scaffold_0003_3163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB45] MKRRKNETVKENNNVMQTPNTKRSRSQLHDQNINPNLHNQQDRSDVQVKGIFNRLRSGIGNIPAQQCDSEALQTVTGPSSSAAIQKETKVTYNTSLHSEVHQTPLNPHKPPEKKGKKWSPPSVNNKQAAKGIILINSRNTMRFPKSLAKEKKTSHKSYDTTIEEDSDDILNSKEETYINMSK >Al_scaffold_0003_3164 pep chromosome:v.1.0:3:17580152:17582673:-1 gene:Al_scaffold_0003_3164 transcript:Al_scaffold_0003_3164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB46] MAAVQAFTPLAEIKPFKTHWKIQVKIVHSWTQYTQFTGKTVEMVLADTSGTLIHATVKKPQVSKLQRFIVSGEWRIIEHFTLTKSTGKYRATKHGFKMSMMKKTVISRTPAVSDDIYVDLANFPDILNEAVLSENIFIGERVVLNSFDMSLLEINPNYPAVLEFVANLPPDVMPLTIEDSKAKQVNAEQRKKDYYDRFPRKTISGLFCFSETGKCNIIYTIMNIDTDYSWYFFSCLKYSKTAYKIPKVENEIVKKDSDQQTDQRNDLSIDQEGLALTNSSETTDPLGPTSSTPSSKRSMDAVSEDIEGQGSTTKKACVSSILGDIEKEEIEGGKN >Al_scaffold_0003_3166 pep chromosome:v.1.0:3:17610649:17611225:1 gene:Al_scaffold_0003_3166 transcript:Al_scaffold_0003_3166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LB49] MRCRWKVCRNKRCGGLMNLDDMKKCVVQPPFKRERTGRPRCRMCNKAGHRKTISTSVKPFNINGDLV >Al_scaffold_0003_3169 pep chromosome:v.1.0:3:17638321:17638723:-1 gene:Al_scaffold_0003_3169 transcript:Al_scaffold_0003_3169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB53] MENGVLDLSDSDDDEEESQGRDYVPTGDAYMAGIRNNIAEQLWRNRRYTICGHRSPLLFTTLRYRFPLPASALRSQLPLQLLSTTPSFTILTIIL >Al_scaffold_0003_3174 pep chromosome:v.1.0:3:17666572:17666890:1 gene:Al_scaffold_0003_3174 transcript:Al_scaffold_0003_3174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB56] MDKILKEEVIKDTKLDLAHNLNGVRSAITQLTNDLQEFKEFLKSSEDKKTVEEAFKILESLSKQEKEIQEKKNIY >Al_scaffold_0003_3175 pep chromosome:v.1.0:3:17700418:17700770:1 gene:Al_scaffold_0003_3175 transcript:Al_scaffold_0003_3175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB57] MESSHISEDFLVEEEDDSISEDEASVNEFEEEDEIANNEDDLQTEEAAALEANNDNHKKDEIYVGMEFSSDEIAHITYKKYGGNHGVHERT >Al_scaffold_0003_3178 pep chromosome:v.1.0:3:17768401:17768952:-1 gene:Al_scaffold_0003_3178 transcript:Al_scaffold_0003_3178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LB59] MEDDTVIRSDALDSFSYAAVFDGHAGSSSVKFLRGVEARRLPRHRGVEIRRPQEAIELYLEAAPENFRREIAAEEKEVGRELKCLLTNVFSSFAADMATVMNALWVAFWTAGANSLTAHLYTDLIRETIDVK >Al_scaffold_0003_3181 pep chromosome:v.1.0:3:17902306:17904603:-1 gene:Al_scaffold_0003_3181 transcript:Al_scaffold_0003_3181 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine carboxypeptidase-like 43 [Source:Projected from Arabidopsis thaliana (AT2G12480) TAIR;Acc:AT2G12480] MFKNMESRRAVVATMVVVLVTVQWLGYTFRFAEGYPEEDMVVRLPGQPKVGFRQFAGYVDVDSENGRSLFYYYVEAVKEPDTKPLTLWLNGGPGCSSVGGGDFTKLGPFYPTGDGRGLRLNSMSWNKASNLLFVESPAVVGWSYSNRSSNYNTGDKSTANDMLVFLLRWFNKFQELKSRDLFLTGESYAGHYIPQLADVILSYNSRSNGFKFNVKGIAIGNPLLKLDRDVAAAYEYFWSHGMISDEVRLTIMNQCDFANPKNMSNACIHAIVDSSVLTEYINSYHVLLDVCYPSIVQQELRLKKMNALHANRTRLPYEWTMCSNRLNYSGIDGYIDMLPILKRIIQNQTPVWIFSGDQDSVIPLQSSRTRVRELAQDLNFKTTVPYGAWFHKEQVGGWVTEYGNLLTFATVRGAAHMVAYAEPSRALHMFSTFVTGRRLPNKPDLKSSIDD >Al_scaffold_0003_3182 pep chromosome:v.1.0:3:17913780:17914860:-1 gene:Al_scaffold_0003_3182 transcript:Al_scaffold_0003_3182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB63] MMRDHRSQSLHCSLSSSFTEKSIKRRSKDRYRVVIASFRSKHNSWVAAAKKREKSNSYKLLFPPRDQLSEDGGQSQTATEFTIIEKSLELSLSEQVWEPGGVIAEQVYGNYLEECSKHDITWMITQKGREMSLHKRARTLITLARKMRDGIVNAYQCRIRVWIVNERLRLKEFSSFDQEALIGIEISCCERHKYMLLLINRSLEMQKFSNCMALLSRSRMRKFTWTYLIKINSDGSSMSNRTTRMCQQLELSTNDLTVGIVRRLKAPPEEFWVNDLVIQAGSASRVSVA >Al_scaffold_0003_3187 pep chromosome:v.1.0:3:17941860:17945840:-1 gene:Al_scaffold_0003_3187 transcript:Al_scaffold_0003_3187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein BOP1 homolog [Source:UniProtKB/TrEMBL;Acc:D7LB66] MSSGISTYQESYSPGFLSGYQDPRPKQHVPGFLSSDEAFTSRFGTTSISNFKTKVARTKPRRQKDSEFQTNPSKKPSLASSSSHESVQDEKKEDVAKKEDIAMIEFSMSNLLGNLARTSDDERQKLQIQSKEQQPQPIVTMNHISALSDSTSSANESETDESLPNQFAIGEESHIPKEEPIYEVISSDDEMGYETQDDGERESSVDGHEGSDDYESEQLDGEDDGSDQSSEQDEESDSSEDEVPPRNTVGNVPLEWYKDETHIGYDIFGKKITKKETGDIINSFLATRDYSKNWCKIYDEYNDEEVELTKEDIKLMRRILKGKAPHADFDSCPPYVDWFKWADARHPLSSAPEPKRRYIPSKCEAKMVAKFVIAIRKGLIKFSKPGEVPNVYLLWGDDSTSGQKSKQLTYIPPPKHKLPEEEQASCDLLCEEDRPKFIPKKFTSLRSILAYGNALKESFDRCLDLYLCARVRKKRINIDPESLKPKQPSRKDLRAYPNSCYLEYKGYTGKVTTLSTECYGQWIALGSTDGSVRIWEVETGRCLKIWQFDEAIHCVAWNPLFGFPILAIAMGRDLVIINTELGTDVEQKNIEELFHLGNVPEPKASVAAIASWLQDEKYGGIMIRHFKNISSIDWHRKGDYMSTVMASGETRGVVIHQISKKLTQKPFKICGLPVTALFHPSLSYFFIATRKNVHVYNLLKVDEPVKKLETRMREISSMAIHPGGDNLIVGNRGKMCWFDMDLSSKPYKTLKNHPKDITNAAFHRAYPLFASSSEDSTAYVFHGKVYNDLNENPLIVPLEILRGHSTSSNGGGVLDCKFHPRQPWLFTAGADSVIKLYCH >Al_scaffold_0003_3190 pep chromosome:v.1.0:3:17954805:17955134:-1 gene:Al_scaffold_0003_3190 transcript:Al_scaffold_0003_3190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB69] MKKGKVANEGKMRESKSKKRKLGAKNEVRSLKEEDLLLDSDYEGDSLSGSLNSGDFYSKDDGSKLEGIMLSMV >Al_scaffold_0003_3193 pep chromosome:v.1.0:3:17996862:17998145:1 gene:Al_scaffold_0003_3193 transcript:Al_scaffold_0003_3193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB74] MSDKKRPMTALDYIKNQPSMQGKNLNSKSAEITHYPNKYEPTQIAYTTCKILRIQSPHDFGFLNLHTTKPFSQSGYHIQGYTYTDYQNAFFRTLCLRAFDHSWFLSFDSRCPNQVPGWFHEWWYWFGPTNEIYPTDIIKTNFPFYSKHLPKQDIDSFKNISFHIDMGIPWICSWHYNLILLLPGMPYSLVREYRIKWWDKYDLNRCSLTNIAKLFQITSQTKAQVQAVSKSILYLHHKILSNDTEQILKPLHHLQQHNLSKNMEHILRLLLLNLNQPKNHLVQHTPQHHQNHPRKRSFKKCSMPSSNNSKNPTPMLLYKMTTPTAVL >Al_scaffold_0003_3202 pep chromosome:v.1.0:3:18056462:18057376:-1 gene:Al_scaffold_0003_3202 transcript:Al_scaffold_0003_3202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L014] MIKRQVEWTLVNKDEAVAAKKKSVETRKLIFKRAEQYEREYAEKDLNLDSSCNFVKPSPTMEILKSFHPQCKR >Al_scaffold_0003_3204 pep chromosome:v.1.0:3:18071529:18072119:-1 gene:Al_scaffold_0003_3204 transcript:Al_scaffold_0003_3204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L016] MVATSIGWMRSAGFEATETNKERNGSIETEKLLSSRLMSLRSPELLRQIQRRSRREIETERDGSGREIWRRRRETVADLRNNRRERRRNTETDSGESMEIVVLIIEISSMGIESSCNNGYSDFTMESNTLRLN >Al_scaffold_0003_3216 pep chromosome:v.1.0:3:18140075:18140470:-1 gene:Al_scaffold_0003_3216 transcript:Al_scaffold_0003_3216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L026] MKHIGLNKGLSPLKKGGPENYTNDGGDIDISNQQEKGQRRREKARRDKKESILLSGDKPANAAKSSWSMSEAKPANAATSDRRCQKMSQPTPLREPAMPDDEPTKRR >Al_scaffold_0003_3220 pep chromosome:v.1.0:3:18250773:18256297:-1 gene:Al_scaffold_0003_3220 transcript:Al_scaffold_0003_3220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L029] MGRLFKMDLEGPLYKCKHCQVEFFVYDDHPLKTRPFIEYPTNLEKLFFFMKWFCLTSMGSLGSGASPSAGPADLYLSFVQLFVLPPASGDAAFLASPHCDPKVKQLEGLIASTFNFDKSIWPGGDSSLPSLRSSRKRKCNHCQSDSSSSTEEPDAKKVMRDLRSRSKSKAKRSQTLSQKNVKSLKASLIVEVRELIDKTLHDNTVLSATVNVTSPVNPASSLPRRVTRSASTAMSVESVANCSTARKKSSVASAKLSASAHTLTESAHNALPSPIRNPSLSTASSQGCTGFRNCPTGAPPNVPASPAVSGSHAPAVIPSPATYPVSSLCPDNIVFTEKALRCPSLLHEAKKLTLESSCIPSASDQGPASNHAPEVSNPHCPHPSPYLSTTPGVAAKHKKNLLPNQLSTSSTQLKDVCALNSTAGPSPLSSPVKHLLQSTSRKTMTRSQARIASLASAKCSQQLLVSGPAMNLRTKKQAPKPPVGDSSEYILSTLEAINSPTVSKFLVKLSKLQGSEYTINGQRYPAAFFSEISKPQKWVSSLHIDCIVSFLWKKHGAYLASRRITLLDSMFTSIMSNKFMSFSQNLNTSAYAWNPLLIAYARDCTPQRKVVSYMSPIAQMLPSLLQSLSNDVPATWPSTGFTFMRVPHLAQNDRGGDCGPMSLKFIELHSHQLTSSLQHLTKKQVDSIRMHYAMDLYAEYLSLS >Al_scaffold_0003_3222 pep chromosome:v.1.0:3:18271511:18272708:-1 gene:Al_scaffold_0003_3222 transcript:Al_scaffold_0003_3222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L030] MLCLNTRGKIHLRELMTLSHKPYSGHEDIFPHRSLMSRNALSQYRRQDTPERAQDKVPQRSFKSRNALSQYRRQDTPERAQDKVPQRSLRSRNALSQYKRQDTPERAQISLQLF >Al_scaffold_0003_3229 pep chromosome:v.1.0:3:18308848:18310630:1 gene:Al_scaffold_0003_3229 transcript:Al_scaffold_0003_3229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L039] MKRQVLVDKAGRKRSGRSYGERNLEERWRLGFGGREKENRMVLDVCDSSCSLHTCSWLRTVQEVEQEMNKKINEIVEQELKFAKENMKKSQSEEAMSEESQSEESLTVSVGEEEASVGVEKILC >Al_scaffold_0003_3238 pep chromosome:v.1.0:3:18385546:18385761:1 gene:Al_scaffold_0003_3238 transcript:Al_scaffold_0003_3238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L050] MASLFNQVPSVSTVFALYTSLSAISMILRRIINEIVLKPIRDYINVKVVDLFTSYCQSSFTLVIEHKWDLR >Al_scaffold_0003_3241 pep chromosome:v.1.0:3:18443973:18446125:-1 gene:Al_scaffold_0003_3241 transcript:Al_scaffold_0003_3241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L054] MTMGDSDLRKPTNFGTGPLSRRRSWCCSFAVPPASPDTLSISSRNHIPAKSQQQRPKPVPCSPQSSKSALNIVNRIDPRRILSPGRVSPIDSDPSVTTMQETETETTHEEEDVVVVDSPPNLRSESFRAPKIEVTVSGSGSGSSEGYDARLSLKGRNGGVLVLELSSEVLAANSDVFAGLIAEDKKCSSSSLGLKNTCRIEVCDVENLGVFRETVELMFEESNGILKKFMKMGVYRAIDVLEVAAGIKFSRAVLSCLKYLEAVPWTEDEEEKLRRLLGIYNFDAAAISEILARFHSNETENSQDSLSKKLVWSITSCSDVNPRNELKSLVKGLLCKSSVYEKEQPEINKEDIYRAGKCCVDSLAKLFEEGSSSCSSKKEKPLIESISREVENINWLLEIMIDREIAEEFVEIWGKQRRLVEMHERVSPMVRYEVSRVTGAIFIAMGKRRVQCGGEARSGLVEAWFKPMLVDFGWLQRCKKGLDMREVEEGMGQMLLTLPVKQQYQVFMEWFRWFSKHGTECPNLSKAFQIWWRRSFLRGVESSTCR >Al_scaffold_0003_3244 pep chromosome:v.1.0:3:18516117:18516278:1 gene:Al_scaffold_0003_3244 transcript:Al_scaffold_0003_3244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L058] MVEKICRKKKVDEATTKLRASYFPFTFKGRKLRPNYIRDDEDVVCEVKKGLFG >Al_scaffold_0003_3247 pep chromosome:v.1.0:3:18548790:18551310:1 gene:Al_scaffold_0003_3247 transcript:Al_scaffold_0003_3247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L061] MFNHNLNILLRRKQLINEHPIDEESQDDSNADSGDVPQTQLPETLEEEDVYHEIVGDNRLRQQYKIPSLATENSNDKVFNNFVLVGFDQDDYDELKKAEAIFIALDLPKHIGFYWACINTHKELVFWGGLSSGSPSSVGNNLGGRNSPGCWGPVYPQWGTPPNAPQWRTPPNAPQWGTPPNAL >Al_scaffold_0003_3251 pep chromosome:v.1.0:3:18552745:18552957:1 gene:Al_scaffold_0003_3251 transcript:Al_scaffold_0003_3251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L062] MATVGLHNFIKISNFSDADFADVMRETNINSRDFEHDVDDMDATELLDGLHMTQIRENIANMLWENQNTR >Al_scaffold_0003_3254 pep chromosome:v.1.0:3:18573638:18574356:1 gene:Al_scaffold_0003_3254 transcript:Al_scaffold_0003_3254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L065] NQEVDRRRETTDRKIETKRFRALSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSNSGAL >Al_scaffold_0003_3256 pep chromosome:v.1.0:3:18583134:18585359:1 gene:Al_scaffold_0003_3256 transcript:Al_scaffold_0003_3256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L0Y9] MSDGSSSFGKTNLTGVRIYPTLSRRRFSSIGVVSPIRGDAQSSFSRSFVCSQNLGLSGGISGFSAPEALPNVCENATPSSIKSFNQLIETLIDRVDLSEAEAESSLEFLLNEALISAFLVLLRAKGETYEEIVGLARAMMKHARKVEGLVDAMDIVGTGGDGANTVNISTGSSILAAACGAK >Al_scaffold_0003_3257 pep chromosome:v.1.0:3:18589974:18590384:1 gene:Al_scaffold_0003_3257 transcript:Al_scaffold_0003_3257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L0Z0] MPIIWHQTLLTLVQLGLNKNLKILSHYHLFPPPKRFSGDSFKQHKRLTRWFPIWRWSF >Al_scaffold_0003_3258 pep chromosome:v.1.0:3:18616578:18619211:-1 gene:Al_scaffold_0003_3258 transcript:Al_scaffold_0003_3258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L0Z1] MENLESLLCLDSDSVRMIGVWGPSGIGVAQERLKDKKVLVILDDVNGLGQLDALARETGWFGPGSRIFITTHDLRLLKTHGINHIYKVMGSYFHGWSKREWKEALPRLKTHLDADIQSILRFSYDALDDKDKDLFLHISCLFNGEHNGQILEEILAKKFSDIRQRLRVLVEKSLISIHLIGMIEMHNLLVQLGKEIVRKQCLQESGQRQFLFDVKEICEGSKNVLGIDLNLLEIEEETNISEGAFSGMSNLQFVKPLKNLKFMNLSFSTNLKELHDLSTATSLKYLILCSCSTLVELPSSIGNAINIGTLDLSECTSLVKLPISIGNATNLERLVLAECSSLMELPSSIGNVINLQILDLGGCSSLVELPSSIGNIINLQKLDLSRCSKLVELPCSFCNANNLEEYQRCITQVEPPHSNWHATNLQEWILIVEKLSSLTENDFCLNMSNSYSSSPGDLLYAIGSAVCLKILDLSECSSLVKLPSSLRNAINLQVLRLQRCSSLVELPSSIGNAYFLQELNLGGCLSLVELPTSIGNIINLEKLNLGGCSSLVELPSSIGNIIDLKKLKFANCSSLVELPSSIGNACKGALS >Al_scaffold_0003_3262 pep chromosome:v.1.0:3:18650980:18651248:-1 gene:Al_scaffold_0003_3262 transcript:Al_scaffold_0003_3262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L0Z5] MILHDGFKPKPYSLTPSRLVSFSMLSLHTEKPSAKKGGGTATCCQEPMPETSFPSTKAKKRLLDSLSLGCSMLRT >Al_scaffold_0003_3263 pep chromosome:v.1.0:3:18669064:18670808:1 gene:Al_scaffold_0003_3263 transcript:Al_scaffold_0003_3263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L0Z6] MYQKLCFSHIIIPSYKRFCFDFSPLEKVALSLCFQTKTCFDGSVNNMHIVKMSFGFAREFYCNQVLTKVRNWNRSVSSKTLKRLKLSCIEYEQISDTVSFDTPNLVYLEYSDYVAGKYPRVNFCSLVEACLNLHMTYDQCAQASYGDLVGNVTDFLMGVSNVQILHFSDRSLEVVKVWKFGEKSYNYNKDMKKQLEQVKHFLETMPNLEQMILYYDTPSDDDVLEVSKQLQMLTRVASAKCKIQALAQAFIESIASSRPFPDS >Al_scaffold_0003_327 pep chromosome:v.1.0:3:1230525:1232642:1 gene:Al_scaffold_0003_327 transcript:Al_scaffold_0003_327 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G03773) TAIR;Acc:AT3G03773] MSRNPEVLWAQRSDKVYLTVALPDAKDISVKCEPRGLFSFSALGAQGERFEFSLELYGKIMPEYRKNVGLRNIIFSIQKEEKSWWTRLLKSEEKPAPYIKVDWNKWCDEDEEVKSETASDDESAFVNEDSESSDDDGLLYLPDLEKARSK >Al_scaffold_0003_3271 pep chromosome:v.1.0:3:18697758:18698097:-1 gene:Al_scaffold_0003_3271 transcript:Al_scaffold_0003_3271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L104] MASADAEFKIYVSNLDMDTDTNDLEDAFSKFGDVIKSNVVYPNEYYYDSPVAYGFVSFKDEKSMKDAINGRRGSLITQESSSC >Al_scaffold_0003_3272 pep chromosome:v.1.0:3:18710410:18713031:1 gene:Al_scaffold_0003_3272 transcript:Al_scaffold_0003_3272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L105] MEATDIWGEIERSESYLVCSIYEEAESLSSSILKRIFGNIDVLSEEAIGDHQLRDMLESAGMVLVQSLHGIGRTVEIVNELRDVFGEVAAIPVQVLLTGICLQISSGSYSGVREILEEFFSIWVYKDNHYILNDAGVSAKGFHEKNCLDIDEYMEVVELYTFGVLAKVSNDIGLAISWVEKAALPEERRQGILRRLHLLLSLKTANVPDASSFEENPKDSSYAVVNNKKSLANEKNDEIDYVLKLSKQHEPWSLWSSHPLSLKVGNTQFSMSRGKFAISLVGLIICYALKRKRAALIRIIRRQMESTRKAIVDFWKLAFSYQVNPLAAIQSIPSTTT >Al_scaffold_0003_3280 pep chromosome:v.1.0:3:18808378:18808794:1 gene:Al_scaffold_0003_3280 transcript:Al_scaffold_0003_3280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L109] MCPNFLKSSAWAPRDPVETPSFLLPEPLHLLLCRRTGEKYPSTGASNGSDVAVSVPTEDSSDAAVKDPVKASDASELDRTEDPVEGLKGTEAVEEMEEVADKEEEEDV >Al_scaffold_0003_3282 pep chromosome:v.1.0:3:18815320:18815743:1 gene:Al_scaffold_0003_3282 transcript:Al_scaffold_0003_3282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L111] MDQSTIYHSSSAPNSPASEPSTPMPHDSPKFDMQRFHRWTGRSQPEAGFPLSEQMSPSVQPIYPWDRVPINGNGPEFQPAGRDFVPGKITYPETFFARCPRSVFTKNQHWVARLFELYPKS >Al_scaffold_0003_3283 pep chromosome:v.1.0:3:18816485:18816835:-1 gene:Al_scaffold_0003_3283 transcript:Al_scaffold_0003_3283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L112] MGTSLVQRMEEERIVKNPPTKNPISLNKSCRLIPSPVMTAAYRDHLKAHSRRKRRLILRMKSAGSKVEYRLTTPSLRMEATICRYLGHLATGLGDPFLSPVKCLRELESLLLREIF >Al_scaffold_0003_3289 pep chromosome:v.1.0:3:18845252:18847047:1 gene:Al_scaffold_0003_3289 transcript:Al_scaffold_0003_3289 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L1S2] MRTHNNSFLDKIFCGKLGETSYAPMLFSLIVILMVLFITSIVSASNLPKITAMVGLWACFGLSCGVYALIAFYRVTRKDPGYVKRTGEVNSQHTANDPLIDINFKNPSWKGNWSQLCPTCKIIRPVRSKHCPTCKRCVEQFDHHCPWISNCVGKKNKRDFLVFVIMGALTSFVGGTTAVQRLWRGIPQIHHRESWIKHIVIEHPDAAVFLFFDLLIFIATMTLTISQSYMIARNITTNELWNAKRFSYLRGPDGRFYNPYNHGWRRNCTDFLLHGYTRDDEVVPSSIL >Al_scaffold_0003_3293 pep chromosome:v.1.0:3:18873648:18874133:-1 gene:Al_scaffold_0003_3293 transcript:Al_scaffold_0003_3293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1S7] MKVEGSFSSGHSNFQPWQKLALIHLSLPQIQISHLRRSRYPSFLHEALIPLGLGVLDLSHRHSW >Al_scaffold_0003_3295 pep chromosome:v.1.0:3:18892489:18892799:1 gene:Al_scaffold_0003_3295 transcript:Al_scaffold_0003_3295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1S8] MIAELNEILENEVVDENGLWKKKITEQSLKVFDRLPEAIQEQLIATLDQNRNVQIRILNS >Al_scaffold_0003_3296 pep chromosome:v.1.0:3:18901056:18903309:-1 gene:Al_scaffold_0003_3296 transcript:Al_scaffold_0003_3296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1S9] MDSSPRVSGSLQRELKKMKDERMKENGRIKEESGRMKENERIKDDSERMKENEREWEFLRVARARERESERAGESESKSGRARERESGRERERAGESGRERERAGESARERERAGERASETRSLARASEREREREREGEGEGEREGEREGEGERERAGEQERESRSGRAGERESGRAGERASGRAGERARAGERERAGERERAGERESGRERESAGERGRERESAGERERESERESARERASERARERESERARERECERARERESERARERECERARERESESARERESERARAI >Al_scaffold_0003_3302 pep chromosome:v.1.0:3:18999505:18999771:-1 gene:Al_scaffold_0003_3302 transcript:Al_scaffold_0003_3302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1T6] MKLIQDSIYSLNSTIQQMQQFMFGDRSTTLPMRFSSPIRLANQQASGLASASTKAARPDRILPFTNPRPAEGLLPAPPGFQKPEVQLQ >Al_scaffold_0003_3303 pep chromosome:v.1.0:3:19020384:19021804:-1 gene:Al_scaffold_0003_3303 transcript:Al_scaffold_0003_3303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1T7] MTIISPFLRTLRLSDIPSLVELPSSFQNLYLLKHLTITECINLESLPANISFEYLTWLDLSRCSRLRSFPDISTNISLLDITETGIEEVPWWIQDFSCLRYFYMSGCNNLQCISVNICKLKSLKIANFAHCGALTEARINDSPSEVAVETDSSYSESQVSDESSSSLSDNYIPKLDLNFRNCFNLDPEALLHQQSFFKDIVLPGEEVPTYFTHRTSGNSSSLTNISMPYTYPYQPFFRTRACAVIDYDGIITPGIYIQLSCRFEGRFGNHFNSTYRKDCLWTDQKVSHLFIFDCLFPLNKDNDPMAEVNYDQVDINISLTGGCNLKGWGIRLFEGYETDQSDECGDNDDSDNQGEECEECDSSDDQSEESGDSDNQTVIRLSQDFSSPENRLGNPNTLPHVCEASEDNMLNDGCRETEHVGECRGNNVETERSSKRMRIT >Al_scaffold_0003_3304 pep chromosome:v.1.0:3:19027954:19031366:-1 gene:Al_scaffold_0003_3304 transcript:Al_scaffold_0003_3304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1T8] MSSSSSFSSNNWRYDVFLNFRGEDVRKTFLSHFLKELDRKLISFFQDNKIEKSQSLGPVLNQAIKDSRIAIVVFSKKYASSRWCLNELVEIVKCKNKLGQHVIPVFYDLDPTDVRNKTGDFGNIFDRTCHNKTEDEIRLWREALTDEVRKVGIWGPSGIGKTTIARALFSRLSHHFQGRVFIDMRFISKSVKDYSKGNPTDYNMKLHLQRKFLSKILDKEGIKLDHLGAVKGKLKHHKVLIIIDDLDDQVVLDALAGGDEWFGSGSRIIAITKDKHILRAHGITYSELNVDIGLQNLVDMSLIHVIPSLEMSIIEMHCLVEQMGKEIVREQSNNPGEREFLLDWKNVCDVLENKTGSNTVQGIPLNLDEIDELRIHKKAFKKMSNLKFLNIYTTTFGGNKETRWHLQEDFDYLPPKLKFLSWEKYPLRSMPSNFQPKNLVKLQMMNSNLEKLWEGVHSLTGLKDMDLWGSKKLKEIPDLSMVTNLETLNLGSCSSLVELPSSIKYLNKLIELNMSYCTNLEILPTGLNLKSLQCLYLWGCSQLKTFPDISTNISDLNLGESAIEEFPSNLHLENLDALEMFSMKNGKLWERVQIFCF >Al_scaffold_0003_3307 pep chromosome:v.1.0:3:19056440:19058382:1 gene:Al_scaffold_0003_3307 transcript:Al_scaffold_0003_3307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1U1] MSTRITPGVGANLLGQHATERNQDATIYVGNLSPQLSEDLLLELFVQAGPVVSVFIPKDKVTNLQQTFGFVQFRNEEDADYAIKVYNMIKLYGEPIRVKKASQDKKSLDVGANLFIGNLDPDVDEKMLYDTFSAFGMVADHPKIMRDPDTGNPRGFGFISYDSFEASDAAIEAMSGQYLSSRQITVSYAYKKDTKGERHGTQAERLLAATNPSSQRSRPHTLFASGPSTQINAPKVNGLPRPFANGGMQPVPIPAPHPPPPPQVYQTQPPSWPSQPQQHGLVVPPPPMQFRPPQGMQPQPPPPQFHHHQQGFGVPRPPPPYQAMGMHQHGWPLQHIQQGVPPPQQLPMHHHHHMSIPPPPPPHQG >Al_scaffold_0003_331 pep chromosome:v.1.0:3:1248803:1248961:1 gene:Al_scaffold_0003_331 transcript:Al_scaffold_0003_331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L1A0] LNDIVKIQEQQGLSGTLTYLQLYVHLELLEPSLKRLERFANLGKNRVNEESN >Al_scaffold_0003_3311 pep chromosome:v.1.0:3:19088365:19088850:-1 gene:Al_scaffold_0003_3311 transcript:Al_scaffold_0003_3311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1U2] MKVTSYSRILVILAALLGALVLPSKAQDSQQDYVNAHNQVRSQVGVGPIQWDEGVAAYARSYAEKLKGDCRLVHSGGPYGENLAGSSGDFSGVAAVNLWVNEKANYNYNSNTCNGVCGHYTQVVWRNSVRLGCAKVRCNNGGTIISCNYNPRGNYANQKPY >Al_scaffold_0003_3312 pep chromosome:v.1.0:3:19124477:19124965:-1 gene:Al_scaffold_0003_3312 transcript:Al_scaffold_0003_3312 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic pathogenesis-related protein 1 [Source:Projected from Arabidopsis thaliana (AT2G14580) TAIR;Acc:AT2G14580] MKVTSSSRILIILAALVGALVVPSKAQDSQQDYVNSHNQARSQVGVGPLQWDEGLAAYARNYTNQLKGDCRLVHSGGPYGENLAKSGGDLSGVAAVNLWVNEKANYNYNTNTCNGVCGHYTQVVWRNSVRLGCAKVRCNNGGGTIISCNYDPPGNYANQKPY >Al_scaffold_0003_3314 pep chromosome:v.1.0:3:19153321:19153482:1 gene:Al_scaffold_0003_3314 transcript:Al_scaffold_0003_3314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2M4] MKHREMRRISEYWVGETEKNRELAKQRGNREELAKQRVTVKDSGGGDEIVGRR >Al_scaffold_0003_3322 pep chromosome:v.1.0:3:19190374:19190562:-1 gene:Al_scaffold_0003_3322 transcript:Al_scaffold_0003_3322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2M7] MQEENNDHQNSATVNALNVSSLVLPRGELEDIPEDPLCEDSSDHDTDLEMSDSENSESSEEE >Al_scaffold_0003_3324 pep chromosome:v.1.0:3:19245138:19245795:1 gene:Al_scaffold_0003_3324 transcript:Al_scaffold_0003_3324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2N0] MANKEDINCPIYRNGFMVPVLTNCHHRFCGKCILVWLTQTNIAEASSLTCPNCRASVTHLGPVGDVVGENS >Al_scaffold_0003_3326 pep chromosome:v.1.0:3:19280796:19281098:-1 gene:Al_scaffold_0003_3326 transcript:Al_scaffold_0003_3326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2N3] MEDNPAVRSRTHATIATSKPQPETEIRSCTRTDLKPFSPEENPRTGAVLERRIPEHTRRARQSVLIRAWTLAASPPTRDEEQTSDWPPQELQRQDGGRDK >Al_scaffold_0003_3330 pep chromosome:v.1.0:3:19321679:19322267:1 gene:Al_scaffold_0003_3330 transcript:Al_scaffold_0003_3330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L2N8] FLKQTMRHVRKVLKVRSTVERRDMSEKEKSLREKNAKILTKLLLILEQEHVIKN >Al_scaffold_0003_3332 pep chromosome:v.1.0:3:19335190:19340426:1 gene:Al_scaffold_0003_3332 transcript:Al_scaffold_0003_3332 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin heavy chain-related [Source:Projected from Arabidopsis thaliana (AT2G14680) TAIR;Acc:AT2G14680] MDLNLDENENLKARIKQLEHERNELQKDIEQLCMQQGGPSILGVATRMHFQRTASLEQEIESLKLKLAACTREKHNLQEELAEAYRVKTQLADLHAGEVAKNLEAEKQVRFFQGSVAAAFSERDKSVMEAEKAEENAEMMSQKLGEIEMRLEELSSDCLVQKRLNDTLQADLAKLEEQTRTYAQVIEKFDDIRKASLCESLEMSLHNKCASLLDDPIESWTFNDPSTSEYVAALEGELGKVKNTVDNLQSKLRVGLEIENHLKKRVRALEKKNIVADRLIVNGITDIRHHHSQLRAYIIDLLTEEGLYIKSISKNVEEKLKLHSSEIRNVVPLQHDFKPDESECRDVHITTVVESCQVTKLAEASIANIMAESRGDTSEALAQALQEKVGALLLLSQQEERHLHEENVNAALQQKVDELQRNILQVTNEKVRTLMELAQLRQEYQSLRDKLSGTSGEETTETSGRIGFSNEKEGRLKNMWKKSYINRWIDPSSRGGSHLNIEADYASNIDYSRMKVEYAAIKENLESMGHLTTSIRRLRLALLKVKESNDTETTARSCEVAIANILVEATHLKTALGISIPISWSAESDMESVSDGESSCERTDSVSAAGFEMVELVILAAEIMKEQHH >Al_scaffold_0003_3337 pep chromosome:v.1.0:3:19365106:19365710:1 gene:Al_scaffold_0003_3337 transcript:Al_scaffold_0003_3337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2P5] MAAPPARARADYDFLIKLLLIGDSGVGKNCLLLRFSDGSFTTSFITTIGYNVFIA >Al_scaffold_0003_3338 pep chromosome:v.1.0:3:19368316:19368832:1 gene:Al_scaffold_0003_3338 transcript:Al_scaffold_0003_3338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2P6] MEGEADHVKRKLVGSDCKNGVKTIRRVLVGVDIVRAKDIIGPKIETFGFCKRDNRREKAMRVEERGESCRDGAKMELQRYKNGSCRDRVKRETRRRRNL >Al_scaffold_0003_3347 pep chromosome:v.1.0:3:19410481:19412771:1 gene:Al_scaffold_0003_3347 transcript:Al_scaffold_0003_3347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2Q4] MDHNHHYSFSPCNFFHRPKPIFGLEGRQEEEECGVNAYLEHRCKLPLFPMHGEDHLNGGSGDIWKYGQSNDRDCFGRDSCASLELQLTALEVVVAEATTLLPPVRAPPICISKLVGCVSANLEEDVGVLGEEKEFSDESNMKKNRRNLVKGNTKKNKRKFGSGNSSHYDSGTYSADVKKFRKLVLDNHKAASTETRQSTETRPITGSVFLEQRGDS >Al_scaffold_0003_3348 pep chromosome:v.1.0:3:19416601:19416822:-1 gene:Al_scaffold_0003_3348 transcript:Al_scaffold_0003_3348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2Q5] MKIPNLKGRTNFSGENNRKGGNRVTLLDRNERQRKLYGEGNTPEKKAITANAITAKAITANQTLTETRRRAKL >Al_scaffold_0003_3356 pep chromosome:v.1.0:3:19514949:19515597:-1 gene:Al_scaffold_0003_3356 transcript:Al_scaffold_0003_3356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2R1] MELGVSSDMFDIKKNALKNLSKQQSAYRIKLLSSYKEMVAVVVEMVNASRSLRCYTKIRTESLVQFFCSKEDSSDAGDCGGIPVFNFWNVSTFEKMAEEFVEMFIRQVMIKVLSIPKSCIYLGL >Al_scaffold_0003_3359 pep chromosome:v.1.0:3:19528863:19531947:1 gene:Al_scaffold_0003_3359 transcript:Al_scaffold_0003_3359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2R5] MLLKAYGFTAETDRQSLLEFKSQVSEGKRVVLSSWNNSFPHCNWKGVKCGSKHKRVISLDLNGLQLGGVISPSIGNLSFLISLDLSNNTFGGTIPEEVGNLFRLKYLYMASNVLGGRIPVSLSNCSRLLILILIKNHLGGGVPSELGSLTNLGILYLGRNNLKGKLPASIGNLTSLRYLGFGVNYIEGEVPDSMSKLTQLLRLHLGSNIFSGVFPSCIYNLSSLEYLYLFDNGFSGSMRSDFGSLLPNLQDLNMGRNYYTGVIPTTLSNVSTLQRLVMEGNDLTGSIPHGFGKIPRLRILSLNQNSLGSQSFGDLEFLGSLINIYLAMNHISGNIPHDIGNLVSLRSLDLGDNMLTGLLPTSIGKLSELGVLSLYSNRISREIPSSIGNITGLVHLYLFNNSFEGTIPPSLGNCSYLLELWIAYNKLSGIIPREIMQIPNLVKLIIEGNSLIGSLPNDVGRLQYLVLLKVGNNNLSGQLPQTLGQCISLEVIYLQGNSFVGAIPDIKALMGVKRVDLSNNNLSGSIPRYLGHFPSLEYLNLSVNKFEGRVPTEGKFQNATIVSVFGNKDLCGGIQELNIKPCPSKAPPMGTNHSSHLKKVAIGVGVGIALLLLLVMASYSLCLLGKRKKNLQTNNPTPSTLEAFHEKISYGDLRNATDGFSSTNLIGSGSFGTVLKALLPIENKVVAVKVLNLQKRGAMKSFMAECESLKDIRHRNLVKLLSACSSIDFQGNEFRALIYEFMTNGSLDMWLHPEEVEEIRRPSRTLTLLERLSISIDVASVLDYLHVYCHEPIAHCDLKPSNVLLDNDLTAHISDFGLARILLKFDKDSFLNQLSSAGVRGTIGYAAPEYGIGGQPSIHGDVYSFGVLILEIFTGKTPTNVLFEGTYTLHNYVKLALPKGVLDIVDKSILHCGLRVGFPVAECLTLVLELGLRCCEESPTNRLATSEAAKELISIKEKFFNTKRTARR >Al_scaffold_0003_3360 pep chromosome:v.1.0:3:19532912:19533252:-1 gene:Al_scaffold_0003_3360 transcript:Al_scaffold_0003_3360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2R6] MKHISRTRQLSWLPFKRMMNKQMGLRDDLSYLKRRMMRSSFSLLSQTTEENTLVLAASGFTSTGSASTQEAVLLSQHSNHSLRMLTGR >Al_scaffold_0003_3361 pep chromosome:v.1.0:3:19534357:19535085:-1 gene:Al_scaffold_0003_3361 transcript:Al_scaffold_0003_3361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2R7] MAPRGGKSKRGRGGGGRAPKVSPNRPVANRPTASWTSNRRPSTLPSQYTFTPANPEAPETQQTSRSNQPPAPVQPPAPVQPPASTQPAASNRQIPSRQQRPSPQPRASVSHHSSQAQNSHEESEDEEAEGESEEDVLRDSTLPEDVLADLHATLLIPGREKYTTVISPNLEPETTW >Al_scaffold_0003_3362 pep chromosome:v.1.0:3:19536143:19537807:1 gene:Al_scaffold_0003_3362 transcript:Al_scaffold_0003_3362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2R8] MSFSIVIGLMLRIIPFIVLQQWEKFSREDFLTYIDLWVQIKASHCRYLAPPVVLDDELDVLVVPVWQEGEETLILKFPVDSGRGHRRFVVVRLTARPSMVAVTACGESGGAEWWALITMGTANSGHENVFTTIAAKKSTLLALDW >Al_scaffold_0003_3366 pep chromosome:v.1.0:3:19544856:19545305:1 gene:Al_scaffold_0003_3366 transcript:Al_scaffold_0003_3366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L2S1] AEKSPVKLWPEINRSLDGKKKNTDPSHADSGGYKRTVLRRASDLEPSDRSNRIRPSEPPLEAYLIPATLFQKRKDHSKLSNPHPSIPYLAKEGTQALQHTSPPLQPHRQNHMRNHINLQSGTRAKPKPVAEKEEGSIRSLHYQTQLQPS >Al_scaffold_0003_3372 pep chromosome:v.1.0:3:19600950:19601990:-1 gene:Al_scaffold_0003_3372 transcript:Al_scaffold_0003_3372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3H8] MVGSAEDDVLEDVSVKTVVDLIRYLIILGNYAPGKLTQRKLQQRERRIPARISPSKIRPPKIRQQSDVEEVGSLLLQRLKRWYEN >Al_scaffold_0003_3376 pep chromosome:v.1.0:3:19636621:19637212:1 gene:Al_scaffold_0003_3376 transcript:Al_scaffold_0003_3376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant thionin [Source:Projected from Arabidopsis thaliana (AT2G15010) TAIR;Acc:AT2G15010] MEGKTVIFSVLVMGLVIWQIQVDAQEQRTCCPSQSSREEFEDCISQGNLHTVCGAGSGCLESYVGFCPSQYPYGSLTNSGDVVSVYCKLGCVSSLCGALTSLQKSDTSGKVNEAVERCSKTCSTICTKGSKTAVETV >Al_scaffold_0003_3378 pep chromosome:v.1.0:3:19654231:19654404:1 gene:Al_scaffold_0003_3378 transcript:Al_scaffold_0003_3378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3I6] MNELSTTRLALTVIMQSLGVQIPQTAAAPPSVAPDSVAAVRDGAAARVGAKARDGDW >Al_scaffold_0003_3384 pep chromosome:v.1.0:3:19739172:19742436:1 gene:Al_scaffold_0003_3384 transcript:Al_scaffold_0003_3384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3J4] MATVQKKRERERERERERERGGERERERERERERERERERERERERDEIEMRGMEIEQKKLSL >Al_scaffold_0003_3388 pep chromosome:v.1.0:3:19762075:19768386:1 gene:Al_scaffold_0003_3388 transcript:Al_scaffold_0003_3388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L3J9] MSSDNVNEGTKFAFDLNLEPVDETLDINVDHVDEENRDALNPVDEENHNSMNHVDEENHDSLNPIDEEIRDSPNDSYTPGDLDSCSICRGTLVNECIGSAYNAKGFMECPNCRNIEPGEWQFADGTHFNADNMIANDDEQEEDNDPGCFSQLIVKSEVCPFGCLGQRYPFLLGRSGISMVNAPMALSYASSSTTQLNPSNVWESTQPFLSLRHHCMVEHELYRMIYGDRNEMGEVSGNHGGSRRNRNNGDGGSGNFGGNGNGN >Al_scaffold_0003_3396 pep chromosome:v.1.0:3:19827419:19829571:1 gene:Al_scaffold_0003_3396 transcript:Al_scaffold_0003_3396 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7L3K7] MSAAAAAVTSSAKLAVNPHKISSLSSRSPLPSAISIRSKRDTPPKRLILVTCTATGDGAKPTVLVAEKLGEAGVQLLEKFANVDCSYNLTPEELNTKISLCDALIVRSATNVGRDVFESSRGRLKVVGRAGVGIDNVDLSAATEFGCLVVNAPTANTIAAAEHGIALMAAMVRNVSQADASVKSGEWKRNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMRVIAHDPYAPADRAHAIGVDLVSFDEALSTADFISLHMPLTPATSKMLNDETFAKMKKGVRIVNVARGGVIDEDALVRALDGGIVAQAALDVFTKEPPAKDSKLVQHERVTVTPHLGASTMEAQEGVAIEIAEAVVGALNGELASTAVNAPMVSAEVLAELKPYVVLAEQLGRLAVQLVAGGSGVKNVKVTYASARATDDLDTRLLRAMITKGIIEPISDVYVNLVNADYTAKQRGMRISEERGVLDGSPESPLETITVQLGNVESKFASALSESGEVKVEGRVKDGVPHLTKVGSFEVDVSLEGSIILCRQVDQPGMIGTVGRILGESNVNVSFMSVGRIAPRKQAVMAIGVDDMPSKETLKKIGEIPAVEEFVFLKL >Al_scaffold_0003_3399 pep chromosome:v.1.0:3:19850155:19850639:-1 gene:Al_scaffold_0003_3399 transcript:Al_scaffold_0003_3399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G15325) TAIR;Acc:AT2G15325] MRKSILIACVIAITILMSHLNVFTVHGLTPCEEATNLLQPCLKYIWIEDTPSPDCCSGLDKVNKGVKTYDDRHDMCICLSTEAAITSAPQYKFDNLPKLCGITLFAPVGPKFDCSSIKV >Al_scaffold_0003_3402 pep chromosome:v.1.0:3:19886692:19888384:-1 gene:Al_scaffold_0003_3402 transcript:Al_scaffold_0003_3402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3L3] MYHIFQIYRKSFKALGLKKKILIAVVFGCLVIILSFSNNFNNQILNDTTKDIEESERPVDKLIGGLLTADFDESSCLSRYHKHFLYRKPSPYKPSEYLVSKLRSYEMLHKRCGPDTKAYKEATEKLSRDEYYASEANGECRYIVWVAGYGLGNRLLTLASVFLYALLTERIILVDNRKDVSDLLCEPFPGTSWLLPLDFPMLNYTYAWGYNKEYPRCYGTMEENHSINSTSIPPHLYMHNLHDSRDSDKLFICQKDQSLIDKVPWLIVQANVYFVPSLWFNPNFQTELVKLFPQKDTVFHHLARYLFHPTNQVWDMVTKYYDAHLSKADERLGIQIRVFGKPSGFFQHVMDQVVACTQREKLLPEFATQEELKVNISKTPKLKAVLVASLYPEYSGNLTNMFSKRPSSTGEIVEVYQPSGERVQQTDKKIHDQKALAEMYLLSLTDNIVTSARSTFGYVSYSLGGLKPWLLYQPTNFTTPNPPCVRSKSMEPCYLTPPSHGCEADSGKNSGKILPFVRHCEDIMYGGLKLYDEF >Al_scaffold_0003_3406 pep chromosome:v.1.0:3:19920959:19923093:-1 gene:Al_scaffold_0003_3406 transcript:Al_scaffold_0003_3406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3L7] MTQRLEAQGTNDRNYEWDDGSDHDDVTKISVRGDINGIQYIRFDYIKSGQIKYGSFHGWTSPGLSQTFEINKLKDEQLESVEGYYEPNSGVIQGIQFKTNLRISELIGYEENGTKFSLAVDGKKIIGFHGSSSSKLKSLGAYFTRISPTRLEVKGIKGGKEWNDGSDHEGVTKIHVRGGPEGIQYVKFDYITDGKHIYGQAHGATGRGFTQPFEIDHFNKEYLVSVEGYYDNDKHGVIQGLQFRTNNKTSELMGYDNGKKFTLAASGKKIIGFHGFAEKNLNALGAYFTTFPFTKLELKGGTTLGKIWDDGAFEGVRKVSVHSKNSYVNCVTFHYENNGKVEKREHGSMAGKEEEFAVDFPNEFITSVEGTLETDGYTWIASLTFKTSRGRTSPPFGSMTKTKFVLEKKGCAVVGFHGRSTGCILALGAYFYPLPPPVGVEKLEAKGSDRGDSWDDGSFDSIRNIYMGHNEMGVAFVKFLYDKDSQTVIGDDHGNKTLLGVDEFELEYPKEYLISVEGSCDVLDGSEYEVIRMLSFKTNMRTSKIFGLETTSSFILQKECHKIVGFHGKVSNMLHQIGVHVLPITD >Al_scaffold_0003_3407 pep chromosome:v.1.0:3:19946052:19947366:-1 gene:Al_scaffold_0003_3407 transcript:Al_scaffold_0003_3407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3L8] MLRCHAFPVEDAGDWMWEYPRGSAVGLVTTATAEVGGGKVARSGPDNVDLAFRRVEVSGRDCSGSGGSTVRDRWWKLGSFNVGSNALFCRKAVALESAGIAPYDSLRPVCYLTRSSHPYLKIS >Al_scaffold_0003_3413 pep chromosome:v.1.0:3:19994694:19995591:-1 gene:Al_scaffold_0003_3413 transcript:Al_scaffold_0003_3413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3M4] MAPRVRGGRGSGRGKRGPKSPVKRPTVVPTRPTSSGVSSRRPRSLPSQYEFTPANPEDPNHGTEHPPNPGGSPRGSRTTPFRASVSSVHRLASGSPRASQSPAPVQPPAPVPSPVVNQQRPPRASLSADDENTSEDEGLRDSTLPEDVLATLHDTLVIPGRELYTTLISPTLEPGTTWYGSV >Al_scaffold_0003_3428 pep chromosome:v.1.0:3:20103805:20103987:-1 gene:Al_scaffold_0003_3428 transcript:Al_scaffold_0003_3428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4E2] MDPLEYPFDIKAYKRQSEIEERYIINRFREHRNKIEEDYPPRSKRKILQKRSCTSKSKTN >Al_scaffold_0003_3435 pep chromosome:v.1.0:3:20152200:20163321:-1 gene:Al_scaffold_0003_3435 transcript:Al_scaffold_0003_3435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4F0] MAINPDYLRCKEERNNESFFKEKIDIVSILNKIRAQWGSSWEVAISKNPRFYYLEKSGWGKFVRDNALGNNEFITFTHKGKMRFTLNIFKQDGKEMMQPPQSSSFLASSSRGIKTEQREDDKKEEVVVSSELSPTTAAESNGGTSKRNLNLGKKKARGDSAAFDKAHMPKENAMFKIHHPDGKRSWNVTYLASTTVAFSAGWICLIKEYPIVAGVTCKLTLIKPDELLLVVLRPEEETE >Al_scaffold_0003_3443 pep chromosome:v.1.0:3:20240687:20241968:1 gene:Al_scaffold_0003_3443 transcript:Al_scaffold_0003_3443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4F7] MVRDAPPEPPCHHMLPPELAWCHGGHMSVRQFNTVACRVATGTIRFFSLRVPPSTKSTRLGEASTLELSRWDAEAGPSVPVHVLEVSQTALRRVTDLSVPEIEMGPGEDDGVIALFTDYSEDEEERVETPIHVIDLEEYEMVESEAEPEMTMWEDYGILPDSPV >Al_scaffold_0003_3446 pep chromosome:v.1.0:3:20286119:20289434:-1 gene:Al_scaffold_0003_3446 transcript:Al_scaffold_0003_3446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4G1] MESVEAVEKILNYTFTDKNLLKEAITKTSGSNTESSSLLFERLEFLGDSVLLVVFSNHIEITYPNLHPNDLNDVRIANVSNETFARVAVNNNLHQFIIFDAPNPLLHKMSHVLTNKWCHVLKIKDFSEAVKEEVYPAPCGGLVKAPKFLADLVEAIAGAVYIDVDFDVQRVWEIFRVLVEPICTLDDLRKQPERTYLRLLGLGDKLGKRIEVFFEEEGGPKIEQKFVCSVNIEIPSVESIFHMKGDAKSTKTQAQNSSAYYMIGALKSSLMSHVKSNLPIEKSLDEKISSNIEIPSVESVFHMKGDAKSTKEQAKNSSAYYMIGALKSSLMSHVKSNLPIEKSLDEKISSNESCLPHERSLESLSSEIDSDPVKAVEKILNYSFTNKNLLREALTHNSSNLLPFQRLMFVGKAGISLAFANHMYLTYPKLGPKELTMLQNANTCNDRYARVAVKRGIYQFLKCNVRTSKRQIIEFIKMLGKEDDPDPFRSVKAPKILTDLVESVAGAVYIDVNRDVKRLWEILRGLFEPIYTLDDIRRKPHPLLTLFCLGYKHGKRIEFRYRECEKSSGMNEATITEVYVDDKFIACGKSCNRAASKMRAATMALEKLSESMPIELVMDDSIEYENSKKTLIEICNERKWPNPIFSVERSSKRFVSSVTIETPTEEGTLYIEGDLEKGKEKAEYNAASHVVRTLKASPLGLVVRNLKRKQISLDEEEIVVKENKDEQEILHRNKRKRRRR >Al_scaffold_0003_3447 pep chromosome:v.1.0:3:20290552:20291796:-1 gene:Al_scaffold_0003_3447 transcript:Al_scaffold_0003_3447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4G2] MSSDGNNDCRDLSWSLYMVSLDEKPCIHRVMKVRPTPVNRYKTSMLAFYHSTLYQHPVEVQAIRKVKAQANQLYRRSFHCPLSVSHPTSLNFQYGAQRVTWTRTRESRDAVTNGSRVAQAVELDFLFFVPFRGTEQAVAPQNLRRSTRLGGCSLK >Al_scaffold_0003_3450 pep chromosome:v.1.0:3:20314372:20315242:-1 gene:Al_scaffold_0003_3450 transcript:Al_scaffold_0003_3450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L4G5] TEKDCNKCLLYGLRNATKGRVGIGWFSPSCKFQIESNLIFFRLESEYEPDSQTEEDKVKIIIATVGSVVGFAVIVVCLYFLLTRYRRKKKQRLEGKDVENNKIKDAQLMKLDFDTIRVATNDFSPKNQLGECRFGA >Al_scaffold_0003_3459 pep chromosome:v.1.0:3:20413346:20415659:-1 gene:Al_scaffold_0003_3459 transcript:Al_scaffold_0003_3459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L584] MPHIYKQPLGIVQGFVLTLTDAEASFIAQRQLLTLPENGELPDDIEYEVDLKVTFANHRLKRAYIALQAWKKAVYSDPFNTTGNWHGPHVCGYTGVFCAPALDDPNVAVVAGVDLNGADIAGHLPAELGLMTDVAMFHLNSNRFCGIIPKSFEKLSLMHEFDVSNNRFVGPFPSVVLSWPAVKFIDLRYNDFEGQVPPELFKKDLDAIFLNNNRFTSTIPDSLGESSASVVTFAHNKFSGCIPRSIGNMKNLNEIIFKDNSLGGCFPSEIGKLSNVNVFDASMNSFTGVLPPSFVGLTSLEEFDISGNKLTGFMPENICKLPKLVNLTYAYNYFNGQGDSCVPGSQKEIALDDTRNCLPDRPKQRSAKECAVVISRPVDCSKDKCAGGSSYVTPSKSPSPVPTRPVHKPQPPKESPQPNDPYNQSPVKFRRSPPPPQQPHHPVVHSPPPTPPVLSPPPSPPVHSTPSPVHKPQPPKESPQPNDPYDQSPVKFRRSPPPPPVHSPPPASPVHSPPPPPPVYSPPPPPPVYSPPPPVYSPPPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVYSPPPPVYSPPPPPPVHSPPPPIHSPPPPVHSPPPPVHSPPPPPPPDHSPPPPVYSPPPPVHSPPPPVYSPPPPVHSPPPPPVNSPPPPPPPVYSPPHLPPKMSSPPTQTPVNSPPPQTPTRTVEAPPPSEEFIIPPFIGHQYASPPPPIFQGY >Al_scaffold_0003_3461 pep chromosome:v.1.0:3:20430269:20430523:1 gene:Al_scaffold_0003_3461 transcript:Al_scaffold_0003_3461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L586] GELTVRVTNLSEDTRGQDLMELFCPFSAVTCCHVAIDQKTSMSRGFGFVNFVSREDAQRAINTLNGYGYDNLILRVEWATPKPN >Al_scaffold_0003_3473 pep chromosome:v.1.0:3:20513150:20515543:1 gene:Al_scaffold_0003_3473 transcript:Al_scaffold_0003_3473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5A0] MRRRNQRTKADETLKKTDPQIGFKFTDPWSSRANSDLKRRRRVSFDDVDHRSPLNEYQAGGSIDAKTSEFAFFNKLKSGFGHCSESYDSKPSNKVQKIPKIFESRVKPVEHAGTDCDDNDRSKSYSFSTPIHSARRGSSMSHANLTQRDRGRDNGKSSSSFSGDKDTVPSGNNLRSGSGGISEEKGDIFSVKRKKLHHLVKDTWFSEIPELTSNGHDLVSILLTRLFPSTEETQPSRFSKERTDRVKRRTFVDSPGSKFLKRSYESYTEVDHSLQMDRDRLISWQGWLENNITPRLQYPTGHVQSSFIPRDPEEISFPILYPKESVYQPLLLKQKACLSLPFEENFDCSPISSLHFRNYKPLSMDYHRGETGYSSEDLSHEHREPSSALLLEWNTETASTRKTDDFPLSYRTRLITYPTASSSSLTDNPWNSDFSSSHDLVTKELYPLPLLSHYTSGSFLLPATNHASHFEHDELERYIIGDEDVVAANQNLHTSHRTNSSDCLTRDYINYHNLSNSPVDHCPFKVPGCEIVSFPFSSISNSNMLEASSPRQSDRFKSHDWIPSQFQSPQVWIDS >Al_scaffold_0003_3480 pep chromosome:v.1.0:3:20550204:20552350:-1 gene:Al_scaffold_0003_3480 transcript:Al_scaffold_0003_3480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5A7] MSQKKLTRTQSSLLRSSPTIRSSIQSLSSITECDDFNEISYPRREQDLEAGEKEEKQRRRKPVQSFGSINRIKPGLAFTLACLSFLSLSSFLLFFVDEIFTSENLLLGLIFVALALFFASRNMAVINQIVIAIKQIRVRSRIKHKPKPVQWYIGDTKPEPIKEEEQRLVVKEGVQYFSNGDFYEGEFNRGKCNGSGVYYYFVNGRYEVDWINGRYDGYGIECWSKGIFTQIRGKHVNYKICRTKDFIVN >Al_scaffold_0003_3484 pep chromosome:v.1.0:3:20565619:20566185:-1 gene:Al_scaffold_0003_3484 transcript:Al_scaffold_0003_3484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5B3] MLVNEIAREIENKLLRASRMKIIIEWSLVITNLMLEIASSVFDQISSAHKPLYALVAMSMSLLSCLLCIIDLLHKGRVERVVWKWTWPIPWFHYQTQRSNRFGSFPEMLGLVCALCQTTITAVNYSFITRRDDTPIKFSVWPIMFALGLLCTLLIKRSR >Al_scaffold_0003_3485 pep chromosome:v.1.0:3:20566295:20566798:-1 gene:Al_scaffold_0003_3485 transcript:Al_scaffold_0003_3485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5B4] MKHDVFISFRAKDTRDNFVSHLCGCLRRKRIKTFLYDELPAEERYEESLKAIEVSRISVIVFSENFGDSKWCLDEVVAILKCKEKFGQIVIPVLYHVDPLDIENQTGSFGDAFAKRRDIAEKLQEWKDGFTEAINLPGWSTAYLRYIPNYSYSSFAFFFFCCCCCCL >Al_scaffold_0003_3488 pep chromosome:v.1.0:3:20572874:20575231:-1 gene:Al_scaffold_0003_3488 transcript:Al_scaffold_0003_3488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tesmin/TSO1-like CXC domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G20110) TAIR;Acc:AT2G20110] MGEGEEGEKFPPKTDEVTLESMKSARQLDFTGVEYSQSNQPPVASSSTAAASIPSPVTRPITSQARPVTATVGTPIPPPPQSQGILHVPIRHPVDTCFFSFVRPVGEARDGTPQKKKQCNCKHSRCLKLYCECFASGSYCDGCNCVNCHNNVENEPERREAIGSTLERNPNAFRPKIAASPHGGRDNREEVGEVVLLGRHNKGCHCKKSGCLKKYCECFQANILCSENCKCLDCKNFEGSEVRQSLFHGEHSHNVAYLQHANAAITGAIGSSGFASAPAPKRRKGQEIFFNQGPKDSSTHRLGQANSGRTTSPKTGSHAGGNASLRPSKVVYRSLLADIIKPKDVKALCSVLVSVAGEAAKTLTEERLADQKETSVASSVQDQGHSNNKGTEGEKAASGNQAEKSGPDGSKGRSLSPETLALMCDERDTMLMVAASPNCSVEPPSQLPNGQDQVYAEQEKVVLTKFRDCLNRIISCGEVKESNCLMSRMDLDTPVHTAVKIDPVVDQRPVANGVSQTAKQPSQLTTTTKTTTTTTTITTTTTTTTTTPNTSSQTHLHKTPALSEKKDL >Al_scaffold_0003_3489 pep chromosome:v.1.0:3:20581860:20587313:1 gene:Al_scaffold_0003_3489 transcript:Al_scaffold_0003_3489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5B8] MGKTSKPKKKPPGKNPQSVLISPASSTSKSDVNSNRQSLPRSPIAPISPEIASVPSNVKSSAVIATESTVLVNLEGKMKYLPEASSFSPPGSPQSQIQVQNASAVPVTCSAIIEIPAAGAPDLGQKISVNVAPNQSDSDPLNTSIDGESAQSKSAVEALNPVATQITTPAPKIDPWLGKAKVTAQVEVENQVHVVAPLQTQRVTAVQQSPTKNKAYVAKAIPEAETVVPIASHSVPLVGESSKATGKRVLVEDSDTDKGKVSEAELDSSDTSSSEEGEISEDVHLF >Al_scaffold_0003_3500 pep chromosome:v.1.0:3:20694619:20695522:1 gene:Al_scaffold_0003_3500 transcript:Al_scaffold_0003_3500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6D3] EEGEDSDAVRDAGGRKRTTAISPFLEREKKREKRVKKDIEREREREREREREREREREREKEKSSLRGLLSVDV >Al_scaffold_0003_351 pep chromosome:v.1.0:3:1326261:1327553:1 gene:Al_scaffold_0003_351 transcript:Al_scaffold_0003_351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L208] MFDERGSFSIAHPYPGPLAALFKSIGKLPDRVAFTGEIVPVKEKRVDAVNKYVEEAIQFEMRAISESPNSVRSILNSSDRMYASRCDSLRALIDDAKEKYVIYKFVPSSCMFIDPNGAKEIDLKVLELSKADPLGTWSTKLVDGINKNESRRRALILFCLYYLDINARDAYMVSVDKKGFHLLGKVPSEEEAGDEYQWREFRFEFEEEVKDVEAFCHQLVEMEQEVVSKFTDHTGL >Al_scaffold_0003_3511 pep chromosome:v.1.0:3:20730752:20731335:1 gene:Al_scaffold_0003_3511 transcript:Al_scaffold_0003_3511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6E7] MGWMVKTTTSDQRLNKSERHRLKSCHRLRKVIRHKESKTMGWMVITTTSDQRLNKSERHRLKSCHRLRKVIRHKELRQWGWMVCASDYVQIDGL >Al_scaffold_0003_3514 pep chromosome:v.1.0:3:20746916:20749497:-1 gene:Al_scaffold_0003_3514 transcript:Al_scaffold_0003_3514 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidoreductases, acting on the aldehyde or oxo group of donors, NAD or NADP as acceptor;copper ion binding [Source:Projected from Arabidopsis thaliana (AT2G19940) TAIR;Acc:AT2G19940] MSTASTFSSIQGCWFKGERRIRVADKRVKKLSLGSHVASPSSMSFRVSASSSVKPEKDIRIGLLGASGYTGAEIVRLLANHPHFQVTLMTADRKAGQSMESVFPHLRAQKLPSLILVKDADFSTVDAVFCCLPHGTTQEIIKELPTALKIVDLSADFRLRNIAEYEEWYGQPHKAVELQKEVVYGLTEILREDIKKARLVANPGCYPTTIQLPLVPLIKANLIKHENIIIDAKSGVSGAGRGAKEANLYSEIAEGISSYGVTRHRHVPEIEQGLSDVAQSKVTVSFTPHLMPMIRGMQSTIYVEMAPGVRTEDLHHQLRMSYEDEEFVKVLDEGVVPRTHNVRGSNYCHMSVFPDRIPGRAIIISVIDNLVKGASGQALQNLNIMLGYPETTGLLHQPLFP >Al_scaffold_0003_3518 pep chromosome:v.1.0:3:20788847:20789222:-1 gene:Al_scaffold_0003_3518 transcript:Al_scaffold_0003_3518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like (DEFL) family protein [Source:Projected from Arabidopsis thaliana (AT2G19893) TAIR;Acc:AT2G19893] MAKPCAAFLLVFLCLSMLILSTPEVDAKLCMSIKTLTNISCEMDDCDCDYDHKCGEWDDESYGNCKQHHIRTVCKCTLNCPETSSTSNA >Al_scaffold_0003_352 pep chromosome:v.1.0:3:1328163:1329939:-1 gene:Al_scaffold_0003_352 transcript:Al_scaffold_0003_352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G04030) TAIR;Acc:AT3G04030] MYYQNQHQGKNVLSSSRMHITSERHPFLRGNSPGDSGLILSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKTIMKVMGIPGLTLYHLKSHLQKYRLSKNLNGQANSSFNKIGMMTMLEEQTPDADESQSESLSIGPQPNKNSPIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGKQNLGAAGIEAAKVQLSELVSKVSAEYPNSSILEPKELQNLCSQQMQTTYLPDCSLESCLTSSEGTQKNLKMLENNRLGLRTYLGDSTSEQKEIMEEQLFQRRELTWTEGRRGNPYLSAMVSEAEQRISYSERSPGRLSIGVGLHGHKSQHQQGNNEDHKLETRNRKGMDSTTELDLNTHVENFCTKRTKQFDLNGFSWN >Al_scaffold_0003_3526 pep chromosome:v.1.0:3:20857509:20857840:1 gene:Al_scaffold_0003_3526 transcript:Al_scaffold_0003_3526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6G5] MYRRIYVQKDTSVQKDTSVQKDTSVQKDTSVQKDTSVQKDTSVQKDTSVQKDTSVQKDTSVQKDTSILVFAKS >Al_scaffold_0003_3527 pep chromosome:v.1.0:3:20870415:20870775:-1 gene:Al_scaffold_0003_3527 transcript:Al_scaffold_0003_3527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L6G6] DKDAETVFGLLIYSLERLYRVVEKPARATDEWDLVKQDLIELGRPQQQTSYKLTVTQRLVTVYDCLLPTRKRQ >Al_scaffold_0003_3545 pep chromosome:v.1.0:3:20937996:20938172:-1 gene:Al_scaffold_0003_3545 transcript:Al_scaffold_0003_3545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L6Y4] MVGAREKLREEGSAMRVVSLVSWELFDKQSKEYKEKVLPSEVSGKVSTEAGSTFGWEKM >Al_scaffold_0003_3546 pep chromosome:v.1.0:3:20944669:20945410:1 gene:Al_scaffold_0003_3546 transcript:Al_scaffold_0003_3546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L6Y5] TDDYHAGVTAVLWDIKRCPVPPDCDARLVGPCITEYFEDLGYSGPINIYAFGQLTDVPDDVLRAVSSTGISLNHITFKTDSADIMHLMACWTCLNPRPATIMFICPYSKVSKNDMNHLEEKWGCHVIKQFTYDSPGSQSYFGNFLRLEDDSGTLAEDKCSETGEHASCACLVCNISGKGFENFTMHLKTHALEEE >Al_scaffold_0003_3547 pep chromosome:v.1.0:3:20947642:20952148:1 gene:Al_scaffold_0003_3547 transcript:Al_scaffold_0003_3547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6Y6] MVLIKEREMEIPVIEFGELDGENRSKTMALLDHACDKWGFFMVDNHGIDKELMEKVKKMINSHYEEHLKEKCYQSAMVKALTEGKTSDADWESSFFISHKPTSNICEIPNNSEELR >Al_scaffold_0003_3551 pep chromosome:v.1.0:3:20970176:20972060:-1 gene:Al_scaffold_0003_3551 transcript:Al_scaffold_0003_3551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6Z0] MRGSRAHTPSETRSESRWETPNQHWIKCNYDGTYSNGRISQAGWVIRNDRGTYLGAGQAKEHQQCGTQEDRCEAN >Al_scaffold_0003_3553 pep chromosome:v.1.0:3:20991897:20992962:1 gene:Al_scaffold_0003_3553 transcript:Al_scaffold_0003_3553 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G19550) TAIR;Acc:AT2G19550] MKPTKIVIPNRRNEKLVGLLHETGSKDVVVLCHGFRSDKANKILKNVATALEIEKISSFRFDFSGNGDSEGTFYYGNFNSEAEDDLQNVIQHLSSNMNRVVPVILGHSKGGDVVVLYASKYGDNIRNVVNISGRFDLKKGVRLGDGYMEKIKEQGFIDATEGKSCFRVTQESLMDRLNTDMHEACLKIDKECKVLTVHGSDDTVVPVEDAKEFAKVIPNHKLEIVEGANHGYTKHQKELVSIVVEFIKTAIVEEQLVSLSLENSLWLVLLEKRMLFKN >Al_scaffold_0003_3564 pep chromosome:v.1.0:3:21042808:21043407:-1 gene:Al_scaffold_0003_3564 transcript:Al_scaffold_0003_3564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L702] MVTYADNKISPACLLVLLLFVVPSYAMFSTMVTEDEIHTICTKEDINSSFCFEVLKANPKIARLDFSGLAKFLLNYQAQNISDTLKQFKLSGGYTPDIDSYIFCDTQYRLCKELYENALDNRESALKYLAAKDYDGLNTMVSGTLTDMFTCTDDLSTMKPVPQFFMTKSNVIKDLSNIILVIVECFLRKEKILCPSRDL >Al_scaffold_0003_3567 pep chromosome:v.1.0:3:21061156:21063082:1 gene:Al_scaffold_0003_3567 transcript:Al_scaffold_0003_3567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L705] MFLIIHLYRPFRVGQFFHVGGRFRQTGKTTIAIDTILNQKQINSRATSESETMYCVYVAIGQKRSTVGQLIQTLEEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLFRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKQVCGRSKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTE >Al_scaffold_0003_3571 pep chromosome:v.1.0:3:21086902:21087225:1 gene:Al_scaffold_0003_3571 transcript:Al_scaffold_0003_3571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7I8] MKILRLMRLTKSIWDANLRLTKSISSSNSVFYGFNVVKVNTLNMDGKKKSKVIRVSFVEEEDDKKYHHWLDRKEKWEIAVMVKVKIVVVVKELILRRGLVLRRRRRE >Al_scaffold_0003_3577 pep chromosome:v.1.0:3:21121670:21123683:-1 gene:Al_scaffold_0003_3577 transcript:Al_scaffold_0003_3577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of Unknown Function (DUF239) [Source:Projected from Arabidopsis thaliana (AT2G19360) TAIR;Acc:AT2G19360] MIDRIMTNLIVLYILVILAMIVTGSESSNTRDAEINRLMKKLNKPFLKSIKSPDGDIIDCVHMKNHPIYDHPLFKNHTIQMRPTSYPEGWSNKDSDNEKHNMVPQLWTINGKCPKNSIPIRRTRKEDILRAKSIERFGKKDPNNIHQHKRPTNPTNDGVHEYAILKVEVNSPRAMFYGTQTFINVWKPYVQHTKEFSLAQIWMSAGSYSTQLETIEAGWQVLTALYNDTNPRYFVYWTNDSYIQHGCYNTICPGFVVVNQAFALGAAVPEVSIRDGLQYEIFTSIWKDRSSGNWWLRFGTHVIVGYWPSLLFNRLRIGATEVEWGGEIVNLKENNQHTSTQMGSGSFAINGYRKASYFRNIFVTDENNITRQPVGPSTFVSEESCYDIRYRTEQAWGSFFYYGGPGRSPFCN >Al_scaffold_0003_3584 pep chromosome:v.1.0:3:21147359:21149625:-1 gene:Al_scaffold_0003_3584 transcript:Al_scaffold_0003_3584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7K2] MNPTLQLPEGPGSQHIKKKEDSLHKHTALGSIPGSDRFAKYRYRFVPHKCIFSGHWIQFEKDIFKSEASLHTGSEALLNDILSEDNENGDYDPGTKEDGNCICGLENAGFSKGFSSRDLEPQNEGHAFVNSSIEEVLSRPRQWRAVDYTIDPDGETSKEEERLYVEDEVRSRKVGFKIAKQGISQQPHYSKASSENSGAIAINENKTEINQLQDSTNETASLSRQAFDKLKERYELNATSRRQRDKKRTTLKQ >Al_scaffold_0003_3587 pep chromosome:v.1.0:3:21168850:21169271:1 gene:Al_scaffold_0003_3587 transcript:Al_scaffold_0003_3587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7K5] MEEEKENARELEQEKMRELEQEKARELEQVKAREMEQEKAKEMEQKKVKEIEQEKARHEKIQLFSDFDVCKGFD >Al_scaffold_0003_3589 pep chromosome:v.1.0:3:21209959:21210108:1 gene:Al_scaffold_0003_3589 transcript:Al_scaffold_0003_3589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7K6] MESSDSYFAVNHDVPTFSNAEVIERGMPFFNNVRVPWTLLFGLNLESRQ >Al_scaffold_0003_3594 pep chromosome:v.1.0:3:21261634:21261870:1 gene:Al_scaffold_0003_3594 transcript:Al_scaffold_0003_3594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7L2] MGSVHCLMTYSSSSTAPRYISKLHEEIQTFKDRELEKETQIHDRLLLLQQFPQIQSPGFPTISNDPTNTSIDEDETQA >Al_scaffold_0003_3607 pep chromosome:v.1.0:3:21386276:21388573:-1 gene:Al_scaffold_0003_3607 transcript:Al_scaffold_0003_3607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7M9] MASSIFLLLLLFFFFLCSPITSFKTEKKTFIFRVDSGLKPSVFSTHYHWYSSEFTEGPRILHLYDTVFHGFSASVTPDDAENLRNHPAVLAVFEDRRRELHTTRSPQFLGLRNQKGLWSNSDYGSDVIIGVLDTGIWPERRSFSDLNLGPVPKRWRGVCQTGVRFDARNCNRKIVGARFFAKGQQAAMFSGINKTVEFLSPRDADGHGSHTASTAAGRQAFRANMAGYASGVAKGVAPKARIAAYKVCWKDSGCLDSDILAAFDAAVSDGVDIISISIGGGDGIPSPYYLDPIAIGSYGAASMGVFVSSSAGNDGPNGMSVTNLAPWITTVGAGTIDRDFPADVVLGDGHRLRGVSLYSGVPLNGQMFPVVYPGKKGMLAASLCMENSLDAKLVRGKIVICDRGSNPRVAKGLVVKKAGGVGMILANAVSNGEGLVGDAHLIPASNVGSSAGDRIKAYASTHPNPIATIDFKGTVIGVKPAPVVASFSGRGPNGLNPEILKPDLIAPGVNILAAWTDAVGPTGIVSDRRKTEFNILSGTSMACPHVSGATALLKSAHPDWSPAAIRSAMMTTASLVDNSNRSLIDESTGKHSTPYDFGSGHLNLGRAIDPGLVYDITNVDYITFLCSIGYEMKSIQVITRTPVRCPRRKPSPANLNYPSITALFPTSNRGLLSKTLYRTVTNVGQSEAVYRAKVESPRGVTVTVKPSMLVFTSTIKKRSYAVTVTVDTKSLVLGETGAAFGSVTWFDGGRHVVRSSVVVTQIDPL >Al_scaffold_0003_3609 pep chromosome:v.1.0:3:21403453:21404055:-1 gene:Al_scaffold_0003_3609 transcript:Al_scaffold_0003_3609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L7N2] VAALQKWISGERNSFSDNRGVVYSSFEVTVAPVGTVEKLWCCGSPVEDAGRFAWMSSRGSAVLPVAAATAELGGELELRGLSQVTESGCLSEPSAPGFAAVSHPPDRIESFFCSGGLKMFGTGLEGFGPSATDCSVRIYRRGAGQSESQVFWEVCSGIGESAVRMLHRKPNSFNGVFQSSFYVAKL >Al_scaffold_0003_3616 pep chromosome:v.1.0:3:21462124:21463598:-1 gene:Al_scaffold_0003_3616 transcript:Al_scaffold_0003_3616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L866] MDQLDMTHMDTMHVYLLVDVWRGRCELVYGKFGPNSMNKQVSFHALMRFRVCQWKLASLFTPSTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >Al_scaffold_0003_3617 pep chromosome:v.1.0:3:21467845:21469098:1 gene:Al_scaffold_0003_3617 transcript:Al_scaffold_0003_3617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L867] MLRVFFFFANSSLSSNRREITERRERIRAISDFREERFREERPVSPVWRKNKSSHIRSDMLDSVILDASLMERVKNLAHTTAKTKDTRAPFRNMLLTGPPGTGKSLVATEIARKSLFTTETVYTFISSYLVATEMDLFGYIVTQGIELFNSNSIEQLCINQGSSGGEKLLLDNDGKSSTGVVAMRSGRRRSTVRNERGKQLDLGTGDYGFNGIFVDGGDLLDKVKIGSLPPSQYGSTVAGWQRILDHHLVRLASSRLAGGPVPARICRFGRRLL >Al_scaffold_0003_3623 pep chromosome:v.1.0:3:21506436:21507237:-1 gene:Al_scaffold_0003_3623 transcript:Al_scaffold_0003_3623 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16790) TAIR;Acc:AT2G16790] MSANNDVAGKVIVIMGVSGAGKSTIGKLLGKALSCDFLDADDFHSLSNRDKMRQGIALSDEDRMPWLEKIQESLRKRLLDGETVVLACSSLRKQYREILRGSDPDYEQGSYTSCKVKFVLLEGNAEVIAARLQKRASEGEHFMPLTLLQSQFDLLQADECEKIFKISVILSPEVIVNTILEMLGNSLREGI >Al_scaffold_0003_3631 pep chromosome:v.1.0:3:21553729:21553914:-1 gene:Al_scaffold_0003_3631 transcript:Al_scaffold_0003_3631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L880] EINSFRTEAVARETTSFVEEAGRHTTHHVHLTSPLHSTLGTVHSTRHHTRCGSSRGGFRLN >Al_scaffold_0003_3635 pep chromosome:v.1.0:3:21574813:21577042:1 gene:Al_scaffold_0003_3635 transcript:Al_scaffold_0003_3635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L883] MAVSAHHHVVLFPYMSKGHIIPLLQFGRLLLRHHRKEPTISFTVTVFTTPKNQPFISDFLSDAPEIKVISLPFPENITGIPPGVESTDKLPSMSLYVPFTRATKLLQPFFEETLKNLPQVSFMVSDGFLWWTSESAAKFKIPRLVFYGINSYASAVVISTFQHKLFTEPEIKSDTEPVTVPNFPWIHVKKCDLDHVLTDPKQSGPAHELFVDQMISTTTSHGFLVNSFYELESAFVDNNNNHSGRPKSWCVGPLCLTDPPKSKSAKPAWIHWLDRKREEGRPVLYVAFGTQAEISDKQLKELALGLEDSKVNFLWVTRKDVEETIGEGFNDRIRESGMIVRDWVDQWEILSHESVKGFLSHCGWNSAQESICVGVPLLAWPMMADQPLNAKMVVEEIKVGVRVETEDGSVKGFVTREELSRKVKELMEGKTGKTARKNVKEYSKMAKAALVEGTGSSWKNLDLLLKELCKSKEPNGAYKLSD >Al_scaffold_0003_3637 pep chromosome:v.1.0:3:21588252:21589181:-1 gene:Al_scaffold_0003_3637 transcript:Al_scaffold_0003_3637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L885] MKEFSPAAGRYHVMWVIFFFKAKKEDRDFVNEKTAAKYGLVAAPIIVEKTASSTMVAEPVVVKACEPILEKQQRELFK >Al_scaffold_0003_3648 pep chromosome:v.1.0:3:21739872:21744868:1 gene:Al_scaffold_0003_3648 transcript:Al_scaffold_0003_3648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16970) TAIR;Acc:AT2G16970] MEEYRIGELRHILTMVFLSGFAIFLVRPVMTDVTVAAVCSGINDSCSLAVYLTGVQQVTVGLGTMVMMPVIGSLSDRYGIKALLTLPMCLSILPPAILGYRRDTNFFFAFYITKTLFDMVCRGAVDCLSQAYVAKNVQGKKRIAMFGVLAGVKTISGVFATFSARFLPVASTFQVAAISLLIGLVYMRVFLKDRLHDEDNDNCGDGGSSSNHQKVHKGSDLRMLAKPILRDAPTKTHVFNTKYSSLKNMYSMKARFGFKKNDFAELFLLDHIIGSTSQLFILPILVSAIGERWVLSTGLLMEFLNAACLSVAWSAWVPYATTVLVPGAMFVMPSICGIASRQVGSGEQYQSKNDDDVLISTSISLQGKVQGCLAGVKSLAGVLAPCIFSPLTDDWIPSESSVKRSSFSISE >Al_scaffold_0003_3656 pep chromosome:v.1.0:3:21788966:21789136:1 gene:Al_scaffold_0003_3656 transcript:Al_scaffold_0003_3656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L8Q8] MHLSSSYLILLQWMNEIRLRFSEEKCVIPAEIVVVSGSGKHSNVRGESLVKSLVKK >Al_scaffold_0003_3669 pep chromosome:v.1.0:3:21849547:21853040:-1 gene:Al_scaffold_0003_3669 transcript:Al_scaffold_0003_3669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant regulator RWP-RK family protein [Source:Projected from Arabidopsis thaliana (AT2G17150) TAIR;Acc:AT2G17150] MEDDGGGDGGEGNGGFSPNSSFGAFSDTAMDLDFMDELLFDGCWLETTDSKSLNQTEQSASASTAMNDNSPFLCFGENPSQDNFSNEETERMFPHSPSYQAEKFLLEEAEVGKSWWIAPRASEGPSSSVKERLLQAISGLNEAVQDKDFLVQIWVPIQQEGKSFLTTWAQPHLFNQEYSSLAEYRHVSETYNFPADEGMKEFVGLPGRVFLQKLPEWTPDVRFFRRDEYPRIKEAQKCDVRGSLALPVFERGSGICLGVVEIVTTTQKMNYRQELEKMCKALEAVNLRSSSNLNPPSSEFLQVYSDFYCAALPEIKDFLATVCRSYDLPLALSWAPCARQGKVGSRHSDENFSQCVSTIDSACSVPDEQSKIFWEACSEHHLLQGEGIVGKAFKATKLFFVPEVTTFSKTNYPLAHHAKISGLHAALAVPLKSKSGLVEFVLEFFFPKACLDTEAQQEILKSLSVTLQQDFRSSNLVIDKDLELEVVLPVRETMLFSENPLSGAETAESLTEIEMQESSWISHMLMANEKGKDVSLSWEYQKEDPKELSSGRENTQLDPVPNNVPLEAEQFQQASTSGLKVDTGPSTESSSIGGGNMLGSRKPGEKRRTKTEKTIGLEVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGIMRWPSRKIKKVGHSLKKLQLVMDSVQGAQGSIQLDSFYTSFPELNSPNMSSNGPSLKNNEQSSHLNAQTDNGIMAEDPRSPSSSCSKSSGSSNNNENTANILVAEEADAVLKRAHCEAQLHNVNQEETKCLSRTQSHKTFKEPPVLENSSPLPGSSNKSLRAGGAIKVKATFGEARIRFTLLPSCGFRELQQEIARRFNIDDISWFDLKYLDDDKEWVLLTCEADLVECIDIYRLTQTHTIKISLNEASQVKLSGSFGSTGLS >Al_scaffold_0003_3677 pep chromosome:v.1.0:3:21919596:21922718:1 gene:Al_scaffold_0003_3677 transcript:Al_scaffold_0003_3677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7L8T4] MNWVLWSFVIVLGGGLLLSEGASSSRPPVIKVGAIFGLNTMYGETANIAFKAAEEDVNSDPSFLGGSKLQILMNDAKRSGFLSIMGALQFMETNAVAIIGPQTSIMAHVLSHLANELTVPMLSFTALDPTLSPLQFPFFVQTAPSDLFLMRAIAEMITYYGWSDVVALYNDDDNSRNGVTALGDELEERRCRISYKAVLPLDVVITSPVEIIEELIKIRGMESRVIIVNTFPNTGKMIFKEAERLGMMEKGYVWIATTWLSSLLDSNLPLDTKLLNGVLTLRLHTPESRKKRDFAARWKNKLSNNKTIGLNVYGLYAYDTVWIIARAIKTLLEAGGNLSFSNDAKLGSLKGEALNLSALSRFDQGSQLLDYIVHTKMSGLTGPVQFHPDRSMLHPSYDIINLVDDRINQIGYWSNYSGLSIVPPESFYSKPPNHSSSNQHLNSVTWPGGTSITPRGWVFRNNGRRLRIGVPDRASFKDFVSRVNGSSNKVHGYCIDVFEAAVKLLSYPVPHEFIFFGDGLTNPNYNELVNKVTTGVDFDAVVGDIAIVTKRTKIVDFTQPYIESGLVVVAPVTRLNENPWAFLRPFTLPMWAVTASFFVIVGAAIWILEHRINDEFRGPPRRQIITILWFTFSTMFFSHRETTVSTLGRMVLLIWLFVVLIITSSYTASLTSILTVQQLNSPIKGVDTLISSSGRIGFQVGSFAENYMTDELNIAGSRLVPLASPEEYANALQNGTVAAIVDERPYIDLFLSNYCKFAIRGQEFTRCGWGFAFPRDSPLAVDMSTAILGLSETGELQKIHDKWLSKSNCSSPHGSQSGDSEQLNVHSFWGMFLVVGIACLVALFIHFFKIVRDFCKHTPEVEEEEAIPSPKSSRLTKLQTFLAFVDEKEEETKRRFKRKRNNDLSMNANSIISRSASRRPI >Al_scaffold_0003_3703 pep chromosome:v.1.0:3:22058543:22059687:1 gene:Al_scaffold_0003_3703 transcript:Al_scaffold_0003_3703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L9E6] MMMSDLPPELLEEILSRVPATCLQRVRSTCKRWNDLFKDGRFTEKHFRKAEKESLILMLKELKLCSIGVNLNFSPPSVEFQGTLDLKDSHPNSEQVGQSNRGLESRWIQRKNGYERYSRFALGYENNKSCSSYKFLMCWVCYDGKLGDEDGVLGFEIYEFSSDSWRVLDDVASDCFPSANGVSLKGNAYWLKEDLLCFDFTIKRFKRVCLPPVPSRDCMALSVVREEQLSLLHRIQHTSKMEIYVTKKVDTEEADLLWSKSSTVSLPIGGHKGFNVFSSLLIDEEKKVALHCNINLKTRCNMLYTIKENYIDILFTESIHKRRYLDKACKVTYILCWWPFIFNYVPSLVQIKNGIGRKRKERN >Al_scaffold_0003_3718 pep chromosome:v.1.0:3:22160673:22163029:-1 gene:Al_scaffold_0003_3718 transcript:Al_scaffold_0003_3718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L9G4] MAANEEEPICRLSHPTRPHTLSRRAGRIPPLGCFACDKEEPSPCSTFHYSCTTCDVEFHDICHVYPRKFTHPYHLQHPLTLTTQITEIEIISNQTEYGYIFKNVTVHWFRYKCSKTDCDDEITIQIDFRCILVPDCFTHKSHEHPLFISTSYSRKGYTFYFDSVSMKILGNSSHTRPRGQLFEKDFCSWLCVWTSDIESLDNTGEE >Al_scaffold_0003_3731 pep chromosome:v.1.0:3:22219140:22219633:1 gene:Al_scaffold_0003_3731 transcript:Al_scaffold_0003_3731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like (DEFL) family protein [Source:Projected from Arabidopsis thaliana (AT2G17723) TAIR;Acc:AT2G17723] MKSIFFKLLLLVSLLVITFRQNYAVADYCDRDDDCKPVCMRPYACNLTRHVCMCRPNDVSSSKQRCIPEHKGCGRGPPPH >Al_scaffold_0003_3734 pep chromosome:v.1.0:3:22230784:22232728:-1 gene:Al_scaffold_0003_3734 transcript:Al_scaffold_0003_3734 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEP-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT2G17750) TAIR;Acc:AT2G17750] MMIARFVLSILIVAAIVSGSEFSNTRDAKVEKILKKLNKPALKSIKSPDGDIIDCVHMNNHPIYDHPLFKNYTIQMKPSSYPKGQNNESSEREKQSVVTQLWTVNGKCPENSIPIRRTRRKEILRTKYMQRYDKKNPNIINHTKASTSNSIHEYAQIQAKGKFHGAHADINVWKPYVQTPKEFSLAQMWVMAGPFSEVNSVEAGWQVYQDRYGDDNPRYFIFWTADGYHSGCYNLDCPGFVPVSQNFALGAAVSNVSTLNGQQYHIPTTIWKDPHTGNWWLKFNDHEFVGYWPSILFNHLKDGATEIQWGGEIINFKDGSLHTTTRMGSGHFAEEGYQKASYFKNLEIIDEHDIHNKPKEGYSYMTQESCYNIRSGYAKIWGVYFYYGGPGRNQNCK >Al_scaffold_0003_3745 pep chromosome:v.1.0:3:22298125:22298943:-1 gene:Al_scaffold_0003_3745 transcript:Al_scaffold_0003_3745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G17850) TAIR;Acc:AT2G17850] MDSLHVIKSFLLLLLIIVSIDLPRTTSSNSEPKVITIDVSQAQKLLDSGYTFLDVRTVEEFEEGHVDSEKVFNVPYWLYSPQGQEINPNFLKHVSSLCNQTNHLVVGCKSGVRSLYATKVLVSSGFKNVKNMDGGYIAWVDKRFPVKVEHKELKYDEL >Al_scaffold_0003_3749 pep chromosome:v.1.0:3:22306854:22309577:-1 gene:Al_scaffold_0003_3749 transcript:Al_scaffold_0003_3749 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium-dependent protein kinase 16 [Source:Projected from Arabidopsis thaliana (AT2G17890) TAIR;Acc:AT2G17890] MGLCFSSGHNRSSRNPNHHPPLTVAKTRPPQSPCSFMAVTIQKDHRTQPRRNAAAKKTPTRQTPPHGRGREKVISNNGRRHGEAIPYGKRIDFGYAKDFDHRYTIGNLLGHGQFGYTYVATDKKTDDRVAVKKIDKAKMTIPIAVEDVKREVKILQALTGHENVVRFYNAFEDKNSVYIVMELCEGGELLDRILARKDSRYSERDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSTEEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVISYILLCGRRPFWDKTEDGIFKEVLKNKPDFRRKPWPTISNSAKDFVKKLLVKDPRARLTAAQALSHPWVREGGDASEIPIDISVLNNMRQFVKFSRLKQFALRALATTLDEEELADLRDQFDAIDVDKNGAISLEEMRQALAKDHPWKLKDARVAEILQAIDSNTDGFVDFGEFVAAALHVNQLEEHDSEKWQQRSRAAFEKFDIDGDGFITAEELRMHTGLKGSIEPLLEEADIDNDGKISLQEFRRLLRTASIKSRNVRSPPGYLISRKV >Al_scaffold_0003_375 pep chromosome:v.1.0:3:1450206:1452135:1 gene:Al_scaffold_0003_375 transcript:Al_scaffold_0003_375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of Unknown Function (DUF239) [Source:Projected from Arabidopsis thaliana (AT3G48230) TAIR;Acc:AT3G48230] SPDGDIIDCIHMREQPAFKHPLLRNHKIQTAPKGLLPNKINKMDEKRVSQVWNRNGASCPDQTVPIRRSTVGANQYAIGETGYLRGIYGTVTTMNLWDPTVEEGTSEFSLSQIWLVPGEYNGSNLNILLRLGGRFFRIITMTTSHGFSYIGRCGLNDTYEKTGCLNLECPGFVQVTSDFTIGGAFSPISSYGGNQFDVKMSIFKDMDGGNWWLGIGQSFIGYWPAKLVQWGGEIVNTRSYGQHTTTQMGSGHFAEEGFGKASFFRNLRIINYLYHLQPVQEFLLQMLNSTCYNVQKGFNEEWGAHFYYGGPGYNALCP >Al_scaffold_0003_3758 pep chromosome:v.1.0:3:22386332:22387466:-1 gene:Al_scaffold_0003_3758 transcript:Al_scaffold_0003_3758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIJ8] MIPFTSDSCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0003_3761 pep chromosome:v.1.0:3:22399075:22400121:1 gene:Al_scaffold_0003_3761 transcript:Al_scaffold_0003_3761 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 14 [Source:Projected from Arabidopsis thaliana (AT2G18000) TAIR;Acc:AT2G18000] MESDIEIFSEADASMQKLRIFGIDDREDENGRRRIKDVEVYVPIVCGSIAFYLGKKATEYRTHKWTVYVRGATNEDLGVVIKRVIFHLHPSFKNPTRVVDSPPFALSECGWGEFKIDITVILHTDVCEKKLELSHVLKLNPENEYGPIPKSIKIPVVAESYNEIVFPDPFESFLARVHNHPAVHISNLPDGFNLPPPGAFLFRCLVKQ >Al_scaffold_0003_3765 pep chromosome:v.1.0:3:22420086:22420529:1 gene:Al_scaffold_0003_3765 transcript:Al_scaffold_0003_3765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAE1] MNLSSSGDVCPSHGNTNAAIGSSLFTASLFINLFPLSHPSPPSWCSPSPMLTESIGVKLGDHRRGATSIRDLQSFVKEENCVILCRRENERWKKSFVFGVEEEEERKREF >Al_scaffold_0003_3766 pep chromosome:v.1.0:3:22426029:22427052:1 gene:Al_scaffold_0003_3766 transcript:Al_scaffold_0003_3766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAE3] MNHQVLDVDVPFEFFRSKNSDFGASVKANAGALTNFEVLDFLNSRGASKDTTRVIAPIARSEYKVYDYLVETAASTQTRESVTKFADKCKDFKVAKAEILNIINLRPSSIVELLPIIETPDDREIDTDGILELVKDLLPLLPTTETHKDNDKEETDNVEQS >Al_scaffold_0003_3767 pep chromosome:v.1.0:3:22430257:22431283:1 gene:Al_scaffold_0003_3767 transcript:Al_scaffold_0003_3767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 family [Source:Projected from Arabidopsis thaliana (AT2G18020) TAIR;Acc:AT2G18020] MGRVIRAQRKGAGSVFKSHTHHRKGPAKFRSLDFGERNGYLKGVVTEIIHDPGRGAPLARVTFRHPFRFKKQKELFVAAEGMYTGQFLYCGKKATLVVGNVLPLRSIPEGAVVCNVEHHVGDRGVLARASGDYAIVIAHNPDNDTTRIKLPSGSKKIVPSGCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNSWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAASAAKAD >Al_scaffold_0003_3770 pep chromosome:v.1.0:3:22468285:22468653:1 gene:Al_scaffold_0003_3770 transcript:Al_scaffold_0003_3770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAE9] MSIKNVLSLIAVLCIIVSVNAQLPQFPAPFSFPFPFQPISGMPGLPDLTKCLSSVMDIPGCIAEISQSIFTGKFGNLGPACCKAFLDAEDNCIPKILFIRFFPPILKEQCSRIVGATPPTPK >Al_scaffold_0003_3772 pep chromosome:v.1.0:3:22478142:22480230:-1 gene:Al_scaffold_0003_3772 transcript:Al_scaffold_0003_3772 gene_biotype:protein_coding transcript_biotype:protein_coding description:vascular related NAC-domain protein 1 [Source:Projected from Arabidopsis thaliana (AT2G18060) TAIR;Acc:AT2G18060] MESMESCSVPPGFRFHPTDEELVGYYLRKKIASQKIDLDVIRDIDLYRIEPWDLQEQCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKTKLIGMRKTLVYYKGRAPNGQKSDWIMHEYRLESDENAPPQEEGWVVCRAFKKRATGQAKNTETWTSSYFYDEVASNGVNSFTDPIDYISKQQHKLFGKGLMCKQELEGMVDGLNYMQSNQFIQLPQLQSPSLPLMKRPSSSMSITSMDNHCNYKTPSEDEESFESLISRENKRKKKKQVMMTGDWRELDKFVASQLMSQEDNGTSGFAGHHIANEDKNKNDVEIDSSMFLNEREEENRFISEFLSTNSDYDIGICVFDN >Al_scaffold_0003_3773 pep chromosome:v.1.0:3:22483032:22489955:1 gene:Al_scaffold_0003_3773 transcript:Al_scaffold_0003_3773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAF2] MEPIEYNVFESSKCNSHDDDHGWKKVVSRKRNLKQKPADEEANGNQPTGENVNVEAMNENQKAKKTKKSKPKKEMKPIVPFSEAAAKIDPSHLAAYLVEISMTLCGFVLFSCNPIFTKLAAQQGGSKGGEDPLATFIALGMVLRSRPNALAIVLPTLRGETILSNPKARTILVNCAVREKEQLIPPPSFKILLRLTFPTSSARVKATERFEAIYPLLKEVVLAGSKTMKQSFILKNEKVITEGGANASLYKEANKYCKVILGRLSHGSGCLKATITVVVLATVGTTAAVVQYICF >Al_scaffold_0003_3774 pep chromosome:v.1.0:3:22497397:22498433:1 gene:Al_scaffold_0003_3774 transcript:Al_scaffold_0003_3774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAF3] MVGSAEDDVLEDVSVKTVVDLIRYLIILGNYAPGKLTQRKSQRERRIPVRISPSKIRPPKIRQQSDVEEVGSLLLQRLKRWYEN >Al_scaffold_0003_3776 pep chromosome:v.1.0:3:22509795:22511666:1 gene:Al_scaffold_0003_3776 transcript:Al_scaffold_0003_3776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAF5] NCRTFFLMIDNFFFVFIYFFFLIFFCIFLFYFFCYVFFFHFNFFLFSFCFFFFFSIYIYFSFVFFVFFFNFRFLVLYLFVFYFLFFILFFSIYIYFSFVFFVFFSNFRFLVL >Al_scaffold_0003_3785 pep chromosome:v.1.0:3:22573193:22573717:-1 gene:Al_scaffold_0003_3785 transcript:Al_scaffold_0003_3785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LB85] RRLFSRFCTPIFLESFNLTFLAEWGDRSKIATIDCRYRIVHRGNYWTNALCTSPAVVGGSMLALRISQRTVATVGGLLFFGFSVSSYFYPPLQSMRFFRKWVLETFSNKVSILFFFVLNLIF >Al_scaffold_0003_380 pep chromosome:v.1.0:3:1465282:1471609:-1 gene:Al_scaffold_0003_380 transcript:Al_scaffold_0003_380 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsH extracellular protease family [Source:Projected from Arabidopsis thaliana (AT3G04340) TAIR;Acc:AT3G04340] MDFISASSISSPFLTPLSPLSLSSGIVSLKPRYRVKNRNFGSRESNKSRKFVPIRGCFGFSGVNGSFLRSKQSDYGNEAVPESLRVSGEGNELVPSSVYNAKTRESVIQFVSKPLVYVLFCIAIGFSPIHSFQAPALAVPFVSDVIWKKKKETLKEKEVVLKAVDHEFSDYTRRLLETVSVLLKTIDKVRKENGDVAEVGTALDTVKVEKEKLQKEIMTGLYRDMRRLRKERDVLMKRADGIVDEALRLKKESEKLLRKGAREKVEKLEESVDIMETEYNKIWERIDEIVDIILKKETTTLSFGVRELIFIERECVELVKSFNRETNQKSSESAPESSITKLSRSEIKQELVNAQRKHLEQMILPNVLELEEVDPFFDRDSVDFSLRIKKRLEESKKLQRDLQNRIRKRMKKFGEEKLFVQKTPVGEAVKGFPEAEVKWMFGDKEVVVPKAIQLHLRHGWKKWQEEAKADLKQKLLEDVDFGKQYIAQRQEQVLLDRDRVVSKTWYNEDKNRWEMDPMAVPYAVSRKLIDSARIRHDYAVMYVALKGDDKEYYVDIKEYEMLFEKFGGFDALYLKMLACGIPTSVHLMWIPMSELSLQQQFLLATRVVSRFFNALRKTQVVSNAKDTVIERIQNINDDIMMAVVFPVIEFIIPYQLRLRLGMAWPEEIEQTVGSTWYLQWQSEAEMNFKSRNTEDFKWFLWFLIRSSIYGFVLYHVFRFLKRKVPRLLGYGPFRRDPNVRKFWRVKSYFTYRKRRIKQKRKAGIDPIKTAFDRMKRVKNPPIPLKNFASIESMREEINEVVAFLQNPKAFQEMGARAPRGVLIVGERGTGKTSLALAIAAEARVPVVNVEAQELEAGLWVGQSAANVRELFQTARDLAPVIIFVEDFDLFAGVRGKFVHTKQQDHESFINQLLVELDGFEKQDGVVLMATTRNHKQIDEALRRPGRMDRVFHLQSPTELERERILHNAAEETMDRELVDLVDWRKVSEKTTLLRPIELKLVPMALESSAFRSKFLDTDELLSYVSWFATFSHVVPSWLRKTKVAKTMGKMLVNHLGLNLTKEDLENVVDLMEPYGQISNGIELLNPPVDWTRETKFPHAVWAAGRALITLLIPNFDVVENLWLEPSSWEGIGCTKITKVTSGGSAIGNTESRSYLEKKLVFCFGSHIASQILLPPGDENFLSSSEITKAQEIATRMVLQYGWGPDDSPAVYYATSAVSALSMGNIHEYEMAGKVEKIYDLAYEKAKGMLLKNRRVLEKITEELLEFEILTQKPLSRSFLDVGDPPETALLSAPT >Al_scaffold_0003_3800 pep chromosome:v.1.0:3:22685675:22686025:-1 gene:Al_scaffold_0003_3800 transcript:Al_scaffold_0003_3800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LBA0] DLFRQQVVVFTGSEHVGKWGCCRELFRSEDYGEGEMSSSWLLQDRGWSVKLR >Al_scaffold_0003_3814 pep chromosome:v.1.0:3:22754911:22755379:-1 gene:Al_scaffold_0003_3814 transcript:Al_scaffold_0003_3814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBB5] MQVLGRSELSDYPLPVFYERLYKLVDDPSSDSIISWSKKSKSFVIRNQKKFIRRKIHSRFPFSSTFEKFISMLKYYGFSEMKRSDGLLEFGNENFVRGQPMLMKEMHLKAMMKRINKDLTVFKAQRR >Al_scaffold_0003_3815 pep chromosome:v.1.0:3:22758708:22759823:-1 gene:Al_scaffold_0003_3815 transcript:Al_scaffold_0003_3815 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 20 [Source:Projected from Arabidopsis thaliana (AT2G18380) TAIR;Acc:AT2G18380] MMGYQTNPNFSMFFSSENDDQNHHNYDPYNTFSSSPSVDCTLSLGTPSTRLDDHRKFSSANSNNTSGDFYFHGGNAKTTSYKKGGNDHSLPRRCASCDTTSTPLWRNGPKGPKSLCNACGIRFKKEERRATARNLTISGGGSSAAEIPVENPYNGGGNYYSHHHYASSSPSWAHQNTQRVAYFSPAPEMEYPYVDEVTAASFMSWN >Al_scaffold_0003_3819 pep chromosome:v.1.0:3:22771358:22771828:1 gene:Al_scaffold_0003_3819 transcript:Al_scaffold_0003_3819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated family protein [Source:Projected from Arabidopsis thaliana (AT2G18420) TAIR;Acc:AT2G18420] MAVFRVLLASLLISLLVLDFVHADMVTSDNAPKIDCKSRCQERCSLSSRPNLCHRACETCCARCNCVPPGTYGNYDKCPCYANLTTHGGRRKCP >Al_scaffold_0003_3820 pep chromosome:v.1.0:3:22773664:22773867:-1 gene:Al_scaffold_0003_3820 transcript:Al_scaffold_0003_3820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBC1] MSGALAWQVKKLILNKKKRFCGFWRGDRTGYLFFPGSLLLCVSCFCSLSPFPISLYSYCSYILKNRS >Al_scaffold_0003_3824 pep chromosome:v.1.0:3:22783691:22786214:-1 gene:Al_scaffold_0003_3824 transcript:Al_scaffold_0003_3824 gene_biotype:protein_coding transcript_biotype:protein_coding description:roline-rich extensin-like receptor kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G18470) TAIR;Acc:AT2G18470] MASSPDSAPPPTNSSSSPSPPSNTNSTTSSPPAPSPPSPTPPQGDSSSSPPPGSTSPPAQQAPSPPNSSNTSPSPPSQGGGGERGNGGNSGGNDSPPSRGSPPSPPSRSNGDNGGSRSSPPGDTGGSRSDNPPSSGGASGSSGGGGGGGGGSNTNTAIIVGVLVGAGLLMIVLIIVCLRRKKKRKDSFYPESMKGNQYQYYGNNNNNNNASQNYPNWHLNAQGQNQQSTGGWGGGGPSPPPPPRMPTSGEDSALYSGPSRPVLPPPSPALALGFNKSTFTYQELAAATGGFTDANLLGQGGFGYVHKGVLPSGKEVAVKSLKAGSGQGEREFQAEVDIISRVHHRYLVSLVGYCIADGQRMLVYEFVPNNTLEYHLHGKNLPVMDFSTRLRIALGAAKGLAYLHEDCHPRIIHRDIKSANILLDFNFDAMVADFGLAKLTSDNYTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELITGKRPVDNSSTMDDTLVDWARPLMARALEDGNFNELADARLEGNYNPQEMARMVTCAAASIRHSGRKRPKMSQIVRALEGEVSLDALNEGVKPGHSNVYGTSGTSSDYSQTSYNADMKKFRQIALSSQEFPISDCEGTSSNDSREMGPKSPAPPQ >Al_scaffold_0003_3825 pep chromosome:v.1.0:3:22787094:22788406:-1 gene:Al_scaffold_0003_3825 transcript:Al_scaffold_0003_3825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L071] MARVKDALGEYESTAAHPIEPVDSSTVVDPTEETEDPREPKDAEPGSETVGMQTDPTEEAAVDASESQPGNETVELKRDDAEGQVAVDDSDIQLGKESPIEPSTEAVEAAEKELVDASEEEEETVTAQERETLFFIL >Al_scaffold_0003_3826 pep chromosome:v.1.0:3:22789693:22790052:1 gene:Al_scaffold_0003_3826 transcript:Al_scaffold_0003_3826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L072] MGKSWFSVVKKALSPEPKQKKDQDLKLKCSRLEAHLEKVKRKCSDLEAQLLEEKAKVLAAIAPLSSFDDSVFDDFFEFLCK >Al_scaffold_0003_3827 pep chromosome:v.1.0:3:22792135:22792481:-1 gene:Al_scaffold_0003_3827 transcript:Al_scaffold_0003_3827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L073] MEWDSDSDLSGGDEVAEDGWFGGGNRAIPFPVGSLPGTAPCGFVVSDALEPDNPIIYVNTVFEIVTGYRAEEVIGRNCESLSVLWYWYDVTKRAC >Al_scaffold_0003_3828 pep chromosome:v.1.0:3:22795845:22797506:-1 gene:Al_scaffold_0003_3828 transcript:Al_scaffold_0003_3828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G18480) TAIR;Acc:AT2G18480] MVHADAHNFPGSDQNPTHMNKFACGCAIVASIISIIFGYDTGVMSGAQIFIREDLNLDDTQIEVLAGILNLCALVGSLTAGKTSDVIGRRYTIALSAVIFLVGSVLMGYGPNYAVLMVGRCIAGVGVGFALMIAPVYSAEISSASHRGFLTSLPELCISLGILLGYVSNYCFGKLTLKLGWRLMLGIAAFPSLILAFGITRMPESPRWLVMQGRLEEAKKIMVLVSNTEEEAEERFRDILAAAEIDEIEIKAVSGAVKKNQGKSVWRELVIKPRPAVRLILIAAVGIHFFEHATGIEAVVLYSPRIFKKAGVVSKDKLLLATVGVGLTKAFFIIIATFLLDKVGRRKLLMTSTGGMVFALTSLAVSLTMVQRFGRLAWALSLSIVSTYAFVAFFSIGLGPITWVYSSEIFPLRLRAQGASIGVAVNRIMNATVSMSFLSMTKAITTGGVFFVFAGIAVAAWWFFFFMLPETKGLPLEEMEKLFGGGGPRGDRDELEIQTKRVNN >Al_scaffold_0003_3835 pep chromosome:v.1.0:3:22847911:22849844:-1 gene:Al_scaffold_0003_3835 transcript:Al_scaffold_0003_3835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L081] KATSLVTRTINKSKTERDDCYCTKDKESNEHGNTKKNPSSEFGELVKKEQEIGHRVYYADEKPSPLKPALALETVVEEKTEETTTGARDESSQSPPETNDSSSEVTEPVEPPQPPLEESSPVEGPNTNEEASPPLQENATVGGEEPPDCISLATDSLYWYA >Al_scaffold_0003_384 pep chromosome:v.1.0:3:1480759:1480989:1 gene:Al_scaffold_0003_384 transcript:Al_scaffold_0003_384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L247] LIEKKTIRNQIEAISKEKQNLTNSCTKLRYDSKIIESSKKIWQTFKRKNTRLIRKSFFFSKILHRTTVYSYFSRYH >Al_scaffold_0003_385 pep chromosome:v.1.0:3:1481526:1482527:1 gene:Al_scaffold_0003_385 transcript:Al_scaffold_0003_385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L248] QNFFEADSLLNPKHNVKKDSIYNLFCYNSIHSTEIFFDMSIGIALDNCLVSSFLEKYNIRGMGEIRHRKYLDWRILNFWFTKKVNIEPWVDTKSKKNTLILKFRIIKELIK >Al_scaffold_0003_3857 pep chromosome:v.1.0:3:22998038:23000821:1 gene:Al_scaffold_0003_3857 transcript:Al_scaffold_0003_3857 gene_biotype:protein_coding transcript_biotype:protein_coding description:trehalose phosphatase/synthase 11 [Source:Projected from Arabidopsis thaliana (AT2G18700) TAIR;Acc:AT2G18700] MSPESWKDQLSLVSADDYRIMGRNRIPNAVTKLSGLETDDGDGSNDPNGGAWVTKPKRIVVSNQLPLRAHRDISSNKWCFEFDNDSLYLQLKDGFPPETEVVYVGSLNADVLPSEQEDVSQFLLEKFQCVPTFLPSDLLSKYYHGFCKHYLWPIFHYLLPMTQAQGSLFDRSNWRAYTTVNKIFADKIFEVLNPDDDYVWIHDYHLMILPTFLRNRFHRIKLGIFLHSPFPSSEIYRTLPVRDEILKGFLNCDLVGFHTFDYARHFLSCCSRMLGLDYESKRGYIGLEYFGRTVSIKILPVGIHMGQIESIKASEETAEKVKGLRERFKGNIVMLGVDDLDMFKGISLKFWAMGQLLEQNEELRGKVVLVQITNPARSSGKDVQDVEKQINLIADEINSKFGRPGGYKPIVFVNGPVSTLDKVAYYAISECVVVNAVRDGMNLVPYKYTVTRQGSPALDEALGFGEDDVRKSVIIVSEFIGCSPSLSGAIRVNPWNIDAVTDAMSSAMTMSDKEKNLRHQKHHKYISSHNVAYWARSYDQDLQRACKDHFNKRFWGVGFGLFFKVVALDPNFRRLCAETIVPAYRRTSSRLILLDYDGTMMDQDTLDKRPSDDLISLLNRLCDDPNNLVFIVSGRGKDPLSKWFDSCPNLGISAEHGYFTRWNSNSPWETSELPADLSWKKIAKPVMNHYMEATDGSFIEEKESAMVWHYQEADHSFGSWQAKELLDHLESVLTNEPVVVKRGQHIVEVKPQGVSKGKVVEYLIATMRNTKGKRPDFLLCIGDDRSDEDMFDSIVKHQDVSSIGLAEVFACTVGQKPSKAKYYLDDTPSVIKMLEWLASASDGSKHEQQQKQSKFTFQQPMGQCRNESIDL >Al_scaffold_0003_3863 pep chromosome:v.1.0:3:23034461:23035666:-1 gene:Al_scaffold_0003_3863 transcript:Al_scaffold_0003_3863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSF7] MDLYVSRAKIPLKRELRFLRFSFSNLRVILGSRLRQFASRVLRASNRGTEQGFSGLIESHVSDMIFALSLCYHQPESAMVNYIVKQYVVAIEGDTTLVAVESMYVIGKLKMASKTLIGRERRRW >Al_scaffold_0003_3868 pep chromosome:v.1.0:3:23086921:23088033:-1 gene:Al_scaffold_0003_3868 transcript:Al_scaffold_0003_3868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L129] MKRRKNECANENSNSMQTDNTKRSRSRHNDENRNPNINHEGPTSNVQVKGIFNRLLGGISNIPGNENEVSASTVVVPSSSIKRRHETGYESTVISTNSLRSAKKNARTQIRPAQVLQNITNTTNPDSEVVQTPLDPKKVPEKNPKKLSPPSVNSKKATKGIILTNPRNSLRFAKSLSKEKQTTKKSCLGSSEGHSDGVLHSEEEAEINMTDHQNDRAAEEKLEQVYDCSSAYDTDSEASVDYECIEDIPIEVKQRYEFLTLLDESLTKAFVEKKTTNVSSRKKQQTGTI >Al_scaffold_0003_3869 pep chromosome:v.1.0:3:23088793:23091317:-1 gene:Al_scaffold_0003_3869 transcript:Al_scaffold_0003_3869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L130] MALPITELNAVKPFKTQWKIQVKIVHSWIQYTQYSGETLEMVLADTTGTLIHATIKKQQVNKFQRLITTGEWRTVENFTVAKSTGKYRPTRLPFKMTLMNTTAISRIPSISEEFYFDFANFPDILNVNGLNENILIGERSISNAFDMSLLEINPNYPAVQDFVNNLPADVPVLTIQEVMPKDTKIIKKKAYFQTFPRKTISEVFEATEVHVKPCSDDNTGNTMCKLFDKTAAEIIGVSAEDLLEGNWDEMVTGLKQEALALTNSSDTTDVNTTSSATPSSKRSNESSDEAEGQSSTTKKVCVSSIREKIEEDKIEEEKIEKQKIGVLKIEEEKVEGEKIEPN >Al_scaffold_0003_3870 pep chromosome:v.1.0:3:23092024:23107267:-1 gene:Al_scaffold_0003_3870 transcript:Al_scaffold_0003_3870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L131] MLKSLNLLFIKHTNISGPIPDNISDLKNLTFLDLSYNQLTGPIPGSLSQMPKLEAIEIHDNKLTGSIPNSFGSFVGNVPELDLSNNQLSGKIPESLSKYDFNAVFLSGNSFTGDGSMFFGRNKTTRQLDLSRNMFQFDLSKIEFARSILTLDLSQNRIFGKIPRVLTTLRSLERFNVSYNSLCGKIPSGGRLQTFEPSAYSHNLCLCGTPLKAC >Al_scaffold_0003_3873 pep chromosome:v.1.0:3:23133831:23135545:1 gene:Al_scaffold_0003_3873 transcript:Al_scaffold_0003_3873 gene_biotype:protein_coding transcript_biotype:protein_coding description:polyol/monosaccharide transporter 2 [Source:Projected from Arabidopsis thaliana (AT2G16130) TAIR;Acc:AT2G16130] MSSSGEERGVVVADSEPPRGNRSRFAFACAILASMTSIILGYDIGVMSGAAIFIKDDLKLSDVQLEILMGILNIYSLIGSGAAGRTSDWIGRRYTIVLAGAFFFCGALLMGFATNYPFIMVGRFVAGIGVGYAMMIAPVYTAEVAPASSRGFLSSFPEIFINIGILLGYVSNYFFAKLPEHLGWRFMLGIGAVPSVFLAIGVLAMPESPRWLVIQGRLGDAFKVLDKTSNTKEEAISRLNDIKRAAGIPDDMTDDVIVVPNKKSAGKGVWKDLLVRPTPSVRHILIACLGIHFAQQASGIDAVVLYSPTIFSRAGLKSKNDQLLATVAVGVVKTLFIVVGTCVVDRFGRRALLLTSMGGMFISLTLLGTSLTVIDRNPGQTLKWAIGLAVTTVMTFVATFSIGAGPVTWVYCSEIFPVRLRAQGASLGVMLNRLMSGIIGMTFLSLSKGLTIGGAFLLFAGVAAAAWVFFFTFLPETRGVPLEEMESLFGSYTANKKNNVMTKGKQVVDEQ >Al_scaffold_0003_3874 pep chromosome:v.1.0:3:23136218:23136370:1 gene:Al_scaffold_0003_3874 transcript:Al_scaffold_0003_3874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L135] IFLIEFALEAFKKAGSLNVSDEMANFTAEVSTKADQIHKDEEAKKIKMKI >Al_scaffold_0003_3877 pep chromosome:v.1.0:3:23145245:23145397:-1 gene:Al_scaffold_0003_3877 transcript:Al_scaffold_0003_3877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L138] LIGYVIWELWRKKCYDESETVNWITKCHKLVEKEWWMRNLVTCICRQSFW >Al_scaffold_0003_3881 pep chromosome:v.1.0:3:23188066:23189547:1 gene:Al_scaffold_0003_3881 transcript:Al_scaffold_0003_3881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L147] MSLEKLTGSPLVVEEEEFAKNYSDVLLMSPNVLKCALSGTTHQVWLRENPQKHGSLYLMRPENDNQAQQQKTLEE >Al_scaffold_0003_3884 pep chromosome:v.1.0:3:23204888:23206824:1 gene:Al_scaffold_0003_3884 transcript:Al_scaffold_0003_3884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L150] MVYASSFPWRVIFVWTCFNLSRKSTVVIALVIGGNGIPVSPEVANGLKNDEAYGVVLMRVVILGRLRWKAGAIKTGRYGFYARRMIFREREEKKKGKQMRRKRKMYSFEGEERRKRNMLQREDYISYIQVDVQIVFCSHLWWLYSHSAVQLSSAVQWSTSVQWSTSAQSFGCTVILLYSCLLLYSGLHLYSHSAVQLFSVQWSTSVQ >Al_scaffold_0003_3888 pep chromosome:v.1.0:3:23238170:23240737:1 gene:Al_scaffold_0003_3888 transcript:Al_scaffold_0003_3888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L155] MSSNTSCSLLSLLFLLLLLILDSADLTVSSYCLRNCGGIKIPYPFGIGMGCYLEHSYEIECVNSSSIPGKLVPFLSAIGKEVVNISSPQVITSSYVGLEDGSVRIKNKITSKGCSSDGEEHVSLLNLTGTPFYVGRNNILIASGCNNMASLTNVEPIMVGCKSSCSATNRTASKDYLAELSCDKFCSRTNETIFNQKGCNGFQCCEANMPTQIQQIVGVRIDSTTTTGGCKVAFLTDEEYSFLNGSDPKRLHAKGTSTVELGWFIHTSNRSYVDSLGCQSYETMSRLKREGYNAYETMQDAHAMTVTKATHTFKEEADAKGHYECEYKKHRPLAIGFGSSFGSLIFIVGIYWLYKFMRKQKRLKQKKKFFKRNGGLLLQQQLTATEGNVEKTKVFTSRELEKATENFNLNRILGQGGQGTVYKGMLGDGRIVAVKKSKVVDEDKLEEFINEVVILSQINHRNIVKLLGCCLETDVPVLVYEFIPNGNLFEHLHDELEDYTMTTWEVRLRIAVDIAEALSYLHSAASSPIYHRDVKSTNIMLDEKYRAKVSDFGTSRSVTVDHTHLTTVGRKRPSMREVSMELEKIRSSSGDMQPDEYVSENEEEREEGVVEVNIKVESWNNVAVTAPTYQCNNAASSSLWSDVEPLFPLQTL >Al_scaffold_0003_3894 pep chromosome:v.1.0:3:23286152:23286622:-1 gene:Al_scaffold_0003_3894 transcript:Al_scaffold_0003_3894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1V1] MEGQKETNHKQIPRYISEENTIQPSKQPNQRKEATKDLIPDCTTRSYHNPQNQRLDHPKTKTKQERRERERVTKPPRTEPLSLRSEEGRRTEPPMLQTNETHDQRGDEKPHKEAVARTATPSTPSTLRHVDSGLLEPNLSRSETR >Al_scaffold_0003_3895 pep chromosome:v.1.0:3:23302533:23305570:1 gene:Al_scaffold_0003_3895 transcript:Al_scaffold_0003_3895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein [Source:Projected from Arabidopsis thaliana (AT2G16380) TAIR;Acc:AT2G16380] MADTKQDMENSDDGRKIVKMSSLKQKAISASNRFKNSFKKKTRRTSSKIVSAANTDDINGEDYLSVEAFRQVLVLNDLLPSKHDDLHMMLRFLRARKFDIEKAKQMWSDMLQWRMDFGVDTIIEDFEFGEIDEVLKHYPQGYHGVDREGRPVYIERLGQIDANKLLQATTMDRYEKYHVKEFEKMFKIKFPSCSAAAKKHIDQSTTIFDVQGVGLKNFNKSARELLQRLLKIDNDNYPETLNRMFIINAGPGFRLLWGPIKKFLDPKTTSKIHVLGNKYQPKLLEAIDPSELPHFFGGRCTCADKGGCLRSDKGPWNDPELLKIAKSPDARFSTISEDDHLLVEEGTSISMVFEPLERNKMKTIEENVSEKHIAAVDKFMALSLPPKPNLKTLRKGLHCYVGKEPQKKDDGFLVGGVIAFVMGIVAMLRLSKDVPRKLTDAALFGNSVYYEDLKMSKPNQNQLPAPVSSSEYAIMVKRMAELEENYKSLDSKSADDALEKEDKLQAALNRVQVLEHELSETKKALDETMVNQKGILEYIEKKKKKKSLFFRF >Al_scaffold_0003_3900 pep chromosome:v.1.0:3:23331994:23334300:-1 gene:Al_scaffold_0003_3900 transcript:Al_scaffold_0003_3900 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 10 [Source:Projected from Arabidopsis thaliana (AT2G16430) TAIR;Acc:AT2G16430] MGRVRKSDFFSIVLVLCFVLNSLLCNGGVTSRYVRKLEATVDMPLDSDVFRVPCGYNAPQQVHITQGDVEGKAVIVSWVTQEAKGSNKVIYWKENSSKKHKAYGKTNTYKFYNYTSGYIHHCPIRNLEVVVFLLQYDTKYYYVVGVGQTERMFWFFTPPEIGPDVPYTFGLIGDLGQSYDSNITLTHYENNPTKGQAVLFVGDISYADTYPDHDNRRWDSWGRFAERSTAYQPWIWTTGNHELDFAPEIGENRPFKPFTHRYRTPYRSSGSTEPFWYSIKRGSAYIIVLASYSAYGKYTPQYQWLEEEFPKVNRTETPWLIVLMHSPWYNSYDYHYMEGETMRVMYEAWFVKYKVDVVFAGHVHAYERSERVSNIAYNVVNGICTPVKDQSAPVYITIGDGGNIEGLATKMTEPQPKYSAYREASFGHAIFSIKNRTHARYGWHRNHDGYAVEGDRMWFFNRFWHPIDDSPSCNS >Al_scaffold_0003_3901 pep chromosome:v.1.0:3:23335480:23336246:1 gene:Al_scaffold_0003_3901 transcript:Al_scaffold_0003_3901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1V8] MLQPATAKYPVCADGLRKVLKYIKENYNDPEIIVTGNGYKEKLEEKDVLPHALSDSNRKYYHMRHLMALHGAVWLKSEESKSCCRLKSEESKS >Al_scaffold_0003_3902 pep chromosome:v.1.0:3:23344398:23348494:-1 gene:Al_scaffold_0003_3902 transcript:Al_scaffold_0003_3902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT2G16440) TAIR;Acc:AT2G16440] MASDSSPGNPNDAGPSSPGENVSSPIENTYSSPAALHRRRRGRSSTPTQFATPPPPPSRLASSNSTPPTSRPSAARSNGRNGRGGGGGGGGGDPGTPLSTDEPLPSSDDGEDDGADDTTPTFVWGTNISVQDVKSAIEMFVKHFREARENSEDLFREGKYMVSIRKVIEIEGEWIDVDAFDVFDYDPDLYNKMVRYPLEVLAIFDIVLMDIVSTINRLFEKHVQVRIFNLRTSTSIRNLNPSDIEKMISLKGMIIRSSSIIPEIREAVFRCLVCGYFSDPIIVDRGKISEPPTCLKQECMAKNSMTLVHNRCRFADKQIVRLQETPDEIPEGGTPHTVSLLLHDKLVDNGKPGDRIEVTGIYRAMTVRVGPAHRTVKSVFKTYIDCLHIKKASKLRMSAEDPMDVDNSLRRVDEDVELDEEKLRKFQELSKQPDIYERLSRSLAPNIWELDDVKKGLLCQLFGGNALNLASGANFRGDINILLVGDPGTSKSQLLQYIHKLSPRGIYTSGRGSSAVGLTAYVAKDPETGETVLESGALVLSDRGICCIDEFDKMSDSARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSGSRYNPRLSVIENIHLPPTLLSRFDLIYLILDKPDEQTDRRLAKHIVALHFENAESAQEEAIDITTLTTYVSYARKNIHPKLSDEAAEELTRGYVEMRKAGKFAGSSKKVITATPRQIESLIRLSEALARMRFSEWVEKHDVDEAFRLLRVAMQQSATDHATGTIDMDLINTGVSASERMRRDTFVSSIRDIALEKMQIGGSSMRLSELLEELKKHGGNINTEIHLHDVRKAVATLASEGFLAVEGDRIKRV >Al_scaffold_0003_3910 pep chromosome:v.1.0:3:23399767:23404760:-1 gene:Al_scaffold_0003_3910 transcript:Al_scaffold_0003_3910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1X0] MEVEKVEREIPRSEVMEIQKNCDALVDAPNMERIQMNLKRLSLTDIVIDINRVPKKKNLIEATDKAVSKPLARNLKVCGNKGVFSSHGDLAEDLSHISDVEVLVLKQRIYGQRKTVREIVGEEQMSLRMVAQQEAFTLLITNRANILYIFAKNHPHNQPLASKEKVEKESKKVVVLNFGPGYMKQPWKWRSSGKDLKLSSISTYLKSSGVTSATSYRITFDAKDPRDTSGSLQTFQTHVNERSYGSFLSTCTIACPLGEVTIGGETNRVSLHSFMPELPTVNPFQDDTDRFYVLKKSEILRVALDTTPDPSRPSEKGLDAYDAIFYIRYTDSCKARAGEDVDRVAIVRRIWDEKPEVFRIVGCTESFGSLGNGESTTSRETVLPGSKDIDSMGQSDMMVSTDMVGRTQSSQTLEAGSSSALD >Al_scaffold_0003_3912 pep chromosome:v.1.0:3:23416816:23417672:-1 gene:Al_scaffold_0003_3912 transcript:Al_scaffold_0003_3912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1X1] MSRLLSKTLIPHGRFFLRRFNEPTITPTAPPNLVCFSRRFSSIPQVIELEIDSKTEAEAAILKKLNEFVRRIIVHNSTPDWLPFAPGSSFWVPPHQITATKIANLVDKVTNPLTEEESLSLSSPYGWPCSSFFIPPPDDSTSTQEVEASVELKIPGNEMLEIKLAHYPDPIYSFKPEDGEE >Al_scaffold_0003_3918 pep chromosome:v.1.0:3:23451432:23454658:1 gene:Al_scaffold_0003_3918 transcript:Al_scaffold_0003_3918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1X5] MASSSHKSAAEVNLLGKRKVEDGLETKSMLKRRKEEETTKEQIEASVELSETKSDEADFYEEATAAGLDRGAFVEFASANQAKKALEKKQGQYLHNRKIFPAVAIEGSRCFPPKYCIDHKVWYEEDYLEQQDCRLPIGKDPNSVKESALLFVANLSPQTTKLSHITLFFKDVGDVVSVRLIVNQEGKHVGYGFVEFATAHQAKWALENKNGEYLHDHKIFLMQGLDGTPDSAEAVAIRNKTLFVTNLSPITTKISHIINFFKDVGQVVHVRLIVDQRCRMVGFGFVEFASANEAEKALEKKKGEYLHNREIALAFAKTDADTSKDADTSKTKYEDYLEQREIEDAAVEGLDFVEDVALRKKTVFVAKRNMKKSGLCNIPNIIKLFKVVGEVVRAGVIVDHWGEHVGCCFVEFASADEAKKAVPVRNGYNFFVNVAEIDRPYPFRLNYKLAEKLWYEDNLRREGFGLPIKPKLREAEPAID >Al_scaffold_0003_3920 pep chromosome:v.1.0:3:23463507:23465228:-1 gene:Al_scaffold_0003_3920 transcript:Al_scaffold_0003_3920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1X7] MGFDGRANKRWVSIYGGECLRSEVMVFGNRSEEAWDRRGVDERSNSQGFVGVYRGYQMGVIGEELTVVELYIFYLISMGTAQRSRRILRYQFLWTGVESQVLRPEMFFHLIWEIDISRRSQVSHLVLNGLNLSYLERFISVAIVVQKGKGSRLEKLFPVVISLGEFWSIDEGNSEEVQRVSERREILEASSRFLYNLIKARRAKISRWGLMVIIVIDFCGSMIYFEGDIDLKMGVYKSVSQPGGIAHLISFSAIQSINDLFLALDFIILGFCWLYLFLGFILFWFLIVLVEVMPQSSMVSQGSHGNIMSNMVNNSLSVFILAFYVGFNSQILYVSYIICVIEILIKGMYRLDMMVIDGGRNLLRLWFFFRLYLGFEFLGLSFGGIYIVDWEVLLLEFEIVSVAVWSWTTDQRGFRLKTIEERRSGEGVFNRWFSGDRRRRALSTLPWAIVGGRNISKSYDVGRLILDFECMEWSFIGCNKRFYNSLISGFSWLDVDTLRVRISMVNCNLVSLRNNQGNEGHNPTHHGTVKRLTGGRNPLNRKVDNK >Al_scaffold_0003_393 pep chromosome:v.1.0:3:1513111:1513484:1 gene:Al_scaffold_0003_393 transcript:Al_scaffold_0003_393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L259] YAECVDWFGTGGCASVCGPLSFLDKMLCVLALYVLQLHQSTSPKVDVNIKRYFYFSVTRYGLGLVPALCSLVGVVVTYFMELNSTILKLLCQSLLLE >Al_scaffold_0003_3936 pep chromosome:v.1.0:3:23578835:23580477:1 gene:Al_scaffold_0003_3936 transcript:Al_scaffold_0003_3936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1Z4] MALPRCLMARELNHKNHMVVGEWFSFGWKDRPVGLGQGSILSRRSFALSLLWPPGNLHADLLRRPRPPVYQGAFKWT >Al_scaffold_0003_3939 pep chromosome:v.1.0:3:23596606:23599088:-1 gene:Al_scaffold_0003_3939 transcript:Al_scaffold_0003_3939 gene_biotype:protein_coding transcript_biotype:protein_coding description:homogentisate phytyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G18950) TAIR;Acc:AT2G18950] MESLLSSSSLVSAAGGFCWRKQNLKLHSLSEIRVLRCDSSKVVAKPKFRNNLVRLDGQESSLLLYSKDKSRFRVNATAGQPEAFDANSKQKSFRDSLDAFYRFSRPHTVIGTVLSILSVSFLAVEKVSDISPLLFTGILEAVVAALMMNIYIVGLNQLSDVEIDKVNKPYLPLASGEYSVNTGIAIVASFSIMSFWLGWIVGSWPLFWALFVSFMLGTAYSINLPLLRWKRFALVAAMCILAVRAIIVQIAFYLHIQTHVFGRPILFTRPLIFATAFMSFFSVVIALFKDIPDIEGDKIFGIRSFSVTLGQKRVFWTCISLLQMAYAVAILVGATSPFIWSKVISVVGHVILATTLWTRAKSVDLSSKTEITSCYMFIWKLFYAEYLLLPFLK >Al_scaffold_0003_394 pep chromosome:v.1.0:3:1514086:1514319:1 gene:Al_scaffold_0003_394 transcript:Al_scaffold_0003_394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L260] RFHFSGSLAIGSLSPPFHTAQRRHFPSSIESSSSYSSHFPINSIRFFRFFYLESDETVDFSGCRNRCFREGGDVRVF >Al_scaffold_0003_3947 pep chromosome:v.1.0:3:23643386:23644998:1 gene:Al_scaffold_0003_3947 transcript:Al_scaffold_0003_3947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L2T4] FCITWEVPSTKRSDEGGVDEQRTRFGKVTMRCGHTIIKACLFHRTKIRRIRLGYIGVLPGGKVIPTNRQTRRALSNINKNISRAPVYPSAVNKRPFTKKFAAQLAENNPQIHKEETKKPDLISNETLDRIITDVEEGDFNEPMFVQHTEAMLEEIDRMEGIEMEDSNDIDVEVEESVMDIDSCDKNNPLAVVEYIDDIYCFYKKNIGYIWDFHVLASIGSDSK >Al_scaffold_0003_3948 pep chromosome:v.1.0:3:23656236:23657115:-1 gene:Al_scaffold_0003_3948 transcript:Al_scaffold_0003_3948 gene_biotype:protein_coding transcript_biotype:protein_coding description:vernalization5/VIN3-like [Source:Projected from Arabidopsis thaliana (AT2G18870) TAIR;Acc:AT2G18870] AASNSNLSDDKKKGRKNPKPRHLSSYGKPNNTSPVQPSTETKSEGKRVCFKTDADVRQMKQNTSSSRENQLIIKETVMENLPTVTTQFRSDQINDRQVKPEKSKKNAESYCLGFEQCVKIIRQLECSGNVESSFRQKFLTWFSLRATAQEINTVKTFIHAFKDDSTALAEQLVDTFSDCIWRKGPAIGDGDGGGSNGIS >Al_scaffold_0003_3950 pep chromosome:v.1.0:3:23665563:23666822:-1 gene:Al_scaffold_0003_3950 transcript:Al_scaffold_0003_3950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin/t-SNARE family protein [Source:Projected from Arabidopsis thaliana (AT2G18860) TAIR;Acc:AT2G18860] MMVVNSFDLWQKDVFFSAAEEVQKSADIMESAYRLWVREKKDEICKELQAALGTAKWQLEEFVKAVRLSHKRCGDDDSTLTRHKQFVTAIENQIHRVETSLQEAYSENGKEPLRWVDLNEEERDDLAMFLSGSSRISESFSGESSIESRESTNSSLVENLPGKNRMEVNCKKETFKKVKGCGNGSECVIDIKERVTPGNADARIRQEEKSVGMRRIWSSPNFNSLRIIVPGGDNEEEKETLVAQIEATPKVKGTKSVLWMQRLPDHSQLFDKTGCFQNPIRLPFNHPIKLTVSVMLMVFLLYFAL >Al_scaffold_0003_3959 pep chromosome:v.1.0:3:23716267:23720616:-1 gene:Al_scaffold_0003_3959 transcript:Al_scaffold_0003_3959 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MEDQFLLSSLGVTSANPEDLEQSILDEATKKLDNDEGGSVEEKLEGSNLLSSSLNELLNKLRAVKFEIDAVASTVDHVDEIAAENGSKNKDDESEVHGLHSGSALQHALATDRLRSLKKRKIQLEKELTGLHGQSASSSADHDNLLRDLVKEKPSLKRKLKETQKPSRREGKKVKVVSFREDTDFDAVFDAASAGFVETERDELVRKGILTPFHKLDGFERRLQQPGPSNSRNLPEGDDENDKSEDSNSIDRAVQSMSLAAKARPTTKLLDAEDLPKLEPPTAPFRRLRKLYKTPDSPDNEVKKSKAGKKSKKKRPLPEKIWRKRISREDSSLQESGDERRILTTSSCEEEELADFDDADDNETSSVQLEGGLNIPECIFRKLFEYQRVGVQWLWELHCQRAGGIIGDEMGLGKTIQVLSFLGSLHFSKMYKPSIIICPVTLLRQWRREAQKWYPDFHVEILHDSAQDSGHGKGQGKANESDYDSECSVDSDHEQKSKNTKKWDSLINRVLNSESGLLITTYEQLRLQGEKLLNIEWGYAVLDEGHRIRNPNSDITLVCKQLQTVHRIIMTGAPIQNKLTELWSLFDFVFPGKLGVLPVFEAEFSVPITVGGYANASPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAHLTKKTEHVLFCSLTVEQRSTYRAFLASSEVEQILDGNRNSLYGIDVMRKICNHPDLLEREHSHQNPDYGNPERSGKMKVVAEVLKVWKQQGHRVLLFSQTQQMLDILESFLVANEYSYRRMDGLTPVKQRMALIDEFNNSDDVFVFVLTTKVGGLGTNLTGANRVIIFDPDWNPSNDMQARERAWRIGQKKDVTVYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQRRFFKARDMKDLFILKDDGDSNASTETSNIFSQLAEEINIVGAQSDKKPESATQLALHNTAEGSSEQTDVETTDKTGEAMDEETNILKSLFDAHGIHSAVNHDTIMNANDEEEKMRLEHQASQVARRAAEALRESRMLRSRESISVPTWTGRSGCAGAPSSVRRRFGSTVNSRLTQSGDKPSVIKNGISAGLSSGKAPSSAELLNRIRGSREQAIGVGLEQLPSSSGSSSRVGSLQPEVLIRKICSFVQQKGGSADTTSIVNHFRDIVSFNDKPLFKNLLREIATLKKDQNRSFWVLKTEYKD >Al_scaffold_0003_3962 pep chromosome:v.1.0:3:23731195:23731362:1 gene:Al_scaffold_0003_3962 transcript:Al_scaffold_0003_3962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2U8] TTVVSGDDLFVHQSISSEKFRSLAVDEAVEFKVEVDNASRLKTVEVSGPEGAPVQ >Al_scaffold_0003_3963 pep chromosome:v.1.0:3:23752912:23755974:1 gene:Al_scaffold_0003_3963 transcript:Al_scaffold_0003_3963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2U9] MPLQYHNIELALSSPYQKTTSSDFGAVLLVFLFLPQAIRSIPCKHDISFNLKINLGSKEKLVDEMKIGRQRKRQAPLSSGVVIANLKRREAKWRYQDRGGGEAVVKEDYYFSVVVRRRIPAIPLPIYPLVTLLTTTWTQDEPFKSRGASSPISDIGLSAFLKVDRFEPSSVFLKASPHHSSLTERAPLLLALLFEPTNLSFETPESFFLSENHGTSFAPVRPVVASGATLILQRVSLYGLDTQFRQMGLNLSFTSTRPNDLIGPVTTSQPPELFAEVLATHHESTCGKMLFSSCLQLFVDLQSFYIRFLYVASMNAFFFVFQNFEFLGTPIYPCNFGFF >Al_scaffold_0003_3969 pep chromosome:v.1.0:3:23794903:23799896:1 gene:Al_scaffold_0003_3969 transcript:Al_scaffold_0003_3969 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G20320) TAIR;Acc:AT2G20320] MKSDMQGDVLAVHRGDRNRDDILGGYPKKKTDVEDFRDGDENGWTTLSRNTLDMVKEGRLLNGEELNTACEEKVSVSRDEAGTLRSEGHNEESGSGSPERDDNTLSREIKELDTQVVNGQAKADCENRDENRLLEKDGEDISSLVHRETDDEPQSPRCETQVNDTCMRKMEMRQVTGEQDSSPCTADAVKAAGETYQNVYFNGPVLPQSPTQGHRRTQSEIGTPGHRRTNSFQRLKTQMQKAWRGVSNLREDNRPTFNPEVLANQKRQWYQLHSSKALDQTKLKEPTSLFEHFIIVGLHPETNLRPVEEAFRRRKKWEMEMSRYEVADYRILRHRGPQFPILEPQILFKYPPGKKVAMRPKDLATFCFPGGVKARLLERTPSLSDLNELVYGQEHLGTDDSSFIFSFKHEAIKILCQVADDATLYGVCLHVSEIVQRPPGVLSTASPLHSSGGGSRFLVSAPRCYCLLTRVPFFELHFEMLNSMIAQERLKRITEFVSEMSLAAACYRPSISRTNDQIDSRVSSPRSNPDDWMASAIPVDGVMALTAAAAGLITDSDIANFAEPQSPDSVVTSDTSDVSQIKEIERDGRKVFHCYDENSPEVFENHLDTPERTSQSYDNGHTSPEVTCADPRTQPIERNESCESVFSSARSVLSDEIDDLSNSENDFGDDLILEWAKDHNNDSLQLVCGYHSLAIPSRGSEVVFHPLEHLQSIAYTRPPVSALGLSEEYICSSDSSEINARLAAAEEAMGLSMWTTATVCRILSLETGVLSAIVLSLVPMIRPFQWQSLLLPVLPGRMFDFLEAPVPFLVKICNMPALPQCRELMAQLAPIHATLAHQSSTARRHPVYKCNEVQAEAATKFLRVMRDYMESLCSDLHSHTITSVQSNSDRVSLLLKDSFIDSFPGRDRPFIKVQTPNPDLGFSFRYSCWNPATYKFYLLLFWVLQLFVDTQLFSVLSDSRLSSFENGRL >Al_scaffold_0003_3972 pep chromosome:v.1.0:3:23813868:23815559:-1 gene:Al_scaffold_0003_3972 transcript:Al_scaffold_0003_3972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2V9] MKKEERFRGLASLFQFVKSKISRSHMILPWLCVSVSVELIISRYWSSDPKMKTMSKLDSCLPGYGDVEDAGCMYRKDLLNIISMPSGFSDESHEESPADVESCNEMERDNRANIDNICY >Al_scaffold_0003_3983 pep chromosome:v.1.0:3:23860362:23861485:1 gene:Al_scaffold_0003_3983 transcript:Al_scaffold_0003_3983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14 [Source:Projected from Arabidopsis thaliana (AT2G20450) TAIR;Acc:AT2G20450] MGFKRFVEIGGVALVNYGEDYGKLVVIVDVVDQNRALVDAPDMERIQMNLKRLSLTDIVIDINRVPKKKHLVEAMEKADVKNKWEKSSWGRKLIVQKRRAALNDFDRFKIMLAKIKRAGVVRQELAKLKRETAA >Al_scaffold_0003_3985 pep chromosome:v.1.0:3:23863429:23868987:1 gene:Al_scaffold_0003_3985 transcript:Al_scaffold_0003_3985 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding storekeeper protein-related transcriptional regulator [Source:Projected from Arabidopsis thaliana (AT4G01260) TAIR;Acc:AT4G01260] MAPKQPEKFESPPMASASEEEEEYSGSTEKGSGSSDEGSKKKMKMSEDDVKNDEKPKQNLFVRKFSKEDEIVILQSIIDFNTRSEYPSDAFYEDVKKSISFEATTDQLVTKIRNLRRKFDEKILKSLEKGKTEELIFSSKAFDQRCFDLSRKIWGSNGFLSSKSKTMLQGQHLGGKIKKDDEDEDPKKHVISTLSFGQELVSFISVENPTMLGMDEAKWIANWDKVKDGPEKRELEFILKKLQAKQVELVMMRIGFINDAAAVLFKQDKA >Al_scaffold_0003_3986 pep chromosome:v.1.0:3:23870458:23870833:-1 gene:Al_scaffold_0003_3986 transcript:Al_scaffold_0003_3986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like (DEFL) family protein [Source:Projected from Arabidopsis thaliana (AT2G20463) TAIR;Acc:AT2G20463] MGFSPKTLVAFCFTVLFIISFVHCLPMTPDGSPGNGRKLIPRPEWRCYEDDGCRKGQVAGCDRYCKGISITYGICIGVRCCCFEVTPIPPPT >Al_scaffold_0003_3987 pep chromosome:v.1.0:3:23871975:23875244:-1 gene:Al_scaffold_0003_3987 transcript:Al_scaffold_0003_3987 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC (cAMP-dependent, cGMP-dependent and protein kinase C) kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G20470) TAIR;Acc:AT2G20470] MDSAKGWFQKRQMRGGSRYKGASGGGGSNGSADEHNVETDEEAVSNTTKQKVAAAKQYIENHYKEQMKILQERKERRSMLEQKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKLGVADFDLLTMIGKGAFGEVRVCREKTTGQVYAMKKLKKAEMLRRGQVEHVRAERNLLAEVDSNYIVKLYCSFQDDDHLYLVMEYLPGGDMMTLLMRKDTLTEEEAKFYVAETVLAIESIHRHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSAIGENDFSNNSNGSTEQEAGSTAPKRTQQEQLEHWQRNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWKSHLKFPEEAILSREAKDLINSLLCSVRRRLGSKGADEIKAHPWFETVDWDTIFDMDAAFVPEVNDDLDTQNFEKFDESESQTQTSSKSGPWRKMLSSKDINFVGYTYKNFEIVNDYQVPGMAELKKKKKSTRPMVKSLFDNGSSETSDSSETTSRPPCDRPPPAPPVVQGSFLKLLPPELEVRPKQEGSEAC >Al_scaffold_0003_3988 pep chromosome:v.1.0:3:23876432:23877251:-1 gene:Al_scaffold_0003_3988 transcript:Al_scaffold_0003_3988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3N9] MKKSTETPARSNETVKTQKPPFRPAVDDTKPVLQDPILRSDPMETEEAVLRLPPFPVIRPSES >Al_scaffold_0003_3999 pep chromosome:v.1.0:3:23897876:23898299:-1 gene:Al_scaffold_0003_3999 transcript:Al_scaffold_0003_3999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4H5] MGECRPLGFLLGLPFALVALVLSLVGAVIWIIGTILSCLCPCCFCFAALANFAVGLIKLPIKVLRWFTHSIPC >Al_scaffold_0003_4001 pep chromosome:v.1.0:3:23912295:23913673:-1 gene:Al_scaffold_0003_4001 transcript:Al_scaffold_0003_4001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4H8] FFFLFIVLVASDLYFSRKIYNFFFVFIYFFFFIFFCIFLFYFFLFYFFLFYFLFFLLCIFFHFNFFVVL >Al_scaffold_0003_4008 pep chromosome:v.1.0:3:23965392:23968428:1 gene:Al_scaffold_0003_4008 transcript:Al_scaffold_0003_4008 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding;protein kinases;protein serine/threonine kinases [Source:Projected from Arabidopsis thaliana (AT2G20635) TAIR;Acc:AT2G20635] MVIGYRDNAGESESAGDPLFPWLMKIKNSMEDLYSGKNSGEDLDKLLYDCISTYKEDTRYRNDLRFLKIWFLYLDGCEDFERVYREVEENEICIGHSLLYEWYAIFLEVKGLWRRANSVYQTGLSRKAEPFDRLKEAHSLFLQRISKRTKTSSLEEGYHASNKVFPGKANLSSLQNYSRNKIIDIGGRKYQMKGCAGQGGFAQVFKAYIDSNPDEVVALKVQKPPFPWEFHMYRQLDCRIPESQRSSFGLAQRVHVYSDYSILVCDYLSHGTLQDVINSYVVVGKSMEEVLCMYYTIEMLYMLETLHSVGIIHGDFKPDNLLIRYPPENLTETGFHDKTGSWSNQGLCLVDWGRGIDLSLFPRTTEFTGDCRTSGFRCMEMKEKKPWKFQASLLIHTVDTYGLCVIVHMMLHNSYMEIEKKQSLDGGHINMPRTSFRRYWNVDLWKELFTKLLNRETCEDDTETLRNLRKSMEEYICSDPKLMKKLNELLAKQRISLCSS >Al_scaffold_0003_4010 pep chromosome:v.1.0:3:23977055:23980615:-1 gene:Al_scaffold_0003_4010 transcript:Al_scaffold_0003_4010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4I6] MRKRVIRGSVPVGSFTNLVLESFGKGSTVEEIVDDLRLKHREWARWKRQILLVKVKNIVNSRSNDRKHEDESRKKQRREQSNSDLCISSSPSPSSGSSSGNVLTSDDNMQFDITNDSLRLSYSEKKKASEEASKGSYDVEVKGPTFKDLGGLNGILDKLAFLVKLPLLCPDIVQAIGMKPISGFLLHGPPGCGKSTLACAIANETGVPFYMISAAELVSGVSGESEENIRELFFKAYRTAPSIIFIDEIDAIASKRENQQKGMETRILTQLLSCMDEKYMLLKHKEKNVSSGDPGFKPGHVVVIGATNRPDALDPALRRSLRFDREICLGVPDQKAREEIFSVATRGLTLDSNFDRASIASLTSGFVGADFDVLAKEAGMVLAQRIIYSRKSGLCTDIDSLMRQPISEEERKQLVCTTSDFMVKLQEALKEVQPTLTREGFSTKPNVTWDDVGGLDNLKQEFYEHVIRPLKFPEQCKGFEFCLDTGFLLFGPPGVGKTLVAQAVANEAGANYIHVEGPELLSKYVGDTEKAIRELFSRARRCSPCIVFFDEVDALTSKRGEQGAWVVERSLIQLLAELSGGKKRGGVIVIAATNRPDRMDPAVQRAGRFGRLFYVTLPNAAQRFSILKSLVRGITVPIDPTVDLNAIAERCENCSGADLKSLVNRAAFAAYRDTSSTSKRIIKMDHFEQSLSALRPSLTNQQLREYEEICEKFDKAAK >Al_scaffold_0003_4043 pep chromosome:v.1.0:3:24291952:24292756:-1 gene:Al_scaffold_0003_4043 transcript:Al_scaffold_0003_4043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5C4] MDWVLYVPLEQRDRQVDRKNLLDIRTFKVDESKQSTVVQIRFPFEPPDFLLYHNRDYSSEVLSIVFQLIDIWINILLIGSLVWSE >Al_scaffold_0003_4044 pep chromosome:v.1.0:3:24297415:24297956:-1 gene:Al_scaffold_0003_4044 transcript:Al_scaffold_0003_4044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5C6] MVSSGSSAELNGVLSSWVNHPASKTVTSGSSAELDGVLESWVNYTASETVIRRTRTKRMERLGTITRRTRTKRVKWLRRLLARRNRHISSVRSKGRLRTEKNKEIIVKIQRNIEKREILWEVTNKLTMVGEEIEKPTELAGTKEQGLHVETSERVEKVRV >Al_scaffold_0003_4045 pep chromosome:v.1.0:3:24301239:24304223:1 gene:Al_scaffold_0003_4045 transcript:Al_scaffold_0003_4045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5C7] MILVSLDRSRCKFKLGFWKADFGHLGSVEDKPTVDTFRGSVRKAIGASLVTRKAFEARTLIDNPPENRIPDEEYEIVFINFIDRFLDFNPDSQRQKFKVDDSKHEILMFKDHNGTMINRGIRLLDAVSSTEYQEDDGIMYPYFEFMPLNLFTSKTSFSLKLSFSDLRDPDFVSMPCLKVMFLREIRWSGTMHLEKLVSGCPVLEDLTLVRYLDEDELVLALTVVRSGSLKTLYVPFAYGSYCRSRVPNTVLEIDARGLEHMTLKEKHFEKIIVKNLTCMFMIDLDFKFVVKYGSIFDPEDLSKTNEILGFLTGISRARHMIISKPIRDMGNNFHKNPIMKVRQSLVHSLMKLRLKMAASSLRLNRISELKEGTN >Al_scaffold_0003_4046 pep chromosome:v.1.0:3:24456345:24456917:1 gene:Al_scaffold_0003_4046 transcript:Al_scaffold_0003_4046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5E6] MEGRSHYRHYRSYVGRSTTATTSRSSPHSTSRSFPPTTSQLPRTPSLSPNSTLVHETDQSSTQAPSPPEAQAHYKMGLFEIDNEVGKVVSNCIKGYFTKPHANISQIPKKIVDTWFHMFAVIVKRSEPATQNWSTR >Al_scaffold_0003_4047 pep chromosome:v.1.0:3:24460404:24462574:-1 gene:Al_scaffold_0003_4047 transcript:Al_scaffold_0003_4047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L5E7] LVTSTTEDSVSKISDAAMVISHPHLSVYTYRFRDLGFMSVLGSERGGSGNFWGVKRVKRVEMTSTQPRWHLITIGWSILTIVSQKNLTSCDVVLFLATHAEFVIPYEKYITSIRNPICIGTRFIMRFEMNDSPERCAGVVAGVYDLDPYRWPNSKWCDGMSLVSDHQERVSLWEIDPSVSLPHLSIQSSPRPWEIDPSSTFAGILDHYIGGLRSLR >Al_scaffold_0003_426 pep chromosome:v.1.0:3:1640879:1641175:-1 gene:Al_scaffold_0003_426 transcript:Al_scaffold_0003_426 gene_biotype:protein_coding transcript_biotype:protein_coding description:RALF-like 21 [Source:Projected from Arabidopsis thaliana (AT3G04735) TAIR;Acc:AT3G04735] MKKTNRFMVVATFIACVFISSMNMTVGKVIGYPGLRPDLPCDHHQYPSACAPSEQPVNPYKRGCELIHRCRRDPPPPISRKMLIQAQFIYNNAYNQSP >Al_scaffold_0003_441 pep chromosome:v.1.0:3:1694236:1695599:-1 gene:Al_scaffold_0003_441 transcript:Al_scaffold_0003_441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2Z4] MGLYLKILELCESDYMEDYEVKVPFQSLQALGCHVDAVCPAKKKTDERFPTAIHDVLRVTKLTVKKQATLLLYDDLVIPGGRAPEYLALNKHVLNMGKEFMNSEKPVTSTSHRQQTHILTFLVPSQP >Al_scaffold_0003_444 pep chromosome:v.1.0:3:1744563:1745505:-1 gene:Al_scaffold_0003_444 transcript:Al_scaffold_0003_444 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein (DRT102) [Source:Projected from Arabidopsis thaliana (AT3G04880) TAIR;Acc:AT3G04880] MAGAVSAVEQPLKIITGADDFGASLKDAMVSHLRSLGIDVEDTGVYWIGRRVSASTSSEVRGLVCCGTGVGVAMFANKFPGVYAATCLSVEDAVNARSISNCNVLALSGIKTSPETAIEIFDAWIKTPFKSPCPASGSEPWSSDISSFLDNSLSEMSQIGKSTATESKTKKIDETTASCAICCLAKNREFTPVDIMPGGSMKIVRETPTSAIVRFKAGSVEPAHHHTFGHDLVVMKGKKSVWNLSKKERADLVDGDYLFTPAGDVHRVKYHEDTEFFITWDGLWDIFLDEDIETAKKAIEEEA >Al_scaffold_0003_445 pep chromosome:v.1.0:3:1745798:1747242:1 gene:Al_scaffold_0003_445 transcript:Al_scaffold_0003_445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2358) [Source:Projected from Arabidopsis thaliana (AT3G04890) TAIR;Acc:AT3G04890] MAGVAGQISISRSSTLRRVNHRPRCFSGSPENKTPAVLKWAVGGVTELLRLFSGAPSSSSIPTNKDRSRYELSAGNVEDVMEILRSDYRNAYFVTGILTSSIYSDECIFEDPTISFQGTELYERNLKLLVPFLEDASIELQNMDKSESSERNYILATWKLRTYLKLPWRPLISINGSTVYDLDRDFKIVRHVESWNVSALEAVGQIFTVKSFPFGD >Al_scaffold_0003_447 pep chromosome:v.1.0:3:1748698:1749169:1 gene:Al_scaffold_0003_447 transcript:Al_scaffold_0003_447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like (DEFL) family protein [Source:Projected from Arabidopsis thaliana (AT3G04903) TAIR;Acc:AT3G04903] MKSFLVAFLIVLVFFSAELKIGNGFEIPVVPEPTGKWCGYSVPMKPCTNDECIKQCIAENTHGWLMTTGKCTSIPSLKDCYCIHQCP >Al_scaffold_0003_448 pep chromosome:v.1.0:3:1752453:1752836:1 gene:Al_scaffold_0003_448 transcript:Al_scaffold_0003_448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L301] MVIKVVIRRGMVLESGIGGGRSLLQELVVVSLGCGFLQRMIGCGFVSFWLPVVASWGLGFALCYLMKAPGIGRVWLGFG >Al_scaffold_0003_454 pep chromosome:v.1.0:3:1774498:1775257:-1 gene:Al_scaffold_0003_454 transcript:Al_scaffold_0003_454 gene_biotype:protein_coding transcript_biotype:protein_coding description:low-molecular-weight cysteine-rich 41 [Source:Projected from Arabidopsis thaliana (AT3G04943) TAIR;Acc:AT3G04943] MKNSSLLFILIVVISSSENGKMIGEAKKCSNSWICEGDEKCKEKCMADYKGNGTCYYPSPPSKQHPEFSYPTCWCGFQC >Al_scaffold_0003_455 pep chromosome:v.1.0:3:1775769:1776101:-1 gene:Al_scaffold_0003_455 transcript:Al_scaffold_0003_455 gene_biotype:protein_coding transcript_biotype:protein_coding description:low-molecular-weight cysteine-rich 18 [Source:Projected from Arabidopsis thaliana (AT3G04945) TAIR;Acc:AT3G04945] MKNSSILFVLIVVLFSFNCLRNKKMVGEAKKCEKTWNCEGEDKCREKCKTLYNGDGICDLYTAPLVPKQCFCHYDC >Al_scaffold_0003_460 pep chromosome:v.1.0:3:1788758:1790587:1 gene:Al_scaffold_0003_460 transcript:Al_scaffold_0003_460 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: Prefoldin chaperone subunit family protein (TAIR:AT5G27330.1); Has 171032 Blast hits to 82560 proteins in 3364 species: Archae - 2835; Bacteria - 33550; Metazoa - 71995; Fungi - 13365; Plants - 9598; Virus /.../92; Other Eukaryotes - 39097 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G05130) TAIR;Acc:AT3G05130] MEKRRKSNYYDPNTKSPETIQRALANRNQRSKCDCILVKERSDRESEIRVLKGEAIELMGKVEMDKEQLRKVCECEEVNRLKNMEIVIGRLKSENDRLVKEIVGVNKEMIGLEKTIDGLNREIEHLLSEKNEMEIVQIGEIEELERKSDKFNETVQNLTKEDKVLRDREKKKQMEMVNEQSSDKDKLIELQEGISSREANLVELNQKAVELTHALAKLQKDYDDQTKINDKFICKVGQLSYALAQVEKKLKREEADKTLDEEKRHVEHLKAEVLKSGKRLAKTLEDFEKVKIARESLFSSEKAALEKAMAALKTELESAGMDAERNLGMLKNAASMLSQPENREDRLISEQQNREKGTESYAVELESIEKAFRNKEGIIEKMKKEAEIMKQSTHLWFHISRFLLLHVFSMPSNNHYHDMSFISII >Al_scaffold_0003_463 pep chromosome:v.1.0:3:1804571:1806794:-1 gene:Al_scaffold_0003_463 transcript:Al_scaffold_0003_463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport protein particle (TRAPP) component [Source:Projected from Arabidopsis thaliana (AT3G05000) TAIR;Acc:AT3G05000] MVFDGRFYANKPELAARRIEAIGYQVGHQLSERYTMERPRFSDHLEAIKFICKDFWSEVFKKQIDNLKTNHRGTFVLQDNKFRWLSRVSIDPSSENETEDPSTPGESKAAQAVSMYLYFPCGIIRGVLSNLGIPCAVSADISSLPTCSFVIRVKA >Al_scaffold_0003_465 pep chromosome:v.1.0:3:1817228:1819088:1 gene:Al_scaffold_0003_465 transcript:Al_scaffold_0003_465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, transmembrane-40 [Source:Projected from Arabidopsis thaliana (AT3G05010) TAIR;Acc:AT3G05010] MRVLGEIAESPFLLSRLSPNSTATGGFIGGWVGKCHGFLHNTVLVLASILFVAYLAYEAKKSLSKLSNRRSYIMIAYYGFLWLVSLLNLAWCCLQAWECTPGKEVIWNLLTLFTTSGMLFLEVSLVAFLFQGNYASGAEALTRTFLISGLVIGLDLLLKAIYLFGFGVPLFIDNNEHIQKFKWGLWVIHKLLLAGIYGMIFFMYNSKWRERLPGKYIYHSHPPYARPAFYKYITVMLALNGLSLFACALTANGAHFGLWLYGVTSVCYHAFYLPLLYVTFLADFFQEEDLNLENVYYSEMKDAGFFDADWE >Al_scaffold_0003_483 pep chromosome:v.1.0:3:1891505:1893415:1 gene:Al_scaffold_0003_483 transcript:Al_scaffold_0003_483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3R1] MEGESSSIERGLLLVNKEESANTTPLLVFTAFIIVSAYFSFGVALGHTAGTMASIMEELDLSIAQFSVFGSLLTFGGMIGAIFSATIADLVGRKMTLWIAEVFCISGWLAIALAKVPKAILGLILVERWGRRPLLMVSAFGICLGCISIALAFGLKGVPWIVNFTPTLAFIGMLTYNMMFAAGLGGLPWIIMSEIFPINMKVVAGSLVSITNWFTGWIVSY >Al_scaffold_0003_490 pep chromosome:v.1.0:3:1927028:1928475:-1 gene:Al_scaffold_0003_490 transcript:Al_scaffold_0003_490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3R8] MDISRSRWVAPVASTAPEQGLSCSLGWWSISTVAPLAKLMSEQYAGFTEALFSAEFWSRSDGGPVVGIALLCEVVFIVIGGTAVRLVGSSLSPTEWLVVKLFLSLFGNVVDEMLWLIAGLLWTAS >Al_scaffold_0003_51 pep chromosome:v.1.0:3:166456:168178:-1 gene:Al_scaffold_0003_51 transcript:Al_scaffold_0003_51 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 44 [Source:Projected from Arabidopsis thaliana (AT3G01600) TAIR;Acc:AT3G01600] MASRAWIVDGRGIATKVKNASLSSALQIQDCGAHINCPNCSYRIDNSNVLIPWPGLPKGVKFEPADEDIIEFLEAKCGIGGSAPHVLIEEFIRPVTEDVGINYTHPQNLPGANKDGVSVFFFHKTVQAYGTGQRKRRKITPTLVNDEPVRWHKTGRTKPVLLNGVQRGCKKIMVLYKSARKGSKPEKSNWVLHQYHLGTEGKEIGDYVVSKITYQQQKLGENPDEGESSGVRGGPRTPKTNTPTPPSLVDGVAGDEEAFDDSKVFDPFFEGLDSIPEAALGKMWSKKARMDEEFVVNLSEDNLTCDESIEASSLWENQVIPNPTSLGTVGEFDGFSISDLENADLGTPPDLLTVRFS >Al_scaffold_0003_510 pep chromosome:v.1.0:3:2031030:2031655:1 gene:Al_scaffold_0003_510 transcript:Al_scaffold_0003_510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3U0] MSLKPYFSLHSSPTNARFSNFLIAQQPSGDRKTTPAEEFSPLVEKFNRRLLLGVGSSSVLAVGANFGGITSFVLGLSPEVGRNLKLDVVYPIGGYSRCIDTVEGFEFIYPATWVGDQTLLYRAAEKSERENSLDLPPARNSRRKNVNEPVVAFGPPGSTGELNVSVIVSPVSPSFS >Al_scaffold_0003_514 pep chromosome:v.1.0:3:2045465:2045950:1 gene:Al_scaffold_0003_514 transcript:Al_scaffold_0003_514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3U4] MEYYQPVEINVLSAQDLDSVNLLFRPTVYVSVSVTRGSRDKQVTPAAACGKKLLRWNYRMKFYIEDDKFRRNDSVFVFQIKCKRFFGSDQVVGKVFVPLFLGYLCKKV >Al_scaffold_0003_515 pep chromosome:v.1.0:3:2046823:2047291:1 gene:Al_scaffold_0003_515 transcript:Al_scaffold_0003_515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L3U5] ANAQGMRVQETLHLYENVNADFIRARLNRYPVALIVQVDVKFLKLGQEGVYHLPKKHPITKDTRLHCMLLIGYGVTKEGKTFFIGQNSYGKGWGCKGYAIIIIDKKCDIVCQKD >Al_scaffold_0003_516 pep chromosome:v.1.0:3:2048643:2055547:-1 gene:Al_scaffold_0003_516 transcript:Al_scaffold_0003_516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3U6] MAPKPSESETKDGSSSETQSAEAMEISTGDDSSLLGKRKVEATNLEDPGENEEGVDDEDVIDEEDKDSDSDPEWDKDSFDGREYHSSDDEREYIDKDLEKRARFYKRTVIETKGFFETSDKLPPCVYYGVGSLGYLDEPAMLGLTTREVCEKLTTSLCLQRYNEEEGKLQTIWSMTKFYITFAARESESPDAPLVEYQAKAVWSVTHKIYPILCRPSPAPTRMAPKSSDGESNYGSSSAGDTKDGSSSKTQSTESMEISTGDESSMLGKRKVETTNLEDLNENEGDVEGVDEEENSESDSDSEWDKDSFDGLEYRSSDDQKEYIDKDLEKRARFYKRTVIETKGFFEATDEFPPYLWAGIATVPGLDDDLEEGLTVRQFLANMTSLCLDKYNKRKGFNVKLEHVLRANFNPGGRTTYYITFAARESDSPDAPLVEYQAKVDWSAGKTYPILCRPTSPPKLGNSFHLSYSDFNM >Al_scaffold_0003_518 pep chromosome:v.1.0:3:2058372:2065638:-1 gene:Al_scaffold_0003_518 transcript:Al_scaffold_0003_518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3U9] MSKQNEVKNGTSSYSEKELTEPMETTSPSVDLWSLGQRKAEISDPEEEDSSDSESEDDDDSSEEEEKNSDSEPEWDKDSFDGREYHSDEKELSDKEADLKWRRYERQLIETKGFFVEPENIPPCLYSGIAPLTNLEGPSVDGLTTREFCTDLATACLDKLNQQQGSNVKFEDVLWVNFRGGSRPVYFITFVAKEFESPDAPLLEYQAKMVTKSIDSHVQDEREDKEGDDDSVEVDGDSEESYTSGVGDEKVHEYQEVTDEPEWDKDSFDGREYHSSDDQREYEDKDFERRARFYRRTVIETKGFFEATDEFLPYLWAGIATVPGLDDDLEEGLTVRQFLANMTSGFNVKLDHVLRANFDPGGRTTYYITFVARESDSPDAPLVEYQAKVVWSAGKTYPILCRPTSPPKMGDGGDQLKEVAKVMEESS >Al_scaffold_0003_526 pep chromosome:v.1.0:3:2096400:2097437:-1 gene:Al_scaffold_0003_526 transcript:Al_scaffold_0003_526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3V7] MLVYQDILTGDELLSDSFPYKEIENGMLWEVEGKNPSAEEGGEDEGVDDQAVKVVDIIDTFRLQEQPSFDKKQFVMFMKRYIKQLSPKLDSEKQELFKKHIESATKFLMSKLKDFQFFVGESMEGEEGSLVFAYYREGAADPTFLYLAYGLKEIKC >Al_scaffold_0003_528 pep chromosome:v.1.0:3:2102962:2103969:1 gene:Al_scaffold_0003_528 transcript:Al_scaffold_0003_528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxia-responsive family protein [Source:Projected from Arabidopsis thaliana (AT3G05550) TAIR;Acc:AT3G05550] MAESKTKFEEIRKWVSDHKLRTVGCLWLSGITGSIAYNWSQPAMKTSVKIIHARLHAQALTLAALAGAAVVEYYDHKTEATNRYPKFLPPENLSHKD >Al_scaffold_0003_53 pep chromosome:v.1.0:3:173385:175390:1 gene:Al_scaffold_0003_53 transcript:Al_scaffold_0003_53 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G01580) TAIR;Acc:AT3G01580] MTKRTLYQWNTLLKSLSRDKHWEQVMCHFSQMFRDEEKPDNFTLPVALKACGELREVKYGEMIHGFLKKNVTLGSDLYVGSSLIYMYVKCGRMTEALRMFNELEKPDIVTWSSMVSGFEKNGSPYQAVEFFRRMATASDVTPDRVTLITLVSACTKLSNSRLGRCVHGFVMRRGFSNDLSLVNSLLNCYAKSRAFKEAVNLFKMMAEKDVISWSTVIACYVQNGAAAEALRVFNEMIDDGTEPNVATVLCVLQACAAANDLEQGRKTHELAIRKGLETEVKVSTALVDMYMKCFSPEEAYAVFSRIPKKDVVSWVALISGFTLNGMAHRSIEEFSIMLLENNTRPDAILMLKVLGSCSELGFLKQAECFHSYVIKYGFDSNPFIGASLVELYSRCGSLGNASKVFNEIALKDTVVWTSLITGYGIHGKGTKALETFNHMVRSSEVKPNEVTFLSILSACSHAGLIHEGLRIFELMVNDYRLAPNLEHYAVLVDLLGRVGELDTAIEITKRMPFSPTPQILGTLLGACRIHQNGEMAETVAKQLFELESNHAGYYMLMSNMYGVKGEWENVEKLRNSVKQRGIKKGLAESLIEIRRKVHKFVADDDLHPEKEPVYGLLKELDLHMKENLEGISNKNMEI >Al_scaffold_0003_557 pep chromosome:v.1.0:3:2232587:2234168:-1 gene:Al_scaffold_0003_557 transcript:Al_scaffold_0003_557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4N0] MFFQVSRLRLPLTKGPELINKIENYLNRNNTCPHQQTENSKTSTFLKSSEKLKAMNFPISMIEIGTWTPVAINPDDIVAKFYFAKKKLIWEFLFGEPDTNMPRLKRKIEIQWNDISSFEERIYTRDETGILKIELRKRPTFFIETNPQAGKHTQWKQLDDFTDNQASTCRRHTIHFPPGVLQKNLEKLLTDSFWSKLYNVPFPVEESLFFDMGFENNNSSRNSHNQTVSFNVNYGLQHHHYSQGIGGVGVGVGDRNFNIAPQFRANGGWQRNSYSQANSLNYNTANELPRMQAIITPSFQVVNEHHNMQMDFTGSQYINQMNQDEIRKMQIIREIAESQAYAAVPDTQTNNVPMYPPQQLGSASWYGHRGRLVNIIRVLLIYGSVMLVQVVSGACGALASD >Al_scaffold_0003_558 pep chromosome:v.1.0:3:2237785:2238498:-1 gene:Al_scaffold_0003_558 transcript:Al_scaffold_0003_558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L4N2] QKFRERIEPNKLKIPKRVLQVIDEELTKLEVFKTGNDFTIASNYLEWLTVFPWGNYSGENCDVMSAEKILDEDHYGLSNVKERIIEHIAVEKLRGTPQGKIICLAGPPGVGKTSIARSIARSLHRNFFQFSVGGLCTAANIKGIPRTFYYATLGKMVQCLKIKCGNREITKRIFVGFI >Al_scaffold_0003_559 pep chromosome:v.1.0:3:2239141:2243949:-1 gene:Al_scaffold_0003_559 transcript:Al_scaffold_0003_559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7L4N3] MMINEGFKNGFDTSLVHLRRLPSYDGLLHLRRQSLNLNSRVFYGARHVTPPAIRVGSIPVQSLLFRAPTQLTGWTRSWGHRVSFSHRSDGLDLLSSSPIVSTNPTLDDCLTVIALPLPHKPLIPGFYMPIYVKDPKVLAALQESTRQQSPYVGAFLLKDGASTDSSSCSETNNVVHKFKGKGKPKKKRRKELLNRIYQVGTLAQISSIQGEQVILVGRRRLRIKEMVSEDPLTVNVYHLKDKPYDKDNAVIKASYVEVISMLRDVLKINSLWRDQDIGDFSYQHLADFGAGISGANKHQNQGVLIELDVHKRLELTLELVKKQAEINKIKETDDGSSLSAKIRVRIDTNKENIPKHVLKVMEEEFSKLEMLEDNYSDFDLTYNYLDWLTVLPWGNFSYENFDVLRAKKILDEDHYGLSDVKERILEFIAVGRLRGTSQGKIICLSGPPGVGKTSIGRSIARALDRKFFRFAVGGLSDVGEIKGHCQTYVGAMPGKMVQCLKSVGTENPLILIDEIDKLDRCHTGDPASALLEVMDPEQNANFLDHFLNVTIDLSKVLFVCTANVIEMIPGPLLDRMEVIDLSGYVTDEKMHIARDYLVKKTCRDCGIKPEQVDLSDAALLSLIENYCREAGVRNLQKQIEKIYRKVALELVRQGAVSFYVTDTKDTKSLAKTDSEVKGMKVADIMKILESATGDSTESKTKQSGVVAKTFKKVMIDESNLADYVGKPVFQEEKIYEQTPVGVVMGLAWTSMGGSTLYIETTFVEEGEGKGGLHITGQLGDVMKESAEIAHTVARRIMFEKEPENLFFANSKLHLHVPEGATPKDGPSAGCTMITSFLSLAMKKFVRKDLAMTGEVTLTGRILPIGGVKEKTIAARRSQIKTIIFPEANRRDFEELAENMKEGLDVHFVDEYEKIFDLAFNYDH >Al_scaffold_0003_569 pep chromosome:v.1.0:3:2281869:2282825:-1 gene:Al_scaffold_0003_569 transcript:Al_scaffold_0003_569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4P1] MTRKKVKVAYISNDSSRKATFKKRKKGLMKKVNELSTLCGINAIIYSPYDSNPGVWPSNSGVQRFEILQNSGIEIGESSNNVGAFSGTSERFGSMAVVASTTAPTHTTIEAGSSSSFPAFLNPIQQQHPQFRHPSSPQVGFYEKSRSLNLNLNDNHNQNQQKWFMEMMNHPDQLNYAAEQKGFPFMDDNHHNNNHHDPHQQQQIPGDSSTVSAAVSSSSTISLTNPNLTNNIWFC >Al_scaffold_0003_571 pep chromosome:v.1.0:3:2287961:2288262:-1 gene:Al_scaffold_0003_571 transcript:Al_scaffold_0003_571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low temperature and salt responsive protein family [Source:Projected from Arabidopsis thaliana (AT3G05880) TAIR;Acc:AT3G05880] MGTATCVDIIIAILLPPLGVFLRFGCGVEFWICLVLTLLGYIPGILYALYVLTK >Al_scaffold_0003_589 pep chromosome:v.1.0:3:2352052:2352718:-1 gene:Al_scaffold_0003_589 transcript:Al_scaffold_0003_589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4Q9] MATFEDPFLANRGQLSETEFEEDDAQKEEEEEENETIITSLNTMILIAYGHTASTILVTGKPPPKDVQEKTIEACDLVIDLDSQKKKVLEFVKIKTRFIAPNLSAVFVSKVATKLIITYKCWRFISTC >Al_scaffold_0003_59 pep chromosome:v.1.0:3:193659:194435:1 gene:Al_scaffold_0003_59 transcript:Al_scaffold_0003_59 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 57 [Source:Projected from Arabidopsis thaliana (AT3G01530) TAIR;Acc:AT3G01530] METTMKKKGRGKATITSQKEEEGTVRKGPWTMEEDFILFNYILNHGEGLWNSVAKASGLKRTGKSCRLRWLNYLRPDVRRGNITAEEQLLIIQLHAKLGNRWSKIAKHLPGRTDNEIKNFWRTKIQRHMKVMSSEHMICSGNSQSSGMTTTDQGSSGKAIDMAESFSQAKTTFNVVEQSNENYWNVEDLWPVHLLNGDHHVI >Al_scaffold_0003_591 pep chromosome:v.1.0:3:2355601:2360445:-1 gene:Al_scaffold_0003_591 transcript:Al_scaffold_0003_591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeotic gene regulator [Source:Projected from Arabidopsis thaliana (AT3G06010) TAIR;Acc:AT3G06010] MVAQQLQERCGNSQEDPVETTKSLICAVNYISRDLPLPPHLFAAVSSIYHGASSSLSDSDVSPPLPTSPPGNNLTPYGGDLMGEFEDALLKQRPNCESGSRLIELLDYRNKSHIQRRLSELEASSGMLTLCVFKSELPSTRGEDLQAKCLLELYGLKLRELQGKVRTAVSSEFWLRLNCADVSSQLFDWGMMRLPRPFYGVGDPFAMEADDQFRKKRDAEFSNFLICIADVLKVFVQRLSRLEEEEKNLIETAKRKFFAEVLNAVREFQLQIQATQKRRRQRNDGVQAWHGRQRQRATRAEKLRLMALKSDDQEAYMKLVKESKNERLTTLLEETNKLLANLGAAVQRQKDAKLPEGIDLLKDSESDLSELDGPRSEALQDLLPDQDIDITESDNNDDSNDLLEGQRQYNSAIHSIQEKVTEQPSLLEGGELRSYQLEGLQWMVSLFNNNLNGILADEMGLGKTIQTISLIAYLLENKGVPGPYLIVAPKAVLPNWVNEFATWVPSIAAFLYDGRLEERKAIREKIAGEGKFNVLITHYDLIMRDKAFLKKIEWYYMIVDEGHRLKNHESALAKTLVTGYRIKRRLLLTGTPIQNSLQELWSLLNFLLPHIFNSVQNFEEWFNAPFADRGNVSLTDEEELLIIHRLHHVIRPFILRRKKDEVEKFLPGKTQVILKCDMSAWQKVYYKQVTDMGRVGLQTGSGKSKSLQNLTMQLRKCCNHPYLFVGGDYNMWKKPEIVRASGKFELLDRLLPKLRKAGHRILLFSQMTRLIDVLEIYLTLNDYKYLRLDGTTKTDQRGLLLKQFNEPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRREMLEEIMRKGTSSLGTDVPSEREINRLAARSEDEFWMFERMDEERRRKENYRARLMQEQEVPEWAYTTQSQDEKLNSGKFNFGSVTGKRKRKEIVYSDTLSELQWLKAVESGEDLSKLSMRHRREENTSNTKTPTNKKAIESIQTVSDGTSEEEEEEEEEEERAKEMSGKRRVEKSEEEEEGEEENDGKAIFKWNTHKKKRSRYSFTCSSSDSRAQSSNGSRRK >Al_scaffold_0003_593 pep chromosome:v.1.0:3:2377613:2379867:1 gene:Al_scaffold_0003_593 transcript:Al_scaffold_0003_593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L4R3] GNKIQAGIKKEHISKFQRYVKKGDWKIIEEFSVNKATGTYRSTTHSYRINFHYATVFSPSPSISNEVWLDLVDFTTILSGNLDQNKLIKILLLIFNLLWFRLPSDGLALTIMGPKPRFEPLKVREQRSLGLPLKTIAELKASYEKLLSDGAKKILNRCEKCNAEVSAVEARYWLHLDVMDNTGETKLMLFDSFVEKIIGTPAYELLEGTDEAELDDPLPPPDVLMLTNNSDKEGTSNDVFSTPSSKRKEDFSDGSDQNSTSKKQCMEKQSDVKVDGVIDVENPKEHDLPKFINKLDEAGEQVITKASEEEQKKVLLKKIKVEKIEGQNGAK >Al_scaffold_0003_599 pep chromosome:v.1.0:3:2413759:2414302:-1 gene:Al_scaffold_0003_599 transcript:Al_scaffold_0003_599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4R9] MEVAVELELDDDVFFADISKQLNLLITDEDEQNPISLSSSVSFQGLFRGNYQTSATPYMMYNEQINYNARESKGTGVFIPRSSQPRRKHNHHPHQKKQGRFGSFIPKQQFPHHHVHDYNPTTLNNNNQESITFYHPSTNPRRTYRDAASLFT >Al_scaffold_0003_622 pep chromosome:v.1.0:3:2496863:2498013:-1 gene:Al_scaffold_0003_622 transcript:Al_scaffold_0003_622 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated ubiquitination effector family protein [Source:Projected from Arabidopsis thaliana (AT3G06280) TAIR;Acc:AT3G06280] MEKQEEEEVKRRRTRTKNSNHPRVLTLGSAQESWRSIKGSFEHYSYTHGQCINGVLYYESFLKYDSAHSFIGKLASLVYSTNMDAFISLWVLEDAESHEWSFRIFSLPFPLDDPISHTLLILTGVTEAGEFVYAPTTLENPFHALYFDPQRNSFRRVIYEGIADNEFRRRNGLGNKPLRRLHIFPNHVGSLMSF >Al_scaffold_0003_65 pep chromosome:v.1.0:3:213985:216003:1 gene:Al_scaffold_0003_65 transcript:Al_scaffold_0003_65 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G01490) TAIR;Acc:AT3G01490] MKEKSESGGGVGYVRADQIDLKSLDEQLQRHLSKAWTMEKRKSLSDGEDNVNNTRHNQNNFGHRQLVFQRPLLGGGYSNNNNNSKNDIIRSTEVGRSRREWEIDPSKLIIKSVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSDAEIASLRAAFTQEVAVWHKLDHPNVTKFIGAAMGTSEMSIQTENGQMGMPSNVCCVVVEYCPGGALKSFLIKTRRRKLAFKVVIQLSLDLARGLSYLHSQKIVHRDVKTENMLLDKSRTLKIADFGVARLEASNPNDMTGETGTLGYMAPEVLNGSPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLVNVMKRCWDANPEKRPEMEEVVAMLEAIDTSKGGGMIPPDQQQGCFCFRRHRGP >Al_scaffold_0003_671 pep chromosome:v.1.0:3:2755947:2759826:-1 gene:Al_scaffold_0003_671 transcript:Al_scaffold_0003_671 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G06630) TAIR;Acc:AT3G06630] MEEELLKKMLELEQSQELLKQEMSRLKLSTELRQPMMLPRRPLSRIQGSPGKFTDKQYLNILQSLAQSVHVLDLNTRIIFWNAMSEKLYGYSAAEVVGKNPVHVIVDDQNASFALNVARRCVNGESWTGEFPVKTKSGVIFSAVTTCSPFYDDHGTILGIISITSDIAPYLNPRLSLPKLKPQEHARNSKGAVSSKLGLDSDHPIQVAIASKISNLASKVSNKFRSKMRAGDNNADHMDDAASSGASTSREDFIQSPFGIFTCNDDKFPSKPFKDSSNESDRKPAIQKVPTSKAEEWMVKKDLSRPWKGNEQEGSGVKPTHSLWPCVQNEQKKGKSHQINPSSGIKSESHDSESNKRTDDDEASSMWSSSINANSTSSGSTSRSVMDKIDKDSYHLEYEILWDDLTIGEQIGQGSCGTVYHGLWFGSDVAVKVFSKQEYSEAVIKSFKQEVSLMKRLRHPNVLLFMGAVTLHQRLCIVSEFVPRGSLFRLLQRSMSKLDWRRRINMAVDIARGMNYLHCCSPPIIHRDLKSSNLLVDRNWTVKVADFGLSRIKHQTYLTSKSGKGTPQWMAPEVLRNESADEKSDIYSFGVVLWELATEKIPWENLNSMQVIGAVGFMNQRLEIPKDIDPDWISLIESCWHSIDINLCFYFGLSRLKRYKAETHIPRTDGETKRPAKKVYDTVPGDSCCVT >Al_scaffold_0003_673 pep chromosome:v.1.0:3:2766486:2767049:1 gene:Al_scaffold_0003_673 transcript:Al_scaffold_0003_673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L5X8] IFETVIKFQASKPNLSSWFSLMGSSPKSSNPEKKRRCKCTMNFASLFAVGAPEALVIGVVALLVFGPKGLDERIEEKAKGIQRLEDLQRIEEKAKGFGEKE >Al_scaffold_0003_714 pep chromosome:v.1.0:3:2921426:2921635:1 gene:Al_scaffold_0003_714 transcript:Al_scaffold_0003_714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L614] MGGSWRFFFSFFGSFKAWWRRRQRSNQAGTDDEMYGEDSEANFYNWYLVEPAINRRSTDFIKRFHETRD >Al_scaffold_0003_720 pep chromosome:v.1.0:3:2940785:2941154:1 gene:Al_scaffold_0003_720 transcript:Al_scaffold_0003_720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L620] MPAILSVGCDRTEPESDDEHMFPVISLKLDKVWELDGEDQVDVLSIIPSADVVTGVEDCGWVDEVSDPSVQVLLKRLEEGVKFSR >Al_scaffold_0003_723 pep chromosome:v.1.0:3:2958401:2960820:-1 gene:Al_scaffold_0003_723 transcript:Al_scaffold_0003_723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L624] MDRYERVVKPKPESPINENEIRITSKGLIRNYISYATTLLQEKNVKDIVLKAMGQAISKTVAISEILKNKIPGLHQDIAISSMSITDVWEPTEEGLFPVELTRHVSMISITLSLTELNKDSPGYQAPAQTDQSKPQYQPQQGRQARLPYNAYGEDSYGRGRGRGRGSGRGRGGYGNYQGGYEGKDQGNYQEDYQGMLCHRRSSFCFLFILAVDVVDEAMAIVVLDIRVAEAGMVVGEMADMVMGEMTDMGEGETTGMGETTGIVEAETTGMVEGEMMDMVEAEMMGMGEEEADFEAVVVEAEMKDMVEAEVGMVVEVEEEVMGMVEEEVMGMVAAGVMVMEVAAEVMVMEVAEVMGMVAAEVMVMEVAEVMVMREAEADTVEVEVDMVVDVEGWVMVVVQGVVLVTKTKPKLF >Al_scaffold_0003_729 pep chromosome:v.1.0:3:2980923:2982301:-1 gene:Al_scaffold_0003_729 transcript:Al_scaffold_0003_729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L631] MAEEAHKVTLNVYDLSQGLARQLSQSLLGKVIEGVWHTGIVVYGNEYFFGGGIQHLPVGRTPYGTPIRTIELGLSHVPKDVFEMYLEEISPRYTAESYNLLTHNCNNFSNEVAQFLVGKGIPDYILQLPNDVLNSPMGGLIMPMLQGLETTLKAGAVPQVPQFRPQPQPFGAFSKDEGPKIEKASKPEAAEISAATEKVPPVVQPSASKEKVKEDPLGDARAKIQEEITTEFAALMAQGTLRASEAAAMATKRVMQKYGQLNVNT >Al_scaffold_0003_74 pep chromosome:v.1.0:3:254774:256135:-1 gene:Al_scaffold_0003_74 transcript:Al_scaffold_0003_74 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAG4] MSFIIAIVELMDLICTKLNELYKRKSVFLQIQGMSFYMAAVIFLMVSCFGVSMETLDRKKNGLSFVKLIKLSSKGSSRQMINKVIGKHKRVPIKSPGNEDVLPIPPKIANRAPKYMVDRVCVETVKSSTGQESCTIAIPANIRHQPNIDASTQWRI >Al_scaffold_0003_751 pep chromosome:v.1.0:3:3071868:3074481:-1 gene:Al_scaffold_0003_751 transcript:Al_scaffold_0003_751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6I7] MSRCFPFPPPGYDKKIRTEASDSLLKEKHKEKKHKKDKEKKEGKEKKDKEKSKDKHKERKEKKEKHKDRKDKDRDKEKSRTSEDKKTAVALPNTGDREKLVTNTLQNNGNGESKFIQDLARRIRNEEATESQSTRKIDFPCGVTETNNSCPVPQTNHTKVDKKRNSTHKNSAISKGSESAVLRVSSCMDQKGAEVKFKPVEKNDQAKKREPQEKNHRRESVTKSDKPLDNEGIRKSEPKNITNVSGKEKKEEKTEMIKKNVQEKPKFVEGEPRLKEREQDVVGTRDFREQEFSRAGIKNLTAEGILGKRKDLETNGFLYENGSRKNKIHKPVVSPISSVENGRNFGACQTHPKLASELHETVSNPEVKEHKINGFIYSQEPKSHPPVSAVKVKKNDEASAKKRPHSDLKYLDQILNVPKREELHEVDDAEQEWLFGQSGKFLKKQRADSDTSLVENPQVWNQALGIESADIVALPYVVPF >Al_scaffold_0003_752 pep chromosome:v.1.0:3:3076312:3078906:-1 gene:Al_scaffold_0003_752 transcript:Al_scaffold_0003_752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07290) TAIR;Acc:AT3G07290] MLIHIRIPRKILALRRHVFPPYNAFFSVSSRPSQFSSDQVAAHNVASLLKSPNWEKNSSLKSLVSHMSPNVASQVISLQRSDNDICVRFFMWVCKHSSYCFDPTQKNQLLKLIVSSGLFRVAHDVIVALIRECSRCEKEMLKLISCFDELREVSGFRLNYPCYSSLLMSLAKLDLGFLAYVTYRRMEADGFVVGMIDYRTIVNALCKNGYTEAAEMFMCKILKVGFLLDSHIVTSLLLGFCRGLNLRDALKVFDLMSREGTCAPNSVSYSILIHGLCEVGRLEEAFGLKDQMGEKGCQPSTRTYTVLIKALCDRGLIDKAFNLFDEMIARGCKPNVHTYTVLIDGLCRDGKIEEANGVCRKMVKDGIFPSVITYNALINGYCKDGRVVPAFELLTVMEKRACKPNVRTFNELMEGLCRVGKPYKAVHLLKRMLDNGLSPDIVSYNVLIDGLCREGHMNVAYKLLTSMNSFDLEPDCLTFTAIINAFCKQGKADVASAFLGLMLRKGISLDEVTGTTLIDGVCNVGKTRDALFILETLVKMRMLTTPHSLNVILDMLSKGCKLKEELAMLGKINKLGLVPSVVTYTTLVDGLIRSGDISGSFRMLELMKLSGCLPNVYPYTIIINGLCQFGRVEEAEKLLSAMQDSGVSPNHVTYTVMVKGYVNNGKLDRALETVRAMVERGYELNDRIYSSLLRGFVLSQKGIRETDPECIKELISVVEQLGGSTSGLCIFLVTRLCKEGRTDESNGLVQTILKSGVFLEKAIDIIMESYCSKKKHTKCVELITLVLKSGFVPSFKSFCLVIQGLKKEGDTERARELVMELLTSNGVVEKSGVLPYVECLMETGDCSEVIDLVDQLHSRERPTF >Al_scaffold_0003_757 pep chromosome:v.1.0:3:3096786:3098872:-1 gene:Al_scaffold_0003_757 transcript:Al_scaffold_0003_757 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07340) TAIR;Acc:AT3G07340] MENELFMNAGASSHTPVMTSPSSSPAMLNWVSMETQPVDPSLGCNLFWEKSTEQSIFHSALSSLVSSPTPSNSNFSGGENVVIRELIGRLSNIGDIYGTPASNGNVSGSCYATPMSSPTPGRMMVTKTTMPITEFSGDPGFAERAARFSCFGSRSFNGRTNSPFPINNEQPVATNEKMPRISSSPVLKPLVSHVPAGESSGEYSRKRKAKSKQNSPSTVSPSKEIEEKEDSDPKRCKKSEDNGDKTKSIDPYKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLSSVNTRLDFNMDALLSKDIFPSSNNLMHHQHVLQLDSSAETLLGDHHNNNLRLNPDISCNNIINPSETSETRSFISHLPTLAHFTDSISQYSTFSEDDLTSIIQMGFAQNRLHELNHGSSKQVPSHMKAEL >Al_scaffold_0003_76 pep chromosome:v.1.0:3:258421:259494:-1 gene:Al_scaffold_0003_76 transcript:Al_scaffold_0003_76 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAG5] MTNKVIEKHKRVAIKSGHDENVPNPPKIANKPPKYMVDRICVTVKSSTGQESCTIEIPASIRHKPIIDPSTLLMTSWTLYEMQYINIITAKKNNARPSGPSRYLGTGTSLNKHLITIPSHLLLIDLKENLDFEETGRDFLCLEEAKGA >Al_scaffold_0003_775 pep chromosome:v.1.0:3:3163167:3166151:-1 gene:Al_scaffold_0003_775 transcript:Al_scaffold_0003_775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7L6L5] MIRNTGYFLTVFFLAFISFAVTCSGTNKNKDVDRLSSVHEDVRIGLVVDMGSMEGKFVKSSISMALSDFYNVNNGYRTRVSVLSRDSHGDPLQALAAAMDLLQTEQVEALVGGQSLFEAKILAELGEKTKVPVFSSFQVPSSLSLTKYNYFIQATHDTSSEAKGIAALFSNFDWRTAVLIYEDYDDWRESIQPLVGHFQQNAIHIEYKEEFSVSSNEECIMKQLRKLKASGIRIFVAHISEQIANRLFPCARRLGMMEEGHVWILTARSMNNFQDTNYLAKEAMEGVIGFKSYIPLTEELHNFTLRWKRSLRLEEVVTGMSVCSTWAHDIAWSLARAAEGAKLPGLPVYNLLEAITEGAKHKGLSGDIKFIDKKFISDKFEIVNMIGRGERSVGLWNSGSFISNRRRRLSSTNALETIIWPGGSTRIPKIRSLKEKRHGEKKKLRVLVPAGNIIPQILEVKTDFKTGVTAATGYCIDVFETSILPFNYEVEYIPWPGAINYNNYNDLVYTLYSQKDKYDAAVGDITITDNRSLYVDFTLPFTDMGLAVVTAKDKSMWIIFKPLTLSLWLTIATFFILTGAIVWLIERHDNADFQGSCFQQIGTLLCFGFSTLVFAHRERLQHNMSRFVVIVWIFAVLILTSNYTATLTSVMTVQQIRGLKSNENIGFFSASIAANVVNENPTFQGPRYKGLKTADDFTNALRNGTISFIVDEVPYVKLFVAKHPSEFEIVKTESVTNGFGFAFQKGSPLVQKVTREIAKLRRTEKLKAIENWWFQRQTTSTTSEDTFHPLTVYAVCL >Al_scaffold_0003_783 pep chromosome:v.1.0:3:3189987:3192980:-1 gene:Al_scaffold_0003_783 transcript:Al_scaffold_0003_783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane with DOMON related domain [Source:Projected from Arabidopsis thaliana (AT3G07570) TAIR;Acc:AT3G07570] MKLYSVSLIIFVLIAYSTIVVNAQQAARDSCNSTLPLNNLTFNVSLLQCTEAWSLQNYILRYARTVDNTWSFILSAPDSSAFIGIGFSTNGQMIGSSAIVGWIPSDGGSGTVKPYLLGGKSPGEVNPDQGDLTIVNGSLKIESVSSRLYMSFQLTATLPRQSLLYAMGPAGFFPSSPDFRLREHRFVTTTTINYITGSQSVVKGSPHSKLKKTHGLMNMFGWGILIIIGAIVARHMKQWDPTWFYAHIALQTTGFLLGLTGVICGLVLENRLKANNVSKHKGLGITILVMGVLQMLALLARPDKQSKYRKYWNWYHHNIGRIMIILAISNIFYGIHLAKAGSSWNGGYGFAVAVLALTAIGLEVRKLLKKLQKLEEEEEEEEEREMLRTRLLWFTLGFSVTGASICHIVWRDLYAERFAISSDMKEKFNALEGRVSGLESGGYENPNPAQVSSFSTSLPPFVAMIDC >Al_scaffold_0003_785 pep chromosome:v.1.0:3:3196309:3197170:1 gene:Al_scaffold_0003_785 transcript:Al_scaffold_0003_785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT3G07590) TAIR;Acc:AT3G07590] MKLVRFLMKLNNETVSIELKNGTVVHGTITGVDVSMNTHLKTVKMSLKGKNPVTIDHLSLRGNNIRYYILPDSLNLETLLVEDTPRVKPKKPVAGECTELCI >Al_scaffold_0003_788 pep chromosome:v.1.0:3:3209160:3210918:-1 gene:Al_scaffold_0003_788 transcript:Al_scaffold_0003_788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT3G07620) TAIR;Acc:AT3G07620] MRDYIPKYLNAFLLAFATFAVVFAIFIAKDSNSSSHLYFSTSSSLWTSSFSSAFITVTIFLSVHRFREKRKRNGSNPGSGYWKRDGKVEAELATARALIREAQLNSNSTASSPLGDEDYVPHGDIYRNPYAFHRSYLLMERMFKIYVYEEGDPPIFHYGLCKDIYSMEGLFLNFMENDVLKYRTRDPDKAHVYFLPFSVVMILHHLFDPVVRDKAVLESVIADYVQIISKKYPYWNTSDGFDHFMLSCHDWGHRATWYVKKLFFNSIRVLCNANISEYFNPEKDAPFPEINLLTGEINNLTGGLDPISRKTLAFFAGKSHGKIRPVLLNHWKEKDKDILVYENLPEDLNYTEMMRKTRFCICPSGHEVASPRIPEAIYSGCVPVLISENYVLPFSDVLNWEKFSVSVSVKEIPELKRILMDIPEERYMRLYEGVKKVKMHILVNDPPKRYDVFNMIIHSIWLRRLNVKLL >Al_scaffold_0003_789 pep chromosome:v.1.0:3:3211339:3213438:1 gene:Al_scaffold_0003_789 transcript:Al_scaffold_0003_789 gene_biotype:protein_coding transcript_biotype:protein_coding description:arogenate dehydratase 2 [Source:Projected from Arabidopsis thaliana (AT3G07630) TAIR;Acc:AT3G07630] MAMNAVRLSPATQLHGGISSSLLPSNRKPNNPIVRYGCGSSNRCRMVTVLASLRENDGNGRDNSVRAMEVKKILEDSPLLPKPLSSNQLAESVSNGSRVRVAYQGVRGAYSESAAEKAYPNCEAVPCEEFDTAFEAVERWLVDRAVLPIENSLGGSIHRNYDLLLRHNLHIVGEVKLAVRHCLLANHGVNIEDLRRVLSHPQALAQCENTLTKLGLVREAVDDTAGAAKQIAFENLSDAAAVASAEAAEIYGLNIVAEDIQDDCDNVTRFLMLAREPIIPGTNRLFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRKHPLRASGGLKYFDYLFYVDFEASMADEVAQNALRHLEEFATFLRVLGSYPVDTTML >Al_scaffold_0003_796 pep chromosome:v.1.0:3:3233365:3235620:1 gene:Al_scaffold_0003_796 transcript:Al_scaffold_0003_796 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G07690) TAIR;Acc:AT3G07690] MMDHLVETVETNSAPSRLVEERLDEIRRVMGKADDDPLRIVGVGAGAWGSVFIAMLQENYGKFRAKVSVRIWRRGGRAIDKSTAEHLFEVINSREELLRRLIRRCAYLKYVEARLGDRVLYADEILKDGFCLNMIETPLCPLKVVTNLQEAVWDADIVINGLPSTETFQVFNEISKYWKERVNAPVIISLAKGVEAEFEPHPRIVTPTQMIYRATGIPLENILYLGGPNIASEVYNKEYANARICGSEKWRKPLGKFLRQSHFIVWDNSDLITHEVMGGLKNVYAIGAGMVATLTKESATSKSVYFAHCTSEMIFITHLLAKEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELSLEMGDSIKGKGMIQGVSAVKAFFELLNQSSLSLQHPEEGRPVTPAELCPILKMLYRILITREFSCEAILEALRDETMNDPRELIEIAHSHLFFQPWLLGQKP >Al_scaffold_0003_8 pep chromosome:v.1.0:3:22130:23454:-1 gene:Al_scaffold_0003_8 transcript:Al_scaffold_0003_8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L9I7] MESPKHSLIPSFLYSSSVRSLLLDQMLNNSNPKSPSPPTMLSQKNFLIASPTEPGKGIEMYSPAFYAACTFGGILSCGLTHMTVTPLDLVKCNMQLYKGIGPLWGRQIPYTMMKFASFETIVEMIYKYAIPRPKDQCSKGLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNARGATVGDAVKKIGLLGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGVAPAAAISPSEAKA >Al_scaffold_0003_800 pep chromosome:v.1.0:3:3245003:3246568:1 gene:Al_scaffold_0003_800 transcript:Al_scaffold_0003_800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L709] MKKKAIVIDDGYRRFMRTRPMSEKIDVPIICLDEDDNDDLMIDKDYNVFLDHLRRGDNDSLTPRLEVDNGESDIRRLKIFSCDSKRPKLGNENPVKNRVHQQGKRRTVGFKAERVNIRENIQRDNRVAERRKRRIAELEAAKTSRDVPSLKTQQEDTQQRDNKVRKLSESKAETLRRDDVPLVGTQEDSQRDHTVSQGRKRNNDDALRRDVPLVETEEDIQQTSEVVRMERVNRVTEVNDVVETSSRSKEVFVGRKNKNEDGSEAVVDKNYMRYLTWLVDSLRDSSTTEPMENLQKDQSDLDTLKESTMVPEMDPLVEVKVEPDHDWSDYIIAMGDSPFLDGEECTPFVVSKKVVDLDEGSKEDESSSSWFRKELMDVLQKPYDEGELKLLHRYASIHRKMTRCRELRKGRESDYETDELGQSYLESFPDFEKEYKLVVGVDKARALKLLRGFFLYLKYVSHDGVFKPWERNQRWQT >Al_scaffold_0003_802 pep chromosome:v.1.0:3:3250469:3252207:1 gene:Al_scaffold_0003_802 transcript:Al_scaffold_0003_802 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G07750) TAIR;Acc:AT3G07750] MVGLSLGEQHFVKGGIAQDLRTDGRKRLTYRPIYVETGVIPQANGSARVKIGGTDVIASVKAEIGRPSSLQPDKGKVAVFIDCSPTAEPTFGGRGGEELSSELALALQRCLLGGKSGAGAGINLSSLLIKEGKVCWDLYIDGLVISSDGNLLDALGAAIKAALTNTAIPKVNVSAEVADDEQPEIDISDEEYLQFDTSSVPVIVTLTKVGTHYIVDATAEEESQMSSAVSISVNRAGHICGLTKRGGSGLDPSVILDMISVAKHVTENLMSKLDSEISAAEAADDES >Al_scaffold_0003_824 pep chromosome:v.1.0:3:3323476:3327284:1 gene:Al_scaffold_0003_824 transcript:Al_scaffold_0003_824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07970) TAIR;Acc:AT3G07970] MYEKIIIISVFLLTFLPSCFSSYPFNHRDDLFMSSNVYYETNRQHQHGHNTRNSHLKNRHGYAPGSSPRAFNVNTFGAKANGNDDSKAFMKAWEAACSSTGIVYVVVPNNRDYMLKSVTFSGPCKSTLIIFKIYGMIEAWKNPSDYKERRHWIVFENVDNLRVEGGGRIDGNGHIWWPNSCKINPQLPCLGAPTAVTFVECNNLRVSNIRLENAQQMHLTFQDCKNVKALNLMVTSPADSPNTDGIHVTGTQNILIQDSIVRTGDDCISIVSGSENVRATGITCGPGHGISIGSLGEDNSEAYVSNVVVNKATLIGTTNGVRIKTWQGGHGMAKNIIFQDIIMKNVTNPIIINQDYCDRVESCPEQKSAVQVSNVLYKNIQGTSSRPIAVKFVCSKSIPCRGISMQNVKLVDQTQQDVSTASCSNVKLDTRGNVSPLCT >Al_scaffold_0003_825 pep chromosome:v.1.0:3:3327837:3335349:-1 gene:Al_scaffold_0003_825 transcript:Al_scaffold_0003_825 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT3G07980) TAIR;Acc:AT3G07980] MARQMTSSQFHKSKTLDNKYMLGDEIGKGAYARVYIGLDLENCDFVAIKQVSLENIGQEDLNTIMQEIDLLKNLNHKNIVKYLGSLKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVTVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLNEADFNTHSVVGTPYWMAPEVIELSGVCAASDIWSVGCTIIELLTCVPPYYDLQPMPALYRIVQDDTPPIPDSLSPDLTDFLRLCFKKDSRQRPDAKTLLSHPWIRNSKRALRSSLRHSGTIRYMKEPDSSSEKDDEGSQEVAESFSAEKVEVTKTNSKSKLPVIGVESFRSEKDQSSPSDLGEEGTDAEDYLNSDLGPTLSTHDQSSRQSGTCSISSDAKGTSQDVLENHETSERDEIPGNLEKEASEGRRNTLATKLVGKEYSVQVAQSSHSFSQKGEVGLRKAVKTPSSFGGNELTRFSDPPGDASLHDLFHSLDKVPEGKPNEASTSTPTTNVNQGDSPAADGGKNDLATKLRARIAQKQMEGETGHSQDGGDLFRLMMGVLKDDVLNIDDLVFDEKVPPENLFPLQAVEFSRLVSSLRPDESEDAIVNSSLKLVAMFRQRRGQKAVFVTQHGFLPLMDLLDIPKSRVICAVLQLINEIVKDNTDFLENACLVGLIPLVMSFAGFERDRSREIRKEAAYFLQQICQSSPLTLQMFIACRGIPVLVGFLEADYAKHREMVHLAIDGMWQVFKLKRSTSRNDFCRIAAKNGILLRLVNTLYSLSEATRLASISGDALILDSQTPRARSGQLDPNNPIFSQRETSPSVIDHPDGLKTRNGGGEEPSHALTSSSQRSDVHQPDALHLDGDRPRLSSVAADTTEDVIQQHRISLSANRTSTDKLQKLAEGASNGFPVTQPDQVRPLLSLLEKEPPSRKFSGQLDYVKHITGIERHESRLPLLYASDVKKTSGDLEFIMAELAGISGRGKEKGNLDTAARYSSKTMTKKVMAIERVASACGIASQTVSSVLSGSGVLNARAGSTTSSGLLAHALSADVSMDYLEKVADLLLEFARAETTVKSYMCSQSLLSRLFQMFNRVEPPILLKILECTNHLSTDPNCLENLQRADAIKQLIPNLELKEGHLVYQIHHEVLSALFNLCKINKRRQEQAAENGIIPYLMLFVMSDSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLSLLDDEYWSVIALDSIAVCLAHDIDHKVEQAFLKKDAIQKLVNFFQNCPERHFVHILEPFLKIIMKSSSINKTLALNGLTPLLISRLDHQDAIARLNLLKLIKAVYEKHPKPKQLIVENDLPQKLQNLIEERRHGQRSGGQVLVKQMATSLLKALHINTVL >Al_scaffold_0003_830 pep chromosome:v.1.0:3:3346683:3347619:-1 gene:Al_scaffold_0003_830 transcript:Al_scaffold_0003_830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L742] MAITASMRILIELKDLLEDPPSNFTAVLEELKHEFCELRRRIQIKNVELEILKLSDKKRFVDEVMSGEIIVNNREKADLFQELRQRGFTQFPNKAKPAGPFDAAEEFDDELLLLENKVKFIGGVISGEIKAINKKKADLVLELRQRGFTPFPNNAKAVEGAVSGAFDAAEESDYGYLLSLAIKTMSQEKVEQFLAEADESFDMELQKLDSNDAHTAEKESDAAQIKKIKADHFSG >Al_scaffold_0003_834 pep chromosome:v.1.0:3:3363516:3364440:-1 gene:Al_scaffold_0003_834 transcript:Al_scaffold_0003_834 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: Late embryogenesis abundant protein, group 2 (TAIR:AT3G24600.1); Has 161 Blast hits to 158 proteins in 15 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 161; Viruses - 0; Other Eukaryo /.../0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G08490) TAIR;Acc:AT3G08490] MERREDHYSNYFVQSPSSLSHDLEFEFQSPTRSDSAPLVLSPVNEFPKHSDFLRNSGNKLVYEDDEKMLVPLRRSSNSGWWIVLQIGWRFFFSLGVALLVFYIGLTFSACFPFGIGRFNQFMLEEGVDSHGASTKFLTFNCSTKLIVDNKSNVFGLHIHPPSIKFFFGPLNFAKAQGPKLYALSHESTTFQLYIGTTNRAMYGAGAEMDDMLQSRAGLPLILRTSIISDYRVVWIT >Al_scaffold_0003_837 pep chromosome:v.1.0:3:3371863:3374879:-1 gene:Al_scaffold_0003_837 transcript:Al_scaffold_0003_837 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipase C 2 [Source:Projected from Arabidopsis thaliana (AT3G08510) TAIR;Acc:AT3G08510] MSKQTYKVCFCFRRRFRYTASEAPRDIKTIFEKYSENGVMTVDHLHRFLIDVQKQDKATREDAQSIINSASSLLHRNGLHLDAFFKYLFGDNNPPLALHEVHHDMDAPISHYFIFTGHNSYLTGNQLSSDCSEVPIIDALKKGVRVIELDIWPNSKKDDIDVLHGMTLTTPVGLIKCLKAIRAHAFDVSDYPVVVTLEDHLTPDLQSKVAEMVTEIFGEILFTPPVGESLKEFPSPNSLKKRIIISTKPPKKYKEGKDEEVVQKGKSLGDEEVWGREVPSFIQRNKSEAKSKNNAPPQYKHLIAIHAGKPKGGITECLKVDPDKVRRLSLSEEQLEKAAEKYAKQIVRFTQHNLLRIYPKGTRVTSSNYNPLVGWSHGAQMVAFNMQGYGRSLWLMQGMFRANGGCGYIKKPDILLKSGSDSEIFDPKVTLPVKTTLRVTIYMGEGWYFDFRHTHFDQYSPPDFYTRVGIAGVPGDTIMKKTKTLEDNWIPAWDEVFEFPLTVPELALLRLEVHEYDMSEKDDFGGQTCLPVWELSGGIRSFPLHSRKGEKYKSVKLLVKVEFV >Al_scaffold_0003_843 pep chromosome:v.1.0:3:3400223:3401498:1 gene:Al_scaffold_0003_843 transcript:Al_scaffold_0003_843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L756] MVSFDEKPCIGSSSYESEANSNSPGDLFFEYLEAAMPFGREPLTDKVPNIPNTIRSIVTKPRCLLFTIPLFINTLSSNEEGQSSSKSVVPSKLPLPTFGPASYKFKLSVWSPESDMDENQRVGTLLRTAEEWRVQVGLEQRMDMNGVSANDFAIETLGELHSKSAFPAPVGPEITITFSFLDFLFFVPFRGTEQAVAPQNLRHSTRLGGCSLK >Al_scaffold_0003_857 pep chromosome:v.1.0:3:3443742:3444760:1 gene:Al_scaffold_0003_857 transcript:Al_scaffold_0003_857 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 12 [Source:Projected from Arabidopsis thaliana (AT3G08700) TAIR;Acc:AT3G08700] MSALNRISRELRDLQRDPPANCSAGPVAEKDMYHWQATIMGPHDSPFSGGVFLVNIHFPSDYPFKPPKVSFKTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPNDPLVAEIAQLYKENKSKYESVAQSWTQKYAMG >Al_scaffold_0003_902 pep chromosome:v.1.0:3:3621684:3623337:-1 gene:Al_scaffold_0003_902 transcript:Al_scaffold_0003_902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF674) [Source:Projected from Arabidopsis thaliana (AT3G09110) TAIR;Acc:AT3G09110] MAKSSEKPKFSLRLLIDEEKNRVVLAEAGKDFVDVLCSLLTLPMGTIVRLLEKHQNPQSAIVGCFHNLYKSVSDMDVDNFQTQACKNLLLHPRSAKASHGRKLKLNIDDTEATKFFVCPNFVSTEACRKLYSHVSTTKCCCGSSMTHEIPVEEDQADGVLLSCRTSFVITDDLKVALNSMGLVMNVLNDLGYSGFDKLQEMLIDVGFEEILTLLGCLFTSEAPLTDTFLRKHCMSRKRKMLTPLVQESSVAGVAETPLTLKVYVRKSDRAVLYAECREEFVDFLFTFLAIPLEFAWELSIDNVKMGCVGNLCRSVKDLSFEKQKEATVSKCMLPYYYNGRAQPLDVVIQESPEYECLVSRYGHSMLSKKINKTVLSNGEILAKFTSVSASIGLVKEETNFIVSDDLVVTPMNSSSTISLLSKLQMNIGDIEEQVISIGKAEATSLLRASLITTSALTNGLSSFLSKMDPDEATQATPKIQKSDKPNEAWQLL >Al_scaffold_0003_91 pep chromosome:v.1.0:3:321262:322551:1 gene:Al_scaffold_0003_91 transcript:Al_scaffold_0003_91 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF569) [Source:Projected from Arabidopsis thaliana (AT3G01311) TAIR;Acc:AT3G01311] MEIFRKAAIVRLRSHNDKYLGADEDQESVHQDRRGTTKNTRWTVEIVPGSNVIRLQSCYGKYLTATNIHFLLGATGKKVLQTLPGKLDSSAEWEPISDDGIHVRFKSRYGQYLRANKGVPPWRNSITHDIPSRTVTQDWIMWSVDVLQIRVTKDDAGSTHSSSTFSRIESDDSFTVSLPLKSEGRLINYEIGDDCGNINKEMGEKSLIFHGSELIELKKRLEEETEMEEVMICCRNPLNEKLCPLQLHLPPNNATMHIIVFPSSFGTKLTSFKILLAVIVNYNCMFIAFLISFIRGFPFPIKF >Al_scaffold_0003_921 pep chromosome:v.1.0:3:3686032:3686867:-1 gene:Al_scaffold_0003_921 transcript:Al_scaffold_0003_921 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutathione S-transferase TAU 8 [Source:Projected from Arabidopsis thaliana (AT3G09270) TAIR;Acc:AT3G09270] MNQEEQVKLMGLWGSPFSKRVEMALKLKGIPYEYIEEDVYGNKSPMLLKYNPVHKKVPVLIHNGRSIAESLVIVEYIEDTWKTSHTILPQDPYERAMARFWAKYVDDKVMLAVKKACWGPESEREKEVKEAYECLKCLEKVLGDKLFFGGETIGFVDIAADFIGYWLGIFQEASGVTIMTAEEFPKLQRWSEDFVGNDFIKEVLPPKEKLVAVLKAMFGSVTSN >Al_scaffold_0003_929 pep chromosome:v.1.0:3:3713226:3715929:-1 gene:Al_scaffold_0003_929 transcript:Al_scaffold_0003_929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7U1] MANPFHACSFDFGAFDIDDEDEASPSSHNDDSAPWPRSYRQSVDILTGVTPPTVSFIHGRSTQTSFTSSIASLYKRRHNSIITSFASSTSKQPLLSEKDDVSAKSFLSPQLRLSITDFSYAEPNLCSFPQSVLNGINVLCGVGLLTMPYAVKEGGWLGLCILFIFGIITCYTGILLKRCLESSPDLHTYPDIGQAAFGFTGRIIISMLLYMELYACCVEYIIMMSDNLSRIFPNISLNIVGVSLDSSQIFAISATLIVLPTVWLRDLSLLSYLSAGGVFSSILLAFCLFWVGSFDGVGFHTGGQALDLANLPVAIGIFGFGFSGHAVFPNIYSSMKDPSKFPLVLLTSFGFCVFFYIVVAICGYSMFGEAIQSQFTLNMPQQFTSSKIAVWTAVITPMTKYALSLTPIVLSLEELIPSSKKMRSYGVSMFVRTILVLSTLVVALTFPFFAIMGALMGDHSSQCLSHITHVKKDSYFMFTVQAFIFPCLCYLSVLKGRLSKTQIGICVFIIISGIVSGCCGTYSAIGRLVGELN >Al_scaffold_0003_934 pep chromosome:v.1.0:3:3730202:3731049:-1 gene:Al_scaffold_0003_934 transcript:Al_scaffold_0003_934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF59) [Source:Projected from Arabidopsis thaliana (AT3G09380) TAIR;Acc:AT3G09380] MRSGEKKMDSVLINENPIIYPKRPRRVRTDQSNTDEFSSTNRIRDIRDPEHPKLSLEDLNILTEESVEVDDDKSYVRITFTPTLPHCHLPTPIGLCLLAKLAQSLPARFKVDVRVAPGSHDKEKTVNKQLGDKERVAAGLENPDLVALLNKMMQV >Al_scaffold_0003_937 pep chromosome:v.1.0:3:3738924:3739742:1 gene:Al_scaffold_0003_937 transcript:Al_scaffold_0003_937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7V0] MLPGFVLRILRSNRKPPSSSSTAVSENEQRELLETITSNLPLDKSSISSTTRFLFGLLRTAIILNASEFCRDLLERKIRTQLERATLDDLLVPSYSYLNETLYDVDLVERILAHFLDTLEQSNTAVVEADGKSPSLMLVGKLIDGFLAEIASDANLKSDKFYNLAISLPDQARLYDDGLYRAVDVYLKAHPWVSEAEREKICGVMDCQKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRQCSLALF >Al_scaffold_0003_967 pep chromosome:v.1.0:3:3835858:3839130:-1 gene:Al_scaffold_0003_967 transcript:Al_scaffold_0003_967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L8B6] MATSSCVWVFDVFPSFSGEDVRRTFLSHLLLALDRKLITCFKDSEIQRSQSIGLELVHAIRGSRIAIVVFSKIYASSSWCLNELLEIVKCKEEKGQMVIPIFYALDPSHVRKQTGDFGKAFEMICESKTDELQIQWRRALTDVANIHGYHSENWYNEAHLIEEIANDVLGKLNNVTPSMEFLDFVGIEDHLAKMSLLLCLESEQVRMVGLWGPSGIGKTTIARALFIRISRHFQSSVFIDRAFVSKTMEIFRGANPDDYNMKLHLQENFLSEILNKKDIKVHHLGAVGERLKHKKVLIVLDDLDDQIVLDALVGGTQWFGCGSRILVITKDKHLLRAHGIDRIYKVGPPSHKLALEMFCQYAFRQNSPREGFAELASEVTKGAGNLPLALNVFGLYLRGRDIEDWLDMLPRLRKGPYGKIEKALRVSYDGLGSKEDKAIFCHIACLFNGMEANDIKLLLADSDLEVNIGLKNLIDNSLIHERGSTVHIHCLVQEMGKEIIRTQSNKPREREFLVDSKDIGDVFNDTSGAKKVLGLSLSLAEFDKLHIDKRAFKRMRNLRFLRIYEDSLDLHNQVRLHLPGGLSYFPPKLKLLCWDGYPMRSLPASFRAEHLNVLRMRNSKLEKLWEGVESSAYPEDRVELPSSLRNLNELYMQTCSELVALSAGINLESLYRLDLGGCSRFWGFPYISKNVSFLILNQTAIKEVPWWIENFSRLICLEMRECKRLRYISPKISKLKLLEKVDFSNCEALTSASWLDGPSAVATGGNNIYTKLPVLNFINCFKLDQEALVQQSVFKYLILPGREVPLYFTNRATGSTLAICLLQRSLSQQFFGFRVCIAVDTHEANSFTPRWICCHVTRKDGSSFDSTDCHLAIDLPRQMDNHLVIFDCCFPLNKDIDALAELNYDRVDIEITFTTDSLCKIKGCGVRLSEICSYLDNGLSNVCEADESKHGEEYGDSIAEIRRSRKRVRIMEKSI >Al_scaffold_0003_968 pep chromosome:v.1.0:3:3839725:3840873:-1 gene:Al_scaffold_0003_968 transcript:Al_scaffold_0003_968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09700) TAIR;Acc:AT3G09700] MVAAILAGAAVAAAAYAGKYGLEAWQAFKLRPVRPRMRKFYEGGFQATMNRREAALILGVRESVAAEKVKEAHRRVMVANHPDAGGSHYLASKINEAKDMMLGKTKNSGSAF >Al_scaffold_0003_969 pep chromosome:v.1.0:3:3841430:3843547:-1 gene:Al_scaffold_0003_969 transcript:Al_scaffold_0003_969 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 1 [Source:Projected from Arabidopsis thaliana (AT3G09710) TAIR;Acc:AT3G09710] MVKKAKWLKNVKKAFSPDSKKLKHESVECQDSVISYPVLIATSRSSPPQFEVRVDEVNYEQKKNLCPPSSVSVTPTVAHVLVDSPPSSPESVHQAIVDDRFAGKSKEDAAAILIQSTFRGNLSLSLSCVMRGQARLKLLMEGSVVQRQAAITLKCMQTLSRVQSQIRSRRIRMSEENQARHKQLLQKHAKELGGLKNGGNWNDSNQSKEQVEAGMLHKYEATMRRERALAYAFTHQQNLKSNSKTANPMFMDPSNPTWGWSWLERWMAGRPWESSEKEQNTTNNNNNENSSVKNSTNRNSHGGETAKSLNRNKLNISTQSNTPSSSSTATRNPRKKRPIPSPIKSKTSDDEAKSSEKNRRHSTARSSVSDDETLTSSTAKRSNHLIPTTKPVRGKPKPQSSSRAAVTTTTTEENSVLPEKAPAKKRLSTSASPAPKPRRSSAPPKVEKGALKAERTP >Al_scaffold_0003_991 pep chromosome:v.1.0:3:3931329:3931781:-1 gene:Al_scaffold_0003_991 transcript:Al_scaffold_0003_991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L8E2] ALTGVVTTPLDVIKTRLMVQGSTKQYQRIVDCVQTIVREEGAPALLKGIGPRVLWIGIGGSIFFGVLESTKRTLAQRRPNTVKETKE >Al_scaffold_0004_1 pep chromosome:v.1.0:4:62203:63082:-1 gene:Al_scaffold_0004_1 transcript:Al_scaffold_0004_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKJ9] MGMIRRKQTLPSDQISSDSPFDRTTFSITRQIPRSHSITTQFSRSQTQTALSITGQILDHRHGSSRISRSQQHPADQISRSRLSVIPLNSAAACLRPVSHKLENELQGGHIYKVPCGTSRGTVGHAFLDQLSRESLFSRSTFAQTTLNWILVG >Al_scaffold_0004_1004 pep chromosome:v.1.0:4:10694996:10696099:1 gene:Al_scaffold_0004_1004 transcript:Al_scaffold_0004_1004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFI0] MEEVNQEARAEGNNPGVIDPIAPPARPIDPVEGPIDLPIEPPRRITLKEHNAPDQLYETRNRRTTRRKILDDPDPGETEELHYLGRQANFQGDGGYPHQLYPPQKSFQPYPGAYLQPNSSESRLEAMMRTLMDSHQQSSSNINVKINNM >Al_scaffold_0004_1005 pep chromosome:v.1.0:4:10718820:10719959:-1 gene:Al_scaffold_0004_1005 transcript:Al_scaffold_0004_1005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFI2] MEQREARRDREMQQMREALHSVQTMLQSLTMGDPRSTPLVGYETPPIASPQQQTPIPASTSVETSHLEKGVSAPPCVSEALLPPPPQFQRFEPSQSRFDSTQPYRYRTHP >Al_scaffold_0004_1008 pep chromosome:v.1.0:4:10728234:10730347:-1 gene:Al_scaffold_0004_1008 transcript:Al_scaffold_0004_1008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFI5] MEYDSGSGSGRGRGRSIPLVAGRANNVQISDAIGATAHTITSIDREGLSLTDSQWKQLITTLRLPHAAPITPSNILAEDYCLVSSLTQTVLDERGISMEDIEVIVESGVADVGGSIDSALRLVDPVQNTAEPAATIDR >Al_scaffold_0004_1009 pep chromosome:v.1.0:4:10746566:10749549:1 gene:Al_scaffold_0004_1009 transcript:Al_scaffold_0004_1009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFI6] MFMIRDTLIKVGASLVYLAGDVVRGGSGGSGFFNRNMIVTTYNVLLKLRAPYYIGKEIVVTLYTGAIVIRIISSYDSDRDLCLINIHDSKIEGTNITWSKFSSDQPKQGQLVGALGAPLLLPNSFSMGAISYVNRLIEDIDNPLDFVLARYAGF >Al_scaffold_0004_1021 pep chromosome:v.1.0:4:10924992:10926008:1 gene:Al_scaffold_0004_1021 transcript:Al_scaffold_0004_1021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LFK0] MAYFHDVSILRPCITGWHIRVKVLRMITVCINPRNALRLVLVDDKGFKIDCWINSEYAKHYAEFLEEDRWFSFTEFRVLENSDRVRLTNHNFRMSVFGTTVVLPADPPSTEPRDSFTPFSSIIDGTVDESVLIDLIGVLSDVGELVNVGTNPSDLTGFRLSFRIRGPCGNAIDGLVSGRSAMEFRKHYDLCVSKPLVCIMRLWKVDRYFDGPKNVRIVNQGLISKVLPYPDVPEAAEFCTMS >Al_scaffold_0004_1025 pep chromosome:v.1.0:4:10934228:10935434:1 gene:Al_scaffold_0004_1025 transcript:Al_scaffold_0004_1025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG58] MDEHVDDLKPIKLCWSIQVKVLNKWRERSEASYRTLRMILCDKRGSMIEAKINSELITEYDSEFKDGDWISLHHFELDLVTGDMRTTRHAYRINFLPSTILNLIPDKDDLHYTLYPSSFLDVLDDKLIRTYLINLIGYVVDIEDIKYSDPSKRSAGYAMTSFKIKDANNYVLPCVAIGDLAEHFHDEWKRTRSSRVVCVLRWWGVYKVSGHMVIQSVGRCSRLDLDPDMPEVADFRVKSSASTGSSRYRA >Al_scaffold_0004_1028 pep chromosome:v.1.0:4:10944240:10944860:1 gene:Al_scaffold_0004_1028 transcript:Al_scaffold_0004_1028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG62] MEVNKQNTNSTHISQTDECIVAIQQAASWVLSVDRLRGLFVMLMGVFCEADPLSVWDATWDVLFKDVLFPQQIIFNDHEPEYAYNEIQNFETFMRKENSTFNVYAELRKRVALAGGPTCDPSLEYKMRDQFNNLKID >Al_scaffold_0004_1030 pep chromosome:v.1.0:4:10958959:10960093:1 gene:Al_scaffold_0004_1030 transcript:Al_scaffold_0004_1030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG65] MIPFTSDSCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMHCWNPENDQVPIITRKENAME >Al_scaffold_0004_1031 pep chromosome:v.1.0:4:10964768:10965545:1 gene:Al_scaffold_0004_1031 transcript:Al_scaffold_0004_1031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG66] MWVIQVPKDQIYRIPPPENAHRFEQLSRKKTNRSNCRCCFCSFLATIFILIVLAGISLAILYLIYRPEAPKYSIEGFTVSGINLNSTSPISPNFNVTVRSRNGNGKIGVYYEKESSVDVYYNDVDLCNGVMPVFYQPAKNVTVVRLALSGSKIQLTSGMRKEMRNEVSKKTLPFKLKIKAPVKIKVGSVKTWTMIVNVECDVTVDKLTAPSRIVSRKCSHDVDLW >Al_scaffold_0004_1032 pep chromosome:v.1.0:4:10972101:10974152:1 gene:Al_scaffold_0004_1032 transcript:Al_scaffold_0004_1032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG67] MNLKRPFPGMWRFPTNPEICCIYRVPDCPRSVNPEAYTPQLLLIGPLNHSLKSQAFKSPGDVTYTMSTGYLNMEECKKIYLAEFTRRVVGGENTIKLILRTLERGREKTGDPIMDMPCLEVIVKSDLMLLENQLPYFILEKLFDPVVPKFQKLIINYFNFGGKIGNKSKFRHFTDLFRCVRVETLQGLPPRKFKLIEHMYNAVKLHSGGVKFEAVENEYSLYVRFENGCLKMPCFVVHDHEERILRNIMALENCHYPYEAHVCTYMIFLDYLIDTEKDVDMLVEKGIIRNWIGQHSLVAEMVNKLCVGIMDNGSYCSDTSVEVNAYYSSPFNRSRAVLKRVYFGNMWIGTATVAAMLLLLMTLVQTVASIIQVKQK >Al_scaffold_0004_1037 pep chromosome:v.1.0:4:11005141:11005653:1 gene:Al_scaffold_0004_1037 transcript:Al_scaffold_0004_1037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG70] MSLPPYRHSVASSSSSSCTLFRREISLEIREEFFMSLPIALEDTQVSVPAKLKSNSSCRRQLLLQDLIEEICNERDGDAGSFLPSRRTLQVEIYSNRAR >Al_scaffold_0004_1043 pep chromosome:v.1.0:4:11057928:11058509:-1 gene:Al_scaffold_0004_1043 transcript:Al_scaffold_0004_1043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG76] MTRSEQEAGEEACHRCNHGTQIIQERLGPALALIAFFFPIPQFLRLLCCFVILKLLSLFQETLHEHAAICKDMNKQLQKLVPPHDQQISRLLLEVLSQELVRIHCKALNLGVSRSIILEKSTLDISSSSPKIVEDESKLNLMMLVRSSSMDLYHRRILVNKERGAM >Al_scaffold_0004_1049 pep chromosome:v.1.0:4:11121515:11122471:1 gene:Al_scaffold_0004_1049 transcript:Al_scaffold_0004_1049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG82] MKKKGGLKRKIPIETRETNAQRSIADCYFNGKPPPKKFDPQAKLGFWWEDPNLYRNCDDLSELNIIEDRLERLKKYIMECLEKKEKLELGSLDEITCGSDQNPNFSRDQIYENASSSSQVNQKPNFSLDEIYGNVSSSSQVNVDQNPNFSRDHIYDEHSSSQVDQNPSSSVDQSGLSYLEQSCEFVNGTGFGFTDGFWETKEENNSMSLTQETETQTMVNVYEDSFCNNQFKDNVFGLNNYNPQDMLDIGEFFYEEDIELLHSNQFAA >Al_scaffold_0004_1054 pep chromosome:v.1.0:4:11176869:11177587:-1 gene:Al_scaffold_0004_1054 transcript:Al_scaffold_0004_1054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG87] MVVDDHNPNDFQTPHQDIENEETEESEDGEDTDQVHVEKSGGGSENVDVIVDCEERKEDVIEKSVGASETAVEDVVVETKSQSGDENQIMGDESSDGSHGGSDPEEGQDEEAVVQAEANKSWPPELFKSPRAADVQQVDSQEKKIA >Al_scaffold_0004_1059 pep chromosome:v.1.0:4:11250037:11251925:-1 gene:Al_scaffold_0004_1059 transcript:Al_scaffold_0004_1059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG90] MAGGLAMEEMPLSALSEQARKIHLAASESGVDRDVVKKGCEMFQKCEDMIRKLALFSSNETKEDISTNNLKYLLVPYYLAELTEKIIQDDRIPIVEASYAKLKEFFSFCEAMELVPDEELEASSRGGSGAPADRRALKIARFKRQKAAEAKLLEIKERKERRGRSTKASALSTPVESGEEDIPDDDSEEERDVCPTFCLAANMLIISLSSNSNADNRDRVCQHFHFFRQARLEKMGDDKSFGIAQVLLIKILNYFI >Al_scaffold_0004_1060 pep chromosome:v.1.0:4:11253039:11256794:-1 gene:Al_scaffold_0004_1060 transcript:Al_scaffold_0004_1060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG91] MGGLAMEEMPLSALFEQARKIHLAASESGVDQDVVKKGCEMFQKCEDMIGKLALFSSNETKEDISTNNLKYLLVPYYLAELTEKIIQDDRIPIVKASYAKLKFFSFCEAMELVPNEELEASSRGGSGALADRRALKIARFKRQKAAEAKLLEIKERKERRERSTKASALSTPVESEEEDIPDDDSE >Al_scaffold_0004_1061 pep chromosome:v.1.0:4:11260830:11262575:-1 gene:Al_scaffold_0004_1061 transcript:Al_scaffold_0004_1061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG93] MGESHIDVVKKGCEMFQKCEDMIRKLALFSSNETKEDISTNNLKYLLVPYYLAELTEKIIQDDRIPIVKASYAKLKFFSFCEAMELVPNEELEASSRGGSGALADRRALKIARFKRQKAAEAKLLEIKERKERRERSTKASALSTPVESEEEDIPDDDSE >Al_scaffold_0004_1062 pep chromosome:v.1.0:4:11275040:11280610:-1 gene:Al_scaffold_0004_1062 transcript:Al_scaffold_0004_1062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGT6] MGGLAMEEMPLSALFEQARKIHLAASESGVDQDVVKKGCEMFQKCEDMIGKLALFSSNETKEDISTNNLKYLLVPYYLAELTEKIIQDDRIPIVKASYAKLKEFFSLCEAMELVPDEELEASSRGGSGAPADRRALKVRFSPVSFVYILTRMKFKVFGF >Al_scaffold_0004_1063 pep chromosome:v.1.0:4:11284145:11287989:-1 gene:Al_scaffold_0004_1063 transcript:Al_scaffold_0004_1063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGT8] MSVVDVWAGGIRMQDMDTVSQLPTLLKELIIEGIAQNTNGSVVFETGVTEPEVYGSPTEQAILNLGNKLGTHAHVHWKGSAKTILSSCEGYMDGANNSRAIDEQKRKEGLRCAALAYQPCELGRISTIKEPRNLVLLAIIGIKVCMVTADDVLTAQAIAIECGILTDACRNIWTGAQFRELSDLEKEQIAGDILSILADWFKNWIEPKTNKLLYVKVLAQSSPSENLLLVQALKKRGHIVAATGMGIHDPNTLREADVSLAMGVGGTAAAKENSDIIILDDNFATIVKCIIWSRSLYTNVQRSILFRLTVSVSALAICVVEVVIYDAFPLNAMQLLLLNLIIDILGALALAYRPRADDHLMRKPPFYVNITKLIIQYTFFRGFFQRPSYNKTMWSKLIIQVFYIVLSLVIINSEKLLKLKHGHTGNAEKVLNTFIFNSFVFCLVCNEFEIQSVDQTFKEILRENMFLVTITSTIISQIIVIEFASIFISSVRLDLKKWVTTSLLGLLSQVATRFPYPTNQYYP >Al_scaffold_0004_1072 pep chromosome:v.1.0:4:11333505:11336481:-1 gene:Al_scaffold_0004_1072 transcript:Al_scaffold_0004_1072 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain 5 [Source:Projected from Arabidopsis thaliana (AT2G27220) TAIR;Acc:AT2G27220] MAAFFHGESEMREPFSDLFMMNLNPFLEPTTTTNAHNHHVYNLCFGFQQHRPRDEVDHIEQGNSSISTFSNGGVFRALAPIYLRAAQELLNEIVNVGNGSHGAKQDRPMSKESTIYGVGDINGGHKPGMASLQMKKAKLISMVETVEQRYEQYRDQIQNIISLFEQAAGLGSANSYTHMAFQTISKQFRAVKEMICLQIKQINKLLGQKEFEEQLKQLGKMAHHHSNAWRPQRGLPEKAVSVLRAWLFEHFLHPYPRDLDKVMLAKQTGLTKSQVSNWFINARVRMWKPLVEELYLEEMDIEESRKGSNRNEHSTKGSSSKQPYNNTTSAESSNSILPAFRQEFIENETPRQNSSSSYSVVRFSKQHMNHANFIHFNGGFENYYTMVGNGVSLSLGMPHSCDQTLKNIHIGSTSQGSEISGIYPSSTYQIMDSN >Al_scaffold_0004_1075 pep chromosome:v.1.0:4:11375828:11376404:-1 gene:Al_scaffold_0004_1075 transcript:Al_scaffold_0004_1075 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLAVATA3 [Source:Projected from Arabidopsis thaliana (AT2G27250) TAIR;Acc:AT2G27250] MDSRSLVLLLLFCLLFLHDASDLTHANAHIHGLPSRKMMKMEREWVGANGEEEKAKKKGLGLHEELRTVPSGPDPLHHHVNPPRQPRNQSNIP >Al_scaffold_0004_1084 pep chromosome:v.1.0:4:11410581:11411472:1 gene:Al_scaffold_0004_1084 transcript:Al_scaffold_0004_1084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGV8] MIFRVIGISCRDRRWCCSRRKSVDVLDTSMARLDLFSPPISSGLLLVRWVLKSFLVWFGCSVASLVRPDGDSGQFVVRYGREFQIWFFHLLGFRSGHCFSALVLCFVSNVLENLSFVVRRTRCLLPLALSSTSLVSSSVSSRSASFGVRGSLFCHHKRYLFHLRLSTSRGWFGTFNTTQSSINSFSRERSYSMGFDSVPLHKTNKVFVRRSLSFKFRPFPLRFS >Al_scaffold_0004_1088 pep chromosome:v.1.0:4:11445007:11445369:-1 gene:Al_scaffold_0004_1088 transcript:Al_scaffold_0004_1088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1278) [Source:Projected from Arabidopsis thaliana (AT2G27315) TAIR;Acc:AT2G27315] MGSKAVVSILLMVSLCGTISFTQGVAEMQKQPTFPGLFPPGLPIDLVKCWSSLFNVEGCVLEISKSIFSGKFENIEGACCKAFSALDANCWPHMFPLNPFFPPLLKDICARIVPNSPTHN >Al_scaffold_0004_1090 pep chromosome:v.1.0:4:11449016:11449332:1 gene:Al_scaffold_0004_1090 transcript:Al_scaffold_0004_1090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LGW4] VSLNIFRKYCGPVDIWLSILSGKKHPSKVIIINEQPWKSFKAMAQHLSQWVWFRKLFVLLSSYTYANTLNRINP >Al_scaffold_0004_1094 pep chromosome:v.1.0:4:11476661:11478275:1 gene:Al_scaffold_0004_1094 transcript:Al_scaffold_0004_1094 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G27360) TAIR;Acc:AT2G27360] MASQDSHMLLSFFISTLLVTIVTSQTGCRNFKSIISFGDSITDTGNLLGLSSPNDLPESAFPPYGETFFHYPSGRFSDGRLIIDFIAEFLGIPHVPPFYGSKNGNFEKGVNFAVGGATALECSVLEERGTQCSQSNISLGNQLKSFKESLPYLCGSSSVDCRDMIGNAFILIGEIGGNDYNFPLFDRKNIEEVKELVPLVITTISSVISELVDMGARTFLVPGNFPLGCSVAYLTLYETSNEEEYNPLTGCLTWLNDFSVYHNEQLQAELNRLRKLYPHVNIIYGDYYNTLLRLVQEPSKFGLMDRPLPACCGVGGPYNFTFSIQCGSKGVEYCSDPSKYVNWDGIHMTEAAYKCISEGILKGPYAIPPFDWSCLSSEIKNKESLDTTFFDE >Al_scaffold_0004_1098 pep chromosome:v.1.0:4:11518616:11518987:1 gene:Al_scaffold_0004_1098 transcript:Al_scaffold_0004_1098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGX2] MEVQRRSVLFLLVLSLLIHTQFKVVGSLDQASVSSIDAKRHHLTVETRSLPGDFVRRQLAGGGCGGGGGSRGGGGGGSSGGGSSNRGGSRGGGGDGGGGSGGVRLQYSFALFIFTTWFILMFY >Al_scaffold_0004_1100 pep chromosome:v.1.0:4:11522850:11523146:1 gene:Al_scaffold_0004_1100 transcript:Al_scaffold_0004_1100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGX4] MPPEATPSREDEIVSPVNIESVVVLEEDEISASSSVGEVSQEVKETELLEKTNVNSGDVVTETKTVSSVEGSMSVSLEIAKPKLKGSWVQAVVTRSRA >Al_scaffold_0004_1101 pep chromosome:v.1.0:4:11523811:11527005:1 gene:Al_scaffold_0004_1101 transcript:Al_scaffold_0004_1101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGX5] MMSLGSTNIKPIVEKECCHVLADTNIEPIVEKECCHVLGDTNIEPIMEKECCHELGDTNFDLITRKRVPIGLGNENSKPIGGRVPFGDSSDNSVIPSEHVRLMLKGLESESFMATSPSVTSVESISTREMDIVETSVESDKVVPLVDLGGNLGSVSVSDFVVLSDDSVEDFSDEFEESEEQMATGYLYGDVDAPMWEGPDGVKYELTSDDSGQPAVYANSHPPLPDKWSTLESFGSLSLEPDFAFSDVSSEETDSRSSDYIVFLPTEYGIPRQALAYNMVPPSKVFATPPGPPSPDFVELSLDNELADAEESVMELLIPNFVKAESLRMSTPPRRFATQTWYPGYHGTPVWRERVFRSADGTFVPTMECEDGLMSEPLRMTPFCFTCGQDGHYPRTCPYVHRYHPYARPYVVCFECGDDGHYASVCPRKYPKNPGSSSPSPSASARKLKGNLSTY >Al_scaffold_0004_1106 pep chromosome:v.1.0:4:11566352:11566779:1 gene:Al_scaffold_0004_1106 transcript:Al_scaffold_0004_1106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHK7] MSVFVQQHGSFEIITVWTGCSAAVSALENPKDWPKYRSVLNKIVQVIRVMGEVTFKLSSPKANSLARDISCSVTREGRLTSDLALGGPSWLQDRIERDRRS >Al_scaffold_0004_1107 pep chromosome:v.1.0:4:11569830:11570779:-1 gene:Al_scaffold_0004_1107 transcript:Al_scaffold_0004_1107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHK8] MWDVMARKCIAHLKLNDHILVSGRLASYGKRSSNVYSGLDHEYHVKVTEVNYVAAPPSHVLDSPKTQNRKQKNPRMKIYYCGKSLFRIHTIGGTTGRDKKSPRQHDFKHKDTGEALWLDSDIPDWITRRLEFFDQRNRCYDEEKSRRGRLSDYI >Al_scaffold_0004_1114 pep chromosome:v.1.0:4:11610614:11612522:-1 gene:Al_scaffold_0004_1114 transcript:Al_scaffold_0004_1114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G27500) TAIR;Acc:AT2G27500] MATHSLSFFFRVLLLLFLTLSERIKGQGVGINYGQIANNLPSPARVAVLLRSLNITRVKLYDADPNVLFSFSNSQVDFMIGLGNEYLQNMSTDPTKAQDWLQQRLEPHISKTRITSIVVGNEIFKTNDHVLIENLLPAMKSVYAALTNLGLEKQVTVTSAHSLDILSTSYPPSSGSFKEEFIQYLQPLLDFHSQIKSPFLINAYPFFAYKDSPKEVPLEYVLFQPNQGMVDPNTNLHYDNMLFAQVDALYSAIKTLGHTDIEVRISETGWPSKGDENEIGASPENAALYNGNLLKLIRQRKGTPAKQSVPIDVYVFALFNENLKPGPVSERNYGLFYPDGKPVYNVGMQGYLPDIIYTSRATTIKILNLWRVVMGLAVAWFILLDMGGKMRMR >Al_scaffold_0004_112 pep chromosome:v.1.0:4:576766:577134:1 gene:Al_scaffold_0004_112 transcript:Al_scaffold_0004_112 gene_biotype:protein_coding transcript_biotype:protein_coding description:ECA1 gametogenesis related family protein [Source:Projected from Arabidopsis thaliana (AT2G24205) TAIR;Acc:AT2G24205] MAIKNVILFLAVICIVVSVNAQLPQFPAPFPFPFPFQPIPNMSGLPDITKCWSSVMDIPGCIAEISQSIFIGKFGNIGPACCKAFLEAETNCIPKIPFIPLFPPMLKEQCLKVTGAAPPTTK >Al_scaffold_0004_1122 pep chromosome:v.1.0:4:11678488:11680611:-1 gene:Al_scaffold_0004_1122 transcript:Al_scaffold_0004_1122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHM2] MSPAKSMRFDLDWVDEKRMNSFITKVMSLVSLMDLDLQPKPESEFMSLTTQVISLLHSIDLDSMPKPLSNLISLLSQGNFDHNTDFRLFFRQTIALEPEPIFVSLIYQIFSLVISMNFKREKLISLCPQACVVLGNNGIFDVIVRKQEEGQFHRGKAIPWSRDSKWECLPFNWKTYWSTGEDVTHFRCRNCDGDNHKEYNKAPVEIKHSLHRKHSLQLVLLDESSYTRVCYCCDEDLKKMFYYCHACDFGYDGIINYYNDKCSACIGLCKGGFFYECGKEGWDLHMRPGSTWITFGNKVDVLPNNNRMSRSICSFCAKHCPHKIVYMCSGLILCSQSCVVRSILHKRWRYRELAFLSRYN >Al_scaffold_0004_1123 pep chromosome:v.1.0:4:11682751:11686369:1 gene:Al_scaffold_0004_1123 transcript:Al_scaffold_0004_1123 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH-type) family protein [Source:Projected from Arabidopsis thaliana (AT2G28450) TAIR;Acc:AT2G28450] METSSVEINELPLPTKTLTPPASAEPIPMDTSSIDELPSSDSNLTDNNEAVGEKRKRTDEDEKPNLESLETKITPSPWWKTSLCSYFRREASCSHGSECKYAHGEAELRMKPDNTWDPTSERGKKVKAMKLSEQEEKEDDEVLFTEQMMESIDGDEGGGGSVSVVDLSLSKCLVHLPNKWQSDELKKFFGEQGVQYKSAKKRRGMIVGFVTFENAEQMQSGVEILDGKTVNSSNLKIADVLPRTFDKNDARKSVKSARGAVTPLADLSYADQLEQKKTSIGQMLKKLARNARKACPNGNSLPQWVLTSRDRGGLACNLEGIIESPITNGYRNKCEFSVGLSLQGKPTVGFSLGSFCAGVTAVEEPVDCPNVSKIAVQYASIFQKFIEKSKLQVWNRFQHSGFWRQLTVREGRKPGVFSNDEDAITRIAEVMLIVQVCLTDSDETEVATEFEEMAKAFAEGARASSPTLPLTVLVVQNHSGISNVAPPDSPLQVLPIPMLDNGTNQEQTTNALTEARIHDHINNLRFSISPTAFFQVNTVTAEKLYTIAGDWADLGPDTLLFDVCCGTGTIGLTLAHRVGMVIGIEMNASAVADAERNATINGISNCKFICSKACILTITYLAENVMSSLLKQYLDVTEMEEAKPLSNANDDLDKQISSTEEMSNSEHVADQNLPTTNSRVEELQNNEQKDISSSVEPEKSTKPQFKNVVAIVDPPRSGLHPAVIKALRTHPRLKRLVYISCNPETLVANAIELCTPSFDEADRGNKNYRGRKKIGIAALARHRAKSMPTSEAFRPVKAMAVDLFPHTDHCEMVMLLER >Al_scaffold_0004_1133 pep chromosome:v.1.0:4:11789593:11790040:1 gene:Al_scaffold_0004_1133 transcript:Al_scaffold_0004_1133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LHN3] AQKTITLPPLRRGCHLITPKIVKEIGQDLSDFNCGLAHVFLQHTSASLTINENYDPDVQADTETFLNRIVPE >Al_scaffold_0004_114 pep chromosome:v.1.0:4:581568:581862:1 gene:Al_scaffold_0004_114 transcript:Al_scaffold_0004_114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LCL7] KFSRNCSSFSKSRSSGSYRLVERPERKCCFRGVIPAGTGFNKGLVHCSRQHTNILLEKKTNNLAIY >Al_scaffold_0004_1146 pep chromosome:v.1.0:4:11886557:11888386:1 gene:Al_scaffold_0004_1146 transcript:Al_scaffold_0004_1146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LID0] MGLEPITIKPKFKELISPKTRKRWWIDRHGKERFARLGLDPKMLDLPSDMEEEVLSRVPVTSLGKLRLTCKKWNTLTKGESFLKKNGIEVVMLVESRVSLMTVDLLYPSIERIGNLDADGIKISKIFHCQGLFLCINKDKDKDSSRLVVLNPFLGQTRYIELPRNSSYHIREKYALGYEKKNHKVLRFVNEYYEISGDRICELQMYSLNSNSWKVIDDFTPDWYISYQYSGVSLKGNTYWYAQDEIPFDGRISDHSNFLLSFDFTKERFGPRLPLPFHGFYRHNVTFSSVREEQLAVLFQSRYELDSVVKIWITNKIEPNAVSWSNLLFAVDMKPVAGFQFPYVAGSFFVDEDKKVAVVVDKEDKCHPHNIVYIIGDNGILNKVDLGESTDNVDRITQQFFLPHTGEVRSRIREEEVQSLEICE >Al_scaffold_0004_1148 pep chromosome:v.1.0:4:11891814:11893260:1 gene:Al_scaffold_0004_1148 transcript:Al_scaffold_0004_1148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LID1] MTKKRSKLRNRNSTSDPENDSPRGSRSSSYREIPCRDSSSIIINRVSSGFDGYIFGSLSRPPENCSVLLQRCNFTDVNELRRLRMIAPPYDGSSTDFHVTKNGVMVQHLSLFVKQEACCFEFHSYVSAHMRLMEQRSPSIDVMEVMLLSCLTKVNSFSSKWLDLHVIIGSQSCVSRHEKIWSRLATLIHEAVCIFAGKGFCFMQELFGWLKCFLFVVIGCSITEFHNDVVFALCATHELLMTQSLLQPLLNWFKSSKRLALGNVLEFGSCLQKLQQLMSCGCPRHVVTLTGLLFQLLASRVQILYISFGDPLILLMPRVIIVGCGRVQIFAAEVFALNATHEMKLTQSLP >Al_scaffold_0004_1153 pep chromosome:v.1.0:4:11924880:11927587:1 gene:Al_scaffold_0004_1153 transcript:Al_scaffold_0004_1153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LID5] MNKQKKIKRKRKLVRLGRKEIEEELKDLKLKCSRLEAHLEKVKRKCSDLEAQLLDEKAKVLAAIAPLSSSDDVLDQALPSTSSLSLTEQHCKAKTSQLKQMKE >Al_scaffold_0004_1155 pep chromosome:v.1.0:4:11932038:11933231:-1 gene:Al_scaffold_0004_1155 transcript:Al_scaffold_0004_1155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LID7] MIVLFLQIITCFLFTATASSPHGFTIDLIQRRSNSSSSRLSKNQLLGASPYADTVFDYSIYLMRLQLGTPPFEIVAEIDTGSDLIWTQCMPCPNCYTQFAPIFDPSKSSTFKEKRCHGNSCPYEIIYADESYSTGILATETVTIQSTSGEPFVMAETSIGCGLNNSNLMTPGYAASSSGIVGLNMGPSSLISQMDLPIPGLISYCFSSQGTSKINFGTNAVVAGDGTVAADMFIKKDQPFYYLNLDAVSVGDKRIETLGTPFHAQDGNIFIDSGTTYTYLPTSYCNLVREAVAASVVAANQVPDPSSENLLCYNWDTMEIFPVITLHFAGGADLVLDKYNMYVETITGGTFCLAIGCVDPSMPAIFGNRAHNNLLVGYDSSTLVISFSPTNCSALWS >Al_scaffold_0004_1161 pep chromosome:v.1.0:4:11991867:11996062:1 gene:Al_scaffold_0004_1161 transcript:Al_scaffold_0004_1161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIE3] MNFPRPPPVPGLIRRPVGPSPPPPSPDPPQFLSPSNHHLPSSSNGVVVVGFLSRRPDDSSHLINQVLDNNVFGSGKLNKILTVDKPDFQDWFRFRKICYYHEEDKGIVFVQFSPIICPALSSSSDSGFDSVLEEREFGDLQGLLFMFSVCHVIINIQEGSRFDTRLLKKFRVLQASKQALAPFVRSQTVLPLTSRLHSSSNNFSQLHSASSRGGGIVSRSGSSVSLKSGGGSYTSLFPGQCNPVTLFVFLDDFSDMLKSSSNVEDSTTTSSANDQSVNTGKLTRSELPTKNSGSVVVLSRPGSKSEGGLRKKLQSSLEAQVRFLIKKCRTLTGSDNNHVGSRSGSISSYAPLFSLDASKAVILLDRSNKKGEALEFASSLVDDVLNGKANSDSLLLENNCQMSTKEDVLCVKEFIYRCSDILRGKGGLAANSGSAGVGMVAVAAAAAAASTGSRKTYSAPQLPQLDEWLSCGHQILDGIITAKIVSTNEIDQRKKKSRERNMSPVKNEARSSKGPETLDIAVSLLGSGKGLNLKFSSLWCERAFPAAKDVYLKDLPSCYPTLVHEEHLQKALYTFRSMVRGPSVQIFTKRLQDECISIWESGRQLCDATSLTGKPCVHQRHNVEEQFLPGAEIMSHSSGYVFLHACACGRSRKLRCDPFDFDSANISFNCFPDCDKLLPSVKLPEIAHAGPIISSSWSLVRVGGSRYYEPSKGLLQSGFSAIQKFLLKLVLSSQKDDAPNDLLVGESEKACISRANVTMAKTIRTNIDSAPVTLATVTRGESVGNGSIGDKKISFGRGLPNLLMRKPFSEVVAGSKSTDLLFPPLQPSRQPPPALEKVVKQKVWNGLSEESVQDACNQECQEFKDISRDQETLGMSRGISATGNDLPLQNGSNPVPVNMKVAEKVTSSPVQKPLTAYIGFEHECPSGHRFLLNTEHLAKLGPYSVPEEYFDPNSAESSKIKTDTSKLQKNIVYGKGRRKTNRMASGVNRMKNMDRSNQVVSKDNIFPGKKGNRNSADSEPINQHIHNLGANNQDNNAEDFGVAFSMLNRNLPIFMNCPHCSAAFGKKDSSDIKYAGTISQLQRIFLVTPQFPVVLATCPVIKFEESCIPPSIIGREQKLQFSLGCPVILPPDSFLSLRLPFVYGVQLEDGTQLPLMPSAQEPEKTAWIVKGTVLQFLTKENDLGEKLQT >Al_scaffold_0004_1167 pep chromosome:v.1.0:4:12028256:12028726:-1 gene:Al_scaffold_0004_1167 transcript:Al_scaffold_0004_1167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIE9] MVNTEIQVYERIQRETVDKTSLFGQKVVISTVVQVNLEIAKSKTDSALTRSIVRLCGENNLFVQSKESHKHGRSLTYQLFGSQSLKLRMLEDFNVLAEKFMKLGGRNDSLDVKTTASKIDFKNIRKILI >Al_scaffold_0004_1171 pep chromosome:v.1.0:4:12051135:12052056:-1 gene:Al_scaffold_0004_1171 transcript:Al_scaffold_0004_1171 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nucleic acid-binding, OB-fold-like (InterPro:IPR016027), Replication factor A, C-terminal (InterPro:IPR013955); Has 20 Blast hits to 20 proteins in 10 species: Archae - 0; Bacteria - 0; Metazoa - 2; Fungi - 0; Plants - 18 /.../ses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G28105) TAIR;Acc:AT2G28105] MEATETVLCSLLAVDLTDYCYRVCSRCQRVLPSDNNGLASSSFCKFCKSKEPKLLYRILMSIATDTSVKTVICFDRAATVLFGCSADEFFHFTKLNPLAASKVNQVFDGEMLRMTLTRPHNRNAQHMRVTSIVPLRSGFRPAIVTLTHICSKNASLGNCSTTNHSS >Al_scaffold_0004_1178 pep chromosome:v.1.0:4:12143475:12145225:-1 gene:Al_scaffold_0004_1178 transcript:Al_scaffold_0004_1178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIG0] WMEIGSKPEKKKPHINQRRPGEIYNTDERERERQRKGGRERERERERERERERERGGI >Al_scaffold_0004_1179 pep chromosome:v.1.0:4:12154079:12156028:1 gene:Al_scaffold_0004_1179 transcript:Al_scaffold_0004_1179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIG1] MKYSPACKLLFLILTITCKIETQVKCMKFNFSKFNGDSNLYLNYSDIAFEALQVTPEARGAPITNLSGRTVYKDRFRLWRKGKKSTFNTTFVINIKNQTEFGGEGLAFVLTPEKNVPQNSSGMWLGLMNERTNGTRESRIVAVEFDTRKNHPDDLDGNHVALNVNNINSVVQESLSSRGITINSSIDFTAHVRYDGKNLSVYVSRNPEVHDQRNLVFSWPIDLSAYLPENVYIGFTASTSDFTQLNCVKSWSFEGLEVDGDRNIWLWSLWIITPTVCAVVIGAFLCGLYLRSRSKAGETNPDIEAELDNCGAHPQKFKLRELKRATGNFSGENKLGQGGFGMVFKGKWEGRDIAVKRVSEKSRQGKQEFISEIKTIGNLNHRNLVKLLGWCYERKEFLLVYEYMPNGSLDRYVFVEDKSSSNLKWETRKHIIRGISQALEYLHNGCEKRILHRDIKASNVMLDSDFNAKLGDFGLARMIQQSEMTHHSTNEIAGTPGYMAPETFLNGRATVETDVYAFGVLMLEVVSGKKPSYVLVKENESNYKNSIVNWLWELYRNGTIMDAADPRMGSLFDEEEMKSVLLLGLACCHPNPNLRPSMKTVLKVLTGETSPPNVPTERPAFVWPVMPPSFGVVDYSLTGSQDITELTGR >Al_scaffold_0004_1181 pep chromosome:v.1.0:4:12173493:12177002:1 gene:Al_scaffold_0004_1181 transcript:Al_scaffold_0004_1181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIG3] MSLATTMIAIFLQIITYFLFTTTASSPHGFTIDLIHRRSNASSSRVSNTQAGSPYADTVFDTYEYLMKLQIGTPPFEVEAVLDTGSELIWTQCLPCLHCYDQKAPIFDPSKSSTFKETRCNTPDHSCPYKLVYDDKSYTQGTLATETVTIHSTSGVPFVMPETIIGCSRNNSGSGFRPSSSGIVGLSRGSLSLISQMGGAYPGDGVVSTTMFAKTAKRGQYYLNLDAVSVGDTRIETVGTPFHALNGNIVIDSGTPLTYFPVSYCNLVRKAVERVVTADRVVDPSRNDMLCYYSNTIEIFPVITVHFSGGADLVLDKYNMYMELNRGGVFCLAIICNNPTQVAIFGNRAQNNFLVGYDSSSLLQLGSSPYADTVFDNSVYLMKLQVGTPPFEIEAVIDTGSEITWTQCLPCVHCYKQNAPIFDPSKSSTFKEKRCHDHSCPYEVDYFDKTYTKGTLATDTVTIHSTSGEPFVMAETIIGCGRNNSWFRPSFEGFVGLNWGPLSLITQMGGEYPGLMSYCFAGNGTSKINFGTNAIVGGGGVVSTTMFVTTARPGFYYLNLDAVSVGDTRIETLGTPFHALEGNIVIDSGTTLTYFPESYCNLVRQAVEHVVPAVPAADPTGNDLLCYYSNTTEIFPVITMHFSGGADLVLDKYNMFMESYSGGLFCLAIICNNPTQEAIFGNRAQNNFLVGYDSSSLLVSFKPTNCSALWN >Al_scaffold_0004_1187 pep chromosome:v.1.0:4:12208824:12212049:-1 gene:Al_scaffold_0004_1187 transcript:Al_scaffold_0004_1187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIG9] MASSSLSCIKRYHVFSSFHGPDVRRGFLSHLHNLFASKGITTFNDEKIDRGQPIGPELVQAIRESRVSIVLLSKKYASSSWCLDELLEILKCKEDDGQILMTIFYDVNPSHVKKQRGEFGKAFEKTCQGKTEELKQRWSKALAHVATIAGEHSLNWPYEAEMIQKIATDVLNKLNLTPSKDFDGMVGLEAHLAKLNSLLCLESDEVKMIGIWGPAGIGKSTIARALNNQLSSSFQLKLWGTSREHDSKLWLQNHLLSKILNQENMKIHHLGAIKERLHDQRVLIILDDVDDLKKLEVLAEERSWFGFGSRIIVTTEDKKILEAHGIKDIYHVDFPSEEEALEILCLSAFKQSSVPDGFEEVANKVAELCGNLPLGLCVVGKSLCGESKQEWELQLSSIEASLDRGIEDILKVGYDRLTKKNQSLFLHIACFFNYEKVDYVTTMLADSNLDVRNGLKTLADKSLVHKSTYGHIVMHHLLQQLGRQIVHEQSDEPGKHQFLTEADEICDVLTTETGTGSVLGISFDTSNIGEVSVGKGAFEGMRNLRFLTIYRSLQIPEDLDYLPLLRLLHWKYYPRKSLPLRFQPERLVKLRMRHSNLEKLWGGIQSLPNLKIIDLKLSSELKEIPNLSKSTNLEELTLEYCTSLVELPSSIKNLQKLKILNVDYCSMLQVIPTNINLASLERLDMGGCSRLTTFPDISSNIEFLNLGDTDIEDVPPSAAGCLSRLDHLNICSTSLKRLTHVPLFITNLVLDGSDIETIPDCVICLTRLEWLSVESCTKLESIPGLPPSLRLLEADNCVSLKSFSFHNPTKRLSFRNCFKLDEEARRGIIQKSIYDYVCLPGKKIPAEFTHKATGRSITIPLAPGTLSASSRFKACLVIFPVNDYGYEGISCSIRSKGGVKVQSCKLPYHDLSFRSKHLFIVHGDLFRQRSNCYEVDVTMSEITFEFNHKYIGDKIIECGVQIMTEEAEDSSSRKLDNYETEFGEWC >Al_scaffold_0004_1191 pep chromosome:v.1.0:4:12243049:12243826:-1 gene:Al_scaffold_0004_1191 transcript:Al_scaffold_0004_1191 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G27940) TAIR;Acc:AT2G27940] METKHLHLRKLLQLYQACGGEQELVTNVQNATSLPPPPPEPPSALDSSMALTIFVLLVALFFMGFFSVYIHHFVDDSTVEISSIPRTRSSRMSPRRLSTSVVVSRPYSYRRGLDSQTVRSLPVYHYTKATKQRNEDCVICLSEFEEGETVKVIPHCGHVFHVDCVDTWLSSYVTCPLCRSNQLFSDKDLGMQEPPDQGSSEEHDTCDVVDPCVRRCSSCSSLGQRTGLERSLSL >Al_scaffold_0004_1192 pep chromosome:v.1.0:4:12243995:12246274:1 gene:Al_scaffold_0004_1192 transcript:Al_scaffold_0004_1192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIH4] MQLIGKTRGDLLLKERRKSLLMQRKESSTSLKSLIVGLSADSKAGPLDFRYVAAAVAAAATLPQVSPVKTLPYLEKPKDWLLKGETTMILHLSEIFWPMLLRGNHQRIY >Al_scaffold_0004_1193 pep chromosome:v.1.0:4:12257400:12257926:-1 gene:Al_scaffold_0004_1193 transcript:Al_scaffold_0004_1193 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT2G27930) TAIR;Acc:AT2G27930] MEEPKWLEGLLRTNFFSICPQHRETPRNECNMFCLSCQNAAFCFYCRSSFHIDHPVLQIRRSSYHDVVRVSEIEKALDIRGVQTYVINSARVLFLNERPQPKNSSHEPFLIPFASVPWVARFVHQHFF >Al_scaffold_0004_1194 pep chromosome:v.1.0:4:12259619:12260117:1 gene:Al_scaffold_0004_1194 transcript:Al_scaffold_0004_1194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LIH7] DQEVNNFNTAKNLQISSSKSFSNSRNEYENETRETKSSTESVALLEAEEGKRDAQSKIERKTLVDFVLIKCEQMFMGSYRGLLGREVIFLERRENLTEEVNKEVQGLKKPWSQEFAHYTLASNWN >Al_scaffold_0004_1201 pep chromosome:v.1.0:4:12328106:12329191:-1 gene:Al_scaffold_0004_1201 transcript:Al_scaffold_0004_1201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIZ0] MKFFSDQNLSYADILLPHEARARIEVSVLNLLRILNSPDPAISDLSLSQCGWLELWVQKQCGLSSELLKILEVENVHIGLSPNVPLYKMNEGNEPCFFTTYFCWDPTKAIAQGNSFQKKAVLLFGTHHVVEVLHLCIFSFVN >Al_scaffold_0004_1213 pep chromosome:v.1.0:4:12404946:12407419:-1 gene:Al_scaffold_0004_1213 transcript:Al_scaffold_0004_1213 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNAisopentenyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) TAIR;Acc:AT2G27760] MMLLNPSNGGIEEEKKKKKKKAKVVVIMGPTGSGKSKLAVDLSSHFPVEIINADAMQIYSGLDVLTNKITINEQKGVPHHLLGSVSPDMEFTAKDFRDVTIPLIEEIVSRNHIPVLVGGTHYYIQAVVSKFLLDDSAENTEDCCSNVASVVNEDMDVESVSGRDDLSHGYDLLKELDPVAANRIHPNNQRKINLYLSLHATRGVLPSKLYQGKTAENWGCIDASRFDYCLICMDAETAVLDRYVEQRVDSMVDAGLLDEVYDIYKPGADYTRGLRQSIGVREFEDFLKLNILERGGGQLTSSSNNDKVMKENLRKILNFPKDDKLKIMLEEAIDKVKLNTRRLLRRQKRRVSRLETVFRWNIHYIDATEYILSKSEESWDAQVVKPASEILKRFLMTETDTGHDLTSGKSIERDLWSQYVCEACGNKVLRGKHEWEQHKQGRTHRKRASRLKKAQTFKNREVQEAELKVT >Al_scaffold_0004_1217 pep chromosome:v.1.0:4:12413877:12414601:-1 gene:Al_scaffold_0004_1217 transcript:Al_scaffold_0004_1217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJ05] MKVVAAFLLAVLSGKASPTSGDIKTILGSVGAETEDSQIELLLKEMKGKDLAEVIASGREKLASVPSGGGGGVAVASAPSGGGGAPAAESKKEEKKEEKEESDDDMGFSLFE >Al_scaffold_0004_1218 pep chromosome:v.1.0:4:12415651:12416575:-1 gene:Al_scaffold_0004_1218 transcript:Al_scaffold_0004_1218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJ06] MKVVAAFLLAVLSGKASPSSGDIKTILGSVGAESEDAQIELLLKEVKGKDLAEVIASGREKLASVPSGGGGGVAVASAPSGGGGGGAPGAESKKEEKKEEKEESDDDMGFSLFE >Al_scaffold_0004_1219 pep chromosome:v.1.0:4:12417622:12418399:-1 gene:Al_scaffold_0004_1219 transcript:Al_scaffold_0004_1219 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein family [Source:Projected from Arabidopsis thaliana (AT2G27710) TAIR;Acc:AT2G27710] MKVVAAFLLAVLSGKASPTSADIKTILGSVGAESEDAQIELLLKEKLASVPSGGGGVAVASPPSGGGGGGAPAAEPKKEEKKEEKEESDDDMGFSLFE >Al_scaffold_0004_1220 pep chromosome:v.1.0:4:12442749:12444958:1 gene:Al_scaffold_0004_1220 transcript:Al_scaffold_0004_1220 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor 2 family protein / eIF-2 family protein [Source:Projected from Arabidopsis thaliana (AT2G27700) TAIR;Acc:AT2G27700] MDLKKPSARGEENVRSPICCIMGHIDTGKTKMLDYIIGRNVQEGEAGGTTQKIGATYLSARNILEKTMELKSDAKLNVPGLLFIDTPGYEFFMNLRSRGLSLCDFAILVVDIMHGFEPQTIECLNLLKKRNIEFIIALTKVDRLYGWKTCENAPIMKAMKQQTNDVRNEFNMRLNEIIDQFKDQGINTELYYKNKEMRETFSIVPTSAISGEGISDLLLLLVQLSQKTVVGKLTYVDKVQCIVLEVKVMEGYGTTIDVVLVNGELHEGDQIVVCGLQGPIVTTIRALLTPHPIKELHVNGNHVHHEVITAAQCINIIAQGLEHAIVGTTLHVVGPDDDIEAIKELVMEDIESILSRIDTSGEGVYVQASTLGSLEALLEFLKSPAVKLPVGGIGIGPVQKKDIMKAGVMLERKKEFATILALDVKVTTEARELADEMGVKILCADIMYHLFDQFMHTYIV >Al_scaffold_0004_1221 pep chromosome:v.1.0:4:12454605:12454926:-1 gene:Al_scaffold_0004_1221 transcript:Al_scaffold_0004_1221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJ10] MAVVEHDCDLLQMYRGAAKELRSFTDLGLKEVKALVEKTHAILKARLSKEEDEKIVEKLKALGVKVIFE >Al_scaffold_0004_1223 pep chromosome:v.1.0:4:12460994:12463069:-1 gene:Al_scaffold_0004_1223 transcript:Al_scaffold_0004_1223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJ12] MPMSVHSVIATNLATTVSSNWLNRRVSRRRICSSSVKCSTETTEADRWVKLKNGNDSLEICRVLNGMWQTSGGWGKIDRNDAVDAMLRYADAGLSTFDMADIYGPSEDLYGIFVNRVRRERPPEYLEKIKGLTKWVPPPVKMTRSYVRENIEKSRKRMDVASLDMLQFHWWDYANDGYLDALKHLTDLKEEGKIKTVALTNFDTERLQKILENGIPVVSNQVQHSIVDMRPQQRMAQLCELSGVKLITYGTVMGGLLSEKFLDANLTIPFAGPRLNTPSLQKYKRMVDAWGGWNLFQGLLRTMKSIATKHGVSIPTVAVRYVLDQPGVAGSMIGVRLGLAEHIQDANAIFSLVLDEEDVNSIQEVTKKGKDLLQVIGDCGDEYRSI >Al_scaffold_0004_1228 pep chromosome:v.1.0:4:12499339:12500645:1 gene:Al_scaffold_0004_1228 transcript:Al_scaffold_0004_1228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function DUF220 [Source:Projected from Arabidopsis thaliana (AT2G27670) TAIR;Acc:AT2G27670] MVVFTRFGGLINQSIQQPLKAAAESKIPENVKSSSVSEKDTNIQEPWYWDPDVVPKLKAEYKKNSHVNRQARLWSGPNNKHPWYDAPATVKVKRKKGLCNLNIEFTLGWPPQLVSEMLTNPRNLNFFRLFDREFRQRLDNKSTKVLKKDGPRQITEVKKTFRYKLLGLSIAIPIHLIIDENHQNLTAKFKKKKMMYMKVFEGSWKVEPLYADQERLCKSRSRINGEEYKKCSGGKGRIGSKVTMEQIFQPCSLLNVPPISWFIREITIKTFKNLLEDLRQFVIDMHKSSDSE >Al_scaffold_0004_1230 pep chromosome:v.1.0:4:12506778:12511133:1 gene:Al_scaffold_0004_1230 transcript:Al_scaffold_0004_1230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase-related protein [Source:Projected from Arabidopsis thaliana (AT2G27650) TAIR;Acc:AT2G27650] MELTTFLEDAKRVKLFEDAKDIFANGDHIKALEIIEDMILVHKEDKNSWVLHKQQGDMFNDLGAKAENPYFDFAYMLGSVGCMSKDVLLSRPCAHGLYYLAEEFGLVLYYKKCVTVAKQGLSVPFPDDSESFAQVILEELKKDMKEDLESLIKDAESEIADSKTVELKGLLQQDCEPELLDSKKSPAPVSNEFKGLRSFWLGLDVKVKRDFLKVSIAKLISFVKGVHHRPGRDALKRILDSAREDRKWTFWMCRTKCSKKFSSAEECKNHLEQEHAADFKPSLEMNIAKRIGKDWARKISVGSWEPVDTVAAIEMIKNRLADVKAFSYKNGWSKEWPLAADKERSKLLKEIKNLLVMFCDLKILPCNIRDWVKHYSVKHLKNLNVSEQSLVDSHLVDTPQSICFLECHNLSYILKLLKRIKCERDDGTNLVCRAVDSILGSTRSKEKIDFDPQFSFLLLDRRLLKSNNAPFVDEGEINVFDPNVHYAKAHAQGDDIVSWLTDYNSVEKTFPRPIREHNLDIWVAILRAVQFTCRTLGTKYAKKMQIFDYFAAFLVAEKLCKSEYERRRNLKEDKWNSYASLLCNRCEEGFPGNFVKTKLFLCAVRDILEEASDLTFDLPDIEGCMNLISELKSLNDDIVLKSLRCLKSVVTFKILLIDSKILLVDNSRISLLKNLTRLSIFDNRTYILQLLKPFLLNEIVNMESKAKSDAAEADLLLEEEKKNSKPSQIQLKKTKNKSKKRTSTSMSSPLSKPGEHLEPDSTSPTVEEDSMEPGDTVNQEEVMKNMPGEDSQSEHLEPALGEVSARYNSALDMTLKALLNIKILKEDLMHNMQPFQHQVPSALQNFFTAFVSEVIKTEGVYSCLLSDLLALLEEVLSMWSGAAEVLVAILEFWHCWKNAERESLVTRLFTLEENERMCCRECRKKPNYPEQSSYGIVMAADSIRDLKSTFGNIEFVDIFKVIRMEDTVCCDIKTGGCGITNFVHHIISKCPPIFTIVLEWEKSETEKEISETTKALDWEIDISRLYEGLEPNTNYRLVSMVGCGEEEEHICIVYEKNRWVNLRRDALAGEDVGDWKSVVRFCGERKIRPEILFYEVVRSMA >Al_scaffold_0004_1231 pep chromosome:v.1.0:4:12514136:12514509:1 gene:Al_scaffold_0004_1231 transcript:Al_scaffold_0004_1231 gene_biotype:protein_coding transcript_biotype:protein_coding description:low-molecular-weight cysteine-rich 49 [Source:Projected from Arabidopsis thaliana (AT2G33233) TAIR;Acc:AT2G33233] MASEIKARINGGLECFNTCTPYYDDYKCNVDCLSSGYPAGDCHAVSPSQPKKCCCY >Al_scaffold_0004_1233 pep chromosome:v.1.0:4:12528650:12529165:-1 gene:Al_scaffold_0004_1233 transcript:Al_scaffold_0004_1233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJ22] MVRFDLPWDLVDEILSRVPATSVRRLQYTCKRWNALFKDPEFITKQLDKAVKQNLVLMWSNFRVYSLRINLNEIQNDIEISQLFHCNGLLLCSTKEANKTKLVVVNPCTGQTRWIEPRSDYTKHDIYALGYENNNKKSYDSYKILRISYGGKLL >Al_scaffold_0004_1234 pep chromosome:v.1.0:4:12531661:12536034:1 gene:Al_scaffold_0004_1234 transcript:Al_scaffold_0004_1234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJ23] MEQPYTFLQDVESVKLHEKAKELFANGDHIKALEIIEDMILVNKEDKNIWFLHAEQGYMLKKLSDKTDNFDVDFTFLLGSVGCFSEDVKLLRSCAHGFYSLAHQIESVMYYKKCLKKAKQVLSVSNPNEDSGSSVAQMSLRWKAHKSKTDKDLESLIKNAESKIALIKTGGLKDLLEEECESEDLESKNSPEPAKNEFKSLRSFWLGLDVKVKRDFMKVSVAKLISFVEGVHERPGRNALEKILDSAREDRKWTFWMCRTKCSKKFSSAEECKSHLESEHAADFKPLKEEDRIKRIGKDWARKILVGGWEPVDTVAAVEMIKNRLAAVKAFSYKNGWSKEWPLAVDEERSKLLKEIKFFLGMFCDLKILPCSVRDCVMQYPLRHFGELEVSKQSLVDSHLVETPQSICFLDCHELNQILNFLKRIKSERDDGIDLVSRAVDSILCSTQVKEKIDFDPQFSLLLLDRRLLKSNNPPFDDEGTINVFDPNVHYAKAPAQGDDIISWLTDYNSVEKTFPRPIREYNLDIWVAVLRAVQFTCRTLETKYAKKVQVFNYYVALTDVEDLCISEDERRRNLQEDQWNSYASLLCDRCEERVPGNSLTNKLFVCAVRDVLEGALYLTFDFPDFEECLNLIRGRKGLSDDIVLKSIDSLKSVATSKGILIDSKILLVDNSRISLLNDLTRLSVFDNRTYILELLKKFLLKTSTSTSSSLSKTGEHKPSDILEPESTSPSLGKVEEDSMEPDATLSRETGRLEISSNTVNREEVMPREESQSEHLESTLGEAATRYNSALDMTLKALLNIQILKEDLMQPFQDHPEEHVPPALRNFFTAFVSDVINNEGVYSCLLRDLLSSLEEVISMSSGAAEVLVAILEFWHCWKNAKRESLVTRLFTLEENERMSCRKCRKKPNYPEQSSYGIVMAADSIRDLKCALGNIEFVDILKVIQMEYKMLCDVKTGGCGITNFVHHIISKCPPIFIIVLEWEKSDTEKEIFDTTKALDWEIDISRLYEGLEPKTNYRLVSMVGCGEEEAEHVCIVYEKNCWVNLRRDALTGEDVGNWKSVVKFCGEKKVRPEILFYEAAARSMS >Al_scaffold_0004_124 pep chromosome:v.1.0:4:628694:628924:-1 gene:Al_scaffold_0004_124 transcript:Al_scaffold_0004_124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCM6] MESNVLLDYTRKAIQKMTYLNKILAQLEDDVVVPCESRMEIWKTNMEVMAIKEENYIKKYNKSENEWSVGTSGLCM >Al_scaffold_0004_1244 pep chromosome:v.1.0:4:12596787:12597978:-1 gene:Al_scaffold_0004_1244 transcript:Al_scaffold_0004_1244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJ29] MRERGVPFIDRRRSGLIRKRWLVGANLGMLGARGGLGHDEIMGEISGAVFRFRNLEYALEYAFSLCKLDFVNGLLNGPKTYVENITKPHKYFLQKEYLLWAKFPKSSNPSPAAARAHVWVVLHNVHIIYAKEYIPYILDLREAPQAQQVSNEALLLTRHRKNDKSIRKVQVNRMVAAKGKSNYFSYIRYTINKKISEIFVSRTPDTYDKRSTDCGDTLS >Al_scaffold_0004_1250 pep chromosome:v.1.0:4:12653376:12654716:-1 gene:Al_scaffold_0004_1250 transcript:Al_scaffold_0004_1250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJJ8] MTLLLVLKKVSNGFFGSYGFGSPSATASSSYYDSHVCDVTWLQTHGGFKFYQAHLGFKPLTANKISQVHMTIEEEDNTLIHFSIIQFMKVSSLSTWRKMRVLLSHKSPFSTKILQIQDVILPSCGGVLEIISLRILRVNQKQRFCDTLLSSTSSSLSASIHGRIFDSLRMEINSRKIFDSWSLYAEQKMNAILLTEDIRVAFREHAGDDGVVRKEEVAIVVKGLREVKKDDGSSTKN >Al_scaffold_0004_1255 pep chromosome:v.1.0:4:12687489:12688964:1 gene:Al_scaffold_0004_1255 transcript:Al_scaffold_0004_1255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJK1] MDQRDMTHMDTMHVYLLVDVWRGRCELVYGKFGPNSMNKQVSFHALMRFRVCQWKLASLFTPSTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >Al_scaffold_0004_1256 pep chromosome:v.1.0:4:12696790:12697765:-1 gene:Al_scaffold_0004_1256 transcript:Al_scaffold_0004_1256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LJK3] DKEKEGEEEKEGLKLEDETEEEKKREEKEESFENLCKTIKEILGDKVEKVVVLDRIVDSPFCLVTGECGWTANMERIMKTEALRDSVMSGYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVMLLFVTTLLTSGFNLDQPNLPKHIGI >Al_scaffold_0004_1260 pep chromosome:v.1.0:4:12719134:12754591:1 gene:Al_scaffold_0004_1260 transcript:Al_scaffold_0004_1260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJK8] FFILSKPIVFFFYLRFDRLAFSSYNFFFFLQFFFSFFLLIFFFFLFLQFFFFLQFFFFFFFYSFFFFLSKFFFN >Al_scaffold_0004_1262 pep chromosome:v.1.0:4:12758727:12759395:-1 gene:Al_scaffold_0004_1262 transcript:Al_scaffold_0004_1262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJL0] MKFYGQKKKKVSTEKKQTKQNKNSDAEVEVEVEDTKYPCLTTMAWENLEKGRLMGFRVFTRGIWYTLTIFSIFGLLKSGSEVLFTPIRFGVTTLFTVMLLKCLFYDDGYPDPTKMPVLKHLRFLTSLIILPVMFYIPFYYPTMDVLVSTFSLLAGGVSIYQLSSTIDIGHGLIILFLAFTNGLLAIDLATHDYDDPCLGVLSISFFQYIVYVYNFEFLANVV >Al_scaffold_0004_1270 pep chromosome:v.1.0:4:12812074:12813031:1 gene:Al_scaffold_0004_1270 transcript:Al_scaffold_0004_1270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJL6] MLIFRGTNQRWWASRSNLVEEWPCWYRAGIDSPPTQNCSALSFWPHGYEPIVYDPFENLKGPYPWDILSPRISPKPFYRSGDLLFPGTSKSFSKTLDPAKQCNLCF >Al_scaffold_0004_1273 pep chromosome:v.1.0:4:12829097:12830874:1 gene:Al_scaffold_0004_1273 transcript:Al_scaffold_0004_1273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G28590) TAIR;Acc:AT2G28590] MGCFGRTTKSNKRSDIKTTKNNDFTPKKLTINSNIVHKNVNLTQPSSDCLKVSLCGDVSKEVVTKEDQLALDAKDTNVEDEVIGKKAQTFTFEELAVSTGNFKSDCFLGEGGFGKVYKGFIEKINQVVAIKQLDRNGAQGIREFVVEVLTLSLADHPNLVKLIGFCAEGVQRLLVYEYMPLGSLENHLHDLPHGRKPLVWSTRMKIAAGAARGLEYLHDTMKPPVIYRDLKCSNILLDEGYHAKLSDFGLAKVGPRGSETHVSTRVMGTYGYCAPDYALTGQLTFKSDVYSFGVVLLELITGRKAFDNTRTRNHQSLVEWARPLFKDRKNFKKMVDPLLEGDYPVRALYQALAIAAMCVQEQPSMRPVIADVVMALDHLASSKYDHSHRQKQPNVTETKVEEEKTLVESNVCVEEKQEEIKICSDQAT >Al_scaffold_0004_1284 pep chromosome:v.1.0:4:12904288:12904835:1 gene:Al_scaffold_0004_1284 transcript:Al_scaffold_0004_1284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LJN3] VHRARVGDKREVVVKVQSPGVEKLMMIDIINLQIHAENEKQIGYEFDFEREANAMEKLQLTLMGEPSIFLVVP >Al_scaffold_0004_1285 pep chromosome:v.1.0:4:12904977:12905966:1 gene:Al_scaffold_0004_1285 transcript:Al_scaffold_0004_1285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LJN4] MRTSSENIGLVASPKSDYEPLELSWTSGYPNTLFYTYLYFAVASRKIKISTAQSLNEFSTTIKDHAIESIKSTYKVNKVWTGNPCSPRLFPWEGTSNYQIKSMSLSTSWLQGPIAVSFRNLSLLESQGSHVALQTTSKVTGKGGFGKNSKNIRNRNQISLDIVLWLLKCKSLQ >Al_scaffold_0004_13 pep chromosome:v.1.0:4:121281:122181:1 gene:Al_scaffold_0004_13 transcript:Al_scaffold_0004_13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21045) TAIR;Acc:AT2G21045] MEETKPKTVEDVETVDVYTAKGFLSTGHRYLDVRTNEEFAKSHVEDALNIPYMFQTDEGRVINPDFLPQVASVCKKDEHMIVACNAGGRGSRACVDLLNAGYEHVANMGGGYSAWVDAGFAGDKPREELKIACQFRPKEN >Al_scaffold_0004_1304 pep chromosome:v.1.0:4:13075495:13076581:-1 gene:Al_scaffold_0004_1304 transcript:Al_scaffold_0004_1304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LK89] MQRFLFSRVVENQHQISRRFLNLVPSLSPPVPALSRFFPKITASDSTSSLPVVSVTLDSINPKINLPKKAIDAVKTAPDVFYCKRLLNATGSRFGQVLGTWHFRCTILPATANRVREVHKTTSINENKPTKKSSVSEKKQKKKTSISEKKPKKKSSVSDIPRRTKFQKHHRGRIKGISTQGNIFGRYALQTLEPAWITSRQIEAGRRAMTRNIGRGLKVRVHIFADKPVTVRPPETRMGRGKGDPAFWVAVVKPGKIIYEMGGVSEKIAREAITIAASKLPIKTKFIIFE >Al_scaffold_0004_1314 pep chromosome:v.1.0:4:13154400:13155451:-1 gene:Al_scaffold_0004_1314 transcript:Al_scaffold_0004_1314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKA1] METTPIWTRIETEIRNENVTATGREIEGQIDTAIGIETESKSERETVSVVVVNVIVNRIKEIEIWFKRRRLRLGRRKLVDKVAEAGFYVVVPDVFHGDPLLVVDCKAKNKTMDLSLSKVAVEMAKQKLVDATVLLHPSSVNKRLKKSESHQSCCKRWLEFVETTS >Al_scaffold_0004_1315 pep chromosome:v.1.0:4:13159783:13160079:-1 gene:Al_scaffold_0004_1315 transcript:Al_scaffold_0004_1315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKA2] MGLGRIYKSPVQLQNTKSTVHRKNKRSSPFVDRCPQSYRSLKSGGLKTQSFVAATEDSEISAKETGFRHQFHASDEPTHHKSPGKLDTPTKHIDGIRS >Al_scaffold_0004_1326 pep chromosome:v.1.0:4:13251571:13252102:1 gene:Al_scaffold_0004_1326 transcript:Al_scaffold_0004_1326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKB0] MTNNFQRILGEGGFGVVYHGCVNDTQQVAVKLLSQSSSQGYKHFKAEVELLMRVHHINLESVADFVLSWESRLKIAVDAALGTM >Al_scaffold_0004_1332 pep chromosome:v.1.0:4:13315363:13316947:1 gene:Al_scaffold_0004_1332 transcript:Al_scaffold_0004_1332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin fusion degradation UFD1 family protein [Source:Projected from Arabidopsis thaliana (AT2G29070) TAIR;Acc:AT2G29070] MNGDGSSFEQCYRCYPVTFIDKAHLEKGDKIIMPPSALDRLASLHIEYPMLFQLSNVSVEKTSHCGVLEFSADEGLVYLPYWMMQNMSLQEGDVMQVKNISLVKGTYIKLQPHTQDFLDISNPKAILETTLRSYSCLTTGDTIMVPYNNKQYYINVVEAKPSSAVSIIETDCEVDFAPPLDYKEPEKLQKLTPSNKRPLEVKEEEEPASKVPKFTPFTGSGKRLDGKAQTQTEPEDTKQQEKPTENGKYDEKLSVTTPRQRSGKLVFGSNSKPLAAKETVKVVAPKNIEQESSTKSDEAKFQVFTGKKYSLNG >Al_scaffold_0004_1351 pep chromosome:v.1.0:4:13514022:13515359:1 gene:Al_scaffold_0004_1351 transcript:Al_scaffold_0004_1351 gene_biotype:protein_coding transcript_biotype:protein_coding description:highly ABA-induced PP2C gene 3 [Source:Projected from Arabidopsis thaliana (AT2G29380) TAIR;Acc:AT2G29380] MADICYEVVTDASPSSVYGSTPPHSRRRQRFQTVMSEDWEKNCKRSKQEGLATRCSSISRRSQDDFSGSRLLKTETVRGNVEVSGESPRYGVSSVCGRRREMEDAVAIHPSFSSRKNSEYPQHYFGVYDGHGCSHVAARCRERLHKLVQEELSSDGEEEEEWKTTMERSFTRMDKEVVSWGESVVSANCKCDLQSPACDSVGSTAVVSVITPDKIVVANCGDSRAVLCRNGKPVPLSTDHKPDRPDELDRIEGAGGRVIYWDCPRVLGVLAMSRAIGDNYLKPYVSCEPEVTITDRTDDDCLILASDGLWDVVSNETACSVARMCLRGGQKQEGSEDPTISDKACTEASVLLTKLALARHSSDNVSVVVIDLRRKGYVNGH >Al_scaffold_0004_1372 pep chromosome:v.1.0:4:13622285:13623443:1 gene:Al_scaffold_0004_1372 transcript:Al_scaffold_0004_1372 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNApolymerase 14 kDa subunit [Source:Projected from Arabidopsis thaliana (AT2G29540) TAIR;Acc:AT2G29540] MEHGSFTNDSHASFTLSEEDHTLANAVRFVLNQDPRVTVAAYTIPHPSLEQVNIRVQTTGDPAREVFKDACQELMQMNRHVRSVFDKAVTEYKAAKKLKEEAEEEELKRQRDLFGSMDIESN >Al_scaffold_0004_1374 pep chromosome:v.1.0:4:13626743:13629886:1 gene:Al_scaffold_0004_1374 transcript:Al_scaffold_0004_1374 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytosolic enolase [Source:Projected from Arabidopsis thaliana (AT2G29560) TAIR;Acc:AT2G29560] MSVQEYLDKHMLSRKIEDAVNAAVRAKTSDPVLFIANHMKKAVSSVITKVKARQILDSRGIPTVEVDLHTNKGVFRASVPSGDSSGTYEAIELRDGDKGMYLGNSVSKAVKNINEKISEALIGMDPKLQGQIDQAMIDLDKTEKKSELGANAILAVSIAACKAGAAEKEVPLCKHLSDLSGRANMVLPVPAFTVLSGGKHASNTFAIQEIMILPIGASRFEEALQWGSETYHHLKAVIAEKNGGLGCNVGEDGGLAPDISSLKEGLELVKEAINRTGYNDKIKIAIDVAATNFCLGTKYDLDVKSPNKSGQNFKSADDMIDMYKEICNEYPIVSIEDPFDKEDWEHTKYFSSLGICQVVGDDLLMSNSKRVERAIQESSCNALLLKVNQIGTVTEAIEVVKMARDAQWGVVTSHRCGETEDSFISDLSVGLATGVIKAGAPCRGERTMKYNQLLRIEEELGDQAVYAGEDWKLSL >Al_scaffold_0004_138 pep chromosome:v.1.0:4:683476:683865:-1 gene:Al_scaffold_0004_138 transcript:Al_scaffold_0004_138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCP1] MRCASMVWKKNNEALKETNEALKENMVELKSGMGTVMDELATTRLALNAIMQSLGIQLAPSDVIARAAAAAGLRFAGSTAGRDGPPAAASPAVSPAAAFAVASPAATPTDPPTRTQQGNLDAWCASAGL >Al_scaffold_0004_1382 pep chromosome:v.1.0:4:13655757:13656577:1 gene:Al_scaffold_0004_1382 transcript:Al_scaffold_0004_1382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLB1] MVFFTSLIILVVLCGVSIGGTVHKVGDSDGWTIMSVNNYDEWSSSKTFQVEDSLVFKYNKDFHDVTEVTHNDFKLCEPSKPLTRYETGSDTIILTKPGLQHFICGFPGHCDMGQKLQIHVLPASLGPVAAPVPRPVRSPSSFSSPSPSPLAPQYQMGPSPAPLSAASNSNVWIGLCFIPLLLLFILIYLNI >Al_scaffold_0004_1391 pep chromosome:v.1.0:4:13694301:13697899:1 gene:Al_scaffold_0004_1391 transcript:Al_scaffold_0004_1391 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division control 6 [Source:Projected from Arabidopsis thaliana (AT2G29680) TAIR;Acc:AT2G29680] MRSEIIGGLRSAEVNTSRKRKLRSDSAAEVAGTTVLPVNSMKWKSPRRCAISIPKTSDEEIKEDSNGNLTSPVISAVKNLSVCLDVKSKWNPRDDDQMKAVKEALHVSKAPSTVVCREDEQRRVYEFVKGCMEQKKAGSLYICGCPGTGKSLSMEKVRQQAEDWAKQAGLPCPEIVSVNCTSLTKTTDIFSKILGNNESGKKANGSSSPLQQLQSLFSQKQQRSSSKMMLIIADEMDYLITRDRGVLHELFMLTTLPFSRCILIGVANAIDLADRFLPKLKSLNCKPLVVTFRAYSKDQILRILQERLVALPFVAFQSNALEICARKVSAASGDMRKALCVCRSALEILEIEVRGTIDQEPQGPAPEGQVVKMDHMIAALSKTFKSPVVDTIQSLPQHQQIIVCSAAKAFRGSKKDRTIAELNKLYLEICKSSMITPAGITEFTNMCTVLNDQGILKLSHARDDKLKRVSLRVDEADITFALKEIRFFRNCLL >Al_scaffold_0004_1399 pep chromosome:v.1.0:4:13733195:13735417:1 gene:Al_scaffold_0004_1399 transcript:Al_scaffold_0004_1399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G29760) TAIR;Acc:AT2G29760] MAIFSTAQPLSLPRHPNFSNPNQPTTNNERSRHTISLIDRCSSLRQLKQTHAHMIRTGMFSDPYSASKLFAIAALSSFASLEYARKVFDEIPQPNSFTWNTLIRAYASGPDPVCSIWAFLDMVSSESQCYPNKYTFPFLIKAAAEVSSLSLGQSLHGMAIKSAVGSDVFVANSLIHCYFSCGDLDSACKVFTTIKEKDVVSWNSMINGFVQKGSPDKALELFKKMESEDVKASHVTMVGVLSACAKIRDLEFGRRVCSYIEENRVNVNLTLANAMLDMYTKCGSIEDAKRLFDAMEEKDNVTWTTMLDGYAISEDYEAAREVLNAMPKKDIVAWNALISAYEQNGKPNEALLVFHELQLQKNIKLNQITLVSTLSACAQVGALELGRWIHSYIKKNGIKMNFYVTSALIHMYSKCGDLEKAREVFNSVEKRDVFVWSAMIGGLAMHGCGSEAVDMFYKMQEANVKPNGVTFTNVFCACSHTGLVDEAESLFYKMESSYGIVPEDKHYACIVDVLGRSGYLEKAVKFIEAMPIPPSTSVWGALLGACKIHANLSLAEMACTRLLELEPRNDGAHVLLSNIYAKSGKWDNVSELRKHMRVTGLKKEPGCSSIEIDGMIHEFLSGDNAHPMSEKVYGKLHEVMEKLKSNGYEPEMSHVLQIIEEEEMKEQSLNLHSEKLAICYGLISTEAPKAIRVIKNLRMCGDCHAVAKLISQLYNREIIVRDRYRFHHFRNGQCSCNDFW >Al_scaffold_0004_1400 pep chromosome:v.1.0:4:13735973:13737160:-1 gene:Al_scaffold_0004_1400 transcript:Al_scaffold_0004_1400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G29770) TAIR;Acc:AT2G29770] MVFISETSDDGSNGGDPTKNAQQEKEENLPPIPQEIPEELIESTVALVRSSHYPSLSLLSKAFRRVISSPGLYHSRLLLALTDPVLYALIGLRLYILNRNVPRNISLRLSEIGSLPPLNHGSAVVTIGYDMYVIGGHNNQHQPTSNVFIIDLRFHTCISLPRMKRRRVNAAAGVIDGRIHVIGGCAKLNDHWIEVFDIENRTWSTVPDPDHNNSSLRGGGFLTCVVMQEMIYILDTLFGFAYEPRQGLWQSIGLETQLKLHWKVSCVIEDLLYCIDPRLGHQIVVYDPNELVWRPVKGAYVLPDFCYNQCKMANFGGKLVILGSVKSSLHGLEDVWCLEIALEKRQGGEIWGKVDSLAIVLKSVNSPSIDLLRSVTF >Al_scaffold_0004_1402 pep chromosome:v.1.0:4:13745641:13746119:1 gene:Al_scaffold_0004_1402 transcript:Al_scaffold_0004_1402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LLD4] RDLKKAHRARVGDKRDVLKVQSPGVENLMMIYIINLQIFALYISICRLGMNSVEANAMEKLRRFLWI >Al_scaffold_0004_1403 pep chromosome:v.1.0:4:13746562:13747573:1 gene:Al_scaffold_0004_1403 transcript:Al_scaffold_0004_1403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LLD5] DNSADELVFLDARMNLSSSGLQGPIAVSFRNLSFLESLNLNGFVPRSHRKRTMARGLALRGPLLPSGKRRFTCSITNNYKSDRLRRAELLLTVHLRNLAWFIGYCDDGSSMALICEYMANGNFQHSFLSIYCKVI >Al_scaffold_0004_1405 pep chromosome:v.1.0:4:13754704:13756290:-1 gene:Al_scaffold_0004_1405 transcript:Al_scaffold_0004_1405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LLD7] MGLDQRQLSVSRPHALSTDAPTRHKFPRKLAIPIHWFDAGNTQHPAANKSASNAQAVDANKSAGNKTQHFDANKS >Al_scaffold_0004_1406 pep chromosome:v.1.0:4:13757177:13759757:-1 gene:Al_scaffold_0004_1406 transcript:Al_scaffold_0004_1406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLD8] MGNYNIKVWKYKVLMKAESRFPLTSETSDDGSNGGDPNMKPEEPHKNPKEEEEENQNENPKEEDQENDHQEEEEVENLPQIPRQIPPELIEKTIAPIRRCHYPSLSLLSNAFRQVISSEDLFQTRSRIGLTEPVLYTLITFKYPTFEEPRWFILHRSNNSLQLNRVTSLPPMFLGCAAVTMGHKIYVMGGYNFRYNRTVRTMLVIDCRFNTYRQLRNMHKDRCYAAAGVIDGRIYVVGGRKRRYNDWVEVFNVETERWELVPGPFSPLASYRGEFDIHVVLDNKIYILDDEHCFAYDPRRRRWEAWGIGSVQRSFWHASSCVVDDLLYAIVLDREIAGVPIVVYDPREMVWRSVKGLAFWPNDLVYFESSMANYGGKLVVLGCFRSQYSIDYYDKNVWCVEVALEKHEDGEILGEVESFSPVKSFRMSPFFELSRTVTV >Al_scaffold_0004_1408 pep chromosome:v.1.0:4:13763843:13768979:1 gene:Al_scaffold_0004_1408 transcript:Al_scaffold_0004_1408 gene_biotype:protein_coding transcript_biotype:protein_coding description:villin-like 1 [Source:Projected from Arabidopsis thaliana (AT2G29890) TAIR;Acc:AT2G29890] MSRLNKDIDSAFHGVGTKSGLEIWCIYNNQLISISKSSFGKFHSGNAYLVLRTFLRKIESPQYDIHYWLGNDANEVDLVLASDKALELDAALGCCTVQYREVQGQETEKFLSYFKPCIIPVEGKFSPKTGLVGETYQVILLRCKGDHVVRVKEVPFLRSSLNHDDVFILDTASKVFLFAGCNSSTQEKAKALEVVEYIKDNKHDGRCEVATIEDGKFSGDSDSGEFWSFFGGYAPIPKLSSSTTQEQTQTTCAELFWIDTKGNLHPTGTSSLDKDMLEKNKCYMLDCYSEVFVWMGRATSLTERKTSISSSEEFLRKEGRWTTTSLVLLTEGLENARFRSFFSKWPQTVESSLYNEGREKVAAMFKQKGYDVDELPDEEDEPLYTNCRDTLKVWRVDGDEVSILSIPDQTKLFSGDCYIVQYKYTYNERTEHLLYVWIGCESIQQDRADAITNASAIVGSTKGESVLCHIYQGDEPSRFFPMFQSLVVFKGGLSRRYKMFLAEKENEMEEYNENKASLFRVEGTSPRNMQAIQVNLVATSLNSSYSYILQYGASAFTWIGKLSSDSDHDVLDRMLYFLDTSCQPIYIREGNEPDTFWDLLGGKSEYPKEREMRKQIEEPHLFTCSCSSGNDVLKVKEIYNFVQDDLTTEDVLLLDCQSEVYVWIGLNSNIKSKQEALTLGLKFLEMDILEEGLTVRTPLYVVTEGHEPPFFTRFFEWVPEKANMHGNSFERKLASLKGKKTSTKRSSGSQWRPQSKDNASRDLQSRSVSSNGSERGVSPCSSEKLSRLSSTEDMTSSSNSTSVVKKLFSESLLVDPSDGLARQESSSKSDISNQNPVGGINRDLSSLESLTYSYKQLRVDSQEPVSNIDATRREAYLTEKEFEERFGMAKSEFYALPKWKQNKLKISLHLF >Al_scaffold_0004_1431 pep chromosome:v.1.0:4:13954950:13956826:-1 gene:Al_scaffold_0004_1431 transcript:Al_scaffold_0004_1431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G30090) TAIR;Acc:AT2G30090] MVGQWEEEVDEEVVIRCYDDSRDRIQMGRVEKSCEIGHDQQTLLFTDTLGDPICRIRNSPFFIMLVAEVGNKLVGSIQGSVKPVEFHDKSVRVGYVLGLRVVPPYRRRGIGSILVRKLEEWFESHNADYAYMATQKDNEASLGLFVGKLGYVVFRNPAILVNPVNPGRGLKLPSNIGIRKLKVKEAESLYRRYVAATTEFFPEDINKILRNKLSIGTWLAYYNDDNTRSWAMLSVWDSSKVFKLRIERAPLSYLLLTKVSKIFGKFLSLLGLTAMPDLFTSFGFYFLYGVHSEGPLCGKLVRALCEHVHNMAASDDSGACKVVVVEVDKGSNGDDSLQRCIPHWKMLSCDDDMWCICR >Al_scaffold_0004_1440 pep chromosome:v.1.0:4:14015938:14018542:1 gene:Al_scaffold_0004_1440 transcript:Al_scaffold_0004_1440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LBK3] YQTEQGTVNVSSKVAYLRLVYAKNKGPMEGVDEWLKGWSLAEYTYNNKSLFCLSSSSDPKYLLRTNRVTVNEHNGGLKKGNEVKRKEIAESFAVKETKKGKEVKRKETTEGKEVKRKNLTDLLNSDINRNSFFFHSFN >Al_scaffold_0004_1455 pep chromosome:v.1.0:4:14084854:14086955:-1 gene:Al_scaffold_0004_1455 transcript:Al_scaffold_0004_1455 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA methylation 4 [Source:Projected from Arabidopsis thaliana (AT2G30280) TAIR;Acc:AT2G30280] MDVVGESSSTQNEVGEKPVIVRVKRKVGQSLLDAFWLEINEKPLKRPTLGLSKLSISDSGERGPSVAEDVKPKKVLVRHLETVTDSETTADIIHSLFESDLTEKSCSKGKSEERKFAFKKDNRKEQRLTKSVQQQQIAAKNARFEQIWRSRKGNKEGIHDRCHFFDVIRVDAEERQDSEQEFTSLEDQKMLASFLPLLRECIPTAAEEIEADIQSSHNEEYVYDYYAVNEEMDISEDSTKNQFPLVIVEDEEEFCDGSDESDFDSEDSNAEDHPKTDYPEEISEDDEEEEEEEDDDDDDETEKEKSEASDDSDDEETSQRHVRRVLGDDEFDDYEEDVNDYRESDEEFESY >Al_scaffold_0004_1457 pep chromosome:v.1.0:4:14091741:14092895:-1 gene:Al_scaffold_0004_1457 transcript:Al_scaffold_0004_1457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBM2] MPQGSGAESEAPRISGLAQQQLSTLIRAAPCTVDASTGHGATDGPRGKTLAINEIRGGSSCGSSGGSSCGSSGGEERRFDILDLESQILTQTENEG >Al_scaffold_0004_1461 pep chromosome:v.1.0:4:14127726:14128352:-1 gene:Al_scaffold_0004_1461 transcript:Al_scaffold_0004_1461 gene_biotype:protein_coding transcript_biotype:protein_coding description:GCN5L1 family protein [Source:Projected from Arabidopsis thaliana (AT2G30330) TAIR;Acc:AT2G30330] MSLSAARGRVLPFSEKEKTEEETGTLESSLLQLIDDNRRSSLQLREKTERSRKEAIRHAARTADLLVKAVNGGVEECFVNEKRIESEIRNLAVTVAKFGKQMDQWLAVTHAVNSAVKEIGDFENWMKTMEFDCKKITAAIRNIHEDQ >Al_scaffold_0004_1485 pep chromosome:v.1.0:4:14250745:14251014:1 gene:Al_scaffold_0004_1485 transcript:Al_scaffold_0004_1485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LC64] MGGKGGSGGGGKGGGGGGSGGRSGGGGGGGGGKGGGGGGGGKGSGGGGGGGGYMVAPGSNGSSYISRDNFESDPKGYFDNLHGSGQGNK >Al_scaffold_0004_149 pep chromosome:v.1.0:4:778659:780788:-1 gene:Al_scaffold_0004_149 transcript:Al_scaffold_0004_149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LDB8] MSVNQAEEVQQIEKLYEFSERLNASGSLQFLSTRACIEFTHSLFLLRISVCLSLSKPHPVVDKKLIKMYHRLHCFSKVILFFLELQYIVSSWFECKEMRKIVKMIVSDTDHIDRLISCLQLALPFFARGAPSSRFLNYLNKHIIPVFDKEARQLLPSIMQLLKICMPASQKDWRGNELHIRGVFVVPNATNSLCGYKIVTCQPSDRLGEDFSELDKDFTE >Al_scaffold_0004_1497 pep chromosome:v.1.0:4:14331576:14334512:-1 gene:Al_scaffold_0004_1497 transcript:Al_scaffold_0004_1497 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein [Source:Projected from Arabidopsis thaliana (AT2G30650) TAIR;Acc:AT2G30650] MASHSQVLVEEKSSVRILTLNRPNQLNALCLNMISRLLQLFLAYEEDPSVKLVILKGQGRAFCAGGDALPLVRDIVQGKWRLAANFLTYQYTLNYVLATYSKPQVSILNGIVMGGGVGLSIHGRFRIATENTVFAMPETALGLFPDVGASYFLSRLPGFFGEYVGLTGARLDGAEMLACGLATHFVPSTRLTALEEDLCKVGSSDPSFVSTILDAYTQHPHLKQKSVFLRQVLDVIDRCFSRRTVEEILSALERETAHQEPHDWISTIIGALKKASPSSLKISLRSIREGRLQGVGQCLIREYRMACHVFKGETSKDFVEGCRAILIDKDRNPKWKPRRLEDMKDSMVDQYFERVEEEEGWEDLKFLPRNNFHASTMATPKL >Al_scaffold_0004_150 pep chromosome:v.1.0:4:789528:790345:1 gene:Al_scaffold_0004_150 transcript:Al_scaffold_0004_150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDC0] MRFNTPSLVYLDYSGFAPTSSSIANFLDSLVEAKLDVILDSNLHHDVTLRQKDGVLVDLSTIMNWMRNVKTLSLSSASVKGLHCVSSQGLCIDRNEVKVLEIYGFSGRDREVRQVTCFLREMQFLQVLKVEIDARDNNKLRLINHLLALPGLSSKLQIMFL >Al_scaffold_0004_1504 pep chromosome:v.1.0:4:14363296:14365140:1 gene:Al_scaffold_0004_1504 transcript:Al_scaffold_0004_1504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G30730) TAIR;Acc:AT2G30730] MRRWMCCAGKKGVADSSTEELHMKSPWQNSDANQKNQNAKPEETLPIEVPSLSVDEVKEKTDNFGSKSLIGEGSYGRVYYATLNDGKAVALKKLDVAPEDETNAEFLSQVSMVSRLKHENLIQLVGYCVDEKLRVLAYELATMGSLHDILHGRKGVQGAQPGPTLDWITRVKIAVEAARGLEYLHEKVQPHVIHRDIRSSNVLLFEDYKAKIADFNLSNQAPDNAARLQSTRILGTFGYHSPEYAMTGELTHKSDVYSFGVVLLELLTGRKPVDPTMPRGQQSLVTWATPKLSEDEVEQCVDPKLKGEYPPKSVAKLAAVAALCVQYESECRPKMSTVVKALQQLLVAPIPIPQS >Al_scaffold_0004_1508 pep chromosome:v.1.0:4:14386463:14387567:-1 gene:Al_scaffold_0004_1508 transcript:Al_scaffold_0004_1508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LC86] MHMIKPPEQRHSRRHHRLLRSLGHQFSFGFFALCEKLRECKCDLDTDMTTNLYNVASHGPHVSQPHGS >Al_scaffold_0004_1516 pep chromosome:v.1.0:4:14441154:14442140:1 gene:Al_scaffold_0004_1516 transcript:Al_scaffold_0004_1516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LC93] DCGYEGTFGKVGLHCYNLLNGTNFKFVRLDENHLTGLFYKLIKFYATDPTRKCEFLTRVVQSKTENQGCLSFITVECTLLPEENHYPTRFDQLAVDDFFKGDMPNSMPENTSDNLQYYELSESEVEEYKDWLHLYVDLASRTKWRCVHPSPVWRETFELRKIIVQTRADVESKKKAKAENAIFYMNFKCICSQDCNAIIRRTTDGLPQHMSLEVKCLM >Al_scaffold_0004_152 pep chromosome:v.1.0:4:796903:797106:1 gene:Al_scaffold_0004_152 transcript:Al_scaffold_0004_152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDC4] MKNREVEKRREREAETAKEREEEAEGEEEAERASVDLEEEEERRRREAAVVVRREVDMVVVEKFLGE >Al_scaffold_0004_1521 pep chromosome:v.1.0:4:14459184:14461096:1 gene:Al_scaffold_0004_1521 transcript:Al_scaffold_0004_1521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LC99] MEIHHHLFVFLLLLLLPLCTSQENTRSLAIDVNGQVETSLISEKLNPKLVYEIKVHGFMLWASMGVLLPIGIISIRLISIKDQPIITLRRLFFLHVISQMVAVILVTIGAIMSIKNFNNSFNNHHQQLGIGLYAIVWFQALLGFLRPPRGGKSRRKWFVGHWILGTLITILGMINIYTGLHAYAKKTSTSAKLWTILFTAQLASIVLVYLFQDKWSYIQSQTTFNRNQSVDHNSNISTAETGHGDEVEEAKPELEKC >Al_scaffold_0004_1526 pep chromosome:v.1.0:4:14479274:14481587:-1 gene:Al_scaffold_0004_1526 transcript:Al_scaffold_0004_1526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G30933) TAIR;Acc:AT2G30933] MGKGFRLVTSLLLLSFLFSSGTKASIEPIEEEKDITTPLATNPTTTPTTVVPNSDSDASAVATTPLTIPSPPHGVAYPGDQSWCVARENVAKMALQAALDYACGIGGADCSEIQEGGNCYNPNSLRAHASFAFNSYYQKNPIPSSCNFDGTAVTISADPSLGSCHFPSTSTSESILNVTSEDGLGLFGRIPSHPTPKPEASTSSTTTFSFLYFYSRLLCFCFYIHLISMTLI >Al_scaffold_0004_153 pep chromosome:v.1.0:4:803094:804945:1 gene:Al_scaffold_0004_153 transcript:Al_scaffold_0004_153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDC6] MWLQVQTKLNFVTLRNTGIEDIIPDGWFEGIGSEVTYLILTNNIIKGRLPHKLAFPTLNTIDLSSNNFEGPFPLWSTNATELRLYENNFSGSLPLNIDVLVPRMQKIYLFHNNFTGNIPSSLCEVSGLQILSLRKNHFSGIDMFENNLLDAIPESLGMLPSLSVLLLNQNAFEGEIQESLQNCSSLTNIDFGGNKLTGKLPSWVGKLSSLFMLPLQSNSFTGAIPDDLCSIPNLRIMDLSRNKISGLIPKCISNLTALARGTRNEVFQNLVFIVTRAREYEDIVNSINFSGNNISGEIPREVLGHSYLES >Al_scaffold_0004_1533 pep chromosome:v.1.0:4:14522878:14523672:-1 gene:Al_scaffold_0004_1533 transcript:Al_scaffold_0004_1533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LCW5] IDQLDGSASVRIKSESCAGSSSKACREKQRRDRLNDKFTELSSILEPGRAPKTDKVAIISDAIRMVNQVRDEAQKLKDLNSSLQEKIKELKDEKQKLKVEKERIEQQLKAIKTSFDSMAQLVS >Al_scaffold_0004_1535 pep chromosome:v.1.0:4:14541381:14542647:-1 gene:Al_scaffold_0004_1535 transcript:Al_scaffold_0004_1535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LCW6] VIIGVFDTGIWPERRSFSYLNLGPIPKRWRGVCESGARFGPWNCNRKIVGARFFAKGQQDAVIGGINKTVEFLSPRDADGHGTHTSSTGRHAFKASMSGYASGVAKGVAPKARIATYKVCWKESGCLDSDILAAFDAASRDGVDVISISIGGGDGITSPYYLDPIAIGSYGAASKGISVSSSAGNEGPNGMSVTNLAPWVTTVGASTIDRNFPADAILGDGHRLRGVSLYAGV >Al_scaffold_0004_1537 pep chromosome:v.1.0:4:14550597:14551397:-1 gene:Al_scaffold_0004_1537 transcript:Al_scaffold_0004_1537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCW8] MEEKNTDGKRKTVPSIPANYISILQLQERWLNEKEKKRKEEEEEERRRKQEVEEEKKIEEEDLKKAEEEKRLNLSNRKHLERNKNGGEARFVEKEKPEVTAAMESCGGEDTAEGLPKKKKKNKGSRRKRYNSKKKNQDMAVVEDVVDCGCVSIPPPESVVTENVTPVKDVVRVFRKKGEKATKSKEVTDVMAMETQFENLTIKREEETKTLKAQTQTKPINRNRPRQGKDFAHQRVKMPIGAASMVWVKKERANDGIASGVKPLNA >Al_scaffold_0004_154 pep chromosome:v.1.0:4:804994:805197:1 gene:Al_scaffold_0004_154 transcript:Al_scaffold_0004_154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LDC7] NWVAWRRLIYQETKFSGAIPQSLAAISSLQRLNLSFNKLDESIPKLLKFQDPTIYVGNELLCGKPSS >Al_scaffold_0004_1540 pep chromosome:v.1.0:4:14562204:14562404:-1 gene:Al_scaffold_0004_1540 transcript:Al_scaffold_0004_1540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCX1] MKEAIAFGDGLFLIFMFLLSLLLVTMAVFVCSKKKEKKKDSGCCCCCKGGGCGGCCGDGGGGGGGC >Al_scaffold_0004_155 pep chromosome:v.1.0:4:809570:809839:1 gene:Al_scaffold_0004_155 transcript:Al_scaffold_0004_155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDC9] MVLVFFITWSCSSAQPIDPNACWQAIKQPPHECLESIKGIVHGHVHEIKKECCETVSTVSDLCWPIIFPSMPYIRFLLKGICTVKYSLH >Al_scaffold_0004_1554 pep chromosome:v.1.0:4:14613449:14615009:1 gene:Al_scaffold_0004_1554 transcript:Al_scaffold_0004_1554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCX9] MMISVNYERWASSRTFHVGDSLVFKYNKDFHDVTEVTHNDFKLCEPSKPLTRYETGSDTVILTKPGLQHFICGFPSHCDMGQKLQIHVLPASLGHVAAPVPGPVRSLSSLTSPSPSRLTDSPVNNVPQYQMGPSPAPLSVASYSSVWIGLCFLPLLSLFILV >Al_scaffold_0004_1573 pep chromosome:v.1.0:4:14730393:14732029:-1 gene:Al_scaffold_0004_1573 transcript:Al_scaffold_0004_1573 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 14 [Source:Projected from Arabidopsis thaliana (AT2G31180) TAIR;Acc:AT2G31180] MGRAPCCEKMGMKRGPWTPEEDQILINYIHLYGHSNWRALPKHAGLLRCGKSCRLRWINYLRPDIKRGNFTPQEEQTIINLHESLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKRISKNIQNNGGDTKDINGINETAHVDKESVIVDTASLQQFSSSITTFDISNDSKDDVMSYEDISALIDDSFWSDVVSVDNFNSNEKKIEDWEGLIDKKGKKCSYNNSKLYNDDMEFWFEVFTSNRRIEEFSDIPEF >Al_scaffold_0004_1585 pep chromosome:v.1.0:4:14806890:14810760:1 gene:Al_scaffold_0004_1585 transcript:Al_scaffold_0004_1585 gene_biotype:protein_coding transcript_biotype:protein_coding description:conserved peptide upstream open reading frame 7 [Source:Projected from Arabidopsis thaliana (AT2G31280) TAIR;Acc:AT2G31280] MGSTSQEILRSFCSNTDWNYAVFWQLKHRGSRMVLTLGDAYYDHHGTNIHGAHDRLGLAVAKMSYHVYSLGEGIVGQVAVSGEHQWVFSENHDNCHSAFEFHNVWESQISAGIKTILVVAVGRYGVVQLGSLCKVNEDVNLVNHIRHLFLALRDPLADHAANLMQCNMNNSLCLPNMPSEGFHAEAFPDCSGEVDKAMDVEESNILTQYKTRRSHSMPYNTPSSCLVLEKTAQVVGGREVVQGSTCGSYSGVTCGFPVDLVGAKHENQVGTNIISDAPHVGMTSGCKDPRGLDPNFHKYMKNHVLNNTSSSSLAIEAERLITGQSYPGLDSTLQAMSRTDKESSYQNEVFQLSENHGNKHIKETDRMLGRNCESSRFDALMSSGYTFGGSELLEALGSAFKQASTGQEELLKSEHGSTMRPTDDMSHSQLTFDSGPENLLDAVVANVCQSDGNSRDDMLSSRSVQSLLTSMELAEPSGQKKHNIVYPIDSAMNQLPLAEVDIQQNSSDICGAFSSIGFSSTYPSSSSDQFQTSLDMPKKNKKRAKPGESSRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERTIKHMLFLQNVTKHADKLSKSANAKMQQKETGMQGSSCAVEVGGHLQVCSIIVENLNKQGMVLIEMLCEECSHFLEIANVIRSLDLIILRGITETQGEKTLICFVTESQNSKVMQRMDILWSLVQIFQPKANGKR >Al_scaffold_0004_160 pep chromosome:v.1.0:4:822555:822812:-1 gene:Al_scaffold_0004_160 transcript:Al_scaffold_0004_160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDD4] METPASSRVFLAYSASSLVTPSLNFLGNPSISSQASFDAKVVKALTALITAIRELLGTSLITSNHIEFGLFLHYSRRATVGRSIR >Al_scaffold_0004_1601 pep chromosome:v.1.0:4:14866885:14868052:1 gene:Al_scaffold_0004_1601 transcript:Al_scaffold_0004_1601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G31425) TAIR;Acc:AT2G31425] MAKMLIKHKKILPLLLLLVLFAFPMSNTMPITDVHNFCKETFEVDFCLKYIGSDQRMVAARDFSDMFLIAISETQIQVTNAITHINNIRQSLNDPLGKNRIGVCEEKYEVAATSFHKAWEVGQKKSNSSTDRMEITRSTQAGFDAVCECEDEWSKHGPRQESPLTFYYHNVLKLCQITRLIISKLEA >Al_scaffold_0004_1604 pep chromosome:v.1.0:4:14878041:14880881:-1 gene:Al_scaffold_0004_1604 transcript:Al_scaffold_0004_1604 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G31450) TAIR;Acc:AT2G31450] MNRQIHGAVSSSSKPISSKTQRPLSDSNSANGASGSVTRVYTRKKRLKQEASEPLEINPGKGVNTHKQLRGLPDIEDFAYKKTIGSPSSRRSTETSITVTSVKTAGNPPENWVKVLEGIRQMRSSEDAPVDSMGCDKAGSFLPPTERRFAVLLGALLSSQTKDQVNNAAIHRLHQNSLLTPEAVDKADESTIRELIYPVGFYTRKATYMKKIARICLVKYNGDIPSSLDDLLSLPGIGPKMAHLILHIAWNDVQGICVDTHVHRICNRLGWVSRPGTKQKTTSPEETRVALQQWLPKEEWVAINPLLVGFGQTICTPLRPRCEACSVTKLCPAAFKETSSPSSKLKKSNRSKEP >Al_scaffold_0004_1616 pep chromosome:v.1.0:4:14927125:14928048:-1 gene:Al_scaffold_0004_1616 transcript:Al_scaffold_0004_1616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase-related family protein [Source:Projected from Arabidopsis thaliana (AT2G31620) TAIR;Acc:AT2G31620] MFEMSSSSVSISILAVVAMQLSFIHNVLSLNQTNSYLQHICINSEGTYKQKSSYESELKDHLDIMSNILDYGFVHGVGGAGSKTYYIKVLCRGDASESKCRSCLYTAFSVYGTIDNCFLYISEIYTFQKVDYKHYLYLHNAKDVNGNTKLFNKNTRALLYKLKEKTTRKEQEPYTKDYMYATGEESLGTMKLYGMMQCTQDLSVKNCSVCLDSIIAKLPRCCNGKQGGRVLNPSCTFRYELYPFVKA >Al_scaffold_0004_1625 pep chromosome:v.1.0:4:14963441:14964489:1 gene:Al_scaffold_0004_1625 transcript:Al_scaffold_0004_1625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDN7] MKKRRAYLRSILQYLPLVANSSSLVWPPPVEEELQTMSRGPSESMKISREESANFFGEVVPGLCELLLQLPSILEMHYRKADHVLDGVLSGLRLLVPPEAGIVFFFFFGKRLALCFLTSYNQNWRSSSGTATSEPPPTYLLFNLYNLAMKHLELYKKLVEYSSERLSRRTWLGFFSWLISTSLSSVYLEDQLSQRSVCIYSSFLS >Al_scaffold_0004_163 pep chromosome:v.1.0:4:841350:841793:-1 gene:Al_scaffold_0004_163 transcript:Al_scaffold_0004_163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDD7] MKDAGSKQAINHQDPAKDHPKLAKGSGQGSTVNLFAKWRTHRSITQLPPVGATTHQPPSREKPDPIHRSPLTSRTHGPFLSCREGEPPKSLTTASPSDPSAGVEEQKLKLGGKGHTRADETAGKVRLSLRN >Al_scaffold_0004_1640 pep chromosome:v.1.0:4:15026090:15027925:-1 gene:Al_scaffold_0004_1640 transcript:Al_scaffold_0004_1640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEA1] MEKREDLETILPYLPLKIQDLSLSWPSPNLVEILEAMTKGPSHSGVDSGRSLSDSISDMRQALSLTSYLSSSALQGYALLFDERMSKEESSRWFNEVLPEMACLLLRFPSLLELHYLNSDNLINGTKTGLRVLGPNKAGIVFLSQELIGALLSCSFFCLFPVDDRGSNHLPIINFDKLFGSLINTGQNEHQENKIKCIIHYFQRLSSCISPGFVSFERKILSLEQGSSCLDEGFWRKSTVNLCPVEVHTYGLIEDHSVEALEVDFANKNLGGGALRKGCVQEEIRFMINPELIVGMLFLPTMEVTEAIEVVGAERFSHYTGYSSSFRFSGDYIDTKETDVFGRETNKALCGFLHVCKKQGNAQCIDHEDGVGVATGNWGCGAYGGDPELKSLLQWLAVSQV >Al_scaffold_0004_1647 pep chromosome:v.1.0:4:15058759:15061072:1 gene:Al_scaffold_0004_1647 transcript:Al_scaffold_0004_1647 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation/H+ exchanger 21 [Source:Projected from Arabidopsis thaliana (AT2G31910) TAIR;Acc:AT2G31910] MVLETFANLALVYNIFLLGLGIDLRMIKIKDTKPVIIAIVGLLVALLAGAGFYYLPGNGDPDKILAGCVYWSIAFGCTNFPDLARILADLKLLRSDMGHTAMCAAIITDLCTWILFILGMASFSKAGLRNEMLPYSLVSTTAFIILCYFVIHPGVAWAFNNTVKGGQVGDTHVWFTLAGVLICSLITEVFGVHSITGAFLFGLSIPHDHIIRKMIEEKLHDFLSGILMPLFYIICGLRADISYMSKFVSVGVMAFVISASIMVKILSTIFCSIFLRMPLRDGFAIGALMNTKGTMALVILNAGRDSKALDVIMYTHMTLAFLVMSMVVQPLLTFAYKPKKKLVFYKNRTIQKHKGESELSVLTCVHVLPNVSGITNLLQLSNPTKKYPLNVFAIHLVELTGRTTASLLIMNDEAKPKANFADRIRAESDQIAEMFTALEVNNDGILVQTITAVSPYATMHEDICSLAEDKQASFILLPYHKNMTSDGRLNEGNAVHAEINQNVLSHAPCSVGILVDRGMTTVRFESFLFQGETTKKEIAMLFVGGRDDREALAYAWRMVGQEMVQLTVVRFVPSREALVSAKAAVEYEKDKHVDEECIYEFNFKTMNDPSVTYIEKVVNDGQDTVTAILELEDNNSYDLYIVGRGYQVETPVTAGLTDWSSTPDLGTIGDTLISSNFTMQASVLVVQQYSSAYRQTAGNNNQEPVQGGAKTAHEAKPFMEDEDGEEEHQYDIRMRK >Al_scaffold_0004_1648 pep chromosome:v.1.0:4:15061132:15063380:-1 gene:Al_scaffold_0004_1648 transcript:Al_scaffold_0004_1648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF936) [Source:Projected from Arabidopsis thaliana (AT2G31920) TAIR;Acc:AT2G31920] MACLTPGVLSNLLNIAAGNSLSSPPLLSSHRSPLLQVIEIVPCLSDDQWRSEAFFVKVSDSLHAAYVAVSAGDDADLIRSDEIQLGQFVYICGGLHVEKGCPVPVIRGLKPVPKRRMCVGNPSDLFSSDLLHSFTQVSVSPTAKKKKNLDETRRLSLDSARRSCWDQTPPVTHRRDAAMLLSSPRPKSKLVLSDKNLLKNELPSKSFNCNTPALRNKNVDKPASPISMANSPKDGIKSPIPKHLNSETRALRSRYVVKPASPISMASSPKDGIKSLSKAVAPPVALFKVPSSHMTWSDQRILWNGLPKTIQFLGKEVSSHRQVAVSAAVSALEEASAMESVLLCLQTFAELCDSTKKLSAGQVVSRFLDIYHSTQNTCKAVHRLLTQNGKNGSRRLVVNKNAASWVQTAVVTNFSQFNLFKEPGKKEDAADHHYIVIQNSSEKMKPKETTSSRTPSYKGAKPHATKYRSVSDRSSFEGKSSLNESASLADELVHVSSQWFLIYLENSLNKGSFLVKKEETNGKESIFVHLKAVNHWLDGLILNRMETNEKVEDLRKKLQRFLLEHIEFAIGEVTI >Al_scaffold_0004_1652 pep chromosome:v.1.0:4:15080596:15081011:1 gene:Al_scaffold_0004_1652 transcript:Al_scaffold_0004_1652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 76 [Source:UniProtKB/TrEMBL;Acc:D7LEB5] MKSSMQLISTLFFLVILVVAPGMKMVVEGQPQLCETKSLNYRGLCMKWRNCKRVCISEGFPDGRCKGFFNNKCVCRKPCALST >Al_scaffold_0004_1668 pep chromosome:v.1.0:4:15160877:15162146:-1 gene:Al_scaffold_0004_1668 transcript:Al_scaffold_0004_1668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LED4] MEANSGGGAEGGRAATGGGGGSDVELVSKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPSSGISWFLDLFNYYVNSEEHELFSKELQLDSKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSSPDEGWAAFRNILAEIHEASGLFVMPNKVKPSDGQEHLVDDVGAGFIPGHGSQQPSSSEHNVDRSVDSPGQEETVSKVIRADQKRFFFDLGNNNRGHFLRISEVAGSDRSSIILPLSGLKQFHEVIGHFVEITKDKIEGMTGANVRTVDPPQR >Al_scaffold_0004_1673 pep chromosome:v.1.0:4:15186939:15188442:1 gene:Al_scaffold_0004_1673 transcript:Al_scaffold_0004_1673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G32150) TAIR;Acc:AT2G32150] MDFSSPINCLIFDLDDTLYPLKTGIAPAVKKNIDDFLVEKYGFSESKASSLRVELFKTYGSTLVGLRALGHDVHPDEYHSFVHGRLPYGSIEPNNKLRNLLNKIKQRKIIFTNSDRNHAVKVLKKLGLEDCFEEMICFETMNPNLFGSTTRPDEHPVVLKPSLTAMDICIRVANVDPRRTIFLDDNIHNITAGKSVGLRTILVGRAEKTKDADYAVETVTEIATAVPEIWKTATATGGFDVGGERIRRSKSELEGMASITAVGA >Al_scaffold_0004_1681 pep chromosome:v.1.0:4:15224923:15225449:1 gene:Al_scaffold_0004_1681 transcript:Al_scaffold_0004_1681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEW0] MSQYSQNQFEGAYTTPPVSTGPYVAPTPLGYPTNHTTHATVSPVETKSKGEAADGFLKGCLATMLACCVLDACIF >Al_scaffold_0004_171 pep chromosome:v.1.0:4:880754:881850:1 gene:Al_scaffold_0004_171 transcript:Al_scaffold_0004_171 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase homolog H1D [Source:Projected from Arabidopsis thaliana (AT2G22290) TAIR;Acc:AT2G22290] MASVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDVANRLSFLNTSKWIEEVRTERAGDVIIVLVGNKTDLVEKRQVSIEEGDSKGREYGVMFIETSAKAGFNIKPLFRKIAAALPGMESYSNTKNEDMVDVNLKPTSNSSQGDQQGGGCSC >Al_scaffold_0004_1710 pep chromosome:v.1.0:4:15364698:15365099:1 gene:Al_scaffold_0004_1710 transcript:Al_scaffold_0004_1710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEZ1] MDTRWFWFRSSSRCLIIQLSHCNYIPDILRSFLEDRTITVVGVWNNQERFHQRLEIWRLVDIRDYLPTWLWKCSFEMIVEECLGYQGVRKDKEICRSNWGARNLSDDQIVQASHDVYVCCKLGVKERVWKMRA >Al_scaffold_0004_1720 pep chromosome:v.1.0:4:15410199:15414132:-1 gene:Al_scaffold_0004_1720 transcript:Al_scaffold_0004_1720 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOCATED IN: chloroplast; EXPRESSED IN: 17 plant structures; EXPRESSED DURING: 9 growth stages; CONTAINS InterPro DOMAIN/s: Barren (InterPro:IPR008418); Has 467 Blast hits to 447 proteins in 202 species: Archae - 0; Bacteria - 4; Metazoa - 147; Fungi /.../; Plants - 39; Viruses - 1; Other Eukaryotes - 108 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G32590) TAIR;Acc:AT2G32590] MDESLTPNPKQRPASTTTRIQAPTSPFFLGSNDDRLEREQARAARAAASRRRSVIFACGPQPESESDPCFDKQQILELFQNCIKLASENKINQKNTWELNLIDHLCEIIKVEDENNAETNFQKASCTLEAGVKIYSMRVDSVHSEAYKVLGGITRAGHDDSGDNEDAAGTVENATNQKKQTEKKISPLSTLEPSFDALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYGGCQVLFDSQEIPGKLVSSANKHDKSETIDLSFAKGLALLADMPRSTIVHVLLHYAFSEFVVKFVECVEQMVLNMRKKDEIVPSLRAIINQFDEENQRPSDTFSCGQQTTESFDISHANDASYADDDEGYENFGTSFDYEGQSGDVDENFGLNDAEPTYSNFHEEVEPASLQDLDSEDRLENVDDYLFLSLGISSKQNSWAGPDHWKYRKTKGPDVQSASENKSSPPAKKTRKKKQAEPELDFTKALEEEMPDIFAPPKNPKSLLLPASRTPCQTKLPEDCHYQPENLIKLFLLPNVMCLGRRRRKSSGETSRQQHDDYEHAESWGNDNVYDDDGTFDNGNDQSDAEDTNSLISQPRQVNKIEVQYDKASKQVDVQVLKETLWECLQESHQPPIQNLIVQDEEHQQEPPESRSFKELLASFPDDCQAAGATQDISPHLCFICLLHLANEHNLSLVGSQNLDDLTIHLA >Al_scaffold_0004_1732 pep chromosome:v.1.0:4:15478945:15480855:1 gene:Al_scaffold_0004_1732 transcript:Al_scaffold_0004_1732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor family protein [Source:Projected from Arabidopsis thaliana (AT2G32710) TAIR;Acc:AT2G32710] MGKYIRKSKIDGGGGESSIALMDVVSPSSSSSSLGVLTRAKSLALQQQQQQQRRLLQKPSSSSLPPTSPSPNPPSKQKNKKKQQMNDCGSYIQLRSRRLQKKPPIVVIRSTKRRKETCGRNPNPSSNLDSIRGSICADGSRSDSVSETLVFGKDKELVCEINKDPSFGQNFFELEEDEPTQSFNRTTRESTPCSLIRRPEIITTPGSSTKLNICVSESNQREESLSRSHRRLPTTPEMDEFFSGAEEEQQKHFIEKYNFDPVNEQPLPGRFEWTKVDD >Al_scaffold_0004_1740 pep chromosome:v.1.0:4:15505414:15508798:-1 gene:Al_scaffold_0004_1740 transcript:Al_scaffold_0004_1740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:D7LFL0] MGKRLKKGQKPPYLWLPPTVSKKVTKQSEHSVEVTEEVVTVPEKRSCVHFDKFVDLDKLLKKIKSSQQIKCGECKEGVHVKRGSKASISSGIYWFSSSDQKCAKKAIWVCLECGYYVCGDVGLPTGAQSHVMRHFRLTRHRLVIQCENPQLRWCFSCQSLVPFEKEENGEKKDLLLEVVKLIRERSPNTFSASFETEYSCSGSGNITGGSFTGGIEARDGYAVRGLVNLGNTCFFNSVMQSLLSLDQLREHFLKDDLSVSGPLVSSLKKLFAESKSEAGFFRSAINPRALFVSVCSQAPQFRGYQQHDSHELLRCLLDGLSIEESSLRKKLGVFDSNDSSTYQKPTLIDSVFGGEISSTVSCLACGHFSKVYEPFLDLSLPIPSKKLPPKKQRIVSLAKEVLKNGAVGKDSEVGSAKPASDHNFTVSNPSAMALIDKQVSDITTESDTEQYELDKFWSDLDLLGDVSDTAPSTKAKGVNQTLVGSTETLMHDNDVTAKPETVPDKEESRATQSNEDTSASGISAVIDEAQVFRSPDFEKSSSSANQWADEELPLMVPDSQVLYMPYKDHLSYDDNTVAEGKDGAFLSYVSGPHEQIEDHFDCNADEPGISSINITDRAGEGEASSSFVSGDHEPKIDYIDFSSFFDEPEIPEGPVFRLLSKAEVSEAGFMADSSNDKTVSAGKGEASSSFVSSDHEQNIDYVDFASFFDEPEISEGPYFRPLSKSEVSEAGFMAVSSNDKTVRAGKGETFSSFMNGDNERNIDYESINSLFYDRETSERPVFGPPSKAKVSEACFMAVSSDSDPAVLDDSDSPVSVDRCLAQFTKPEILSEDNAWHCENCSKNLKLERLREKRKTERLSKGWVNENGASSVFDECRDNSLSQSCIDPENGYKAAPPITELPNCKEEENAIDDGILGDSDPKQAPITSSVTETPILGGETISSQPANDNECENWEDLAVDSEKVIVKRAATKKVLVNKAPPVLTIHLKRFSQDARGRISKLSGHVDFQEFIDLSQYMDTRCSEEDQPVYRLAGLVEHLGTMRGGHYVAYIRGGHKEMRQSDIKEPNSSIWYHASDSFVRRASLEEVLRSEAYILFYERI >Al_scaffold_0004_1745 pep chromosome:v.1.0:4:15516215:15517404:-1 gene:Al_scaffold_0004_1745 transcript:Al_scaffold_0004_1745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFL5] MANRDFKIHALCLLTMLLQNLRPSISNLSPILTWVSFYNIQISSPCVGDVVSGIDGVSDRVHCDQVTLQSRASFLSFRESVSPTLSWPQLKCYGVGFFPASNGVHRFFTGTSLFHNNRIWVSHMNPSNSGIGNLFRTWDPGVIDCDSTVCVMKQQMHQFDHNWIQLLKSIIDKVKFWQHDLINIGWIRWLWNASARHTVEYMHKMHMGNCVNGRIRHDSMFIRRHKSGFINKTLFVEIHGERVTRRKTAAGARLNFTRLHERFQNPPAHRFNCLNDDDVDCVVGSNASLVVSSTEVTVNMLLCQGLCFFRVRVRDFLESENYKVEFFKKDFGTEALVPPCLHFRVIYGHIGFKATVCKKKVQPQVAACQS >Al_scaffold_0004_1770 pep chromosome:v.1.0:4:15649748:15649987:-1 gene:Al_scaffold_0004_1770 transcript:Al_scaffold_0004_1770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFP0] MTMTEKILARASEKSLVVPGDNVWVNVDVLMTHDVCGPRAFGIFKREFGESVGNLLEIFYLVKTGMTMTKKTKTNQWLL >Al_scaffold_0004_1783 pep chromosome:v.1.0:4:15716100:15716255:1 gene:Al_scaffold_0004_1783 transcript:Al_scaffold_0004_1783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFQ5] ISLKNSLERIGIMFMIILLEMMEGMMEKGGLRSSVLLSLEMFRWLMIGRGG >Al_scaffold_0004_1791 pep chromosome:v.1.0:4:15738037:15739608:-1 gene:Al_scaffold_0004_1791 transcript:Al_scaffold_0004_1791 gene_biotype:protein_coding transcript_biotype:protein_coding description:YUCCA 7 [Source:Projected from Arabidopsis thaliana (AT2G33230) TAIR;Acc:AT2G33230] MCDNNNTSCVNISSMLQPEDIFSRRCIWVNGPVIVGAGPSGLAVAADLKRQEVPFIILERANCIASLWQNRTYDRLKLHLPKQFCQLPNLPFPEDFPEYPTKYQFIEYLESYATHFDLRPKFNETVQSAKYDKRFGLWRVQTVLRSELLGYCEFEYICRWLVVATGENAEKVVPEFEGLEDFGGDVLHAGDYKSGERYRGNRVLVVGCGNSGMEVSLDLSNHDASPSMVVRSSVHVLPIEILGKSTFELGVTMMKWMPVWLVDKTLLVLTRLLLGNTDKYGLKRPEMGPLELKNTVGKTPVLDIGAFSRIKSGKIKIVPGIAKFGLGKVELVDGRALQIDSVILATGYRSNVPSWLKENDLAEVGIEKNPFPKGWKGKAGLYAVGFTGRGLSGASFDAMSVAHDIATSWKEETMQQIKTVASRHRRCISHF >Al_scaffold_0004_1793 pep chromosome:v.1.0:4:15755292:15756550:1 gene:Al_scaffold_0004_1793 transcript:Al_scaffold_0004_1793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFR8] MADRGALLLPRASIILSFSNLHVWQFQNPRSYLLSRSHLLCFSSSRSRVASAEIIQLNRRRFSYKICAAADDGRGYRLTERGKRGKKRRELWEELFEDNVEDDDDDVGGGNFDLWKILEEIVDNVWILKAFRSYGYLLPFIILSLFFSTGPKAFLVSLSVAIVPSLLFYAFQKLIGWDKRRKTSIANQFGIEEEEEEVERRSSRIRYSPSTVRNNVNGRGVNRSSAGLASKFGGWDELDGLGTIPEQRTSEPKKKPLTKRKRVRREKAAEPLLLRLLVSLFPFLST >Al_scaffold_0004_1795 pep chromosome:v.1.0:4:15758852:15760830:-1 gene:Al_scaffold_0004_1795 transcript:Al_scaffold_0004_1795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease [Source:Projected from Arabidopsis thaliana (AT2G33260) TAIR;Acc:AT2G33260] MKIDDLEITHETKKQKSFWAAVSLIIGTAVGPGMLGLPAATIRSGSIPSTIALLCSWVYVISSILLVAELSFAAMEEDNAAEVSFTGLATKSFGNKFGVFVAFVYASLSFSLMVACVSGIGSIVSQWFPYMNPLLANAIFPLVSGVLIGFFPFDAIDFTNRGLCFLMLFSITSLVAIGLSVARSNVLASFGQSCWKVSTVLPAVPVMVLTLGFHVITPFICNLAGDSVSDARRAILVGGVVPLAMVLSWNLIVLGLAKITVPAVPSSSIDPISLLLSVNPSALSAVQGFAFSALATSLIGYAVSFPKQLLDTWKLVSKKSNGNGRLGSVSFSSKEGNKRTNGRASYSEPPRARDGFEAVVMLFVLGVPALIATFFPSTFSRALDFAGVYANCFLFGVLPPAMAYIQQSRNKLRSWVLPGGNFTLLILFVIAIILGIWH >Al_scaffold_0004_1802 pep chromosome:v.1.0:4:15804484:15806612:-1 gene:Al_scaffold_0004_1802 transcript:Al_scaffold_0004_1802 gene_biotype:protein_coding transcript_biotype:protein_coding description:plasmodesmata-located protein 3 [Source:Projected from Arabidopsis thaliana (AT2G33330) TAIR;Acc:AT2G33330] MGFYSQKQLLSLYIIIMALFSDLKEAKSSSPEYTNLIYKGCARQRLPDPSGLYSQALSAMYGLLVSQSTKTRFYKTTTGTTSQTSVTGLFQCRGDLSNNDCYNCVSRLPVLSGKLCGKTIAARVQLSGCYLLYEISGFAQISGMELLFKTCGKNNVAGTGFEQRRDTAFGVMQNGVVQGHGFYATTYESVYVLGQCEGDVGDSDCSGCIKTALQRAQVECGSSISGQIYLHKCFVAYSFYPNGVPKRSSAYPSSGSSTSSSSSSSAGTTGKTVAIIVGGTAGVGFLVICLLFVKNLMKKKYDDY >Al_scaffold_0004_1829 pep chromosome:v.1.0:4:15941689:15943416:1 gene:Al_scaffold_0004_1829 transcript:Al_scaffold_0004_1829 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G33590) TAIR;Acc:AT2G33590] MAVVHKGKVCVTGAGGFLGSWVVDLLLSRDYFVQRPCLSPDNEKYAHLKKLEKAGDKLKLFKADLLDYGSLQSAIAGCSGVFHVACPVPPASVPNPEVELIAPAVDGTLNVLKACVEANVKRVVYVSSVAALFMNPVWSKNQVLDETCWSDQEYCKKTENWYCLAKTRAESEAFEFAKRAGLDLVSVCPSLVLGPILQQHTVNASSLVLLKLLKEGFESRDNQERHLVDVRDVAQALLLVYEKAEAEGRYICTAHTVKEQIVVEKLKSLYPHYNYPKRYIEAEERVKMSSEKLQKLGWTYKALEETLVDSVESYRKAKLVD >Al_scaffold_0004_1843 pep chromosome:v.1.0:4:15990208:15992210:1 gene:Al_scaffold_0004_1843 transcript:Al_scaffold_0004_1843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrogen regulatory PII-like, alpha/beta [Source:Projected from Arabidopsis thaliana (AT2G33740) TAIR;Acc:AT2G33740] MASSLCTRVSAIIGSRRSFPIVGAFCVLSTLSISSLSSSSSFKSGCAQSFSVVPLLRSKFSSKASSSSIRMEESSKTVPSIVVYVTVPNREAGKKLANSIVQEKLAACVNIVPGIESVYEWEGKVQSDSEELLIIKTRQSLLESLTEHVNANHEYDVPEVIAMPITGGSDKYLEWLKNSTRI >Al_scaffold_0004_1853 pep chromosome:v.1.0:4:16028540:16029581:-1 gene:Al_scaffold_0004_1853 transcript:Al_scaffold_0004_1853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LGE5] IRSMMEASTSDYDKEKLKERLAKLSGGVDVLKIGGAVVEEGIVPGGVALLYASKELEKLSTANFDQKIGVQIIQNALKTHVYTIASNAGVEGAVIVGKLLEQDNPDLGYDAAKEYVDMVKSGIIDPLKVIRTALVDAAVSSLLTTTEAVVTEIPTKEKEASPGMDGGMGGMGGMGGMG >Al_scaffold_0004_1855 pep chromosome:v.1.0:4:16034201:16034505:-1 gene:Al_scaffold_0004_1855 transcript:Al_scaffold_0004_1855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGE7] MLARQVLRTILTLYGLCIDRNEVKVLEIHGFSGRDKEVREVTCFLREMQFLQVMKVEIDAGDNKKLRLINHLLALPRRSSKLRFMFL >Al_scaffold_0004_1858 pep chromosome:v.1.0:4:16045117:16045329:-1 gene:Al_scaffold_0004_1858 transcript:Al_scaffold_0004_1858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LGE9] EKCDYFGENLIFPPPSVLASRRRRCWPHAAVENIFFLPPLPFFRWLHLLLYFLRLKIPLIQHLLRLQSTP >Al_scaffold_0004_1870 pep chromosome:v.1.0:4:16079915:16080147:-1 gene:Al_scaffold_0004_1870 transcript:Al_scaffold_0004_1870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGF9] MAKPLKIVVKPPQPQRQREFHTSPPPERKEAHHCLPFSEKSATIETTIVRPF >Al_scaffold_0004_1871 pep chromosome:v.1.0:4:16086143:16087390:-1 gene:Al_scaffold_0004_1871 transcript:Al_scaffold_0004_1871 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase homolog A1H [Source:Projected from Arabidopsis thaliana (AT2G33870) TAIR;Acc:AT2G33870] MGTYKAEDDYDYLFKVVLTGDSGVGKSNLLSRFTRNDFSHDSRSTIGVEFATRSIQVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANTVIMLVGNKADLNHLRAISTEEVKDFAERENTFFMETSALEAINVENAFTEVLTQIYRVVSKKALDAGDDPTTALPKGQMINVGSRDDVSAVKKSGCCAT >Al_scaffold_0004_1872 pep chromosome:v.1.0:4:16091660:16093636:-1 gene:Al_scaffold_0004_1872 transcript:Al_scaffold_0004_1872 gene_biotype:protein_coding transcript_biotype:protein_coding description:homeobox-3 [Source:Projected from Arabidopsis thaliana (AT2G33880) TAIR;Acc:AT2G33880] MASSNRHWPSMFKSKPHPHQWQHDINSPLLPSASHRSSPFSSGCEVERSPEPKPRWNPKPEQIRILEAIFNSGMVNPPREEIRRIRAQLQEYGQVGDANVFYWFQNRKSRSKHKLRLLHNHSKHSLPQPQPQPQPSASSSSSSSSSSSKSTKPRKNKNKNNTNLSLGGSQMMGMFPPEPAFLFPVSTVGGFEGITVSSQLGFLSGDMIEQQKPAPTCTGLLLSEIMNGSVSYGTHHQQHLSEKEVEEMRMKMLQQPQPQICYATTNHQIASYNNDNNTMLHIPPSTSTTTTITTSHSLATVPSTSDQLQVQAGARIRVFINEMELEVSSGPFNVRDAFGEEVVLINSAGQPIVTDEYGVALHPLQHGASYYLI >Al_scaffold_0004_1890 pep chromosome:v.1.0:4:16178537:16182835:1 gene:Al_scaffold_0004_1890 transcript:Al_scaffold_0004_1890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGH1] MGPKKVTNRGGRKKKKDSEEPEFLGTINPPQPDHNLQHNQRLEGVQPLEEQDQHPSEEQPVEAQPLEEQDQHPSEEQPVEAQPSEEQAQHPLEEQPVEDQPGVNDGTDEHDHVL >Al_scaffold_0004_1891 pep chromosome:v.1.0:4:16185625:16187064:-1 gene:Al_scaffold_0004_1891 transcript:Al_scaffold_0004_1891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LGH2] FSFKPVEERGEFGCYWYIQAGKYVIISTKESTPKLYGTKAINVWDPALRKRAEEMSISKIWIASGQYKSGDLNTVEVDSGRAYMKRK >Al_scaffold_0004_1893 pep chromosome:v.1.0:4:16193289:16196982:-1 gene:Al_scaffold_0004_1893 transcript:Al_scaffold_0004_1893 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAR family protein [Source:Projected from Arabidopsis thaliana (AT2G34150) TAIR;Acc:AT2G34150] MPLVRLQVRNVYGLGQPELHTKVDREDPKAILDGVAVSGLIGILRQLGDLTEFAAEIFHGIQEEVMITASRSNKLKMRLKQIEAKVPTIQKTWHPRIPNVENHFIYDDLPPFSMAPYDDCREPPRLHLLDKFDINGPGSCLKRYSDPTHFKRASKLPEIKKKKSIQRGRDISSLASVANQSDRKTFTSLSFSGRTSTSKTASTIEIESKSDLQDHRSFSFDSRSGGEKPKGVSSSSRFPPGSRTIASVLSESESGDTNDSPSQDLTARGSSSVSWHEKAEIVECNVLQCATDENPEAMETNFVVDGEPVSRLKEHTAVEAVQDIEPKELEMDSEDETESEGDNFVDALYTIDSESENDQVFQTTKEVQKNLSNEITEQETEKISNNFSVDETICAATSELHLSSPVNKSNELIHQDPWAASEISSGTHSYSNGFSNPLYDISGIQEHQESEEAETSCDTESIKTWTNGNLLGLKPSKPKIISATIPEIVENIDSETFQEPLREDYKAPFDWFTSSPPLDHMKISFKSAETLPSSKLELKLPDEYTFSSFQLVPETIATSLPDSDSDKDTFCRSSSYISDNSDNDNRSLSMSEQQWEEESDGIRESKSQQELYDSFHRISAEASSLSAPFPKIETSNGYLAGNVSYLQNPAEPLPPPLPPLQWMVSKIPSGRFEDNNKQSLKDALIQAFEKNNNSLTAVKKEEPNIVTVSDPKLENKVHLKNNVRDYKQSQGNKKETDAGDFLHQIRTKQFNLRRVVTTKTSSSEATMNTNFSVILEKANSIRQAVASDDGDGESDTWSDSDT >Al_scaffold_0004_1906 pep chromosome:v.1.0:4:16256035:16257146:-1 gene:Al_scaffold_0004_1906 transcript:Al_scaffold_0004_1906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGZ2] MDLLPYDVVEHILERLDVKTLLKFKFVSKQWKSTIQCRAFQERQLMHRRQSGNPDVLLVSVCDESYLIGTELEAMRTLVVGSSVSVRILTPWEKTLYKVCQSSCDGLICLYNDYPKNIVVNPTTRWHRTFPRSTYQRLTSHGESWAKLGFGKDKINGTYKPVWLYNSAELGGLNDDDDNNNTSTICEVFDFTSKAWRYVVPASPYPILPYQDPVYVDGSLHWLTAGETTNVLSFDLHTETFQVMSKAPFLHHHDHSNRELVIKKWPNQVIWSLDSDHKTWKEIYSIDLNITSFWFGKHRFALTPLAVVDKDKLLFCEPNSGDQLVTHDPKTKSYELAYISFYRTTAYPLCYFQSLLSIL >Al_scaffold_0004_1909 pep chromosome:v.1.0:4:16263925:16266202:-1 gene:Al_scaffold_0004_1909 transcript:Al_scaffold_0004_1909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Avirulence induced gene (AIG1) family protein [Source:Projected from Arabidopsis thaliana (AT2G34315) TAIR;Acc:AT2G34315] MGTCMQELIAGDQNIADQELPSVIYKHSSCSQASGPCCSYSKPGNPYNMAARELPSVTDIVLVGRNGNGKSFTGNTLLGEKLDISKADAGGVTMEENDKLKEKKREIESKSLSEAEVIAMKEQSRMEHEHTMNMMAHEVEHALKQNAETHEEETQHHVIDVSEVLIIKDRPHRFGVIVAQLSNHHLLLFCMFYCFCFFYFCIFPTLL >Al_scaffold_0004_1915 pep chromosome:v.1.0:4:16303665:16310047:1 gene:Al_scaffold_0004_1915 transcript:Al_scaffold_0004_1915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34370) TAIR;Acc:AT2G34370] MVRITICSRILKFSKPYFWCATQSSSRCFNSRAQSHDLITKTITSSLQDVLTRPTWQNRSFVQCRRVSSHAQMAYDHQSVTIEKFDALCEEVKIREALEVVDILEDNGYVVDFPRILGLAKLCGEVEALEEARVVHDCITPLDARSYHTVIEMYSGCGSTYDALKVFDEMPERNSETWCIMMRCLAKNGEGERAVDMFTSFKEEGNKPDKEIFKAVFFACVSLGDINEGLLHFESMYRNYGIIPCMEDYVIVTEMLAACGHLDEALEFVERMTVEPSVEMWETLMNLCWVHGDLELGDRFAELVKKLDASRMNKESNAGLVAAKESDSTKEKLKELRYCQMMRDDPKLRICQFRAGDTSHRKNDETVAALRSLKVQMLDMGFFPATRVCLEKVEKEEKEEQLLFRSNKLAFAYALLHSKARKPFTVTQDMRTCIDGHNTFKMISLITGRELTQRDQKRFHHYRNGVCSCRDYW >Al_scaffold_0004_1917 pep chromosome:v.1.0:4:16312543:16313690:-1 gene:Al_scaffold_0004_1917 transcript:Al_scaffold_0004_1917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LH02] MDDISVSKSNHGNVVVLNIEASSVADTKNESSSSPLISVHFLQKLMAELVGTYYLIFAGCAAIAVNAQHNHVVTLVGIAVVWGIVVMVLVYCLGHISAHFNPAVTLALASSQRFPLNQVPAYITVQVIGSTLASATLRLLFDLNNDFIITGFLMLVVCAVTTTKKTTEELEGLIIGAAVTLNVIFAGEVSGASMNPARSIGPALVWGCYKGIWIYLLAPTLGAVSGALIHKMLPSIQNAEPEFSKTGSSHKRVTDLPL >Al_scaffold_0004_192 pep chromosome:v.1.0:4:1012929:1014036:1 gene:Al_scaffold_0004_192 transcript:Al_scaffold_0004_192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE13] MSEPVSSVSPPGDSSPVQSKQLSYAAAVNKRPSLKKHEFQVSLVDGIPTIEVPSAVIKTSVPVEVQEVQTPTLAVSNAVQQNRAAETHEEQDNITQGAGKDGWSLVSPGKGCKSNEKVQSSLAYGQIILMSPS >Al_scaffold_0004_1925 pep chromosome:v.1.0:4:16336332:16337785:-1 gene:Al_scaffold_0004_1925 transcript:Al_scaffold_0004_1925 gene_biotype:protein_coding transcript_biotype:protein_coding description:urease accessory protein G [Source:Projected from Arabidopsis thaliana (AT2G34470) TAIR;Acc:AT2G34470] MASHDHHHHHHHHDHEHGHDRKSDGGEGKSSWVGKDGKVYHSHDGLAPHSHEPIYSPGYFSRRAPPLNDRNFSERAFTVGIGGPVGTGKTALMLALCRFLRDKYSLAAVTNDIFTKEDGEFLVKNGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLFKADLLLCESGGDNLAANFSRELADYIIYIIDVSAGDKIPRKGGPGITQADLLVINKTDLAAAVGADLSVMERDALRMRDGGPFVFAQVKHGLGVEEIVNHVMHSWEHATGKKRQ >Al_scaffold_0004_1931 pep chromosome:v.1.0:4:16357890:16358997:-1 gene:Al_scaffold_0004_1931 transcript:Al_scaffold_0004_1931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LH16] MKTKYGAALAPVFLLAGAYVAWNYINHGLWRKKDDKNSHRDIRKRVSEQRRDGNAKNMGKEASSGRDERTLSKSVSMGAIRGGKLALQRLLDLHSYRVDTSSLANAEIEFEALLSKENPDFGLLQRDIVKMEMSGKEANGVELLKKALEKARKEGKGHEAYEIEMLLVEMLIYLGNIEEASKCKCLEDEVITDARRPLYQSIIHYLRGDPMKQVEETFNRFRDIQIGLQWPGSSEECEIEQVTFDEFKKVMESLKQEIEDGNKRKLKLIKDKTIDGR >Al_scaffold_0004_1936 pep chromosome:v.1.0:4:16374613:16375387:-1 gene:Al_scaffold_0004_1936 transcript:Al_scaffold_0004_1936 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Herpesvirus UL139, cytomegalovirus (InterPro:IPR021042); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2 /.../ource: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G34580) TAIR;Acc:AT2G34580] MALSSAFKERLDQMEFTRNQRLDLLQAEKELQVNKSQILASKHTSIQSIERRCLMLDQKIAAQNLKITILRSSIEDLDSKYHGSIQQLRSLKSEVEELRELDEERDKYYKVKCSEMNEFMQNVERFRLENRLQIENLRNRIKEVCI >Al_scaffold_0004_1945 pep chromosome:v.1.0:4:16416690:16418716:-1 gene:Al_scaffold_0004_1945 transcript:Al_scaffold_0004_1945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LH31] MDPTRYIVRTKTEVGSVKPGDSTSSPENQFQLFYELNLFVYEKEAKAMLKIENLRVLKHILKANEERSEGRCQEKDGASSRVGKLDQP >Al_scaffold_0004_1948 pep chromosome:v.1.0:4:16444279:16446780:-1 gene:Al_scaffold_0004_1948 transcript:Al_scaffold_0004_1948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630 and DUF632) [Source:Projected from Arabidopsis thaliana (AT2G34670) TAIR;Acc:AT2G34670] MGCAASRIDNEEKVLVCRQRKRLMKKLLGFRGEFADAQLAYLRALRNTGVTLRQFTESETLELENTSYGLSLPLPPSPPPTLPPSPPPPPPFSPDLRNLELADEEEEGAAEIDGGNDASGATPPPPLPNSWNIWNPFESLELHSHPNGDNVVTQIELKKKQQIQQAEEENWAETKSQFEEEDEQQQAGGTCLDLSVHQIEAVSGSDMKKPQRLKFKLGGVMDDNSSMTSCSGKDLENTRVTDCKIRRTLEGIIRELDDYFLKASGCEKEIAVIVDINSRDTVDPFRYQETRRNRSSSAKVFSSLSWSWSSKSLQLGKDATTSGTVEPCRPGAHCSTLEKLYTAEKKLYQLVRNKEIAKVEHERKSALLQKQDGETYDLSKMEKARLSLESLDTEIQRLEDSITTTRSCMLNLINDELYPQLVALTSGLAHMWKTMLKCHQVQIHISQQLNHLPDYPSIDLSSEYKRQAVNQLETEVTCWYNSFCKLVNSQREYVKTLCTWIQLTDRLFNEDNQRSSLPVAARKLCKEWHLVFEKLPDKVTSEAIKSFLMSIKSVIHQQAEEYNLRRKCNKLERRLEKELISLAEIERRLEGILAMEEEEVSSASLGSKHPLTIKQAKIEALRKRVDIEKAKYLNSVEVSKRMTLDNLKSSLPNVFQVLTALANVFANGFESVNGQTGTDVFDTSQDSDESQP >Al_scaffold_0004_1957 pep chromosome:v.1.0:4:16505761:16506794:1 gene:Al_scaffold_0004_1957 transcript:Al_scaffold_0004_1957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT2G34740) TAIR;Acc:AT2G34740] MEYYIVADTKTVKGHNLGLYAIFDGHSGIDVADYLHNHLFDNILSQPDFWRNPKKAIKRAYKSTDDYILHNIVGPRGGSTAVTAIVINGKTTVIANVGDSRAILCRASDVVKQITVDHEPDNLVESKGGFVSQKPGNVPRVDGQLAMSRAFGDGGLKEHISVRPNIEIVEIHDDTKFLILASDGLWKVMSNDEVWHQIKKRGNAEEAAKTLIDKALARGSKDDISCVVVSFLSFNPSIK >Al_scaffold_0004_1965 pep chromosome:v.1.0:4:16540137:16541102:1 gene:Al_scaffold_0004_1965 transcript:Al_scaffold_0004_1965 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34820) TAIR;Acc:AT2G34820] MSMDCLSYFFNYDPPVQLRDCFIPEMDMIIPETDSFLFQSLPQLEFHQPLFQEEAPSQTHFDPFCDQFLSPQEIFLPNPKTEIFNETHDLDFLLPTPKRQKLVNSSYHCNNTHNHFQSHNPNFFDPFGDLDFVPEASTFPEFRVPDFSLAFKVGRGDQDDTKKPTLSSQSIAARERRRRIAEKTHELGKLIPGGQKLNTAEMFQAAAKYVKFLQSQVGILQLMQTTKKGSSNVQMETRFLLESQAVQEKLSTEEVCLVPCEMVQDLTTEETIWKNPNISREINKLLSKDLAN >Al_scaffold_0004_1968 pep chromosome:v.1.0:4:16543958:16544633:1 gene:Al_scaffold_0004_1968 transcript:Al_scaffold_0004_1968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LHP3] VVCEFDWELYKLKHFVDKVTEEGESSKEQKSESKIIVVCRCNRLQRAYHRVVMVVGEDTERLGMVISTPVVMKTTNTSVDDDDDEIQE >Al_scaffold_0004_1976 pep chromosome:v.1.0:4:16573924:16575960:-1 gene:Al_scaffold_0004_1976 transcript:Al_scaffold_0004_1976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHP8] MLNLGRARMGRQNRSMSFEGLDLADPKKNNNYMGKIVLVMTLTAMCILLLKQSPTFNTPSVFSRHEPGITHVLVTGGAGYIGSHTALRLLKDSYRVTIVDNLSRGNLGAIKILQQLFPEPGRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTQFPLKYYHNITSNTLVVLETMAAYGVKTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFSKNSNMAVMIRRLYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIIPGLQIKGTDYKTVDGTCVRDYIDVTDLDDAHVKALEKAKPRKVGIFNVGTGKGSTVKEFVEACKKATGVDIKVDYLERRAGDYAEVYSDPSKIREELNWTAKHTNLQESLKTAWRWQKLHHNGYGSSSLVSA >Al_scaffold_0004_1980 pep chromosome:v.1.0:4:16581569:16585159:-1 gene:Al_scaffold_0004_1980 transcript:Al_scaffold_0004_1980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji (jmj) family protein / zinc finger (C5HC2 type) family protein [Source:Projected from Arabidopsis thaliana (AT2G34880) TAIR;Acc:AT2G34880] MEPFSAAQNKEDKDTNVESPSSPRHREILARWDPADAKRPDIGEAPVFHPTSEEFEDTLAYIEKIRPLAESFGICRIVPPSNWSPPCRLKEKSIWKDTKFPTRIQIVDLLQNREPMKKKKKPKGRKRKRGRNSRTVASKKRYGSVSRSVSSPKTTEEETFGFNSGSDFTLEDFEKYARYFKDYYFGRKDNAGDTEWTPTVEEIEGEYWRIIEQPTDEVEVSYGADLENRVLGSGFYKRGDMKTGRSDMDPYIASGWNLNNLPRLPGSLLSFEDSHISGVLVPWLYIGMCFSTFCWHVEDNHLYSLNYHHFGEPKVWYGVPGSHATGLEKAMRKHLPDLFDEQPDLLHGLVTQFSPSILKDEGVPVYRAVQNAGEYVLTFPRAYHSGFNSGFNCAEAVNVAPVDWLAHGQNAVEIYSQENRKASLSHDKILLGAAYEAVKSLSASGEDNTKRFSWKSFCGKDGILTKAIEARLRIEERRIEALGNGFSLRKMEKDFDSKGEMECISCFSDLHLSATGCNNCSSFEEYGCTKHDICSCEGNDRFIYLRYTIDELSSLIRALEGESDDLKTWASKVVKEKQLHKQSFDLNLDLVSDGECNTSSEICDDASIMEFAAYVVEPINLGFLVVGKLWCNKDAIFPKGFKSRVKFYNMQDPMRMSYYVSEILDAGLMGPLFRVTLEESQDESFSYVSPQKCWEMVLLRVKEEMIKRSSQEQDVHMLETIDGLKMFGFRSPFIVQATEALDPNHHLVQYWNHKNETACSMSNSSLSLTKTKLFGVDLN >Al_scaffold_0004_1981 pep chromosome:v.1.0:4:16586671:16589730:-1 gene:Al_scaffold_0004_1981 transcript:Al_scaffold_0004_1981 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G34890) TAIR;Acc:AT2G34890] MKYVLVTGGVVSGLGKGITASSVGVLLQSCGLRVTCIKIDPYLNYDAGTISPYEHGEVFVLDDGSEVDLDLGNYERFLDVTLTRDNNITYGKIQQYVIEKERKGDYLGETVQIVPHVTDTIREWVEKVAMIPVDGKEGPPDVCIIELGGTIGDNESRPFADALSQLSYSVGRENFCLIHVTLVPVLSVVGEQKTKPTQHSIRDLRGLGLTPNIIACRSTEVLEENVKAKLSRFCYVPIQNIFSLYDVHSIWHIPLLLREQKAHEAISKVLNLSGIAKEPSLEKWASMVEISDSLNVPVRIAIVGKYTDLSDAYLSVLKALLHASVAFGKKLVVDMVPSCDLEKTTKKENSRAYEAAWKLLKDADGVLLPGGFGDRGIEGMILAAKYARENSIPFLGICLGMQIAVIEFSRSLLYLPDANSTEFEPETKNPCIIFMPEGSTTHMGGTMRLGSRRAYFHVKDSKSARLYGNKEFVDERHRHRYEVNPDMVACLEKAGLSFAAKDETGERTEIVEVPSHPFFIGAQFHPEYKSRPGKISPLFLGLIAASCGELDAVLNPVSIHQDNQNMYLEKNKTKVGPKKCFGNETNKKSQKLQ >Al_scaffold_0004_1992 pep chromosome:v.1.0:4:16622597:16623730:-1 gene:Al_scaffold_0004_1992 transcript:Al_scaffold_0004_1992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHR3] MAIIDTNSSRWIPHNLLFLLLLLLLQSVPYGSGQTTPPGTTQTKANDPIVVVITVMFVVIFFMVFGSIFCRRSNARFYSRSSVFRSTDADAESRVVRIRRSTARGLEAEAIESFPTFLYSEVKAVRIGKGGVECAVCLCEFEDDETLRLMPPCCHVFHVDCVDVWLSEHSTCPLCRADLVLSQHGDDDDSTESYSGTDPGTISSGTDPERGMVLESSDAHLLDGVTWTNSNITPRSKSTGLSSWRITGILFPRSHSTGHSLVQPAGNLDRFTLTLPDDVRRQLMKTSRTMGHVALLPQARSSRSGYRSGSVGSERSVFTYGRKSNNNNRRLHSLSFSFSFRSGSVRSTFSGDAPKNLPTSVEAGERSFERLRPDDRV >Al_scaffold_0004_2013 pep chromosome:v.1.0:4:16713491:16713914:-1 gene:Al_scaffold_0004_2013 transcript:Al_scaffold_0004_2013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHT6] MSSSSYTGGKCTQQAELSGENINITYKPDGTHVAVGNRVNEIDWNMPEDFFFLNTGVGEDLKLCTFLHTIP >Al_scaffold_0004_2018 pep chromosome:v.1.0:4:16730138:16733831:-1 gene:Al_scaffold_0004_2018 transcript:Al_scaffold_0004_2018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHU1] MALHLALGLCVYLLFTQGAQGNIDDFDCVEIYKQPAFKHPLLKNHKIQETFSLDGNIERSNKYKTKEHCPKGTVPILRQGNESQSVHLNTAEYSGQHFATIETTLDGSIYRGTEAEISVHDLKLQNNQYSKSQIWLENGPRAQLNSIQAGWAVHPRLYGDSVTRFTIYWTGDGYQKTGCYNMQCPGFVVVSRNPTLGKGFGGSSAYGQTSLTFKLQIFQDGFSGNWGLKMSNEIIGYWPKELFQHLSNGASLIRYGGNTYLSPDGFSPPMGNGHFPVPDFKKTAHFKNIGIINSDYKADYIDDRKVRLYADSNSCFRVSYWGYTKFTGKAFSFGGPGGKCGI >Al_scaffold_0004_2020 pep chromosome:v.1.0:4:16746210:16748233:1 gene:Al_scaffold_0004_2020 transcript:Al_scaffold_0004_2020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHU4] MELPLLSYASSASFSRTGFYSSSSSTSICEFPERRRSFRMRFNGGERSRSVIASAERSSEGIEKTDGGGGFAGTAMEVTTLDRGFANSTTVDFPIWDKIGAVVRLTYGIGIYGAMAVAGRFICSVTGIDSSGGFDPSLDALLAGLGYATPPIMALLFILDDEVVKLSPHARAIRDVEDEELRSFFFGMSPWQFILIVAASSIGEELFYRVAVQGALSDIFLKGTQLMTDSRGMASLTGVFPPFVPFAQAFAAVITATLTGSLYFLAASPKDPTYIVAPVLRSRRDDFKKLLSAWYEKRQMKKIYSPLLEGLLALYLGIEWVQTDNILAPMLTHGIYSAVILGHGLWKIHDHRRRLRRRIERIRSEATDKLI >Al_scaffold_0004_2025 pep chromosome:v.1.0:4:16765066:16766378:-1 gene:Al_scaffold_0004_2025 transcript:Al_scaffold_0004_2025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHU9] MNDNTSQKLGTLVSDGGPVNVYVWDMDETLILLRSLLNGTYAESFNGSKDVKRGVEIGRMWEKHILKICDDFFFYEQIEECNEPFLDSLRQYDDGKDLSLYDFKQDDFSTPTDDLNKRKLAYRHRAVAHKYEKGLCPFLDSESMNVLDELYNVTDEYTERWLSSARAFLEQCSCVKEESSDGPSAIDQSSQDIHILVTSGALIPSLVKCLLFRLDTFLRHENVYSSIDVGKLQCFKWIKERFNHPKFRFCVIGDGWEECAAAQAMQWPFVKIDLQPDSSHRFPGVTPKTVSYYFAAVYGNSDADSSKE >Al_scaffold_0004_2035 pep chromosome:v.1.0:4:16807324:16809387:-1 gene:Al_scaffold_0004_2035 transcript:Al_scaffold_0004_2035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHV8] MASLGLSFPPAAKTPTYLASSSSTFFSNSSLSVTTSQYRSRNSVFASFKCDMPESLNVGNGNPSIPIINERTLPKFLESARMEKSVCRTSTRLKLFSGTANPALAQEIAWYMGLELGKVNIKRFADGEIYVQLQESVRGCDVYLVQPTCTPTNENLMELLIMVDACRRASAKKVTAVIPYFGYARADRKTQGRESIAAKLVANLITEAGADRVLACDLHSGQSMGYFDIPVDHVYCQPVILDYLASKSISSEDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRSGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIVKGAALLHQEGAREVYACCTHAVFSPPAIERLSGGLLQEVIVTNTLPVAEKNYFPQLTILSVANLLGETIWRVHDDSSVSSIFL >Al_scaffold_0004_2048 pep chromosome:v.1.0:4:16843968:16845057:1 gene:Al_scaffold_0004_2048 transcript:Al_scaffold_0004_2048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defender against death (DAD family) protein [Source:Projected from Arabidopsis thaliana (AT2G35520) TAIR;Acc:AT2G35520] MARSSTSKDAQDLFHSLRSAYLATPTNLKIIDLYVCFAVFTALIQVAYMSLVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKENKEFKDLAPERAFADFFLCNLVLHLVIINFLG >Al_scaffold_0004_2052 pep chromosome:v.1.0:4:16854000:16856177:-1 gene:Al_scaffold_0004_2052 transcript:Al_scaffold_0004_2052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:D7LHX5] MSRHHDPNPFNEEEEEEEIVNPFLKGAGGVGRVPASSRPLGFGSNLYSTVHIPLDRVNDSKQIELSDWEAQLRNREMDVKRRENAISKSGVQINDKNWPSFFPIIHHNEIPLHAQKLQYLAFASWLGLVFCLIFNVIAVIVCWIEGAGGYIFFLATIYAFLGCPVSYVLWYKPLYLAMRTDSALKFGWFFFFYLIHIGFCIFAAIAPPIIFDGQSLTGVLAAIDIFYFAGFGFFCMESLLSLWVLQKTYLFFRGNKDTTMQILEQYSTLKIPPF >Al_scaffold_0004_2086 pep chromosome:v.1.0:4:16989225:16991127:1 gene:Al_scaffold_0004_2086 transcript:Al_scaffold_0004_2086 gene_biotype:protein_coding transcript_biotype:protein_coding description:FASCICLIN-like arabinogalactan protein 16 precursor [Source:Projected from Arabidopsis thaliana (AT2G35860) TAIR;Acc:AT2G35860] MDSSYGVTKLLLLLFLTTSIATALPDSKPVPGQINSNSVLVALLDSHYTELAELVEKALLLQTLEEAVGKHNITIFAPRNDALERNLDPLFKSFLLEPRNLKSLQSLLMFHILPKRISSPQWPSLSHHHRTLSNDHLHLTVDVNTLKVDSAEIIRPDDVIRPDGIIHGIERLLIPRSVQEDFNRRRSLRSISAVIPEGAPEVDPRTHRLKKPAPAVPAGAPPVLPIYDAMSPGPSLAPAPAPGPGGPRGHFNGEAQVKDFIHTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIMYYHIIPEYQTEESMYNAVRRFGKVKYDSLRFPHKVLAQEADGSVKFGHGDGSAYLFDPDIYTDGRISVQGIDGVLFPAEETPATEIKPAAPVVKKVAKSRRGKLMEVACRMMGSRFIPCQ >Al_scaffold_0004_2102 pep chromosome:v.1.0:4:17080698:17081500:1 gene:Al_scaffold_0004_2102 transcript:Al_scaffold_0004_2102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIN1] MTTGKSKKKKMVLKAVSVVDIGCGNCKFPSLSSFFNPTPKKPRLYSSNYGHCHSSTPTTASSSSAVPSTSHWFSDTSSSSATPSTAAVAVEKDSDDPYLDFRQSMLQMILENEIYSKNDLRELLHCFLSLNEPYHHGIIIRAFSEIWDGVFSAAVKHRGDVQESPLVHRMAHVPRTVTTTTDRIVFGQNRKE >Al_scaffold_0004_2109 pep chromosome:v.1.0:4:17137210:17137842:1 gene:Al_scaffold_0004_2109 transcript:Al_scaffold_0004_2109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LIN8] TPGGSDPPPDILQLCVGTRCLIIQLSHCKRMPDVLRSFLEDETITFVGVWNSQDKDNLERFRHQLEIWRLLDIRHYLATWLRNSSFEQGRSECLGHEGVRKDKEICRSNWGARSLSHDQIVQASHDVYVFCKLGVKERLWKESSNLSFVGVCF >Al_scaffold_0004_2123 pep chromosome:v.1.0:4:17184537:17184862:-1 gene:Al_scaffold_0004_2123 transcript:Al_scaffold_0004_2123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like (DEFL) family protein [Source:Projected from Arabidopsis thaliana (AT2G36255) TAIR;Acc:AT2G36255] MAKLIINFFALLMIVLLVSHGLPKAEAQTCLKGEAQKGVCVELDGATLCDLLCKASNTTWFGKCEVEDKETHCHCYGPC >Al_scaffold_0004_2138 pep chromosome:v.1.0:4:17235997:17241064:1 gene:Al_scaffold_0004_2138 transcript:Al_scaffold_0004_2138 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT2G36370) TAIR;Acc:AT2G36370] MALMSSLDEFVTLVVKNPCYQQDDASSSSQDISISASEIASWNLSEILSYGRVKVRAHRTRLIQESSYFHGLLSGSFSESGLDHISVEWNPETFLNLLMFLYGYDIEITSTSFLPLFETALYFGVEKLLSKCKSWLSVLALSNDTALPKLELSDLIQMWSFGLEHAGEFVPDLCVAYLAKNFMLVKSDKYFGNVPYELLMCCIKHSHLTVHSEMHLADALLVWLDTGRRMSDLSDSSQDNTINLMEQVRFSLLPLWFIAGRSKSHGFSKFADQSIELVTKLMKMPSTCLVDSLTDGPPTDLRVRLTEYSEILDLSGCPQLNEASLLLSILPNSYFANLRWRKSLKSFLKNPDDDERHQEQLSHRTLPILSFESVKEIDISKCQRLDYKAVIKCFSKSFPSLRKLRAAYLLNIKVSTMLELLQNFRELTEVDLTVDFAPIIPVQASVFYSGQGHCSLSNITRLTLEGRSDICDMELRSISRVCDSLCYLNIKGCALLSDACIAYVIQRCKKLCSLIVCYTSFSENSILALCATISMTNEHMDSSSLACSLQMLHMSKCEGSSETSLLKLITQTQKMKSLCLRDTKVSDSVLCELPGSSLEALDISNTMISRMALTHVISRNPNLKSLKARGCKNLLQLQVDGRTENSSPLFSGQEVFKCLSKGSGLEELEIGWGFSYFSLESLRPAASFLRVISVGLGASLGEDALKLLPSTCPLLESIVLYFQEISDSALTSILTSSKHLQELALSYCFGDISLQSFKFSMPNLRKLRLERVSRWMTNDDLLVLTQSCPNLTELSLVGCLHLNSDCQPIISAGWPGMISLHLEECGSITENGVASLYGCVALEDLLLRHNGSGIQKSFLLDATLKFPKLRLVSLDMCDAKEGGFDVPEEKEEGRSLSIVKISRCKSERCALGGGVAPDHRETLVMLWNGQNFTKTLLKQRL >Al_scaffold_0004_214 pep chromosome:v.1.0:4:1146286:1148062:-1 gene:Al_scaffold_0004_214 transcript:Al_scaffold_0004_214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE30] MNEREVCGLWWSLPDEVAVDYLAQVSRLDLVALAMVSRRHRFVSESHNLRALRFQMGSVEPYLYVFMHMYPDPSPRWFVLHPVQRLLKPVHSSLLPAPEEGVPHYVHEDGSKRSISKLDRQEEDIVFGGCWDVADSSNWVEVFDMETGTWDLLYVFTPKMPLKIQQSVVLDDKNVYVVDEDGQIFSFSPSNCMFVTSGIKESNPESRNDWLLAEALFCRGVGGRILWRFPNETVWKEVKGLEELQQQHCGGFDIIKLCPFSAQRVGIFWEARPQGPDQILELWCAELSLTRSQGAEGWGEVLAEAEEAKLALKGPLKLTNSGMVMEEKVPLSSWMTR >Al_scaffold_0004_2142 pep chromosome:v.1.0:4:17265583:17266266:-1 gene:Al_scaffold_0004_2142 transcript:Al_scaffold_0004_2142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJ33] MEASLFAGHGVMPRRGLVLDFGNGGERSPLQDLPVVVSLGCGSSAADDRLWLCRVLAAGGCEGQRHFVFVPCRVYGIIAPLFGSVVGRLCWCCLWNSLGHGFALCYLMKAPGIGRVG >Al_scaffold_0004_2143 pep chromosome:v.1.0:4:17300976:17305707:-1 gene:Al_scaffold_0004_2143 transcript:Al_scaffold_0004_2143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LJ34] MKTYGGGISGITEETGSRKLTSSSGSLNAEILWDLSEAEYENYGPEPIRRFFVVVVVNSEITLRIGDVDRKRDTSSSSWRVSKTERFSGTCWLTTKAQFSDVGTKHEIQIQCDGGGGGGEEGYLWKLKSPETMSVYVDKRKVFSVKKIKWNFRGNQTMFFD >Al_scaffold_0004_216 pep chromosome:v.1.0:4:1152462:1154888:-1 gene:Al_scaffold_0004_216 transcript:Al_scaffold_0004_216 gene_biotype:protein_coding transcript_biotype:protein_coding description:SU(VAR)3-9 homolog 6 [Source:Projected from Arabidopsis thaliana (AT2G22740) TAIR;Acc:AT2G22740] MEMGVMENSMVHTETSKVKSLSNGEVLVEKRGVSVLENGGVCKLDRMTGLKFKRRKVFAVRDFPPGCGTRVERFGSMEVKIACENGNVAEDVKVVESLVKEERDDGVDVSGGELEAENGSDVSMAEAVEVQPLSICLPEGDVERNVEQTVEVAGSMGQSLVLEDSGSGASSSGTTENMVRDVVVYADESSLGIDDLDYTEPLEIEMSDVSVAKPSLVAGRRKAKKGVAFHSSLKLTKKNNREYGEGSSKRNSKSNIYWLDRESLDFPHQETSRELRILDVGSSSGDDSIRNKVKETLRLFHGVCKRILQEDEAKPEDQRRKGKGLRIDFDASKILKRNGKYLNSGTQILGHVPGVEVGDEFLYRMELNILGVHRPSQAGIDYMKYGKGIVATSIVASGGYDDHLDNSDVLTYTGQGGNVMQVKKKGKELKEPEDQKLISGNLALATSLKKKTPVRVIRGKHKSTLKTSSGGNYVYDGLYLVEDYWQEVGSHGMYVFKFQLRRIPGQSELSWIEVKKCKSKYREGLCKLDISEGKELSPISAVNEIDDEKPPLFTYTVKMIYPDWCRPVPPKSCGCTTRCTEARKCACVVKNDGEIPYNYDGAIVGAKLFIYECGPLCKCPSSCYLRVTQHGIKLPLEIFKTKSRGWGVRSLKSIPIGSFICEYVGELLDDSEAERRIGNDEYLFDIGNRYDNSLAQGMSELMPGTQAGRAMAEGDEAGGFTIDAAKKGNIGRFINHSCSPNLYAQNVLYDHEDKRIPHVMFFAQDNIPPLQELCYDYNYALNQVRDSKGNIKKKPCLCGAPGCRHRLY >Al_scaffold_0004_2162 pep chromosome:v.1.0:4:17393647:17395105:1 gene:Al_scaffold_0004_2162 transcript:Al_scaffold_0004_2162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJ54] MGSSFSNLNGDTNGLATGPSLGDIPESCVAYVILHLTPPEICNLARLNRAFRGAASSDSVWEKKLPCNYKDLLHLLPPEMYQSLSKKDIFALLSRPIRFDDGHKEVWIDRSTGRVCMAISARGMAITGIEDRRYWNWIATEESRFHVVAYLQQIWWFEVDGMVRFNLPPGIYCLSFRIHLGRFSKRLGRRVCHFEHTHGWELKPVRFSLSTSDGQEASCEYYLAEKGGEEMGMEQKGGGEFWREYKVGEFVVSCSEPSTEVRWSMKQIDCTHSKGGICVDSVFIIPIDVKQRKKRKATVK >Al_scaffold_0004_2163 pep chromosome:v.1.0:4:17397856:17398572:1 gene:Al_scaffold_0004_2163 transcript:Al_scaffold_0004_2163 gene_biotype:protein_coding transcript_biotype:protein_coding description:homeobox protein 22 [Source:Projected from Arabidopsis thaliana (AT2G36610) TAIR;Acc:AT2G36610] MEYWSSSFIDGASSSNFISPFYNFDHFSGNQDNRCLSSGTMVSAQQDMLHFPLAMVESGYGEESNSFNGKEKRKKKMTSEQLKFLETSFQEEIKLNPDRKMKLSKEIGLQPRQIAVWFQNRKARWKNKQLEHLYESLRQEFDVVSREKELLQEELTQLKSMIRENGSSKKKQTWEKTCS >Al_scaffold_0004_2167 pep chromosome:v.1.0:4:17412812:17414080:1 gene:Al_scaffold_0004_2167 transcript:Al_scaffold_0004_2167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJ59] MDGSGPRDGGAMKPVILRVCVAIVLSATGLILARFVSRNEDNEVTSSTSNPESSSSPSRRNDQEEETESVDHQKQEILSLKSRFEELKRKEYEMELQFERFCNLKDQEVMLMEHKSMLSLEKSQLDFFRKEVLAMEEEHKRGQDLVIVYLKLVGEIQELRSENGLLEGKAKKLRRRSKQLYRVANEKSRRIIGVEKEFLKCVDELETKNYILKELEGEVKDMKAYVDVLQEEKEELFIKSSNLTSEMVSLEDYTRVVEEYEELKKDYANGVKEVINLRWSNACLRHEVMRNGANFGEIMFSPNGNLQEMGLENVQADAAQALMSVAYEKHEDCHNNHHHESSRRKRLMKKLKKWVEGNEKGKSKAEERCFGRHSLTVDPEEERILFHSRRSCSSV >Al_scaffold_0004_2170 pep chromosome:v.1.0:4:17421891:17423573:-1 gene:Al_scaffold_0004_2170 transcript:Al_scaffold_0004_2170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Modifier of rudimentary (Mod(r)) protein [Source:Projected from Arabidopsis thaliana (AT2G36680) TAIR;Acc:AT2G36680] MFNFWGSKEQQQGQSPEASATPWYSPSLVTSPSSSRSQTSGQIPPHVSPGEAAGIIAILKDKSVDELRKLLSDKNAYQQFLHSLDQVTIQNNIREELRKETLHLARENLEKEPQIVELRNQCRIIRTSELATAQEKLNELENQREEILKFYSPGSLLHRLQDAMNKVDEESEELQQKLMEKDIDTAAFVQKYKKLRSKYHRRALIHLAAKTSSIS >Al_scaffold_0004_2172 pep chromosome:v.1.0:4:17437140:17441859:-1 gene:Al_scaffold_0004_2172 transcript:Al_scaffold_0004_2172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7LJ64] MSIKIIFTITIASFFSTISSLKPHSRFALVFTVDLHGSGNFISVQRAINAVPNSSNYKTLIIVKSGVYNIMYVPWKKKREKVNVSEKKKKLVLHGTDYQNTVIELNDTAQSSRNTLNSYSFDVFAANFVAYNISFKRVLFFVGLEKNFAPEPKPGMEGSQAVALRVDGDQAAFYSFGFYGAQDTLLDNQGRHFFKNCFIQGSIDFIFRNGRSLYKIYGTGKLWLGRAWKPFATVVFLNTYMSGIISPDGWNNMSDPTRDKTAYYREHQYYIPEAKHSKRVPYAKQLTDVEAAPFTNISFIDGEQRL >Al_scaffold_0004_2195 pep chromosome:v.1.0:4:17562067:17563540:-1 gene:Al_scaffold_0004_2195 transcript:Al_scaffold_0004_2195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJ90] MDQRDMTHMDAMHVYLLVDVWRGRCELVYGKFGPNSMNKQVSFHALMRFRVCQWKLASLFTPSTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >Al_scaffold_0004_2201 pep chromosome:v.1.0:4:17595061:17598464:1 gene:Al_scaffold_0004_2201 transcript:Al_scaffold_0004_2201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJ97] MRAEEVVVMDEEKREEVMKWLERRGEGFLRWSFRFRLDIKSQRNRQFLAVSMKLKLTKPNKTHGSTLRVAYQGVLGVYFEATTGKAYPKSEDIRCDQFDVAFQAVELWIADRLVLPIET >Al_scaffold_0004_2210 pep chromosome:v.1.0:4:17651731:17654000:1 gene:Al_scaffold_0004_2210 transcript:Al_scaffold_0004_2210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJA6] MKEKYFIIWEQKKAENVLVRQACILQSNLQNATSKDNASLHQKIEDKLSADIRKVIDNYQFSLGGSAECSSVAQGKLEYACLEEVSALTTSSACSIDEFLASGDETTVSLFDELQSTLSSHQGEMVLFARELRQRFHTTQEMFEYTSMFFQKLMEESKNSESRVAEANNTQSKSDTDKLIADLTNLVSNFMISKMPSLPTKIVLHEHVSAVNNLTNDAKIKRETFSIQAEDEAREGADFSAAKHKFLTMFCNSFELQFFSCAFYYLSTGFNLFKNIKKMRILDPFWSCCFVLYACTHHLITFFVWLNHEDA >Al_scaffold_0004_2211 pep chromosome:v.1.0:4:17656943:17658156:-1 gene:Al_scaffold_0004_2211 transcript:Al_scaffold_0004_2211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJM0] MDSYPYSHGSGFVNLLTSQQEVHNLEANPYDDVPVFPSQADSPSQAAHKPKDRQVASLATREAKRQVDKKGTC >Al_scaffold_0004_2213 pep chromosome:v.1.0:4:17665394:17666401:1 gene:Al_scaffold_0004_2213 transcript:Al_scaffold_0004_2213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJA9] MAFPKPSSRSFHPEISMLREETSIGPVTEHFEEPSAPSSYNDARIKVIAVGGSGSNVVNHMIESEMSCVEFWIVNTDIQAMRMSPVLPDNRLQNLDVMGGGTGTGAAPVIAKGIGILTVGIDTTPFSFDGRSSNGLSTPVMEAFNLADDILHRGVSGISDIIAV >Al_scaffold_0004_2215 pep chromosome:v.1.0:4:17669057:17670835:1 gene:Al_scaffold_0004_2215 transcript:Al_scaffold_0004_2215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJB1] MDNENSGDKDTRVNLMSFHILNWASSSFKRQPNVMLISRNLPDDTEFVRVFNALKSRGFNVLLVQPHDEAASKELLRNADSISDSTRFFNGGNPLDDQSGSSQGSLVSNSLAIETINNEDFSSLYSRGYNAFLVQPNLLAPEMSQTSEWPGCVLDVGKVTGRFLLAPSQMKRMRKKVKPRRIRQGRRALRED >Al_scaffold_0004_2216 pep chromosome:v.1.0:4:17688265:17690430:1 gene:Al_scaffold_0004_2216 transcript:Al_scaffold_0004_2216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translin family protein [Source:Projected from Arabidopsis thaliana (AT2G37020) TAIR;Acc:AT2G37020] MAGGDGQVSLSLEKQFENFRVQLEESAALREQIRAVVMEIESATRLIQANLVLVHQSRPIPEVIEKAKEKIVDLKKFYGRLAEILQKCPGQYYRYHGDWRSETQAVVSQLAFMHWLETGTLLVHTEAEEKLGLNSLEFGLETEDYLTGICFMSNDLPRYVVNRVTAGDYDCPRKVMNFLTDLHAAFRMLNLRNDFLRKKFDSMKYDLRRVEEVYYDVKIRGLISAGDQEIQGQS >Al_scaffold_0004_2223 pep chromosome:v.1.0:4:17730569:17732420:1 gene:Al_scaffold_0004_2223 transcript:Al_scaffold_0004_2223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJP0] MMGRKSLPTVQEDLLRSTESISTLKSDCTVETGQEFVMFDAATPDKSKDFGATEAVPTPTTSTLDDPGSEDNMKPNPIRKRPSIRAQGLGMSTKQPVASKEHNTSISRPSSRLGKTIRALVDTNKAIQETNPNLSGGKEPLASRVPISRRTRPIVSTPVVPFKSALRSSVASKNELTSSCSSIESCLSVSSNASHKPSLDSVKRKKDQSLRIASHSLANRPTSSGGSRNINQPKVPPLSASRKYKFNGSRLSSSVDWSSGSPRASTPNKMAKSTKKSVHGDSSPAADDTKQTLRPLNNSKDVSVVQDDPKPDKQGTKRGSVVSGGDLPSASMMKPTGLRVPSPKIGYFDGARSSVARTPTGSCTGPVSGLAKHGAFSPNESTASRTKSAKSQPTMSKAKVRPVSRSSRLIVSASPKLTNKRYSKVSAEEQLE >Al_scaffold_0004_224 pep chromosome:v.1.0:4:1229351:1232201:-1 gene:Al_scaffold_0004_224 transcript:Al_scaffold_0004_224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE39] MDHRTERSERRERGIRDDDDYGQCRDRDHNRLRDDRGDRSSRRIEHISKNLSTNQKRDKLDKVANRKGRENPHKWRATLPLTNEDYLTEKENQETRTEPKQSEPYRRLETVRARTGSKHKEDLAGNRRRNQPKATPKTSKLDLHGKAENGPKTKENGRISTRMTLSRLRREAPVAGEVRTGEKLDGGG >Al_scaffold_0004_2246 pep chromosome:v.1.0:4:17821575:17827639:1 gene:Al_scaffold_0004_2246 transcript:Al_scaffold_0004_2246 gene_biotype:protein_coding transcript_biotype:protein_coding description:pleiotropic drug resistance 5 [Source:Projected from Arabidopsis thaliana (AT2G37280) TAIR;Acc:AT2G37280] MELAETGKSNGSSFRTSSSGNEPEDGVDEAEHVLQWAEIQRLPTFKRLRSSLVDNNGEAAEKGKKVVDVTKLGAIERHLMIEKMIKHIENDNLKLLKKIRRRMDRVGVEFPSIEVRYEHLGVEAACEVVEGKALPTLWNSLKRVFLDLLKLSGVRTREAKINILTDVSGIISPGRLTLLLGPPGCGKTTLLKALSGNLEKNLKRSGEITYNGHGLNEVVPQKTSAYISQHDLHIAEMTVRETIDFSARCQGVGSRTDIMMEVSKREKDGGIIPDPEVDAYMKAISVKGLKRSLQTDYILKILGLDICAETLVGNAMKRGISGGQKKRLTTAEMIVGPTKALFMDEITNGLDSSTAFQIVKSLQQLSHITNATVFVSLLQPAPESYDLFDDIVLMAEGKIVYHGPREEVLEFFEECGFQCPKRKGVADFLQEVISKKDQGQYWLHQDIPHSFVSVDTLSKKFKDLEIGKKIEESLSKPYDKSKTLKDNALSFNVYSLPKWELFRTCISREFLLMKRNYFVYLFKTFQLVLAAIITMTVFIRTEMDIDIVHGNSYMSCLFFATVILLVDGIPELSMTVQRLSVFYKQKQLCFYPAWAYSIPATVLKVPLSLLESLVWTSLTYYVIGYTPEAYRFFRQFILLFAVHFTSISMFRCIASIFQTGVATMTAGSFVMLITFVFAGFAIPYTDMPGWLKWGFWVNPISYAEIGLSVNEFLAPRWQQMQPTNVTLGRTILESRGLNYDDYMYWVSLCALLGLTIIFNTIFTLALSFLKSPTSSRAMISQDKLSELQGTKDSSSVKKNKPLDSPMKTIEDSGKMILPYKPLTITFQDLNYYVDVPVEMKAQGYNEKKLQLLSEITGSFRPGVLTALMGISGAGKTTLLDVLAGRKTSGYIEGEIRISGYLKVQETFARVSGYCEQTDIHSPNITVEESLIYSAWLRLVPEIDPQTKIRFVKQVLETIELEEIKDSLVGVAGVSGLSTEQRKRLTVAVELVANPSIIFMDEPTTGLDARAAAIVMRAVKNVAETGRTIVCTIHQPSIHIFEAFDELILLKRGGRIIYSGPLGQHSSCVIEYFKNIPGVAKIRDKYNPATWMLEVTSESVEIELDMDFAKIYNESDLYKNNSELVKELSKPDHGSSDLHFKRTFAQNWWEQFKSCLWKMSLSYWRSPTYNLTRIGHTFISSLIFGLLFWNQGKKIDTQQNLFTVLGAIYGLVLFVGINNCTSALQYFETERNVMYRERFAGMYSAFAYALAQVVTEIPYIFIQSAEFVIVIYPMIGLYASSSKVFWSLYAMFCNLLCFNYLAMFLISITPNFMVAAILQSLFFMTFNLFAGFLIPKPQIPKWWVWFYYLTPTSWTLNLFFSSQYGDIHQEINAFGETTTVARFLEDYFGFHHDHLTITAIILIAFPIALATMYAFFVAKLNFQKR >Al_scaffold_0004_2257 pep chromosome:v.1.0:4:17891284:17892445:1 gene:Al_scaffold_0004_2257 transcript:Al_scaffold_0004_2257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJS2] MKGRMFCASQASTAICSSMDHVHKSTTTEDDERSSGRAIDRHNPIIKDGRRSFAEDFIKLPASGGDGEMSNKKLEIYKGRRSITGRRSTGGGGGGGAAALLKLITNDIGLARKSFSCVARPACDLIKTHVGSTRYLLGSDPDSISGSPGQVPAMTVEAEAPAGEGITLTEKNTCVGSSDQQVVVLKVSLHCRGCEGKVRKHLARMQGVTSFNIDFAAKKVTVTGDITPLKILESISKVKNAQFWTTPTFPKPNVETQNP >Al_scaffold_0004_2258 pep chromosome:v.1.0:4:17893302:17894001:1 gene:Al_scaffold_0004_2258 transcript:Al_scaffold_0004_2258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJS3] MVEEEKSSNSIYIVPELLEEIFLRLPLKSILKFKTVSKQWRSVLESKMFVEKRMNASKSGKIIAAYNCDCGDRPRIIHEARLGDQVFVYLHCFNARPSMICDSRPLLTCDGLVCIAEPDSIIVLNPSTGQLLRFPEPLSSRFINGEGSDFYRGNWVMGFGRDKVTGSYKVTKMCLLPREEGCDVLDVETGQWKNLSLPTYMAQVGRKLL >Al_scaffold_0004_2268 pep chromosome:v.1.0:4:17946850:17947936:-1 gene:Al_scaffold_0004_2268 transcript:Al_scaffold_0004_2268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LJT3] MDSFQSLSSNFGRNPLSDLAEMACMKKALPFLLMVLLQIGLAGMDILTKDALNKGMSIYVLSVYRHGVISTSLKKHNSPVIAQNLFNLGMKYTTATFAIALYNTLPAVTFILALIFRLESVKLQSIRSAAKVVGTVTTVGGIMVMTLVKGPALDLFWTNGPSAHNTVGTDIHSSIKGAVLVTIGCFSYA >Al_scaffold_0004_2269 pep chromosome:v.1.0:4:17949398:17951899:-1 gene:Al_scaffold_0004_2269 transcript:Al_scaffold_0004_2269 gene_biotype:protein_coding transcript_biotype:protein_coding description:nodulin MtN21 /EamA-like transporter family protein [Source:Projected from Arabidopsis thaliana (AT2G37460) TAIR;Acc:AT2G37460] MEEVKKRDCMEKARPFISMVVLQVGLAGMDILSKAVLNKGMSNYVLVVYRHAVATVVMAPFAFYFDKKASDRPELILSRDEIYDGDICKQHSKLRCIRSAGKVVGTLATVGGAMIMTLVKGPVVDLFWTKGVSAHNTAGTDIHSAIKGAVLVTIGCFSYACFMILQAITLRTYPAELSLTAWICLMGTIEGTAVALVMEKGNPGAWAIGWDTKLLTATYSGIVCSALAYYVGGVVMKTRGPVFVTAFSPLCMIIVAIMSTIIFAEQMYLGRVLGAVVICAGLYLVIWGKGKDYKYNSTLQLNDESAQPKLELIGKDNVDHEVITISKQGEQRRTVVETV >Al_scaffold_0004_2271 pep chromosome:v.1.0:4:17963111:17964308:-1 gene:Al_scaffold_0004_2271 transcript:Al_scaffold_0004_2271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LJT6] AYQTIVQIAVVITFTFMWFRQKQSTCRDNQYLDELGITLFGDNIELMKSYMKPRRWVIVVAGYDPSLPADEIKKALTDHFRSCGAILNVEMPRHPVERFVDANEKALELNGSEMGGRKLVVTARPFPMLVRKDVPFA >Al_scaffold_0004_2272 pep chromosome:v.1.0:4:17964646:17965107:-1 gene:Al_scaffold_0004_2272 transcript:Al_scaffold_0004_2272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LJT7] IKTAIGRGGYVKDVYVRGMTMNTMKYVFWMTGSYGSHPDEHYDPKALPVIQNINYQDMVAENVTMPAQLAGISGDQFTGICISNVTITLSKKPKKVLWNCTDVSGYTSGVTPQPCQLLPEKQPGTIVPCNFPEDPIPIDEVKLQRCYSRRRNM >Al_scaffold_0004_2296 pep chromosome:v.1.0:4:18089764:18090527:-1 gene:Al_scaffold_0004_2296 transcript:Al_scaffold_0004_2296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKC1] MWSSKRGGKNVSNASSSKEPSDHFIKKYGVTIFWDFENQTVNRNTEDLSQLKGNIEVALKTLDHRFFIHGKPKGFGKFKGENWREVIELQNTDAFDLQNVDTKTVPCDAGGCNMWTQNVI >Al_scaffold_0004_2301 pep chromosome:v.1.0:4:18106953:18107806:1 gene:Al_scaffold_0004_2301 transcript:Al_scaffold_0004_2301 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:D7LKC5] MVTSSGFFSFTAFCYLIAAMGLQVIWSFGLAILDTFALVRKKTLLSPVLVSLFVVGDWVRVDPLSVCNIDIVISRSIIKMLIILYFGDLGSCSFEAECWKYQLSVALAFLCWITVAITPF >Al_scaffold_0004_2302 pep chromosome:v.1.0:4:18113755:18115456:-1 gene:Al_scaffold_0004_2302 transcript:Al_scaffold_0004_2302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKC6] MAMSSLDEFVILVVNQREASSSSQEISISASEIVSWGLSKILSYGRVKVRARQVFMLLISTLDLYWLIEESSYFHGLLSGSFSESGLDHISVEWNPETFLNLLMCLYGYSIDITSSSLFDVRFFWRICARSLCGLSFKEFRMYHLLFQFILPSSIKHPHLTVDSEMHLADALLIWLDAGRRMSDFSESSSSQDNTINLMEQLTFRSQPSGKW >Al_scaffold_0004_2305 pep chromosome:v.1.0:4:18125715:18127447:-1 gene:Al_scaffold_0004_2305 transcript:Al_scaffold_0004_2305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFT9] MDSNSNNTKSIKRKVVDQLVEGYEFATQLQLLLSHQHSKQYHIDQTRLVSGSGSVSGVPDPVDELMSKILGSFHKTISVLDSFDPVTVSVPISVEGSWNASCGDDSATPASCNGGDSGDSKKKRLGVGKGKRGCYTRKKRSHTRIVEARSSEDRYAWRKYGQKEILNTTFPRSYFRCTHKPTQGCKATKQVQKKEQDPEMFQITYIGYHTCTATDQTHTKTEPFDQEIIMDLEKTLAASTVQNHVNAMVQEQENNISSVIAVGAGMVKEEENNNGDQSKDYCEGSSTGEDLSLVWQETMMFDDHQNNYYCGEISTTSHQFGFIDNDQLSSLFDSYCA >Al_scaffold_0004_231 pep chromosome:v.1.0:4:1275829:1278243:-1 gene:Al_scaffold_0004_231 transcript:Al_scaffold_0004_231 gene_biotype:protein_coding transcript_biotype:protein_coding description:squalene epoxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G22830) TAIR;Acc:AT2G22830] MKLFVIKNLPRFQLTLRSLLLYTNHRPSSRFSLSTRRLTTGATYIRRGKATAEQRHKRAAVNSNDVIRNRNKKNRGLVVSLNDTVSNILATEADSVTDVIIVGAGVSGAALAHTLGKEGRRVHVIERDLSEQDRIVGELLQPGGYLKLIELGLEDCVKKIDAQRVLGYVLFKDGKHTKLSYPLETFDSDVAGRSFHNGRFVQRMREKAATLSNVRLEQGTVTSLLEENGTIKGVRYRTKEGNELRSFAPLTIVCDGCFSNLRRSLCKPKVDRPSTFVGLVLENCELPFANHGHVVLGDPSPILMYPISSSEVRCLVDVPGQKVPPIGNGEMAKYLKTRVAPQVPTEVREAFITAVEKGNIKTMPNRSMPADPIPTPGALLLGDAFNMRHPLTGGGMTVALADIIVLRDLLTPIRNLNDKEALSKYIESFYTLQKPVASTINTLADALYKVFLASSDEARTEMREACFDYLSLGGVCSSGPVALLSGLNPRPLSLVLHFFAVAIYAVCRLMLPFPSIKSFWLGARIISSATSIIFPIIKAEGVRQMFFPRTIAAIYRTPPSQ >Al_scaffold_0004_2311 pep chromosome:v.1.0:4:18160043:18160936:1 gene:Al_scaffold_0004_2311 transcript:Al_scaffold_0004_2311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKD4] MNRGRRNLKQAASEQDFTLEECQSIAQVVSLRGSNQIEIMDAKGENSLALFPAKFRESMWIRRGSFVVIDHTGKEKAQESGSKVTSIVCKVLFFEQVRLLQKSPEWPEIFKDTKPIPADKSSPIEQHEDDGEIDSSDDDDDGMPPLEANTNRLRPFGVQCTAETDSGSDSDS >Al_scaffold_0004_2312 pep chromosome:v.1.0:4:18169464:18171269:1 gene:Al_scaffold_0004_2312 transcript:Al_scaffold_0004_2312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKD6] MSSTVSNPQGILQQGGYSGHDSRSNITSGSESESINNDEAELVTVCRNQDSSCFAAGTSHGYRIYNCQPFKETFRRELKNGGFKIVEMLCRINILALVGGGPNSQYPSNKVLIWDDHQTRCISELQLRSEIRAIYVYNFMDLRLLHQIETQANPRGLCCLSHHSNTSVLACPGLHRGEIRVEHFGLNMVQIINAHDSSIACMTLTLDGLLLATASTKGTLIRIFNTMDGTRLQEVRRGVDRADIYSIALSPNVQWLAVSSDKGTVHIFSLRVRLVGEDSYSTENAALLTQQTYSTSLQGIVSPTTGTNPGSSLSFMRGVLPKYFSSEWSYAQFHVSEVTQFFAAFGSNNTVAIIGMDGSFYRCSFDPVNGGEMGQLEYFHFLKTDNRRR >Al_scaffold_0004_2313 pep chromosome:v.1.0:4:18174196:18176179:-1 gene:Al_scaffold_0004_2313 transcript:Al_scaffold_0004_2313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKD7] MLRDIVGSNHKSSSNLMVISKSHPQDTESRRVLRALNSRGSHVLLVQPQPESQASEQLFHRPDLLCCSTYLLDGRKAMDHKRGTPSPQYSLRISHQESRKTQEWPRWILAGIVSLSQIRYPSLKRLALDNPESSALWPGCLLDVGQTLGICSWPTSSGQNSEAEEEETCGGITLSRRAELIY >Al_scaffold_0004_2317 pep chromosome:v.1.0:4:18188868:18190435:-1 gene:Al_scaffold_0004_2317 transcript:Al_scaffold_0004_2317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKE1] MAMSSLDEFVILVVNQREASSSSQEISISASEIVSWGLSKILSYGRVKVRAHRTRQVFMLLISTLDLYWLIEESSYFHGLLSGSFSESGLDHISVEWNPETFLNLLMCLYGYSIDITAALYFGVARLLSKCKSWLSVLASSNDTALPKLELSDLIQIWSFGLEHG >Al_scaffold_0004_2321 pep chromosome:v.1.0:4:18219884:18221149:1 gene:Al_scaffold_0004_2321 transcript:Al_scaffold_0004_2321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKE7] MVNDIALWELDSPLAESSSPPNVIVISNDINTQGHISFYRCLRSMHARDYCAFLVQPKDIAQESRKTQEWPRWILAGIVSLSQIRYPVSNHKWKKKMEKHKEVKKPDVSPVKTINSASVKTMIREDLFLEPKRLALDNPESSALWPGCLLDVGQTLGICSWPTSSGQNSEAEEEETCGGITLSRRAELIY >Al_scaffold_0004_2350 pep chromosome:v.1.0:4:18344207:18345230:-1 gene:Al_scaffold_0004_2350 transcript:Al_scaffold_0004_2350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKQ8] MDHQRRKRKQNEIGTFPFLFSHSKPNHREEKVRNRDHLRRKLRQIGESLKRGGAKSKGFEDLVVVKSIGCEILNRGGPKVVPHEVMNDGEWTTPYIKILLLTLNVAMLRASKCSNS >Al_scaffold_0004_2365 pep chromosome:v.1.0:4:18400358:18401253:-1 gene:Al_scaffold_0004_2365 transcript:Al_scaffold_0004_2365 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT2G38050) TAIR;Acc:AT2G38050] MEEIADQTFFHYCLLTLIFSGPPTAVALKFLQAPYGKHNRTGWGPTVSPPIAWFVMESPTLWLTLLIFPFGRHALNPKSLLLFSPYLLHYFHRTIIYPLRLFRSSSAGKNGFPITIAAMAFTFNLLNAYIQARWVSHYKDDYEDGKWFWWRFIIGMLVFVAGMYINITSDRTLVRLKKENRGGYVIPRGGWFELVSCPNYFGEVIEWLGWAVMTWSWAGIGFFLYTCSNLIPRAHASHKWYIEKFKEEYPKTRKAVIPFVY >Al_scaffold_0004_2376 pep chromosome:v.1.0:4:18472232:18477297:-1 gene:Al_scaffold_0004_2376 transcript:Al_scaffold_0004_2376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKT7] MENDNCNKKSLSEVLDVRQFRHTNSPVFSTAIFGVIVLLVVAGTIVSNMSLESTFFWSSPTSEVIQINKMERKSLAPPKNSTSRERIAWLRSHLTEFEIFGSTNLSEQFHQRVVDSLDDKSEFFGKREILAVESVFKSHPQGCLMIVSGSLDSQQGDSILKPLIDRGYKVFAATPDISLLLENTPAKSWFQEMKSCKRDPGKIPLQQNLSNLARLAILYKYGGVYLDTDFIVTRSFKGLKNTIGAQTVVEGDSKNWTRLNNAVLIFEKDHPLVFSFIEEFASTFDGNKWGHNGPYLVTRVAQRARETTGDNFTVLPPVAFYPFTWLNIPRLFQTPRSSNDSRILKTDLVKLNRESYGLHLWNKITRKLKIESPNSTVSDNNPPFKPNVPLPRPYGPMSSSCNINSVLDSEYNEKELDPLVPPRKASKNERIDWFRRKLPELEILKSTTKNKRFHKRVLDLYINNCSAQFFMIWLSPAKSFGPREMLAVDTLFTTNPGACLAILSNSLDSPRGYTILKPLLDRGFNLIAVTLDIPFLVKNTPAEAWLKRLKSGHMDPGSIPLFMNLSDLTRLAVLYKYGGVYLDTDIIFLNDMTGLRNAIGAQSIDPGTKRWTRLNNAVMVFDIYHPLMREFLQEYATTFDGNRWGYNSPYLVSRVIKRLGNKPGYNLTIFSPDAFYPVNWIKIQKLFKKPATTREAKWVEKTVQDMNKGSYMIHLWNKVTRKIKIEEGSVMHTLISTHCTVCRNITNSHT >Al_scaffold_0004_2382 pep chromosome:v.1.0:4:18511897:18512997:1 gene:Al_scaffold_0004_2382 transcript:Al_scaffold_0004_2382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38250) TAIR;Acc:AT2G38250] MDGHQHHHLHQLQYLNKHHLHHPQSQTPEIASPVAVGDRFPQWSVEETKELIGIRGELDQTFMETKRNKLLWEVISNKMRDKSFPRSPEQCKCKWKNLVTRFKGCETMEAETARQQFPFYDDMQIIFTTRMQRMLWAESEGGGGGTSGTARKREYSSEEDQEENVNEELLDVSNDPKILNPKKNIAKKRKGGSNSSSINIGVREVLEEFMRHQVRMESEWREVWEAREKERAEKEEEWRRKMEELEKERLAMERMWRDREEQRRSREEMRAEKRDSLINALLAKLTRDGSL >Al_scaffold_0004_2384 pep chromosome:v.1.0:4:18529104:18529463:1 gene:Al_scaffold_0004_2384 transcript:Al_scaffold_0004_2384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LLG8] LKKKLNEEREEVRVRFVKEGETDERDLENNAIGMLNEVQRLSREAQELKKTGENAQSEVVKAMEIETTSDKIRTAKIRLVAARKMKEAARAATRLLVETYRKKNVRKNRKKLLIINFTL >Al_scaffold_0004_2389 pep chromosome:v.1.0:4:18568030:18569366:-1 gene:Al_scaffold_0004_2389 transcript:Al_scaffold_0004_2389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLH4] MNRKQKTSVATKMVDNFLPSGSSVLSPTLGAGFADQAGKAIQGFMYDGEPKTENLCTGNFSPSGSSVLSPTLGAGFADQAGKTTQCNSISRFSQIVCFGNVRFND >Al_scaffold_0004_2390 pep chromosome:v.1.0:4:18574388:18574740:1 gene:Al_scaffold_0004_2390 transcript:Al_scaffold_0004_2390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLH6] MEDDALASADENHIGDGDVPPITGGPDVDESQSSHQINVVATEIEVNLIHSVVYNVDEERQSLSNQNNTELLILMMIKYQFYGLMRRDFSKSN >Al_scaffold_0004_2392 pep chromosome:v.1.0:4:18585624:18585976:1 gene:Al_scaffold_0004_2392 transcript:Al_scaffold_0004_2392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLH6] MEDDALASADENHIGDGDVPPITGGPDVDESQSSHQINVVATEIEVNLIHSVVYNVDEERQSLSNQNNTELLILMMIKYQFYGLMRRDFSKSN >Al_scaffold_0004_2400 pep chromosome:v.1.0:4:18632469:18638469:-1 gene:Al_scaffold_0004_2400 transcript:Al_scaffold_0004_2400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF827) [Source:Projected from Arabidopsis thaliana (AT2G38370) TAIR;Acc:AT2G38370] MTDIPEPGSVIEPVTLNPDSDLSNGRTEIDTSAPFESVREAATRFGGFGFWRPSHNKLPEASQIDTSAPFESVREAATRFGGFGFWRPSHNKLPEASQEKVEETDIIELKAQASELQRDLIVKERETLEMLKELEATKATVLKLQQRNEVYEEETAALEKTRERLMQKSLKVISLEEEEVRVRFAKEGETDEKDLENNALGMLNEIQRLSREAQEVKITGENAQSEVVKAMAEIESTRDKIRTAKIRLVAARKMKEAARAAEAVAIAEIEAVTGSINVEKAEAVTISAEEYALLACSAREAEEEARKRVEDAMSRVEEANVSKMNVLKKVDEAAEEIETSKRVLEEAVERVDAANASKLDAEEALRNWRSENGQRRRLSSSVNNTSKFKSKRESTRLMDVNGLHLTYDVVHGSSSSSVPVLKPTMSIGQILSKKLLLAEDSDMNVANERRKMSLGQMLAKNSNSDKTVSKRSEGKENGKRSTTRKRKSFGFAKISVLLNKESKNKKKKKKIALNLR >Al_scaffold_0004_2404 pep chromosome:v.1.0:4:18652722:18655736:-1 gene:Al_scaffold_0004_2404 transcript:Al_scaffold_0004_2404 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS/GAT family protein [Source:Projected from Arabidopsis thaliana (AT2G38410) TAIR;Acc:AT2G38410] MASSSASATVAVDKATSDLLLGPDWTTNMEICDSVNSLHWQAKDVVKSVKKRLQHKSPRVQLLALTLLETLVKNCGDYLHHQVAEKNLLGEMVKIVKKKADMQVRDKILVMLDSWQQAFGGPEGKYPQYYWAYDELRRSGVEFPRRSPDASPIITPPVSHPPLRQPQGGYGVPPGGYGVPQAGYGVPQAGYGVHQAGYGVPQAGYGIPQAGYGVPQAGYGIPQVGYGMPSGSSRRLDEAMATEVEGLSLSSLESMRDVMDLLSDMLQAVDPSDRAAVKDEVIVDLVERCRSNQKKLMQMLTSTGDDELLGRGLDLNDSLQILLAKHDAIASGSPLPVQASGSPLSVQASKPADSSPKSSEAKDSSSIAGSSSPIPATVSTGKSPIDEEYEDEEDEFAQLARRHSKPPASVITDPTSSESHNAASNALALALPDPPPPVNTTKEQDMIDLLSITLSKPSTPPPPSSQPSPPPPAVSDQNTHIYPQPPPRFDSYVAPWAQQQQPQPQQPQAQQGYSQYQQQQGYSQLQQPQPQQSYSQSQPQAQVQIQPSTRPQNPYEYPPPPWASTSANAYYTPRANASASYTDTSALAGRSLQQSNSFPTRAGDPQATSTASNPGVSVGQKPFVPSYRLFEDLDVFGSADGKNKPTNSSNGSQSLSGSQTQQSMIGGRKMI >Al_scaffold_0004_2413 pep chromosome:v.1.0:4:18687217:18689717:1 gene:Al_scaffold_0004_2413 transcript:Al_scaffold_0004_2413 gene_biotype:protein_coding transcript_biotype:protein_coding description:iron regulated 1 [Source:Projected from Arabidopsis thaliana (AT2G38460) TAIR;Acc:AT2G38460] MENETELRVVHQEEEQQQREEGEEEAQPQNPPPLRRRFVIYLYVGYFLARWSARTWEFSVALYMIHLWPNSLLLAAIYGAIESGSTAIFGPIVGQWVEGMDYVKVLRLWLLFQNLSYTIAGGAVIKLLLVSDLKSRNLAVFAILVVLTNVAGAIGVLSTLAGTILIERDWAVVMSEGHPPAVLTRMNSVIRGIDLSSKLLSPVITGLIISFVSLKASAITFAAWATITAWVEYWLFISVYSGVPAIARSNERRILRSRTKQVEGTDAPVSVSNAPGTEESSTGNPPCRTGIRKILNRVSKSSFVSAWRIYFNQEVVLPGVSLPLLFFTVLSFGTLMTATLQWEGIPTYIIGIGRGISATVGLAATLVYPLMQSRLSTLRTGLWSFWSQWSCLLVCVGSIWVKKDKIASYMLMAGVAASRLGLWMFDLSVIQQMQDLVSESDRCVVGGVQNSLQSALDLMAYLLGIIVSNPKDFWILTLISFSTVSLAGMLYTIHLYRIRNHIFHFEKIPLLNKCLFKLLPTRGNM >Al_scaffold_0004_2419 pep chromosome:v.1.0:4:18703477:18703659:-1 gene:Al_scaffold_0004_2419 transcript:Al_scaffold_0004_2419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LBN7] IVLTFFKKSNHDDVVTKKKRPKLKFKSDGGCTDDVDGLRIPPRFTVLKTVSLLLNKTMVT >Al_scaffold_0004_242 pep chromosome:v.1.0:4:1348600:1350236:-1 gene:Al_scaffold_0004_242 transcript:Al_scaffold_0004_242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE58] MSILHHQESLVNYFMEILTLHSSMVILDVQRSEQRHCSVSSGVDLAADVVETLRLWRKLVARRWVMLFDAVVNGGFSGSAWLTRSSIPEAWMNHHRLDLSRLVSIDPVAVVMLGLIREICSGKEGVRFQWIGLLGRDPTSEEPPVAGG >Al_scaffold_0004_2420 pep chromosome:v.1.0:4:18704159:18705534:-1 gene:Al_scaffold_0004_2420 transcript:Al_scaffold_0004_2420 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38500) TAIR;Acc:AT2G38500] MALMRTRSQLNVSSLTPPPPPPSPIPRARGSRCAASEILTEIIERSVQVPELTLPESHSGGESCGSRHLIPAEIDFRLLASRREGSVDRLVRSAREFGAFRVSYHGISGEELRSLVRESGRVFGVLEGRDTGFHRSVVGNRDEIVWVRSWKERMEWAREYIGPERYRCFSQEMENVADKLEEIARKLGQIMVENSRKQSDKRIQRGESVLSVYRYNHENVTEQSPPLPKERTEEMLHYTFSLHLPAKNCEFRVNSGKGPLSFHADPDTILVTFGRQLEDWSLGEFKCRQGEIIYHPDAYGSPTSFSVELKCMSLFLSHTSIATTSKTFSLTHQIFTAFLLLFFFQCFWIYGSKVAP >Al_scaffold_0004_2440 pep chromosome:v.1.0:4:18774362:18775126:-1 gene:Al_scaffold_0004_2440 transcript:Al_scaffold_0004_2440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBQ7] MMMEEQLQERNHNLSLEDIDLSLLRLTSPRDYYSPSSSLFSAEEITPPLKRASPVSDESDLPKRRKISPQNPIFVTSPRLFISPETQTSSVHDPTRYTNLTSSVSEEQETTPSDPDTETMKMVNNCVEKMKRGETNYAYEKEQEEVEEEEECGGGMRIERSGDGFVIRLKCKCRQAFRVLFSDDHLYFKPL >Al_scaffold_0004_2441 pep chromosome:v.1.0:4:18775369:18777744:1 gene:Al_scaffold_0004_2441 transcript:Al_scaffold_0004_2441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBQ8] MSVAGVHRLTLSLPPQSLLPYSFSVVHHSHRLVTSFSHNATNKSDAESKPSYDEGEMLDKNRISRRNPFVSEKLLKKLKRYGVSGILSYGILNTVYYSKAFLLVWFYVAPAPGKMGYLAAAERFLKVMAMVWAGSQVTKLIRIGGAVALAPIVDRGLSWFTVKCKFESQGKAFGALVGICLGLALMLFVVVTLLWS >Al_scaffold_0004_2444 pep chromosome:v.1.0:4:18790317:18794696:1 gene:Al_scaffold_0004_2444 transcript:Al_scaffold_0004_2444 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated protein 65-5 [Source:Projected from Arabidopsis thaliana (AT2G38720) TAIR;Acc:AT2G38720] MSPSSTTTCTSLLEELQIIWDEIGESYNERDKMLLELEQECLDIYNKKVEKTRKYRAELQRSLAQAEAEIASLMSALGEKVSFPKKEGSLKEQISAVKPVLEDLLMKKDRRRKELSETQTQIEEISSNIAGNDYTVCSGPEIDESDLTQRKLDELRERLQDLRNEKAVRLQKVNSYISAVHELSEIMSFDFSKALNNVHSSLADFSKTHSKSISNDTLARLTELVKSLKEEKHERLLKLQGLGRSMQELWNLMETPMHERRRFDHCSSLLSGPPDEAMKKGCLGLDIIREAEDEVKRLNALKSSKMKELVFKRQCELEEICRGNHMDINSDAARKSLVDLIESGDGDLSDILARIDGQIEKAREEALSRKEILDKVDKWRHAKEEETWLDDYEKDENRFSAVRGAHKNLKRAEKARSLISKIPAMVDVLTTKVKAWEKERGVPFLCDKQPLLQTLEEDIVIRAQREEEKRQFREQKRLQGQLATEKEAKYGSKSAKKKQLGQSLNTDNVTKTPIGRRIGNTPGRSATSGGKDYRGNAVIPLNYVALQKDD >Al_scaffold_0004_245 pep chromosome:v.1.0:4:1368602:1372593:1 gene:Al_scaffold_0004_245 transcript:Al_scaffold_0004_245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation transporter/ E1-E2 ATPase family protein [Source:Projected from Arabidopsis thaliana (AT2G22950) TAIR;Acc:AT2G22950] MESYLNSNFDVKAKHSSEEVLEKWRNLCSVVKNPKRRFRFTANLSKRYEAAAMRRTNQEKLRIAVLVSKAAFQFISGVSPSDYKVPEEVKAAGFDICADELGSIVEGHDVKKLKFHGGVDGLSGKLKACPNAGLSTGEPDQLNKRQELFGINKFAESELRSFWVFVWEALQDMTLMILGVCAFVSLIVGIATEGWPQGSHDGLGIVASILLVVFVTATSDYRQSLQFRDLDKEKKKITVQVTRNGFRQKMSIYDLLPGDVVHLAIGDQVPADGLFLSGFSVVIDESSLTGESEPVMVTAQNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAIVTFAVLVQGMFMRKLSLGTHWWWSGDDALELLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKSCICMNVQDVASKGSSLQSEIPEVALKLLLQSIFNNTGGEVVVNERGKTEILGTPTETAILELGLSLGGKFQEERQSYKVIKVEPFNSTKKRMGVVIELPEGGRIRAHTKGASEIVLAACDKVINSSGEVVPLDDESIKFLNVTIDEFANEALRTLCLAYMDIENGFSADEGIPARGFTCIGIVGIKDPVRPGVRKSVELCRRAGIMVRMVTGDNINTAKAIARECGILTDDGIAIEGPVFREKNQEEMLELIPKIQVMARSSPMDKHTLVKQLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNNELMKRMPVGRRGNFITNAMWRNILGQAVYQFIIIWILQAKGKSMFGLVGSDSTLVLNTLIFNCFVFCQVFNEVSSREMEEIDVLKGILDNYVFVVVIGATVFFQIIIIEFLGTFASTTPLTIVQWFFSIFVGFLGMPIAAGLKKIPV >Al_scaffold_0004_246 pep chromosome:v.1.0:4:1410264:1411207:-1 gene:Al_scaffold_0004_246 transcript:Al_scaffold_0004_246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEM1] MDEGGVFSSCGKVPCHVGTNLKSSRFRFLISDHLDVNALDVQLKKCRGVSQRFFISNTDGSLPYSFAGYLFFNIKKEDIEFVNEKTAAKYGLVAAPIIVEKTASSTMVAEPVVVKACEPILEKQQRELFK >Al_scaffold_0004_2464 pep chromosome:v.1.0:4:18859446:18860137:1 gene:Al_scaffold_0004_2464 transcript:Al_scaffold_0004_2464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease inhibitor, potato inhibitor I-type family protein [Source:Projected from Arabidopsis thaliana (AT2G38900) TAIR;Acc:AT2G38900] MATQWCPYIGKNSWPELLGTNGDYAASVIKGENSSLQVNVILVGTPVTPDLRCDRVRVWVNESRLVVKNPTAG >Al_scaffold_0004_2480 pep chromosome:v.1.0:4:18950632:18952396:1 gene:Al_scaffold_0004_2480 transcript:Al_scaffold_0004_2480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7LCE6] MEFPHHHQHQRHHQRDDGEDDRHSFGQPPPRVDAPPQPHGLYQSQPHFDPYAPTPSPAPYRSEPQFEPHAPPPYRSEPYFEAPAPPPAFGHVSHVGHQSSNESYPPEHHRYGGYPPPSNSILESHGDHSGVTHVAHHSSNQPQSSPGVYHRPDENRLPDNLAGLAGRATVKVYSKAEPNYYLTIRDGKVILAPADPSDEAQHWYKDEKYSTKVKDADGHPCFALVNKATGEAMKHSVGATHPVHLTRYDPDKLDESVLWTESKDLGDGYRTIRMINNTRLNVDAYHGDSKSGGVRDGTTIVLWDWNKGDNQRWKIFPFCKLFLTVSA >Al_scaffold_0004_2488 pep chromosome:v.1.0:4:18977732:18981303:-1 gene:Al_scaffold_0004_2488 transcript:Al_scaffold_0004_2488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCF3] MNHVPSDQSFYIESEDEDDRKDYVEEDGGSHSDSSDAYDENQAHTKPSSYTTAWPQSYRQSIDLYSSVPSPGIGFLGNNSMTRFGSSFLSSSLIRRHTPESLPAVTKPLLETQADEQAPPKHRLSSHGLLSPIPSRRHSMRKDEKSSMVSHEIPMSRNSSYGQAVLNGLNVLCGVGILSTPYAAKEGGWLGLMILFVYGLLSFYTGILLRYCLDSESDLETYPDIGQAAFGTTGRIFVSIVLYLELYACCVEYIILESDNLSSLYPNAALSIGGFQLDARHLFALLTTLAVLPTVWLRDLSVLSYISAGGVIASVLVVLCLFWIGLVDEVGIHSKGTTLNLSTLPVAIGLYGYCYSGHAVFPNIYTSMAKPSQYPAVLLTCFGICTLMYAGVAVMGYTMFGESTQSQFTLNLPQDLVATKIAVWTTVVNPFTKYALTISPVAMSLEELIPSRHIRSHWYAIGIRTALVFSTLLVGLAIPFFGLVMSLIGSLLTMLVTLILPPACFLSIVRRKVTPTQMMLCVLIIIVGAISSVIGSYSALSKIVEKLSS >Al_scaffold_0004_2495 pep chromosome:v.1.0:4:19027304:19029283:-1 gene:Al_scaffold_0004_2495 transcript:Al_scaffold_0004_2495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCG0] MVAASPGGSMKSLTIQILTGRWFMFFGSLLIMSTAGATYMFGIYSGDIKKTLGYDQTTLNLLSFFKDLGANVGVLAGLLNEVTPPWFILLIGGILNFFGYFMIWLAVTERISKPQVWHMCLYICVGANSQSFANTGSLVTCVKNFPESRGVVLGILKGYVGLSGAIITQLYRAFYGEDTKELILMIGWLPAIVSFAFLRTIRIMKVKRQTNELKVFYNFLYISLGLATFLMVVIIINKLSGFTQSEFGGSAAVVIVLLLLPIIVVILEEKKLWREKQVALNDPAPINVVTEKPKLDSSEFKDDDEETKEEEEKVKTASCWRTVPDNTICGVGGTLTAIDNLGQIGDSLGYPKRSVSTFVSLVSIWNYYGRVVSGVVSEIFLIKYKFPRPLMLTMVLLLSCAGHLLIAFNVPGGLYVASVIIGFCFGAQWPLLFAIISEIFGLKYYSTLYNFGSVASPIGSYLLNVRVAGYLYDVEAGKQYKALGKTRVEGQDLNCIGTSCFKLSFIIITAVTLFGVLVSMVLVIRTKKFYKSDIYKKFREKALAAEMEMAAPAAARSTVAEEDKDGVKGKVIGKGG >Al_scaffold_0004_2504 pep chromosome:v.1.0:4:19069587:19071215:1 gene:Al_scaffold_0004_2504 transcript:Al_scaffold_0004_2504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LD26] MLRSGLASLIVDVNLRRTLRPSPTFSFPAHLSRCIITSRYSSRTALRFPIRISLHNHRLSYFSSSSSSEQGRPTSSSRNSFSGHGQLDGDDNSSPPPSQSSSKVLTLPTVLTLGRVAAVPLLVATFYVDSWWGTTATTSIFIAAAITDWLDGYLARKMRLGSAFGAFLDPVADKLMVAATLILLCTKPIEVAELGPVPWLLTVPSIAIIGREITMSAVREWAASQNGKLLEAVAVNNLGKWKTATQMTALTILLASRDSNVGWLVASGAGLLYVSAGLSVWSLAVYMRKIWKVLMK >Al_scaffold_0004_2505 pep chromosome:v.1.0:4:19072103:19073401:-1 gene:Al_scaffold_0004_2505 transcript:Al_scaffold_0004_2505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LD27] MNDLVVPADLVEEICLRLPLKSLLKFKTVSKQWRSILVSRSFAKRRRMIMNTVLNKPQILAAAGNHRTVGRLNDDEEEVEMFYLHCDAAATRPSLTCDGLVCIPLPGWINVLNPSTGELLSFPSGPDPVKTDRYDRLYSDDSWFDIFPGYWAMGFGRDEVNGSYKVVRMFFDTKQSEILDLNVGEWRILPSPPPYYVEARRKSACVNGSIYWLQYIPGFKILALDLHTEEFRDVPPPPAPAQPGQLVNLEDRLAIAIANAPPNYWELKIWTMDVEDETWSKTYTIYLFSRGLDPITWRLWCRPVAVSKKGNLFFHDSQNRLFKYYPQADTVRCISSDIRVISPFSENLFPLRHLDSAPGIRTFGFQQLMPGSWISRMSRRIELQIADILFTTAVAASVIFLATRS >Al_scaffold_0004_251 pep chromosome:v.1.0:4:1466640:1468274:1 gene:Al_scaffold_0004_251 transcript:Al_scaffold_0004_251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEM4] MVVWTSVKAQHLKTEIAGLFRNNQGVCLVKVSYQILKRSQGLQVYGDQRFEHRSSMSEWQLGQSKDGEKQGHQEVTNKFSTKDGETSCVSKSRCDRHKPVGQVCVLKTEVREQVKMSERFKHNHVATGVKNKVLMYSKISASRIQSLAQSNP >Al_scaffold_0004_2518 pep chromosome:v.1.0:4:19129577:19132211:1 gene:Al_scaffold_0004_2518 transcript:Al_scaffold_0004_2518 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39410) TAIR;Acc:AT2G39410] MASETEDIKYEESFIKNTRGFKLFTCRWIPANQEPRALVFLCHGYGMECSITMNSTARRIVKAGFAVYGMDYEGHGKSDGLSAYIPNFDHLVDDVSTHYTTICEREENKWKMRFLLGESMGGAVVLLLRRKNPDFWDGAILVAPMCKIAEEMKPSPFVISILTKLISIIPKWKIIPSQDIIEISYKEPEIRKQVRENPLCSKGRPRLKTAYELLRISNDLEKSLKEVSLPFMVLHGGDDKVTDKAVSQELYKVALSADKTLKLYPGMWHGLLNGETPENIEIVFADVIGWLEKRSDYGNDRFESELKQNNDGFNFKE >Al_scaffold_0004_252 pep chromosome:v.1.0:4:1469042:1469806:-1 gene:Al_scaffold_0004_252 transcript:Al_scaffold_0004_252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEM5] MRNTMRGRKDRHERITKVFKAAYSRSRRREKRRTAKKLASPVCSICMQKLDNSKGPDQYQTKVDDIQVGMLCTWLAIAKQLQSISKRQN >Al_scaffold_0004_2538 pep chromosome:v.1.0:4:19214730:19215428:-1 gene:Al_scaffold_0004_2538 transcript:Al_scaffold_0004_2538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 family protein [Source:Projected from Arabidopsis thaliana (AT2G39590) TAIR;Acc:AT2G39590] MCVEAIMVRASVLNDCLKSMSNAEKRGKRQVMIKPSSKVIIKFLTVMQKHGYIAEFEYVDDHRSGKIVVELNGRLNNCGVISPRYDVVVTEIEGWTAKLLPSRQFGYIVLTTSAGIMDHEEARKKNVGGKVLGFFY >Al_scaffold_0004_2566 pep chromosome:v.1.0:4:19359789:19361235:1 gene:Al_scaffold_0004_2566 transcript:Al_scaffold_0004_2566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 6 [Source:UniProtKB/TrEMBL;Acc:D7LDT0] MMSLQYDNNNCEIGVFSKLTNAYCLVSATSASANLFTGYESKLKGVIPIVTTSIGGSGTIGSLCVGNKNGLLLSHTITDQELQHLRDSLPDEVVVQRIEEPICALGNAIACNDYVALVHPKLENNTEEIISDVLGVEVYRQTIANNELVGSYCSLSNNGGMVHSNTKVEEMVELANLLQVPLVAGTMNRGSQVISAGLTVNDWTAFCGSDTTAVELSVVNGIFKLVQSQPDFVVSEMRKSLIDTYV >Al_scaffold_0004_2572 pep chromosome:v.1.0:4:19391606:19393151:-1 gene:Al_scaffold_0004_2572 transcript:Al_scaffold_0004_2572 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 25 [Source:Projected from Arabidopsis thaliana (AT2G39880) TAIR;Acc:AT2G39880] MNSEISQPPELMPSLNPFSGVDISEDTINAAVEAELAELAKSDSNGGGKSKVKGSWSPEQDEALTRLVKKCGPRNWTLMSRGIPGRSGKSCRLRWCNQLDPNLKRKPFSDEEDHMIMSAHAVLGNKWAVIAKLLPGRTDNAIKNHWNSSLRRKPADLWKVPLLMSYTEEIYQMHPSKVRKISNASTKEEHLPREETDLVTPPVLNDEANEPPREQKSKPDIYRPVARIGAFSVCKPGYMNDHMAPCEGSLVQASRSDSLAGKFLQSLCYDPIIPSKCGHGCCNHQDNKTMSSSCNSVLGPEFVDYEERSSAELDQELISISTDLNNIAWTRSGINNNYFKEAEPSLKADDQFGREYAQAKFTGMVNNGVSSQMLRQDLRALS >Al_scaffold_0004_2584 pep chromosome:v.1.0:4:19454220:19454994:1 gene:Al_scaffold_0004_2584 transcript:Al_scaffold_0004_2584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LDV0] FPQFSELTKNLRYKLAERAAGGILFELIAQSFNDQAISENCKPACFRLWQAKNFLVSVTDLTENELNNVPGIKALKDVMYDKNYH >Al_scaffold_0004_2589 pep chromosome:v.1.0:4:19473783:19477013:-1 gene:Al_scaffold_0004_2589 transcript:Al_scaffold_0004_2589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEE3] MAEEEKKPKPIYLFPDLLEEILLRLPLQSILNFKIVAKQWRSILESRRFAERRVEIQKNRKILAAVDHEPESRFEGDEEIEVFYLHCDCDDVATRPSLTCDGLVCIPVPGWVNVLNLSTGESIGFPSGPDPVTNYYDYQLASGYWWNTFPAFWAMGFGKDIVNGSYKVVRMFFDPNHYCEILDVNIGEWRKLLNPPPYKVDARRKSACVNGSIYWLELHDKLCVLALDLHTEVFRVIPSPPICSESDQVVNLENRLAIAKTNTWSDWKLEIRCLDAQEKTWSMTYTISLTSIATPRPWRVWFRPMAVSKQGNLFFYDSKKRLFKYYPETSSLRCLSLDICVISPFVENLVSLRPSGSYVPKTSGSRPSGFPNIILDQEKVKDKQLIVPTAQTTILQQL >Al_scaffold_0004_259 pep chromosome:v.1.0:4:1567816:1568013:-1 gene:Al_scaffold_0004_259 transcript:Al_scaffold_0004_259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEN2] MTLRSEEERRTEPPMLQTNETHEQREEEKPHKEAVARTATPSTPSTLRHVDSGLLEANLSRSETR >Al_scaffold_0004_2606 pep chromosome:v.1.0:4:19552740:19552958:-1 gene:Al_scaffold_0004_2606 transcript:Al_scaffold_0004_2606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LEG1] GKAKKVVETARTSNIQGIHLPKEQIKHLFSLLSKIYVKTNFLANDLLLFQELFHNDLLSSTIYKQISFPILT >Al_scaffold_0004_2615 pep chromosome:v.1.0:4:19594652:19596176:1 gene:Al_scaffold_0004_2615 transcript:Al_scaffold_0004_2615 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C5 [Source:Projected from Arabidopsis thaliana (AT2G40180) TAIR;Acc:AT2G40180] MQLSKNPIKQTRNREKKHTDDFAMKRSVIMAPESPVFFPPPLVFSPTSVKTPLSSPRSAPPKLTMVACPPRKPRETTTKGSGSDSETVLKRKRPPMLDLTAAPMVASWCSTTRETAEKGAEVVEAEEDGYYSVYCKRGRRGPMEDRYFAAVDRKDDGAVKKAFFGVFDGHGGSKAAEFAAMNLGNNIESAMASARSGEEGCSMERAIREGYIKTDEDFLKEGSRGGACCVTALISKGELAVSNAGDCRAVMSRGGTAEALTSDHNPSQANELKRIEALGGYVDCCNGVWRIQGTLAVSRGIGDRYLKEWVIAEPETRTLRIKPEFEFLILASDGLWDKVTNQEAVDVVRPYCVGVENPMTLSACKKLAELSFKRGSLDDISLIIIQLQHFLP >Al_scaffold_0004_2617 pep chromosome:v.1.0:4:19600593:19602321:1 gene:Al_scaffold_0004_2617 transcript:Al_scaffold_0004_2617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEH0] MAGKVGNGDGATASVRNPSHYFSNHRRKIKRARVSSEDLDSISSLPDEILQVILSFIPTKAAIRTSVLSRRWRHIWCNIPSLSFFQEGCTNARESIEKILSRYTARKMMSFELRFNWNYYYPYVYSWIEFSMNRNVENLSLTLCIEDIPDIFYINSSVKQLYVKSGYTILDPKCSVSWTSLKILSLHTCNIYDEPFAKILSGSPNLETLRLYFCDELCVLDLSKSPHLKTLEIESEHWLQGAKIVAPHIHSLRLRFTISDFPYTLVDVSSLTEAELDIDSVSTEKLNSSFLQTIVLKILEKVQNVEKLTLGGNFLKALSHADLHGFPFPKFKAKVLTLDTTISRCVISGIVRVLQNSPELKKLTLRTMDCDAIKEENLDSHLDLYCWNPYLCLEARTLEKMVVRLGPYRNTRGFKELLQMVPMLSHDNNVSIVLSATKSRIRETKWSNGHFIYYN >Al_scaffold_0004_2621 pep chromosome:v.1.0:4:19615731:19616963:-1 gene:Al_scaffold_0004_2621 transcript:Al_scaffold_0004_2621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40220) TAIR;Acc:AT2G40220] MDPLASQQHHHHHLDDTDQTLTHNNPQSDSTTDSSTSSAQRKRKGKGGPDNSKFRYRGVRQRSWGKWVAEIREPRKRTRKWLGTFATAEDAARAYDRAAVYLYGSRAQLNLTPSSPSSVSSSSSSVSATSSPSTSSSSTQTLRPLLPRPAAATVGGGATFGPYGIPFNNNIFLNGGTSMLCPSYGLLPHQQQQQQNQMVQVGQFQHQQYQNLHFSTNNNKIGEMELTDVPVVNSTSFHHEVALGQEQGGSGEFVKEITVPIKYIYVEESRRRWWKVVWEFYWFNPSFTVYLI >Al_scaffold_0004_2627 pep chromosome:v.1.0:4:19652926:19654401:-1 gene:Al_scaffold_0004_2627 transcript:Al_scaffold_0004_2627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEI0] MSKTSESSDTTPAEVLAAVPDEMLPIFEQILALTDPQLQPLVAEVFRIVPHDILLSIMRTKPDSKVRVTEYIDNSSGDIKHVRFASYIKRRIFPVCLDDVDWAIIMGIVANQLDHALAMFFPEYNEIGENIYRGPVLQRSRLVGLHAVSLVAADEENGERYVVARSSHGDKFGKNGYMKISLDVMLVYVPTPGEKIDILANKYFAKPSPLLRRFSYPRLLSLEEEERRKKMHGAS >Al_scaffold_0004_2630 pep chromosome:v.1.0:4:19660121:19661066:-1 gene:Al_scaffold_0004_2630 transcript:Al_scaffold_0004_2630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LF19] IFPVCLDDVDWAIIMGIVANQLDHDTIEEALLQLKHQPIGAAVAMFFPEYNEIGENIYRGPVLQRSRLVGLHAVSLVAADEENGEKYVVARSSHGDKFGKNGYMKISIDIMLVYVPTPGEEINTKANTYFAEPSPLLRRFSYPRLLSREEEERRKKRHGASKLN >Al_scaffold_0004_2632 pep chromosome:v.1.0:4:19668657:19670550:1 gene:Al_scaffold_0004_2632 transcript:Al_scaffold_0004_2632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LF22] MAYIVFAFKALCLSLLFIVVASRSTVRPKVFNVQRHGSKPDGKTDNANAFTSVWSRACRRKSGSSKIYVPKGTFYLGGVEFVGPCKNPIEFIIDGTLLAPANPSDIKQDTWINFRYINDLSISGSGTLDGQGKQSWPLNDCHKNPNCPKLAMVTFPPGDSPNTDGIKMGSCSNIHISNTNIGTGDDCIAILSGTTNLDISNVKCGPGHGISVGSLGKNKDEKDVKDLTVRDVFFNGTSDGIRIKTWESSASKILVSNFVYENIQMIDVGKPINIDQKYCPHPPCEHEQKGESHVQIQDLKLKNIYGTSKNKVAMNLQCSKSFPCKNVELIDINIKHNGLEGGSSTAVCENVDGSVRGKMVPQHCLN >Al_scaffold_0004_2636 pep chromosome:v.1.0:4:19685065:19686563:1 gene:Al_scaffold_0004_2636 transcript:Al_scaffold_0004_2636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LF26] MASIAFACKALCVSLLFIVVASRPTGRPKVFNVQRHGSKPDGKTDNANAFTSVWSRACRRESGRSKIYVPKGTFYLGGVEFVGPCKNPIEFIIDGTLLAPANPSDIKQDTWINFRYINNLSISGSGTLDGQGKQSWPLNDCHKNPSCPKLAMTMGFAFVNNSNIKDITSLNSKMGHFNFFSVHHFNITGVTIAAPGDSPNTDGIKMGSCSNIHISNTNIGTGDDCIAILSGTTNLDISNVKCGPGHGISVGSLGKNKDEKDVKDLTVRDIVFNGTSDGIRIKTWESSASKILVSNFVYENIQMIDVGKPINIDQKYCPHPPCEHEKKGESHVQIQDLKLKNIYGTSKNKVAVNLQCSKSFPCKNVELIDINIKHNGLEAGSSTAVCENVDGSVRGKMVPQHCLN >Al_scaffold_0004_2647 pep chromosome:v.1.0:4:19733178:19735024:-1 gene:Al_scaffold_0004_2647 transcript:Al_scaffold_0004_2647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LF38] MGDQLVKAKEFEKKADKKLNGWCLFGSKYDDAAQLLGDAANSYKLAKSWDQAGKANVKRANCFLKSENKHDAANAYTEAAKNYKKVNTNEAASCLEQAVNIYCEIGRLSMAARYYKEIAEYYESDQNIKQAITYFEKAAEFFQSEEVSSSANQCKLKIAQYAAQLQQYEKAIKMYEEVAQYSLNNNLLKYGVKGHLLNAGICHLCKGDVVSITNALEKYQDLDPTFSGTRECKFLANIARTIDEEDVAQFTDVVKEFDSMTPLDTWKATLLLRVKEKLKAKELEDDDLT >Al_scaffold_0004_265 pep chromosome:v.1.0:4:1595431:1596030:-1 gene:Al_scaffold_0004_265 transcript:Al_scaffold_0004_265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LEN7] TGVDPSVSPADVVGGSVPLEADNTNVITIRKDEITHLLALPAQNRIIPTSQVSVPIKEDSSWTQVSSKPAAKNHVVKKQLVTTKRNYFSCLANLEDAPASSSSLMEDYPSSNLVVSPNFTISNTQASPQTQTHKPFQPSITITQPQNSFEPPAPLTSFSNTTSKSSHMPDLSLPILSIPNAFRFTQSSGSSLSKGESHS >Al_scaffold_0004_2653 pep chromosome:v.1.0:4:19757491:19761416:1 gene:Al_scaffold_0004_2653 transcript:Al_scaffold_0004_2653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40460) TAIR;Acc:AT2G40460] MEAAKVYTQDGTVDLQGRPVLASKTGRWRACSFLLGYEAFERMAFYGIASNLVNYLTTRLHEDTISSVRNVNNWSGAVWITPIAGAYIADSYIGRFWTFTASSLIYVLGMILLTMAVTVKSLRPTCENGVCNKASSLQITFFYVSLYTIAIGAGGTKPNISTFGADQFDNYSIEEKKQKVSFFNWWMFSSFLGALFATLGLVYIQENLGWGLGYGIPTVGLLVSLIVFYIGTPFYRHKVIKSDNLAKDLVQVPIEAFKNRKLQCPDDLMELYELDSHYYKSNGKHQVHHTPVFRFLDKAAIKTSSRILPCTVTKVEVAKRVLGLIFIWLVTLIPSTLWAQVNTLFVKQGTTLDRKIGSNFQIPAASLGSFVTLSMLLSVPMYDQYFVPFMRKKTGNPRGITLLQRLGIGFAIQIVAIAVASAVEVKRMHVIREFHITSPKQVVPMSIFWLLPQYSLLGIGDVFNAIGLLEFFYDQSPEEMQSLGTTFFTSGIGLGNFLNSFLVTMIDKITSRGGGKSWIGDNLNDSRLDYYYGFLMVISIVNMGFFLWAATKYVYKSDETKELSGGSVQMEAKAFETSPLSI >Al_scaffold_0004_2658 pep chromosome:v.1.0:4:19784077:19785180:1 gene:Al_scaffold_0004_2658 transcript:Al_scaffold_0004_2658 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:D7LF50] MTFKRRNGGRNKHNRGHVKPIRCSNCGKCCPKDKAIKRFIVRNIVEQAAIRDVQEASVYEAYTLPKLYAKTQYCVSCAIHSHVVRVRSRTNRRVRTPPPRFARRKEDTPKPGQPGQAPRPAGPGPAAAPRV >Al_scaffold_0004_268 pep chromosome:v.1.0:4:1606603:1607345:1 gene:Al_scaffold_0004_268 transcript:Al_scaffold_0004_268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, group 6 [Source:Projected from Arabidopsis thaliana (AT2G23110) TAIR;Acc:AT2G23110] MEDKKKPPTTEQQEKEVKNDDIESIKSPYLDYDNLEDYKMKGYGAHGHQEPKLGMGGGATDAPTPSGGLGRGGGAASTDLSSTGAINRQGVP >Al_scaffold_0004_270 pep chromosome:v.1.0:4:1614780:1615592:1 gene:Al_scaffold_0004_270 transcript:Al_scaffold_0004_270 gene_biotype:protein_coding transcript_biotype:protein_coding description:arabinogalactan protein 17 [Source:Projected from Arabidopsis thaliana (AT2G23130) TAIR;Acc:AT2G23130] MTRKILLTVTLICIFSLGVGGQSPATAPSHSPSISPQKPKSTSPAISPSPELTPTTEAPTQTPVEPPVQAPPSPTPEASSPPAPSPEADTPSAPVDAPTADVPAPAPSKHKKKHKTAPAPGPASEILSPPAPPGEAPGPGPSDAFSPAADDQSGAERRSVIQNVMVGAAAIAWPLLALAF >Al_scaffold_0004_273 pep chromosome:v.1.0:4:1632869:1634339:1 gene:Al_scaffold_0004_273 transcript:Al_scaffold_0004_273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEP5] MSIFVFNMYAIITFIIKVVRAPPLVVPTSTVLGIALKCGPGGLTYRVHVIPSLRAWQWPNEVKFRSVVLAWSRSLTWTNRVAPLQVETTEPPPLGLSNAKFNEKNSVIFKNALGPKKVLRISCTSDNDEIGYIFLKRGQIYQFSFHDSVFKTKFDCELSKGSGGFYDYNFYAKFRAYTGGGLIVHYGKKNFWEAREDGIYFTHGKEIPKLEYKWIPGDPIDPPMEAKSPL >Al_scaffold_0004_2734 pep chromosome:v.1.0:4:20100129:20101156:-1 gene:Al_scaffold_0004_2734 transcript:Al_scaffold_0004_2734 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix protein 100 [Source:Projected from Arabidopsis thaliana (AT2G41240) TAIR;Acc:AT2G41240] MCALVPPLYPNFGWPCGDNSFYENEDVTNTFLDFPLPDLTVTHQNVSSENNRILLDNPVVMKKLNHNASERERRKKINAMFSSLRSCLPATNQSKKLSVSATVSQALKYIPELQEQVKNLIKKKEELSFQISGRRDLVSTGQNSKPEKTITSYASTVSATRLGETEVMVQISSLQAEKCSFGNVLSGVEEDGLVLVDASSSRSQGERLFYSLHLQMENGQVNSEELGDRLLYLFEKCGHSFT >Al_scaffold_0004_274 pep chromosome:v.1.0:4:1634912:1637020:-1 gene:Al_scaffold_0004_274 transcript:Al_scaffold_0004_274 gene_biotype:protein_coding transcript_biotype:protein_coding description:natural resistance-associated macrophage protein 3 [Source:Projected from Arabidopsis thaliana (AT2G23150) TAIR;Acc:AT2G23150] MSQLENNEPLLINEEEEETAYDETEKVHIVRNEEEDLEHGVGCGGAPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAVAGYSLLWLLMWATAMGLLVQLLSARLGVATGRHLAELCRDEYPTWARMVLWVMAELALIGSDIQEVIGSAIAIKILSNGILPLWAGVLITALDCFVFLFLENYGIRKLEAVFAVLIATMGVSFAWMFGQAKPSGSELLIGILVPKLSSRTIQKAVGVVGCIIMPHNVFLHSALVQSREVDKRQKYRVQEALNYYTIESTIALFVSFMINLFVTTVFAKGFYNTDLADSIGLVNAGQYLQEKYGGGVFPILYIWAIGLLAAGQSSTITGTYAGQFIMGGFLNFKMKKWLRALITRSCAIIPTIIVALVFDSSEATLDVLNEWLNVLQSIQIPFALIPLLCLVSKEQIMGSFKIGPLYKTIAWLVAALVIMINGYLLLEFFSNEVSGIVYTGFVTVFTASYGAFILYLIARGITFTHWRFKAESSN >Al_scaffold_0004_2751 pep chromosome:v.1.0:4:20162302:20163505:1 gene:Al_scaffold_0004_2751 transcript:Al_scaffold_0004_2751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein [Source:UniProtKB/TrEMBL;Acc:D7LH61] MGSKIGNFFDSVGSFFSGGDKIPWCERDVIVGCEKEVKESSDGDSEEKKKESIMRLSWALVHSRQAEDIQRGIAMLEASLASSSPPLEDREKLYLLAVGYYRTGDYSKSRQLVERCIEIQPDWRQALVLKKTIEDKIAKDGVIGIGITATAVGLIAGGIAAALARKK >Al_scaffold_0004_2753 pep chromosome:v.1.0:4:20178584:20178769:1 gene:Al_scaffold_0004_2753 transcript:Al_scaffold_0004_2753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LH63] MYTVVVVAVRKVVMAEVMMVLEEAVVVFVASGDGGVVLTNSNNDFVVVVVIPSRKGEECGG >Al_scaffold_0004_2761 pep chromosome:v.1.0:4:20204717:20211817:1 gene:Al_scaffold_0004_2761 transcript:Al_scaffold_0004_2761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LH72] MAPKRASPMQPSLYPIGNYEVAVDEKDKTVSDYPKEDMFLLLNPRDEDEFTKSHLQILAAISYQIVPADTQYAEIPLAAVTYTHQKKGFGKLVYEELMKRLHSVGIRTIYCWADKESEGFWLKQEFIKLAEVDHKGKARGLHIKSNIRKALCFPGGSTLMLSHLKKESSLNPANIESPSSWKYQCEGSPLSARNNCTGPVTGDSVKLGESFGESVYLDCISGIRSPMDSITGKENNNVISDQATTADSETKCSTPGLKRSWEASLSSLQSKRIRANNNNNSDIAKIDLAQSSAKQSKDDNSSQVDITEDSLPTICKRNDVEQCRMATGINMEARPNGQHYRILLMDICDENKKACLTEVIRKLGGAVTLDGTMSTHIVTGKVRKTLNLCTALCSGAWIVSPSWLKESCREGRFANEASHILQDEDYQLKYDTDLKSSVLRAKARPNSLLKGYDICVGPNIELPIKTSYAVIKSAGGNMISGVNKVKVASKTIYIGCEEDTVGALFAAKKGVWTFSSEWLMNCVMKQQLDLQVPQFVESFIKSLSISLILANEYLTLFVENPTSSDSASSRILLLITFLPLSLACFAFLLQWRSGINDSVTQWFDDNYPFPGMATVSEKRSLRSDPSCVSLLGQSRTQSFPYLRDWKLDHKPDLKPKICITTSTSAGLEQTLPWIFYHKVIGVSTFYLFVEGTAASPNVSRVLETIPGVNVIYRTRELEEEQAKSRIWNETWLEKFFYKPCNYELFVKQNLNMEMAITMARDAGMEWILHLDTDELVHPSGTREYSLRNLLRDVPADVDAVIFTNYESSIERDDIKEPFTEVSMFKKNFKHLPRDVYYGNYKEATRGNPNYFLTYANGKSAARIQDHLRPNGAHRWHNYMKYPNVMELGEAAILHYTYSKFSDLTSRHDRCGCKPTKEDVKRCFMLEFDRAAFIIASTSTSEEMLQWYREKVVWTDENLILKLLRKGILTRIYAPMVS >Al_scaffold_0004_2765 pep chromosome:v.1.0:4:20219328:20220937:-1 gene:Al_scaffold_0004_2765 transcript:Al_scaffold_0004_2765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41480) TAIR;Acc:AT2G41480] MGVYVGKYCYVMIIVLVLGNEVRSQLLKNGYYSTSCPKAESIVRSTVESHFDSDPTISPGLLRLHFHDCFVQGCDGSVLIKGKSAEQAALPNLGLRGFEVIDDAKARLELECPGVVSCADILALAARDSVDLSDGPSWRVPTGRKDGKISLAKEASNLPSPLDSVAVQKQKFQDKGLDTHDLVTLLGAHTIGQTDCLFFRYRLYNFTVTGNSDPTISPPFLTQLKTLCPPNGDGSKRVALDIGSPSKFDESFFKNLRDGNAILESDQRLWSDAETNEVVKKYASRLRGLLGFRFDYEFGKAMIKMSSIDVKTDVDGEVRKVCSKVN >Al_scaffold_0004_2766 pep chromosome:v.1.0:4:20223073:20223635:1 gene:Al_scaffold_0004_2766 transcript:Al_scaffold_0004_2766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LH77] MGTKFFYVGFIELSCLYFQGIQKFYLHNTDLKQERKRTEMLRRLILKSYGSLKPDRLVIVNAFSFSEGGLFCCLRNVEREYETILKRTLQSICVLTVVPNITTSVIIQVVHDGGSVSFLCSLDLGKHLLMLSETHNPV >Al_scaffold_0004_2789 pep chromosome:v.1.0:4:20337900:20339697:1 gene:Al_scaffold_0004_2789 transcript:Al_scaffold_0004_2789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LH92] MGRGRIKMKLKGRRRDLTKKKKVRELCDYCGFSSSTIRYDEQRPHERDHEAVSMENELKRLRLLTRRMTCKDLDGLTFPELLLLESHLKTALLIVKDRRKKIKLLKDDEWMLIRRKGDDRVGIEVTVPCKFSTSSTGERQDDEAPAPPRLSKLQREFERRNAETMMIEYERLWLLKERMNGRQLDGMNQGELGLLELKIVNGLQDLLEHMYAPTREQIAKKRRSLLRDVQGAERDG >Al_scaffold_0004_279 pep chromosome:v.1.0:4:1702859:1705662:1 gene:Al_scaffold_0004_279 transcript:Al_scaffold_0004_279 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 81, subfamily D, polypeptide 7 [Source:Projected from Arabidopsis thaliana (AT2G23190) TAIR;Acc:AT2G23190] MTITFNSNVSIQHIYKFLDLMETHFLILSLAFFFFISLKLLFGKRHNKFNLPPSPARPLPFIGHLHLLKKPLHRTFLSFSQSLGGAPIFSIRLGNRLTVVVSSYSIAEECFTKNDIVLANRPKFILGKHIEYNFTTMTSAPYGDHWRNLRRIGTLEIFSSHKLNGFLSIRKDEIRHLLLLLSKNSQHGFAKVEMRQLFYDLTINNILRMIAGKRYYGEGTEQDDVARRVSQLIDEIVYRAGAGNAADYLPILRWITDFEKGVKELASRVDEFLQSLVDEKRVDKEKGNTMMDHLLFLQETQPDYYTDVTLKGIIIVMILAGTETLAGTLEWAMLNLLNHPEVLEKARTEIDTKIGFDRLIDEADTKNLPYLQWIVLETLRLHPAAPTNVPHMTSDDCMLAGYDVPRGSMLLVNIWAMHRDPSVWEDPEMFKPERFENQKLNQKLLSFGIGRRACPGVGLAHRLLSLALGSMVQCFEWQRIGEEYVDTREELMAMMRPATPLLAMCKARPIVHKILNAFA >Al_scaffold_0004_2791 pep chromosome:v.1.0:4:20369222:20370569:1 gene:Al_scaffold_0004_2791 transcript:Al_scaffold_0004_2791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF617 [Source:Projected from Arabidopsis thaliana (AT2G41660) TAIR;Acc:AT2G41660] MAKSVLSARNRKRCSYVPLSSSSSSSNNIGKLHLKFSLLLRSFINIINIPACKILSLPSPPSSSSGVSNQLISLVTGGSSSLGRRVTGTLYGHKRGHVTFSVQYNQRSDPVLLLDLAMSTATLVKEMSSGLVRIALECEKRHRSGTKLFQEPKWTMYCNGRKCGYAVSRGGACTDTDWRVLNTVSRVTVGAGVIPTPKTIDDVSGVGSGTELGELLYMRGKFERVVGSRDSEAFYMMNPDKNGGPELSIFLLRI >Al_scaffold_0004_2793 pep chromosome:v.1.0:4:20380093:20382799:-1 gene:Al_scaffold_0004_2793 transcript:Al_scaffold_0004_2793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:UniProtKB/TrEMBL;Acc:D7LHA3] MAASPKIGIGIASVSSSHRVSAASSALSPPYHLFSLSTTTTTTTRHGGSLLLRQPTRTRSSDSLRLRVSATANSTSSSSGAGEIIENVVIIGSGPAGYTAAIYAARANLKPVVFEGYQMGGVPGGQLMTTTEVENFPGFPDGITGPDLMEKMRKQAERWGAELYPEDVESLNVTTAPFTVQTSERKVKCHSIIYATGATARRLRLPREEEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEALYLTKYARHVHLLVRRDQLRASKAMQDRVINNPNITVHYNTETVDVLSNTKGQMSGILLRRLDTGEETELEAKGLFYGIGHSPNSQLLEGQVELDSSGYVLVREGTSNTSVDGVFAAGDVQDHEWRQAVTAAGSGCIAALSAERYLTSNNLLVEFHQPQTEEAKKEFTQRDVQEKFDITLTKHKGQYALRKLYHESPRVILVLYTSPTCGPCRTLKPILNKVVDEYNHDVHFVEIDIEEDQEIAEAAGIMGTPCVQFFKNKEMLRTISGVKMKKEYREFIEANK >Al_scaffold_0004_2806 pep chromosome:v.1.0:4:20442231:20442762:-1 gene:Al_scaffold_0004_2806 transcript:Al_scaffold_0004_2806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHY2] NIDGFFFCFCLFILFFLIFFRLCLFIKIFRLNIDCYFFSVVFFFFFCVFLLQFFVKILTVIFSRLCFFYFLC >Al_scaffold_0004_281 pep chromosome:v.1.0:4:1709828:1711684:1 gene:Al_scaffold_0004_281 transcript:Al_scaffold_0004_281 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 81, subfamily D, polypeptide 6 [Source:Projected from Arabidopsis thaliana (AT2G23220) TAIR;Acc:AT2G23220] MHVSVQHIYKFLDLMETHFLILSLIFFVFISLKLLFGKRHSKFNLPPSPAWPLPLIGHLHLLKLPLHRTFLSFSQSLGDAPIFSLRLGNRLTVVVSSYSIAEECFTKNDIVFANRPELILGKHIEYNSTTMTSAPYGDHWRNLRRIATLEIFASHKLNGFLYVRKDEIRHLLLRLSKNSRHEFAKVEMRHLLFELTINNVFRMVAGKRFYGEGTEQDEVAQQVRQLMDEIVTSAGAGNAADYLPIMRWITDFEKRVKKLAIRIDKFLQSLVDEKRADKEKGTTMIDHLLSLQATQPDYYTDVILKGLIVVMIIAGSETIAWTLEWAMLNLLNHPEVLKKARTEIDTKIGFDRLIDEADTKNLPYLQWIVLETLRLHPAAPTNVPHMTSEDCMLAGYDIPRGSMLLVNIWAMHRDPSIWEDPEMFKPERFKNEKLNHKLLSFGIGRRACPGNGLAHRVVSLALGSMVQCFEWQRIGEEYVDNKEDETVVLMRPVTPLLAMCKARPIVHKIRDAFD >Al_scaffold_0004_2816 pep chromosome:v.1.0:4:20487380:20489379:1 gene:Al_scaffold_0004_2816 transcript:Al_scaffold_0004_2816 gene_biotype:protein_coding transcript_biotype:protein_coding description:guanylate kinase 1 [Source:Projected from Arabidopsis thaliana (AT2G41880) TAIR;Acc:AT2G41880] MGEAPAISVDHLENGHFNGVCVKSAPENIEISVDAGDRTFLIGGNHERNNLSIGVQIYDKIINNWFSPIVLGTGPKPSQGYSAFVLEQGRILVIKKGSPRNESIWFLEVDSPYVREQKKLLGKEVVAWSKGVRGNAEKPIVISGPSGVGKGTLISMLMKEFPSMFGFSVSHTTRSPRSMERDGVHYHFADKKVMEKEIKDGKFLEFASVHGNLYGTSIESVEMVTDSGKRCILDIDVQGARSVRVSSLDAIFIFVCPPSMKELEDRLRARGTETEEQIQKRLKNAEAEMKEGISSGIFGLILYNDNLEECYKKLKKLLGLDGVTSVNGVEIEGISLPTEHTVSKMEDKIIIQETGKETRNKIVLDISSLNGGAPGRTRGILVDAIKF >Al_scaffold_0004_2818 pep chromosome:v.1.0:4:20496259:20497295:-1 gene:Al_scaffold_0004_2818 transcript:Al_scaffold_0004_2818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHZ4] MADSSSDKEKKENSKQPVYRGVRMRSWGKWVSEIREPRKKSRIWIGNLPTVEMAMRSHDVAAMSIKGTSAILISYGVMERWREEGAKLEEKEREMKKSAGGLMQLGLLPHGPVL >Al_scaffold_0004_2819 pep chromosome:v.1.0:4:20507822:20508457:1 gene:Al_scaffold_0004_2819 transcript:Al_scaffold_0004_2819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHZ6] MCHVRSPYAWWTPVTLLKYRLIIPLNYATHRLPVAPVYFLLPSAIRTTGFTGKPGTIIKLASIEKSPVLLCSLVNVFVPRVSSAKDKRGSCIKWKLLENLTKDKKLNRIHACPLPMSANHNLPNQPMEDEMTHLPLYSSV >Al_scaffold_0004_2826 pep chromosome:v.1.0:4:20529514:20531315:-1 gene:Al_scaffold_0004_2826 transcript:Al_scaffold_0004_2826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LI02] MGTRQVYEEKLRRGNLDYDPTMNPGLGSARCPRCLSLLNPNSEKGEWTITPVLHDAAAVAGSGIGGLLSAVHAFNTGIPYLQNRFPGSKRLSFLVGVPLLLVYSGVGAAFGGYALPKFAQLTVTSYYASSSASHYGISMLTRRIEEAHLSRTQKEEVK >Al_scaffold_0004_2833 pep chromosome:v.1.0:4:20549527:20549685:-1 gene:Al_scaffold_0004_2833 transcript:Al_scaffold_0004_2833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LI09] MAFRCRVLLPSPLLGVVCLLSIKGICLSSLFLTEFHEMTHLSSMFIQVFYVR >Al_scaffold_0004_2842 pep chromosome:v.1.0:4:20578064:20579620:1 gene:Al_scaffold_0004_2842 transcript:Al_scaffold_0004_2842 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin 9 [Source:Projected from Arabidopsis thaliana (AT2G42090) TAIR;Acc:AT2G42090] MKPIVCDKGHGMVQAGFAGDEAPNVVFPCVVGRPKDGMNPNDSYVGNEAQAKRDILTYPMEHGIVKNWDDMEKIWYHTFYNELRVDPKEHPVLLTEAPFNPKANREKMTQIMFESFDVPAMYVSIQSVLYLYSSGRTTGVVLDLGDRVSHTVPVYEGYALPHGILRLDLGGRDLTDYLIEIMAERGYTYTSSAEREIVRDIKEKLCYIALDYEQEMEKATKGWAIDKTYELPDGQVITLEAERLIMCPEVLFQPSLLGKESCGIHEATRNSILKCPVDTRRDLFGNILMTGGSTMFHGIKERMTKEITALVPSSMKVKIDVPLESKCSVWNGGSVLASLSTFHQMWITKDEYEEHGAAIVHSKCF >Al_scaffold_0004_2849 pep chromosome:v.1.0:4:20598485:20599546:-1 gene:Al_scaffold_0004_2849 transcript:Al_scaffold_0004_2849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LI25] MSISAQNPDIFGDRQSGQDDCTQEVMACQDVSNIAKTSLGPVGLDLMGADDIGDVTIETDAATILRMLEVEQPAASVFDRIRNQATAWHAAASKNFKTSLGPVGLDRMLYDLQIRQAEVFKSND >Al_scaffold_0004_2867 pep chromosome:v.1.0:4:20661242:20661463:-1 gene:Al_scaffold_0004_2867 transcript:Al_scaffold_0004_2867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LI43] CSSRKQESEYNKAISPKCCKKGKTSMYTTNQSQNSQRQNDKAKIVPLTDVGSGYRAIGYTRNTSFSGFFKSFG >Al_scaffold_0004_2873 pep chromosome:v.1.0:4:20684268:20684690:-1 gene:Al_scaffold_0004_2873 transcript:Al_scaffold_0004_2873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LI51] CVAKNNAEDSALQTTIDWACGPGGADCGGIQQGGSCYDPLMIVKMASYVFNNYYLKNGLADEACNFSNNAAVTSLNPSQGTCKFPS >Al_scaffold_0004_2874 pep chromosome:v.1.0:4:20685086:20687419:1 gene:Al_scaffold_0004_2874 transcript:Al_scaffold_0004_2874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LI52] MDSPANPFKPREQGTITISRNLIKEANVEEEVCEASETHSGPDKIRILESVSDEMRKSQEAEEVDGSDQKLEVSIEEDEIDTMDSKAMSFSFNCHGGGPKRKKKNDKKRKQQEEKSKKKLKVLVQTLKLVPFKPFKTLDFARYESVLKTLGLWDFVHLEFDQDMDYGLVAQLIAYYSPEGRCSYINGSRIKLSRADLARALKLPNKRERVVILDEDKELLESDELISFVNEVVSNWMLLHCDDAWMMPDKVVEWKKSIKEKQLDKLDWAGLMWFMVEKVLKAEPPLGDCFYASHLQMVIRSQKIDLFREQDLKVKDDIAALNLRMDDGASDSKEEKCVEEGMIELNLGKVTVSEMAAEEEHHPEEQAMDLEENKEQPKDLQETKEEGDGKWLLRYERNRTGHKKVGEYELEDEIEKDGEKHNGGFLLFPNGETLHQENLMLGDTSPLGYNSELQIHGNSTGDFMRSRAVMHMVPGRSHFRNDNKREIDHENDISYHFDNPASTKRLKTPYWDDKPVPFDVCMEQIKHLADKAKLSYAEKDRACGESNMREQMLLNELQRREEIIQQLHKKTYEEEHKKDVEIYKLENELRMMTSVLAWYQKALKETQKACRKHRKVCPLRDKPIYRDVKGTGGLVLSTAEIEKLRLKEEKEEGMRRALIERQVEEFGSLWIKEYEVNLKKKVELLDEKLTGFQNKVNLLKETVSRRVTIPGRFDATSDT >Al_scaffold_0004_2881 pep chromosome:v.1.0:4:20714154:20716331:1 gene:Al_scaffold_0004_2881 transcript:Al_scaffold_0004_2881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LI60] MTTSMNKINQAKKTELPVNRNQRDRREISGNRRRYGSPMGRVSATPAKRTSPPRAQRYKERNYGYSPRRREEVQRRSEFKRSHRHQSEEVSHRYPRSQHLERISESRHQRSDWRSRRSPPKTRSVQDTTSGAGREFHNSQKEPTPSRQEILNTPPPRVLPASNPPGLSGRLGIQNSAKDRRPILTRLSGGEALIEQSQGHGISSSMSGRLQDVNIQYLGEEGQTGLPINNDILIGSSSAPLHPTLGHRLSLGHEDSQGSQRVPANKRLSLEQPAVTISIPAKIPKTRANAKKKVSVPASSRGTRSPLQGASSKKRNATKPRVVAARKRLCHDQVPTEVDPVTEPQPSQPSSFKRPWKLSSLLPHQTMRRSLESQAI >Al_scaffold_0004_2884 pep chromosome:v.1.0:4:20727658:20728342:-1 gene:Al_scaffold_0004_2884 transcript:Al_scaffold_0004_2884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KF04] VFRENLLSKDFVNIHTPKLLAGSSEGGSAVFRLEYQGQPACLAQSPQLHKQMTIYNGPCGRFLEKTLRLTFEEGVQILKEAGVEIDPLGDLNTESQRKLGQLVLEK >Al_scaffold_0004_2887 pep chromosome:v.1.0:4:20734861:20735796:1 gene:Al_scaffold_0004_2887 transcript:Al_scaffold_0004_2887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIS2] MGNQMQKSLSDTRNQKQTSFTFEIDNFSEKEAEISSSIFECGRCKWYVTVHPKGDYFCDYLALYLTVASPKSLRTGWKKRVSYCFVVLNQSGKKLQILRTPEEGSLFCDETQSWGYPKVYPLSKLKEEGFLENNKLIVKVEVKKRYMELALSDLRVELKNKKAKSAAA >Al_scaffold_0004_2889 pep chromosome:v.1.0:4:20738319:20739629:-1 gene:Al_scaffold_0004_2889 transcript:Al_scaffold_0004_2889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIS4] MGNQMQNSVSDKGNHELLTIFTFEINNFSEKKASFMSPTFLGGGSEWYVQVQPNEKDFGGYLRLYLCVQNPKLLLTGWRTRARYRFVLLNQSGKELYRAAETSSLFCAQFRMWGDRTLPLSKLKEEGFLENNKLIIRVEVKLTEEGYVTGKETFEIKGFEVPSTQVTSVSQLFMKHPDLATDFKLNGKCLKTAYMNLLLANSELSELTEAGFKLDWLKTKLEEVALERKNAFSDGSQVEEVEERIKNLELTLSDLKVELQSEKAKSAVAAAKLLSLDDIL >Al_scaffold_0004_2890 pep chromosome:v.1.0:4:20740911:20742167:-1 gene:Al_scaffold_0004_2890 transcript:Al_scaffold_0004_2890 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein [Source:Projected from Arabidopsis thaliana (AT2G42460) TAIR;Acc:AT2G42460] MEIDLQSTFSWKIENFSERKFPITSTAFSSGGCEWYVLIHPKGDGFDDYLSLYLCVANPKSLQPGWKRRASLNFIILNQSGKEVHRTSERYGLFGAEIPGWGFRTALPLTKLQDKELLENNTLIIEVYIKVTEVVHEGDETRKDMLDFRGFNVLSSQITSVSHIFAKYPNFAADIKPKSKAVKTAYLKILLGLIKTANKPPESFSETELIKAYSSLIDLMEVGFKLDWLKSKLDEVSLERKIKVDADAARVQELEEKVKNLELMLSNLKVELDKEKARSHIEPKMFSLKDYASLSTPMSPWTRRRKSD >Al_scaffold_0004_2896 pep chromosome:v.1.0:4:20763563:20767669:-1 gene:Al_scaffold_0004_2896 transcript:Al_scaffold_0004_2896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIS9] MWNLWNQKPSFRFEIDNFSEKEIAMVSKVFVSGGHEWYLGVYPMDEDYPYDNYLSVYLHATNSKPLGSGWQRTANFYFLLLNQSDQVLYRSYVQEHIDFHAESLTWGIQKTLPLSFFQEEGFLENDKLIVEVYIQIVESFDGERGDVSEKKETVDINGFQVLASQVTPTRKIFAEHPDLAVDFKLKNQVVRTEYMNVLLNLIETLNKPSQDHSETELSNAHSKLSELTEAGFKLEWLKSKLGKVSLKRKKPDADVQQIDERVKNEMMKLDFKLDCLKTKIEEVSLERKKSDDADGSRVKEMEGRIKNLEMMVSDLKAKLGKEKAISSDDGISTFIRREIVFVMITCRCTFEQHTFQTNSMSWGFRKTLPRSKFKKKRFLENDRLIVEVYIQIVETFDGERGDVLEKKETVDINGFQVLASQITPARKLFAVHPDLADDFKPKNQVVRTEYMNVLLNLIETLNKPSQDHSETELSNAHSKLSELTEAGFKLEWLKSKLDEVSLKRKKADADVQQLDERLKNLEQMKLDFKLDCLKSKLEEVSLERKKSDDVDGSRVREMEGRIKNLEMMVSDLKVKMDKEEAKSFADGFLLIDEVD >Al_scaffold_0004_2903 pep chromosome:v.1.0:4:20793275:20793960:-1 gene:Al_scaffold_0004_2903 transcript:Al_scaffold_0004_2903 gene_biotype:protein_coding transcript_biotype:protein_coding description:cold-regulated 15a [Source:Projected from Arabidopsis thaliana (AT2G42540) TAIR;Acc:AT2G42540] MAMSFSGAVLSGMGSSFHSGAKQSVTGAVRVGQKTQFVVVSQRKKSLIYAAKSDGNILDDLNQATKKASDFVTDKTKEALADGEKAKDYVVEKTSENADTLGKESEKASEYVEEKGKEAANTAAELAEGKAGDAKDATK >Al_scaffold_0004_2925 pep chromosome:v.1.0:4:20897750:20898619:-1 gene:Al_scaffold_0004_2925 transcript:Al_scaffold_0004_2925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LJC5] TKQNRSEIHQNFMAFVDKLLDPQRGSSIKKLTLKSHVGVRGGTDSSRIQTWICNVLDHGVVDLDMFITLKGKSPPVPSLIFKSKTLVKLRLGRGFTIKPSQDVSLPMLRTLFLDSVNFVGGHNVVGTLISRCPVLEELVVEERRCVDWTCSVSSTSLKRLHIRFDRKFTSISLDAPNLVYYKHSGYVLGKYPNVKLDSLIEARLNLRMDERRMQGVRNGD >Al_scaffold_0004_2943 pep chromosome:v.1.0:4:20976127:20977204:-1 gene:Al_scaffold_0004_2943 transcript:Al_scaffold_0004_2943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJD9] MMQEIAIGLNREHCGIEAKHPNSSIRKCARVQLIMIVEENVRNNRIWYRNCLNS >Al_scaffold_0004_2944 pep chromosome:v.1.0:4:20989526:20993024:1 gene:Al_scaffold_0004_2944 transcript:Al_scaffold_0004_2944 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2-like 2 [Source:Projected from Arabidopsis thaliana (AT2G42890) TAIR;Acc:AT2G42890] MELEPKKSLSADMPSLLSRSSEAFNGGSGYPSASDLSMFSSSLPTLFHEKLNMTDSDSWLSFDDSSPNLNKLGIGSSEKDSLEDVEPDSLEILLPEDENELLPGLIDELNFTGLPDEDLEECDVFCTGGGMELDVESQDNHAVDASGMQISDRGAANAFVPRKRPNTAGRVSVEHPNGEHPSRTLFVRNINSSVEDSELSALFEPFGEIRSLYTACKSRGFVMISYYDIRAAHAAMRALQNTLLRKRTLDIHFSIPKENPSEKDMNQGTLVIFNVDTTVSNDELLQLFGAYGEIREIRETPNRRFHRFIEYYDVRDAETALKALNRSEIGGKCIKLELSRPGGARRLSVPSQSQDLDRNEVTNFYNQVGSHVANSPPGNWPIGSPVKGSPSHAFTRPHGLGMVRPVNSDNMPGLASILPAHPSSFHGFSPVSNDQGLLNHPNQTILNKGLMHNVAYGQPHSLPEHITGGISNSMRFIAPHSSGFGTSSDHRYRWGSPPQHMNYPGYTGVSSSSSSTERPFTVRHGFPFAERQASLLGKYQHHVGSAPSSIHFNTQMNCYPGSPEIPLGFGDMGINRYNNAHGKANLGVSILGNRSEQEFTGFGMSSMPTVPFGGSRGLQSVRPDPFDEQGRIHNHETHNQNQFIDGGRYHIDLDRIASGDEIRTTLIIKNIPNKYTYKMLVAEIDEKHKGDYDFLCLPTDFKNKCNMGHAFINMVSPLHIVPFQQTFSGKIWVKFNSGKVASLAYAEIQGKSALASYMQTPSSMKEEKQLFPEVSYHDEGQDANDHEQLFSSIWNITAPDSDWSYTMDLLESTRENGNSKNPAEESS >Al_scaffold_0004_295 pep chromosome:v.1.0:4:1778233:1779025:1 gene:Al_scaffold_0004_295 transcript:Al_scaffold_0004_295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFA0] MGIGFAEVDSNPNQETEFESNIFFSFSFIFLAVGDEPLLQSYDNQYRPFVIGAAMNNQNEHGRRDAIEEINGELIKDKENLAEQLDKTSSMPLRLTIYKTTCSFEKEAQE >Al_scaffold_0004_2951 pep chromosome:v.1.0:4:21011373:21013437:-1 gene:Al_scaffold_0004_2951 transcript:Al_scaffold_0004_2951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42960) TAIR;Acc:AT2G42960] MSPESSLNAEMSKKISFFGLKGLKLWVWVCLVVGVFIVMILCILSLWITFRRKSRRSSSKFPFNQIPHVSKDIRVDRAGVQNPHPESLYIEMNDKSTGKTMMSHLGRTKSSDNDTLSQCSSVNHHERACSSHSGEEGGFGSAGRLYGGGPVTASPLVGLPEISHLGWGHWFTLRDLELATNRFAAVNVLGEGGYGVVYRGKLVNGTEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNSGNLEQWLHGAMRQHGNLTWEARIKIITGTAQALAYLHEAIEPKVVHRDIRASNILIDDEFNAKLSDFGVSQALGLGYVAPEYANTGLLNEKSDIYSFGVLLLEAVTGRDPVDYGRPANEVNLVEWLKMMVGTRRAEEVVDPKLEPRPSKSALKRALLVSLRCVDPEAEKRPRMSQIARMLESDEHTFHKERRNRKSRTASMEIVETKDESLGPSGAETHITKPEKTHE >Al_scaffold_0004_2967 pep chromosome:v.1.0:4:21085086:21086909:1 gene:Al_scaffold_0004_2967 transcript:Al_scaffold_0004_2967 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G43120) TAIR;Acc:AT2G43120] MRAAIIRANSLGGLFSFKFIRNIKSMSSSSSSQDFVSRPVIKKVFAKLQKEGDGAVVRRGISRSEQKLLDPFLMLDEFSVSPPAGFPDHPHRGFETVTYVLEGGITHQDFKGHKGTIYAGDVQWMTAGRGIIHSEMPEEEVNKGLQLWINLSSNEKMIEPNYQELSHSDIPKAEQNGVEVKVIAGESMGIQSPVYTRTPTMFLDFTLQPGAQIHQNVPESWNAFAYVLESGEGGGVFGSSNSSPVSAHNVVVFGPGNDGVSVWNKSSSKKLRFVLIAGEPIGEPVVQYGPFVMNTQAEIDMTIEDYHYGKNGFEMAKYWRSQ >Al_scaffold_0004_297 pep chromosome:v.1.0:4:1802230:1802716:-1 gene:Al_scaffold_0004_297 transcript:Al_scaffold_0004_297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LFA2] GLLYSSNTFLLVAFRTICVSIISLTYEAYADISDYNFDGFVFTRCHWHLLIRFSCDGSVIAWIENLEAFVMVFSLI >Al_scaffold_0004_2992 pep chromosome:v.1.0:4:21201411:21202388:1 gene:Al_scaffold_0004_2992 transcript:Al_scaffold_0004_2992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LK06] MEVCCTLGMIEKQQALELKKAGFTAYNHNLDTSREYYPNVITTRSYDNRFETLEHVREAGINVCSGGIIGLGEAEEDRVGLLHTLATLPSHPESVPINALLAPIEIWEMIWNRTYCNAKSNGEIICWESLVLNGGAGPLFPCRCKLYLHRREAFNHTNNVFDSDQLMSKTLGIIPKPPRFSEDDSESEKLRESCFRFSLISLSTFFCLESGHYKAIPSR >Al_scaffold_0004_2994 pep chromosome:v.1.0:4:21204568:21207445:1 gene:Al_scaffold_0004_2994 transcript:Al_scaffold_0004_2994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LK08] METTSFLQHKSQISESKSVITNTKETRQFISIKATNRLNLFHQHIMRLIEKKKTKDGGNKASQLLLYEPEAAEHKTGHRRYRRCQAKARLAGKTNRLKFPLSKLLKRTKTVAAFNLEEDMRRHQIKTASNRDKKLKNIGTMQKLACLNHITLVSLSIIWNERFIVDNWDIPASKLIKRSGVVLTFEPEFEEVKCQVYGTSKPCQTRGCSHFLCDSCDKAYVDGGIKAGELNIKCPLMTCEKMIAPSQFVNLVSPDDKNNRRRGLLRSYARSRHVNRFATVYSRSFSTNSKGLFPIFGVTRG >Al_scaffold_0004_30 pep chromosome:v.1.0:4:190686:191195:1 gene:Al_scaffold_0004_30 transcript:Al_scaffold_0004_30 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LL10] MEPPATASHRSSRRLLFDRRYGWVVDEWKDPSEEALAGGRGMFCVVPLTKTLFQTASQSINSAVKFLDMKLQKLANPMHNPSGDSGSESNVLD >Al_scaffold_0004_3008 pep chromosome:v.1.0:4:21263012:21264431:1 gene:Al_scaffold_0004_3008 transcript:Al_scaffold_0004_3008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LK23] MSNTQEEDMKPGVQINLRAKHQYGQNVFFHIHKRTQLKKLLKAYCNRKYLDFDSTVFLFNGARFCGEQTSDELGMKDGDVIYAMFHQTKNQGAEINPKVKDKTVFSFSLFSVRGHVVFFDELDRVYFRLKKS >Al_scaffold_0004_3010 pep chromosome:v.1.0:4:21272444:21278825:-1 gene:Al_scaffold_0004_3010 transcript:Al_scaffold_0004_3010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LK25] MPPKKGLKRKRLTKATVEPGSTEEPSPTVEPSTTTTVEPGSTEEPSPTVEPSTTTTVEPGSTEEPSPTAIEGEQQVPETLSPVLEESDKNEEENSKKNEEEESGEEESEEEEKEEEKEEEGNEEGEESSDDDGSRSLGGESSSDENDNEEDEIAPENQPENAMIAPYRINEWYNLSTICWGEVHYMTNMDSVK >Al_scaffold_0004_3017 pep chromosome:v.1.0:4:21289783:21290847:-1 gene:Al_scaffold_0004_3017 transcript:Al_scaffold_0004_3017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LK33] MANYAKPTSRNDRFAPFLTTLLFLILTVSKPVASQNCGCASDFCCSKYGYCGTTDEFCGDGCQAGPCRSGGSGGDPAVSLEGTVTPDFFNSILNQRGDCPGKGFYTHDTFIAAANSYPSFGASISKREIAAFFAHVAQETEFMCYIEEIDGPAKAAAGEYCDTTKPEFPCAQGKGYYGRGAIQLSWNYNYGLCGRDLNENLLASPEKVAQDQVLAFKTAFWFWTTNVRASFKSGFGATIRAVNSRECSGGDSTAKAANRIKYFQDYCGKLGVAPGDNLTC >Al_scaffold_0004_3033 pep chromosome:v.1.0:4:21346498:21348446:1 gene:Al_scaffold_0004_3033 transcript:Al_scaffold_0004_3033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKH2] MSRNEWLMVLVIIALFNLENSLGRLVFEGSTGIINGFPMLTNTKKHVYGQAFDDEPFPFKNSTNGNMTSFSFTFFFAIVPGHRERGSHGMAFVISPTRGLPGAFADQYLGIFNDTDNGKSSNHVIAVELDIHKDDEFGDVNDNHVGININGMRSNISAPAGYFDQKGQFKSLSLISGNLLRVTILYSQEKKQLSVTLSSPEEAYYPNQPLLLLNQDLSPYLLEKMYLGYTASTGSVGALHYIWTLHVYDIAVVPDLDFPIPTFPPYPKPKSQVRRTVLVTCLTLVLFVAVAASALSLFFYRRHKKVKEVLEEWEIQCGPHRFAYKELFKATKGFKQLVGKGGFGQVFKGTLPGSDAEIAVKRISHDSRQGMQEFLAEISTICRLRHPNLVRLQGYCRYKEELYLVYDFMPNGSLDKYRANKEQLTWDNWVQVVIHRDIKPANVLIDHQMNARLGDFGLAKLYYQGYDPQTSRVAGTFGYIAPELIRSGRATTGTDVYAFRLFILEVSCGRRLIEPRAATNEVVLAEWTLECWENGDILKAANERLHQEHNREQLEIVLKLGVLCSHQVATVRPDMSKVVRILNGDSKLPSNLLDIVKAERVRMWSETSDSIPSQESIGTLTFTEPFTSHGR >Al_scaffold_0004_3034 pep chromosome:v.1.0:4:21349070:21351047:1 gene:Al_scaffold_0004_3034 transcript:Al_scaffold_0004_3034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKH3] MSRVIISRVIFLILALFCCTDNSHRKLIWEGSAGVFKGFRTLTNTTKHTYGQAFDDKPFPFKNFLTGAMTSFSFTFFFAIVPEHKHKGSHGMAFVISPTRGIPGASADQYLGIFNEVNNGNNSNHVIAVELDINKDDEFGDINDNHVGININGMRSIVSAPAGYYDQEGQFRNLSLISGNLLRVTILYDQKKKELSVTLSSPEEAYYPKQPLLSLNQDLSPYLLEKMYVGFTASTGSVGAMHYMSIWYRYTILIVPDLDIGIPTFPPYPKEKSLVNRILWVTGLALALLVAMAASGFSLFLYRKNQMVQEVLEEWEIQNGPHRFSYKELFKATNGFKQLLGKGGFGQVFKGTLPGSDANIAVKRVSHGSSQGMREFLAEIATIGRLRHPNLVRLLGYCSTSMADQIKNSSLGLNVSKIIKDVASALSYLHIDWVQVVIHRDIKPANVLIDDKMNASLGDFGLAKLYDQGFDPQTSMVAGTFGYMAPELMRTGRSTTGTDVYAFGVFMLEVSCGRKLFESQAEPEEVVLADWAINRWENGDIVEAANESIREDHDKGQLELVLKLGVLCSHRDEEIRPDMATVVKILNGVSELPDNLLDIVRTEKLGRWYDAYGNVLVRAITMESAGNLTITEPMTSVGR >Al_scaffold_0004_3039 pep chromosome:v.1.0:4:21365106:21365841:-1 gene:Al_scaffold_0004_3039 transcript:Al_scaffold_0004_3039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G43730) TAIR;Acc:AT2G43730] SIKCIQFSYFQNGIHVVSEKYGSSKGRSYEIVRLNDDEYVTALSGIYYERKITSLTFHTNQGKHGPFCDIPGYSSIIVAGRTRKIDVKIRDRREFGGFFGSFDDFGDLTSIGIYVYPTYHNKPTLNQAWDPLYTFAHKRTLNYQIPTIVDGIPVKHIRYKPKLKDRILSKLDFKSAMWCLIKAFLD >Al_scaffold_0004_3047 pep chromosome:v.1.0:4:21382852:21383575:-1 gene:Al_scaffold_0004_3047 transcript:Al_scaffold_0004_3047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKI7] MDGSFHTPEWHAARLASLKTTHTLTWEEYRQKQKEEELKKVELEADTDMLMREYRAQLDAERAMKLSKGRNYSSDRSTKDRKERELTDRKGRDSKRKRSKKRKHSRRGSSDSSSSSESSSSDEEEFRKSRSSSKRPKRERKHKSRGRHSRT >Al_scaffold_0004_3053 pep chromosome:v.1.0:4:21403755:21405248:-1 gene:Al_scaffold_0004_3053 transcript:Al_scaffold_0004_3053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G43860) TAIR;Acc:AT2G43860] MVYNTSCLILPLALIFLDFILISSLAHPIPSTLNVLSYGAKPDGSKDSTKAFLAAWDVACASANPTMIIVPKGRFLVGNLVFQGNECKQAPISIRIAGSIVAPGDFRIIAGSEHWISFEDVTDVSIYGGILDAQGTSLWKCKNNGGHNCPTGAKSLMFSGSNNIKINGLTSINSQRFHIVIDKSNNVNIDGVKVSADANSPNTDGIHVESSHSVHITNSRIGTGDDCISIGPGSTDVFIQTIQCGPGHGISIGSLGRAEEEQGVDNVTVSNVDFMGTDNGVRIKTWGKDSNSFARNIVFQHINMKMVKNPIIIDQHYCLDKPCPKQESGVKVSNVRYEDIHGTSNTEVAVLLDCSKDKPCTGIVMDDVNLVSVNRPAQASCDNANGLANDVVPFTPCLKSEIIMT >Al_scaffold_0004_3059 pep chromosome:v.1.0:4:21435118:21436708:-1 gene:Al_scaffold_0004_3059 transcript:Al_scaffold_0004_3059 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G43920) TAIR;Acc:AT2G43920] LILRLLDSSALPLGRTLVPGCGGRLKCLYINILCRFVVGLDISDKALKKANETYGSSPKAKYFSFVKEDVFTWRPNELFDLIFDYVFFCAIEPEMRPAWGESMHELLKPDGELITLMYPMTDHEGGAPYKVALSSYEDVLVPLGFKAVSIEENPDSIPTQAGKEKLARWKKIN >Al_scaffold_0004_306 pep chromosome:v.1.0:4:1840401:1843369:-1 gene:Al_scaffold_0004_306 transcript:Al_scaffold_0004_306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFB3] MTTWLIQKMVSDEQGMGIMIWPLKDGDRFSLWFMLNSSCGVAILLVQVKDALGRLLFLDLELVRHSLKFSCQSSGLVQEAHGRVYSRNFFSICCYCLDWLGIGHLFPAQPKRCFKKVPTLTVEFKGEYLDQTYDSISVFSSGEITMRQFLEQKKA >Al_scaffold_0004_3060 pep chromosome:v.1.0:4:21440018:21440812:1 gene:Al_scaffold_0004_3060 transcript:Al_scaffold_0004_3060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LKU1] MNLMKEALRFICSSNFWRMALFWNIALLFSYFQLLKKSIFGSKSSSSSCSKSNHSHTPICVITGATSGLGKATAFALSRKGFYVVLGRSSHLLSKTLSDIKRQNENAQLKAFEVDISSFQSVFKFRNSLEQWLLDSDFHSSVQLLVNNAGILATSSRPTIEGFD >Al_scaffold_0004_3072 pep chromosome:v.1.0:4:21474469:21475523:1 gene:Al_scaffold_0004_3072 transcript:Al_scaffold_0004_3072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, group 2 [Source:Projected from Arabidopsis thaliana (AT2G44060) TAIR;Acc:AT2G44060] MSTSEDKPELLSRVHQEGDVEIVDRSQKDKDEEEEGKGGFLDKVKDFIHDIGEKIEGTIGFGKPTADVSAIHIPKINLERADIVVDVLVKNPNPVPIPLIDINYLVESDGRKLVSGLIPDAGTLKAHGEETVKIPLTLIYDDIKSTYNDINPGMIIPYRIKVDLIVDVPVLGRLTLPLEKCGEIPIPKKPDVDIEKIKFQKFSLEETVAILHVRLENLNDFDLGLNDLDCEVWLCDVSIGKAEISDSIKLDKNGSGLINVPMTFRPKDFGSALWDMIRGKGTGYTIKGNVDVDTPFGAMKLPIIKEGGSTRLKKEDDDDDDDEE >Al_scaffold_0004_310 pep chromosome:v.1.0:4:1863900:1865188:-1 gene:Al_scaffold_0004_310 transcript:Al_scaffold_0004_310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LFB7] GTLIHATIKKQQLNKLQRFITSGEWRIIEKFTLAKSTGKYRATKHGFKMSMMQKTVITRTPPLSNDIYLDLANFQDVLDEGGLNENILIGERSVSNAFDMSLLEINATYPAVLEFVANLPPDAMPLAIQEVKPKHGNQLMKKKEYYDRFPRKTISGLFCFSEIGKCNIIVTIMKIDTDYSWYFFCCLKCHKTAYKIPKVENEIAKK >Al_scaffold_0004_3106 pep chromosome:v.1.0:4:21607152:21608453:-1 gene:Al_scaffold_0004_3106 transcript:Al_scaffold_0004_3106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKZ2] MPCREVMFALGVVDGFNFCKTVVGVEPEAGAEALARISSRCGEGADREAIGVSGSGEEARFLVLTPFFAIREKTKLRNRERREKRRRMRTIDEEIDRKKPPESLLRSPEKVCYAELL >Al_scaffold_0004_3112 pep chromosome:v.1.0:4:21638228:21640845:1 gene:Al_scaffold_0004_3112 transcript:Al_scaffold_0004_3112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7LLL0] MERIHVSVRARPVSPEEAKTSPWKISSNSIFISNQPAFKFDRIYRQDCKTIDVYEARTKEIVSATVRGFNGTVLAYGQTNSGKTHTMRGSTIEPGIISLAVHDLFDIISQDTSREYLLRMSYLEIYNENINDLLDPLNRKLQIHENLEKGVFVAGLREDIVDSPQQVLELMESGESHRHIGETNMNHYSSRSHTIFRMIFVKQIIESREKTRDEGVGNVCDAVSVSVLNVVDLAGSERAAKTGAEGVRLKEGTHINKSLMTLGTVIKKLSEGVENQGGHIPYRDSKLTRILQPALGGNANTAIICNITLALIHVNETKSSLQFASRALRVTNCAHVNEILTDTALLKRQSKEIKELRSKLKRTINEIQIEYLKAKLGEDGLPDEAKSNYLVYGVVGNAHWNENVIIREPEANIVVKQLTDKVHFFFSLLTTGTTIKVASLSSIRLFYLTFDMFRPKDKQAGNRKVFTGAKSY >Al_scaffold_0004_3117 pep chromosome:v.1.0:4:21650294:21650922:1 gene:Al_scaffold_0004_3117 transcript:Al_scaffold_0004_3117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLL2] MEKQPHQDISAYGREPPMNVHVSSAPPMVAQIPLPHTDTVIGTSGSNKSYTRLLSGATVTSQETRGLPCEMTVEVSRTGSQVQTAMAEAGALAPAHGSAMSTTISLSKRYIKNPRLGIDFTKFYKIKGNKKLRLIGRNGFIEEVTTKVEKRHGVVDMISLGLE >Al_scaffold_0004_3121 pep chromosome:v.1.0:4:21663186:21665860:1 gene:Al_scaffold_0004_3121 transcript:Al_scaffold_0004_3121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLL6] MIQGKKIYADKSSFGRSDFPEGFLFGTASSAYQYEGAVNDASRGLSVWDTFVRKHPERNCYSDADQAVEFYHHYKEDIQRMKDINMDSFRFSISWPRILPHGKKSRGVNKEGIKFYNDLIDELLANRITPLATLFHWDTPQALEDEYNGFLSEKVVDDFRDFATICFEEFGDRVKYWVTLNEPWVYSIGGYDTGRKAPGRASKYMNEAALAGESGREVYNVSHNLLLAHAEAVEVFRDNPKCKDGKIGIAHCPVWFEPYDSNCPKDQEAVERAMEFMFGWHMDPTVYGDYPEVMKKLIGKRLPSFTAAQSKKLKGSFDFVGANYYSAFYVKNVVDVDPNIPDWRSDAHIEWKKQNKAGQTLGPRGGSEWDFLYPQGLRKFLVYAKNRYGSPNFMITENGHCDLDYEKKAKLSNLMDLQRTEYHKIHLQSIHQAIKEDGVQVEGYYAWSLLDNCEWNAGYGVRYGLFYVDYNNGLKRYPKMSAMWFKEFLKKEDIEDSEKEGSLNFVVNKKRKRFLTSSGSFSCFIPKMSESSKALELLF >Al_scaffold_0004_313 pep chromosome:v.1.0:4:1877614:1877799:-1 gene:Al_scaffold_0004_313 transcript:Al_scaffold_0004_313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMC9] MYTVVVVAVRKVVMAEVMMVLEEAVVVFVASGDGGVVLTNSNNDFVVVVVLPSRKGEECGG >Al_scaffold_0004_3145 pep chromosome:v.1.0:4:21772115:21773474:-1 gene:Al_scaffold_0004_3145 transcript:Al_scaffold_0004_3145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLP4] MTTEEEMVRSSLKKKQKMMRKSSSESSPKSFLSLPYDVVFNCPSLILPDSVSKILKLDEGIIDPGKKKIFVPIAITEDSVFLYQMRVNRWRICVGLVGGKIYVIGGYSDDEISAEAFDLKSKSWE >Al_scaffold_0004_315 pep chromosome:v.1.0:4:1895737:1899163:1 gene:Al_scaffold_0004_315 transcript:Al_scaffold_0004_315 gene_biotype:protein_coding transcript_biotype:protein_coding description:extra-large G-protein 1 [Source:Projected from Arabidopsis thaliana (AT2G23460) TAIR;Acc:AT2G23460] MKEDDCCLFAEEYDGPPLSYNIPCALPINVEKIPVAAVVSPVCISDNMSFPVIQPILAVESSKKFLRDSVSPTSVIANCGSNELELVSDSITVSPTSVIEHTEEEEGGDGEDCGISSSGELLLRSCSVSFDQSRVSCSVKESLDLNESSNPLVPDWESNESVLSMDYPSSRVTGDCVSESNGDGKKQPVVTFLGIASDDGFEEEESCNQKRVRVVPVKKQPQTKGKKGSCYRCFKGSRFTEKEVCLVCDAKYCSSCVLRAMGSMPEGRKCVTCIGFPIDESKRGNLGKCSRMLKRLLNDLEVKQIMKTERFCEANQLPAEYVYVNGQPLYPEELVTLQTCSNPPKKLKPGDYWYDKVSGLWGKEGEKPYQIISPHLNVGGPISPEASNGNTQVFINGREITKVELRMLQLAGVQCAGNPHFWVNEDGSYQEEGQKNTKGYIWGKAGTKLLCAVLSLPVPSKSTANASGEQLYSANSRSILDHLEHRTLQKILLVGNRGSGTSTIFKQAKILYKDVPFLEDERENIKVIIQTNVYGYLGMLLEGRERFEEEALAVRNTKQCVIENIPADEGDAKSNNKTMYSIGPRLKAFSDWLLKTMAAGNLGVIFPAASREYAPLVEELWRDTAIQATYKRRSELGLLPSVASYFLERAIDVLTPDYEPSDLDILYAEGVTSSSGLASLDFSFPQTASEENLDPSDHHDSLLRYQLIRVPSRGLGENCKWIDMFEDVGMVVFVVSMSDYDQVSEDGTNKMLLTKKLFESIITHPIFEHMDFLLILNKYDLLEEKVERVPLSHCKWFQDFNPVVSHHRGSNNGNPTLGQLAFHFMAVKFKRFYSSLTGKKLFVSSSKSLDPNSVDSSLKLAMEILKWSEERTNICLSEYSMYSTEPSSFSN >Al_scaffold_0004_3151 pep chromosome:v.1.0:4:21788127:21788534:1 gene:Al_scaffold_0004_3151 transcript:Al_scaffold_0004_3151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBR2] MGYFLSICSTLEQRETLLVVATLIATFTFTGVLQPPGAFRSEDSNGGSGSQNNNNNRLINTIFGSRNSTEGQAIMANIPVNFTLYAAFNAVGFLVSVTMISLLTKGFPMRNWIRLCMHYLNCCYLSDCNRLYIIK >Al_scaffold_0004_3164 pep chromosome:v.1.0:4:21863768:21867116:-1 gene:Al_scaffold_0004_3164 transcript:Al_scaffold_0004_3164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBS7] MGCAASKLDNEDTVRRCKDRRRLMSESLNARHHLAAAHADYCRSLRLTGSALSSFAAGEPLAVSDQTPAVFLHTTPPPSQHSSAKFVPPSPAPSSVYTPPPAPPSRSPSVASSKLPPVLSASSNRRRKQQQQQQPKLPHILSSPSSSERSNFMPSFFPTAHQNSTYSATPSQASSVWNWENFYPPSPPDSEFFNRKSQERKQQNRYGDLADGEDTETERSEHEFFHMKKEKQFESMNSAAVEEEVETEREEVQCSEWEDHDHYSTTSSSDAAEEEEEEEDRESISEIGTRSDFGSSVRSSSMRRQYHQQQQQPQAMPQEYGGVAQEKYRKADDATSSGSYRGGGEMVVRHRDLKEIVDAIKENFDKAASAGDQVSQMLHLGRAQLDRSFSQLKKTVIHSSSVLSNLSSTWTSKPPLEVKYRLDTTALDQPGGPKSLSSSLDRLLAWEKKLYEEVKAREGLKIEHEKKLSKLQSQEYKGENEIKLDKTKASITRLQSLIIVTSQAVSTTSTAIIRLRDTDLVPQLVELCHGFMYMWKSMHQFHEIQNNIVQQVQGLINRSGKGESTSELHRQATRDLETAVSLWHSSFCRLIKFQRDFIHSVQAWFKLTLLPVCQDDNPSHKEPVDAYAFCDEWKLTLDRVPDTVASEAIKSFINVVHVISAKQSEELKIKKRTESASKELEKKASSLRNIERKYYQSYSTVGFGLPDTGPDNGHILDARDPLTEKKLELGACQRRVEEELLKHSKAIEVTRAMTLNNLQTGLPGVFQALTSFSALFTESLQTVCTRSYSIK >Al_scaffold_0004_3167 pep chromosome:v.1.0:4:21873617:21874687:1 gene:Al_scaffold_0004_3167 transcript:Al_scaffold_0004_3167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBT0] MERWSGVLKIPLDASTSNYYRVAASLGLSSSSPSSTTLTQVPSANAIFFHGDKVQDTGNHVIERLYDLQKVAEIIVSKFGNSVNAWVVEASLFSGPFAIYKDFVPSVNHKGAPKSYSPLGFPASSSVVSLLSSCFQASKNLILKEGKEVCLINQIASVHHCPRTIVLGFSKGGVVINQLMSEISSLDTNFAETTSATVEEPTSQHEKIQIIPASKESFLNSISEVHYIDVGLNSSGAYITDHNVVQRISQRLARRTDSLLRIVIHGTPRQWCDEQRGWIRKEKDELVRLLEAETENSGGKLQVCERFYFSDRLADLQMHFEIIDAMDVS >Al_scaffold_0004_317 pep chromosome:v.1.0:4:1903887:1904714:1 gene:Al_scaffold_0004_317 transcript:Al_scaffold_0004_317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFC4] MTTTVWWDINGCPVPDDYDVGKVGPCIKLALAKLGIDGPITINAMGDLKEISDQVLKSLTSSGICVAYFPFNIVLYTGLLMDYNLPPDNTQVFIMDYHNLLKLSAVVFSLKERGYNIVLVCPEEPAKEPPEKLPQYAGLDLHRLPWESVLQEDEDLDEQDDPKKQKT >Al_scaffold_0004_3170 pep chromosome:v.1.0:4:21881215:21881439:-1 gene:Al_scaffold_0004_3170 transcript:Al_scaffold_0004_3170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LBT3] VSPCFLLDSNKQSFMILLLRYIYQEHNLVLRVRQFFKPQQMRDTTKVKFKERRGREIFGREGDELVSPCSIQMV >Al_scaffold_0004_3173 pep chromosome:v.1.0:4:21889761:21890494:1 gene:Al_scaffold_0004_3173 transcript:Al_scaffold_0004_3173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBT6] MDLFFDSLLALCVVVVLLSLFRESLSSSFVLSNLGFDEVFCGLASCSLAYSMSFRACLSFDPSSSLLAGKDALVLYICEVVFIVKGGVAVRCRGFSRLQRSATLSSFFAV >Al_scaffold_0004_3179 pep chromosome:v.1.0:4:21928723:21932050:-1 gene:Al_scaffold_0004_3179 transcript:Al_scaffold_0004_3179 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G44970) TAIR;Acc:AT2G44970] MFRFDPTFLKLQKLIRMGEAGQSRDGESVTPLVGGGTGDNAVAPQVFNSLPALNEAASYITQATSYLGSCFSDYSVEYGGKDSCNSISHPHELIRSTSGVDGNSPVSVCISPGERFSTSSEASTSAANSPSRESTETLPQATNAIVTSNRLGFNGISMFQGLIERARRTVRGSADDIGWLQRDPEMPPVEDGTDRFNKILEDIGHGVHRLPNTVVYLLVPGLFSNHGPLYFVDTKTKFSKMGLACHIAKIHSESSVEKNAREIKEYIEELCWGSNKRVLLLGHSKGGIDAAAALSLYWPDLKDKVAGLVLAQSPYGGSPIATDILREGQLGDYVNLRKMMEILISKVIKGDIQALEDLTYERRKEFLKNHPLPRELPTVSFRTEASISPAVLATLSHVAHAELPLTNQAAKLPVVMPLGAAMAACAQLLQVRYGEKSDGLVTCCDAEVPGSVVVRPKRKLDHAWMVYSSLNEVALEADAAQVCEALLTLLVQVEEEKQQKLATKND >Al_scaffold_0004_3181 pep chromosome:v.1.0:4:21941128:21943544:1 gene:Al_scaffold_0004_3181 transcript:Al_scaffold_0004_3181 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 7 [Source:Projected from Arabidopsis thaliana (AT2G44990) TAIR;Acc:AT2G44990] MSLPIPPKFLPPLKSPPIHHHQAPPPLAPPRAAISISIPETGLGRTGTIIDESTSSAFRDYQSLFVSQRSETVEPVVIKPIDGSIPVDFPSGTYYLAGPGLFTDDHGSTVHPLDGHGYLRAFHIDGNKRKATFTAKYVKTEAKEEEHDPVTDTWRFTHRGPFSVLKGGKRFGNTKVMKNVANTSVLKWAGRLLCLWEGGEPYEIESGSLDTVGRFNVEINGCDDDDDGDSSDRDLSGHDIWDTAADLLKPILQGVFKMPPKRFLSHYKVDGRRNRLLTVTCNAEDMLLPRSNFSFCEYDSEFKLIQTREFKIDDHMMIHDWAFTDTHYILFANRVKLDPLGSIAAMCGMSPMVSALSLNPSNESSPIYILPRFSEKSMGDRDWRVPVEVSSQLWLIHSGNAYETREDNGDLKIQIQASACSYQWFDFQKMFGYDWQRNNLDPSVMNLNRGDDKLLPHLVKVSMTLDSFGDCKSCDVEPLNGWNKPSDFPVINSSWSGKKNKYMYSAASSGTRGELPHFPFDMVVKFDLDSNLVRTWSTGARRFVGEPMFVPRKSDEEGEEEDDGYILVVEYAVSVERCYLVILDAKKIGESDAVVSRLEVPRNLTFPMGFHGLWASD >Al_scaffold_0004_3205 pep chromosome:v.1.0:4:22035569:22037729:-1 gene:Al_scaffold_0004_3205 transcript:Al_scaffold_0004_3205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily [Source:Projected from Arabidopsis thaliana (AT2G45220) TAIR;Acc:AT2G45220] MMAFRAYIITFVFLCILVASTVSGYNQKDVKAWCSQTPNPKPCEYFLTHNSNNKPIKSESEFLEISMKLALDRAVLAKTHAFTLGPKCRDTREKAAWEDCIKLYDLTVSKINETMDPNVKCSKTDAQTWLSTALTNLDTCRAGFLELGVTDVVLPLMSNNVSNLLCNTLAINKVPFNYTPPEKDGFPSWVKPGDRKLLQSSTPKDNAVVAKDGSGNFKTIKDAINAASGSGRFVIYVKQGVYSENLEIRKKNVMLRGDGIGKTIITGSKSVGGGTTTFNSATVAAVGDGFIARGITFRNTAGANNAQAVALRSGSDLSVFYQCSFEAYQDTLYVHSNRQFYRDCDVYGTVDFIFGNAAAVLQNCNIFARRPRSKTNTITAQGRSDPNQNTGIIIHNSRVTAASDLRPVLGSTKTYLGRPWRQYSRTVFMKTSLDSLIDPRGWLEWNGNFALKTLFYAEFQNTGPGASTSGRVTWPGFRVLGSASEASKFTVGTFLAGSSWIPSTVPFTSGL >Al_scaffold_0004_3209 pep chromosome:v.1.0:4:22048924:22051581:1 gene:Al_scaffold_0004_3209 transcript:Al_scaffold_0004_3209 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycoprotease 1 [Source:Projected from Arabidopsis thaliana (AT2G45270) TAIR;Acc:AT2G45270] MVRLFLTLSPAIPRFNLFPGISILATKLRTKTPAFSLISPSSSPNFQRTRFYSIGTRIYYSLPNSENSNFDDTLVVLGIETSCDDTAAAVVRGNGEILSQVISSQAELLAQYGGVAPKQAEEAHSQVIDKVVQDALDRASLTEKDLSAVAVTIGPGLSLCLRVGVRKARSVAGNFSLPIVGVHHMEAHALVARLVEQELSFPFMALLISGGHNLLVLAHKLGQYTQLGTTVDDAIGEAFDKTAKWLGLDMRRSGGPAVEELALEGDAKSVKFNVPMKYHKDCNFSYAGLKTQVRLAIEAKEIDAKCPVSSATNEDRRNRADIAASFQRVAVLHLEEKCERAIDWALELEPSIKHMVVSGGVASNKYVRLRLNNIVENKNLKLVCPPPSLCTDNGVMVAWTGLEHFRVGRYDPPPPATEPDDFVYDLRPRWPLGEEYAKGRSKARSMRTARIHPSLTSIIRADSLQQQT >Al_scaffold_0004_3224 pep chromosome:v.1.0:4:22103084:22104451:1 gene:Al_scaffold_0004_3224 transcript:Al_scaffold_0004_3224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCI8] MFSIRIRSFNSLSTPINKIRHSFSHNISSLPSLSAASVPYPIPRDPSSRPLPPHQEVMWKLDWSASHIHARVMMMIKLSNLDAAADQARLTVLAARGRSLSSVTATGTCDAIIGAMCSEGRYSDAFDYFHYFFNESNLKPNISCCNHIIGALCHDHEGGVDEAIRFYRHLLVKSPFHPNEETYSLLAKGLVDTGRVKEAEDLIMEVMSSEAEFQLSPLVFNHLIRGFLDQGKLETAIEVFKDLKQRVVSSIDDEVSDLIHFIIDEDYNGIAMVNATFIDYWFKQGNDSEATKCYTSLLGKKFIMDANTGNTLLRILLNHGKKTEAWALFDRMLAKRDRHPVQFDAETCNIMVNECFRNSRFNEAVDTFQRVVTTINGSQLCYRNIISRFCEQGMLSEAENFFEDMCSKQFIIPDIPTYRLLLDAYANAARFDDAVRMVNLTVDANLKCIAKFCPL >Al_scaffold_0004_3226 pep chromosome:v.1.0:4:22112512:22113170:-1 gene:Al_scaffold_0004_3226 transcript:Al_scaffold_0004_3226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCJ0] MMTANLNGGGRGGGEGPCGACKFLRRKCVKGCVFAPYFDADQGTARFAAVHKVFGASNASKMLLRLPLHKRLDAVVTLCHEAMARLRDPVYGSVGHLFSLQHQVMNLQAELAHVQALLSTFQRFPLQSPQQMQPPPFDLAHNNEYTMEPSNLDGVWEEEHLLQDGTEDGDFQELASQFVSRYLPAVKLPACT >Al_scaffold_0004_3236 pep chromosome:v.1.0:4:22153789:22156473:-1 gene:Al_scaffold_0004_3236 transcript:Al_scaffold_0004_3236 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:Projected from Arabidopsis thaliana (AT2G45500) TAIR;Acc:AT2G45500] MSFLRGIIDSFSSILNEESKQDSSVSSSSTSSGSMNGIDGVPVTNERIAYKLKGYFDLAKEEIAKGIRAEEWGLHDDALLHYRNAQRIMNEASSTPSPSYISSSEKEKVRSYREKISNWQNQVSERLQALGKRTGVGVSENKRTVASPSSASVSSTASRYRKTSLQKTPVPRGGIATAKNPKDAAASPKPVKESGNVYDDKLVEMINTTIVDRSPSVKWDDVAGLDGAKQALLEMVILPAKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESQATFFNVSASSLTSKWVGEAEKLVKTLFQVAISRQPSVIFMDEIDSIMSTRSISENEASRRLKSEFLIQFDGVTSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDSNVRKLLFKTKLKCQPHSLSNDDIDKIVKETEGYSGSDLQALCEEAAMMPIRELGADILTVQANKVRPLRYDDFRKSMAVIRPSLSKSKWEELERWNSEFGSN >Al_scaffold_0004_324 pep chromosome:v.1.0:4:1937549:1938507:-1 gene:Al_scaffold_0004_324 transcript:Al_scaffold_0004_324 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyl esterase 6 [Source:Projected from Arabidopsis thaliana (AT2G23550) TAIR;Acc:AT2G23550] MENNNQKRFVLVHGVCHGAWTWDKVKTQLEAAGHCVTAVDLAASGLNMTRVEEIQTLKDYCKPLLEFLSSLGSDDDKVILVAHSMGGIPAALAADIYACKIAAIVFVTAFRPDTKNPPVYVYEKVPRSIPQEEWLDTECGTYGTPDCPLQSTLLGPKFMAKKMYQHSPVQDLELVKTLVRTNPIVTNNLAGTRSFSEEGYGSVTRIYIVCGEDLVEPEDYQRWMITNFPPKEVMEIKCADHMPMFSKPQEVCALLLEIADKYCQT >Al_scaffold_0004_3241 pep chromosome:v.1.0:4:22181141:22182207:-1 gene:Al_scaffold_0004_3241 transcript:Al_scaffold_0004_3241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCK5] MLSCFLIFTTTRSGRNSHGTFALPPGPPRLPIIGHIHLVGKHPHRSFAALSKTYGPVMSLKLGSLNTVVIASPEAAREVLRTHDQILSGRSPTHAIRSINHQDASLIWLPSSSARWRLFRRLSVTQLLSPQRIEATKALRVNKVKELVSFISESSNREESVDISRVSFITTLNIISNVLFSVDLGSYNAKASINGVQDTVNSVMGAVGNPDAANYFPFLGFLDLQGNRKTMKVCTERLLRVFRGFIDAKIAEIFSQNNPKDVSNNDFVDNLLDFKGDETELNISDIEHLLLVSLTLLIMIYKIME >Al_scaffold_0004_325 pep chromosome:v.1.0:4:1943189:1943910:-1 gene:Al_scaffold_0004_325 transcript:Al_scaffold_0004_325 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyl esterase 19 [Source:Projected from Arabidopsis thaliana (AT2G23570) TAIR;Acc:AT2G23570] MGGIPAAVATDIFPCKIAAVVFLAAFMPDTRNPPAYVFEKLIRSIPREEWLDTVFGRYGNPDCSLESALLGPNFMAKKVYQLSPVEDLELAKMLVRVNPLVTNNLAGARSFTEEGYGSVTRIYIICGEDNIVPEDYQRWMISNFPVKEVMEIKDTDHMAMFSKPQKLCALLLEIADKYA >Al_scaffold_0004_3257 pep chromosome:v.1.0:4:22251015:22251733:1 gene:Al_scaffold_0004_3257 transcript:Al_scaffold_0004_3257 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S27 [Source:UniProtKB/TrEMBL;Acc:D7LD76] MVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTLLCTPTGGKAMLTEGCSFRKKGD >Al_scaffold_0004_3258 pep chromosome:v.1.0:4:22252875:22253691:-1 gene:Al_scaffold_0004_3258 transcript:Al_scaffold_0004_3258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LD77] MVATLQGHKKDIKGIALPQGSDKLYSASGDGALRIWDCHTGQCVHEINLQAEAGSLISERPWVFLGLPNAVKAFNVQTCKDLHLNGSVGGQVNAIAIGNGMLFAGTSSGNISVWKGTDTESDPFKYLTSLEGHSDEVKCFVVGGQRLYSGSVD >Al_scaffold_0004_3263 pep chromosome:v.1.0:4:22267494:22267858:1 gene:Al_scaffold_0004_3263 transcript:Al_scaffold_0004_3263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LD82] ICECMIAHGAAHFLKGRLFDQGDAYTVHVCEVCGLITIANMKKNSFECRGGKNRIDINIVSIPYACKLLFQELILWQWQLHHGCLL >Al_scaffold_0004_3301 pep chromosome:v.1.0:4:22405241:22407769:1 gene:Al_scaffold_0004_3301 transcript:Al_scaffold_0004_3301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDW6] MESESENNNDDNLGQEIDREEDGPPPSSVGGKRTKSQRSSSGFAVKPRKRPAHRAPVWKHFVQQEDNLALSKCRYCGQLIGCDTVKTGTSAMTNHIKRCKLFKMYESDNQKVLAGDSSGVMTAIKRTMTTYQMHPCSIVT >Al_scaffold_0004_3328 pep chromosome:v.1.0:4:22507921:22508103:-1 gene:Al_scaffold_0004_3328 transcript:Al_scaffold_0004_3328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LDZ5] IVGEDQNESSEEDQRRKDDLPKVEFAVRSTGYPRRSRNCRRRRRNRLAKRILVLFLLLGR >Al_scaffold_0004_333 pep chromosome:v.1.0:4:1989596:1991761:1 gene:Al_scaffold_0004_333 transcript:Al_scaffold_0004_333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG11] MEFRHRGQGKGHRHGWRSIRRCSGGSFPVEERVPDLIPRFEDARRNCGRASHGSTDGMDVIAAAEVVLWTGGVLLLISMVNSRFFLDLKRLSWIPAVARLTEPSPLYSCRGLLPSFRV >Al_scaffold_0004_3337 pep chromosome:v.1.0:4:22548308:22549019:-1 gene:Al_scaffold_0004_3337 transcript:Al_scaffold_0004_3337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LEJ0] MARRIQRRSRCRDLYQQFVTPVVLGFLLFLVYSTFMIGYRIHKRSSLKDNFGNNFIDKDLNWREREALESVPSLFTKERLKQVLDALSATTSSDNPLSLDSLRKNHSMDNSVQTSSE >Al_scaffold_0004_3357 pep chromosome:v.1.0:4:22622242:22624421:1 gene:Al_scaffold_0004_3357 transcript:Al_scaffold_0004_3357 gene_biotype:protein_coding transcript_biotype:protein_coding description:laccase 6 [Source:Projected from Arabidopsis thaliana (AT2G46570) TAIR;Acc:AT2G46570] MTDSAAPCLFRLSFFLFTLQVMNVGRVSATTRFYQFKVQTIRLTRLCQTNEIVTVNGKFPGPAISAQEDDRIVVKVINMTPYNTTIHWHGIKQKLSCWYDGPSYITQCPIQSGQSFTYNFKVAQQKGTFLWHAHFSWLRATVYGPLIVYPKASVPYPFKKPFNEHTILLGEYWLKNVVELEQHVLESGGPPPPADAFTINGQPGPNYNCSSKDVYEIQIVPRKTYLLRLINAGINMETFFTIANHRLTIVEVDGEYTKPYTTERVMLVPGQTMNILVTADQAVGRYSMAMGPYESAKNVEFQNTSAIANFQYIGALPNSVTVPAKLPIFNDNIAVKTVMDGLRSLNAVDVPRNIDAHLFITIGINVNKCNSENPNNKCQGPRKGRLAASMNNISFVEPKISILEAYYKQLEGYFTLDFPTTPEKAYDFVNGAPNDIANDTQAANGTRAIVFEYGSRIQIIFQNTGTLTTENHPIHLHGHSFYVIGYGTGNYDQQTAQFNLEDPPYMNTIGVPVGGWAAIRFVANNPGLWLLHCHFDIHQTWGMSTMFIVKNGKKVQESLPHPPADLPKC >Al_scaffold_0004_3369 pep chromosome:v.1.0:4:22663634:22663963:1 gene:Al_scaffold_0004_3369 transcript:Al_scaffold_0004_3369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LF75] LLAPPLTLRLEPFLKSKVNIEMILFAFHTAPEENLVEITLITRLPGEDMSNKDLGKINNGEKKPLLPLVNIVRSLIHPELEGHLWKEPLRKLHRLHLLPSQQLTKLWVS >Al_scaffold_0004_338 pep chromosome:v.1.0:4:2020465:2020722:1 gene:Al_scaffold_0004_338 transcript:Al_scaffold_0004_338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG16] MSKDIKNSNTTPGKGKFEANEITTKCPRLEKYLCNKRPRSGLDRRDKDRAQQSAKKPKLETAREQTGSKIKQTRHGIEKAREQRY >Al_scaffold_0004_339 pep chromosome:v.1.0:4:2026557:2026808:1 gene:Al_scaffold_0004_339 transcript:Al_scaffold_0004_339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG17] MSKPSLPTLIPLASSTTSHSPNPAHPNPNSAAATTINLKGNARSRPSASGRQPLLGVDVLGFKEQGQGLKNFVSFVLYLIGLV >Al_scaffold_0004_3399 pep chromosome:v.1.0:4:22831401:22833327:-1 gene:Al_scaffold_0004_3399 transcript:Al_scaffold_0004_3399 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 709, subfamily B, polypeptide 2 [Source:Projected from Arabidopsis thaliana (AT2G46950) TAIR;Acc:AT2G46950] MELLSTINLLAIALVLLVVPKIYGACRILVWRPLMLSRRFKKQGISGPKYRILYGNLREIRKVKNEAKLKILDPNSNDIVPRLLPHLQQWMSQYGETFLYWQGTEPRLCISDHELAKQILSNKFGFFAKSKTKPEILKLAGNGLIFVNGLDWVRHRRILNPAFSKDKLKLMTQLMVDCTLRMFDEWGKQRNGGETEQVVLISREFKRLTADIIATAAFGSSYAEGIEVFKSQIELQKCCAASLTDLYFPGIQYLPTPSNLQIWKLDRKVNSSTKRIIDARLKSESKNYGNDLLGIMLTAASSNESEKKMSIDEIIEECKTFFFAGHETTANLLTWSTMLLSLHQDWQEKLREEVFNECGKDKIPDSETCAKLKLMNMVFMESLRLYGPVLNVLRLASEDMKLGNLEIPKGTTIVLPIVKMHRDKAIWGSDADKFNPMRFENGNSRAANHPNALLAFSIGPRACIGQNFAIMEAKTVLAMILQRFRLNLSADYKHAPADHLTLQPQYDLPVMLQPIDG >Al_scaffold_0004_3412 pep chromosome:v.1.0:4:22898785:22901951:1 gene:Al_scaffold_0004_3412 transcript:Al_scaffold_0004_3412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFY7] MDFRFSVVVYLLILVLANSFDVVDSSDSFYVSRNTSLVSPGGVFELGFFSFGDRWYFGIWYKKIPKRTYVWVGNRDIPLYNSNATLEISGANIVLLDSNHRIIWDTGRGNEISPELVAELLANGNLVLRNKDPGDYLWQSFDNPTDTLLPDMKLRSSKVPNFGSRRYLASWKAPNDPAKGNFIFGMDGDKFPRILIMQGEEITKVYRSGGWNGIEFADLPLVFNSTNEDGESTFVYQDNDLYSIVTLTPDGVLNWLTWNQRSQEWTLRWTALLTYCDRYNHCGANSYCNAHTSPPTCNCITGFEPGTSRNVTGGCVRKTPVSCNCNRFSQLTKMKLPDTVDAKQYSPYELKTCRDMCVKDCHCTAYTVIVYQNGTSSSNCVTWSGDLLDLQNYAMAGQDLYIRLNGKTKNKSRLIIGLSLGATAAVIIIVILLVLCIWRRKQNQARATAMDEMQSNEDTFGAEETETLAMDIIQSNEDIFGAEETETLQLPPMDFGLILRATENFSDANEIGHGGFGTVYKGRLPSGQEIAVKRLSEVSRQGTVEFKTEVMLIANLQHINLVKLLGWSVHERERVLIYEYLENGSLQHHLFGGGQNSSDLNWQMRFEIIKGICHGLAYMQDGSRVMIVHRDLKPANILLDRNMIPKISDFGLARICSRSESKAVTTKPSGTYGYMSPEYAESGLYSAKSDIFSFGVMLLEIIWTKWNDGNWEETIEQAIQESSSFQKHQVRRCLEVGLLCVQQDAEDRPQMLSVVMMLLNEATDIPRPKLPGFYKAENYKDQSEIYSTSGSQW >Al_scaffold_0004_3418 pep chromosome:v.1.0:4:22915473:22916556:-1 gene:Al_scaffold_0004_3418 transcript:Al_scaffold_0004_3418 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G47140) TAIR;Acc:AT2G47140] MSGKRLDGKIVIITGGASGIGAESVRLFTEHGARVVIVDVQDELGQNVAVSVGEDKASYYHCDVTNETEVKNAVKFTVEKYGKLDVLFSNAGVIEPFVSILDLNLNELDRTVAVNIRGAAAFIKHAARAMVEKGTRGSIICTTSVAAEISGTAPHGYTASKHGLLGLIKSASGGLGKYGIRVNGVAPFGVATPLVCNGFKMEPNVVEENTSASANLKGIVLKARHVAEAALFLASDESAYVSGQNLAVDGGYSVVKQ >Al_scaffold_0004_3424 pep chromosome:v.1.0:4:22941612:22942425:1 gene:Al_scaffold_0004_3424 transcript:Al_scaffold_0004_3424 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOMAIN OF UNKNOWN FUNCTION 724 4 [Source:Projected from Arabidopsis thaliana (AT2G46840) TAIR;Acc:AT2G46840] MVETETSGRGKRRRVEQEHRPDLNKTAAPRGSAEDNVLPFTKTLPSWKEYESDEVYKRTPQRPHFPSLAYSCELFREGIAAGLTGAFIKCVKTVDDMGEDTPRSKLDVYKETFVMLEEQGFDVRAPLSRINELLSLQNGLPNMLKKEMRGESSNKKAKAGLNKKPSFKTKEMARKSTVKQDRLNFLYEKQREIREGSSKEPKLNKSLVRCKSSFEDKETAK >Al_scaffold_0004_3428 pep chromosome:v.1.0:4:22957894:22960783:1 gene:Al_scaffold_0004_3428 transcript:Al_scaffold_0004_3428 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOMAIN OF UNKNOWN FUNCTION 724 6 [Source:Projected from Arabidopsis thaliana (AT2G47230) TAIR;Acc:AT2G47230] MEEKIKKDSEVEVSSKEEGFADAWFRGILEENPTKSGRKKLRVRYLTLLNDDGLSPLIENIKPKFIRPVPPENEYKGIVLEEGSVVDADHKDGWWTGVIIKKLENDKFWVYYDSPPDIIEFKRNHLRAHLRWTGWKWVRPDIQELDKSMFCPGTMAEVSTVKEKAEVAWFPAMIIKEIEVDGEKKFIVKDCNKHLSFNGDEARTNSTIDSCRVRPTPPPFPVEKYELLDRVELFHGSVWRQGLVRGVLDQNCYMVSLVITKEESVFKHSDLRPCKVWEDGVWHDGPKQTPVTETPSNVIKTKPMRSCSGSCSGAKVMTPKRTTKYARRSLNLEENAETLTKAETGAATRELRSKRANDNTPLVITPQVKPIASVKPVTPSRVRTATPLKQTEADTQRKTSPKKTLEPMRNENGLENSTQQKILEEKNSEEKGRKRKREKEHNSDLKETDESCNGEIAEINDTSSICNDVDVDDQHLAAWINLPTEPSFYHSPIVVNDAAVATDVEETQGKDTLMILPFAKKSPFWKMHETQEVCKIVPQSPHFSPLFDAKEELREWTAVGMMVTFYGLLEEVKNLQLDVSPSKLSSLSCSFADLEKHGFDVAAPQSRINKILSLQDELAKKAEERKCLEKKIEAGEMEGHKYEEEMAELECKILELKRQQAVAKEMKETTDKMTSGMTSYAEMINQEMEDLRLEFQTTASAPW >Al_scaffold_0004_343 pep chromosome:v.1.0:4:2040551:2040757:-1 gene:Al_scaffold_0004_343 transcript:Al_scaffold_0004_343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG21] MQLKDLFWEWRDFHSYVPGGLNSIGRKRKRFVEHLAKKKLESEHKRKMKKDKKKDKKKKAKEEKKNID >Al_scaffold_0004_3432 pep chromosome:v.1.0:4:22982627:22983989:-1 gene:Al_scaffold_0004_3432 transcript:Al_scaffold_0004_3432 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 23 [Source:Projected from Arabidopsis thaliana (AT2G47260) TAIR;Acc:AT2G47260] MEFTDFSKTSFYYPSSQSVWDLGDLAAAERNSLGFMELLGSQHHDFATVSPHSSLLETPQQQTQPSAKLSSSILQAPPSEQLVTSKVEPLCSDHLLANPPATPNSSSISSASSEALNEEKPKREGNEEEKSHTKKQMFWRKNRLKPKKNNQKRQREARVAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTASCNVKKRVERSFRDPSTVVTTYEGQHTHISPLTSRPISTGGFFGSSGAASNLGNGCFGFPIDGSTLITPQFQQLVHYHQQQQQQQQQELMSCFGGVGEYLNSHANEYDDDHRVKKSQVLVKDNGLLQDVVPSHMLKEE >Al_scaffold_0004_3445 pep chromosome:v.1.0:4:23025506:23033435:1 gene:Al_scaffold_0004_3445 transcript:Al_scaffold_0004_3445 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40/YVTN repeat-like-containing domain;Bromodomain [Source:Projected from Arabidopsis thaliana (AT2G47410) TAIR;Acc:AT2G47410] MDWIHKSTPFLETSNLANLVQGNVPLAPHESHSSLTDVDMDLREVYFLILHFLSTGPCERTFGHLRDEILEKGLLPRRYHSWWSRSGTCSGRADDDGISLPLSYDNLIERYPHIEKDHLVKLLKQLILNPSFPSHMRVEGNGPNAADVPTLLGSGTFSLVDRKNIVSQKAKHVASYLRWPHMHADQVRGLSLREIGGGFRKHHRAPSILSACHAIAKPSTMVQKMQNIKKLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETALCLASCRGHEGDITDLAVSSNNALVASASNDFVIRVWRLPDGMPISVLRGHTGAVTAIAFSPRQASVYQLLSSSDDGTCRIWDARYSQWLPRIYVPSPSDASTGKSTFTSSNTASTSNASQSHQILCCAYNANGTIFVTGSSDSNARVWSASKPNLDNAEQPTHELDVLRGHENDVNYVQFSGCAVAPKSSTADTLKEDSYPKFKNSWFCHDNIVTCSRDGSAIIWTPRSRKFHGKSGRWMKGYHLKVPPPPLPPQPPRGGPRQRFLPTPRGVNMIIWSLDNRFVLAAIMDCRICVWNAADGSLVHCLTGHSESSYVLDVHPFNPRIAMSAGYDGKTIIWDIWEGIPIKVYEIGRFKLVDGKFSQDGTSIVLSDDVGQIYFLNTGQGESQKNAKYDQFFLGDYRPLIRDTNGHVLDQETQLLPHRRNLQDLLCDSSMIPYPEPDQTMFQQRRLGALGVEWRPSSIKFSVGPDFSLGQDYIMPPLADLDRLIEPLPEFIDAMYWEPEHEVLSDDNDSEYNAEVSSDGARASPYSDSSNELECSSEDSEVENIHENNYHWKRRRKHPRAEVSTSSGRRDKRILDENDSSKSGLKKSKNRRIVVKASKRKYSDVKASRPQRAAAQNARSLLSKISGSSSDEVDDDNDSSNSESDRSIPTSRQLDNPSHMLESLSNDKQKKRLIVKISVKKAAESVESKGDVINQADLEQLSFKSLEENHRVIGIYSREPGSGSVDAKGDSWCQNIPYSMNTPQREKADNQLIKSSDQDQNMCKWRDEIPVCEPNELTVPENVEEAQPFNGDEDLSRVEPLSADEILPKKVRRLRLKLRHPNSPLKLEPDEVADDLADGRDGFASIAPSSVNPIMDSEPVIDSVRDSSAHDFEFGESTADVRRRKRSVRSETSTRNSALRIRLGSGSVDKIKNQGMASTSEYDGASLEEWPSTSKAGSRSRSASTSKPSLHTGIRLNSVSRKISWLLLSEHEEGCRYIPQLGDEVIYFKQGHQEFLETRELNDRDRSRYLPRNLGAVEICKVEKLNYDTYPGSGESCCKMTLRVLDSSSSHASRKEFQLTLPDLINFPDFIVEKTRYDAAIQTNWEIGDECRVWWRNASGEGGAWWAGRIESSQVKSTDFPESPWERYRVDYETGDTSLHSPWEFDNPQFPWEKSTIEENRREKLLSLFAGLVKSISKHQDSYGIQKLNEAAQKMDFCNRFPVPLYPELIHQRLENQYYRSIESFKHDVDAMLSNAELYFARNAHMLSKMKRLREKLTKTLRKLI >Al_scaffold_0004_3456 pep chromosome:v.1.0:4:23086118:23090776:1 gene:Al_scaffold_0004_3456 transcript:Al_scaffold_0004_3456 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop nucleoside triphosphate hydrolases superfamily protein with CH (Calponin Homology) domain [Source:Projected from Arabidopsis thaliana (AT2G47500) TAIR;Acc:AT2G47500] MAATATEDGGLSFTVASVMEDVLQQHGNGLRDHDLVSRRAEEAASRRYEAANWLRKMVGVVGAKDLPAEPTEEGLRLGLRSGIILCKVLNKVQPGAVSKVVESPCDAVLVADGAPLSAFQYFENVRNFLVAIQEMGFPTFEASDLEQGGNASRVVNCVLAIKSYDEWKQSGGIGVWKFGGNIKPPALGKSSFLRKNSEPFMNSLSRTSSINNEKSPSENDSNKLSSPGSLSTLVRAVLSDKKPEDVPKLIESLLSKVVEEFENRVTNQYELVRAAPRESTSSQNNRSFLRPLGEREREEKSFKAIKKDETNHKSQILDEEMKNRQFKQLTIFNQQQEDIEGLRQTLYTTRAGMQYMQKKFQEEFSSLGMHVHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLPGQSSFSSTIGNMEDDTIGINTASRHGKSLKSFTFNKVFGPSATQEEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTFTMSGPRDLTEKSQGVNYRALGDLFLLAEQRKDTFRYDIAVQMIEIYNEQVRDLLVIDGSNKRLEIRNSSQKGLSVPDASLVPVSSTFDVIDLMKTGHKNRAVGSTALNDRSSRSHSCLTVHVQGRDLTSGAVLRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINRSLSALGDVIASLAHKNPHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEADAVGETISTLKFAERVATVELGAARVNNDTSDVKELKEQIATLKAALGRKEAESQQNNILTTPGGSEKHKAKTGEVEIHNNNIMTKKSESCEVEEITVNSPPWPPVASPGQAYREDDRSFGSSEWVDKVMVNNRQDEMRRVESLWGGATTDNGIGILPEDFYRRDLASDTSRIFSEHSYNIFMGNNNITDDLDAATSDSSEPDLLWQFNQSTKIPTRSNIESKPKKPVSKPIRSPQSRNNSNNTVSRPSASQKVGNGPRGTKQLGPTDMKRKATNARH >Al_scaffold_0004_3476 pep chromosome:v.1.0:4:23166221:23168130:1 gene:Al_scaffold_0004_3476 transcript:Al_scaffold_0004_3476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHB7] MATVSASPPWPNPNPTPGSAASFSSTAPHSDDDSDRQLDSNPSSPATPLPSLLHISFNQDYGCFAVGTDCGFRIFNCDPFSEIFRRDFDRGGGVAVVEMLFRCNILALVGGGPDPQHPPCKVMIWDDHQNRCIGELSFRSDVRSLRLRRDRIIVVLEQKIFVYNFADLKLMHQIETIANPKGLCAVSQGAGSLVLVCPGLQKGQVRVEHYASKRTKFIMAHDSRIACFALTQDAHLLATASFKGTLLRVFNTADGTLLQEVRRGADRAEIYSLAFSSDAQWLAVSSDKGTVHVFGLKINSGSQVKDTSRRTHPEWLAVSSDKGPDATHAALSPSSSLSLLRGVLPKYFSSEWSVAQFRLVEGSQYIVAFGHEKNTVVILGMDGSFYKCQFDPVQGGEMSQLEYHNCLKPPSVL >Al_scaffold_0004_3505 pep chromosome:v.1.0:4:23266173:23267652:-1 gene:Al_scaffold_0004_3505 transcript:Al_scaffold_0004_3505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G48000) TAIR;Acc:AT2G48000] MIKAHLENLTDFPNFSDLLCLSRGSSSTLKTLTPFCFTLFRSPIHPPFKSASALKTQLLRFCNDSDKVASVLESHDEIQGAAFLELLRQLRPWPVLSLVVFDWRRNKALCDGVPMTAKGITISGRLKNVDLALSLFNESPKKTTSLYNALMGAYMCNGLADDCKQLFLDFNAQQRGHSSTPSVSTYNILISLYGRDTDQAITKGLQIETDGTMRSIVASYFRCNAADKLAKFMQRAISAGWKMSRSMFHGLMIMYGSQKRFKEMEDVLSEMERFNISRSKKTFCILHRVYVAAHGQEHKVNQVAGMMLKDGHDLWRREDYLKL >Al_scaffold_0004_3512 pep chromosome:v.1.0:4:23291579:23292432:1 gene:Al_scaffold_0004_3512 transcript:Al_scaffold_0004_3512 gene_biotype:protein_coding transcript_biotype:protein_coding description:resistance to phytophthora 1 [Source:Projected from Arabidopsis thaliana (AT2G48070) TAIR;Acc:AT2G48070] MSWSLCSTHSHGVSSSIALTYGFRHRRSTFRICATSDPKDEPESPLPSSSSSPSALGKDLKKVVNKTAATFAPRASTASKNPALPGTTLYKVFEVQGYASMLLGGVLSFNLLFPSNEPDLWRLMGMWSIWMFTIPSLRARDCPLKEKEALNYLFMIIPLLNVAIPFFWKSFALVWSADTVTFFAMYAWKLGWLESTE >Al_scaffold_0004_353 pep chromosome:v.1.0:4:2144676:2146218:1 gene:Al_scaffold_0004_353 transcript:Al_scaffold_0004_353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG32] MWRLRIGAEAGQDPHFFSTNNYLGRQIWEFHAKAGSVEELSKVEEARRNFANNRSSFKASADLLWRMQGKKIPRVRVENAEKITLNLRRSYGDGNNELLKIEPMYLQFPFELKKQMSCSLNLTNKTDNNGAFKVKTKNPKNYCVRSNYGLILPKSTCKVLVTMQAQKEVLNSDMQSNEKFMIQSVIASPAVTAKEVTRETFRKESGHLVEETKLKVTYF >Al_scaffold_0004_354 pep chromosome:v.1.0:4:2159767:2161309:1 gene:Al_scaffold_0004_354 transcript:Al_scaffold_0004_354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG32] MWRLRIGAEAGQDPHFFSTNNYLGRQIWEFHAKAGSVEELSKVEEARRNFANNRSSFKASADLLWRMQGKKIPRVRVENAEKITLNLRRSYGDGNNELLKIEPMYLQFPFELKKQMSCSLNLTNKTDNNGAFKVKTKNPKNYCVRSNYGLILPKSTCKVLVTMQAQKEVLNSDMQSNEKFMIQSVIASPAVTAKEVTRETFRKESGHLVEETKLKVTYF >Al_scaffold_0004_355 pep chromosome:v.1.0:4:2175719:2176550:1 gene:Al_scaffold_0004_355 transcript:Al_scaffold_0004_355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LG34] MYLQFPFELKKQMSCSLNLTNKTDNNGAFKVKTKNPKNYCVRSNYGLILPKSTCKVLVTMQAQKEVLNSDMQSNEKFMIQSVIASPGLTAKEVTRETLRKESGHLVEETKLRVTYVSSTTTTSPIHQGPEEGLYFSVFV >Al_scaffold_0004_356 pep chromosome:v.1.0:4:2180194:2180968:-1 gene:Al_scaffold_0004_356 transcript:Al_scaffold_0004_356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG35] MKLSPEKVTRKILDLSDGSSGGGNNDKGLTNNLCLDGNELVEVHERGDKEMNMSEDKGKAIFGLHKYM >Al_scaffold_0004_365 pep chromosome:v.1.0:4:2237154:2237752:-1 gene:Al_scaffold_0004_365 transcript:Al_scaffold_0004_365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG44] MDPDDPNYAKSFWEFDTAPIADRNEASSIFDKITASLKQANPRYFIRMVTIYVDFSAFQVHTSIFQKGNHNFNLIVAHMPKRDPYCRDQARKDGKPPKDLLASHYLKQDLNFHTIHDDPPFNVLVITYDPAFEATIIELYQSGFVMLLACDTRTLSTVGRHCYYKWYWNQMRMGAAPLLPDGTFLA >Al_scaffold_0004_367 pep chromosome:v.1.0:4:2253706:2254898:1 gene:Al_scaffold_0004_367 transcript:Al_scaffold_0004_367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G23970) TAIR;Acc:AT2G23970] MVNEQKRFALFLATSDSTFVKKTYGGYFNVFVSTFGEDGEQWDLFRVIDGEFPDDKDLDKYDGFVISGSLHDAFGDDDWIVKLCSLCQKLDDMKKKVLGICFGHQILSRIKGGKIGRASIGLDMGLRSITMVKDAVKPGGYFGSQIPKSLAIIKCHQDEVFELPESATLLAYSDKYNVEMCSYGNHFLGIQGHPEYNKEILFEIIDRVVNLKLMEQEFADKAKATMDNAEPDRKQWQTLCKNFLKGRSEQV >Al_scaffold_0004_371 pep chromosome:v.1.0:4:2277951:2278480:-1 gene:Al_scaffold_0004_371 transcript:Al_scaffold_0004_371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG49] MKHNGTYTQIIIRSSLKIHKISLKSTALGSSMQSEKHIVSLCPEAEVEFEEGRFQVTGKIWRITEEKCESDYEKRQKWIDVGGTQYYYCDKCNGEDHEKFDNTLLYRSSKEARA >Al_scaffold_0004_372 pep chromosome:v.1.0:4:2286968:2287823:1 gene:Al_scaffold_0004_372 transcript:Al_scaffold_0004_372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG50] MNDQAQRIQQQTSNRTTMTKLICKCQTKANTQMSDQSNNISDSASRGYWSAGLAPSSSFLSGGLATSGGYLSGGLATSGGYLSCGSATSGGYLSGGSATSAASRRFTFRRHKKRL >Al_scaffold_0004_375 pep chromosome:v.1.0:4:2305542:2306988:-1 gene:Al_scaffold_0004_375 transcript:Al_scaffold_0004_375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised BCR, YbaB family COG0718 [Source:Projected from Arabidopsis thaliana (AT2G24020) TAIR;Acc:AT2G24020] MASMAATTNFTKFLLFPFSHVSGNASLNSQRVTWPKQQKSKNGYRSLRVNGLFGGGNKDNNSEDGQSKAGIFGNMQNMYETVKKAQMVVQVEAVRVQKELAAAEFDGYCEGELVKVTLSGNQQPIRTDITEAAMELGSEKLSQLVTEAYKDAHAKSVVAMKERMSDLAQSLGMPPGLSEGLK >Al_scaffold_0004_376 pep chromosome:v.1.0:4:2308013:2309882:-1 gene:Al_scaffold_0004_376 transcript:Al_scaffold_0004_376 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding;nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT2G24030) TAIR;Acc:AT2G24030] MEFRYRAIDSNRPPPATETTPSQSPNPSFPFFSARSMSGKSEEQVREAMIQREIEKEKIRQEIIIAEAARKRELIAEVLQEMAIEREMSIRRVSDTGMSLEEKLIMWINQRKLPNQNQNQNNNNLFKAKYSYIDSLINTGSYNSLVTSPMMQLPQLQQISEATGTSMLESNKEKLIVLSRADHIGAKPKADSVGTMQLPQLQQMPETTTGTSVLESNKEKLIVLARADPVGEKRKAEDTQTGLNEDLQMKRQKSKESEAKTMSLESGEIVSSKLPCLGKLGCGKKVEIKVRSNYKFWCEICKVGTYCQTVMRDHELGKKHKAAVTQQNEAPEAASTSLSPASVTAPQSEATTVREDANPQGKVDEMSAKETTGKTTEGEKKKKGTFWCKTCNIQTNSEQTMRNHTLGKKHMALIGKQQKKLITVPVRSLNADPGEKESVSIAMDDTKGNVHCLEKS >Al_scaffold_0004_381 pep chromosome:v.1.0:4:2328772:2329759:1 gene:Al_scaffold_0004_381 transcript:Al_scaffold_0004_381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGQ7] MMVSSCLYSNCSIFTVEGRGGGDGSDVVQLLLMTLFSDGGCGADFTIEWRSLACRLKFETDMQSGARYKYEVASWGLW >Al_scaffold_0004_382 pep chromosome:v.1.0:4:2348460:2349423:1 gene:Al_scaffold_0004_382 transcript:Al_scaffold_0004_382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit beta [Source:UniProtKB/TrEMBL;Acc:D7LGQ9] MTGVVGDVNQGKRQCWEEIKGKDLCSTRRNECSPRCIKKYPKGGSTCVPTPQGGKNIWDYLVTFTSIYLQTNNKSQKEIIPNKKTHSWRCNGIGFAMESETDSEGSDVSGSEGDDTSWISWFCNLRGNDFFCEVDEDYIQDDFNLCGLSGQVPDYDYALDLIG >Al_scaffold_0004_384 pep chromosome:v.1.0:4:2358884:2359505:1 gene:Al_scaffold_0004_384 transcript:Al_scaffold_0004_384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGR1] MERLAKLGQISKNIENEFILLTPKLKNVGLAKLMGDVTTELQNLSLETMSFGEDEEKKGKDISLMNDLSNFMKEALDKLKKGEFVNFKQVVPIVFGDFGFKTFNSFEDATKTASNFGLLGQEVKLDEEELDVFSYMLIYNDHKGWILKAKREDFPPFKFP >Al_scaffold_0004_391 pep chromosome:v.1.0:4:2415109:2418156:1 gene:Al_scaffold_0004_391 transcript:Al_scaffold_0004_391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G24130) TAIR;Acc:AT2G24130] MDSCSLLFFLFLITVMTVLASKENDQISLLSFKSSIVSDPHNSLSSWVSLSSSSSSLVDVCSWSGVKCNKESTQVIELDISGKDLGGEISPSIAKLTALTVLDLSRNFFVGKIPPEIGSLHKTLKQLSLSENLLQGDIPQELGSLNRLVYLDLGSNRLTGSIPVQLFCNGSSLSLQYIDLSNNSLTGEIPLKNHCQLKELRFLLLWSNKLTGTVPSSLSNSTNLKWMDLESNLLTGELPSQVISKMPHLQFLYLSYNHFISHNNNTNLEPFFASLANSSDLEELELAGNSLGGEISSSVRHLSVNLVQIHLDQNRIHGSIPPEISNLLNLTLLNLSSNLLSGPIPRELCKLSKLERVYLSNNHLTGEIPMELGDIPRLGLLDVSRNKLSGSIPDSFANLSQLRRLLLYGNHLSGTVPQSLGKCINLEILDLSHNNLSGNIPVEVVSNLRNLKLYLNLSSNHLSGPIPLELSKMDMVLSVDLSSNELSGKIPPQLGSCIALEHLNLSRNSFSSTLPASLGQLPYLKELDVSSNRLNGAIPPSFQQSSTLKHLNFSFNLFSGNVSDKGSFSKLTIESFLGDSLLCGSIKGMQACKKKHKYPSVILPVLLSLIVTPFLCVFGYPLVQRSRFGKNLTVYDKEEVEDEEKQNRNDPKYPRISYQQLITATGGFNASSLIGSGRFGHVYKGVLRNNTKIAVKVLDPKTALEFSGSFKRECQILKRTRHRNLIRIITTCRKPGFKALVLPLMPNGSLERHLYPGEYLSKNLDLIQLVYICSDVAEGIAYLHHYSPVKVIHCDLKPSNILLDDEMTALVTDFGISRLVQGVEETVSTDDSVSFGSTDGLLCGSVGYIAPEYGMGKRASTHGDVYSFGVLLLEIVSGRRPTDVLVNEGSNLHEFMKSHYPNSLEEIIEQALIRWKPQGKPERCEKLWREVILEMIELGLICTQYNPSTRPDMLDVAHEMGRLKEYLFACPSLLHFSSQETQGEASS >Al_scaffold_0004_394 pep chromosome:v.1.0:4:2427894:2428223:-1 gene:Al_scaffold_0004_394 transcript:Al_scaffold_0004_394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGS3] MWWWSTVVVAGGGGGGQQRWWWWWPAEVGGSSSRGGGGGGRQRWWWPAAVVVASSGGGGGRWRLVVVVAGVVVASGVRGWWWPAEVGGGGSRGGGRRWWFSVVADGGWR >Al_scaffold_0004_395 pep chromosome:v.1.0:4:2449555:2450003:-1 gene:Al_scaffold_0004_395 transcript:Al_scaffold_0004_395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGS6] MNMTFKLSNRILGFEASIDWSGIHGHGIEEAAVTAVTCISIKNSTRLVKSREYVVEEMSQWFLALCGTNHGLYRLREEKNSHALTLNFPKVNN >Al_scaffold_0004_396 pep chromosome:v.1.0:4:2456150:2456487:-1 gene:Al_scaffold_0004_396 transcript:Al_scaffold_0004_396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGS7] MHPNLRSVVVQCYRLGFGGKTLVSRPDRGFCSQTGSKGEKAVTEYRKIYALSPVGVVLYSAFCVWGNDEIILIILLDRK >Al_scaffold_0004_397 pep chromosome:v.1.0:4:2518204:2519495:-1 gene:Al_scaffold_0004_397 transcript:Al_scaffold_0004_397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGS8] MEEKLSLIRLFYEPGVGMDAGYVIPSQDVLLTFINNFTGPINFGNTSSSSNLSGLDLSGNKFDGPIPESISKFLKLESLDISNNSFSGRFPTSLFKIPSLQWDL >Al_scaffold_0004_400 pep chromosome:v.1.0:4:2526402:2529252:-1 gene:Al_scaffold_0004_400 transcript:Al_scaffold_0004_400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:D7LGT1] MEGNSMRQRNLPPADGKLVTILSIDGGGVRGIMAGVILAKLEEQLQAIDGDQARIVQYFDMVAGTSTGGLITAMLTAPEEPNSMRPLMAAKDIAKFYTDECPMIFPTESRNSFLPSFTRFLRYPKFDGEYLRSKLDKLLKETRLNDTLTRVVIPTFDIKKLEPVIFSSYQAKADPSLNAKLSDICIGTSAAPTILPPHQFSNVDSQGTETEFNLIDGGVAANNPTLVGMNAMSRKALMKHPDMEGDRIGKKEEEVYNAKDAGTWGIFSWMYDLNDKSNPLLDIIFESSRDVVQYHTSVLFQAKNAEENYFRIDVDTLETKDVILDLATKNNLENLKKIGDDLLTKNVMRMNLNTYEYESISETVTNKQELKRFAKILSDERKLRKKTWQTMSEGSSN >Al_scaffold_0004_406 pep chromosome:v.1.0:4:2590899:2597009:1 gene:Al_scaffold_0004_406 transcript:Al_scaffold_0004_406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHH0] MATLVLQIGSSPIYSNALGKTLRRPQSSTCIIVAETPPCNKTPIVHRRSANYQPSLWDHHHLLSVENKYMKDKSSVRERDLLKEKVRKMLNDKKKTYVDQLEFIDDLQKLGISYHFEAEMDIILTSSYQKERTNVRESDLHATALEFRLFRQHGLNVSEDVFDVFMKICGKFGSDDIYGFLSLYEASYLSTKSDTKLQKCIRPFATQQLRDFVDTHSNENCGSCDMVEMVVQTLDMPYYWRMRRLDTRRYIDVYGKRHNKNLVLLEFAKLDFNIVQAIHQEELKHVSSWWRETGLGKQLYFARDRIVENYFWTIGQIQEPQYGYVRQIMTKINALLTTIDDIYDIYGTLEELELFTSAFENWDVNRLDELPEYMRLCFLVVYNEVNSIGCDILRNKNINVIPFLKKSWTDVCKAYLVEAKWYKSGHKPNLEEYMQNAWISISAPTIFVHFYCVFSAQLSIKVLETLSEHQQNIVRSSASVFRLANDLVTSPDELARGDVCKSIQCYMSENGASEDKARTHVRQMINDLWDEMNYEKMAHSSSLLHHDFMETVINLARMSQCMYQYGDGHGSPEKAKIVNRVMSIIFNPIPLD >Al_scaffold_0004_412 pep chromosome:v.1.0:4:2637106:2638154:-1 gene:Al_scaffold_0004_412 transcript:Al_scaffold_0004_412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHH6] MSIFWGFYIFKMLNFSFGLLFPFSEPFTVDPENPPPEQDYNEYFKNLKDGITGKEAFEQSTVQFKPVLHVSTSSNKKLKAAKGAFDTSLDNGIDFFDTAEVYGSKFSLGVISSETLLGRFIRERKERFYGAVISVASKFAALPWRLGRENVITALKDSLSLLELSSVDLYQLHWYVPNSSWNFYKLLEED >Al_scaffold_0004_421 pep chromosome:v.1.0:4:2684613:2684875:1 gene:Al_scaffold_0004_421 transcript:Al_scaffold_0004_421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LHI7] VFSGQALQGSHLCGLQSRAISYGSNKDDEEAEQLAKEISKDWSTGKLWIKPTFYLE >Al_scaffold_0004_425 pep chromosome:v.1.0:4:2711662:2712643:1 gene:Al_scaffold_0004_425 transcript:Al_scaffold_0004_425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1068) [Source:Projected from Arabidopsis thaliana (AT2G24290) TAIR;Acc:AT2G24290] MVSSSNFICPPCEICDCPPPLSLLQIAPGLANLSITGCGSNDPELKEEMEKQFVDLLTEELKLQEAVADEHSRHMNVTLAVAKRVASQYQKEAEKCNAATEICESARERAQALLLKERKITFLWERIARQLGWEGE >Al_scaffold_0004_430 pep chromosome:v.1.0:4:2727583:2727992:-1 gene:Al_scaffold_0004_430 transcript:Al_scaffold_0004_430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHJ6] MKIYVRISIEPTTATPNLFGWCPLFFPLMKPVEVHPKSPIEAHFWRCSDSTKVWYEWSVSLPTVSLIHNRNGSSCWMGL >Al_scaffold_0004_432 pep chromosome:v.1.0:4:2762097:2762309:1 gene:Al_scaffold_0004_432 transcript:Al_scaffold_0004_432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHK0] MFRSRREGIDLVCQVARSGPDNVVPVYRKVKHFGRSVAGQVARRKGIDDGNRFLPSLIFDPALLPRSRGA >Al_scaffold_0004_439 pep chromosome:v.1.0:4:2785411:2786622:1 gene:Al_scaffold_0004_439 transcript:Al_scaffold_0004_439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LI63] MQMLNEILIDYYQNTKQEQLKRTVDEMHLNQKATVTKQSEGIKRRKRKVKEHMIVQEPKQEDVKQHRSFGEAFVAAVLFLAAGYFFRSEIPVVHRRVSPHVAVFLLWHGLFDEPLDPTGVSFGGKPRGLCWRSGLFVAVRDVVVCCWWWSTSLLHWWAVEGGSFLSHGYGFPVTIFLRPFASSSVALRWSFVVLGVVGVGSFCVGELLGALARFAEG >Al_scaffold_0004_449 pep chromosome:v.1.0:4:2861289:2861792:1 gene:Al_scaffold_0004_449 transcript:Al_scaffold_0004_449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LI74] NKRLGTEDLNLCGILEETARRGISFDELLTIPEQDEWVYSDGKSTSCVAFILAMYKAAGVFGPLANHIQVIEFTIRDAYTPKLFESNQTRLPSWCNTEEEKLDFCHILGEYRME >Al_scaffold_0004_452 pep chromosome:v.1.0:4:2875644:2876876:1 gene:Al_scaffold_0004_452 transcript:Al_scaffold_0004_452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LI78] MATIIPCLFFAFSQASDFKKGHGNGLCKFQGVGFVEINSIIALGWTILEKIGFVVKEIFGGALQSQIKCLSCGAESNTADEIMDISLEILHSISIKESMQKKFQSEILDGNNKYICETCDKLVTARKQMSSILQMPNILVIQLKTKSHGSNKWNAISKD >Al_scaffold_0004_456 pep chromosome:v.1.0:4:2890594:2890959:1 gene:Al_scaffold_0004_456 transcript:Al_scaffold_0004_456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LI84] METWIIIVIAIASCVGVLMFLVALTGSRVNNVSGDNGGEGGDQGVGENDVGGRDVGIRGFGDHGGFWNGRDLGIRGFGSHRGFWSYGGRSGSCWFTGGGGFGGSACGGLGGGSGGGGGGSC >Al_scaffold_0004_457 pep chromosome:v.1.0:4:2910552:2911715:1 gene:Al_scaffold_0004_457 transcript:Al_scaffold_0004_457 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated ubiquitination effector family protein [Source:Projected from Arabidopsis thaliana (AT2G24510) TAIR;Acc:AT2G24510] MKISHLPYDLESEILSRVPTKSLAKFRTTCKRWYALFRDPNFIKKNFGNAPISVDHHGIHNNVDPSIEFSGKLSSLKDSEDLKISKIFHCEGLILCSTKGNTSLVVWDSSTGETRRIRPRTRYRYDDYYALGYVNSKSSGHSFKILRSCYYENDQKQRVAEFEIYELSSDSWRVLDYFTRDYGVFCSGRSLKGNTYWVAGDKENGLFMLYFDFTTERFGRFPLPFESFNPEDTAALSVVREEKLSVLHQKILDFSNEMKIWLTNKIDETIELSWSNFVLTVDYDKFNLPSVVNVTSFLLDEENKVALCSDIDMDDEYKTRVYVVGEDIYKQVYKDTTKASYINWPLLINYVPSLVHIKKNTLKRQKKRKSREVS >Al_scaffold_0004_458 pep chromosome:v.1.0:4:2914622:2915536:-1 gene:Al_scaffold_0004_458 transcript:Al_scaffold_0004_458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LI86] MSSPAESRRRHVTAESSTVEKVDDDWVAHNSPRLKCGDPLENGSMMGLAIGLTKKSREMATRRFSGHHLFVNLFTNPTIDPFSSRVAPFQPRTVVDYSIVVYLLLLSQTSLSSSSFHHSLAPLSFTQPLSFISALQPWTRVDDYVVACLFLYFAVEFISGVNLS >Al_scaffold_0004_461 pep chromosome:v.1.0:4:2926294:2927801:-1 gene:Al_scaffold_0004_461 transcript:Al_scaffold_0004_461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LI87] MKNKLAELKNVKTEHDKFEMKEAEVQFVAGVFHLKLSRSKSELEECVAEESKAKATLQRSVGTTLQRSVVTMLQRSVVTASRMGAIYSLIVREVEILEFGYLDEFEFKTIGENHNQERLAVEVLAAGAVLPHEIIRVLDGGEGGQVAELQWKQMVDDLKEKGSLRNYIAICDVSGSMNGDSMEVSVALNLLVSELSEEPWRGKLITFSQNPEMRLVTGDDLRSKSEFVRNMQWGMNTVFQKVFDLILRVVVKGKLNLDDDKHNILLAEQA >Al_scaffold_0004_465 pep chromosome:v.1.0:4:2946912:2948865:1 gene:Al_scaffold_0004_465 transcript:Al_scaffold_0004_465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LI89] MHVQPGTTRTSFDESPALRKVDETPPKHVQFLEPISKTVVEDAQNPSYGYVFDDLQISGEPYPGHELQACDFQWVCHLEDGSVNYIDGAKQPNYLVTADDVHLYLAIEVQPLDDRNRKGELVKVFANNNRNSQDRLPNPLFIFAVPEMQSNIEKTLHTGHASYKVSHATGFLDIWEAATLSIKREGYSIKCNNNLTIAEKFSASTAITIPFGQPAELVIIGSDGSEHSFTSR >Al_scaffold_0004_473 pep chromosome:v.1.0:4:3009792:3010929:-1 gene:Al_scaffold_0004_473 transcript:Al_scaffold_0004_473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LI99] MWTGTDKSGRIYWSRRGKETEVDFKQIGGTADVIYLRLSTPPTLVVEGVNSSPNRRMVAYDIGDKFNRSSSFDSKSVTIGLLGFGKLGFVELGKKGNSFSLYKFSVLNVSTFSNLLFSDSILLSSEWERLLVNGSRHCFSGRSLPSRIRNTEARNW >Al_scaffold_0004_481 pep chromosome:v.1.0:4:3063540:3075110:-1 gene:Al_scaffold_0004_481 transcript:Al_scaffold_0004_481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIA6] MWKRLDSLLPRDTCGLYNRCGAYGLCDTNTSPNCVCIHGFQPRDKEAWDLHDWTGGCTRKTPLNCSRDGFEQLRTMKLPDITKSIVDRSIGLKECHGKCIGNCNCTAYANTDMQNGGSGCVIWVEEILDLRKNAIAGQDLFVRLAATDISTAQFKKDHHIHIGGLQCAPMDLEHIVNATEKFSDCNKIGQGGFGIVYKGILLDGQAIAAKRLLKRSAQGIEGFITELKLIASFQHINLVKLVGYCFEGDKTQSSKLDWEKRLDITNGIARGLLYLHQYSRYRILHRDLKPSNILLDKDMVPKISDFGMVKLFKRDETEASTTKMIGTFGYMAPEYVIDRKYSVKSDVFSFGVLVLEVISGKRNAEFYLNEETLLSYIWRHWKEGKGLEIVDPVIVDSSSTFRPHEVLRCIQIGLLCVQDSAEDRPAMSSVILMLTSEKTEMNQPERPGSLFSRSRFEIGSSSSKQQNEENWTVPDVTNSTLSGR >Al_scaffold_0004_482 pep chromosome:v.1.0:4:3080493:3080918:-1 gene:Al_scaffold_0004_482 transcript:Al_scaffold_0004_482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIA8] MRVLFLFVLVLQLSSKYESKLFSTEPFKISTRRNILSSTLHWYLGVWHHMFPQEVVWVANRDSPLSKPNGTLEILDNNLVLLDQHGTRVWWTNVTSTNLMKSLVTGELLDSGNFVLRYINNDDSARVLWQSFDFPTDVLPV >Al_scaffold_0004_483 pep chromosome:v.1.0:4:3099393:3101801:1 gene:Al_scaffold_0004_483 transcript:Al_scaffold_0004_483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIA9] MRKINYSILGLVGNCKSTKRISIAVVDANAVIKGGQSLTNFADDFVTVPQVLSSTPKGCSSADSNCKVKEITWQEIQRDSNALNNGEDDDIEVEAEAGDTFEASSMADDGSSEQSWSLSESNVVCITGDYAMQNVILQMGLRLLAPGDENFISEYQTIQKWNPNDNHYSHSMH >Al_scaffold_0004_487 pep chromosome:v.1.0:4:3147918:3148668:1 gene:Al_scaffold_0004_487 transcript:Al_scaffold_0004_487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF313) [Source:Projected from Arabidopsis thaliana (AT2G24670) TAIR;Acc:AT2G24670] MMFKERCLKTMSTHIHDRALRESPSKRTRNRRDVPPMSPPMKQPKSKKAKRSSEASSSKTREPTLGWLIRLMRRKNGREHEDNSKKIIDKELTATDVHPNNNRLSIPLSNIVELEFLNHEEKRAIEEDANKVNKEGVDAILVTSDLREFQVNLRLWDMRGSLQYNLVTGWNQVVEDCRLKKNRNNRLWSFHSNDKLYFDLVPLSVSDQ >Al_scaffold_0004_488 pep chromosome:v.1.0:4:3149047:3153747:-1 gene:Al_scaffold_0004_488 transcript:Al_scaffold_0004_488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIB4] MEASLLRRNTGGMCLGKGWKEFAMSNGLKSGESFTLEAILENGTPMLGLFSTQSRSDRSQQGEYSKHSEKESIFVEHSSGKETRKAIINRDVRRDSYPASRNPFVTLRLTYDNLRNSRRVSSSINPLIQRNLPLSFTRENGLDEPGIITLVGNDGTKLEANLLRENTGIMCLGKGWKEFAMSNGLRSGELFTLEAILENGIPMLSLVSTQSTRYRSQEGECAKDSEKESISTVPSKGNKKRKATSKREEKRDSSSASQNRFVTFTPEDIRDCILYLPQDLTALIGLERKCREIVVTDERERSWALELKFNKSSDTFYISRGWRSFCDENDKKAGGVFVFRLVGNRETPVLSFCSTESIKNNKENCMELERKKKHLKCIDSTSPSQNRFVTLSLSHDNLRTGTRHLPLSFARDNGLDKPGIITLVDKDGTKRKANLRREKTGKMFLGKGWKDFVIANGLKSSKSFTLEAVLENGTHMLSLVSIQSTSDRSQQGECSKDSKKELISAVPSRGNKTRKAMNNRDVRRDSFPASQNQFVTLSLSHDSLRKGRQYLPLSFTRENGLDEPGIITLVSKDGTKLEASLLRENKGIMCLGKGWKEFAIENGLKTGELFTLEAILEKGTPMLSLLSTESTSDRSQQGECSKDSEKESISAEPSKENKKKKATSNREERRDSSSAIQNRYVTLTLTPEDVSACKLILPSQFMKANGINKLGKITLVGQNRTKWFAYLLSKNGIVALGSGWKGFCEANGVKTGESFTLEYIDEQDTTPVFKFWSNSVE >Al_scaffold_0004_497 pep chromosome:v.1.0:4:3199842:3202928:-1 gene:Al_scaffold_0004_497 transcript:Al_scaffold_0004_497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7LIC3] MRNKKVFFFILLFFFSSFKVEVSRGQNNGRTKVNVGVVTDVGTSYSDVAMLCINMSLADFYSSRPQFQTRLVVNVGDSKEDVVGAASAAIELIKNKKVKAILGPWTSMQAHFLVEIGQKSRVPIISYSATSPFLTSLRSPYFLRATYEDSSQVNAVKAIIKLFGWREAVPVYVDNTFGEGIMPRLTDALQEINVRIPYRSVIALNATDQDISVELLKMMTMPTRVFIVHMYSSLASRVFIKAKEIGLMKPGYVWILTNSATDDLATMNETDVEAMEGVLGVKTYIQKSIELDKFRSRWRKSFPQIELSVYGLWAYDATTALAIAVEEAGIDNMTFSNVDLGRDVSELEVLGLSQYGPKLLQTLSTIQFKGLAGDFRFVNRQLQPSVFEIVNVIGTRESIGTLSTWQDHLKLIIWPGEANSVPKGWEIPTNGRRLRIGVPKRTGYTDLVKVTRDPITNSPVVTGFCIDFFEAVIRAMPYDISYDFIPFETPDGKPAGDHNSLVYQVYLGIYDAVVGDTTILVNRSSYVDFTFPFIKSGVGLIVPVEDQVKRDSISFLKPLTWKLWMTTFLFVFLIGFTVWAVEHRVNPDFRGPRRYQASTIFWFAFSTMVFAPSKIQSPIVHISTHSDCYIYTFLNAYSIELRFVGERVFSFGARLLVITWYFIVLLLTQSYTASLASLLTSRQLDPTITSMRSLLEKGENVGYPRTSFIFGKLKESGFTRSSLIPFDSAEDCDKLLRKGSEKGGVAAAFLEVPYMRLFLGQYCNAYQMVEEPFSVDGFGFVFPIGSPLVADVSRAILKVAESPKGKELELAWFKKKEETCPNPVTTADPNPSISSRQLGVDSFWVLFLIAFLMCVFTLGKFAFFFVKNNQGNSLWQEFHEPDEISYINNVEKCPCSLNQEDVINPPADDDIHQDTREQL >Al_scaffold_0004_499 pep chromosome:v.1.0:4:3210781:3211670:-1 gene:Al_scaffold_0004_499 transcript:Al_scaffold_0004_499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIC5] MRNMKVFFFFLLFFSLCVEFSRGQKEITEVNVGVVTDVGTSYSDVAMLCINMSLADFYSSRPQFQTRLVVNIGDSRNDVLGAAAAALELIKIKKVKAILGPWTSMQAHFLIEIGQKSQVPIVSYSATSPFLTSLRSPYFFRATYEDSSQVNAIKAIIKLFGWREVVPVYIDNTFGEGIMPRLTDALQEINVRIPYRSVIALNATDHVISMELLKMMTNPTRVFIVHMYSTLASRFFIKAKEIGLMKPGYV >Al_scaffold_0004_5 pep chromosome:v.1.0:4:94146:94319:-1 gene:Al_scaffold_0004_5 transcript:Al_scaffold_0004_5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LKK2] GSAKRLGQGVYFDLKKIDQGACRHFQELSQRAFWSVRGSGATTQHSGTLPTETTHGS >Al_scaffold_0004_50 pep chromosome:v.1.0:4:266190:266689:-1 gene:Al_scaffold_0004_50 transcript:Al_scaffold_0004_50 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBC4] MTEIGRSSTLLELSAKEKRKLASYAHTLGDKLKSQLVGKSGVTDSVVLSFIETLEKNELLKVKIHRTCPGELEDMILHLEEATGSVSVGQIARTVIFYRPSPTKLKADEKKKTNEE >Al_scaffold_0004_500 pep chromosome:v.1.0:4:3212509:3213328:-1 gene:Al_scaffold_0004_500 transcript:Al_scaffold_0004_500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIC6] MRNRRYVSPKGPLIVYGNEGAKLVKAFRNIPGIDLCHVEKFSLLKLAPGGHLGRFVVWTKSAFEKLESVYGSFEKASEMKKGYVLPRAKMVNADLSRIIYSDEVQSVVRQIEKDVKRAVLKKNPLKNLNVMLKLNPYAKTARRMSLLAEDERVKSKREKLERKRQPISKINRILIGPNQELKDNDTNGFR >Al_scaffold_0004_503 pep chromosome:v.1.0:4:3234466:3237548:-1 gene:Al_scaffold_0004_503 transcript:Al_scaffold_0004_503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7LIV3] MRVIFVALLFFFSVFFVVLSRGQNKKTEVNVGIVTDVGMMHSDIEMLCINMSLADFYSSRPHFRTRIVVNVGDSKKDVVGLELLKNNEVKAILGPWSSMQAHFLIEIGQKSRVPVVSYSATSPFLTSLRSPYFFRATYEDSFQVYTIRAIIKLFGWREAVPVYIDNSFGEGIMPRLTDALQEINVRIPYRSVIASNATDHEISVELLKMMTMPTRVFIVHMYSSLASRVFIKAREIGLMKPGYVWILTNGVTDELNWISETGIEAMEGVLGVKTYIQKSRELENFRSRWRKKFSRMELNVYGLWAYDAITALAIAIEEAGTNNLTFSNVDLGRNVSELEVLALSQYGPKLLQTLSTIQFKGLAGDFRFIKGQLQPSVFEIVNMIGNGERSIGFWTEENGLVKKLNQQPKSMNALSTWKDHLKNILWPGEADSVPKGWEMPTNGKKLRIGVPKRTGYTDLVKVTRDPITNSPVVTGFCIDFFEAVIRAMPYDVSYEFIPFEKPNGKPAGNYDDLVHQVYLGRYDAVVGDTTILANRSAYVDFTFPFFKSGVGLIAPIKDQVKRDSFTFLKPLSWNLWFTSFVFFFLVGITVWALEHRVNPDFRGPANYQASTILWFAFSTMVFAPRERVFSFGARFLVIAWYFVVLVLTQSYTASLASLLTSQQLHPTITSMSSLLERGETVGYQRTSFILGKLKETGFSQSSLVPFDTSEECNELLRKGSEKGGVSAAFLEIPYLRLFLGQYCNTYKMVEEPFNIDGFGFVFPIGSPMVADVSRAILKVAESPKAMELERAWFKKKEERCPDPVTNPDPNPSFTSRQLGVDSFWLLFLVVFVVCILTLGKFTLCFLWKSKGNDLWEEFQQPDNDSYINKVEKCPCSSSETHA >Al_scaffold_0004_509 pep chromosome:v.1.0:4:3265346:3266278:-1 gene:Al_scaffold_0004_509 transcript:Al_scaffold_0004_509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIV8] MQRIKQRRLTDLKDIHKTLLQIRYGFLNELIIIRPRKVEEFIIDDNDDSVTSLGSYPDSPPSDPLIYLKFQSFEPNYLHQLVHTQLHDHVLSKQISDQIVVKAQELRSDQSSDHLPQQPLFMGVSVRFTRKVFKVVSCNCAPSTTDLVETETCAICLEDMLESGSIYHMHNCSHLFHQGCLNEWLNRQHNSCPLCRQPVYQ >Al_scaffold_0004_51 pep chromosome:v.1.0:4:267323:269760:-1 gene:Al_scaffold_0004_51 transcript:Al_scaffold_0004_51 gene_biotype:protein_coding transcript_biotype:protein_coding description:xylulose kinase-1 [Source:Projected from Arabidopsis thaliana (AT2G21370) TAIR;Acc:AT2G21370] MLILRQFQISSFELFQSPKQSGCSSSRTRTRFYSNLGRSSDFIVMSDNKGTNFEKLYLGMDFGTSGARFTVIDEQGEIKAQGKREYPPFIKEERMDWVSSWKATLFSLLEDIPITVRSLVSSISLDGTSATTLILNSSESGEVLCQPFLYNQSCPDALPEVKSIAPENHTVCSGSSTLCKLVSWWNTEVPNRESAVLLHQADWLLWLLHGRLGVSDYNNALKVGYDPESESYPSWLLSQPYSQLLPVVQAPGTSICNLKESIRSQFGFPDDCIVCTGTTDSIAAFLAARATQPGKAVTSLGSTLAIKLLSTKRVDDARYGVYSHRLDDKWLVGGASNTGGAILRQLFSDEQLEILSQEINPMVASPLDYYPLLTSGERFPIADPNLAPRLLPRPESDVEYLHGILESIARIEGKGYKLLKEMGATEAEEVWTAGGGAKNDKWIKIRQRVLGLPVKKAVHTEASYGASLLALKGAKSKTEERFVKQSM >Al_scaffold_0004_515 pep chromosome:v.1.0:4:3299404:3300616:-1 gene:Al_scaffold_0004_515 transcript:Al_scaffold_0004_515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIW6] MEDERDHDTITLSLPSLSKQLAGTTLGGSADVPVVDLSVSDEDFLVHEVVKASEEWGIFQVVNHGIPEELMRQLQVVGKQFFDLPEAEKEIVAKDGDFEGYKRKYLGGINNWDQHLFHRLSPPSIINYKYWPKNPPQYREVTEEYTKHMKRLTEKILGWLSEGLGLPREAFTQSIGGETAEYVLRVNFYPPTQDSELVIGAAAHSDMGAIALILPNEVPGLQAFKDEQWLDLNYINSAVVVIIGDQLMRMTNGRFKNVLHRAKTDKERLRISWPVFVAPRADMSVGPLPELIGDENPPKFETLIYNEYINQKIKGWALEDLPVY >Al_scaffold_0004_519 pep chromosome:v.1.0:4:3337106:3339210:1 gene:Al_scaffold_0004_519 transcript:Al_scaffold_0004_519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIX1] MMKSTEQYNSDAADETHKTSAVSPLIMVSPLRTICPFDDSKDWYDDWYDEDAGLNTGSIKREPSDDVNGETDDSSAAPPLMVTPLQTIRPSDDSNNNCYDVGAGPSTVPVKRGRGRPKGSKKPKKPKTDDPNSRMVESCSNFDSRITEVERETGNQEIVGSVLKRFDAVRRRLCQLNHPKHLLTTASTNCTKLGVQTNRRRRIGPVPGVQVGDIFYYWGEMCLVGLHKQTVAGIDYLTAAESAVDGQAATSVVTAGKYDDETEELDTLIYSGHGRKVKYGPPCDQVLQRGNLALEASERRGNDVRVVRREVHNNEKVYIYDGLYMVSRSWIVTGKSGSQEFRFKLVRKPDQPPGYAIWKLVEKLRNHESIDPREGFILQDLSFGEELLPVHLVNEVDEDDKTIPEDFEYIRSQCYSGMKHDLHVDGQALGCHNCQGESCSHQNCTCMGKNGGQLPYHKNILVCRKPLIYECGESCTCPIDCPNRLVQTGLKLHLEVFKTTNCGWGLRSWDPIRAGTFICEFAGVSKAKEEVEEDDDYLFDTSRIYHTFIWNYEPQLLREDASKQVSEVINLPTQVLISAKEKGNVGRFMNHSCSPNVFWQPIEYENNGVTYVRIGLFAMKHIPPMTELTYDYGVSFVEKTGEDEVIYRGKKICLCGLVQCCGSFG >Al_scaffold_0004_520 pep chromosome:v.1.0:4:3345858:3346205:1 gene:Al_scaffold_0004_520 transcript:Al_scaffold_0004_520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIX3] MDHHSYRSPSELATPTNQIDGDRSRPSNLSHSGLTLLFTDPDYPISPSRNPSIRARLKQIAADPMDGGSTEPSGYELRNCHLSSDGTTVNLLAKSTQPTLLLTNFRRNRNVWIDG >Al_scaffold_0004_521 pep chromosome:v.1.0:4:3354513:3355873:1 gene:Al_scaffold_0004_521 transcript:Al_scaffold_0004_521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIX4] MATSLYVKLMGLDLAHEMMFRVLKMEESWRRRRSLSYCKLNHVTKLIDEKESGNADDVEILEPCLKFFKPEGDESDVSKEADRVILTLKGIDLIARALQFLVSLQVLTRRAKNQYTLKEIATIPGALTELKKFFRLMKLSIDHEQD >Al_scaffold_0004_525 pep chromosome:v.1.0:4:3371449:3372744:-1 gene:Al_scaffold_0004_525 transcript:Al_scaffold_0004_525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIX8] MEFFSLYTPNGTALRFNCSSEAIYELISKQSIFLMRLIKLPNTTMCGFQRPLSLNKLEFTVKHGDFFTFKPNQRGGTLALRLSVENPEEDEECSSEASEVVSCHWTKHG >Al_scaffold_0004_529 pep chromosome:v.1.0:4:3413040:3413525:1 gene:Al_scaffold_0004_529 transcript:Al_scaffold_0004_529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIY3] MSALTQMLEGDLAHVRSRFVEGSSTSESMDDKIVTVNRRLCDVDEVTMAELLVGLRRSTQVEIKPRASMATNRSLTRTVQEQGSISLQTFGHKAPPHRCN >Al_scaffold_0004_531 pep chromosome:v.1.0:4:3417387:3418037:1 gene:Al_scaffold_0004_531 transcript:Al_scaffold_0004_531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIY5] MEMLQLKRSVAVELSVEDKFSDFLFLLCRSRIMVLIYNLSTFDKLQVRWKHPYSGDGTSASSFFGEEEDGESGQILK >Al_scaffold_0004_536 pep chromosome:v.1.0:4:3464256:3465622:-1 gene:Al_scaffold_0004_536 transcript:Al_scaffold_0004_536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJF4] MRGARLGLRMEKAYRAERIKRMKRIKQNKSGSSRSSGTKADLAVRTLLICVECKIKTLHPHMQKNCFFSVSHSKPRSVVASGRETRYLQRRESKGVSQYFIFSKYKIGDEISKKQQCHQHKGLKKTQRWMFSWTQSYLAIQNAPIIVSSGNKWQERLVEQALNGAMMSGNSPLEETLAKAHISPFAYFGRKLYL >Al_scaffold_0004_537 pep chromosome:v.1.0:4:3466655:3470398:1 gene:Al_scaffold_0004_537 transcript:Al_scaffold_0004_537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G24810) TAIR;Acc:AT2G24810] MTIFSTLHLLFFSFIIATCTISIVSGTVFTLSNRCSFTVWPGILTAKSRLIGDGGFALPSGSSVNLTVSPGWSGRFWGRTGCNFDASGSEKCTTGDCGGKLKCAGAEGAPPTTLAEFRIGSSGKEYAVQDSYDVSLVDGNRKTAGCVSDVNAICPNELHVTDSKGVVASFSLTRQNTRRSTTDAARSKHHAFNKPEYCCTGAFNRPETCPPKKYSKIFKGACPTANSYVYNYASSRFTCNNMPITLSVSVLRHNSLPYSFINE >Al_scaffold_0004_541 pep chromosome:v.1.0:4:3491826:3493632:1 gene:Al_scaffold_0004_541 transcript:Al_scaffold_0004_541 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 61 [Source:Projected from Arabidopsis thaliana (AT2G24840) TAIR;Acc:AT2G24840] MYKKQLRTRHNKTMMSKKKESIGRQKIPMVKIKKESHRQVTFSKRRAGLFKKASELCTLCGAEIGIIVFSPAKKPFSFGHPSVESVLDRYMSRNNMSLVQTQQPQGSPAASCELNMQLTHILSEVEEEKKKGQAMEEMRKESVRRSMINWWEKPVEEMNLVQLQEMKYALEELRKTVVTNMASFNEAKDDVFGFLDNKVTAPPYMNMSSGLSSIYYANGNGCF >Al_scaffold_0004_543 pep chromosome:v.1.0:4:3500301:3500504:1 gene:Al_scaffold_0004_543 transcript:Al_scaffold_0004_543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LJG3] EISPTRLIRLRSLALSSSSSFRFAHRPLSSISPIKLPNFRAFSGTAMTDTKDAGKDAVQRRLMFEDE >Al_scaffold_0004_544 pep chromosome:v.1.0:4:3507983:3508395:-1 gene:Al_scaffold_0004_544 transcript:Al_scaffold_0004_544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJG4] MACRWKSSILSKRFITLESEEASSVAKSIEDEAYAVASAAVISDDDEIEILKTYSKEISKRMLESVKAKTNVASPPPKDGGIELAADSRLIQVKMLKKMMRIQSLTRLEHDSYEIIFVV >Al_scaffold_0004_569 pep chromosome:v.1.0:4:3648549:3654717:1 gene:Al_scaffold_0004_569 transcript:Al_scaffold_0004_569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJJ0] SKNQKAKERKKKKIKNLCQNNGKKKRLGHIIKIKSNKKQIKSLKPKKKKKKKKKKKKKKKNKQTKISTTRK >Al_scaffold_0004_576 pep chromosome:v.1.0:4:3696089:3696571:-1 gene:Al_scaffold_0004_576 transcript:Al_scaffold_0004_576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LK44] MSCGEDQQLAIWAPAKAFCSGVGRGFDSLMVWPSSRVGGGDGIWWIRFLDLVPILCLFEYACLSIRFAFLPSPVFGLMRIVWARSLSLRSRPD >Al_scaffold_0004_577 pep chromosome:v.1.0:4:3698957:3700781:-1 gene:Al_scaffold_0004_577 transcript:Al_scaffold_0004_577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease [Source:UniProtKB/TrEMBL;Acc:D7LK45] MESDCLTPEWSSQPCLMGIDEAGRGPVLGPMVYGCMYCPISYQSSLASLHFADSKTLKEEKREELYENLKLDKSLGWAVDVIDPRELSAKMLAKNKTNLNEISHNSAMGLIKRVLDMGVLLTEAYLDTVGDPEKYRIKLSERFPSIKFVVSKKADSLFPIVSGASIVAKVTRDRALREWLVEETGEDINRNFGSGYPGDPETKAWLVQHKHSVFGFPSLVRFSWGTCTTHLKGEVEVAWEADETEESGNGSSSKRQAKLSSFGFKTCDKRSEEIESSGKGRCKFFQARKIQQLTQF >Al_scaffold_0004_579 pep chromosome:v.1.0:4:3719810:3721761:1 gene:Al_scaffold_0004_579 transcript:Al_scaffold_0004_579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo-adjacent homology (BAH) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G25120) TAIR;Acc:AT2G25120] MNQVSQDEENVALSMLNMSNSNTKETEKKQMKRKKDCEEKHEKKRKTNWTKEMELEWRPEDCAQPFGEVSKVTGKGAKKKSHYKTFNFRGTQYGLEDSVLLVPDDPNSKPYGAIIKDIYIPNKEKYVKLSVQWFYRPEDVDKKHVGKWESKDSRSLFYSFHCDEVFAESVKYKCVVNFVPENKQIPNRSEQPGFIVQHVYDFVKKKLRKFTDNVFDVHQKDEIDRLVAKTILRVGDLPDIEKDQKTKNSRSKRIVQKEYMGRAKRRSPKAGTVYKSILEDFDLLTGDSDRDKGLEELLEAVKHECRTTKKKQARDSDFYWPDDVVPVVRALEQVLYDSLAEDMSKYNHKLEIMVDNLKVSFIPLSPPSYIFLFVLHVLVFYFFVNSSRALARRLLDGELKPEQLIMMESYELMVISFIHKLSSHFYTKISNVVFHLVYNITVYVIPDFVK >Al_scaffold_0004_581 pep chromosome:v.1.0:4:3729308:3733448:-1 gene:Al_scaffold_0004_581 transcript:Al_scaffold_0004_581 gene_biotype:protein_coding transcript_biotype:protein_coding description:casein lytic proteinase B4 [Source:Projected from Arabidopsis thaliana (AT2G25140) TAIR;Acc:AT2G25140] MASRRLSKSVSSAIKAQYTLSKPSLLLRSRPLSSSPHYTSPNSSIFRPNSFIGITGNNNSSITQTTTHSQLFPLSLQFPSPRRFSTTTAQINQNEFTEMAWEGLINAYDAARESNQQIVESEHLMKALLEQKDGMARRVFAKAGIDNSSVLQATDLFISKQPTVSDTGGQRLGSSLSVILENAKRHKKDMLDSYVSVEHLLLAFYSDARFGQEFFKNMKLDMQVLKDAIKDVRGSQRVTDQNPESKYEALEKYGNDLTEMARRGKLDPVIGRDDEIRRCIQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLMNRKLISLDMGSLLAGAKYRGDFEERLKAVLKEVTASNGQTILFIDEIHTVVGAGAMGGAMDASNLLKPMLGRGELRCIGATTLTEYRKYIEKDPALERRFQQVLCTQPSVEDTISILRGLRERYELHHGVTISDSSLVSAAVLADRYITERFLPDKAIDLVDEAAAKLKMEITSKPTELDEIDRAVIKLEMEKLSLKNDTDKASKERLQKIENDLSTLKHKQKELSDQWEKEKSLMTKIRSFKEEIDRVNLEIESAEREYDLNRAAELKYGTLMSLQRQLEEAEKNLTNFRQSGQSLLREEVTDLDIAEIVSKWTGIPLSNLQQSEREKLVMLEQVLHHRVVGQDMAVKSVADAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAGYLFNTENAIVRIDMSEYMEKFSVSRLVGAPPGYVGYEEGGQLTEVVRRRPYSVVLFDEIEKAHPDVFNILLQLLDDGRITDSQGRTVSFKNCVVIMTSNIGSHHILETLRNNEDSKEAVYEIMKRQVVELARQNFRPEFMNRIDEYIVFQPLDSKEISKIVELQMRRVKSRLEQKKINLQYTKEAVDLLAQLGFDPNYGARPVKRVIQQMVENEIAVGVLKGDFAEEDTVLVDVDLLASDNKLVIKKLESNASPEEMAA >Al_scaffold_0004_585 pep chromosome:v.1.0:4:3817868:3818733:1 gene:Al_scaffold_0004_585 transcript:Al_scaffold_0004_585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LK53] MESLPTTVSAKSDRRGRPSKSQNTSKPSLILAFFSCLAWLWPLTFRLWQDAQYRAALNTVLKKNYDQRPKVLTVEDKLLALGCKDLERRIVETGMELAHAKSQVTLN >Al_scaffold_0004_586 pep chromosome:v.1.0:4:3821205:3822132:-1 gene:Al_scaffold_0004_586 transcript:Al_scaffold_0004_586 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G07260) TAIR;Acc:AT3G07260] MATAVGGGSDVEVGFAKLQGEDFEYYMQSYSIILGRNSKKATVDVDLSSLGGGMNISRNHARIFYDFTRRRFSLEVLGKNGCFVEGVLHLPGNPNVKLDSQDLLQIGDKEFYFLLPVRSILDGPLGPRHHVSGQTSVVPYHNYHSGPGSGSGKKAVRSRELYEFDVEDDDVDDDEEDIRGSEKKTRRDGYEVVYASGEKKRSKVDRQADDQRCKYHRGGSI >Al_scaffold_0004_590 pep chromosome:v.1.0:4:3859786:3860475:-1 gene:Al_scaffold_0004_590 transcript:Al_scaffold_0004_590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LK58] MPPKGKSSRGRGGGATTRRVAAGGGQTSRQEAAGGGQTSRQEAAGGGVTTRRVAAGGGQALPQEAVGGGETSRPVRPGGRVRTFVGHRPPVTASGVGTSSNASKPSSASQSATQSQVSRPSLNSSRQNPPPRQTPPLNRQTPPPQPQHQPPSPQTQPLPEHDANNQVLPENEDLVEEEIDDVGQEDDEENPNPGEDYQEMLDRLLALPGREHLPRLSVHPIPDVETFWY >Al_scaffold_0004_591 pep chromosome:v.1.0:4:3863777:3864010:1 gene:Al_scaffold_0004_591 transcript:Al_scaffold_0004_591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LK59] MVESVVEKIEGDVGSLAKGLHELEAIKERAQRCEKEIVYLKDVVSLCEKEVQELRSFKNMVVCGGLVMAIVYYVFFA >Al_scaffold_0004_596 pep chromosome:v.1.0:4:3906147:3906975:1 gene:Al_scaffold_0004_596 transcript:Al_scaffold_0004_596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LK64] MRYYRALVGRGTSGRLPIWEKDLGRLHGLHNQLQRGPVPPSEPSLCHNRLNHLSHPEVYSSQTYVLCP >Al_scaffold_0004_599 pep chromosome:v.1.0:4:3942388:3943057:-1 gene:Al_scaffold_0004_599 transcript:Al_scaffold_0004_599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LK71] MAKRLAIICWVNLKLVYIYISDSLDFRLIETLGKSPQSLFVTELINAQNELIEVTKAGFKLYWLKSKLEDVSLERKKAVSDGSQDQQLEECGTDFVGS >Al_scaffold_0004_605 pep chromosome:v.1.0:4:4024118:4026171:1 gene:Al_scaffold_0004_605 transcript:Al_scaffold_0004_605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKL8] MALCILVACYRERSPSSYSLKIQNFSQLKKSTLSSDGQYQSRLFSSGGYNWRMIIYPNGNNKDNGSGFISMYVEIDGESLMSTPPSEVFADVRFFVFNKNENKYFTIQDVESKPFNSLRPVWGLPQVLQFVTFNDPKNGYIFGGDQCEFGVDVIVAPPPTKWETISFDAKLINPKFSWTIKNFSELEYAIMLYPQGQTKQDGKWLSIYLFSAESESLAEDEKIFAQGHIRILDPVGLNNFSRELMDWHVKSNTGWGWDQFLSIAELRKTYLDEDGTLNVEIEFEVVSKTTYSLL >Al_scaffold_0004_608 pep chromosome:v.1.0:4:4037993:4039901:-1 gene:Al_scaffold_0004_608 transcript:Al_scaffold_0004_608 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: UPF0103/Mediator of ErbB2-driven cell motility (Memo), related (InterPro:IPR002737); Has 1074 Blast hits to 1072 proteins in 474 species: Archae - 213; Bacteria - 366; Metazoa - 159; Fungi - 135; Plants - 54; Viruses - 0; /.../ Eukaryotes - 147 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G25280) TAIR;Acc:AT2G25280] MEKVRQPTHAGSWYTDNPTKLSSDLEEWLSATGLTKSPHVRGVIAPHAGYSYSGRAAAYAFANIDPTNISRIFLLGPSHHFYTPKCALSTATVYKTPIGDLPVDVEMIKEIRAMGKFGMMDLRVDEAEHSMEMHLPYLAKVFKGHDVKVVPILVGAVSAENEAMYGELLAKYVDDPKNFFSVSSDFCHWGSRFNYMHYDNTHGAIHKSIEALDKKGMDIIETGDPDAFKKYLLEFENTICGRHPISIFLHMLKHSSSKIKINFLRYEQSSQCQTMRDSSVSYASAAAKLET >Al_scaffold_0004_610 pep chromosome:v.1.0:4:4045034:4045255:1 gene:Al_scaffold_0004_610 transcript:Al_scaffold_0004_610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LKM2] SRKLLDEYGQYIIIKTSTAGMGFKIDEIVQAWNEMYDAKRWQIGVPSFRLEPLFRRRSPKLHDILENVFSGPQ >Al_scaffold_0004_611 pep chromosome:v.1.0:4:4072221:4072521:1 gene:Al_scaffold_0004_611 transcript:Al_scaffold_0004_611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKM3] MDKIIALQKVKSSLEAAARGRKLLKTSIVVNNAKEHVPKANHVARETAAATQAARERKVDDQLNQILDNRRPGN >Al_scaffold_0004_616 pep chromosome:v.1.0:4:4120347:4120696:-1 gene:Al_scaffold_0004_616 transcript:Al_scaffold_0004_616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative membrane lipoprotein [Source:Projected from Arabidopsis thaliana (AT2G25305) TAIR;Acc:AT2G25305] MGSSKLMVTCIVVAILAISCDILSVEMGISVQALPPTCGPDCTGTFLKQDCYKYCAGLSYKHGVCILFKGLPPRTSTYRCCCG >Al_scaffold_0004_618 pep chromosome:v.1.0:4:4125844:4127557:-1 gene:Al_scaffold_0004_618 transcript:Al_scaffold_0004_618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF2012) [Source:Projected from Arabidopsis thaliana (AT2G25310) TAIR;Acc:AT2G25310] MTTTTPIIRSTPILAFIFLQICFIFFTSTLPCSSGSEDSYTITGRVKIPPSNVIGHIAKFSNVKVILNGGQKITFLRPDGYFTFHEVPAGTHLIEVSAMGYFFSPVRIDVSARHRGKVQATLTETRRSLTELVLEPLREEQYYEIREPFNILSIVKSPMGLMVGFMVVVVFLMPKLMENIDPEEMKQAQEEMRRQGVPSLTSLLPGAGASR >Al_scaffold_0004_620 pep chromosome:v.1.0:4:4155257:4156990:1 gene:Al_scaffold_0004_620 transcript:Al_scaffold_0004_620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LL32] MSPYPKTVAREFNRRSGSTLYRSLFSVSPTMSLYPKTVVREFTQKSSGSILWRSLSSSGSPIMSPYLVRELLPFDVDESVLQLNLRRSRSILCCSFSSGIPQKKVEILKNVFGSGIQQKLFGEKLENSLGSLFKNWILDLFQRFPVLIKLNHKLFYKGEARVLSKAESASDSAKQLGAFMAFLLMMFIQSFFEKKLDEHEEKKRQVIMSKVDERLANLELKQGDLQNDVVALRNDVFVVKYTHIIASSLPLNELPNQVLKDFLDQEVKRDCLAFPIIVTAGKCRERKKTHLIMAFPIIVTAGK >Al_scaffold_0004_634 pep chromosome:v.1.0:4:4302161:4304376:-1 gene:Al_scaffold_0004_634 transcript:Al_scaffold_0004_634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LL45] MAKKVRKLHVTVKPVRLDGLPAILGDETAGKNLSAMVEVKWKGPVSGFGLGFVPFYRSNRPVNHTSSKPIALGVSHVEWEEEFERVCCIVGPWNLSFNVFYGENMDAKNKKSLIGKASLDLSELASKQESTVERKLPIRSKGSVLSKEATLLVNVTFSEVRTEPDDFMQLGQVSIDSAIPKKMSTSRRGGVRDFDSSSSPATASSSGGRSPIVETGSSSSRDENQSEPGQKAGFNWWKRRRLSFSMTWRREPREDEVTKTSTKPSEEPEKPSTAATELTIEANKWVMKDLVSRNGKSKLKSEVYSASIDQRSEQAAGEAACAAVAVVVAHWFHANPKLINPSGTEFDSLITQGSSLWQSLCDKESYLRLFPNKHFDLETIVSANLRPVRVCTEKSITGFFSPERFASLDGLMSFDQIWDEGSFLACF >Al_scaffold_0004_638 pep chromosome:v.1.0:4:4376608:4376971:1 gene:Al_scaffold_0004_638 transcript:Al_scaffold_0004_638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LL50] MGANEFRFFLSCDINSPVTFRVEKLDGNLPVKKSSDSGVVSVVEEKKPELYIECALYIDE >Al_scaffold_0004_65 pep chromosome:v.1.0:4:344076:345220:-1 gene:Al_scaffold_0004_65 transcript:Al_scaffold_0004_65 gene_biotype:protein_coding transcript_biotype:protein_coding description:dehydrin LEA [Source:Projected from Arabidopsis thaliana (AT2G21490) TAIR;Acc:AT2G21490] MADLKDERGNPIHLTDEHGNPVQLTDEFGNPMHLTGVASSAPQYKESTTGDIAEHSTSTVEGTHPVDAGIHPAAAATTAAAATGVTAGTGATATGQQHHGSLEEHLRRSGSSSSSSSEDDGQGGRRKKSIKEKIKEKFSSSKHKDEQTPSTATTTVGSTTTDQPHEKKGILEKIKDKLPGHHNHNHP >Al_scaffold_0004_652 pep chromosome:v.1.0:4:4553155:4553915:-1 gene:Al_scaffold_0004_652 transcript:Al_scaffold_0004_652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LL64] MATIIPCLFFAFSQASDFKKVCESQVKCLSCGAESNTADEIMDISLEILHSISIKESMQKKFQSEILDGNNKYKCETCDKLVTARKQMSSILQMPNILVIQLK >Al_scaffold_0004_654 pep chromosome:v.1.0:4:4562348:4563629:1 gene:Al_scaffold_0004_654 transcript:Al_scaffold_0004_654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LL65] MSFWSLVLPSPPSSLSAKLKPFNDSGRLHRNLVRASSDVPDFISADWLESRRKRPFGPRLDFSAGDAVRHQLDALKYNDHPRDDYGIEVMYRFAGFDPFERSTYFGPFFDLGQFERFRRIFHHSSYRVLLSHKDRKILSSLFVEENRFKQRIWIQGNRPEEEEIFEFTMFQRIGGSWDGYWLTESLLHDGDVFSGGMAY >Al_scaffold_0004_657 pep chromosome:v.1.0:4:4573749:4575051:-1 gene:Al_scaffold_0004_657 transcript:Al_scaffold_0004_657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LL68] MTKKRSKPRNRNSTTDPENVSPRPSRSRSHREISCRDSSSIVINRVSSGFDGYIFGSLSRPPENCSDLLPRCNFVGLVQFLKSLFKRIASRYISVDDVVSIWRFLECGCVIREMKVSIGSFQQNVEYGIGDRWLVMLLEYLVFTFRTYEQQVSRGCNKNCLILIYDFCKFTRFRFFVESSTLWFGVVHDQYTISESSFRHQNPLRQGENFKFVVVISCLDLYGDDCYGFLQLELLQSWSSVDGYNETVVKMTVTIVASISQTISFVCKCLMRLFKDPVSHPVYSLALFSAAAQICASSSSMDIVGTRSPLFFGAHSCYCNIKGGFTFFFFTRTEHRH >Al_scaffold_0004_661 pep chromosome:v.1.0:4:4609715:4610821:1 gene:Al_scaffold_0004_661 transcript:Al_scaffold_0004_661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LL73] MVSPKQLDFSSSGGAGDSDDTLSRRKSPRNPPSKLDYRAKTGFQSKIDWDAFYHFLGSSIVAKFSKEQVLSKIRKLKRRFLVHWEKINEGNDPKFTRSSDSEAFGFSSMIWGQNEAEFGNHDGMDKEHEEPENGAAENGTAQNESGEEMLKEHEETLNENGAAKITDNNGTSQIAPQSKSEEEMLKEHEEVVANTEPLYENGRAKTKKNGTTAGKESHDDDDELLAVQDAFEAVMSQGLSDYQKKLQLEKLMNLGTGKRRELSDEWKALCVEERKLNIKKLRFSAKLAEAANYNQ >Al_scaffold_0004_663 pep chromosome:v.1.0:4:4658348:4660023:1 gene:Al_scaffold_0004_663 transcript:Al_scaffold_0004_663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LL77] MGDVQGLWLFRFFAAEEMRAYKENTSKLISHVGFQEPMAPVRFIPKKAAVFLCFMFAGEISLPGGKAEENDKDDGITATREAEEEIGLDPSLVDVVAFLEPFLSQHLLRVIPVIGILWDRKAFNPTPSPAEVEAVFDAPFEMFLKDENRRSEEIDWMGEKHL >Al_scaffold_0004_664 pep chromosome:v.1.0:4:4666382:4667352:1 gene:Al_scaffold_0004_664 transcript:Al_scaffold_0004_664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LL78] GTNYKFKRLEKCGTHSTRYEDYYITFEATAPTSGSVFSFQTMLSNDYQYLTWGIGLTLASLAARIKATHGTESVDEVWDMAAIDDSYKGPMPKWFSDEALVRDDKKVYVVQESELHENDWLQLLMEVAFYSKTESGVSACKPLEIKKVVVQTLEEYTTEAREKLKADNAIFYISYKCIADPSTPWAGEHDAIIRKTMDGKPGHMSLEVAVTKEQIEDRET >Al_scaffold_0004_666 pep chromosome:v.1.0:4:4688631:4693211:1 gene:Al_scaffold_0004_666 transcript:Al_scaffold_0004_666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBF4] MDFNEEADNVSLRKLIMDMEANFSSRIDNLATSMNGMSSQLEKVESELTELNKWKDSNLFRLKDLLAVNSSIPGDPSNVVKKSSVPVDASTQGKGPVDPSPPTFPPPPMKSASSHPPLGKKDDKILENVQKNLTTNMEVADTDNLIEVVVAKDDEADDTLNSLAVEPVSEAVSKKADDALNNLAGESVSTKDDDDVKAGDDAHEIIDGKPDGDDEQKKLDGKADGDDAEKKLDGKDNNDEEDRVMTLKQSSTELKQWRSSWESSARSSYDKFGVLKRSSIELIQRRLGWDRVINLCRIQANMCRP >Al_scaffold_0004_677 pep chromosome:v.1.0:4:4847970:4849184:1 gene:Al_scaffold_0004_677 transcript:Al_scaffold_0004_677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBG4] MTGKRGRKRRNAPNASQRAVGSTAANRRPKNLRLDLFKRHMVVFAVGTSLASVATAWIDIQVLDCDVRRLSCLNDACLRTVLAYLVKFFAVLISAHIDIAFDALVLCVCIVELDNRNNHEAVFGAEKA >Al_scaffold_0004_678 pep chromosome:v.1.0:4:4868100:4869278:1 gene:Al_scaffold_0004_678 transcript:Al_scaffold_0004_678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBG6] MNLWRNNKGKQRRKQWFLDNGSIFLKELIAGCNGQTNPIRSFSSDQILKATNHFDPSLYVTEDLYYTCFKGTIEDRSYIIKRFPEEKVRGDGDGVRAVYNDIVISARVLGRTCNKVFGESLG >Al_scaffold_0004_685 pep chromosome:v.1.0:4:4969655:4970846:1 gene:Al_scaffold_0004_685 transcript:Al_scaffold_0004_685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBH6] MTLLVVTITTVDPLMETRSKTAVKGEEKKEATSPALRLTAVELSQMGEKMEHLGDVINGD >Al_scaffold_0004_69 pep chromosome:v.1.0:4:362084:364884:1 gene:Al_scaffold_0004_69 transcript:Al_scaffold_0004_69 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein [Source:Projected from Arabidopsis thaliana (AT2G21520) TAIR;Acc:AT2G21520] MRKRKENQILRILRMRGEQESSGRRKSDGRVSSVSIEDVRDVEELQAVDAFRQSLLMDELLPERHDDYHMMLRFLKARKFDIEKAKQMWADMIQWRKDFGTDTIIQDFDFEEINEVLKHYPQCYHGVDKEGRPIYIERLGKVDPNRLMQVTSMDRYVRYHVKEFERSFMIKFPSCTIAAKRHIDSSTTILDVQGVGLKNFTKSARDLITRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKSFLDPKTSAKIHVLGYKYLSKLLEVIDVNELPEFLGGACTCSDQGGCMLSDKGPWKNPEIVKMVLHGGAHRARQVVKVLNSEGKVIAYAKPSYPWIKGSDTSTAESGSDAEDIGSPKAIKSFSHLRLTPVREEAKIAGETSLAGSYPGYDEYVPMVDKAVDATWKVKPAIQRVASRGALMSPTIPKDPEGIKARVLVMFMAFLMAVFTFFRTVSYRVTKKLPATTTSPLVTQGNATELGSNGEGVKEECRPPSPVPDLTETDLLNCVTKKLTELEGKIGTLQSKPNEMPYEKEELLNAAVCRVDALEAELIATKKALYEALMRQEELLAYIDRQEEAQFQKMKKKKNKKHLFCF >Al_scaffold_0004_697 pep chromosome:v.1.0:4:5133475:5136879:-1 gene:Al_scaffold_0004_697 transcript:Al_scaffold_0004_697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBI7] MDHLLSHAIKKRIGKRECLFDVSALNPYIHEWKIKVKILRKFTCSFTGYKTFDLILVDDEGQKIHAVMGIEFEDIFSMRLTERSWISLSSFSLSRVIGTFRPTDHRFMIHWKSTTWFRNIQPMSYDNFFKFDSFEDIKSGSLDTNICGDLIGRVVAVGNQNDEEPPDNEWNEIFFDIENIDLPRKARIQRTQPENSTNQNRIPIRQEQRRKSQIGEPQKPIGEHSTPHQGRRDPPSEPETIRGGRRKSQAKMVCRKPTSSSNSGQRQPITTTQIQTKQGKIFTTSQKNSRTKKRKETTTGGDQHQCCGSH >Al_scaffold_0004_698 pep chromosome:v.1.0:4:5137705:5140423:-1 gene:Al_scaffold_0004_698 transcript:Al_scaffold_0004_698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBI8] MHHEECELGTSLEVILSDSKGTKVQASFRGCAYHRYASKISPGDWFDFKDFKVVEQYGSCRATNHRYKIIVPYSTEIDIASVMRDDCFFDFVDFKKITLIKTCLKPPGDIVKTVSTGPLDGYNSDDVEELTGDGDSDEESCTEDEGCVEDDPEYQIDNDEEDTDTDEVNDRIASTDDDASEVSEDDDCAAESDDDFYEVEEDDYEDGVEDDEEDVDSKYSAVSESEEENLDGCDGKTIEERKTDKLDDYSVHHKISFDLRDSSNNVLKCELVGYEAVNFYKSFKKWQYDVIICVIRWGVVDVFQDKRTITATECTQIRLNPGIPEVAEFRTSISRKRTRTSVPKKK >Al_scaffold_0004_701 pep chromosome:v.1.0:4:5150368:5150694:-1 gene:Al_scaffold_0004_701 transcript:Al_scaffold_0004_701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBJ1] MFLSTSSSSSLSATSSISSTTSVPFNPSTGSSVRSNSEASEASTGSFIAASEESSVGTLTATSEPFEAPVEGYFSPGSSAVISVWSLTRALPDNNTPKDFIIFEYESN >Al_scaffold_0004_707 pep chromosome:v.1.0:4:5193400:5196747:1 gene:Al_scaffold_0004_707 transcript:Al_scaffold_0004_707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) TAIR;Acc:AT2G25840] MGHATTLSHFLILSSSRLSRLGSRTRFLTKPTPLSGSFSPFPVTGQGFRCCCSAATEDPSPSVKKRVVSGVQPTGSIHLGNYLGAIKNWVALQDTYETLFIIVDCHAITLPYDTHQLGKATTDTAALYLACGIDVSKASVFVQSHVPAHAQLMWLLSASTPIGWLKKMTQFKEKSRKEGAEDASVALFTYPDLMTADILLYQSDFVPVGEDQKQHIELAREIAQRVNHLYGGRKWKKLGGYRTLRGGSLFKIPEPLIPQAGARVMSLTDGLSKMSKSAPSDQSRINLLDSKDLIVDKIKRCKTDSFAGLEFDNAERPECNNLLSVYQIVSGKTKEEVTEECKDMSWGTFKPLLADALIEHLSPIQARYQEIIAEPAYLDKVLSEGADRAAELGDVTMRNMHQAMGFYQRRR >Al_scaffold_0004_711 pep chromosome:v.1.0:4:5217204:5255490:1 gene:Al_scaffold_0004_711 transcript:Al_scaffold_0004_711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LC04] TNFREMDLKKTPLMQENETSVLVWLHMVRYEAGDVKQITTENQLSDMIEVFTAEYGLICKIAGYKKTICLFNGWHNNGIGLSGHRRYRVITERMVLAMPENGIGLFPDVGFSYIAAHSPGRGYVGAYLGMTGRRISTPSDALFIKSAFTASKSVQETIEELKKYQLSTEDSVAEWANEALKGLVKGAPFSLHLTHNYFSKVVCAKGKTDNGMAMLNGVMKTEYRIALRYALRGDFTEGVRAA >Al_scaffold_0004_715 pep chromosome:v.1.0:4:5292086:5292462:1 gene:Al_scaffold_0004_715 transcript:Al_scaffold_0004_715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LC08] MSSEDHIDMDSEPIPQESAGTGNKRKRATTSSSSALPPKPKKKMPKRAEVWQHFTQTDDDLTKSTCKYCLTEIGCDTVLVGTSPMKSHLKRCKAFKDFVERGKQQVLSSDNSV >Al_scaffold_0004_72 pep chromosome:v.1.0:4:375894:378231:1 gene:Al_scaffold_0004_72 transcript:Al_scaffold_0004_72 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dihydrofolate reductase/thymidylate synthase [Source:Projected from Arabidopsis thaliana (AT2G21550) TAIR;Acc:AT2G21550] MATGEAMFINGQSTVSVTRRSYQVVIAATRDMGLGMDMKLPWDLPTDSQFFHDVTTRTTDPTRRNATIMGRKSWESTPLEIRPLPGRLNIVLTKSSCHNIAIDENVLVCDSMESALELLATEPYSLSIEKVFVIGGGELLRNYMNAPSCDAIHLTEIDISVPCDAFAPRVDTSLYHPWYSSFPIVENGIRYSFNTYVRRKDAVVGSGEKKSAAGSDFKEYSFLPKMVFERHDEFGYLNLIQNIISSGDMNDNNTLTKFGCQMRFNLRKTFPLLTTKKVFWLGVVEEILQLISGSINPMEKGSNIWDSDEANEYLNSFGVNATEEDGDHQHLYGLQWKHTDARLIVQKFSQLSDVINKIKNNPHNQRIMLSACNPSDLKLSVSPCQTFAQFYVANGELSSQIYQSSTEASIEIPFSITTYSLLTCIIAHVCDLVAGDFIYVIGQARINKARVKAIQKQLQISPKPFPILKINPEKKKMDNFEASDLELLGI >Al_scaffold_0004_720 pep chromosome:v.1.0:4:5314632:5315385:-1 gene:Al_scaffold_0004_720 transcript:Al_scaffold_0004_720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LC12] MHTLINVVTILVGNKSDLKDLREVSTAEGKSLAEAQGLFFMETSTLDSSNVAAAFETVVKEIYNILSVD >Al_scaffold_0004_721 pep chromosome:v.1.0:4:5317650:5318255:1 gene:Al_scaffold_0004_721 transcript:Al_scaffold_0004_721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LC13] MRNESFEDFEDLRMIFGSNTATGLNAVGLGDTVDADSYQVGENDGIMDDMDRIPYAEKSVHDVFSSIEKNRLEKLPQRKKARTDAFNSNKAFDEVNTVQEFGNQILGMIQRRWEKETEEKEAEDKAENVWEAITEIPDLTIDLRYEAMTLDINFLKFTTTPRILYFYTIDDVEHIFKRIAERIQFFYFVVKQRCKENMFFV >Al_scaffold_0004_724 pep chromosome:v.1.0:4:5340899:5343317:-1 gene:Al_scaffold_0004_724 transcript:Al_scaffold_0004_724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LC16] MMGVAAFSVALMMIAVIISPCVYGEEFSDHHEIKVQRLKKRLNKPALKSIKSEDGDIIDCVPITSQPALDHPLLKNHTIQMRPSFISEDEPKNTKKKEKAIIQVWHKSGDCPENTVPIRRAKKEDIFRAKSFESFRRKTRRSIAEYKDPSIGHEYAIMQLRTGKFYGTEFTINFWNPKVQAYGEFSLAQTWLLSGEGPNLNSIEAGWQVSEQIYLDNNTRLFVFWTNNGYQGNLCYNLRCPDHGFVQVSNRFTVGGSLIPVSQYDGEQQALSMHIRKYDDKNWWLKIGEEFVGYWSDDLFTSLKDGATVVQWGGEIVNLKTDGIHTTTEMGSGHFAEEGFRKASYFRNLMIYDEANTLKEPQQLSPLTGHDGCYNIKAGDGGTDWGVHFFFGGPGRNEKCP >Al_scaffold_0004_726 pep chromosome:v.1.0:4:5382914:5388019:-1 gene:Al_scaffold_0004_726 transcript:Al_scaffold_0004_726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LC19] VTWQPYKISDRDLELHDEYKDHVYMIYSCAACICFNVVAYNCPHNFFKQLGPAEVCTPNEMPDMKIHPKLSISKNKDKDWRTTSLYAKVNQCWNKQHYYVVNAGHKKFPTMYFVGNLSETTKR >Al_scaffold_0004_727 pep chromosome:v.1.0:4:5390026:5392385:1 gene:Al_scaffold_0004_727 transcript:Al_scaffold_0004_727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LC20] MAASPTSLTEKPLSRKTFDDLEGVFSEEEVLFRLIHFWEARNHTRGNSLIGLELLFIDEKSTTIQAFIPANRISRYEKSLKPNSVYKMKKFIISPCKKLFKVSAHKYGICFTNKTDLDEVTKGEHKIASQKFRIRSFNDFAAIVDRNGDLFDVIGQIRLITGDNLSAATSNAEEPKAANGKSKDKVFMHLLMKDGETVRIYLWDNIAAKFRTRWNASETKPTILLLTTVNAKFLGGMHTPYQTLSEQDPTFGSSSSAITKIETLTLNELHEFIKNKTPQEISFQCVATIVNVLGEYHWNYVSCTYCNTMLEKLDTTMTCTTCKKPNKVGVLRYRFEISVSDENNGLATFVIFDREGIHFAGRRAPEVFASEYPEGDDPDECELISKKTPQCILDIVGRTCKFQVKLTPYNFRATRQTFTVSRIIEDNIDIHYKSNQKEMATEEPEHATHIASGKAKNKVDDLDLEEHTKNKTYKRLRHNY >Al_scaffold_0004_728 pep chromosome:v.1.0:4:5393470:5395028:1 gene:Al_scaffold_0004_728 transcript:Al_scaffold_0004_728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LC21] MHLISNLGGCISCYKYDGHKNARSMRKAIMLSKRWHRRQSQASTQLAASSSTGNKISPKEGRKVTPPRTLKYYDFRRKNAQTLRRAIILSKRLSKKHQGEASSSQKLTRQQRKQLQASMVQENPILQCPHCKALVWYSEKTGNDPSTGEPIFTICCQQGRVKLPPIKQPPPYLEYLHANSKTFRINIRIYNSILAFTSMGAQIDHSVTYATGPPVFRIHGQVFHRIGSLLPMPGQNPKFLQMYIIDTENEVSNRINTMSRRDSAAQLEEDIVAGLIEMLDEHNCLCMFFRKARDRFNGNNVE >Al_scaffold_0004_730 pep chromosome:v.1.0:4:5400275:5400607:1 gene:Al_scaffold_0004_730 transcript:Al_scaffold_0004_730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LC22] MTTRFLQFIGAIQISTESQTSLPILIYFKVTDYNFQAYSRIDTDILITELQTSKRIPTTTEENEDCIICLETFKGRDINSLACNHIYHHECISTWLYANKICPICRATDL >Al_scaffold_0004_731 pep chromosome:v.1.0:4:5404467:5406244:-1 gene:Al_scaffold_0004_731 transcript:Al_scaffold_0004_731 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: 3'-5' exonuclease domain-containing protein / K homology domain-containing protein / KH domain-containing protein (TAIR:AT2G25910.2); Has 131 Blast hits to 125 proteins in 54 species: Archae - 0; Bacteria /.../Metazoa - 12; Fungi - 12; Plants - 41; Viruses - 0; Other Eukaryotes - 16 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G25920) TAIR;Acc:AT2G25920] MASSSPTQRTHVSIPPEPGGKSLTQEANEPPVPISVSSPCGKRTRDPEDEVYLDNLRSQKRYLSEIMACSLNGLTVGDSLPVNMLESPARSESFIYHRDDLSLQYSPMSEDSDEARFCEDPTTTASTSSSQPESRPTSPVSPYRYQRPLTSTNSPQPSSTIVHHSHTCPTSMISNATTTTTTPQSRQRGSDTEGRFPSSPSDICHSGDLRRTALLRSVQMRTQPCGYTSSSGPSNIDGEERMCSKSMEEDRGYNRGDDISYTEVSSKSKSCKALDI >Al_scaffold_0004_741 pep chromosome:v.1.0:4:5479756:5480575:1 gene:Al_scaffold_0004_741 transcript:Al_scaffold_0004_741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LC32] MSYIPAAVMTDIVRRVGSDGFPNLGPLIAAGPFFQEIVFSRDVLLDVDLDEVLFNSRLGREESIYRPFLLRCAAAGHEVARYLEGLRRLTQEGLSVEALEMLGEVGYSSIYATFAFAVMLLCCGSYDQGMVVTRTFFSRIETLEEAIAVAGVVEDQIRHIGPAGRNVFDVSSYENFIPSYGLCLYFSQMNI >Al_scaffold_0004_744 pep chromosome:v.1.0:4:5490167:5490412:1 gene:Al_scaffold_0004_744 transcript:Al_scaffold_0004_744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LC34] KLKIENREEIKTRIENTIDASGESVREYLLTRSPTNSIVFPKLKRTDDELHGGGRRRVSRWRLATSFTVKAGDELYGGGRR >Al_scaffold_0004_745 pep chromosome:v.1.0:4:5493581:5495409:-1 gene:Al_scaffold_0004_745 transcript:Al_scaffold_0004_745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LC35] MAAVQAFTPLADIKPFKTHWKIQVKIVHSWTQYTQFTGETVEMVLADTSDNLIHATVKKPQVSKLQRAVSNSFDMSLLEINPNYLAVLEFVANLPPDVMPLTIEDSKAKQVNAEQRKKDYYDRFPRKTISGLFCFSETGKCNIICTIMNIDTDYSWYFFSCLKCSKTAYKIPKVENEIVKKGKKEMFWCPTCKEDTPKVIPRYLLNVGVMDSTGDTKCLIFDKSAQEIIGVSAEDLLEGKWDE >Al_scaffold_0004_747 pep chromosome:v.1.0:4:5533356:5533596:1 gene:Al_scaffold_0004_747 transcript:Al_scaffold_0004_747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LC38] LRNSKETTVISTISRFQLHISLDFVANSLPLNLRFIFEKSLLSIMAGRKRKRGTHPRRS >Al_scaffold_0004_752 pep chromosome:v.1.0:4:5582792:5583620:-1 gene:Al_scaffold_0004_752 transcript:Al_scaffold_0004_752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1644) [Source:Projected from Arabidopsis thaliana (AT2G26050) TAIR;Acc:AT2G26050] MCESSNNVRVSPYPLRSSRTDKHKSSESPPIETGWEDVRGCHPYMCDTSVRHSNCFKQFRKKNRTKRLSTKTLQCPLCRGEVFEATNVTSTARRFMNAQPRSCSVEDCKFSGTYSQLNKHLKTEHRGIVPQKVDPQRQQRWEMMERDAEYVELMTAAEIPHATEVVHQQLPNDHHHPHVFRVTVNGAIWNLIDPSQGRNGLGITNYTPMQFLPLSILDP >Al_scaffold_0004_753 pep chromosome:v.1.0:4:5589796:5590233:-1 gene:Al_scaffold_0004_753 transcript:Al_scaffold_0004_753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LC44] RRLFSRFCTPIFLESFNLTFLAEWGDRSKIATIDLSFLKCRYRIVHRGNYWTNALCTSPAVVGGSMLALRISQRTVATVGGLLFFGFSVSSYFYPPL >Al_scaffold_0004_756 pep chromosome:v.1.0:4:5631447:5631659:-1 gene:Al_scaffold_0004_756 transcript:Al_scaffold_0004_756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LC46] MATVGLHNFIRISNFSDADFADVMTETNINNGDFEHDVGDMDAAELADGEHMTQIRDNIANMLWENQNNR >Al_scaffold_0004_761 pep chromosome:v.1.0:4:5659899:5661446:-1 gene:Al_scaffold_0004_761 transcript:Al_scaffold_0004_761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCP5] MSNANEGSSSSRRRKNVNNKIDTLKGNYKEWVDLMDKIGLSSDSRTGVIRMDDDWWQLREAHVENCMKKIKELILVVVLMRMVMLITRFLKLMTKILMRMQHPKHIGSSGGSVRSSGSSVGYSSGNSLGHSSENSEYRFAKFPQFQPSPAMGQFFSSPGQGFNMPGQMVGVPYVPMMFPQGFGGYPFIALSPATQMLQTSGSPGVFNVGASSSMG >Al_scaffold_0004_763 pep chromosome:v.1.0:4:5673111:5676033:1 gene:Al_scaffold_0004_763 transcript:Al_scaffold_0004_763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCP6] MSQKTLNVGDSLAPTSSPLQISPETVIERLRKNLPTLLIPFLVSCISFRNLSIKRKRVAKATTVEPGSTEEPSPTVGPSNTTTVEPGSTEEPSPTAIEGEGVEEQQVPGTLSPVLEESDKNEEENSEKNEEEESGEEESEEEDKEEEKEEGNEEGNEEGEESSDDDGSRSLGGESSSDESKKDEIAPENQPENAMGLDDTVNSLDKRVKSLEAFREVQKVDKRKDQEEKNQEKDGDPEETTQEKDGDPKDTTQEKDGDPQVTKMTTRSKRQLG >Al_scaffold_0004_764 pep chromosome:v.1.0:4:5681344:5682884:1 gene:Al_scaffold_0004_764 transcript:Al_scaffold_0004_764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCP7] MALGGMSGVHEVHIAVFVVGQKERMLNRNKHIRESHVEKGRGGEVTRLTWKVMDETGISSPENREKGRIKERESREKTREDET >Al_scaffold_0004_767 pep chromosome:v.1.0:4:5741391:5742423:-1 gene:Al_scaffold_0004_767 transcript:Al_scaffold_0004_767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCQ1] MSGLNINASKSSKFYAGKDKHLAIHNASDHEIRSSSLPIRYLGLPLTTKSMTRHDYEPLIDKIRGRLLSWSSNSDQATRDSSSTRDNIVRPISLGVARHSKVANAVQGTQWRVRHCRSQTLYNIVTKLQEIAPLQLAKGPDKPLWRYILKSRMLEECYSSPNMPLIA >Al_scaffold_0004_768 pep chromosome:v.1.0:4:5748626:5748862:1 gene:Al_scaffold_0004_768 transcript:Al_scaffold_0004_768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCQ2] MRHSGGGCDSSGGDSIGDSDGDTSGNVGGGDDGGGDNIDGSSKGNCSSGGDGSGGGSGRGDGANNDDSGQWRSQKNCL >Al_scaffold_0004_769 pep chromosome:v.1.0:4:5760932:5761300:1 gene:Al_scaffold_0004_769 transcript:Al_scaffold_0004_769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCQ3] MVAITIVAVVMAEMAIVVTVMVVAVSMTVVMVVVTIEVIVPITLVVVMVKTVVMVVTIEVMVTTMVLGGGDYGDSCSGGDSGSVGDSDGRDGCDDGSGIDGSGGDDGSGGGSGGGDDDCSNF >Al_scaffold_0004_77 pep chromosome:v.1.0:4:396239:399092:1 gene:Al_scaffold_0004_77 transcript:Al_scaffold_0004_77 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LBF1] MDSSYYFALGTSSSILPKLCFKNVEIRFWGEKINNNGFFKRSKSDLDSKNRKFKRSVVYAVATSNNPKKAMTVKPSMFERRKADPQNVAAIILGGGNGAKLFPLTMRAATPAVPVGGCYRLIDIPMSNCINSCINKIFVLTQFNSASLNRHLARTYFGNGINFGGGFVEVLAATQTPGEAGKMWFQGTADAVRKFLWVFEDAKNRNIENILILSGDHLYRMNYMDFVQSHVDSNADITLSCAPVSESRASNFGLVKIDRGGRVIHFSEKPTGVDLKSMQTDTTMLGLSHQEATDSPYIASMGVYCFKTEALLNLLTRQYPSSNDFGSEVIPAAIRDHDVQGYIFRDYWEDIGTIKTFYEANLALVEERPKFEFYDPDTPFYTSPRFLPPTKAEKCRMVDSIISHGCFLRECSIQRSIIGERSRLDYGVELQDTLMLGADYYQTESEIASLLAEGKVPIGIGRDTKVRKCIIDKNAKIGKNVIIMNKGDVQEADRPEEGFYIRLGITVIVEKATIQDGTVI >Al_scaffold_0004_770 pep chromosome:v.1.0:4:5772517:5773514:1 gene:Al_scaffold_0004_770 transcript:Al_scaffold_0004_770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCQ5] MGRFTPDKENIALLMRDVQGIRNNLTSSIPVMLTLSQSNFAYELAIEAISSDIIIDIPPQKETCNICLNDDINADQMFSVDKCGHMFCSECVKRHIEVKLLEGSLISCPHYLCSSLLSSEFCVNILTPKLKEMWEKKTKEDLIPVTNRVYCPNPRCSTLMSETELSGLIIGVRICCVKCGEPFCINCKVPWHNNFSCEEYKRLHPNATENDGKLKDLANEKLWRQCSKCKHMIELSSGCVSVICRCGHEFCYRCGADAGDCSHGHGLPLTDDLLLTPCCAFCCCSVFFLVIIAIVVVTIIFLIRRFS >Al_scaffold_0004_772 pep chromosome:v.1.0:4:5777887:5779251:1 gene:Al_scaffold_0004_772 transcript:Al_scaffold_0004_772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCQ7] MEKDDLEVTGRSTPEQENIALLMLDVQGIRKSLTSSIPVLLTRDQSNFAYEFAIEAISSDIIIDIPSQKETCNICLNDDINADQMFSVDKCGHMFCSECVKRHIEVRLLEGSLIRCPDYRCISLLTYGSCVNLLTPKLKEMWEQKTKEDSIPVTNRVYCPNPRCSTLMSETELSELIIGFRRCCVKCGEPFCTKCKVPWHNNLLCDQYKRLHPNPTENDGKLKGLANEKSWRQCSKCKHMIERSSGCLSVIC >Al_scaffold_0004_773 pep chromosome:v.1.0:4:5780017:5780571:1 gene:Al_scaffold_0004_773 transcript:Al_scaffold_0004_773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LCQ8] VMGRSVPQQENIALLIRDVQGIRKRFTSSIAVRVTRNQVEFAYKVGMEAICSKTKIAMPTLFHPGKIDVPALFHPKMTCSICFDDDINADQMFSVDICCHVFCSECVRRHIEVRLAGGYSVTCPQYRCKSKLTYGRCVNILTPKLKEMWEQRIREDAIHVTDRVYCPNPTCSALMSLTELYQLTG >Al_scaffold_0004_776 pep chromosome:v.1.0:4:5808023:5808804:-1 gene:Al_scaffold_0004_776 transcript:Al_scaffold_0004_776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCR1] MEIPEWTDLPEELIDLTAKRFSSNIDVVRIRSICKPWRSAVATKQNSFHFQRRNLPSSNKNIETLLSPTTFFRVYVPSSGSYKGWLIRTKQVSESSKICLLSPFSRQLLDPSQETLDLLKFGFSEIRKSYEIHIFDKYLIQSVRGKIGPSHKLSRVVFLDNLFFAVGEDEKIWCCKSGEESSRIWTKIKNQLQQETRGLLWKSMHCSSTSCKER >Al_scaffold_0004_783 pep chromosome:v.1.0:4:5872093:5874455:-1 gene:Al_scaffold_0004_783 transcript:Al_scaffold_0004_783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCR4] MDVDPLTRNQEEVYVGNQQVNLVGTCTGNDLDLVANNQHTPADDIVKQSGHLEDHVAPQTVDNTKEMDNPEVNEPHVTTGVVAGVNSEDDVAPQTVDNTKEMDNPEVNEPLVTTGVVAGVNSEEGQQEGASESFTGDSTQDSQTQADDPTEGNSEKDIHSDVPSLTPLSCAAEPLPTPKSTRAGGSFPV >Al_scaffold_0004_788 pep chromosome:v.1.0:4:5903756:5904591:-1 gene:Al_scaffold_0004_788 transcript:Al_scaffold_0004_788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LCS0] LFDWQLRDGSSHQGTVTSMEPNEGTFVLHTENTKKGKINPVHLVDVPGHSRLRPKLEEFLPQAAAIVFVVDALEFLPNCRAASDEKLRASRSAVSKADIANDFSFSHCYNKVIVAEASGLTGENVQIQDFIREYIKP >Al_scaffold_0004_790 pep chromosome:v.1.0:4:5938156:5940741:1 gene:Al_scaffold_0004_790 transcript:Al_scaffold_0004_790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCS3] MSSRFTSSSIERYNDFSRLDPAIVGWHVHVKVLRHFHTDDYISKGALGLLLVDDKGNQIEALICFPLTAHYSTFIEEDEFYTIMNFRVVENSGVTKLTRSDFKIMIYEWKKTLKCFAYGEVAIECFDRFRDVIGADATCVLRWWKVYQLLGGWSHILHVWHDLDNVSNSLNLILVDNQGTKIRAAIRESLVTKFSPLLIEDLWVTFRNFLLTPDVDLVRITPHRFKIQFSPDTSVEYLNFLACDYDCFSFGRFRDIRTDLVGRVDNVNDIQLVHTVGSSKDIAVVYFDLIDKELTRLSFRLTGESAVEFHRQWKDNIDDVVVCIIRFAKIVATSNRMWHCTNAGCSKIMVDPPLPGVVELKEWFADDCRSPRTVAKEARLT >Al_scaffold_0004_791 pep chromosome:v.1.0:4:5941372:5942059:1 gene:Al_scaffold_0004_791 transcript:Al_scaffold_0004_791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCS4] MIPFTSDSCDGGYFDIASLNPNMGEWSVSVKILNCWSVSRGSGRELNMILGDKHFTQIQAVVRDELIDNYLSRLIVDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSTTYFNLTEFVSILSGIVNPNICVDVVGKVVNVRELVFVPSVEHSQGGYFEMYFGLRDTE >Al_scaffold_0004_809 pep chromosome:v.1.0:4:6064859:6065092:1 gene:Al_scaffold_0004_809 transcript:Al_scaffold_0004_809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCU3] MVESVVEKIEGDVGRLAKGLHELEAIKERAERCEKEIVYLKDVVSLCEKEVQELRSFKNMVVCGGLVMAMVYYVFFA >Al_scaffold_0004_812 pep chromosome:v.1.0:4:6082380:6084307:1 gene:Al_scaffold_0004_812 transcript:Al_scaffold_0004_812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCU7] MAATTQFKSCLLQPKSGSTTSRLNPSLVNPLTNSTRVSVLGKSRRDVFAKASMEMAESNPIPSVVNSSKQNGPIIVIDNYDSFTYNLCQYMGELGCHFEVYRNDELTIEELERKNPRGVLISPGPGTPQDSGISLQTVLELGPFVPLFGVCMGLQCIGEAFGGKIVRAPFGVMHGKSSMVHYDERGEEGLFSGLSNPFLVGRYHSLIIEKNSFPSDELEVTAWTEDGLVMAARHKKYKHIQGVQFHPESIITTEGKTIVGNFIKLVEKKESEKLT >Al_scaffold_0004_814 pep chromosome:v.1.0:4:6106554:6111332:-1 gene:Al_scaffold_0004_814 transcript:Al_scaffold_0004_814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LCV2] MELVHNVSDLHVHSTTWRIYVKIMCMWEEDLGSAGSETIMMLGDENANKIDAVIPNGLYRHNFKKNLKEGEWYFMSDFNVVPQNPISRYSWHPFMIQCKWETKMVHITPRSINNYMDFIDYDEIKYAGTQEKEYVTDVVGVVRSVSPIGRLLERGSDLDSSYVTFTLKDL >Al_scaffold_0004_815 pep chromosome:v.1.0:4:6112339:6114892:-1 gene:Al_scaffold_0004_815 transcript:Al_scaffold_0004_815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCV3] MAIGNKIQAGIKKEHLQKLQRNITKGEWRIFDEFSVKKATGMLPSDGLALTIMEPKPRFQPLKVREERSKQFPRKTIAELKASLEIIWRCDKCNTNVSSVEARYWLHLDVMDNTGESKLMLFDSFVEQIIGIPACDLVDPTTEELDDPLPLPDVVKNIIGKTYQFALCVEQDNISRGNDEYKVSEVLTSQNLNHPALEPEVDYPVDLSSMSSSDQVLMLTNSSHHDDTTNTSLSTPSSKRKEDTSDRSDQNSTSKKQCTGTQSDVKDNGVIDLDTPEKNDQSTDVKVDGVIAVDNSKEHDQPKFIHKLDEAGLEAINKVTEAEQKKVLLKKIKVEKLEGQKGAK >Al_scaffold_0004_817 pep chromosome:v.1.0:4:6136188:6138345:-1 gene:Al_scaffold_0004_817 transcript:Al_scaffold_0004_817 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7LCV5] MGRSNEQDLLSTEIVNRGIEPSGPNAGSPTFSVRVRRRLPDFLQSVNLKYVKLGYHYLINHAVYLATIPVLVLVFSAEVGSLSREEIWKKLWDYDLATVIGFFGVFVLTACVYFMSRPRSVYLIDFACYKPSDELKVTKEEFIELARKSGKFDEETLGFKKRILQASGIGDETYVPRSISSSENITTMKEGREEASTVIFGALDELFEKTRVKPKDVGVLVVNCSIFNPTPSLSAMVINHYKMRGNILSYNLGGMGCSAGIIAVDLARDMLQSNPNSYAVVVSTEMVGYNWYVGRDKSMVIPNCFFRMGCSAVMLSNRRRDFRHAKYRLEHIVRTHKAADDRSFRSVYQEEDEQGFKGLKISRDLTEVGGEALKTNITTLGPLVLPFSEQLLFFAALLRRTFSPATKTSTTTSFSTSATAKTNGIKSSSSDLSKPYIPDYKLAFEHFCFHAASKVVLEELQKNLGLSEENMEASRMTLHRFGNTSSSGIWYELAYLEAKESVRRGDRVWQIAFGSGFKCNSVVWKAMKKVKKPTRNNPWVDCINRYPVPL >Al_scaffold_0004_826 pep chromosome:v.1.0:4:6206150:6207268:-1 gene:Al_scaffold_0004_826 transcript:Al_scaffold_0004_826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCW0] MLLKRHRSSEEELLPHDVIELILERLPVQALLVFRSVSKKWKSTIDSRRFKERRLQRRRHSRGVYVLFLCVNGENTLKRDDNRVFSFGSSVARTGRIPNSGPLFCYGSCDGIVCLYGIHTPSIVVNPATGWYQNFPLCNYQQLYIPRFDKKDVNFPSPKLGLGKDKLTGTYKPVWLYNSSIFGLENATTCELFDFTTNAWRYVVLASPYQINAYHKPVYLDGSLYWFTDCEEPKVLSLDLHTETFQVICKAPFAHPCDPRQLTMCILDNRLCVSEKKWPTQVIWSFHPSGKTWNQMCCIDLTQTFSWFGVPKFALSPIAIMDKGKLLLQGRDTSHALVIHDLHTKSYEFLFKPSRPVGSVYYFESLFSALSN >Al_scaffold_0004_827 pep chromosome:v.1.0:4:6210768:6211050:-1 gene:Al_scaffold_0004_827 transcript:Al_scaffold_0004_827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCW1] MMSKPYKTACRVQVYVLHTWKIFTTKFGEAFDIVLSDFKRKKIHASVKREHMNRLKKLVVPG >Al_scaffold_0004_837 pep chromosome:v.1.0:4:6333516:6333849:-1 gene:Al_scaffold_0004_837 transcript:Al_scaffold_0004_837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDF9] MEMIISPGGGGDMYRVGTSNSPKAMKRMLKVTEEKEESGEALRRMGVVVAHTFSWAKNSKGTEPPT >Al_scaffold_0004_838 pep chromosome:v.1.0:4:6336413:6336746:-1 gene:Al_scaffold_0004_838 transcript:Al_scaffold_0004_838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDF9] MEMIISPGGGGDMYRVGTSNSPKAMKRMLKVTEEKEESGEALRRMGVVVAHTFSWAKNSKGTEPPT >Al_scaffold_0004_84 pep chromosome:v.1.0:4:423740:424339:1 gene:Al_scaffold_0004_84 transcript:Al_scaffold_0004_84 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a protein of unknown function that is a marker for oxidative stress response. [Source:Projected from Arabidopsis thaliana (AT2G21640) TAIR;Acc:AT2G21640] MASQTKQTVVDTQKIETENPPKPEVPLSSCRKRVKDDNATFFENLKDHMDEFIHASMDEHKTCFKNTMNKMFGTFSKSDAVAEKQFEAKEVVEIHSPLQAAVTK >Al_scaffold_0004_843 pep chromosome:v.1.0:4:6414113:6414768:1 gene:Al_scaffold_0004_843 transcript:Al_scaffold_0004_843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDG5] MAPRVRGGKSRGRGQGKGPKSPAKRPIVPTRPTSSETEQPEIRQPSPRVSLRDYPPPLQLFQSGEGSLHASGGSPRGSGSNPFRASGSTQVRGSVSSVHRLAARSPRAAQSPTPVHSLAPVQSPPLNQPRARASVSGHSSQAQNVEDKEDEEGDAEGESEEEGLRDSTLPEDVLATLNDLLSVYGRELYTTVISPTLEPGTTW >Al_scaffold_0004_844 pep chromosome:v.1.0:4:6440416:6440784:-1 gene:Al_scaffold_0004_844 transcript:Al_scaffold_0004_844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDG6] MELGKSIENQNNVVVRLAKKVIDTMANGSNVVFSPMSINVLLSLIAAGSNTVTKEEILSFLMSPSTDHLNAVLAKMTDDGTEREVICAYLRLTVYGLTSLFLCNLPLKSFWRNRTRLLIIVK >Al_scaffold_0004_848 pep chromosome:v.1.0:4:6481869:6483888:-1 gene:Al_scaffold_0004_848 transcript:Al_scaffold_0004_848 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 4 [Source:Projected from Arabidopsis thaliana (AT2G26410) TAIR;Acc:AT2G26410] MKDLSENFRRRWKPEKPKRKWSFGKQKSRDSFDFPVEETPTVDPSSSSVHRPSPPPPPLPDFAPQPLLPPPSPPPPPPAYTSNTRLYVDSKEAKNRQALALASAVAAEAAVVAAHAAAEVVRLTTPSTHQTEESKEETAAIKIQNAYRCYKARRTLRALRGMARLKSLLQGKYVKRQMNAMLSSMQTLTRLQTQIQERRNRLSAENKTRHRLIQQKGHQKENNQNLVTAGNFDSSNKSKEQIVARSVNRKEASVRRERALAYAYSHQQTWRNSSKLPHQTLMDTNTTDWGWSWLERWMASRPWDAESIDDQISLKNSLKRENSIKTSPARSKTLKSASQSSIQWPVNNDTKSKKIEVANRRHSIGGGSSANAKDDESVGSSSSRRNSLDNTQTVKAKVSVETTSNVTNAQPVKPKASVGTKRNLDNTKALKSKSSVGTTENLANTQAVKSKVNVGTTGLPKKEVVSDKKKPPQMVLPKKRLSSSTSLGKTKKLSDSDKATTGAANGEKKRRNGGSS >Al_scaffold_0004_851 pep chromosome:v.1.0:4:6547507:6548381:-1 gene:Al_scaffold_0004_851 transcript:Al_scaffold_0004_851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDH5] MGEDLYKIEDFSEPITPVEGAKMGVFWDLHGFPFPDGVSPDWIYQKIESALFKIGFCGKMSIWAYVDDENMSSWGKFLGKKTWKARLHFLPGGIRPDKRMFSDILIWEKDSPVDFPEPASVVVVSDKVKCDPYFLDMLSSMDMGRHYHVYLVDPTKRVPPEDSGWPILLFVEMQSFARKRGREDENPTKRSRADVGNQG >Al_scaffold_0004_852 pep chromosome:v.1.0:4:6550523:6550729:1 gene:Al_scaffold_0004_852 transcript:Al_scaffold_0004_852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDH6] MGIQNIFDETSRTALIVVGVLNACSAGLLNYMALVDVLALKMDMKLESCAYVVVFIGVGGMYLMSKWA >Al_scaffold_0004_854 pep chromosome:v.1.0:4:6566043:6568468:-1 gene:Al_scaffold_0004_854 transcript:Al_scaffold_0004_854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDH8] MSLEMILADEAGNKIQAGIKKEHISKFQRYVKKGDWKIIDDFSVNKATGQYRSTIHPYRINFHYSIVFSPSPSIYVLGQLVNVGEAQTIDVQGKPTKKIDFQLRDTDDNHLPCSLWGKFADQISKVAQESLGSIVIVLVRWAKLGEWKGMLPSDGLALTIMGPKPRFQPLKVREQRSLGLPLNTIAELKASYEVLTSQNLNQADLKAEVDYPVDLSSMSSSDQKNDQPTDLKVDGVVDLDNPKEHDQPKFLHKLDEAGQEAITKVSEAEQKKVLLKKIKVEKIEGQKGAK >Al_scaffold_0004_857 pep chromosome:v.1.0:4:6595414:6596045:-1 gene:Al_scaffold_0004_857 transcript:Al_scaffold_0004_857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDI0] MKKKPDYPLIDLNSSPKPAKRSRVIQTPTSQSQITQTYGSKSNYPLLDLNSSPKTAKRVQVGQTSPSQCQITQATCSKTNYPLLDLNSSPKPDKRVQVGQTPTSQSQITQTTGCKPKHPLLDLNSSPKPAKRSRVFQTPTLQSQITQANVLNTNSSIPLKSAFSRVFRDITNLPCRNDDTHTSNTWYFSSYFRLS >Al_scaffold_0004_862 pep chromosome:v.1.0:4:6628085:6628453:-1 gene:Al_scaffold_0004_862 transcript:Al_scaffold_0004_862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDI5] MAFKNVFFLLAVLCIALSANAQLPQFPAPFPFPFPFLPSPGVPGLPDITKCLTYFMNIPGCIAEFSQSILIGKFGNIGPACCKAILEAETNCIPQLPFNPFFPPMLKEQCSKTVGALPPTKK >Al_scaffold_0004_868 pep chromosome:v.1.0:4:6700983:6702442:1 gene:Al_scaffold_0004_868 transcript:Al_scaffold_0004_868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDJ0] MRAVAGDGGDAGDGGDAEDRDDVKDGEVARVSKPKGNKNKLVKDRIFSEDQVLVILRDIITFHSVKGKTYKDDLSGFCDHLKPKIIPCQPTEAQIISAIKVILGEDGSYRVLQRRVISIRIWRWLQKKIEADLWLSG >Al_scaffold_0004_869 pep chromosome:v.1.0:4:6713726:6715186:1 gene:Al_scaffold_0004_869 transcript:Al_scaffold_0004_869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDJ2] MRAVAGDGGDAGDGGDAEDRDDVKDGEGNKNKLVKDRIFSEDQVLVILRDIITFHSVKGKTYKDDLSGFCDHLKPKIIPCQPTEAQIISAIKVILGEDGSYRVLQRRVISIRIWRWLQKKIEADLWLSG >Al_scaffold_0004_87 pep chromosome:v.1.0:4:439436:450784:1 gene:Al_scaffold_0004_87 transcript:Al_scaffold_0004_87 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21680) TAIR;Acc:AT2G21680] MVVISEISDDGSNGGDHQKKKPKEEENRNKKLKEKVVKVSLPIPNELILRCFLLVRRCHHPSLSLVCSSFHRLMSKLYDDRLRLGYTENVLYAYVGFPPVENPSWYILHRKPYRYLPNTISLKLCKLDSLPPMPWRSTVVTIGSDIYVIGGRVISHRRGDTSIRGGRTGEQRISDVTHEYRSLPSMKVARCRAAAGVIDGKIYVIGGCKSWSSVPGPYPKAFEREEFLTYAVMKEKIYCLDLFSNVHIYDPKESKWESWVSGALSYFWNDSSCVIDNLLFCKNTIVRFHGWRPVKIYDPERKVWCFLEGLQGFPENIFLDECKMANFGGKLLILGADVSRIRGNDGRKREIWCIEIAWERKENGRFWGKVESVAVVLTPTKTSSVDPFVELLR >Al_scaffold_0004_874 pep chromosome:v.1.0:4:6902877:6903147:1 gene:Al_scaffold_0004_874 transcript:Al_scaffold_0004_874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDJ7] MVVVTKDGDGGVVMVMVLLGVTKGGGAGDFSDGGGGGNDGGSGGDDLDGYGGSRGSSGDGSRGRGDDGDNSCCGGC >Al_scaffold_0004_878 pep chromosome:v.1.0:4:7012537:7015291:-1 gene:Al_scaffold_0004_878 transcript:Al_scaffold_0004_878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDK0] MNQEPTRRGSQKEYKRGTKSEYKREKPASPIRNSNAEDTNAGEPEKETGEEELFAGSPKQTPATPLTPSAQIPAESPASPIPNSNAEDSNAEEPQKETKEAKIVAGSLKQTPATPVTPFEQVMIYLNCGDQISDPFLIHF >Al_scaffold_0004_881 pep chromosome:v.1.0:4:7031047:7032021:-1 gene:Al_scaffold_0004_881 transcript:Al_scaffold_0004_881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE61] MSNLICWIDKQVRNQLSVIRSTGDRRYEKGDTKVLAAVYGPKAGTKKNENDEKACFEVIWKPKTGQIGKVEKEYEMILKRTMQSICVLTVNPNTTTSVIIQVVHDDGSISFLCSLPLGKHLLMLSQTHIIRYKQCRFEYL >Al_scaffold_0004_889 pep chromosome:v.1.0:4:7111229:7112342:1 gene:Al_scaffold_0004_889 transcript:Al_scaffold_0004_889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE67] MIELPTGDFYLQFPKKTIKEVAEMFDTQGSLMLTYSGEPSETTTPSSKRSSDSSLSPADNSSTTKKLCLESINVVKIKQEKGVKINEDKVDGDKLEGVAVKQKEENTDEDKVILDKLKGADVKQMNKNMK >Al_scaffold_0004_891 pep chromosome:v.1.0:4:7138362:7138610:1 gene:Al_scaffold_0004_891 transcript:Al_scaffold_0004_891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAT6] KFKLWRHVTEAKKKHSEKKVTKSDEPKPKRLRIAKDTKKSSSTLNMPKRPLTGFFIFV >Al_scaffold_0004_894 pep chromosome:v.1.0:4:7160829:7161170:1 gene:Al_scaffold_0004_894 transcript:Al_scaffold_0004_894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE72] LYVTMVENNPIEGEGVEEQQVTGDLQVQSLSPVLEDFNKNEEEDSEKNEEEESGEEENEEEENAEEEKEEGNEEGNEEGEESSSDEGSRSLGEKSSSDESKEDDIAVENAPEN >Al_scaffold_0004_895 pep chromosome:v.1.0:4:7162982:7163309:1 gene:Al_scaffold_0004_895 transcript:Al_scaffold_0004_895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE73] MKVGMTDQLNEILVMISRLDDTVNSLDERVKSLEAFREAQKVDKRKDQEEKNLEKDGDPKETTQEKDGDPKDTTQEKDGDPQVTKMTTRSKRQLG >Al_scaffold_0004_896 pep chromosome:v.1.0:4:7166761:7168158:1 gene:Al_scaffold_0004_896 transcript:Al_scaffold_0004_896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE74] MAEKKKKLRGGKSKNPVRLRTPMRLYGTTSERQTDTIMLGLSHQEATDSPYIASMGVNCFTTEALLSLLTRQYPSSNDFGSEVIPAAIGS >Al_scaffold_0004_898 pep chromosome:v.1.0:4:9669738:9670070:1 gene:Al_scaffold_0004_898 transcript:Al_scaffold_0004_898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LE77] MEDAMILLQTSKSLATAALHRESYCLSDDSFILSTSASQPPSMTESEIVSQMLSQIKIFIRRRTAALAAFDAGLYSESIRHFSKIVESRRGAPQSFLAYCLIRRASAYKSA >Al_scaffold_0004_900 pep chromosome:v.1.0:4:9701932:9703145:1 gene:Al_scaffold_0004_900 transcript:Al_scaffold_0004_900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE79] MSKPENSVSPPGDSSSSQVKKQSYAAVVNKRPILKKHDFGVSLVGGVPTIEVASKFSYPWLPPHCFRCEKYGHFEDVCVTKTGSPSKVKVVEIEEDEVVEENGLNKNLLRKEMIQKDGPWSHQKRVANELPAKEEESTVMTSKDLSEQLETKLTSMQVSKLKRSRSKHRNRAL >Al_scaffold_0004_904 pep chromosome:v.1.0:4:9772812:9773374:-1 gene:Al_scaffold_0004_904 transcript:Al_scaffold_0004_904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE83] MMTLKNSLQMVQKKRQEPTMRYDVYILYLIRKYSWHKQEKQTSEEGFPISVFVRKAKGKGKRKAGSKKKRTAECVDPTKTSKKLFLEEVSGSIECIV >Al_scaffold_0004_913 pep chromosome:v.1.0:4:9869747:9870388:-1 gene:Al_scaffold_0004_913 transcript:Al_scaffold_0004_913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE92] MQRRGAKDPDCPSKTEKRNRPVSLQNRSRDNKNQQPQIHEENSGIPEKPKPSSDLIRAEHPTKHLMSEAQKQLSLEKLTADQAKEACREDPLSHPIETLQHRNTRAR >Al_scaffold_0004_919 pep chromosome:v.1.0:4:9965543:9966191:1 gene:Al_scaffold_0004_919 transcript:Al_scaffold_0004_919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LER4] MALFSIFLLSLFKFFSQQSHRKYGKNKTKILSRHPRKIQTLFCNIYDCELRSGQTNAKQMANQRPKSSKGNARTVDHGKGACIRKIKCFSVKRENSAQAKPIVNHSQLVETPLNKIKY >Al_scaffold_0004_931 pep chromosome:v.1.0:4:10100750:10101423:-1 gene:Al_scaffold_0004_931 transcript:Al_scaffold_0004_931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LES8] MNRSQKTIRESNGAKKRLVLEKLDKASRKLEGRDRMHNWPKRTTRGRCLIDPQRATDHPVRCMYGMRTCARPVRTCAWTVRTCARTVRTCARTVKEVCSDRADVCSAPCPFKVTPHLLPACLLHQKLLGVATGSGDSATHVAVGGKIRGEVVAKQLKNRLSNPI >Al_scaffold_0004_938 pep chromosome:v.1.0:4:10179203:10185874:-1 gene:Al_scaffold_0004_938 transcript:Al_scaffold_0004_938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LET4] MPFGFRHFSLSPSDLVSTRSKRAEEAAAFFASQSAVVFRKTKKAKAVHNSVAVESRCRITIPDSSNSETTGTIGEGTTPVDVSYSQAEFEIFQERMYPILQMGKLVLLGFYPLPKSIPLLLRYLPSSDDAQTFYDMSLSVLPTLKTYHTNNILLVKNDKDLIVSQSVVSTKEDCVSVGGPKVSHMLSLIRRGYRFSKGDWRVGDASLGKLCSCDKKKNCRCNCGPDSSPPNTCTPARVPGHLPANADSKAIAKLTAKVAHLKNTYAELYVKLKADVVVELKSFLEAPSRAESVSAAVVDTLKHVSSSKPLEEEENVALPSEVKTGKPKAFSSDTGHVYGCVENCSKDVTVNPERRLQSVDPSFQPSVREDDVLSNLQVYVHLNFMNVESSSELALPFLPSTLEMHPASVTSLGAASSLRHCHNHTFQSLVEDSIETLSSKCCGLDECNDPRLAHHVSLPSDPASAKEILGTDLISEVPNQLVTAHATGGLCPGHPESVVPINYVLIEYSPVPLGELPPQLAQKYLKPMKGRTKRGMIASTRCNVNKSPKRQKQGLPGHFDYIPFHPVPQRHSANLKKQLLAYRKSFVSKRIFPSIRSCASTHSLFSICCFTALTILMAVKLHMDLILWTIWRKRGSYLAAKGIILLDSLFTQLLCSQYSNFVNAAAPSAFLWDPLVASYIEGTVEDMGHIPDAKVDIFRMDYAIHVYEEFIAQFPSEGIATISSLFSRLGTVAQVDAISTVVFRHLSIFRPLRRRLFSNFLVLDNIPVCVGNACDFQNRCLNCFILVHHQVELRVVGIYVPNMM >Al_scaffold_0004_94 pep chromosome:v.1.0:4:469330:469694:1 gene:Al_scaffold_0004_94 transcript:Al_scaffold_0004_94 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like (DEFL) family protein [Source:Projected from Arabidopsis thaliana (AT2G21725) TAIR;Acc:AT2G21725] MKSKKSSDAYGTYFLLISTIFLLFISRQANSYQMLICLDLNISCAECKKQCDETSYGGICLNGGRTCCCKKSPPPSYYDPPGPSP >Al_scaffold_0004_943 pep chromosome:v.1.0:4:10211436:10212613:-1 gene:Al_scaffold_0004_943 transcript:Al_scaffold_0004_943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LET9] MEKNSIPRGVFIKDITSLFYHKERVGGDLTVPDSLPNFEPNESVASANVQKNLERVNDEQVEVEQRPEVIEVGNDLLRKLAFTLGFQQKSFCLTRDESNHFEMFGYEYIGEGSLVKDLEVSADHPLKMMEECIGYARVVVRAMKKKIRDQEEADEEWKAESEGSRLHRDRDTRVERTATKAHARLDKVKTYLEEQMKVIRPNMDLLNQAQGMEESLKAFIERGAIISKEEMSNIKMLKWMPSTSWI >Al_scaffold_0004_951 pep chromosome:v.1.0:4:10306090:10306532:1 gene:Al_scaffold_0004_951 transcript:Al_scaffold_0004_951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEU7] MPNLEEFLAVNPLQRFPGSSSSSPPVSSDSTSVMDFKPKSKYEIGESSKRMKGKHIIDDAERNTRQCRKNNGNNLYSTVQVGGCLKSPFGALFKARMVWKNGLA >Al_scaffold_0004_962 pep chromosome:v.1.0:4:10390856:10392328:1 gene:Al_scaffold_0004_962 transcript:Al_scaffold_0004_962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDU5] MMRLGLQNGGGSESYPERPIVYILLKNGVCGYGSRPTKLELVKSSLLDIAETESMISFVRKLSLMYMPMMELGVSSNMFDIKKNALKKLSKQHSAYRIKLLSSYKEMVAVVVEMVNASRSLRCYTKLGTESLVQFSCSKEDSSDAGDCGGIPVFNFWNVSTFGKTQKQKFGTCHIDMITKKMAEEFVEMFIREVMIKGLLIMELISLSTEVPQPINNSWSDELYHGEFITSHQMFFLFHGSS >Al_scaffold_0004_967 pep chromosome:v.1.0:4:10424341:10424634:-1 gene:Al_scaffold_0004_967 transcript:Al_scaffold_0004_967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFE5] MINRKLRRGERYLAGLESVFFTGELEITGNKNKLSLATRKLTIDGGPDVDACTVGREEK >Al_scaffold_0004_970 pep chromosome:v.1.0:4:10432795:10435366:-1 gene:Al_scaffold_0004_970 transcript:Al_scaffold_0004_970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFE7] MCPNFLKSFGWAPRDPVETPSFLLPEPLHLLLWAQVRDRTNITAEEPVEKYPSTEASNGSDVAVSVPTEASSDAAVEDPVEASASSELDQTEDPVDGLKGTEAVEEMEEVADKEEGEDGSGEEQGSGKEQGSGKVQGTKNYKTRNQKRKHCGPTIETGKKRSSRDSKFKEEPYTADGKGQKGKGQKAGPTEHNAAGPTET >Al_scaffold_0004_975 pep chromosome:v.1.0:4:10466759:10467996:-1 gene:Al_scaffold_0004_975 transcript:Al_scaffold_0004_975 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 81 [Source:Projected from Arabidopsis thaliana (AT2G26960) TAIR;Acc:AT2G26960] MGKVRHDSGSDDETSIDKSFTKGPWTQAEDNLLIAYVDKHGDGNWNAVQKHSGLSRCGKSCRLRWVNHLRPDLKKGAFTEKEEKRVIELHALLGNKWARMAAELPGRTDNEIKNFWNTRLKRLQRLGLPVYPDEVREQAMNAATQSGLNTDSLDGHHSQDSLEADCVEIPELDFQHLPLNQCSSYYQSMLRNVPPTGVFVRQRPYFFQPNMYNLITTPPYMSTGKRSREPETAFPGGYGMNEQSPPLWNYPFVENISEQLPDSHLFGNATYSSSPEPLIQGVEKLELPSFQYLEEPGGWGAEQSDQMPEHHESDNTLVQSPLTDHTPSDCPSSLYDGLLESVVYGSSGEKPATDTDSESSLFQSSLLGHTEFTPANENRTGKLFCYS >Al_scaffold_0004_980 pep chromosome:v.1.0:4:10500513:10501514:-1 gene:Al_scaffold_0004_980 transcript:Al_scaffold_0004_980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LFF6] VVVQSELHGLAALQWSICQDSLRKAEKARRIYEKLLSHPNPRVSKKARQLMFSFQAMERRKVKGSSFMEGNTGYQDYFVAFVKDKTNYKAQEEKEGEAMGTNETLLYVILFASPILMVFILWLHREGICTEVTKSCQLLYM >Al_scaffold_0004_983 pep chromosome:v.1.0:4:10528516:10531808:-1 gene:Al_scaffold_0004_983 transcript:Al_scaffold_0004_983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFF9] MELVHSVSDLHVHSTTWRIYVKMCMWEEDLGSAGSETIMMLGDENGRRIDARIPSGTYLWNFRPLLKEGFWFHLSDFQANKIDAVIPNGLYRHNFKKNLKEGEWYFMSDFNVVPQNPISRYSWHPFMIQCKWETKMVHITPRSINNYMDFIDYDEIKYAGTQEKEYVTVDHLQSPRQMMIRWRKWILTKTKLGG >Al_scaffold_0004_984 pep chromosome:v.1.0:4:10532815:10535243:-1 gene:Al_scaffold_0004_984 transcript:Al_scaffold_0004_984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFG0] MVLVDADGNKIQAAIKKEHLQKLQSGIKKGEWRIFDEFSVKKATGMYRPTTHNYRIVFEYSTVISPSETISESIWLDLVPFNSVLSGTLDQNKLPSDGLALTIMEPKPRFQPLKVREERSKQFPRKTIAELKASLEIIWRCDKCNTNVSSVEARYWLHLDVMDNTGESKLMLFDSFVEQIIGIPACDLVDPTTEELDDPLPLPDVVKNIIGKTYQFALCVEQDNISRGNDEYKVSEVLTSQNLNHPALEPEVDYPVDLSSMSSSDQVLMLTNSSHHDDTTNTSLSTPSSKRKEDTSDRSDQNSTSKKQCTGTQSDVKDNCVIDLDTPEKNDQSTDVKVDGVIAVDNSKEHDQPKFIHKLDEAGQEAINKVAEAEQKKVLLKKIKVEKLEGQKGAK >Al_scaffold_0004_985 pep chromosome:v.1.0:4:10561790:10562404:-1 gene:Al_scaffold_0004_985 transcript:Al_scaffold_0004_985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LFG2] VQGKAERIITTLKFEKEEGDITQQGKDTNSSTKGRVSRDRHTKYRVVQVATCNGDLKRRIQKIPGVPIMYVMTYGYPEF >Al_scaffold_0004_986 pep chromosome:v.1.0:4:10562628:10564290:-1 gene:Al_scaffold_0004_986 transcript:Al_scaffold_0004_986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LFG3] TRRALSNINKNIIGAPVYPSAVNKRPFTKNGICNKKIPLHCIVRLLGKFAAQLAENNPQIHKEETKKPDLISNETLDRIITDVEEGDFNEPMFVQHTEAMLEEIDRMEGIEMEDSNDIDVEVEESVMDIDSCDKNNPLAVVEYIDDIYCFYKKNI >Al_scaffold_0004_991 pep chromosome:v.1.0:4:10586524:10590164:-1 gene:Al_scaffold_0004_991 transcript:Al_scaffold_0004_991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFG7] MTLFLLCIRPSFELLSPEEADKVTVPQRLGLQYVRVCEIIDIRDEEGAEDVYSTFNVLMRKPKENNFKAILESIRDLMNEYCIVPEWLHNVFLGYGNPSAAQWPNMPNLLETVDFKDTFLNANHLSESFPDYEVSFINAEGAEALDPSPPFRITLPKTLKGNAAISGNKISEVNSADNVNMVDASPKEKLIVEAYTSTGPRALSSGPAEAELSWSNYLWYSAWANYGGWSTRDVQARYLLRLGQGEQELATDLDFSRQGRVIAMLVRRLELLNEVERLARSLQLPEDVGYTCETAGYFWLLHICSRWELFLAACAGHEDKQSFVRDGFPFKDFFSDTPKPVFSGESFEKDMRAAKGCFSHIKTVFQELEECRAFELLKSTADRANYLMTKQAKIVAMMCTHAALKRRDFLQLGFKYDNSLMEDSAQILEIETFIPMLLQRQEDGGHARLKRCILIGDHHQLPPVVKNMAFQRKIVTWISVCLRGRARPSLAKLYNWRYRDLGDLSIVKKAPIFQRANTGFSYEYQLVNVPDYEGKGELTPSPWVYQNQGEAECIVSVYIYMRLLGYPVNKISILTTFNGQKLLICDVINRRCVPYPFIGPPSKAFWLQFWFFGDYSGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARLGFAMSCNQHFNYFFKDPSGSDSISAVEKVGNPYLVHDVEELAHIVHDRMNQFYQERYKNNMPQTEDGNHDMESDSVVGAVDGDESERNMQQLNQALDIDGELSKEVDNNGFSSENGKADEKN >Al_scaffold_0004_994 pep chromosome:v.1.0:4:10612079:10614461:1 gene:Al_scaffold_0004_994 transcript:Al_scaffold_0004_994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LFG8] VRRRRPLKVSETEVLEEVPVFNPTEEEFSDTLSYISSLRDRAEPYGICCVVPPPSWKPPCLFKEKKIWEASTIFPQVQLFGIQTKNPKIKKEVDADSDDAASEGVQFGPGYTLETFKNFADTYKKSHFIMKDEVLGSENSSPRLKPDELTVADIEKEYRQLVESPLIEIGVMLKSSLLLLYMKRGWNLNSTAKLPGSLLSLEGCESICVPRLSVGMCLSSQFWESEKERLYSLCYLYVGAPRVWYSVAGCHRSKFKAAMKSFIPEMSEEQPKKSHDPVMIMSPYQLSMEGSYYSAFDTGFNCLEKADFAPRDWLPHGDIAVQLNQEKSKKSLTSYDKLLLSAAREAVKCLKEYALSKKNTACYMRWNDSGGTDGLFSNIVKSRIKQEKNRLEFLSNSLESQRMDKSYDAVTKRECYVCLGDLYLSAVNCSCSADRYSCLGHMRKLCACPCDRKSFLYMYNIDELNLLVEAQLYVQMGRHRSKILCFSSHHKFTTRRQQRQGNRYRSWN >Al_scaffold_0004_996 pep chromosome:v.1.0:4:10622359:10622586:1 gene:Al_scaffold_0004_996 transcript:Al_scaffold_0004_996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFH0] MTMQRSLSAASSRVTSKWVKLGPSDLRDERFKVTTVNAGGAAGGGKGGSAAGQGQTKKQSGFVTCGGVAMDDQIL >Al_scaffold_0005_1000 pep chromosome:v.1.0:5:9469393:9471424:1 gene:Al_scaffold_0005_1000 transcript:Al_scaffold_0005_1000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPC0] MTISGFGFSVSLSTPNLQPRERPPFSLRFEADSDQTTQKSISIRRFKHSMLSITKNLFQKTPNPFVSSGSHRVLCLRRYTVGKLQSGKQQLLPQLTENKKASEIPSFSSQPSPVPSHPEILSFSSEPAHAQTQPEESALDREEESRPPGIKAMKKLRKKGKEKAAPPAEVNKILEAKQKTAPTDVPS >Al_scaffold_0005_1003 pep chromosome:v.1.0:5:9504445:9505940:-1 gene:Al_scaffold_0005_1003 transcript:Al_scaffold_0005_1003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPC3] MLYKWWEPLVALWSHAMGLQSLIVVGEQSGSISEVEKRRKRKKKEKVEGGITVSKWGFEYAKYDDDYVCMIWSRTVDEPGMGVSKPRGPPSTQKVLVITRMTEPNDFKENVLARSDECCLGLYGGTGVTLCPA >Al_scaffold_0005_1009 pep chromosome:v.1.0:5:9557248:9557715:1 gene:Al_scaffold_0005_1009 transcript:Al_scaffold_0005_1009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPC9] MAWYIDLPSKIFTSTKLIKLSLGREYCFSSFPLDISLPALKVLFLDSIWFKDNQLSNVFLAACPALEDLTIHLRYHSETPHVISTIVDLYYSDYARPHQSLHCNLDLLAKATLDLTFAKKSDGLVYEDVRDRISGIRNVKTLHLTSSAVEVSFSV >Al_scaffold_0005_1010 pep chromosome:v.1.0:5:9575244:9576220:1 gene:Al_scaffold_0005_1010 transcript:Al_scaffold_0005_1010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPD1] MDDPKKVQLTKDLLELATASPKLKILKDLLKLATATPKIKIMKDLLKLATATRKLFLVLFLFTLGFVTANESAQNSFHYLVESWNLNLHAF >Al_scaffold_0005_1013 pep chromosome:v.1.0:5:9620221:9622003:1 gene:Al_scaffold_0005_1013 transcript:Al_scaffold_0005_1013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPD5] MAFMDRTLALQGCNHIKKLSLNLRVTCGDTHDMDHWICSSLKRGVSELHLAIESMWLFAIPSKVFTSTTLVKLSLGTRFCFQSVPSDTYLPALKVLFLDSIWFDFHQFANVFLPPCPLLEDLDIHIKSHSRGKPVIISSKTIKRLLFTYNHGYYDIISLNTPNVVDLYYCSYARHESLHCNLGSLVKATLDLQILEHDEDADVTNLLSGISNVKTLHLTSSAVKVISDLDCYTFREHPFVGIRIPPNNQFVLAEARQDFVDVVFGLLTPPKGTIARDASQVTSSPKLL >Al_scaffold_0005_1019 pep chromosome:v.1.0:5:9799717:9800109:1 gene:Al_scaffold_0005_1019 transcript:Al_scaffold_0005_1019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LPE2] EEIVDDRKKEISTEEKSALKGIAQISNELHVDKVSQGIKCFSLVSNKNQKNRASSAQGIKGVKGSKNYAMLVASPGKRLLSKAMTLKSAGDVNKQKAAGKSKPKEGEKTDGANRLSKKGMVALLKPPANT >Al_scaffold_0005_1020 pep chromosome:v.1.0:5:9828280:9835682:-1 gene:Al_scaffold_0005_1020 transcript:Al_scaffold_0005_1020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPE3] MEPKGVQDKRIKLYICIQLYTIIKITSMKTRINKSGVTKSSSSGCPLAIQNTGDITFWSLFFNGPQDLATRGINLILSEVQVHIYTWLENGDWVVITPNEHIFFVTHITLILLFQLMAEKIGLMQVGIATSKQAFQQQVNYERRKLIANNHTCAYVEYFPEEFYIAERKALVLLGFDAAQFIRTLLVSWQAGVGTLTLLKTAVPQQPTDDPFECAREFLPEEGIVIKTSDLPSNNIYGWKLVVLTAFHLRHKTVFLILGKRAVKRLSMKSGQGDNEFVNEVSWVEKLQHHNLVRLLGFYLRGRRTTSSSMSSSGI >Al_scaffold_0005_1022 pep chromosome:v.1.0:5:9843762:9844902:1 gene:Al_scaffold_0005_1022 transcript:Al_scaffold_0005_1022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPE5] MRFSAFSGVSWWWLSDRSCCVSLGGSRLAGRIRLGSLLGDGFVTGLFPTAPFGRISFTQQSSSPARVLALLRWLVRFALLLNGGFVFSDRDSQWCSAADFLDLFLPVHGGRVFWLLGSWWSSKGVWRHRLRLILCGGCRRGPPRLRVSSLGHGSKCGSSVLQFDSECGGLVLLRCGNGFYVHSEKFSPCLLKMREACLVKIARSLVMVFRLNRGGGSV >Al_scaffold_0005_1025 pep chromosome:v.1.0:5:9859726:9860824:-1 gene:Al_scaffold_0005_1025 transcript:Al_scaffold_0005_1025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LPE7] MGWIPCSGKSSGRTKTRRNADQKLNRKSSDCSVSTSAVSSCVYFEQDSESEECEETEEEFLESGKNYRRGSSKRQAKVRRVSPYFQESTVSKQPSQAPPRDLRQYFKVVVSSFCNQADSTEKVTSAMFPFLLIQPK >Al_scaffold_0005_1041 pep chromosome:v.1.0:5:9966639:9968015:-1 gene:Al_scaffold_0005_1041 transcript:Al_scaffold_0005_1041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LM80] MGVGAMKQDIKIMLLTSFAGLVAGACSMAIGEFIFIYSQYELEVAHLKRGLAEERQRKRKNISNVDLCQYPVLPILRSPTQAATESALAFSPREIVLLLAAAFVKEYKVRIGAIVADVN >Al_scaffold_0005_1053 pep chromosome:v.1.0:5:10087736:10098667:-1 gene:Al_scaffold_0005_1053 transcript:Al_scaffold_0005_1053 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPM interactor 7 [Source:Projected from Arabidopsis thaliana (AT3G43300) TAIR;Acc:AT3G43300] MAAGGFLTRAFDTMLKESGGKKYPDLQKAIQAYQDGSKVVTQAASSSVDESSQAEVGGEKTGGEADESKKVPSAEVAEQASQLKSETINVSLANAGHTLGGAEVELVLKPLRLAFETKNLKIFDAALDCLHKLIAYDHLEGDPGLDGGKNSAPFTDILNMVCSCVDNSSPDSTVLQVLKVLLTAVASGKFKVHGEPLLGVIRVCYNIALNSKSPINQATSKAMLTQMISIVFRRMETDIVSAPSTVSQEEHVSGDSSSPKNEEITAADENEKEMTLGDALTQAKDTTLASVEELHTLVGGADIKGLEAALDKAVHLEDGKKIKRGIELESMSIGQRDALLVFRTLCKMGMKEDSDEVTTKTRILSLELLQGMLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSSVIFQYASGIFSVLLLRFRDSLKFRNSFYCGDCYLSPYFSDPKSHFQGEIGIFFPIIILRSLDSSECPNDQKMGVLRMLEKVCKDPQMLVDVYVNYDCDLEAPNLFERMVTTLSKIAQGSQIADPNPAMAAQTASVKGSSLQCLVNVLKSLVDWEKIRREAENSTRNANEDSASAGEPIETKSREDVPSNFEKAKAHKSTMEAAISEFNRNSVKGVEYLIANKLVERNPASVAQFLRSTSNLSKVMIGDYLGQHEEFPLAVMHAYVDSMIFSEMKFHSAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFTRMNATNDPEDCAPTELLEEIYDSIVQEEIKLKDDDTMKKLSSQRPGGEERGGLVSILNLGLPKRISAADAKSETEDIVRKTQEIFRKHGVKRGVFHTVEQVEIIRPMVEAVGWPLLAAFSVTMEVGDNKPRILLCMEGFKAGIHIAYVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRILLALCDSEPETLQDTWNAVLECVSRLEFIISTPGIAATVMHGSNQISRDGVVQSLKELAGRPAEQVFVNSVKLPSESVVEFFTALCGVSAEELKQSPARVFSLQKLVEISYYNIARIRMVWARIWSVLAEHFVSAGSHHDEKIAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVIIMRNTQSQTIRSLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDEVESIVEKSFENVEQVILEHFDQVIGDCFMDCVNCLIRFANNKASDRISLKAIALLRICEDRLAEGLIPGGVLKPVDSNEDETFDVTEHYWFPMLAGLSDLTSDFRPEVRNCALEVLFDLLNERGNKFSTPFWESIFHRILFPIFDHVSHAGKESLISSGDVKFRETSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKSDQTVVSISLGALVHLIEVGGHQFSEGDWDMLLKSIRDASYTTQPLELLNALSFDNPKKNLVLTGDIEADASDSPRVDRNPDDIKDNGKVSAQASPRIGTHGASVESGIPPKSDGSEGRPSSSGRAQKDGDDVNLQRSQTFGQRFMDNIFLRNLTSQPKSSVAEVSVPSSPYKHEDPTEPDSREEESPALGAIRGKCITQLLLLGAINSIQQKYWSNLKTLQKIAIMDILFSFIEFASSYNSYSNLRTRMNHIPAERPPLNLLRQELEGTTIYLDVLQKTTSGLADDASNSEDRLEGAAEEKLVSFCEQVLKETSDLQSTLGETTNMDVHRVLELRSPVIVKVLEGMCFMNNTIFRKHMREFYPLLTRLMDIRGALANLFKAQLKPLLQQ >Al_scaffold_0005_1056 pep chromosome:v.1.0:5:10116823:10117759:-1 gene:Al_scaffold_0005_1056 transcript:Al_scaffold_0005_1056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LM94] DQLAQEMKGTTDDTPNFNHGDVKKTASSSGVESSISKDSSIMRSKRLEVRTSVLFALRHDV >Al_scaffold_0005_1057 pep chromosome:v.1.0:5:10120838:10121848:-1 gene:Al_scaffold_0005_1057 transcript:Al_scaffold_0005_1057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LM95] MVPSDYESLNPIFVGYHSVVIAGLDIVDDEPMAVYSNGDLQDDNGYIYPLAHESLKSREDSKLCWEIRGSGGREGRGVRGGRGGREEEGREGSFEASSKDLAQY >Al_scaffold_0005_1060 pep chromosome:v.1.0:5:10160835:10161238:-1 gene:Al_scaffold_0005_1060 transcript:Al_scaffold_0005_1060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LM98] MSKTTVIAIFMIVLVLGLVTKETQGQELCHDYMLGAEPCEEDKCVAKCIWRHGTGGKGSCMPKPSKQCLCTYSCSV >Al_scaffold_0005_1062 pep chromosome:v.1.0:5:10194638:10194844:-1 gene:Al_scaffold_0005_1062 transcript:Al_scaffold_0005_1062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LM99] FFNAENKSFCGLDEITITAVWAKVADGAEKREVEEKWKKLNGKEFELCLQRSGLVNETAKMIFKAYES >Al_scaffold_0005_1069 pep chromosome:v.1.0:5:10254180:10256020:-1 gene:Al_scaffold_0005_1069 transcript:Al_scaffold_0005_1069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMA5] MDLSMKQMIKLIEKDADSFAKKAEMYYQKKLELIGLVDEFHCMHRSLAERYENITGELRKASPLKLKSHSEISSSDLTTVLTLGTPSAQCALGCDIYHKDRDDSASKTESELESDDSSDYVSIGSDFQSLSKRVTDLEIELRELKKRPVMQLEGNTDQILLSREENESKFVDYPAKLAVFEKELRDTNKNVKDLGDEVSILKGQLARYLPSDSDDEQSEGTSSTQDMDSEASCEEVKITSPMLHEGEKHSGIMRKQVGKSDDAKVKILLNFLGEGECSYNFDLEDIYRSSPEILGNGSYGISYKVTMEDDTIVVVKRLKNVTAGKSEYEEQMEIINRVGQHPSLAPLRAYHFSKDEKLLIYDYYRTGNRESERMPLDWESIRKITLSIAKGIAHLHVVGGPTFSHGNIKSSNVFMKRVKNEICVVSDFGLTPLMIAGAGYAAPEVIEERKHTHKSDIYSFGVLILEMLTRKTPLQSPSQNGMVDLPRWMQSVVREERTSEVFDVELMRFHNIETMVLLKTAMACVVQMPEERPTMDELVSVIEKIGVSVSETTHPTFDENSKPQDSTGQTIL >Al_scaffold_0005_107 pep chromosome:v.1.0:5:592422:599063:1 gene:Al_scaffold_0005_107 transcript:Al_scaffold_0005_107 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetraspanin14 [Source:Projected from Arabidopsis thaliana (AT2G01960) TAIR;Acc:AT2G01960] MLCQSQKSWNLVAGIFFPIITFILSAPLVGHALYLFCMRNDHVFYRSSFQSMSRVQTLVSVSLLALFLLSNIAMFLRPRRLSYFLVIVFFVGFAYAGVYKMESRRFPPTPIWFKGEYRNGQRRSEEYQVVKIEQSQGRLQRVHLRFVDSYDLPPYDRRLLPSVKTGCCSRPGSCKLETVNASLWVTRNRQRPPLETAMIYGRYSGNADIRDYYDMWRHELSVLYYDCMTCQVRIIKSPRLRKWWHFGVFLSSLTSLFR >Al_scaffold_0005_1073 pep chromosome:v.1.0:5:10291684:10292442:-1 gene:Al_scaffold_0005_1073 transcript:Al_scaffold_0005_1073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMA9] MVGNYTGQSAQNGRSKTETKPNWRSGPGGIRQHRHHLQAPAITRDPSLLLRSDSSDMAGVIDSRHKPEVNDGADLKLLSRKRYGGMTTRRLEIVGKIAATTSIRDGSTPPHHRRRTRTVTNRLIRMAHPSTLKI >Al_scaffold_0005_1080 pep chromosome:v.1.0:5:10319078:10319287:-1 gene:Al_scaffold_0005_1080 transcript:Al_scaffold_0005_1080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMB7] MERDVIDGRKDEERVRDFGLMVEIDGKVKEMRSFEEEEVAAGDEIAIEAEENLGFLVTLAFCKERVYVL >Al_scaffold_0005_1081 pep chromosome:v.1.0:5:10320635:10321226:1 gene:Al_scaffold_0005_1081 transcript:Al_scaffold_0005_1081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMB8] MAMTRLVSTRRHCLPKVGCLGAIRKRFGSEWRKRFKWSGSSGSSRSNRTSVDQADLVVQERIKWFKWIKQNKCRSSGSGGTRADQAVLVFQNLYLIGESGSNSADQNFI >Al_scaffold_0005_1082 pep chromosome:v.1.0:5:10329688:10329972:-1 gene:Al_scaffold_0005_1082 transcript:Al_scaffold_0005_1082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMB9] MIVEPPKGRQRGVYRCDDVGDYDTNDHWKCNTNGSHEYKREVYYIDGLRDAQQGKNHGDLSRRLHQLEEAVEQIIQNNKNLSFKIDGVFDYLDK >Al_scaffold_0005_1083 pep chromosome:v.1.0:5:10353750:10355991:-1 gene:Al_scaffold_0005_1083 transcript:Al_scaffold_0005_1083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMC1] MGRNRLTVLQNIDDIESKTVNAAAWIGNGHTLACLGNLDIGTSMGCSGKCRVAFLLPSTLWSRHPTSRAEHLTLDLLVGKYNPEKLLEYVIHRKSGGLAIHLVVADVFKVDKKRKKHSKVELSLGYKNKAGVTEQNHNKKEQTQSNSKDLYTLKEGEIKNADHSIQLGRKPPHRSSEHRRSRIKDRKRGCLDWERPHFGVSGQSRHRDIDGMLGRRQSRLSVGLNSLASSLD >Al_scaffold_0005_1084 pep chromosome:v.1.0:5:10357855:10358274:-1 gene:Al_scaffold_0005_1084 transcript:Al_scaffold_0005_1084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMC2] MDKEALKCVGVLMLEEVDKKPSNRSSEHKRSRIKDRKRGCLDWERPHFGVSGQSRHRDMDVMLGRRPNRLSVGLNSLASSPY >Al_scaffold_0005_1085 pep chromosome:v.1.0:5:10362948:10364844:-1 gene:Al_scaffold_0005_1085 transcript:Al_scaffold_0005_1085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMC4] MADEPTSMRCTEEVQKARGIRLHGRSAQARGIQLVKSRVIFPLLLAGLHIKIVWTRPGSQPALCSGLLISENYRQRRRSPVENNPNFHRRRGGDTLEKNESPETRLRKESQENIKKTPTEKKSQRRRRTEASLPEKKTQ >Al_scaffold_0005_1089 pep chromosome:v.1.0:5:10391386:10392967:-1 gene:Al_scaffold_0005_1089 transcript:Al_scaffold_0005_1089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMC8] MKARNPDSDRSSNGEDETIKNGEDDWNKWSCDGHMRKMPNKKEKLRGGAGHISDGDPSLLSAKRELQEELGVKLPNDALKRYSFFCRNDQSNPICKDGKQVNAARYAYLIREQRKMLLLSYNFQAYLQLLQQSQSSSPTQRYPLPWTLSCPSQLLILFAQIQEFNIENFEVVEGENKKTRQE >Al_scaffold_0005_109 pep chromosome:v.1.0:5:608246:609529:-1 gene:Al_scaffold_0005_109 transcript:Al_scaffold_0005_109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPY2] MNNYRLKDPTPLGKEFLVDNFNEKFNLNINYRFFKEKLDQLKKKYKKYVQLMDNTCITVDPVTHQITASNSWWKDQEVCKIVHAFQRQPPQLWDVMQRCFRLYNVKSQSQYSVNQRREEIMNEGITNNQGQTYSETYGDEIPESQVPETQENEEVYRVNIDDETRHGWSSYDDFAEVMGETEITYTNSKIDLGDMEAAEIVDGDLMTNIRENIADMLWKNQNTRY >Al_scaffold_0005_1091 pep chromosome:v.1.0:5:10398506:10399303:1 gene:Al_scaffold_0005_1091 transcript:Al_scaffold_0005_1091 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box protein with C6HC-type zinc finger domain [Source:Projected from Arabidopsis thaliana (AT3G43750) TAIR;Acc:AT3G43750] MGRSVPEQDNIAMLMNDVQRMRQQLSSSNPILVTRDQISFAYKLAMETVASDISIRMPATCSICFNDDLEAEQMYSVPLCGHQFCVECVRRHIEVRLLEGSVPTCLHYQCESKLTLRSCDNLLTPKLKAIWEQRIEEELIPVPDRVYCPKPTCAGLMSKTELSTSTEEDEGSRSCCVKCGEPFCINCKVPWHSNLSCDDYKRLGLNPTTNDEKLKVLANQKMWRQCEKCKHMIELSKGCIHVTCRYHHHILITLSNPFEFDYVFA >Al_scaffold_0005_1099 pep chromosome:v.1.0:5:10459133:10459282:-1 gene:Al_scaffold_0005_1099 transcript:Al_scaffold_0005_1099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LMD7] GKGRIRIDQSPPSTRKGHNGGCIPLDLQHTYNEGEVNHIKKRSQHHKHV >Al_scaffold_0005_1101 pep chromosome:v.1.0:5:10477787:10478151:-1 gene:Al_scaffold_0005_1101 transcript:Al_scaffold_0005_1101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMD9] MEGSAVRKLVAEFGIQAFIDRILASCNFPKVSLCRRVQWGVEFVNSQSFGRHTTTQMGSGRFSDEGFSKVSYFHNLEIVDNNIFKSV >Al_scaffold_0005_1106 pep chromosome:v.1.0:5:10504651:10507248:-1 gene:Al_scaffold_0005_1106 transcript:Al_scaffold_0005_1106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LME6] MFRVIDKSDRTFTADQEFACLSSTSSTFDSIGKNSEDDEGGENEIESAYKGPLDMMESLEEALPIKRGISKFYKGKSKSFTSLSETASLPVKDLTKLENPYSRRRRNLLSHRIRSRGGISKKPVKSVLAVAVMAIRQREGDSSSSSGDDSLPPPGKYHKNLPRQRKGSLEAFTFQDKSEKDEDRKKATKGATKPVNPIGIKPGQALVTVQRQGNGHDQE >Al_scaffold_0005_1112 pep chromosome:v.1.0:5:10549312:10555601:-1 gene:Al_scaffold_0005_1112 transcript:Al_scaffold_0005_1112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMF4] MAISFISLALLSLSVLLISLSIRGVTATKLQHNEGEARKMYERWLLDNEKNYKVLERRRDDLTDDEYQSIYLGGKVERTSLSLEWEWDTSNRYRYKEGDNLPDEVDWRERGAVVEQEISKNLRGCWAFSAAGAVEGINQITTGELISLSEQQLIDCATETNDRCDGGDAAYAFMFIKENGGIVTNKDYPFTGDKNATCKAIEKDGVFTGPCDSTLINHNVLVVGYGTNSTTGQDYWLIRNSFGSTWGENGYFRLQRSNIQNSTGICGVTLTPVYPLKSNSSFDLLSPSVLKLVVLFVFELIGLALF >Al_scaffold_0005_1114 pep chromosome:v.1.0:5:10562082:10562524:1 gene:Al_scaffold_0005_1114 transcript:Al_scaffold_0005_1114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMF6] MEIYPGIDEFCGEACETTKEAENPGGRRKPDRVEIRKGRRDGDGLFTLPRRHTTLILALDLHTEEFRDVPFRNLPSRPRSLAITDQLVNFDDRSHIHNPF >Al_scaffold_0005_1117 pep chromosome:v.1.0:5:10611418:10611949:1 gene:Al_scaffold_0005_1117 transcript:Al_scaffold_0005_1117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LMF9] DVSILEAYERLILDIIRGDQQHFVRRDELKTGWEIFTPLLHMMNKGEVKSIPYKQRSPGPAEADQLLKKAGYMQAHGCIGYLLHCKWYKT >Al_scaffold_0005_1121 pep chromosome:v.1.0:5:10624160:10624910:-1 gene:Al_scaffold_0005_1121 transcript:Al_scaffold_0005_1121 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S29 [Source:UniProtKB/TrEMBL;Acc:D7LMF8] MGHSNVWNSHPKKYGPGSRLCRVCGNSHGLIRKYGLNCCRQCFRSNAKEIGFIKYR >Al_scaffold_0005_1122 pep chromosome:v.1.0:5:10625161:10626138:1 gene:Al_scaffold_0005_1122 transcript:Al_scaffold_0005_1122 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid lumenal P17.1 protein [Source:Projected from Arabidopsis thaliana (AT3G44020) TAIR;Acc:AT3G44020] MATRPLISLQLLSSSSSSLLNQTPQFLSYQKPELISQHRKWSRTSAHQSSASLIIHPSVLFLSSGFDGGGGFIDTQTFIVTISLVVAIALSLFLGFKGDPVPCERCGGNGGTKCVFCLEGKMKVESGMVNCKVCKGSGLIFCKKCGGSGYSRRL >Al_scaffold_0005_1128 pep chromosome:v.1.0:5:10660727:10662563:1 gene:Al_scaffold_0005_1128 transcript:Al_scaffold_0005_1128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LMH3] NKIPNKALEIKPQIVIWSFHSEERGTPTTRQVPMEHQYLKLRVSRTGDSENTTQTKFTAPIYPCLLCFCYILLYIGSWPNWKLKNEVFNVAQQLEQTRTEIEDLRLMVGEEKQPE >Al_scaffold_0005_1131 pep chromosome:v.1.0:5:10685690:10686222:-1 gene:Al_scaffold_0005_1131 transcript:Al_scaffold_0005_1131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMH6] MAQKKAEPPNAAAIGPEDPNPDPGLGKNRRMRERKRRKKWASIGDEVHELKARKKIGISCHLTISGGFKSTEYLDLSSNLIKGSLPSRFRGNRLRYFNASNNRISGEIPSGFADEIPENATVDLSFNHQ >Al_scaffold_0005_1133 pep chromosome:v.1.0:5:10691174:10692319:1 gene:Al_scaffold_0005_1133 transcript:Al_scaffold_0005_1133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMH9] MFPSKTLTLLVFSALTLTITSFHKTVAIGGDGGGGNTNNIYSPCSDTRIQRSDGFTFGIAFSSRPSFFLNQTVLLSPCDRRLSLAAMNSQFSLFRPKIDEISLLSINTSAFFPDNYGGYMVAFAGRKYAARSIPAFIANSTFIVTSFTLVMEFQKGRLQNLYWKRDGCASCKGNQNFVCLNKQDCAIRTPSCKGRGGSVDCSLGIQLAFSGTDKHLAVLNSWYEVENLKQYSLYGLYSNLKSSLTNQFNNFF >Al_scaffold_0005_1145 pep chromosome:v.1.0:5:10765159:10765824:1 gene:Al_scaffold_0005_1145 transcript:Al_scaffold_0005_1145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNP5] MSWSQITPRDPNLTTWSQITSRDPNATTEDGDGDGERDHPLRNYCIMYVVLLDIFGFWLFVLIGLINISRCYLDLFVDSVSVSNNTNWSVSLVADSPFTFCQLSLFTVNGHLLQGVAFTTRKLDGVKEGHVIWDTAVKIIARIEVGTSLKKNGLLRVTCSDLPVRFWLDPERNMKGSLVGNRKRCNYLFKSSLDQSR >Al_scaffold_0005_1149 pep chromosome:v.1.0:5:10798596:10799474:1 gene:Al_scaffold_0005_1149 transcript:Al_scaffold_0005_1149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNQ0] MSLIEDCLRNYRFIAIDTEFPGSLRQTSQDATDDERYNDMSFSVDRTKLIQLGLTLFDINGRIGGTWEINFSDFGVDDARNEKSIEFLRRNGLDLRKIREEGIGIKGFFSELFWILKKTRNITWVTFHGYYDIAYLLKCFTGEDLPFTSERFSKAVARILGSVYDLKVMAGRCLGLSSRLGLESLAHELGLNRVGTAHHAGSDSELTARVFAKMAKICNNVQESEGVVSYGLPPPPPPPFPLLRPMFGPYFPPSGGFVLMPRVSMS >Al_scaffold_0005_116 pep chromosome:v.1.0:5:651524:652738:1 gene:Al_scaffold_0005_116 transcript:Al_scaffold_0005_116 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT2G02030) TAIR;Acc:AT2G02030] MEKTQKLLRKEIKRRRREFEKIHIPNEIVEEIMVRLPVKSLTRFQSVSKYWRTLITSKDFGERHMALEKSKGCKLIFVCDDFEDRAEDTLFLKTVALEKTSASEGDEQAFEFQGFNGFLDISESCDGLICFYDTKRAVEVMNPATTMFIQLPLSRIQRLCIYKYPNSEVQDPNPVPDPIMSCSQLGFGKDSVNGSYKLVWMYNTSPATPPTCEVLDLEGKKWRFVNTNTLDHHKILFNQRPVFANGSLYWLTGDEQGYATTQTKLIVFDIHTEMFQVIQTPPFFTHDASGDKIGLCNLDGRLCISELKKDCKQEFWWRIEDTNTWERIFSVDLLSTSTWFGGITWQPLTPLTISRDTNKVILSLRYQDNLVALDLDPNSSVCHLYFSGYYGLVCPYFPSFVTCH >Al_scaffold_0005_1163 pep chromosome:v.1.0:5:10906142:10907977:-1 gene:Al_scaffold_0005_1163 transcript:Al_scaffold_0005_1163 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 61 [Source:Projected from Arabidopsis thaliana (AT3G44350) TAIR;Acc:AT3G44350] MEEELPVGFRFYPTEVELLTYYLRIQLGGGNATIHSLIPILDVFSVEPTQLPNLAGERCRGDAEQWLFFVPRQEREARGGRPSRTTGSGYWKATGSPGPVFSPDNRVIGVKKTMVFYTGKAPTGRKTQWKMNEYKAVETASVSTTATTIPKSGSSRAFDRRPTEAYAIERTLPSHGVETSSRSTLSTSPETSHSGGNQVDLPENATTITQSISDMVDGLSQPFWEWEQMNWS >Al_scaffold_0005_1174 pep chromosome:v.1.0:5:11014351:11019685:1 gene:Al_scaffold_0005_1174 transcript:Al_scaffold_0005_1174 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of histone chaperone HIRA [Source:Projected from Arabidopsis thaliana (AT3G44530) TAIR;Acc:AT3G44530] MIAEKPSWVKHEGVQIFSIDVQPNGERFATGGGDHKSVDKDLQNLDTKERLLATLRDHFGSVNCVRWAKHSRYVASGSDDQVIQIHERKPGSGTTEFGSGEAPDVENWKAVMTLRGHTADVVDLNWSPDDSMLASGSLDNTVHIWNMRTGMCTTVLRGHLSLVKGVTWDPIGSFIASQSDDKTVIIWRTNDWGMAHRTDGHWAKSLGSTFFRRLGWSPCGHFLTTTHGFQKPKHSAPVLERGEWSVAYDFLGHSAPIIVVRFNHSMFKRISSSTQETKQVGWSNGTSKTGGKDLQSYNVIAMGSQDRTITVWTTGSARPLFVAKHFFGQSVVDLSWSPDGYSLFACSLDGTVAMIHFDPKELGVRLTNTELDELKKSRYGDVRGRQANLVESPAQLLLETASTKQAGSKRAASDVQQNEVTTKPSASVESTAKRRKSQVDDPNKAAEATGHTLNKASTLNRVSSPVNQKVYRRPDGRKRIIPEAVGVPQQENNITNNGQSHNFLPASAAAPSKGDSGDFPVEISNIDLSGKEIVCRNPDLKERSRITARATITESLIIEKVPGTSGRDGVLNVEQSVGIKESSSTDLLIRVFDWKDGEAAPPVCLEACPREHALDTVGAISTSMVKETEISCKKSGETLWSDRIIGRVTVLAGNPNFWAVGCEDGSLQVYTKCGRRAMPTMMMGSAATFIDCDDSWKLLLVTRKGSLYVWDLFNRKCVLHDSLSSLVSSNVNLSSTVEGTIKVISVKLSKSGSPLVVLATRHAFLFDTSLMCWLRVADDCFPASNFSSSWNLGSAPCGELAGLQVDVRKYMARKPGWNRITDDGMQTRAHLESQLASSLALESPNEYRQCLLAYVRFLAREADESRLREVCESFLGPPTGMAEAASSDTNLSWDPYVLGVKKHKLLRNDILPAMASNRKVQRLLNEFIDLLSEYEDAETADPAPKGSTPTMNCGGAPSSLDQMGSDPPALTATTPMTIDNDKPVSVANSAALEIGVCEKPGSEDRDRQDQNSRDSGS >Al_scaffold_0005_1175 pep chromosome:v.1.0:5:11037485:11046479:1 gene:Al_scaffold_0005_1175 transcript:Al_scaffold_0005_1175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:D7LNS3] MSNEKEVVSVLQYLDNKSILIIGAAGFLGKIFVEKILRVAPQVRKLYLLIRASGESTATERFNDEILGKVLFKLEHQMVHQLDAIINLAATTNFDERYDVALGINTLGAAYALNFAKRSYVCGEKSGLVMETPYRMGETLNGAIGLDINQEQKFVNEKLSKLQATGALPETITQAMKDYGLRRAKMYGWPNTYVFTKAMGEMIVGVKRENMSVVIIRPSIITSTFKEPFPGWTEGIRTIDSLAVGYGTGKLTCFLGDLNATSDVIPADMVVNTMLVSMAAQAGGQKEMIYHVGSSMKNLFKNEKMPEIAYRYFTTKPWTNKEGKAVRVGKVDVLNYMPSFHRYMTIHYLLPLKGLELLNMVLCKSLEKKLRDLSKKINFVLRLVDLYQPYLFFYGIFDDTNTEELQKLLPVTGVETDIFYFDPKIINWDDYFVYTHIPGLVKYVFK >Al_scaffold_0005_1176 pep chromosome:v.1.0:5:11052865:11053573:1 gene:Al_scaffold_0005_1176 transcript:Al_scaffold_0005_1176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:D7LNS4] MSVVIIRPSTITSTFKEPFSGWTEKIRTVDSVVVGYGTAKLTCFLGDLNAISDVILADMVVNAMLVSMAVRAGRQKEMIYHIMPEIAYMYFSNKPWTNKERMVIRVNDIKVLSSMPSFHRYMTIHYLLPLKVVFRTICHVVNLYFKTRVQEMGLAKG >Al_scaffold_0005_1177 pep chromosome:v.1.0:5:11054197:11054784:1 gene:Al_scaffold_0005_1177 transcript:Al_scaffold_0005_1177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNS5] MVVYVDKNFSPACLLVLLLFVVSSYAKFSTMVTTDEIRTLCTKAGVKSSLCFEVLKATPEIAKLDFSGLAKYLINYHAQNISDTLKQFKLSGGYIPDIDSEYRLCKELYKDALDKCPYALKYLAAKDYYNFKFMVAQTMGDMNNCIYDELSTMKPVPQFFITKTNDIQEIGYFIIVFVDCFILNEPIDCGNSRDL >Al_scaffold_0005_1178 pep chromosome:v.1.0:5:11068721:11072105:1 gene:Al_scaffold_0005_1178 transcript:Al_scaffold_0005_1178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNS7] MWTGDHTKVAPNLRKLYLLLRASDEKSATERFWERTCFDVVKKKYVITIVNGDIFLDDLGLHHQDSELEHQMVHQGKNVRMAKHIRVHESNGRNVGRCKKVKYVGGDNSSFDYYQHFQRTILRLDRENQILADMVVNAMLVSMAVRAGRQKEMIYHFSTMVTTDEIRTLCTKAGVKSSLCFEVLKATPEIAKLDFFGLAKYLINYHAQNISDTLKQFKLSGGYIPDIDSEYRLCKELYKDALDKCPYALKYLAAKDYYNFKFMVAQTMGDMNNCIYDELSTMKPVPQFFITKTNDIQEIGYFIIVFVHCFIQNEPIDCGNSRDL >Al_scaffold_0005_1179 pep chromosome:v.1.0:5:11075451:11076307:1 gene:Al_scaffold_0005_1179 transcript:Al_scaffold_0005_1179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNS8] MKNNRPRCLGRRIRQAWEEIGCDGDIGNGDGGDITYVNRSTLEPMAMEMRLFHNAGKALMSLFCSLVEMVVWRSVEGKKKKQEARRREKWKEEDQFQEGVLKGEFSSYQRESDDE >Al_scaffold_0005_1180 pep chromosome:v.1.0:5:11085540:11086177:-1 gene:Al_scaffold_0005_1180 transcript:Al_scaffold_0005_1180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNS9] MDPLGLSPCDCLIGKPQKRQGLVIVGTCICKYVCISLSVKIKKTLAAFAERNNCHESLALCYRRYRLVRVSSTTDIVVFSQRPRCLGRRRREIWEEIGCDGEIGSEDGGDITDLNRSTPEPMAMETRSFHNDAKSLMSLFRSQVEMVVLRSVEGKKKKQEEGKRGSRKNSFKRVF >Al_scaffold_0005_1181 pep chromosome:v.1.0:5:11089816:11092137:-1 gene:Al_scaffold_0005_1181 transcript:Al_scaffold_0005_1181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:D7LNT0] MWTGDHTKVAPNLRKLYLLLRASDEKSATERFWERTCFEVVEKKYVITIVNGDIFLDDLGLYHQDSELEHQMVHQGKNVRMAKHIRVHESNGRNVGRCKKVKYVGGDNSSFDYYQHFQRTVLKLDRENQILADMVVNAMLVSMAVRAGRQKEMIYHQKGKLDMVRVIMDPKEEAKFTVSKLILGELWLKASRVPYEKDYDDIVPLMLIYYV >Al_scaffold_0005_1182 pep chromosome:v.1.0:5:11107254:11108625:1 gene:Al_scaffold_0005_1182 transcript:Al_scaffold_0005_1182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMI8] MEPKPRLQPLKVREERSKQFPRKTIAELKASLEQGIPACDLVDPTTEELDDPLPLPDVLKNIIGKTYQIALCVEQDNISRGNDEYKVSEVLTSQNLNHAALEPEVDYPVDLSSMSSSDQSTDVKVHGVLAVDNSKEHDQPKFIHKLDEAGQEAITKVSEAEQKQVLLKNIKLEKLEGQKGAK >Al_scaffold_0005_1183 pep chromosome:v.1.0:5:11122398:11123552:1 gene:Al_scaffold_0005_1183 transcript:Al_scaffold_0005_1183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMI9] MRKKKEARGQIDLDVTQTPVRIRPSSTILDEKNVPGSGESNISNNVAFNSVFRRVLGDISNSPRNTSGQSPSDQRTPLSGTAIDKLNQISTPYHNPNAKRSRNISPISCTKSNTSQITSTQINDSPLLSQSSYMHNDDYAPASSANKRFKGNLSVTTRGSGSSMQNNHIYDDLLHSHQSYVEYNGNSSDTDEDADLSNYEASSQDEDDRVDDVSQITDDIIYQAKYKFDILTMFEKAFGKPNPLPTNRQNRKSGTIYILTQS >Al_scaffold_0005_1186 pep chromosome:v.1.0:5:11146625:11152061:-1 gene:Al_scaffold_0005_1186 transcript:Al_scaffold_0005_1186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMJ2] FFFFFFLCFLFFLFYFYFFFFFFLFFFFFFFFVFFFFFLGLHILYFVTLENFI >Al_scaffold_0005_1191 pep chromosome:v.1.0:5:11213574:11217313:-1 gene:Al_scaffold_0005_1191 transcript:Al_scaffold_0005_1191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMJ8] MNSSFFLGSVVAAISFFTFFRNVKQDDKEETNSTSPPPSSVSPSSSSQSWTHQVFPSFHGQDVRVDFLSHIQKEFRRKGIIPFIDNEIRRGESIGPELIKAIRESKIAVVLFSRNYGSSKWCLDELVEIMKCREEFGQTVIPIFYKVDPSNVKKLTGDFGSVFRNTCAGKTKEVIGRWRQALAKLATIAGYDSHNWYNEAAMIEKIVIDILNMLNNSTPSSDFDSFVGMRAHMENLESKLCLDSDEVRMVGIWGPPGIGVAQYMLQNKKVIVVLDNIDRSIYLDAIAKEIRWFGPGSRIIITTQDKKLLKEYGINHIYKVDYPSPYEACQIFCMYAFDQKFPKEDFEELAWKVTLRLGQLPLGLRVMGSYFRGMSKQEWTNTLPRLKESTEILEAILAKDFLDVKHIHHILAEKSFIFSDDERIEMHNLLVQLGREIVRRELGQRSIREPGQRQFLVDAKDVCDVLTDDTAGSRNVLGIDLNLSDIEDKLNVCEGAFNRMSNLKFLRFHYAYGDQSDKLYLPQGLKYLSRKLRLLEWERFPLTCLPSNFHTEYLVKLKMRYNKLHKLWESNRPLRNLKWIDFSYSKDLKKLPDLSTATNLREVVLTECSSLVELLFSIENVINLQRLILFGCSSLVMLPSSIENATNLLHLSLVGCSSLVELPNSLGNFTNLKNLYLDRCTGLVELPYSIGNATNLYLLSLDMCTGLVKLPSIGNLHKLLYLTLKGCLKLEVLPININLESLEKLDLIDCSRLKLFPEISTNIKYLELKGTAVKEVPLSIKSWSRLDCLEMSYSENLKNYPHALDIITTLYLDNTEVQEIHPWVKRNYRLWGLMLDKCKKLRFSVDFTNCLKLNKEARELIIQTSSKRAFLPGREVPAYFTYRATNGSSMTVKFNQWPLSTTWRFKACVLLVDKGVVRAGDGKKMEEAANKYLPVLLTEHLYIIEVETKAAFTEIVFKFGIESDKWGIGEGGVLQLPDKDKH >Al_scaffold_0005_1195 pep chromosome:v.1.0:5:11243892:11259147:1 gene:Al_scaffold_0005_1195 transcript:Al_scaffold_0005_1195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMK1] MNSASFFLVLVAAAIGFFMLFRKFKFQQESKDTKASSLSLPSPLTSVSRIWKHDVFPSFHGADVRRTLLSHIMESFRRKGIDTFIDNNIERSKPIGPELKEAIKGSKIAIVLLSKNYASSSWCLDELAEIMKCREVLGQIVMTIFYEVDPTDIKKQTGDFGKAFRKTCKGKTKEHIERWRKALKDVAIIAGEHSRNWSNEAEMIEKISIDVSNMLNLSIPSSDFDDFVGITAHMERMEKYLSLDLDEVRMIGIWGPPGIGKTTIATCMFDRFSSRFPLAAIMADIRECYPRLCLDERNAQLKLQKQMLSLIFNQKDIMISHLGVAQERLKDKKVLLVLDEVDHSGQLDALAKEIQWFGPGSRIIITTEDLGVLKARGINHVYKVDFPSNDEAFQIFCMNAFGQKQPYEGFRKLALEVMALAGELPLGLKVLGSALRGMSKPDWERALPRLKTSLDGKIGSIIQFSYDALCDEDKYLFLYIACLFIYESTTKVKELLGKFLDVRQGLYVLAQKSLISIDGETIKMHTLLEQFGRETSRKQFVRHGFTKRQLLVGERDICEVLEDDTTDSRRFIGINLDLSKTEEELNISEKALERMHDFQFVRIKDKNRAQTERLQSVLEGLIYHSQKIRLLDWSYFQDICLPSTFNPEFLVELTLKYSKLQKLWEGTKKLKNLKWMDLGGSEDLKELPDLSTATNLEEVNLRNCSSLVELPSSIGNATKLELLNLDDCSSLNATNLREFDLTDCSNLVELPSIGDAIKLERLCLDNCSNLVKLFSSINATNLHKFSLSDCSSLVELPDIENATNLKELILQNCSKVPLSIMSWSRPLKFRMSYFESLKEFPHAFNIITELVLGMSRLRRLRLYNCNNLISLPQLSNSLSWIDANNCKSLERLDCSFNNPKICLHFANCFKLNQEARDLIIHTSTSRYAILPGAQVPACFNHRPTAEGSLKIKLTKSPLSTFLRFKACIMLVKVNEEMSFDQRSMRVEIDIKDEQKDLKVLRTPRGFTIDQLLTEHIYTFELEVEEVTSMDLVFEFKTYNRKWKIGECGLLQILEVPSC >Al_scaffold_0005_1196 pep chromosome:v.1.0:5:11266567:11280193:1 gene:Al_scaffold_0005_1196 transcript:Al_scaffold_0005_1196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMK5] MNSASFFLVLVAAAIGFFMLFRKFKFQQESKDTKASSLSLPSPPTSVSRIWKHDVFPSFHGADVRRTLLSHIMESFRRKGIDTFIDNNIERSKPIGPELKEAIKGSKIAIVLLSKNYASSSWCLDELAEIMKCREVLGQIVMTIFYEVDPTDIKKQTGDFGKAFRKTCKGKTKEHIERWRKALKDVAIIAGEHSRNWSNEAEMIEKISIDVSNMLNLSIPSRDFEGLVGMRAHMDRMEQFLRLDLDEVRMIGIWGPPGIGKTTIARFLLNQVSDRFQLSTIMVNIKGCYPRPCFDEYTAQLQLQTQMLSQLIKHKDITISHLGVAQERLKDKKVILVLDEVDHLGQLEALAKEIQWFGPGSRIIITTEDLGVLKAHGINQVYKVDFPSSDEAFQIFCMNAFGQKQPHEGFRNLAWEVIALAGELPLGLKVLGSALRGMSKPEWERALPRLKASLDGKIGSIIQFSYDALCDEDKYLFLYIACLFNFASVHRVEEALANKFSHVRHGLHVLHEKSLISIEYERIQMHTLLQQFGRKISRKQFVHHGLTKHQLLVGERDICDVFDYDTSDSRRFIGINLDLSKTEEELNISEKALERMHDFQFVRIYGDDLGQTKRLQSVLQGLIYHSQKIRSLNWRYFQDICLPSTFNPEFLVELNLQDSKLQKLWEGTKQLKNLKWMDLGGSRDLKELPDLSTATNLEEVDLQYCSSLVELPSSIGNATKLERLYLRDCSSLVELPSIGNASKLERLYLDNCSSLVKLPSSINASNLQEFIENASKLWELNLLNCSSLLELPPSIGTATNLKELYISGCSSLVKLPSSIGDMTKLKKFDLSNCSSLVEVPSAIGKLQKLSKLKMYGCSKLEVLPTNIDLESLRTLDLRNCSQLKRFPEISTNIAYLRLTGTAIKEVPLSIMSWSRLYDFGISYFESLKEFPHALDIITQLQLNEDIQEVAPWVKGMSRLRVLRLYNCNNLVSLPQFSDSLAYIDADNCQSLERLDCTFNNPDIHLKFPKCFNLNQEARDLIMHTSTSEYAILPGTQVPACFNHRATAGGLVEFKLNESPLPRALRFKACFMFVKVNEETGDGWSSINVYHDIMDNQNGLNVRRKPRKCYIDRVLTEHIYIFEVRAEKVTSTELFFEVKTENDSNWKIRECGLFQILEQKFKKFTFPIKTTNKQQFSGYDLNAFLPRHSMLVFLDCG >Al_scaffold_0005_1197 pep chromosome:v.1.0:5:11280813:11282064:-1 gene:Al_scaffold_0005_1197 transcript:Al_scaffold_0005_1197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMK6] MDHAELTLEQVLKRDIPWETYMTTKLISATSLQRLRRYGKKPESARAQLLDEDGPAYVHLFVSILRDIFKEETVEYVLALIYEMLLENPTRARLFHDKSLVHEDTYEPFLRLLWKGNWFIQEKAARSLPG >Al_scaffold_0005_1200 pep chromosome:v.1.0:5:11293641:11294174:1 gene:Al_scaffold_0005_1200 transcript:Al_scaffold_0005_1200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMK8] MQEIPSKILLLLLLFFLIFSPSTQNPQEEIYYCGKTPISNPSNFITCRSGKLYFKTSTGLFHVSRTEEVKLRARVSMEVEGHIPDLCIACERPDGNCGVALRCLCHPKECKNKVINFGTKSRALSGNNIQKLLPLLLLALFLICF >Al_scaffold_0005_1201 pep chromosome:v.1.0:5:11295260:11297050:-1 gene:Al_scaffold_0005_1201 transcript:Al_scaffold_0005_1201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMK9] MSIEGYDTSLHALRKHFSSCGKIKHIYVPRDFESGTLKRVAFMRIEGEGAEDKALELSGTDVGGWTAIEKALKLSGCNGGGMTLVVSPVQLVPENVRERSRSTNITGYGHVRNVVAATTFGD >Al_scaffold_0005_1205 pep chromosome:v.1.0:5:11359129:11359880:-1 gene:Al_scaffold_0005_1205 transcript:Al_scaffold_0005_1205 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHYTOSULFOKINE 3 PRECURSOR [Source:Projected from Arabidopsis thaliana (AT3G44735) TAIR;Acc:AT3G44735] MKQTLCLAVCVLFLILSTSSSAIRRGKEDPEINPLVSVTPVEEDSVNKLMGMEYCGEGDEECLKRRMMTESHLDYIYTQHHKH >Al_scaffold_0005_1208 pep chromosome:v.1.0:5:11378286:11382357:-1 gene:Al_scaffold_0005_1208 transcript:Al_scaffold_0005_1208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNF8] MADLLRQLLDSGKLSDETCHSILCGLSKHVDTFHLSKTEEEVDGWTSEEDEQLDEEYCRQFTESLGFDIDGNVRIPACGISPVFLGANENPRSEIALYGRLGVHCFNIDKERKLKYMRIPKYNIQFPKAMSFYITVDVKDLADNSAHTLQTLVIRSFSRNGEHLRVSTGICRIKPETPEGDICLVDEKAIDKFYRGVMPNFVSKQGAVTDKLRFYEVQEQDICANDWLRLYTEFALFSLWRYNEDGFESCLPVEIKKIIVETCETHREPRLKLKSSNAIFHINFSAKSCDYKSVVRRTTDGKPGHIVLEINTWKNDQPSST >Al_scaffold_0005_1213 pep chromosome:v.1.0:5:11397636:11397872:1 gene:Al_scaffold_0005_1213 transcript:Al_scaffold_0005_1213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNG5] MDIRSNLTSLPINQHHLNTRRKPIHLHRQSPPQQTSSPGYAISSHHPRLYLLPPSNPDLDTGFLSNSTPPSSKKFRSV >Al_scaffold_0005_1214 pep chromosome:v.1.0:5:11398344:11399445:1 gene:Al_scaffold_0005_1214 transcript:Al_scaffold_0005_1214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNG6] MADVLRKLLDSGKLSDEKCRLILRGLRKQVDELNLSKNEAIDEWSSDKEEEIDPEYLRQVKESLVLVSISIYMNLRIPSYGIAPHEIGDDCEPPHNVALYGRLGVHCFNFEKGRNLRFIRIPKYNSQIHQTDSYSITVEVEDLADCSPHIFQTLVTRLFPKNGEGLRVFTGICRLKPATPGKGDAYAILDEEAIDGFYRGDMLDFVSEAIADDELRFYEVKVYVFEVNAS >Al_scaffold_0005_1216 pep chromosome:v.1.0:5:11412927:11414574:1 gene:Al_scaffold_0005_1216 transcript:Al_scaffold_0005_1216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNG8] MADVLRKLLDGGKLSDENCRLVLRGLGKQVDELHLSKNKAVDEWSSDEEEEVDEEYCRQVKESLGFDIDMNVRIPEWGIAPYELGDYEPPIDISLYGRLGVHCFNFEKGRNLLVMRIPKYNIELVQTRAYYITMEVEDLADNSSVHIFQTLVTRCFPRNNASLVVFTGICRLKPEIPGEGDADARLDEKAIYEFYKGSMPDFLSETKLDDKLRFYEVQEQDICENDWLRLYTEFALFCFWWFNEDGFKFSLPVEMKKIIVETRETDGEPCHKLKSGNAIFYINFRAKSRDYRSVVRRTTDGKTGHIILEINCWDDQPSPALTANATK >Al_scaffold_0005_1217 pep chromosome:v.1.0:5:11415573:11417168:-1 gene:Al_scaffold_0005_1217 transcript:Al_scaffold_0005_1217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G44780) TAIR;Acc:AT3G44780] MEELPIKVEILYESDQLFSELKKIGQYIPLSTFLEILKLEPDGKFEILRVKKKVVKPKVEGENIVVAQGRGDSREVVPDETKKVDWGPFYGPVKDQGEHDICWAIVTAELVTAIRWIKQRENGTEYSYQELVDFVFPEKGKLRNNKAHFCYRLSILKALRYVVQQGIQKAVDRPFDGCKEFPPPRVLSNPHLGYIGAAKSIP >Al_scaffold_0005_1218 pep chromosome:v.1.0:5:11423779:11423970:-1 gene:Al_scaffold_0005_1218 transcript:Al_scaffold_0005_1218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNH0] MECVRERERVATLGPDLSSSIHKPPLPASSCINNIREKEKQIEGGGEKDRSGKEERKKGRRDI >Al_scaffold_0005_122 pep chromosome:v.1.0:5:677485:677730:-1 gene:Al_scaffold_0005_122 transcript:Al_scaffold_0005_122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LPZ2] TPIKAGWIIRDTIKSYLGLGQNCGHLSACVRKQVPSINIGDAKLLDTGYDKIFFEGDCRQLNDLLNGKTMNFGVYNWLREV >Al_scaffold_0005_1220 pep chromosome:v.1.0:5:11432967:11451486:1 gene:Al_scaffold_0005_1220 transcript:Al_scaffold_0005_1220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meprin and TRAF (MATH) homology domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G44800) TAIR;Acc:AT3G44800] MGNEKFTWVIKNFCSLQSESINSDVFVIGGCKWYLAAYPKGKYKADYLFLFLVVADFKTLPYGWKRHIRYRLTFVNQISYGLSLLGGKEEWIGKYRPLCGYQKMILLTKLNDKKGGFLVNNEVKIVVEVDVLQVIGKLDVSEESQEVTQPLKRIKLNGDGVVTSSVDVNGFQVLPSEAESVKRIFEKHPEMALKFRAKNQHLRTSCINVVLSLIKTLCQSLQDISIDDMGQAEQALTYLNNSGFKVDWLEGKLEEVKEKKMEELFGKTRMQELEEELKVFKRKCSDIEAILEKEKEELKDLKQKCSDTEALLEKEKTKVLAAARQTQCWLDRKNFLHGYQEMISLTKLNAKEGGFLVKNEVKIVAEVYVLQVIGNLDVLEGSQDVTKPLKRIRLNDDGAASSHLQTMDVNGFQVLPSQLNLIETMCVSLQDLSIYDLGQAEQALTYLNNSGFKVEWLERKLEEVKEKKMEEHIGKSRMQELENDLKVFKQKCSDIEDLLEKEKEELKNLKKKCSDTEDLLEKEKTKVLAAARAPPLTLNDFV >Al_scaffold_0005_1222 pep chromosome:v.1.0:5:11473885:11475175:1 gene:Al_scaffold_0005_1222 transcript:Al_scaffold_0005_1222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNH6] MGNEFTWMIKNFSSNLQSELIDSDEFVIGGCKWILMGEQNDNYFSLFLVVADFQNLPCGWRRHARFRLTVVNQISDKLPLHRVLSTETERWFDQKVPVHGYAEMISLAKLNVRKGGFLVNNEVKIVVEVDVLQVTGKLDVSEESLEDTQTLKRIKLKGYGVVSSHLHKETSSVNVNGFLVLPSQVESVKRIFERHPDMALEFRAKNKHMRTLSINLLLNIIETLCQSLQDFSIDDLCQAEKALRYLKDSDFKVDWLEHKLEEVKEKKMEEQIGKTRMQELEEDLKVFKQNCSDIEAQLEKEKQKCSDIEALLEKEKTKVLAAAKAPPLTLDDVVCGLVSVVVGATFV >Al_scaffold_0005_1224 pep chromosome:v.1.0:5:11487221:11490341:-1 gene:Al_scaffold_0005_1224 transcript:Al_scaffold_0005_1224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNH8] MRQFLLFSYSALMLLDAYGFTDETDMQALLEFKSQISEEKIDVLSSWNHSFPLCSWTGITCGRKHKRVIGLDLKGLQLSGVISPYIGNLSFLIWLNLSDNSFGGTIPQEVGNLFRLKHLDMSFNLLGGGIQVSLSNCSRLVVLIFDSNHLGGSVPSELGSLRKLVSLYLGGNNLKGKLPASLGNLTSLRELHLGFNNIEGRIPDDIARLNQMLVLDLAVNNFSGVFPPLIYNLSSLKYLYISGNRFSAFLRSDFGKLLPNLVALNMGQNSFTGVIPTTLSNISTLQKLAINDNNLTGSIPLSFGKLRNLQWLFLFSNSLGSYSFGDLDFLVALANCTKLEKLEISDNRLGGDLPIFITNLSTNLYTLDLGKNFISGSIPRDIGNLISLQSLVLQENMLTGAFPTSLGKISRLEGINIDSNKMSGKIPSFIGNLTRLDKLYLFNNSFEGTIPLSLSNYIARNSLTGALPEDVGRLEYLVYLSVAYNKLSGHLPHSLGNCLSMETLLLQGNYFDGAIPDIKGVKRVDFSNNTFSGSIPAYLSNFSLLEYLNLSINNLEGSVPTEGKFQNATIVLVFGNKNLCGGIKELKLKPCLRGAPPMGSKHSSRLKRVVIGVSIGMALLFLLFVALVSLRWFGKIKKNHQTNNPTPSTLDVFHEQISYGEIRNATDGFSSSNMIGSGSFGTVFKAVLPAENKVVAVKVLNMQRRGAMRSFMAECESLKDIRHRNLVKLLTACSSIDFQGNEFRALIYEFMPNGSLDTWLHPEEVEEIRRPSRTLTLLERLNIAIDVSSVLDYLHVHCHEPIAHCDLKPSNILLDDDLTAHVSDFGLAQLLLKFDQESFLNQLSSTGVRGTVGYAAPEYGMGGQPSIHGDVYSFGVLLLEMFTGKRPTNELFGGNFILHSYTKSALPERVMDIADKSILHSGLRVGFPIVECLTSVLEVGLRCSEEYPANRLAMSEAAKELISIRERFFKTRRTARR >Al_scaffold_0005_1226 pep chromosome:v.1.0:5:11497971:11499409:-1 gene:Al_scaffold_0005_1226 transcript:Al_scaffold_0005_1226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNI0] MDDIQESFRSFVDKTLALQGGAPINKFSLKCGDRHDEVHVDHWINNALEHGVSELHLRLTDVMRCHFPSNVFVSKTMAKLTLGTEISIVRVPSVTSLPAVLKTLFLDSVWFDKREFSDVFLAGCPALEDLTIDQKSFPGLPNVVSSKTIRRLTIVYTCAHDVDWFRAVALDTPSLVSLFYSTYARHRYRRHCNLDSLIKATLDLHFLENVKCGEPFGPNVTNLIYGIRNVKILHLTSSAAECQGSDNEMKHISHFLLKRECLQLVHVNFSETIVDSKKVQLTEDLFKLPSASS >Al_scaffold_0005_1227 pep chromosome:v.1.0:5:11502033:11507380:-1 gene:Al_scaffold_0005_1227 transcript:Al_scaffold_0005_1227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNI1] MGIHDQKEGKQDRDDIQESFRSFVDRTLALQGGAPINKCSLKCGNEHDDEPDDVHVDRWISNALELGVSELHLCLSSVTRHLFPSNIFSSTTLVKLTLGTKLSIHSFPSDTSLPALKVLFLDSIWCDIEEFSVWFERENFSNVLLAGCPALEDLTIDQKSFPGLPNVVSSKTIKRLSIVYIRANDADWFRTVALDTPKLLTLFYSTYARHRYRHCNLDSLVKATLDLHFSENEIFDEAFEPNVTDLMIAVRNVKMLHLTFSATEMNMSLMKSAFPGVTKLTCCVSYSVKEVKSS >Al_scaffold_0005_1231 pep chromosome:v.1.0:5:11551608:11554290:-1 gene:Al_scaffold_0005_1231 transcript:Al_scaffold_0005_1231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G44850) TAIR;Acc:AT3G44850] MAEEKNGGRVNDETEYSSEDEGTEDYKKGGYHAVRVGDTFKNGAYVIQSKLGWGHFSTVWLAWDTQESRYVALKVQKSAQHYTEAAMDEIKILKQIAEGDPGDKKCVVKLLDHFKHSGPNGKHVCMVFEYLGDNLLSVIKYSDYRGVPLQMVKDLCFHILVGLDYLHRELSIIHTDLKPENVLLLSTIDPSRDARRSGVPLVLPTAKDKIVSEAAAKPETKSYTYDGDLTKNQKKKIRKKAKKVVVAQDCGGEEALEENERDSNSEARTNGNSTVERSEGSSTRLMEDEEAREKANKKNGRGSRRGSRTTRQKLLSDIECKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVVLGSKYSTSADMWSFACICFELATGDVLFDPHSGENYDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRQGELRHIRRLRFWPISKVLKEKYDFSEQDAKDMADFLVPILEFVPEKRPTAAQCLTHPWFNTGPRLLEPSLKPQQPKGEGPANENIEKEKDEREAMEAGVGNIAIDGSEPKVATREGRQYGRDPRT >Al_scaffold_0005_1236 pep chromosome:v.1.0:5:11569766:11572070:-1 gene:Al_scaffold_0005_1236 transcript:Al_scaffold_0005_1236 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation/H+ exchanger 12 [Source:Projected from Arabidopsis thaliana (AT3G44910) TAIR;Acc:AT3G44910] MLAGLILGPQLFNLREVLSRKLSWDPALDGNGPLRGLSVCGNIMLAFLMTVKISRRLAFNNGWLPIVIGVLSFIVPFLGGFCVRNLHTDNIDPQYLSPSKVLAERTVVISSQSSILLPTVVHFLSELKILNSELGRLVLSASLINDIFASIVSIVAYLAGTYKNISPMTAYRDLIAVIILILVVFCVLRPVVEWIVERTPEGKPVADVYVHAAVLSVIASAAYTTFFNMKYLLGPFLLGLIIPEGPPIGSALEAKYEALTMNVLTPISITFSTMRCDVMKIVYQYDDIGYNIFLMLFTGVLKMLTGLVPCLYCKIPLKEAIAASLLLCSKSFSEIFLYESTFDDSYISQATYTFLIACALINSGIIPTALAGLYDPKRKYVGYQKKNIMNLKPNSDLRILTCVHKPENISAAISFLQLLPSTIVVTVLHLVKLVGKIVPVVISHHSKSKRVVTNSYIHTAHLAFSQLESVTMTMFTALTHENLMHDEICKLALEQVASIIIVPSGRKWTIDGTFESEDEAIRRLNESLLQSASCSIGILVDRGQFSLRGTRRYEINVGVIFIGGKDDREALSLVKKMKHNPRVNITVIRLISSREIESTNWDYILDHEVLEDLKDTDATNCIAYTERIVAGGPEVATTVRSLSEDYDLMVVGRDHGMASPDFDGLKEWIELPELGVIGDLLAARDLDSRVNVLVVQQQQQT >Al_scaffold_0005_124 pep chromosome:v.1.0:5:700871:701852:1 gene:Al_scaffold_0005_124 transcript:Al_scaffold_0005_124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPZ4] MATGGGEDGAATRTALAVTVEGKGMEKNVAINAMGTRFGGRVRRMNSLIVRRHRRWLLLGLSRWHM >Al_scaffold_0005_1242 pep chromosome:v.1.0:5:11613383:11614779:1 gene:Al_scaffold_0005_1242 transcript:Al_scaffold_0005_1242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNJ6] MRQSLSDITNSQSQEEFNLYGVGSEPSEHVNRLMKVKALHHEVTCKNALLKSKCFEQEKGEKTKPRNALTTENVLKIKDEDSPSPKPYVPTRRRFIRSKSLGASTANKNEAEKEKSETKRRHLRRRSARVRSADQEITENLFEIEDLQLTMPNNICQQDNSTMVSHIRKKKAEKEDLRTRHLDCKVYRSPVDQSLRREAERIHSYKEVFSQY >Al_scaffold_0005_1246 pep chromosome:v.1.0:5:11638800:11640317:1 gene:Al_scaffold_0005_1246 transcript:Al_scaffold_0005_1246 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:Projected from Arabidopsis thaliana (AT3G45000) TAIR;Acc:AT3G45000] MKRVMKFFKRKSDPKQKLRDWQRKLRQEVRNIERQIRDIEREERNVHKAIKDSVKRYDMVTAKALVREIVRSRRTVKRLYENKAKMNSISMHLGESIAISGTVGLLSKSGEVMKLVNSLMKAPDMAVTMQAFSKEMTKAGVIEEFVSDAVDNALDSEDIEEEIEEEVDMVWTAIAGETAAELPEAVRKEKINVLAQKAGTSHGEDAIAQGVDNEDELEDIRARLANVRF >Al_scaffold_0005_1257 pep chromosome:v.1.0:5:11705075:11706642:-1 gene:Al_scaffold_0005_1257 transcript:Al_scaffold_0005_1257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNK6] MLKTRLRLVYWRSPIRPPTLRSRHLKLPESTGDVPPVEEEAAIQLWRNMTLPGVQAFLDSDELIFNEEYKKFARSSFETNALANDLIAQYERKLKLKYTDRTHFRLLSSVFKREKSDHEKLRKKYAILEAGSNIHSAEVASLKDEIVRLGRRVFLFSLEKKEGRIKSPFLKRDSALWV >Al_scaffold_0005_1263 pep chromosome:v.1.0:5:11737968:11738255:1 gene:Al_scaffold_0005_1263 transcript:Al_scaffold_0005_1263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNL3] MSIHNSSNMNHPNIVYHNIHNFTSENSVHYADTSGVFSNNITRHHGLVLPAATIIEFILLASFQAKDMTENRHLMVNQNRSIIVRPPMPQQQPHP >Al_scaffold_0005_1265 pep chromosome:v.1.0:5:11761406:11762178:-1 gene:Al_scaffold_0005_1265 transcript:Al_scaffold_0005_1265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNL5] MKSKANCGELLTSESSIRRHHHLHGCIARSAPLIQQIGNLLISRAEELKNQANEAFKDLEFNGRIDEPHVKRRSRTLFGILWLVLMALMKVFRS >Al_scaffold_0005_1266 pep chromosome:v.1.0:5:11771001:11773173:-1 gene:Al_scaffold_0005_1266 transcript:Al_scaffold_0005_1266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNL6] MATFWPPSSSSNYPSIFLYLQSYPFLFFLLFLTTSATSLTLASLVNPHSYISPRVPYSDHCNHIVPESPIDPSPSAVFSRASLAFDFSFFSGGDSFFNRYQSQNGDVKSARFRPMSIRKTLGDGKIYKVEDKLTLQISKTSAFSSYYGGDFGKKKLQVTHIDGRSSWGGASFDFSGFWSESTGQVCMVGSTQVLSVEGTDLKSFDARLMLNYSNESNIYGSLVKGVLESVNSQSEFKTISILGARNTPLNYEYKFLEQSKSDCEVNSGESLSLENVFGGMCKVFEGNSHVFGLMYRTGCGINHSYSPFGSDVEYTPGFMSMLSFLCDGDLSFSNISSYSRLFPFDPRTSLVVEGTWDVERNRFCGVACRILNFSDSLSNAVFDLYNIFTGRVVDMYGTFGGRMSICTVHLADGCRSVRYIWRTDSRSVRYIWRTDSRSVRYIWRTDVDLYGIFGGQIVDLYGIFGGWIVDLYGTFGG >Al_scaffold_0005_1270 pep chromosome:v.1.0:5:11794410:11795185:1 gene:Al_scaffold_0005_1270 transcript:Al_scaffold_0005_1270 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT3G45170) TAIR;Acc:AT3G45170] MLGREDVFVDVEEDFGTAMQQLPIPVNELDKLPMDLDSVYGFADELREIIEDSNLLIEDSRKFDDLNHNKFIDVSKPSRNSSAQPTATRGRFHAPTRSRNKRGRKKRLNFTSPADLFGITNKSCSHCGTRKTPLWREGPRGAGTLCNACGMRYRTGRLLPEYRPASSPDFIPNVHSNFHRKVMEIRRERKSPPPNYRFIDRDKP >Al_scaffold_0005_1274 pep chromosome:v.1.0:5:11814118:11815284:1 gene:Al_scaffold_0005_1274 transcript:Al_scaffold_0005_1274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LNM5] LSILKITSLISTKPPKEYLQTQISQESCVVTDAEELVQEKDEESAAIEYRDLISIHVGNHKGGLKNCLNGDPNRDIRLSMSEQWLETLAKTRGPDLVKFTQWNLLRIYPKTTRFDSYNYDPLVGSIHGAQTVVFNMQFFGSLQSTSLRKIFGEMKKKERVRVRINRPIRSV >Al_scaffold_0005_1287 pep chromosome:v.1.0:5:11900890:11902921:-1 gene:Al_scaffold_0005_1287 transcript:Al_scaffold_0005_1287 gene_biotype:protein_coding transcript_biotype:protein_coding description:syntaxin of plants 72 [Source:Projected from Arabidopsis thaliana (AT3G45280) TAIR;Acc:AT3G45280] MPVIDIIFRVEEICKKYDKYDVDKHREIGASGDDAFSRLFTSIDSDIEAVLRKAELASTEKNRAAAVAMNAEVRRTKARLAEDVVKLQKLAVKKIKGLTREERESRCDLVIALADRLQAVPDGNEHGAKQANNDWGGASAPNKNIKFDMSEEDMHDGFFQQTEESSQFRQEYEMRKKKQDEGLDVISEGLDALKNLARDMNEELDKQVPLMEEMETKVDGATSDLKNTNVRLKKQLVQMRSSRNFCIDIVLLCVILGIVSYIYNALN >Al_scaffold_0005_1288 pep chromosome:v.1.0:5:11916242:11920809:1 gene:Al_scaffold_0005_1288 transcript:Al_scaffold_0005_1288 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:D7LNP0] MTEKEESAHSMEVGAVRSLQETPTWALATVCFFFIAVSIFLERLINLLSTRLKNNRKKSLLEAVENLKSVLMVLGFMSLMLNVTEGEVSKICIPIKYANRMLPCRKTIKSHDDVSKDDDDDRDNSFFHQCSSKGKTSLISQEGLTQLSFFFCVLACMHILCNLAILLLGMAKMRKWKSWEKETQTVEYLAANDPNRFRITRNTTFARRHLSSWTETSIQLWIKCFFRQFFNSVAKVDYLTLRHGFIFAHVSSNNAFNFQNYIQRSLHEDFKTVVGISPLMWLTVVIFMLLDVYGWRVYFYMSFVPLIIVLVIGTKLEMIVAKMAVTIKENNSVIRGTPLVEPNDTHFWFSNPRFLLSILHYTLFLNTFEMAFFLWVTWQFGINSCYHDNRGIIITRLVLAVTVQFLSSYITLPLYAIVTQMGSSYKRAILEEQLANVLRQWQGIVRDRRKKEQTPETDNNDNNNDGDIDSGESPVQSEVAYDFRFSGGQPPILQEIPIQEQTER >Al_scaffold_0005_1290 pep chromosome:v.1.0:5:11925395:11930897:-1 gene:Al_scaffold_0005_1290 transcript:Al_scaffold_0005_1290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNP2] MDLVSSCKEKLAYFRIKELKDVLNQLGLSKQGKKQDLVERILIILSDEHAARLWSKKDAVAREKVAKLVEDAYRRMQACGASDVASKGQVSSDISTFKVKGELEDHFQPETKVRCLCGSSLETESMIQCEDPRCHVWEHVGCVIIPEKPMEVHPPLPESFYCEICRLTRADPFWVTMAHPLYPVKMTAMTIPTDGSNPMQSVDRTFQITRADKDLLVKHEYDIQAWCMLLNDKVLFRMQWPQYADLQVNGVPIRAINRPGSQLLGANGRDDGPIITPCVRDGINKISLSGCDSRSFCLGVRLVKRRTLQQVLNMIPDEDKGEPFEDALARVRRCIGGATGNDDADSDSDIEVVADFFGVNLRCPMSGSRMKVAGRFKLCVHMGCFDLEVFVELNQRSRKWQCPICLKNYSLEHIIIDPYFNRITSKMRHCDEELTEIEMKPDGSWRVKFKSESERRELGELSQWHLPDGSLFSTVDEIKPKMEMLTPVKQEGCSDGPTPLKLGIRKNRNGIWEVSKPNINGLSSSNRQEKLEYQEHNVIPMSSSATGSGRDGDDPSVNQDAVGTFDFGNNGMELDSLSMNVDPSYNFIDRNHQPAATSNNEVIVLSDSDEENNVVITGGSAYNENLIDGGVNFPLHPPVINSYSEDPHTVAGNNSGLGLFANIDDDYDMRLWQFPSEPQGGPGFQLFASDADVSDGLVGLEPGPLDCTPAISSGYTIAPETSSMPSVPMFPESVGRCEADANYGLVDNPLAFSREDPSLQIFLPTRPDTSAQSDLRSQAEVSNGTPSDDWISLRLGDHGETIGVNRDNENNPVSTRDGTLDTLSQTASLLLGMNDSSKQEKASRQRSDSPLSFPRQKRSVRPRLYLSIDSDSE >Al_scaffold_0005_1300 pep chromosome:v.1.0:5:11969451:11970193:-1 gene:Al_scaffold_0005_1300 transcript:Al_scaffold_0005_1300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMM2] MIHLKNAANVDAHLLLFKSNLYDFCHYSILSVQFCLIIMSKLMAFSLFGVDDNTRAPNHRYQIPKMRTWREHDGIAFGFNLLEKISSTIGAKDKDPFE >Al_scaffold_0005_1301 pep chromosome:v.1.0:5:11970227:11970754:1 gene:Al_scaffold_0005_1301 transcript:Al_scaffold_0005_1301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMM3] MAQRKESPQFKNHHQIRKMKSSGWERSTVSPCAAPEKENCDIILTERFRDGDGNAREGIVLELKSGSSSKPKK >Al_scaffold_0005_1304 pep chromosome:v.1.0:5:11981306:11981940:-1 gene:Al_scaffold_0005_1304 transcript:Al_scaffold_0005_1304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMM4] MSHYGCKSNLNLRSCAYLLTPKVQKMWQRRIKQDSIPQWDRFHCPKPSCSAWMSKTKLFESIEEEGVRRCCFKCRTPFCINCKVPWHSNLSCDEYRNSLPKPTTIVWHQCRSCQHMIELSDKLSKITCRCGYTFCYTCGAQWKLRGCSHHRKLEMHVLIAYFPFIVLIILSRFIFGSH >Al_scaffold_0005_1306 pep chromosome:v.1.0:5:11992109:11995088:-1 gene:Al_scaffold_0005_1306 transcript:Al_scaffold_0005_1306 gene_biotype:protein_coding transcript_biotype:protein_coding description:IBR domain containing protein [Source:Projected from Arabidopsis thaliana (AT3G45460) TAIR;Acc:AT3G45460] MALPSPQPVDHNPLDQLVKNSFSVRSDSLFATGTISVLWDVHECPIPASLKVRDVFNNIKKVLRNNGFFGPVDIKPYVNLLNMDLVEVFETIPVSLLPGDRGIRLDYYLMHFFILAIDNGGFRPFTLVLILGDISGLDELFRVINILQSRLRFKVLIAQPPRGSVLSLTEIGLCNGLLAGQDLLIQNSVVMRRSAPEQENIALLMDDVQRIRRELTSSIPVTVTKNQAKFAYKLSKELIGIRTPPTEKKTCGGVSIEPEPMFSVALCRHQFGVEWMKQHIEVRLIEGDVPRCPHYGCTSILTLKSCAHLLTPKLKEMWEQRIKEDSIPVCDRFHCPNPRCWALMSKTELFESTEDGVRRCCFKCRKPFCINCKVLWHSNLSCKEYKTLGLNPKTISRQCKKCQHMIKQTHKTINVTCRCGYSFCYTCGAQWKLGGCRHHSQMVADVLVPGFIFFFLSMIICSISRRV >Al_scaffold_0005_1310 pep chromosome:v.1.0:5:12008436:12009405:-1 gene:Al_scaffold_0005_1310 transcript:Al_scaffold_0005_1310 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box protein with C6HC-type zinc finger [Source:Projected from Arabidopsis thaliana (AT3G45480) TAIR;Acc:AT3G45480] VMGRSVPKHEKIAMLIDDVQRIRQQFTSSIPILVARNQTEFVYKLAKETIVSNTSIPMRRGSQKKTCGNCFHDDVEGEKMFSVALCSHHFCVDCMKQHIEVSLNEGVVPRCPHYGCTSNLTLKICAHLLTPKLKEMWEHRIKEESIPVCDRFHCPNPRCWALMSKTELVESTEDGVRRHCFKCRKHFCITCKVLWHSNLSCKEYKSSVQKPTTTVWRQCRSCQHMIKLSGKCINVTCRCGYRFCYACGAQWKLGGCSHHRQAVMELVVGLVLIFLVFIGLSIIMPTVK >Al_scaffold_0005_1311 pep chromosome:v.1.0:5:12012206:12025128:-1 gene:Al_scaffold_0005_1311 transcript:Al_scaffold_0005_1311 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box protein [Source:Projected from Arabidopsis thaliana (AT3G45510) TAIR;Acc:AT3G45510] MGRSVPKDEKIALLMDDVQRIRQQFISSIPILVARNQTDFVHKLAKETIVSRLIRPMPRTAQKKTCGNCFDDVIKGEKMFSVALCRHQFCVDCMKQHIEVSLNDGGVPRCPHDGCTSNLNLIACTHLLTPKQREMWKQRIKEESITVYDRFHCPNPSCWALMSKTELIKSTDDGVRRHCFKCWKPFCINCKVPWHSNLSCKEYKRSGQKPTTTVWL >Al_scaffold_0005_1317 pep chromosome:v.1.0:5:12050447:12053207:-1 gene:Al_scaffold_0005_1317 transcript:Al_scaffold_0005_1317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMN7] MAEDDAARNPVAAVVAEAKGHGEERCYARNGYPVWWKGARVEGTTPSRQESTSALILPHGKPVAHAVGPISTPTTSQGVTISPVSTPELPSPPILEISPDVPSLYPDTDDEEEVEVVTTNGVPATVITEHEEPSGDDTLASSSETLSSTTDTIEPSPVVEELGKGCRKKLPSVLLKEYVVEKPKKPDFQLLIKLFSR >Al_scaffold_0005_1321 pep chromosome:v.1.0:5:12074177:12075333:-1 gene:Al_scaffold_0005_1321 transcript:Al_scaffold_0005_1321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMP1] MEEESTLVRADDPKPPGEIKLESSASEQENIALLMDDVQRIRQRLTFSFPVLVTRNQMKFVYELAMETIVSEISIHIPDQKKTCSICSDDNFEPEQMFSIDLCGHEFCVECMKRHIEVRLLAGGVPRCPHYQCRSKLTLGSCVNLLTSKLKAMWERIIDEESIHVADRVYCPNPRCSALMSVTKLSNSNSTKEDVTMRSCFKCSEPFCITCKVPWHSNLSCNDYKRLGPNPTADDIKMKALANKKMWRQCENCQHIIELSEGCIHVTCRYNL >Al_scaffold_0005_1324 pep chromosome:v.1.0:5:12081512:12082489:-1 gene:Al_scaffold_0005_1324 transcript:Al_scaffold_0005_1324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMP4] MASSSSQPTAALHGASGSSNRRRKVLSGEICEHIIYAPATHTSFASLPDMYERTLTVNGFSKAFAMTGWRLGYLAGPKHIVAACSKLQGQVTSGASSIAQKAHVLLHLG >Al_scaffold_0005_1333 pep chromosome:v.1.0:5:12135714:12136515:-1 gene:Al_scaffold_0005_1333 transcript:Al_scaffold_0005_1333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMQ3] MKRRKNETVKENNNVMQTPNTKRSRSQLHDENINPNLQNQQDRGDVQVKGIFNRLRSGIGNIPAQQCDSEALQTVTGPSSSAAIQKETQGFACTITYNTSVRSAKKTARTQRRPFQDVQNIINTSQLHSEVHQTPLNPHKPPEKKGKKWSPPSVNSKQAAKGVILTNSRINLRFPKSLAKEKKSSHKSYDTTIEEDSDEILNSKEETYINMSK >Al_scaffold_0005_1334 pep chromosome:v.1.0:5:12137424:12139952:-1 gene:Al_scaffold_0005_1334 transcript:Al_scaffold_0005_1334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMQ4] MAAVQAFTPLADIKPFKTHWKIQVKIVHSWTQYTQFTGETVEMVLADTAGTLIHATVKKPQVSKLQRFIVSGEWRIIEHFTLTKSTGKYRATKHGFKMSMMEKTVISRTPAVSDDIYVDLANFPDILNEAGLSENILIGTISILLSIMYLDSLPPDVIPLTIEDSKTKQVNAEQRKKDYYDRFPRKTISGLFCFSETGKCNIICTIMNIDTDYSWYFFSCLKCSKTAYKIPKVENEIVKKGKKEMFWCPTYSDQQTDQRNDLSIDQEGLALTNSGETTDPLDPTSSTPSSKRSMDAVSEDIEGQGSTTKKACVSSLLGDIEKEEIEGGKN >Al_scaffold_0005_1336 pep chromosome:v.1.0:5:12149861:12150569:-1 gene:Al_scaffold_0005_1336 transcript:Al_scaffold_0005_1336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMQ6] MAAVQAFTPLADIKPFKTHWKIQVKIVHSWTQYTQFTGETVEMVLADTAGTLIHATVKKPQVSKLQRFIVSGEWRIIEHFTLTKSTGKYRATKHGFKMSMMEKTVISRTPAVSDDIYVDLANFPDILNEAGLSENILIDVLGQVVSFNEMKIHDVNNKITKRLECELRDTK >Al_scaffold_0005_1339 pep chromosome:v.1.0:5:12157020:12158787:1 gene:Al_scaffold_0005_1339 transcript:Al_scaffold_0005_1339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45710) TAIR;Acc:AT3G45710] MADSNSGDTEARRSTDPSGKRGGWTTLPFMLVTLLGMSITSFGWGMNLIVFLIEEFNIKNIAAAQISNIVNGVVNMLPVVAAILADSFFGNIPVISASTFISLTGVSLLTLITSLDYLIPRPCETGSILCQSPSKLQLGILYAALALVIVGSAGTRFTLAAAGANQYKKPKEQGRFFNWFFLSLYTGAITGTTAIVYTQDNASWKLGFGLCAAANLISFIVFIAGKRFYKHDKPLGSPYTSLIRVLVSATMKRKAMISSKDEDYHHYGLKKEAKTSTTMPSKSFRFLNRAALKTEEDLNPSGDSINNMWRLCSVQEVEDFKAVLRLVPLWAAVMFLSTPVAVQMSMTVLQALVMDRELGPHFKVSAGSLQVIVLISGCVFIMLNNWIIYPMYQKLFRKPLTPLQQVGIGHVLTILSMAISAIVEARRLKTVENGRPMSVLWLVPALVMVGIGEAFHFPANIAVFYGEFPESLRNTATSLTSVVIGISFYLCTAIIDLIQRTTKWTNHTD >Al_scaffold_0005_1346 pep chromosome:v.1.0:5:12187676:12189356:-1 gene:Al_scaffold_0005_1346 transcript:Al_scaffold_0005_1346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMR4] MRSAFTNPSWCIEEEDEVYGLDVFVFGPVVVRRPINVVPKQVNECPRVAVVCREGHVRNVESIFTARVPIVKFCDLGTSIECDLSVENKVGNLKSQIIRIISQTDGKFQKLCMLVKHWAKAHEVNSTLHRTLNSFSITLLAALHLQTQNPSILPPFSTLFRDGIDPPNVEKRTQMFLNWGQRGQKSINS >Al_scaffold_0005_1348 pep chromosome:v.1.0:5:12199105:12200358:1 gene:Al_scaffold_0005_1348 transcript:Al_scaffold_0005_1348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMR6] MDIESEISMSSYFKRYGQISDSSSSNNSMIPPLPQNPIFTVKLSPIMLHLRRCSRKIKKKKLTQPLLKKKKKKKSISSSVKFLSRGSFNAAVQKRSTTVTRNGGVRKVSSWVKSRLLGEGGYASVYLATSKDDRYKTERAIKSAELSKASSLMHEGRILKRLQSPFVISCYGDEIAREGTGHEYNLVLEYCAGQCLVDLIEDNHGGLSEFDVKQFSRDVLSGLSYIHSRNIVHCDIKPDNLLLSPVDHRFRFNGYLIKIADFGLSMEKGSVEYGNGCGHMRGTTRYMAPELIGHGVVDFGVDIWAFGCSVLEMLTGQMVWGEHGDLVFDDWVKLIGHTDLIPRISSRLSEEAQDFLRRCFVKEPGSRWRINELMNHPFLYSDVDFSHNGFVYD >Al_scaffold_0005_1355 pep chromosome:v.1.0:5:12245718:12246491:1 gene:Al_scaffold_0005_1355 transcript:Al_scaffold_0005_1355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMS2] MILTDFKNTFEHGDVKLTLVGRDGYRVTMDVHKKVLSEKSKFFREKMKYRRENGVSHSQMVEISECGDVETVVLKYCDDLQNKLFGQSLDLSQGFFSYNVCGGNKVMLGVLKGSSLVIRRGRNRCVVY >Al_scaffold_0005_1357 pep chromosome:v.1.0:5:12252885:12258051:-1 gene:Al_scaffold_0005_1357 transcript:Al_scaffold_0005_1357 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferric reductase-like transmembrane component family protein [Source:Projected from Arabidopsis thaliana (AT3G45810) TAIR;Acc:AT3G45810] MNNNRKVGTEDSTKWMLESVEIDPKGESSVKQSENTINSNNPESSGSGGGILKNVSKNLGVGSIIRSMSVNKWRKSGNLGPPSTRKSGNLGPPLPIAQEKRPGPQRVERTTSSAARGLQSLRFLDRTVTGRERDSWRSIENRFNQFAVDGRLPKDKFGVCIGMGDTLEFAAEVYEALGRRRQINTENGIDKEQLKLFWEDMIKKDLDCRLQIFFDMCDKDGDGKLTEEEVKEVIVLSASANRLANLKKNAASYASLIMEELDPDQHGYIEMWQLEVLLTGIVSNAESHKVVRKSQQLTRAMIPKRYRTPTSKYVSVTAELMYEHWKKIWVVTLWLAVNAALFIWKYEEFTTSPLYNITGRCLCAAKGTAEILKLNMALILVPVLRRTLTFLRSTFLSHLIPFDDNINFHKLIAVAIAVISLLHTALHMLCNYPRLSACPNDLYYDYAGNLLGFMQPTYLGLMLTPVSVTGVLMIIFMGISFTLAMHYFRRNIVKLPKPFNRLAGFNSFWYAHHLLVIAYALLIIHGYILIIEKPWYQKTTWMYVAIPMVLYASERLFSRVQEHNHRVHIIKAIVYSGNVLALYMTKPQGFKYKSGMYMFVKCPDISKFEWHPFSVTSAPGDEYLSVHIRALGDWTSELRNRFAETCEPHQTSKPSPNSLIRMETRARGANPHVEESQALFPRIFIKGPYGAPAQNYQKYDILLLIGLGIGATPFISILKDMLNNLKPGIPRAGQKYEGSVGGESLGGSSVNGGSSVNGGSSVNGGGSVSGGGRKFPQRAYFYWVTREQASFEWFKGVMDDIAVYDKTNVIEMHNYLTSMYEAGDARSALIAMVQKLQHAKNGVDIVSESRIRTHFARPNWRKVFSELSSKHETSRIGVFYCGSPTLVRPLKSLCQEFSLESSTRFTFHKENF >Al_scaffold_0005_1365 pep chromosome:v.1.0:5:12300113:12300885:-1 gene:Al_scaffold_0005_1365 transcript:Al_scaffold_0005_1365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMT4] MVQNLTRSLADNMGLPLKNSAVCQLFYHGRILLEVYSLIETHVGSLCCSHRRDLTCPIDHLRPQHIYVYTFDLFVQSKALDGGAIDMLRRSGVEVHISQPPTKTKKKSNANHKGFPDYELGKAMHSWALERNPSPVLLISSDSRLRTDVSHIKSLRFEIILFHTKKAPKDLKDLCTKYALWFNGVAELT >Al_scaffold_0005_1385 pep chromosome:v.1.0:5:12380354:12380760:-1 gene:Al_scaffold_0005_1385 transcript:Al_scaffold_0005_1385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMV4] MAAVCEFLSSCGEVARVMVLPSCARISLLGEGCIEKAIKLSGCNVGGMSLVVSLVVLVKRNRSTNKTGYMTLGSRTNVTDFMTPSHKKKIEMEKKKKMEMEMEK >Al_scaffold_0005_1386 pep chromosome:v.1.0:5:12382170:12382670:-1 gene:Al_scaffold_0005_1386 transcript:Al_scaffold_0005_1386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMV5] MRAIHMRLSSSFRPILLLDFVVSCSPPRRQFSIPRRLICAAANGGGRSGSIVAAPLVVTEEDFQKKIDVNPPKGTRDFPPEDMRLRNWLFNHFKETFQEKLLSLYFALKDRSKVSKFSLIPYA >Al_scaffold_0005_1387 pep chromosome:v.1.0:5:12384474:12385363:-1 gene:Al_scaffold_0005_1387 transcript:Al_scaffold_0005_1387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMV6] MDESAIKGLKSLKLNESDANRGPISVEGYATSLHADYESGTLKRVAFMRIEGENANEKALELSGTDVGGWTAIVKAAPWQKEVMDPWCPASAAAARPKEHSVNVTGYDTSLPEIDIEMAVCEFLSSCGEVARIMVLPSHARVSIIGEGCVDKALQLSGCNVGGITLEVKPVVLVKINRSTDKTG >Al_scaffold_0005_1393 pep chromosome:v.1.0:5:12413729:12413977:1 gene:Al_scaffold_0005_1393 transcript:Al_scaffold_0005_1393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LMW2] KQNPFVVSFYVEKDEKNPLLEKIYYNTLHEYCSGRNLAKHIERNRGKLPEDDVKSFASEILVGLKYLHEEKIIHCDIKLTCV >Al_scaffold_0005_1394 pep chromosome:v.1.0:5:12415351:12417041:1 gene:Al_scaffold_0005_1394 transcript:Al_scaffold_0005_1394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMW3] MSTSTSSDRLGQWEDDNSNRPHKKFKYSRTRSETNVIDDDADSSNRSITRKPESDSWVVTRYLGNVSGSYGSVYVAVRTTDGDEDDLPYEMVIKSSQLSEAAYLMNEENYLTRLKSPFIVSCYGHEMTEEKNEKKKYFNTIHEYCPGQSLAKHVKRHEGGLPVVDVKRYASDILFGLKCIHEDEIIHRDIKPKNILLTPSGCGFKAKISGLGNAIEKWWIEDGGSWNHRSGTARFMSPELIRNMVLDYGADVWAFGCTVLEMLTGERFLSEFGKLDWEGWETLIGKSGIVPYIPDYLSDKAKDFLTKCLERDPSKRWSVDSLIKHEFLNDEEAEEEEEEEEEACEEIGYAELLANS >Al_scaffold_0005_1397 pep chromosome:v.1.0:5:12445173:12446371:-1 gene:Al_scaffold_0005_1397 transcript:Al_scaffold_0005_1397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJM0] MDSYPYSHGSGFVNLLTSQQEVHNLEANPYDDVPVFPSQADSPSQAAHKPKDRQVASLATREAKRQVDKKGTC >Al_scaffold_0005_1404 pep chromosome:v.1.0:5:12470444:12472129:-1 gene:Al_scaffold_0005_1404 transcript:Al_scaffold_0005_1404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMX8] MAFAQSFYNQSSVFRINVMVVDDDHVFLQILARMLEKNKYIDPSVMEITVITVDDPKKALSTLEIQRDNIDLIITDYYMPGMNGVQLKKKITEKFGNLPVLVMSSDTNKEEESLSGGAMGFITKPIKPSDLTKIYQFALTSKRNSKSTLSTEHNHKDTDVIVPQQIMLLPEQADVSSKSDSRSVTVNSTNGKKTSDGIF >Al_scaffold_0005_1405 pep chromosome:v.1.0:5:12474865:12476005:-1 gene:Al_scaffold_0005_1405 transcript:Al_scaffold_0005_1405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMX9] MTLHKCMVLNVEQLRAQPIPHSSRGPDEFLWRCGDDDFRPEFSTTHTWNQIRTHHPVISWSSIIWFGQSIPRCGFIAWLAMRTGNLLRQPQDPDWSATVMVLISGSGSYLDDILLKLCFQITIYTIWHERNNRIHNGYHSTMTQVLRRIEKTVRNRITSLDYTKKPRLRRLMQRWFEAGPMLLRKN >Al_scaffold_0005_1406 pep chromosome:v.1.0:5:12477381:12500687:-1 gene:Al_scaffold_0005_1406 transcript:Al_scaffold_0005_1406 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: receptor protein kinase-related (TAIR:AT3G46270.1); Has 38685 Blast hits to 11525 proteins in 945 species: Archae - 63; Bacteria - 11586; Metazoa - 8974; Fungi - 2953; Plants - 5350; Viruses - 724; Other E /.../tes - 9035 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G46240) TAIR;Acc:AT3G46240] MAAALPFLLFFLSVITLSLASVNIDCGTSLPGVDNNNLKWVGDKDFITSGESATVSSTTVEKSLTTLRYFPTGDSNCYSNIPVTKGGKVLVRTMFYYGNYDGKSSTPSFNVVFEGKHRGTVSISSAFEPYLLELIFSPASGETSVCFVRTSSSSNPFVSSIEVSDLDDGMYNELGPGEGRFWLPSDINILVTGIPSAAASIDTSGASNRPPESVLRNSWTGEGLSLYDPTLPSAGVPVYLAMYFSEPLQSSLRSFNIFFGGKQVGRGPVVPVFGKATQVVVRDLVASSSTQLTLWSTASALLPPMINAAELYVISKGTNEGTGGNGSGSGSGSGGSGGGGSGSSGSGSGSGGSSKGGTGGSNEVSPGGSTNGKSSKLPIILGVVSAVAFVLVAYVFIAIILANRRKVRLQALAMPTSTVANMGTGASTLFTQQMENDSNQPTNESDMGEIDDLIGMNQSYVAQ >Al_scaffold_0005_1407 pep chromosome:v.1.0:5:12505095:12506439:-1 gene:Al_scaffold_0005_1407 transcript:Al_scaffold_0005_1407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMY1] MSGLGMNIEKTSMFCSGISEGCLTRLQFLFNLKHVSLPVRDGSLWVAWIRSKYLFTSPLWTLNGKNASYSRIFRKLLQLRPKVLKFFSIKIGNSDSTFFWWDPWTPFGSLYHFLGSDGPTHLGISLFSTVAELRIEDGWSLPNARSEKQVLLHSFISTISISSSNDTLVWAVDGIPYKHFSSKAVWNAVRISKPVNYWAPLVWHKAAIPRHVITSWLFILNRNPTLDRLSSWGYDVELDCLLCGLAHESRNHLFFNCVFSVEVWRLITQRLHISSSPLLWDQILLWLPTTLVSRNKILALLQGWQGAIYEYGEREIDAFMMVSPYLQP >Al_scaffold_0005_1408 pep chromosome:v.1.0:5:12517156:12519882:-1 gene:Al_scaffold_0005_1408 transcript:Al_scaffold_0005_1408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMY2] MAAPALYLLFFLSIITLSLASVNIDCGTSASGIDNNNIRWVGDTDLITTGKSSTVLNNELEKSLSTLRYFPSGKSNCYSKIPLTKGGKVLIRTVFYYGNYDRKSSFPTFDVLFDGKHLGTASILSSFDPYLLEVIFSPASSETSVCFLRTSSSNPFVSSIEIVELDSGMYNELGPGEGLFYQQRIAYGATIGLRSDLHGRFWFPSGSHALYRERRSRATLIDTSGASNQPPEIVLRKSWSGDGLVLGDPTLPSGGVPVYLAMYFSEPIDTLSSRSFNIFLDGKQVNESPIEPVFGETIQVVVKNVVANSTTELEFRSTASSFYPPLINAVELYVISTGTSVGGGGGGGFIEEKNSKLPIILGVVAAVALLLIAFVVIIIILANRHKARMKALAMPTSTVANMETGSSPQSEEQMGHNLNQPANEAGQERSTT >Al_scaffold_0005_1409 pep chromosome:v.1.0:5:12527714:12530292:-1 gene:Al_scaffold_0005_1409 transcript:Al_scaffold_0005_1409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMY4] MAAASLFLLFFISIITLSLASVNIDCGTSLPRLDKNNIKWVGDKDFITSGESSTVLSTTVEKYLTTLRYFPTGDSNCYSNIPVTKGGKFLVRTMFYYGNYDGKSSSPTFSVLFEGKHRGTVSISSAFEPYLLELIFSPASEETSVCFVRTSSSSNPFVSSIEVFDLENGMYKYNELGPGEGLFYQQRRAYGTTEIIRSDLQGRFWLPLEINILLTGVPSTAASIDISGASNKPPESVLRNSWTGEGLSLVDPTLPSEGVPVYLAMYFSEPLELSVRSFNILYGGKQVGKGPIVPVYGKATQVVVRDVVASSSSELVFQSTPSALLPPIINALEIYVISTGTSGSGGGNKSGSGSGGGAGSGGGGSKSGGSGGSGGTNNGGTGGSNDVSSGGSASEGKNSKLPTILGSVSAVVFALIAYVFIAVILANRRKARLQALAIPTSVAHMGTGASPLFGQQMGNDSNQSTYESDMGDINDLIGVDQSYVTQ >Al_scaffold_0005_1410 pep chromosome:v.1.0:5:12536310:12538948:-1 gene:Al_scaffold_0005_1410 transcript:Al_scaffold_0005_1410 gene_biotype:protein_coding transcript_biotype:protein_coding description:receptor protein kinase-related [Source:Projected from Arabidopsis thaliana (AT3G46270) TAIR;Acc:AT3G46270] MESRHRLLLLACISNLAIIHLVQAQDQNGISIDCGTTGSYVDSNNVTWVGDNGFVTTGESINITDVTTKPINTLRYFPTGQTNCYTNIPATKDQKTLVRTKFYYENYDDKFSPPSFDIVYDGKHRDSIEITESLLNDEDTFYFSEVIFAPANENISVCLLRTSPSDNPFISSIEVYSFDAGMYEDVGPEEGLILYERITYGAKKLISYPSDPYGRLWSPSGSEDNTALTDLTTSAPSIDITGASNKPPEIVMSKALSGDGLIISGLPLPSTAVLVYLALYFSEPQSLGRTQKRSFNIFLDNMQVGSHPIVPVFGKATQLVLRDVEATSESQIVFKSTDDSVLPTIINGLELYSISNNQHGGSGGQSGGGGGGQSGGGNNGGSKVGKKKNNLPLILGVTFASVFVVFWSAFVVNILRKRQNAKPESNTAPSTSTEHGIGTGESPLFGQQTASDTNDSYVVQDEYRN >Al_scaffold_0005_1411 pep chromosome:v.1.0:5:12552622:12554510:-1 gene:Al_scaffold_0005_1411 transcript:Al_scaffold_0005_1411 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase-related [Source:Projected from Arabidopsis thaliana (AT3G46260) TAIR;Acc:AT3G46260] MESGILLLAFISTLVIVHQFHIIQAQEGISIDCGTTGSYVDSNNVTWVGDNGFVTTGESINITDVVNKPINTLRYFPTGQTNCYTNIPATKGRNTLVRTKFYYKNYDENYSPPSFDVVYDGKHRDSIAITADSLFSDEESFHYSEVIFAPANENISVCLVRTSPSDNPFISSIEVYRFDAGMYDDLGPEEGLILYKRNAYGATKLISYPLDPYSRLWFPKGSQDYPGLIDLTTSATSIDITGALNKPPEIVMTKAMSGDGFTMSGLNLPSSTPLPVYLALYFSEPQSLGRTQKQSFTVFLDGMQVGSHPIVPVFGKATQVVLRDVMASSESQLVFRSTDDSGLPTIISGLEVYSISNYKDRGSGGGGQSGSSNNGQFLLDLCI >Al_scaffold_0005_1412 pep chromosome:v.1.0:5:12556936:12559318:-1 gene:Al_scaffold_0005_1412 transcript:Al_scaffold_0005_1412 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase-related [Source:Projected from Arabidopsis thaliana (AT3G46280) TAIR;Acc:AT3G46280] MAWLLLLVITLFSLFLSLTESISIDCGTTGSYVDSNNVTWVGDNGFVTTGKPMNNTDVVTKPINTLRYFPTGQTNCYTNIPVTKGRKNLVRTKYYYENYDGNYSPPSFDVIYDGKHRDSIEITESSVNDEERFYFSELIFAPANENISVCFFRTSPSHNPFISSIEVYSFDTGMYADLGPNEGLILQERYTYGAEESISYPLDPYGRLWLPSGSEYSLSLTDLKTSAPSIDITGVSNKPPEIVMSKALSGDSLILSNMGLIPLTGLPVYLALYFSEPQSLGRTQRRSFNVFLDDTKVGSRPIVPVFGKATQVILRDVVATSGSQIVFQSTDDSVLPPIINGLELYSISNSRDGGGGGGSKPQANNAVGGGAKNNGGKKKKNKLPLILGLTFTFAFVIFSSAVGATFLRKRQIVKLQSNTTQTTSAGSGLGTGMSPLVEQQFASDANDSYIVQDERH >Al_scaffold_0005_1413 pep chromosome:v.1.0:5:12564471:12564749:-1 gene:Al_scaffold_0005_1413 transcript:Al_scaffold_0005_1413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMZ0] AQFQLSFLSHHYQAQQQFWAQLISKEQNHLHLLPLNCLLPPILKILHQRQHHLCLITMNHLHRLLLLPPNPIRPLHRRLLIPLLRVSNLRLE >Al_scaffold_0005_1418 pep chromosome:v.1.0:5:12581230:12590089:-1 gene:Al_scaffold_0005_1418 transcript:Al_scaffold_0005_1418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G46330) TAIR;Acc:AT3G46330] MKSLCWLLLSLFWFGVFPILRFAEGQNQEGFISLDCGLPLNEPPYTESETGIQFSSDENFIQSGKTGRIPKNLESDNLKQYATVRYFPDGIRNCYDLRVEEGRNYLIRATFFYGNFDGLNVSPEFDMHIGPNKWTTIDLQIVPDGTVKEIIHIPRSNSLQICIVKTGATTPMISALELRPLASDTYIAKSGSLKYYFRMYLNNATVILRYPKDVYDRSWVPYSQQEWTQISTTANVSNKNHYDPPQAALKMAATPTNLDAPLMMVWRLENPDDQIYLYMHFAEIQVLKANDTREFDIVLNGEKINTIGVSPKYLEIMTWLTTNPRQCNRGICRIQLIKTQRSTLPPLLNAFEVYSVLQLLQSQTNEIEVVAMKNIRTTYGLSRISWQGDPCVPKQFLWDGLNCNITDISAPPRIISLNLSSSGLSGTIVHDFQNLTHLESLDLSNNTLSGTVPEFLASMKSLLVINLSGNKLSGAIPQALRDREREGLKLNVVGNKELCLSGTCIDKQKKKIPVTIVAPVTSIAAIVVVLILLFVFKKKISSRNKHEPWIKTKKKRFTYPEVLKMTKNLQRPLGEGGFGVVYHGDLNGSEQVAVKLLSQTSAQGYKEFKAEVELLLRVHHINLVSLVGYCDEQDHFALIYEYMSNGDLHQHLSGKHGGSVLNWGTRLQIAIETALGLEYLHTGCKPAMVHRDVKSTNILLDEEFKAKIADFGLSRSFQVGGDQSRVSTVVAGTLGYLDPEYYLTSELSEKSDVYSFGILLLEIITNQRVIEQTREKPNIAEWVTFLINKGDTSQIVDPKLHGNYDTHSVWRTLEVAMSCANPSSAKRPNMSQVIINLKECLASENARASRIQNMDSGHSSDQLSVTVTFDTDDVKPKAR >Al_scaffold_0005_1419 pep chromosome:v.1.0:5:12591806:12598366:1 gene:Al_scaffold_0005_1419 transcript:Al_scaffold_0005_1419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G46340) TAIR;Acc:AT3G46340] MESPHAVLLVVLIATFAISNLVQAEDQEGFISLDCGLAPNEVSPYIEPFTGLRFSSDSSFIQSGKIGRVDKSLEATTLKSYMTLRYFPDGKRNCYNLIVKQGTTYLMRATALYGNYDGLNNSPKFDLYIGANLWTTLDTGISLTGVAKEIIYITRSNSLDVCLVKTDTSTPFLSLLELRPLDNDTYLTSSGSLKKFSRYYLSNSESIIAYPDDVKDRIWESRFESEWKQISTTLKPNNSIGGYFVPQNVLMTAAIPANDSAPFSFTEELDSPTDEIYVYLHFSEVQSLQANESREFDILWSGEVVYEGFSPNYLNITTIKTNTPLTCEDGKCNLGLRRTKNSTLPPFLNAIEFYTVVKFPQLETNGTDVVAIKDIKATYELNRNTWQGDPCVPQKFRWDGLDCNSLDTLTLPRITSLNLSSTGLKGNIAAGIQNLTHLEKLDLSNNNLTGGIPEFLANMKSLTFINLSNNNLNGSIPQALLKREKEGLKLSVDEKTRCFPGSCVTTTKKKFPVMIVALVSSAVVVIVVVLVLIFVFKKKKPSNLEALPPSSNTPRENVTSTSISDTSIETKRKRFSYSEVLEMTKNLQRPLGEGGFGVVYHGDIMGSSQQVAVKLLSQSSTQGYKEFKAEVELLLRVHHINLVSLVGYCDERDHLALIYEYMSNKDLKHHLSGKHGGSVLKWNTRLQIAVDAALGLEYLHIGCRPSMVHRDVKSTNILLDEQFTAKIADFGLSRSFQLGDESQVSTVVAGTPGYLDPEYYRTGRLAEMSDVYSFGIVLLEIITNQRVIDPAREKSHITDWTAFMLNRGDITRIMDPNLHGDYNSRSVWRALELAMMCANPSSEKRPNMSQVVIELKECLRSENKTEGMDSHSSYEQSMSFDTKAVPSAR >Al_scaffold_0005_1420 pep chromosome:v.1.0:5:12615189:12619633:1 gene:Al_scaffold_0005_1420 transcript:Al_scaffold_0005_1420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G46350) TAIR;Acc:AT3G46350] MDSFHGILLVAVVTFAIIHFVQAQDEGFISLDCGLSPNEPSPYTESATGLQYTSDSNFIQTGKIGRIQRNLEANYLKPQMTVRYFPDGIRNCYNITVMQGTNYLIRARAIYGNYDSLNIYPKFDLYIGPNFWATIDIGKYVNGTREEINYIPKSNILDLCLVKTDDTTPFISTFEIRPLPNDSYITTSGPLKMFSRYYLTDSEDYLRYPVDVYDRIWNSYTETDWKQISTSLTVNTSNSFRLPQDALKTAATPVNASAPLIDIEYPDSSNDKVYIYLHFAEVQVLKANETREFEISVNGESLDDSYRPLYLQSETVQTPSPIICEDKECVVKLTKSGKSTHPPLLNAVEGFAVVDFLQSESDENDVIAIKNIRAVYGVNKVSWQGDPCVPRQFLWDGLNCSSTDKSTPSRITSLNLSSSGLTGTIDAGIQNLTHLEKLDLSNNSLTGAIPEFLANMKSLLIINLSKNNLNDSIPQALLNREKEGLKLIVDGHGINQCLPGSCAPKKNFPVMIVALVATAVAVIIVVVMILVCVLRKKKTSSHVEANTPSVITPRANFTHTSMSETSIETKERRFSHTEVIQMTNKFERALGEGGFGIVYHGYINGSQQVAVKVLSESSSQGYKHFKAEVELLLRVHHINLVNLVGYCDERGHLALIYEYMSNGDLKEHLSGKRGGPLNWSTRLRIAADAALGLEYLHTGCQPSMVHRDVKCTNILLGEQFSGKIADFGLSRSFQLGDESHVSTVVAGTPGYLDPEYYRTGRLAETSDVYSFGIVLLEIITNQRVIDQTRKKSHITEWTAFMLNRGDITRIMDPNLHGDYNSRSVWRALELAMLCANPSSENRPSMSQVVIELKECLTSEKSMKGKNQDTDSHSSFEMSMSFDAKAVPSAR >Al_scaffold_0005_1423 pep chromosome:v.1.0:5:12626526:12628634:-1 gene:Al_scaffold_0005_1423 transcript:Al_scaffold_0005_1423 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein [Source:Projected from Arabidopsis thaliana (AT3G46450) TAIR;Acc:AT3G46450] MGDNSGRSRNSVMATVSSGKELLPKRSLVAAIPRAVQQTIYKHVSLGNNGRIGSGTVRNAAVFLLKIAVLEVVRRVSKARCPHLWNSLQALQCLCYPPLKWIQRWAPFKELIKAMQSQLLCVIVMQMLSRPLLVLTIAEALTDQSELKQEASGGTTSHTSSESQSDPQTSQSPSDIRIEDEAPLSVTSQDWLRQLYEELEKQRLSLPERLNEDELHRFYRVSNGDFTSLLSSIKKTIHWRETYRILSEEELETWSSLVFWHGYDKNQRPCLIVRLGLAFLKLPSHERPRFAQAIISQVEHGVLHLLTPENSELTVLVDCEGLSPLRIPMQMMRSCSSILQDHFPNRLGCLFIIRLPPVVRVISQTFIQILRPTTRKKLRIEGETFHRVLSEYLQTLPSYLGGNCNCKRCSNLSEQDPQQPQTHPRSKRRSLSETEKLDDSHWSYNVQTPDLAYEDEPSLNICSQVLRTAVVFLLMTWLFGALLAGFADPESRPF >Al_scaffold_0005_1425 pep chromosome:v.1.0:5:12630717:12634363:1 gene:Al_scaffold_0005_1425 transcript:Al_scaffold_0005_1425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN02] MRAGDFFFFFLFCITWEVPSTKLSDEGGVDEQRTRLLRLMDQRYKGIAHDVGQSEILGRIHVALIKIGNNFYPCSFVVLDSPNMEFLFGMDMLRKHQRKTSLPDFWMKNVFRMMHLALEQRPGTECGAVNASNGYISAHVYGVKEALLEDPEDEKKTSGEAEARPVSYSYSFFHIICALASMYGAMLLSGWTDSSKNATLIDVGWTSV >Al_scaffold_0005_1428 pep chromosome:v.1.0:5:12651523:12653334:-1 gene:Al_scaffold_0005_1428 transcript:Al_scaffold_0005_1428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN05] MWSKKHCLRMMESLPHDAVELILERLPANSLLRFKAVSKQWNSTIQCQLFQERHLRQRQQLGDPDVLMVSLRREDVINPDIESLTTLVLGSSSSPVKIHTPWEKENTDYLVSHSSCDGLVCLYNPHHSGFVVNPTTRWYRPLPVCELQQLIIDLRDSFYLLGYGLYKLGFGKDKFTGTYKPVWLYNSLEIGLENATTCEVFDFTTNAWRYVTPAAPYRVVHFPDPVYVDGSLHWFTDCQETKVVSFDLHTEAFQVISKAPFAKNENPFDIVMCNLDNRLCVSQQKWPNQVIWSFHSNNKTWEKMYSIDVTVSLVGKHICALPPLALLGGKKKKKKKLLFYSPNPKKVVGEWVIERNESYKVY >Al_scaffold_0005_1429 pep chromosome:v.1.0:5:12660190:12660423:-1 gene:Al_scaffold_0005_1429 transcript:Al_scaffold_0005_1429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN06] MIDYYIPPLPPVNHCTTTLTLSELGLARANFVNSNEASEQALCAVAVTESSPSALPAPRDRWFDRSLLPSDLSYGFK >Al_scaffold_0005_1435 pep chromosome:v.1.0:5:12676963:12677430:-1 gene:Al_scaffold_0005_1435 transcript:Al_scaffold_0005_1435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN12] METIVSEISIHMPPLQKKTCRICFDEAEHMFTIALCGHEFCTECVERHIELTFTSCANLLMPKLREMWERRIKEESVPMADRVYCPNPRCSASMSKTGDGTMRCCFECSEPAKLRGIVTCLATITRDWVQILEKML >Al_scaffold_0005_144 pep chromosome:v.1.0:5:828349:830372:1 gene:Al_scaffold_0005_144 transcript:Al_scaffold_0005_144 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutathione S-transferase zeta 1 [Source:Projected from Arabidopsis thaliana (AT2G02390) TAIR;Acc:AT2G02390] MANSGAEKLKLYSYWRSSCAHRVRIALALKGLEYEYIPVNLIKGDQFDPVYRFDLQDFKKINPMGTVPALVDGDVVINDSFAIIMYLDEKYPEPPLLPRDIHKRAVNYQAMSIVLSGIQPHQNLAVIRYIEEKINAEEKTAWVNNAITKGFTALEKLLVNCAGKHATGDEIYLADLFLAPQIHGAINRFQINMEPYPTLAKCYESYNELPVFQNALPEKQPDAPSTI >Al_scaffold_0005_1441 pep chromosome:v.1.0:5:12695633:12696983:-1 gene:Al_scaffold_0005_1441 transcript:Al_scaffold_0005_1441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G46570) TAIR;Acc:AT3G46570] MLGSDVVPQLFFLILTLTLPFSAAYHVGVNYGTVANNLPPPHQVVNFIKTKTNINHVKIFDTNPDILAAFSGSTEISLTVTIPNSDILSLSKLPNARSWLSNHLLPFLPTTSISYIAVGNEVIATSDKTLITHLLPAMESLTLALHLANVTGILVSTPHSLGILSGSSEPPSSGKFRNGYDKAIFTPILDFHNRTKSPFMVNPYPYFGSGPETLNYALFNSNDYVFVDPVTKMNYTNMFDAQLDAVYSAMKRIGYGDVDIVVAETGWPSAGEPNQTGVGLDYAAAYNGNLIKHVNSGKGTPLMPNRVFETYIFSLFNENLKSSVSEQNFGLFKPDFTQVYDVGIMKTNKV >Al_scaffold_0005_1443 pep chromosome:v.1.0:5:12707084:12711411:1 gene:Al_scaffold_0005_1443 transcript:Al_scaffold_0005_1443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN22] MESETFEKSTCEIMRVSPETERKETFEVAKVLVRVDLTKDLPSKVISGFSDGREVEIAVTYPWLPPRCSECKAFGHDYSRCPSRPFVVSSLKRRSNRSRSRQRIRNRSRQGRSPSHQVWQVKGGPEMGQSYDSSVPKVVNEVAVNEVAVNEVAVNEVAVETHSVAEDETRSANQILEWLAVGKYGQATSDAVVFTTQVEKPTSQGVTSQAVGKQIADEQETPFILVSRRRSGRKSVNADRISRAVPVGWNSFGNFDHHSTARIVVCWDPSVSLIVYQASAQLVTCDIFVPSLSVNLTVSFAYGQNLPLERVPLWEEMASLNANTPVNRFPWAVDSELFEAQAKGLPYTWWNNQEDSPASKKIDHALINHAWATAFSESFADFMEPIQSDHAACFFPCPFYAKLCAQALQVLPACCRSSRLSWFCLSCLDSSLHSRKLKLLLRKLNKRHYSGISERVKAQAAKIANIQRLLLTNPDAQAAREEHQERAIWQTLISAEKKFFRQKSRVIWLHLGDRNTTFFHKSVIGRAARNHIHFLSDHNDRRIADITEIKSFDASYFEGILGCTDLPLSPVFVNFLRDLLPFRCSEIQAHDLQKLVSHEEILAVRLSRTVKSMLKLKPLLKDFLQCEIGNGKSVSFWFVNWCGLGPLIDLLGASGPRQLRVHKDALVSHATLDGDWRMPAARSDAQQLFMAKLSSISPPNDLKGDDLFLWKRLSGKVSSKGSLFSICYNRQVDFSSCLLPHLAGAECKNLHQRHHHVFCSASFS >Al_scaffold_0005_1451 pep chromosome:v.1.0:5:12740617:12741027:-1 gene:Al_scaffold_0005_1451 transcript:Al_scaffold_0005_1451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN32] MRAWGVTQPCVFCGEPTESRDHLFFACPYTFTIWFELTSPLLRHKLTPDWSQTLLSLRSTQLKLHDKTLARLAFQASVYLLWRERNGRIHNQCSNSSTTMLKTIDKAIKERISSLKSRKGSNFEELQVRWTELRGG >Al_scaffold_0005_1453 pep chromosome:v.1.0:5:12759536:12760970:-1 gene:Al_scaffold_0005_1453 transcript:Al_scaffold_0005_1453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN34] MKKMEAKRRIVLVPVPLQGHITPMMQLGKALYLKGLSITVVEGQFNRVSSPSQHFPGFQFVTIPESLPESELERLGAFHFVLKINKTSEASFKDCIRQLLRQQGNDIACIIYDEFMYFCGAAATELKLPNVILCTQSATNHVSRCVYSKLNAEKFLVDMEDPELRDKVVDNLHPLRYKDLLPSDFGPLEPVLEFRREVVNKRTASALILNTTRCLESLSLSWLQQELGIRVYSLGPLHITASAPGSSLVEEDMSCIEWLNNQKPRSVIYVSVGTIHLMEAKEVLEMAWGLCNSNQPFLWVIRSGSIHGFNGIESLPEEVGKMALERGYIVKQAPQIEVLGHPAVGGFWSHCGWNSTLESIVEGVPMICRPFDGEQKLNAKFIETVWSVGILLEGEVERGEVERAVKRLIVDDEGAGMRERALVLKEKLKASVRSGGSSYNALDELVKQLKIE >Al_scaffold_0005_1454 pep chromosome:v.1.0:5:12761440:12763152:1 gene:Al_scaffold_0005_1454 transcript:Al_scaffold_0005_1454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN35] MWSTVAEMRLSSSRRSSEPPQVWSSPSMERGIKAPAARLFRQCTVKYPAIDWLVRRMLESCRFCLVSLVGSFGFSVRFWFKTGTTKAGDPKFSDDAPLGVDAIGTRYLPWLYYDGNGTNRKTRTRCKIESRRDSPVNDSGRSKRVNKYLKKMERLYLRYGSTNLPLRDAVFFFSLEKIPLSTEIFREEIPHIEVLASGDSRKMLGLATYCEAYYRISRVIQEVIVIFLVMRFIVILFVDVS >Al_scaffold_0005_1457 pep chromosome:v.1.0:5:12766340:12767759:-1 gene:Al_scaffold_0005_1457 transcript:Al_scaffold_0005_1457 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G46680) TAIR;Acc:AT3G46680] MEAKRRIVLVPVPAQGHVTPMMQLGKALNLKGFSITVVEGQFNKVSSSSQNFPGFEFVTIPKSLPESVLERLGPIEFLIELNKTSEASFKDCIAQLLLQQGNDIACIIYDEFMYFSGAAAKEFKIPSFIFSTSSAINQVSRCVLSKLSAEKFLVDMEDPEVQEKLVENLHPLRYKDLPTSGVGPLDRLFELCREIVNKRTASAVIINTVKCLESSPLTRLQHELGIPVYALGPLHITVSAASGLLEEDRSCIEWLNKQKPRSVIYISLGSIVQMETKEVLEMAWGLSNSNQPFLWVIRPGSIAGSEWIESLPEEVNRVLGHLAVGGFWSHCGWNSTLESIVEGVPMICRPFDGEQKLNVLSLESIWRIGFQVQSEVERGGVERAVKRLIVEEDGAKMRERALFLKENLKAAVRSGGSSYNALEEIVNYLKRE >Al_scaffold_0005_1460 pep chromosome:v.1.0:5:12775261:12776691:-1 gene:Al_scaffold_0005_1460 transcript:Al_scaffold_0005_1460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN41] MEKRAEKKRIVLVPLPLQGHITPMMQLGQALNSKGFSITVFLGEFNRVSSSKHFPGFQFITIPEILPVAEVEAIGPVEFLIKLNKTSEANFKDCVSQMLIQQGNDIACIIYDDLMYFCGAAANEFKIPSIIFCTTSATHKVCNYVLSKLNAEKFLIDMEDPDLQNKVVENLHPVSFKDLPIGGFEPLERFLVLCREIITKRSACGAIINTVSCLESSSLTLLQQEFGIPVYPLGPLHITAKETSSLLEEDRSCIEWLNKQKPRSVIYISMGSIFDIETKEVLEMANGLCDSNQPFLWVIRPGSKPLPEEVSKMVSEKGFIVKWAPQNAHPAVGGFWSHCGWNSTLESIAEGVPMICRPFNGEQKLNALYIESVWRIGILLQGEVERGGVERAVKRLIMDEEGASMRERALVLKEKFNYSVRSGGSSYNALNELVNYLETEGKDAKTSGSNY >Al_scaffold_0005_1470 pep chromosome:v.1.0:5:12812872:12819937:1 gene:Al_scaffold_0005_1470 transcript:Al_scaffold_0005_1470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN49] MARVTESLCFWMTMLSVLVVSLVLAQNGHRFVHYDFRNADLYIDGTASTEDGRLRLTDSSKRSTGHAFHQKPIHFRNISSSFSTEFVFAIIPKQRDSNGQGMAFVVSPTIDLRYGASGSYLGIFNKTSDNQTKNHILAVEFDTNPSSEAININSIVSVKSENASYYDDTTRRNITLLLASKQRIHVWIDYDAEKRLLVVTIAPLNTAKPSSPLLSLPIDLSKIFKEQMYFGFSGSTGVIRSHQYILGWALAIGEKAQSLDISKILDLPQPAPSSPALTKAQVITISIISVLVFLMLPSGILYHYFRKKRKGELIGHMPNGNLSEYLLKGDGLSWLQRLHIIKGVASALCYLHEGWGQVVLHRDIKASNVLLDANLNAKLGDFGLARFHDRGMALETRRVIGTTGYMAPELMIMGVATTWTDVYAFGALMLEVICGRKPVDPNRPKHLKYILPIWCFCSMILSDLYFVFQMLLRDY >Al_scaffold_0005_1473 pep chromosome:v.1.0:5:12829609:12831688:-1 gene:Al_scaffold_0005_1473 transcript:Al_scaffold_0005_1473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN53] MEKVVLRVHDHPLLPFDRFYYDRCDGCSLKGYFYGGYRCNELACYAVFHKECAESKPEISHHAHPKHLLKLGQRRGRCHLCERPVGVGYFCSICDFGMHLVCAKIPPLSLQPQLSTIENYKVHEHPLSPSQTAWLAEPGNCKGCNYIIGIGDKAPYYECHRCNLYIHSTCLELFFTTDAHHNSHLKHPLKYIKSGPPSYADHRCLLCGRQFQDQLEELQLHQKYELYHCEVCNFSIFMDVRCGSIFEPFVHESHPHPLYYKFYTFLADAKSKCDVYFSYHMPGPLSAEVNSYKMVPVVGSYKVVPNTSTYRSFCSRCHTRCKLPCILEASEDGVDVYFCSNDCYKNPWESSYY >Al_scaffold_0005_1474 pep chromosome:v.1.0:5:12838996:12840516:-1 gene:Al_scaffold_0005_1474 transcript:Al_scaffold_0005_1474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LN54] MAQQGQGSMDPAVLDDIIRRLLDYRNPKAGTKQAMLNDSEIRQLCFVSREIFLQQPCLLELAAPVKICGDIHGQYSDLLRLFEYGGFPPAANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVKLWKVFTDTFNCLPVAAVIDEKILCMHGGLSPDLINVEQIKNIERPIDVPDSGLLCDLLWSDPSKDIKGWGMNDRGVSYTFGADKVAEFLIKNDMDLVCRAHQVVEDGYEFFADRQLVTMFSAPNYCGEFDNAGALMSVDESLMCSFQILKPVDRRSRFF >Al_scaffold_0005_1477 pep chromosome:v.1.0:5:12853607:12856710:1 gene:Al_scaffold_0005_1477 transcript:Al_scaffold_0005_1477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:Projected from Arabidopsis thaliana (AT3G46850) TAIR;Acc:AT3G46850] MAKPAVSYCLLSCIFALLLVSFPSPDKDDQDKQVYIVYMGALPARVDYMPMSHHTSILQDVIGESSIKDRLVRNYKRSFNGFAARLTESERAILANMDEVVSVFPSKKLKPQTTTSWNFMGLKEGKRTKRNSLIESDTIIGVIDSGIYPESDSFSGKGFGPPPKKWKGVCEGGENFTCNNKLIGARYYTPELVGFPASAMDNTGHGSHCASTAAGNAVKHVSFYGLGNGTARGGVPAARIAVYKVCDVGVNRCTAEGILAAFDDAIADKVDLITISIGADEVGPFEVDTLAIGAFHAMAEGILTVASAGNNGPERSTVVSIAPWIFTVAASNTNRAFVTKVFLGNGKTIVGRSVNSFDLNGRKYPLVYGKSASSSCDAAAARFCSPGCLDSKRVKGKIVLCDSPQNPEEAQAMGAVASIVSSRSEDVTSIFSFPVSLLSEDDYNIVLSYMNSTKNPKAAVLRSETIFNQRAPVVASYSSRGPNPIIHDILKPDITAPGSEILAAYSPYAPPSVSDTRHVKYAVLSGTSMSCPHVAGVAAYLKTFHPRWSPSMIQSAIMTTAWPMNASTSPFNELAEFSYGAGHVDPIAVIHPGLVYEANKSDHIAFLCGLNYTGKKLRLISGDSSSCTKEQTKSLPRNLNYPSMTAQVSAAKPLKVTFRRTVTNVGRPNATYKAKVVGSKLKVKVIPDVLSFWSLYEKKSFTVTVSGAVPKAKKLVSAQLIWSDGVHFVRSPIVVYAKN >Al_scaffold_0005_148 pep chromosome:v.1.0:5:844808:846085:-1 gene:Al_scaffold_0005_148 transcript:Al_scaffold_0005_148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ30] MGDEIHFCRLAGFYPTQLYYIVAVSVLPTFFILPEFDFVDSYLFWKPSEEYLDEEEEALDLPFFIFVLFVDTTLPLSSPLLAFSSPEHCSFSSTAYSDLLSTSDMPSSAIQDEALEGLYAR >Al_scaffold_0005_1488 pep chromosome:v.1.0:5:12882751:12883920:1 gene:Al_scaffold_0005_1488 transcript:Al_scaffold_0005_1488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LN71] MNPQPINRLITDDHEDNDPANISMKTTPGLRVFKPIIPDIPKRDPKIYLDDAWTKLKPAIRTIFLDEPQDYHCSEIFNAVHKAWWSKSSGETLYKLILEECEIYISAAIQYFESHCDDDPSVFLPLMENCCLEFRRKLQDLCSIAYEGHTVGLKSLWDLGIELFPKHLCLASKVRDKLLSINLNLIRDQRLGKAVDTTQLKNLWVLLHGPWFYKSGFFEKPFMDCAVEFYSAESLQFKEQSDIPHYLKHVEQMLRKEKENCRHLYFFRGFKKSLMEAVERILLRDHVSVILEK >Al_scaffold_0005_1489 pep chromosome:v.1.0:5:12884431:12885936:1 gene:Al_scaffold_0005_1489 transcript:Al_scaffold_0005_1489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN72] MCFEAASQLEKSSSTSTSPSPYKGRNFHWVFLGCPGVGKGTYASRLSSLLGVPHIATGDLVREELSSSGLLSSQLKELVNHGKLVPDEFIISLLSKRLQAGKEKGESGYILDGFPRTVTQADTLEGVTNIDLVINLKLREEALLAKCLGRRICSECGANYNVACIDIKGDDDTDDTEEVIKERLRIYNKMTQPVEEFYKKRGKLLEFELPGGIPESWPRLLRALHLENDKQSAIA >Al_scaffold_0005_1490 pep chromosome:v.1.0:5:12886296:12891336:-1 gene:Al_scaffold_0005_1490 transcript:Al_scaffold_0005_1490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN73] MIADAVSKSDHKRDAYGFSVRPQHVQRYREYVNIYKEEEEERSARWNNFLEDHAEFGVSNGSSENNHVNPSESDKKIEEESNKGAERKDLNTDKPGSDLNPGNAREEDEVPNAEKNVHKVLLWAEIRPSLQAIEDLMSVRVKIKGDSTNAEQEAQKLNSLPSTDETKSSKGVSENDSEDEFYEVERSDPIQDGSSDSTSVSSMSAAADATSLISACPWKDELEVLVHGGAPMALRGELWQAFAGVKKRRVKNYYQNLLAADSLGNDIEQEHMQHADEKGSSTDPIAVVEKWKGQIEKDLPRTFPGHPALDDDFRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWSLIGIIDDYFHDYYSEEMIESQVDQRVLEELLRERFPKLVHHLDFLGVQVACVTGPWFLSIFINMLPWESVLRVWDVLLFEGNRVMLFRTALALVEFYGPALVTTKDTGDAVTLLQSMTGSTFDSSQLVFTACMGYQNVHESRLQELRSKHRPAVIAAFEERLKGLQAWRDSKGPATKLHNSKQDPNSVLASKASSSNGSLSRSESGSSYADDVFISLTGDGEIDCFQDLQGQLEIALMEMVKQDNRRQLNAKIEQLEQEVTKLRRLVSDKREQEGANYDTDHLKFMKVLMRMEQEHKVTEDARRLAEQDAAAQRHAAEVLQEKYEEAVAALDEMEKRAVMAESMLEATLQYQSGQVKAQPSPRSVSQAYIKTLHIKNLRSKLMTRSHQQKDKTQTS >Al_scaffold_0005_1498 pep chromosome:v.1.0:5:12938870:12940306:1 gene:Al_scaffold_0005_1498 transcript:Al_scaffold_0005_1498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN80] MNLNSLSEICILHTIVFHRALGLICPKDIDLELFEITYVQCSEIEVENKIDEKIEQFINWIEKHPNKKSQMENLFAPPPLGPELASGKWKVHIYMGILQKKVSQESKCGEYQENENSGNIKLASALEVLLEACRDIQFHENENGGNINHATAINNVLHQVYQVTRQDEVCITEATI >Al_scaffold_0005_1500 pep chromosome:v.1.0:5:12950034:12950288:-1 gene:Al_scaffold_0005_1500 transcript:Al_scaffold_0005_1500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN82] MVTNALGERLWTAENSETMKIWIYSGTTLFYQPEGRKRLPMRQEREKGRQTVAPSPDAARRVVSSFQMTNVVWMLSGLRKWYSA >Al_scaffold_0005_1503 pep chromosome:v.1.0:5:12963044:12967580:-1 gene:Al_scaffold_0005_1503 transcript:Al_scaffold_0005_1503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN84] MSSKVASGIEQLLGQRIAADVRMSYEGGGDGGTAGADDVGGSGSSRRKMPKEIGRDRICEKFLETTGISLPWDSFKSKYDTLRNKWTSYKIHNNPVHCMNMLRMHPEAFKNLCTTLEQRYNLCSTDHISIDEMVAIFLVTCSQNDTQRYVGLSFGRSQETIYRKFHAVLDAVESLACEYLKTPTPASLKHYPRKLQEDSRYWPFFSGFVGDLDGTHVKVMVGGSDAVGYWDRHGQTSLNIVAICDLNMIFKYAWLGAAGSTHDSLVLQYAMDGDPIFPKPPIDKELNRLEEEEATTDGQYMNNIRDEIANMLWNFLPHNFYNLQSPNLLLLHSPTVAAHNHLHLQAPTPPSSPPPSVTPPPPPKVDLGNLDEEEEAEIQWLAANRDKLEQQVLYEASWVVNRKNLSWQ >Al_scaffold_0005_1504 pep chromosome:v.1.0:5:12976510:12978275:1 gene:Al_scaffold_0005_1504 transcript:Al_scaffold_0005_1504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN85] MSLPPKKHLMTDGDSSSSKKKGKYQDAGDPGGYLGFESALANPPHMSSLKIDGDLSSSKQKNDEDRSLSLPANISMKSTPGLRVFKPIIPDIPKPDPKIYLDDAWTKLKPAIRTIFLDEAQDFHCSEIFNAVRKAWWSKSSGETLYKLILEECEIYISAAIQSLESHCDDDPSVFLPRIENCCLEFRRKLQDLCSIAYEGHTVGLKSLSDLGIELFPKHLCLASKVRDKLLSINLDLIRDQRLGKAVDTTQLKNLWVLLHGPWFYKSRFFEKPFMDCAVEFYSAESLQFKEQSDIPQYLKHVEQMLRKEKENCRHFYFFSGFKKSLMEAVERILLRDHVSVILEKGFVKFMDERSHDDLSRMYRLFSKMDLLGQLNDALNSYILQTGQKMLKEDSSLAELKKSIDKICHTCFSEDALLEKTAKQCFKDLGLPREESETESLQIKRIRFDLLGRLHYV >Al_scaffold_0005_151 pep chromosome:v.1.0:5:857972:859320:1 gene:Al_scaffold_0005_151 transcript:Al_scaffold_0005_151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ34] MDQSLKKVIEGEINIEWTIVSPRSKLEFLVQSFPLAASNQSMGESRLNHRNQVKGRFPQEAILKKETIPSEKYVVHEKKLKHLKDDLITICGIQREKHCVKHVLEQTVLMCGHCWKEKAEKLQASTKGIGISRLLSGPIAEDNGNDSQTESTDATAVARTS >Al_scaffold_0005_1530 pep chromosome:v.1.0:5:13093980:13095788:-1 gene:Al_scaffold_0005_1530 transcript:Al_scaffold_0005_1530 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein [Source:Projected from Arabidopsis thaliana (AT3G47170) TAIR;Acc:AT3G47170] MPTRDNSCPFVVEKSEVVLVKPSKPTPDVTLSLSTIDNDPNNEVILDILCVFAPNPYVQDHADYHPASFIQLALSNALVYYYPLAGKLHRLTSDQTLQLDCTEGDGVPLIKATASCSLSSLNYLESGDHLDATYQLVPSHDTLKGCNLGYRPLALQVTKFTCGGMTIGLVHSHTVCDGIGLAQFSQAILELAAGKAQPTVIPVWDRDRLTSNQISSPCKLGNDKKDPKLVDLEKACSSPDTPTEDMVREILNITSEDITKLKNISIEDENLANENEKNMEITTVEALAAYVWRARCRAMKLDPDTITDLVISVGIRSSMEPPLPEGYYGNAFTYASVALTAEELSKTPMSRLVRLIKDAKREALDNGYVWEKLREMENTMKLKLASEEIHGGVFMMLTDWRHLGLDQEVWGGLMNIIPLVPLTLPFMCVLLPASKAVPGKSGGVRVLTTLPRYAMAKFKEEMDALHR >Al_scaffold_0005_1531 pep chromosome:v.1.0:5:13099220:13100731:1 gene:Al_scaffold_0005_1531 transcript:Al_scaffold_0005_1531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNB4] MSSAMDKAMMAMSLEEEDIPFDMPDLPEFSSCERNVLSLVGRTLNPDCQTMKHLIRDMPRKWQKIGRVRGIALSPEKFQFIFNSKHDLDEVLEKGVHTYNEWALAVERLVEHPPDSFLQFIHIWIQIWKLPINFYTTKAISSLADLIGQVKVVEFEPGKPQILPFVRVQVLFDVSRPLRRAKVVNLPHGGTTSVNFEYERIQKRCYECQCLTHERDSCPLFLRKKEAQAEVKGKGTSRVPVVKIPFLKQSDLLFGILEEHQVGINPLTGHQRIAPELIRIERIKKSVKEVESDPIMAKSYLKLEPPLVVAAVSTKSKGIVFSYENQAGAQSSQLAPSSSAPPVPSSFINAVGELNLVDQSRSQSLFDPKDFLCLAQPSQDISTVYRTGYFATSSSGNIQKKSKQRKIPSKFTRKLKQAASLVPMEAVNIEEGLSLGFLVQKRTKSVAAASERPILKLGTEIGQQIKRKAVEELSCPSNSPNPNKKR >Al_scaffold_0005_1532 pep chromosome:v.1.0:5:13103575:13104942:1 gene:Al_scaffold_0005_1532 transcript:Al_scaffold_0005_1532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNB5] MEPWLEDGIMRIPWIKNPIIDLELFVRDLIDFARRDWDHLKLEEHFFPDDIVKIKKRKHVVDLDDFYIWKHNKNGDFSVKSAYWLACEINRVEINSLAEVQPSINILKAQKALSGALGVTDQLRHRGMKLDGRCQVCGKDGESINHVLFECSVARKIWAMSHYPSPENGFDKGAVFSNFHHLLVNRDNKSWPINIRKSFPWILWRIWKNKNLLFFEGKSFDARQSVMKIQEDVDEWFLAQRKDRMVDLNVDTLNSSLGGLIASAAQVHYLPDPLPIWKPPLSGRLKCNVGMSWSTRNNLACSAWVLRDEWGRVLLHSRRSFTNVTNKEQAVFLSVLWAMESLISHRCTKVLFALHDVSLIGLILRPIAWPSFKYESTELMRCLGLFLEWSVVHELPLANRGAYLLAQSVTAECRLNSYVASSYPSWLYGLFEHERLASSV >Al_scaffold_0005_1534 pep chromosome:v.1.0:5:13107395:13109502:-1 gene:Al_scaffold_0005_1534 transcript:Al_scaffold_0005_1534 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47190) TAIR;Acc:AT3G47190] MSNSESYPPEFRPLMSEPTEPETSIEPRPGLDRSKDIDIPVIDMEHLDMEKLREACKDWGIFHLENTGIPLTLMSQVKEMTESVLSLPFEEKRTLFGVNSPLSYYWGTHTVSPSGKAVTRAPQESSGHLFEGINIPLASLSRLLALSCSDPKLESFRVVMEEYGKHVTRIIVTLFEAITKTLSLELSGDQKMSYLSESTGVIRVQRYPQCTESPGLEAHTDSSVISIINQDDVGGLEFMKDGEWFNVKPLANSFVIGLGDMMQVISDEEYKSVLHKVGKRMKKKERYSIVYFVFPEKDCMFNSTRYKPFKFSEFEAQVKLDVETHGSKIGLSRFLSNP >Al_scaffold_0005_1538 pep chromosome:v.1.0:5:13130202:13130482:1 gene:Al_scaffold_0005_1538 transcript:Al_scaffold_0005_1538 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L29 [Source:UniProtKB/TrEMBL;Acc:D7LNC1] MAKSKNHTAHNQSAKAHKNGIKKPRRHRHTPTRGMDPKFLRNQRYARKHNINGGENASADE >Al_scaffold_0005_1543 pep chromosome:v.1.0:5:13140153:13143014:1 gene:Al_scaffold_0005_1543 transcript:Al_scaffold_0005_1543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNC5] MCATAEEGGEEGGEEGLSKHAKPVLLGPLYIGKYRDTMKRYRISKSRNKTILQKKAKIESWRTFKIVKLACQTRAFLLFCGDTNELDLGFRVLCLSSPSSILSGNPSNLSFNPPLLQTTSCVSGHINGGEAAGCRPFLLHHGFSYLAIDHRLLSTYSVLPVSGMASSSTIFKYPRRIYDEGKSILQHHSMNHNCYLSKIGLIREGLGVDVWDKLKESSLGVFIKLAEAEYTWAAKKVHFILTNQLRVNNLHEIWSLIDGRPIRFSLNEFAHITGLNCGVIEPSEICQADHSELWEAMKSYKK >Al_scaffold_0005_1544 pep chromosome:v.1.0:5:13143894:13147775:-1 gene:Al_scaffold_0005_1544 transcript:Al_scaffold_0005_1544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNC6] MTARGLEFESLYHHGGTEPLQIKTPPYEGKRCILTRRSRLEVEFFLDMRPLCGGETLARESDARRFFSLPTTQKHNPRSASSASPFAALLAGERGGLFLLHLSCLCYFSSSLAPSLSFRFRSVPSSLVGGSQWVPSHGGKESPAMLVRLFFGLRCLRTASAFGFAAPPSDGFLLRSETRWCIALVFPDLLFVGFLLGWAFQWWSRDGGSPLLGLSFFCFPSSRWRCVRFWLLGSFGGRTRFGFSDPILCWVRSGWIAVVVLGLGGQRLPSIFGLVMAVVKVRVMLEWSLLEKNRGGALVNVASLIRGRWFLSLYRNGDRRWFLLLPVDAPVTVGGCRVMEVNRETSYDQCIQMVFEDYGLQKRVCDVVLSYEISKMMSQSLPGDTPPVFISNSRQFHTFLGLLKSNTIRLCVEVKRKNSTHSNEIDNEGVTANKFQRKRGRNENVMEEEEETDLSQELAAAVEVDEEDEEIRFDYCDDSDGTDSDDGTDFWDSTRRKGKT >Al_scaffold_0005_1547 pep chromosome:v.1.0:5:13159053:13161604:1 gene:Al_scaffold_0005_1547 transcript:Al_scaffold_0005_1547 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytidine/deoxycytidylate deaminase family protein [Source:Projected from Arabidopsis thaliana (AT3G47390) TAIR;Acc:AT3G47390] MALSFRICSSPLICRATLSNGDNGRNHHAIDAAFIRRAADLAEMSAGLTSPHPNFGCVIATSSGKVAGEGYLYAQGTKPAEALAVEAAGEFSRGSTAYLNMEPGDCHGDHTAVSALVQAGIDRVVVGIRHPLQHLRGSAIRELRSQGIEVNVLGEDFESKVLEEARKSCLLVNAPLIHRACSRVPFSVLKYAMTLDGKIAASSGHAAWISSKLSRTRVFELRGGSDAVIVGGNTVRQDDPRLTARHGQGHTPTRIVMTQSLDLPEKANLWDVAEVSTIVVTQRGARKSFQKFLASKGVEVVEFDMLNPREVMEYFHLRGYLSILWECGGTLAASAISSSVIHKVVAFVAPKIIGGSKAPSPVGDLGMVEMTQALNLIDVCYEQVGPDMLVSGFLQPIPDLLPVIPSEDATVEIDPSVDPFEPSIIFFYKTWDLYGKNDDYMTWSSVEHYYQANKFVGVENPMAHDCVEKIRTARSPEEAALIGRSTLRQKPELVRNDWEDVKIEVMYKALKCKFSTYPHLKSILLSTVGSVLVEASPHDLFWGGGREGEGLNYLGRLLMQLRSEYLGESSVSAENTSSA >Al_scaffold_0005_1549 pep chromosome:v.1.0:5:13166067:13166711:-1 gene:Al_scaffold_0005_1549 transcript:Al_scaffold_0005_1549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LND1] MMAKINSVLEKKLEELESLLEVVTSNYDDPNIRKLQLGILFATTLLTAETSSRRKDVEGEEDVEELFKLRCMANRLSEMEAFITNLLPGHGNGSFIKPVESLETNDGNGETGVDYSLVESCLNESKAEEEEEEEEDLEAEQRPLFQDATSEEVTFPAVATVKEEVVVREVEEKGCVGFRALVCIGLIGLFGCVMSLVGYIGDCMEEDQFLLTPT >Al_scaffold_0005_1550 pep chromosome:v.1.0:5:13175333:13178217:-1 gene:Al_scaffold_0005_1550 transcript:Al_scaffold_0005_1550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LND2] MTMHGRNKRKERSSPEPQTQPPSENSSSSCLSADNLSPPSTMTYLLPPGFKFMPSDEQVIFCYLKPYLDGNKNVLLDVPIHLVNIYESNPQQLSVEFCKGNDKEWFIITERNKVDQGLSKTKRVGNGGTTRQKRGDTKGGYWHATVGAQEINAGQGVVGYKTAFAYYVRKQSADFKTDWLMLEYSLHHTCHNNDKDYTLCKIYLTPQATKKKKEVEAQNKKQKKGQGVISVAPVEALEEQQPLNVEYPQPHQSQALLDSYQPQPHDIAFPQPQFSQCPLDSQQPQPHDLAYKQPHTPLLLLDSLEGLVSFENEYQQEQQSHMMMMQDSRSRMAMTSWRNDESTQEDLLDMLKDDRFFSMDELFNDVDKASPNNFEA >Al_scaffold_0005_156 pep chromosome:v.1.0:5:910647:912712:-1 gene:Al_scaffold_0005_156 transcript:Al_scaffold_0005_156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G02500) TAIR;Acc:AT2G02500] MAMLQANLTSPTFLCPKLVVKSISVSLGFSYRSQVQKLDFLKRVNRRYKRDGLLLSIKCSSSTGFDNSNAVVKEKSVSVILLAGGQGKRMKMSMPKQYIPLLGQPIALYSFFTFSRMPEVKEIVVVCDPFFRDIFEEYEESIDVDLRFAIPGKERQDSVYSGLQEVDVNSELVCIHDSARPLVNSEDVEKVLKDGSAVGAAVLGVPAKATIKEVNSESLVVKTLDRKTLWEMQTPQVIKPELLKRGFELVKREGLEVTDDVSIVEYLKHPVFVTQGSYTNIKVTTPDDLLLAERILSEDS >Al_scaffold_0005_1561 pep chromosome:v.1.0:5:13235187:13236782:-1 gene:Al_scaffold_0005_1561 transcript:Al_scaffold_0005_1561 gene_biotype:protein_coding transcript_biotype:protein_coding description:cycling DOF factor 3 [Source:Projected from Arabidopsis thaliana (AT3G47500) TAIR;Acc:AT3G47500] MMMESRDPAIKLFGMKIPLTAVFESSVTVEDEEEDDWSGGDDKSPDKVALEISDKNNKNCNNNSFNNSNDSKPETVDKEEATSADQIESSESPEDNQQTTADGKTLKKPTKILPCPRCKSMDTKFCYYNNYNINQPRHFCKACQRYWTAGGTMRNVPVGAGRRKNKSSSSHYRHITISEALEAARLDPGLQANTRVLSFGLEAHQQHAAPMTPVMKLQGDQKVSTGARNGFHGLADQRLVARVENGDDCSSGSSVTTSNNHSVDESRAQNGRVVDPQMNNNNNNMNGYACIPGVPWPYTWNPAMPPPGFYPPPGYPMPFYPYWTIPMLSPHQSSSSMSQKGPNPNPPTLGKHSRDEESAKKDNETERKQRNGCVLVPKTLRIDDPNEAAKSSIWTTLGIKNEAMCKAGGMFKGFDQKTKMNNNDKAENSPVLSANPAALSRSHNFHEQI >Al_scaffold_0005_1567 pep chromosome:v.1.0:5:13284529:13285743:-1 gene:Al_scaffold_0005_1567 transcript:Al_scaffold_0005_1567 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47560) TAIR;Acc:AT3G47560] MDSSQGSTQQKIVIPNSHNEKLVGMLHETGSTEIVVLCHGFRSNKNFVIMKNVAVAIEKEGISAFRFDFSGNGESEGSFCYGNYNYEADDLHSVIQYFSNLNRVVTIILGHSKGGDVVLLYASKYHDIPNVINLSGRYDLKKGIGERLGENFVERIKKQGYIDVKDGIVIALWFSCTVSIRTLMCLSMYCWFVTGDSGYRVTEESLMDRLNTDMHEACLKIDKECRVLTVHGSADETVPVEDAKEFAKIIPNHELKIVEGADHCYTKYQSQLVSTVMEFINTVIVKNN >Al_scaffold_0005_1570 pep chromosome:v.1.0:5:13331581:13332865:1 gene:Al_scaffold_0005_1570 transcript:Al_scaffold_0005_1570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LRD7] MQPPKLCPDMESDDLGQDISTLAPNIIKSKARPHFAFFCGSHSKKQYFIDHGDIIRSKSSRYVFFFLIIIFINYPKWAGLGFYKNNPVTKGSRTESCRRNEKKLDPVVSPEAECRSTNSGGIQAPRLCGGTERSKPTTKEPDFRHRSFSDEPPNPLRSSRNAQHPTSDIDVLKFHNKC >Al_scaffold_0005_1586 pep chromosome:v.1.0:5:13463541:13465783:-1 gene:Al_scaffold_0005_1586 transcript:Al_scaffold_0005_1586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LRF4] MENNLGSTSKTSATTKEFPKLIFGNGKELEVEKINNSCRLSILRKIKDALPLEYKKVKSDPLFAQVFAIYENDTWKDDKGFWSKLLKREEKICIKTMMSTHLGAVHKWGKVDRIRFVYLCVIAGLVIAKDEKKAIPVHYIKLVMDLEKLRAYPWGLHSFDYLVESITNAKKDLKKTKSYALDGFSIALQIWAMEAVPKIGGILGVNLNKNLGASLRCSNWKGAAKVSYQEIIELESGMTAEDIVYPYISITGNYDVYESVDFLRQGENSDVALKNLNALIRTGYDFSDFEWDAVESPDVEEETIDEDLVEDGYVEGQGEIHTNDKEEANVTVEGSLLSGLQSNEHEMATSSKKRRSKDGDHGAQTRKMKLLCQRAPAAPHGFDEEMKTFIREMFETSFKHFGQEVGNKLGKIELDVATLKKAVITMDENSKKDKAPPFGNGQPVDDNCLFHELFTRPGEIDLNMDSQDPDFLQKEMGHLSQKSQAPGFDPSQGIFSQNLEKQQRKDDTAEFMDWNDKGDSGKYDADAVLVYLPEARWTAFEAWFRNVK >Al_scaffold_0005_1590 pep chromosome:v.1.0:5:13481903:13483273:1 gene:Al_scaffold_0005_1590 transcript:Al_scaffold_0005_1590 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47830) TAIR;Acc:AT3G47830] MSKAQKRKRLNQDDGESKTPAIKSTVDGSNPYPTLLRPTAEECREVRDALLSLHGFPPEFANYRRQRLRSLSAVDGHDTQCTMKSEPLDEAEEESVLDGLVKILLSQNTTESNSQRAFASLKAAFPNWEDVLAAESKSIESAIRCGGLAPKKAVCIKNILNRLQTERGVLCLEYLRGLSVEEVKTELSHFKGIGPKTVSCVLMFNLQHNDFPVDTHVFEIAKALGWVPKTADRNKTYVHLNRRIPDELKFDLNCLLYTHGKLCSNCKKTVAKPKAKARVASPDECPLVGFSDLV >Al_scaffold_0005_1592 pep chromosome:v.1.0:5:13485337:13486557:-1 gene:Al_scaffold_0005_1592 transcript:Al_scaffold_0005_1592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LRG0] MGIVTRAGDWSFKAFTAGLGLATIYLTGTFSFNVYRGLSWHNAQSKLEIEESEEQPE >Al_scaffold_0005_1600 pep chromosome:v.1.0:5:13521696:13528374:-1 gene:Al_scaffold_0005_1600 transcript:Al_scaffold_0005_1600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase-related protein [Source:Projected from Arabidopsis thaliana (AT3G47910) TAIR;Acc:AT3G47910] MGQKKKTSARSKQSPSSAAVTTVAVTEVEPSEITACSFNDGGFSGPDANVEPLDSAVVKLECEKALKSFGRGSYNKAIRLIKDSCSRHQDSALIHRVQGTICVKVAAVYEDLATKHKHLRNAIESARKAVELSPNSIEFGHFYANLLYEAANDGKREYEEVVQECHRALSIEYPIDPARESLQDETQLKILTPEARIAHFQDELRSLIQKSNIYSLSTWMQNLGNGEEKFRLIPLRRMAEDPIESNLIQTRRPNEIKKATKTLEERRKEVEVRVAAHRLLQQKSESSPSENVEAVNNKGSDPTLGAGPRSGERRKHGNARKNGSTADRRDRVRSYWDSLSKEMKKEFVRVKFSDLKSHFSASKDGQAYEILSEALSFCEANKTWRFWACCRCSENFIEPEAHMHHIVQEHMGNVLPKMQMVLPQIVDTERINMLFNSPWKPLDLSAAVKLLLSQQKIQKSEFNEFHSGDNMDDGDDCFKDAWNDTTPEKENLGDACNGWNENESEEGKLSIAFPPPDGWPISDDPERAKLLEKIRAAFELLIRHKYLAASHHDKVIQFTVDELQNLPSVSQFLNRGLNKSPLCICFLGASHLKKILKFLQDLSQACGLSRCSEQSNPNDEINFGDLGREVTEEILLDGEDSCLLLDEKLFGTECIQEKYMGSAVNNANGNDVSSGADGFLSWIFAGPSSGEQVVSWMCTKEEKTNQGLEIMQHLEKEFYHLQNLCERKCEHLSYEGALQTVEDLCLEEGRKRETSAEFTHESYESVLRKRRDDLNDNDLELVFISSRFELDAITNVLKDAETLNHNQFGYEESYGCTSSQLRDLESGEADEWGMKDSLHEADSFIEIAIQKQKEQLSAELSKIDAQMMRTVTGMQQLELKLGPVSFNDYQIVLLPLVKSYMRAHLEALAERDATEKSDAAREALLVELALDSKKEARGRNDNSKNMLEKSKDKKKIKDTRKLKDLKATIGNDHRFNTDSFEHSPLTVASVGDYSEADVVSEAVEAIKEQEEEYKRQRELEEEERKLEKTLEYQRRIEDEAKEKHMAEQQKKYSSSVPINVAKAVYNACTDNVVDYLDLQGHGKSINQEKRNGRLDNLEGARVNTNGVFPSTNYSAISDSAKVQDVKSQKVVPNGTAMQAGMFQSDQRTGRRGRRQKASNKLVDGKYPVTPPETENSKSQWSGTDAERHSETLRNNGDVGTKTLRQLQAEDDEEERFQADLKRALLRSHLEFSAYLVCNHFGVTKALDMKHLTPEHFFFNPDVYQGGRNMASCLRTPLEVNNDGGLSEDTIESRSSTGIAIFGTGLQNEVGEYNCFLNVIIQSLWNLELFRAEFLQSSTREHHHVGDPCVVCSLYEIFAALSAASSETQNEPVAPSSLRIALSNLYPDSSFFQEAQMNDASEVLAVIFDCLHRSFAQSSSVSDVDSSDSNCTGSWDCANRTCIAHSLFGMDIFEQLNCYSCGLESRHMKYTSFFHNINASALRTMKVTCTENSFDELLNLVEMNHQLACDSETGGCGKLNHIHHILTTPPHVFTTVLGWQNTCETVEDIAATLAALNTEIDISIMYRGLDPKSTYSLVSVVCYYGQHYHCFAHSREHDQWIMYDDKTVKVIGSWSDVLSMCERGHLQPQVLLYEKR >Al_scaffold_0005_1603 pep chromosome:v.1.0:5:13535432:13536075:1 gene:Al_scaffold_0005_1603 transcript:Al_scaffold_0005_1603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LRH2] MQVATLIAVYANWSFAAIEGIGWGWAGVIWLYNIIFYVPLDFIKFFICYTLSGRAWDLVIEQRVAFTRQKDFGKEQRELQWAHAQRTLHGLQAPDTKMFTDRTHVCELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIETIQQAYTV >Al_scaffold_0005_1605 pep chromosome:v.1.0:5:13548598:13549260:-1 gene:Al_scaffold_0005_1605 transcript:Al_scaffold_0005_1605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LRH4] MSPELKTEARILLVTGGRESVALDPVVSRSTALRQRRRIENPVGRNQFPTMHLTVDSPLPLQTSQKTRLSNTPALRRHILTKQYVALGPNPSVPDPNTFKSPEHKTFNPPSKIRDLQLTTRRNNTSHLNPSEFRRSRSDREDGRAARRLPGKPSSVLNRKATAPELLNHSNRSHNYLERGRNHTPLSEKELAHP >Al_scaffold_0005_1615 pep chromosome:v.1.0:5:13598561:13600028:1 gene:Al_scaffold_0005_1615 transcript:Al_scaffold_0005_1615 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48070) TAIR;Acc:AT3G48070] MISDSITNASATSAPSARDFGKKKRTNRSAKLKQSKLGLRREQWLSQVAMINKGDKEEVDSNRRIGREKLDQRDRPVENLDGQEENNNGTHRHESFIESLSNSPNSILSGMNSIPNFSSSSSSGSGGSCSGNITEEEDADDDGCLDDWEAIADALAADDEKHEKQNPPESCEEHEDIKQLACPRDLIGGSDVVAKADCPRTMSRKQKSNQAWRADDKLRPQGLPNLEKQRSFPVMNLHFNSVTVPSSCPICYEDLDLTDSNFLPCPCGFRLCLFCHKTICDGDGRCPGCRKPYERNTIKVEASVQGGGLTIRLARSSSMFCRVVCEYIRWYTNFKYIKSCNKSLSHSLREPCLSIIF >Al_scaffold_0005_162 pep chromosome:v.1.0:5:936581:937385:-1 gene:Al_scaffold_0005_162 transcript:Al_scaffold_0005_162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ46] MVTEAEIRVLDVKCHISAAKDQKNFHIDEVRVSESVCAEISGSAETPRFRSKYPLKWIMAYISESTSEFIATIRSGSFADIRSQETMEDEHICIDDLSAHLGSFNLSVPSAFYGVFDGHGGPEAALFMKENLTRLFFQDVVFSEMPSIVVRSALKLSTQLCSIYRA >Al_scaffold_0005_1620 pep chromosome:v.1.0:5:13620609:13623018:1 gene:Al_scaffold_0005_1620 transcript:Al_scaffold_0005_1620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LRJ0] MEEEKAAAYYDELTRKGEGAARFKQGLGFSTGANGVPERGSAIASSSSFLNQFVKATKSTDNKDSEIRSIRDKLKKNKPEDQQHHRAPESRYRESSERRRYRSRERDERDKSHRRRSRSSERRSRYGDRERRRSRSRSVERRNRYGDRESRRSNRSSPRREDVKEKKIDYSRLINGYDELSAAEKVKAKMKLQLDETAEQDTSKGAGWERFEFDKDAPVDDEEVEEGTDDDAALVKRMGQSFRFSAIEAKREEQLKAAHDEAMFGAPAGQTPTNNTDDNVTDTTYVKDDEGESNSGAISLLSEKVIAKQQGSWRDRARKS >Al_scaffold_0005_1626 pep chromosome:v.1.0:5:13643480:13643737:-1 gene:Al_scaffold_0005_1626 transcript:Al_scaffold_0005_1626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LRJ7] MFVSSSTCAYEIQKGNQASRSDPSLLQSDLHLENHQIKCVFIISFFSFCVSFSFDYLLYFYLLLFFFLPHISFFDRCFGLTDLDL >Al_scaffold_0005_1633 pep chromosome:v.1.0:5:13702946:13704921:1 gene:Al_scaffold_0005_1633 transcript:Al_scaffold_0005_1633 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Kinetochore-Ndc80 complex, subunit Spc25 (InterPro:IPR013255); Has 194 Blast hits to 194 proteins in 72 species: Archae - 0; Bacteria - 4; Metazoa - 72; Fungi - 39; Plants - 62; Viruses - 0; Other Eukaryotes - 17 (source: /.../BLink). [Source:Projected from Arabidopsis thaliana (AT3G48210) TAIR;Acc:AT3G48210] MEQISNNAGGDTTKKTMASLGLICEKDIDKQRHKIDSFIASPFRRSKNSLVERAQATAQNQVELVNLKANLREAEDELVKVLAVKTRKEARQMGIRDSISATQSRIEVLRRTLQLQKSKKEDSVRIISQQLQALSTSKDNADKVTEDKTDIHEAISWYNQALGFHVEAGHGVKFTFTNIDAKRPTREFSLTVHYGNDIYTLLDCDLQLEDINDMVQELNKTNDLFRFVRLMRVKFLKSTLSELPTHSEHLQQETSVISASAPAISFSTDTNMSTPENKRSKVQVNRRQKRASESPLLSPVSASATRRSSRLKGKK >Al_scaffold_0005_1635 pep chromosome:v.1.0:5:13716759:13718518:1 gene:Al_scaffold_0005_1635 transcript:Al_scaffold_0005_1635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LRK6] MINKTEKIRVWQVWNQNGTSCPEQTIPIRHSMVRLKRFRKNHWTDVRVNRRTVPYAADEGHEYARDWRGGISAGNIWNSGNYERVEVESIYKGHIRKSGCLNLECSGGLQVSSQFAFGGVFSPPSSYGGNQYDITMFIWKDTNDGNWWLGIDSYFIGYWP >Al_scaffold_0005_1636 pep chromosome:v.1.0:5:13719844:13721742:-1 gene:Al_scaffold_0005_1636 transcript:Al_scaffold_0005_1636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:D7LRK7] MENTASLVTGPDGRKRRVSYFFEPEIGQPTDPFPSHMAHKLVLTYGLDRHMEISRPYLAGVFDFTQFHSPEYIQFLASLTPMNLKDPSVSLNKSRFFDLDVNRHTNSTIFNGLFDYCRASAGGSLSAAVKLNRREADIAINWAGGMHRAKRDRASGFSFVNDVVLAIAELLKVFKRVLYIDIGFRHGDAVEEAFYNTDRVMTVSFHTYTDTPFPRDGDRRELYSLKAPLKNGLKDKSLRNLFRPVINKAMQVYQPEVVVLQCGADSLAGDFTFNLKVKGHGACLEYIRSFNVPLMVLGGGGSTFRHVARCWCYETAIAVGVGEQLEEELKVNEFHYKPNFQPDFNTARDIEIIRNGLLRQLSQLIHVPSVQFQDTPPISEATEPAEVDMEKRQVIQNLRRSKL >Al_scaffold_0005_1639 pep chromosome:v.1.0:5:13728069:13730338:1 gene:Al_scaffold_0005_1639 transcript:Al_scaffold_0005_1639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LRL0] MEFDDEEDKPKEVTKTRRFAPGKSKPKPLDSAVTLSKIEHHVVDAKLPKVEPEVYTGSVKMEIGSKLNKEPETTEPELMEVDEIPLQEEEEEEDEDVVVEVDLSIDIHSRNYDSEFGTKLNMTKQTSLTTTWKQPPTLGYAIGVLSGDKLHLNPVHAVAQLRPSFQCFSSKKKQPEAPEESVRTSEKLNKGVHASTDQKPIPEQNWVTLKYHGLQSEFFSKYLNGMMANGNSSIDFNMNSVLTSLPIEERVKKLLCQGPQLFQYSVLKYYAPELSDEDFLRVVQQYAWLVQGLWTPKSELLKLEEAVEDSRNYVLMLFSKGSTINYSDIEATGHLREKMETMLTVFAKERPLLCDWKFKERTDVSFIKSYPEIAKEQASSFLESYGREAYVKDNPRRRKK >Al_scaffold_0005_1640 pep chromosome:v.1.0:5:13731785:13734008:-1 gene:Al_scaffold_0005_1640 transcript:Al_scaffold_0005_1640 gene_biotype:protein_coding transcript_biotype:protein_coding description:with no lysine (K) kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G48260) TAIR;Acc:AT3G48260] MRQDDNNSEEEFVEIDPTGRYGRYKEVLGKGAFKEVYRAFDQLEGIEVAWNQVKLDDKFCSSEDLDRLYSEVHLLKTLKHKSIIKFYTSWIDHQHMTINLITEVFTSGNLRQYRKKHKCVDLRALKKWSMQILEGLVYLHSHDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILHRARSAHSVIGTPEFMAPELYEEDYNVLVDIYAFGMCLLELVTFEYPYSECTNAAQIYRKVTSGVKPASLLNVTDPQVRTFIEKCIAKVSQRLSAKELLDDPFLKCYNEKTETVNSHKENGYNGNGIVDTLSDSEVGLLTVEGQRKDLNTIFLKLRITDSKGQIRNIHFPFNIETDTSFSVAIEMVEELDLTDDQDISTIVKMIDTEIHSHIPDWIPSRLIGDDSAVHKCLSSPETLHLDRFPSGRKFWSSPKAGAGDSRSPFAPRSNSKLSSSPINQDVGVIVEKLESLLRKQREEIEEMQRDQERIVSEFLKEFPPEICEEALVRLQVKDSDSLLC >Al_scaffold_0005_1643 pep chromosome:v.1.0:5:13745614:13747141:1 gene:Al_scaffold_0005_1643 transcript:Al_scaffold_0005_1643 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 71, subfamily A, polypeptide 23 [Source:Projected from Arabidopsis thaliana (AT3G48300) TAIR;Acc:AT3G48300] MIMILFLCLVLFIAILFFKKQKTSITFPSPPRLPLIGNLHQLGQHPHRSLCYLSHRYGPLMLLHFGSVPVIVASTAEAARDILKTHDSVFASRPRSKIFEKLLYNSRNMASAPYGEYWRQMKSVSVIHLLSNKMVRSFREVREEEITLMMEKIRKSSSKPVNLSKILSSLTNDVICRVALGRKYGVGTDFKELIDRLMRQLGTFTIGSYVPWLAWTDWVSGLEARLEKTANDFDKLLERIVQDHEDGDGDKTDFVDVLLAAQREKSVGLDIDRLSIKAIVLDAFVGGTDTSSTLVEWEMTELLRHPTCLKRLQEEVRTICSGKSSVSEDDIKEMKYLKAVVKEALRLHPPVPLMVPHQSLEDVRLRDNHIPAGTQVIVNLWAVGREAATWGPDANEFRPERHLESSSDFRGQDFDLIPFGAGRRMCPGISFAVVLNEVVLANLVHGFDWQSIEDETDVAESIGSVIRRMYPLYVIATSTT >Al_scaffold_0005_1663 pep chromosome:v.1.0:5:13835765:13836201:-1 gene:Al_scaffold_0005_1663 transcript:Al_scaffold_0005_1663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LRX4] MGDPILSVLSPIQSPTKPSLRSLEFQLGSSSTADPIIPPPTEIVIVISGPSGVCIDAVINKLRQVREEVRHLKNFDYVVVNCLFLVVDTPRMRWGL >Al_scaffold_0005_1664 pep chromosome:v.1.0:5:13836828:13837414:1 gene:Al_scaffold_0005_1664 transcript:Al_scaffold_0005_1664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LRX5] MFGRLRPPPSPPDCLERYPAKIIKDDPLSVYESTLLKLKQGSTRLDTTSSETEGEENLPSSPSHVFSSDTQGDTHDMTLCNGSDAMAIDSCESSKQLKNKNPSVLSMFSKYKNQAQARNMKTETHNDL >Al_scaffold_0005_1677 pep chromosome:v.1.0:5:13894513:13895262:-1 gene:Al_scaffold_0005_1677 transcript:Al_scaffold_0005_1677 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB complex BAF60b domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G48600) TAIR;Acc:AT3G48600] MSPISKILGGCRTLMAKAVTNATATGAGFDPRGEIHKIFPVSESLARFVGQSEISFSTAMEKVEQYTDDHDLWNPENIEEILCDDNLKTIFDGKEKVVGVREMTELLLRHFPNVRNMSAKVKATGGGTIEKWGFNDIVEVSEPLARFVGRSEISFVAALRKLLEYTKDHDLLDEGVTLLEFPWVCFLLSLRSSLHVYALWDWIL >Al_scaffold_0005_1678 pep chromosome:v.1.0:5:13895513:13898107:-1 gene:Al_scaffold_0005_1678 transcript:Al_scaffold_0005_1678 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-specific phospholipase C6 [Source:Projected from Arabidopsis thaliana (AT3G48610) TAIR;Acc:AT3G48610] MKPSSASRFYLSFSHFLILFCLLTQTHVAQGSHQWQSPIKTVVVLVLENRSFDHLLGWMKNSVNPTINGVTGQECNPVPNSTQTICFTSDAEFVDPDPGHSFEAVEQQVFGSGSGQIPSMMGFVEQALSMPGNLSETVMKGFRPEAIPVYAELVKEFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVKKQLAQGYPQKTIFDSLHSNDIDFGIYFQNIPTTLFYRNLRQLKYIFNLHQYDLKFKKDAAKGKLPSLTVIEPRYFDLKGLPANDDHPSHDVANGQKLVKEVYEALRASPQWNETLLVITYDEHGGFYDHVKTPYVGIPNPDGNTGPAPGFFKFDRLGVRVPTIMVSPWIKKGTVVSEAKGPTESSEYEHSSIPATIKKLFNLSSNFLTHRDAWAATFEDVVSHLTTPRTDCPMTLPEVAPMRATEPKEDAALSEFQGEVVQLAAVLNGDHFLSSFPDEVGKKMTVKQAHEYVKGATSRFIRASKEAMKLGADKSAIVDMRSSLTTRPRNL >Al_scaffold_0005_1688 pep chromosome:v.1.0:5:13928493:13929297:-1 gene:Al_scaffold_0005_1688 transcript:Al_scaffold_0005_1688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LRZ9] TTPHCVERYPAKIIKDDPLSVHYSTLLKLKQGSTRLEASSETEGEENLSSSSSSHVFSSDTQLGDTHDAMAIDSCESLKQLKNKNPSVLSMFSRYKNLAQAQNSTTET >Al_scaffold_0005_169 pep chromosome:v.1.0:5:975584:976185:1 gene:Al_scaffold_0005_169 transcript:Al_scaffold_0005_169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ53] MNLIGEFHRGEIDGKPYLLYHKVMKPLPQINFPDEETTIENPLSIQNKTNSSDKVAIDSGDDLPLPPVFVCPASQNTSTIVTENIKLTGEDGDQIPLIFFKLKTSPLFGDNLKGCRHVVLPLFWCNNKEADPENDCRIFRTQKVGTYYYFCVDCDERKSIS >Al_scaffold_0005_1702 pep chromosome:v.1.0:5:14031827:14037264:1 gene:Al_scaffold_0005_1702 transcript:Al_scaffold_0005_1702 gene_biotype:protein_coding transcript_biotype:protein_coding description:polynucleotide adenylyltransferase family protein / RNA recognition motif (RRM)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G48830) TAIR;Acc:AT3G48830] MAVLSLGFFSCRSHFPVLPLFYCFSKVQFNTVAAAIETVDDKDSDHLEKGSDRTSKAPEWKKLNSKDLGITSSMISKPTRLVLNGLKSKGYDVYLVGGCVRDLILKRTPKDFDILTSAELREVVRTFSRCEIVGKRFPICHVHVGNEMIEVSSFSTSAQNSPRNMRTGSGKSNGSYDEDNTRLNNCLQRDFTINGLMFDPYAEVVYDYLGGIEDIKKAKIRTVFHAGTSFQEDCARILRGTRIAARLGFTISKETAHFLKNLSFLVQRLHRGRILMEMNYMLAYGSAEASLRLLWKFGILEILLPIQAAYLVHTGFKRRDKRSNLLLSLFGNLDKLLAPDRPCHSSLWLTILALHKALADQPRYPSVVAAFSLAVHNGGDVLEAVKITRKVTKPHNRSFFELLEPEELDSQTLLDEVMDFDSSIKEALGQMTDGRFISKAMAAYPQAPYSDMVFIPLQLYLDARRIFECVKENGQKGFVPKQDSKREPEDDLETNPILKKHKEKSEETTKGFAEIKGKVELLKTKSDQAPLKAVPSRKKTLSVSQVSCHTNISNIINFFKDVGQVVSVRLDLNREGKRLSSGFVEFASANEAKKVRLVYSLIMKCILLVI >Al_scaffold_0005_1708 pep chromosome:v.1.0:5:14058898:14061003:-1 gene:Al_scaffold_0005_1708 transcript:Al_scaffold_0005_1708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LS21] NYLGLSAQVYYNNTTFHGNIAGFVIQSGDLTSLGKGVNNIWGKDVDNDIVDSLKVSKGFRGL >Al_scaffold_0005_1714 pep chromosome:v.1.0:5:14082368:14084325:1 gene:Al_scaffold_0005_1714 transcript:Al_scaffold_0005_1714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48950) TAIR;Acc:AT3G48950] MIRPMFGILVILAILSFPAIESRSHQNVVSSGIRFSALNCRKHSAILTDFGAVGDGKTSNTNAFKKAISKLSQMATDGGAQLVVPPGKWLTGSFNLTSHFTLFIQRGATILASQDESEWPVIAPLPSYGKGRDGTGTGRFNSLISGTNLTDVVITGNNGTINGQGQYWWDKFKKKQFKLTRPYLIELLFSKNIQISNITLIDSPSWNIHPVYCNNVIVKSVTVLAPVTVPNTDGINPDSCTNTLIEDCYIVSGDDCIAVKSGWDQYGIKFGMPTQQLSIRRLTCISPKSAGVALGSEMSGGIKDVRIEDVTLTNTESAIRIKTAAGRGAYVKDIFARRITMKTMKYVFWMSGNYNSHPDEGFDPKALPEVTNINYRDMTAENVTMSASLDGIHKDPFTGICISNVTIALADKAKKVQWNCTDVAGVTSRVTPEPCSLLPEKKAPAKNEDCAFPSDLIPIESVVLKKCSL >Al_scaffold_0005_1728 pep chromosome:v.1.0:5:14164196:14164670:1 gene:Al_scaffold_0005_1728 transcript:Al_scaffold_0005_1728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LS45] MRSFNTSDRLRKFQPRRLQPRSMLRLLLKRPRSESSSEDDSSSDEDSDDDSEDEESEDTTECLHGAIVVEKMRR >Al_scaffold_0005_1730 pep chromosome:v.1.0:5:14180058:14180333:-1 gene:Al_scaffold_0005_1730 transcript:Al_scaffold_0005_1730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPR1] MRNQIGWKTNPVEKTEKTRSLANEKQSEQKNRATERTRSREKPSSESDLGRDTEPRLKSTPVEVEILAGEARFADERSETRFTVAGVFTLS >Al_scaffold_0005_1732 pep chromosome:v.1.0:5:14197463:14236979:-1 gene:Al_scaffold_0005_1732 transcript:Al_scaffold_0005_1732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LS51] VFDEIPERNVIIINVMIRSYVNNGFYREGIQVFVTMCSCHVKPDHYTFPCVLKACSCSGNIVIGKKIHGSATKVGLSSTLFVGNGLVSMYGKCGFLSEARLVLDEMSRRDVVSWNSLVAGYAQNQRFDDALEVCREMESVKISHDAGTMASLLPAVSNTTTENVMYVKDMFFKMGKKSLVSWNVMIGVYMKNAMPVEAVELYSGMEADGFEPDAVSITSVLPACGDTSALSLGKKIHGYIERKKLIPNLLLENALIDMYAKCGCLDRARDVFENMKSRDVVSWTAMISAYGFSGRGCDAVALFSKMQDSGLVPDSIAFVTTLAACSHAGLLEEGRSCFKLMTDHYKITPRLEHLACMVDLLGRAGKMKEAYKFIQECQWNQTREFGELARCILTQTSQSGYYVLLSNIYAKAGRWEEETNIRNIMKSKGLKKNPGASNVEVNREIHTFLVGDRSHPQSNEIYRELDVLVKKMKICGDCHVAAKLISQITSREIIIRDNNRFHLFRFGVCFLWLYGNISKSIIALLSSRLQIIDWSHPSRSQVIVGFDTMEMIKEMELMGLSDSDFETEDDESGEDDSEDTGEDEYEEVASDDLVDWMDQPSAGLAIQGILSHILVEDYSDIQRKLADNNSTSTNRNKDAENLEEKLADISKACGEESDIDSSQGEKERTVVAFYKLEMIRIQLITPQAGEVEDVRKAQPDVIAHASAGIISRLEESGDKVTEALKSLCWRHNGIQAEEVKLIGVDSLGFDLRLCAGAKIESFRFAFLTRATSEDNAEGRIRELLFP >Al_scaffold_0005_1736 pep chromosome:v.1.0:5:14320295:14322446:1 gene:Al_scaffold_0005_1736 transcript:Al_scaffold_0005_1736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSE8] MALRRTLSLRSLFNARCYQPSYSGIILHDNVHEKKPNYGSPLHQRSFSSSILSQQLRSSSSHLSLCTPFGVSIHHRSMSTSHVPGSDDSCNVSEVAGTPIDSVMENVASQDWSYSYNFDIVKALIETLHSYTGLNWWASIVLATLLIRGVTIPLMIDNERWRSRIMMLGIHSTASMETKDPAALAEHRIEFDKLLKNNSCFQISNMTEEVASFKTGGVLWFTDLTTHDTSLIFPLLTWLTFWIMIEYDATVGLEGAMIPKKLTRIMVIPMFVVAIMVSKGVHCYLMSCMMFSIAYMLVIRRPAVMKHYGIPEVPEYVMTMLFKRIWGKWL >Al_scaffold_0005_1754 pep chromosome:v.1.0:5:14409974:14412215:-1 gene:Al_scaffold_0005_1754 transcript:Al_scaffold_0005_1754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent protein hydrolase [Source:Projected from Arabidopsis thaliana (AT3G49320) TAIR;Acc:AT3G49320] MFVSRGLCCSRIWNRSLFLKRYSNFRASFSTKRIGTHNGTFHCDEALACFILRRSSRFSDAQIIRTRDHQVLEKLDAALDVGGVYDPQSERYDHHQKGFSEVFGLGFNTKLSSAGLVYKHYGLEIISKELQLDQRHPDVFRLFLAVYKNFIEAVDAIDNGIHQYDTDQPPRYVNNTSLGHRIGRLNLDWIEPDQSSEKEDEAFHRAMELAGSEFLECVHFHAKSWLPARSIVMECLAKRYDIDSSGEIMKLSKQCPWKLHIFELEEEMKIDPPIKYVLYQDDRSENWRIQAVSVSPERFESRKALPLSWRGLEMEKLSEESSIPGCVFVHMSGFIGANRTYEGALAMARASLMTS >Al_scaffold_0005_1791 pep chromosome:v.1.0:5:14588449:14588806:1 gene:Al_scaffold_0005_1791 transcript:Al_scaffold_0005_1791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSK9] MDPFSTKRIHPWVSHLPEEVKYEKVVGHGLNAQELARNPRLEYFFVKDLNEDQKFEFEDKSFDAVLCAVGVQYLQQPPADPCYMAMFAPPKV >Al_scaffold_0005_1798 pep chromosome:v.1.0:5:14642391:14643582:1 gene:Al_scaffold_0005_1798 transcript:Al_scaffold_0005_1798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSL7] MSPLRRIIRNATVSKAVPVAKAFKPYPLGRDPSSLPKLKWVSISETDNRSISLRYRVTAMIEMSLLDKAANLSRLAVMDDFRVDRDTHTATNVTSTTLLSSTATFCSTDVYMLLTKALVDAERFDEACDLVSSMSSCSFMVYDILIRGFLDVGNFVKASQIFEELKGLDSKLPGREYHTAIAIFNVSFMNYFFNQGKDEEAMEILATLEEAQVLKPIVGNRVLDVLVWHGKDTEAWELFEEMIEICDSETIDIMSDYFSEKTVPFERLRKTCYRNMIVSLCEHEKVSDAEKLFAEMFTDVDVEDLFVGPDVLTFRAMINGYVKVGRFDDAIMTLNKMRVLYIRKLAIHHAP >Al_scaffold_0005_1799 pep chromosome:v.1.0:5:14644492:14646494:-1 gene:Al_scaffold_0005_1799 transcript:Al_scaffold_0005_1799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:D7LSL8] MDLGTRARDTETAISFLEYKLMAPSVPMVPLTLIEGADSKGAVCLDGTLPGYHLDRGFGSGANSWPIHLEGGGWCNNHRSCVYRKTSPRGSSKFMEKALPFTGILSNKPEENPDFFHWNRIKLRYCDGASFSGDSQDESSQIFYRGQRIWQMAMEEFLSLGMKQANQALLSGCSAGGLASILHCDEYMELLPSSRKVKCLSDAGMFLDAVDVSGGHSLRNMFQGVVTVQNLQKDLSSTCTNHLDPTSCFFPQNLVSDIKTPMFLLNTAYDSWQIQESLAPPTADPDPILPT >Al_scaffold_0005_1802 pep chromosome:v.1.0:5:14658705:14659104:-1 gene:Al_scaffold_0005_1802 transcript:Al_scaffold_0005_1802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7LSM1] MMIMEEEDQNECNSVGSFYVKVNMEGVPIGRKIDLLSLNGYHDLITTLDYMFNASILYQNLLYYVSLRPKLMAIKNCENRAEEEEMCSEKSHVLTYADKEGDWMMVGDVPWE >Al_scaffold_0005_1805 pep chromosome:v.1.0:5:14672644:14673927:1 gene:Al_scaffold_0005_1805 transcript:Al_scaffold_0005_1805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSM6] MSQICRLNLLGRRFFAAMPSRPKEIMSNPDCRPAQLCLRVTYLIRFVGDLDTAAKYARLAVFTSIKSEATAATCQAIIGGMLQNKRHDDAYDLYDFFFNHFKLRPNSHCCNYIIESRFKQGLVDEALGFHNRSIESGMVHDYPSQDTFRVLTKWLVHAGRMDQAEALLRDRTVGRTTYPDHVAYKNLIRGFLDLGDLDKADLVLAEFKRLFSIALSETKDDSNYENRVAFLMATFMEYWFKQGKEVEAMECYNLCVIANKLPVCTETGNALLKVLLKYGEKKHAWALYHELLDKGRTDPDTIKIMIDECFDMGRFSEAWETYNKASAKNHFLSDRYIITRFCQNGMLSEAQLVFYDSLEDDLGYIDVNIFKTLIDAFVKDGFPDDAIKISNKMIDSTLKEVSHLV >Al_scaffold_0005_1806 pep chromosome:v.1.0:5:14680001:14680352:1 gene:Al_scaffold_0005_1806 transcript:Al_scaffold_0005_1806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSM7] MVEGPKRKRGRPRKIPASLESEKNPNPPKRQRTTQSKSSTPAPAATSTFPLSSTAPGPTFAHEGSTTAPRRGRPPGRGRGRPPVRRCSGI >Al_scaffold_0005_1808 pep chromosome:v.1.0:5:14696241:14697397:1 gene:Al_scaffold_0005_1808 transcript:Al_scaffold_0005_1808 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 84 [Source:Projected from Arabidopsis thaliana (AT3G49690) TAIR;Acc:AT3G49690] MGRAPCCDKANVKKGPWSPEEDAKLKSYIEKSGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEENIICSLYLTIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLINKQRKELQEACMEQQEMMVMMKRQQQQQQIQTSFMMRQDQTMFTWPLQHHHDQVPTLFMDKTNSFCDQEDAKPVIKIEDQELERTNPHHHQDSMTNAFDHLSFSQLLLDPNHNHLGSGEGFSMTSILSANANPPLLNTSINDHQWFGNFQAETINLFSGASTSTSADQSTISWEDISSLVYSDSKQFC >Al_scaffold_0005_1815 pep chromosome:v.1.0:5:14722645:14723088:1 gene:Al_scaffold_0005_1815 transcript:Al_scaffold_0005_1815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSX5] MSSQETKLSLSASPQVSSSLTNRGELEIDMPSNVDNKMVVPALLPLPPPSPYPLSSKRLGRSSSLVKSSCIMKWKKTGKRREEEDDDPFLIALRKCSNDTKIDDDDSSLTAMRKCSNDIKMDNDKVVSKRKNSLKFLVSCKAPSVKD >Al_scaffold_0005_1816 pep chromosome:v.1.0:5:14725222:14726358:-1 gene:Al_scaffold_0005_1816 transcript:Al_scaffold_0005_1816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSX6] MIPFTSDSCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNVILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENVME >Al_scaffold_0005_1818 pep chromosome:v.1.0:5:14756440:14759500:-1 gene:Al_scaffold_0005_1818 transcript:Al_scaffold_0005_1818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSX8] MAYFHDVSILRPCITGWHIRVKGSAHDYCLHKSSECSPEYAKHYAEFLKEDRWFSFTAFRVLENSDRVRLTNHHFRMSVFGTTVVLPADPPSTEPRDSFTQFSSIIDGTVDESVLIDLIGVLSDVGELVNVGTNPSDLTGFRLSFRIRGPCGNAIEGLVSGRSAMEFRKHYDLCVSKPLVCIMRLWKVDRYFDGPKNVRIVNQGLISKVLPYPDVPEAAEFCTMSGQKIHAVINKEYEDRRTSKIVEGNWIRAFRPVPHRFKIVWNSGTTIKDIRPLCSAEFFSFVAFEDIKSGSLDPTLCVDLIGRVVRVGNGRESGPPASDWNELFLELENEEGERLQCRLPNEYSNAFFNEWRNCLDNIIICVLRFARLEVTRDFWRATTVYTCTRILLNYRCVEVTRMRDVFYDRREADD >Al_scaffold_0005_1819 pep chromosome:v.1.0:5:14761105:14762739:-1 gene:Al_scaffold_0005_1819 transcript:Al_scaffold_0005_1819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LR55] MEFCITWEVPSTKLSDEGGVDEQRARFGEVLDELISRFVSVYWKQVTAGVSFSRRCTNDMFYFNKTTRDWRRRVVAPSCFTDRVGFCKHVLSWLKYSLRPNILKERTEIGSKARSYSSIYLLFSYIAKGSDVSVDRYGSYPLVFGIDQTVPNFGSGPIFEDSDDE >Al_scaffold_0005_1821 pep chromosome:v.1.0:5:14767003:14767573:1 gene:Al_scaffold_0005_1821 transcript:Al_scaffold_0005_1821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSY1] MKQRKRKFAFRFKFCNPSDSRKQNKDESSVGYLVLIMNKYDDSSMEEVFFFFFLVHGGRCWVRGGIQMSEQDLWLG >Al_scaffold_0005_1822 pep chromosome:v.1.0:5:14769059:14771456:-1 gene:Al_scaffold_0005_1822 transcript:Al_scaffold_0005_1822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSY2] MALEKYPVLVARRNWTAKEKENLTKVLKQQVQYTLIREGLKAPVVEISEPEPALKKKRKARQKKKADAEYNKFVPVPGTWAQQTPLMCKANHNDDSPPFFDSESEEEEEEEEEEEEEEEEESDAPLLNSESEEEEEEEEEEEEDSDDDIVSDLKPGDQLNPLTLHKSLEKFEESLLHATNYVKDTEGLGRSCFNLLEYVESIYDCFHEIDKRWKKVGDSKGFSLRPSTLDELTFDQLQSFVLDLLNNEAALYYDALVDFLEPFPLSAAREKQLEKLRLAINYYGLQLGPASYYTSSEPDYESDDEEDDAEDDA >Al_scaffold_0005_1829 pep chromosome:v.1.0:5:14801024:14802558:-1 gene:Al_scaffold_0005_1829 transcript:Al_scaffold_0005_1829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSY7] MVWVDVWRGRCELVYGKFGPNSMNKQVSFHALMRFRVCQWKLASLFTPSTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >Al_scaffold_0005_1830 pep chromosome:v.1.0:5:14803035:14804514:1 gene:Al_scaffold_0005_1830 transcript:Al_scaffold_0005_1830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSY8] MKGKGIEKDNCFVWLVKAPFRFLIMARDAYIRSITSCSRAGILTGGGSSGFGQRSGNFQICDPPSTVLPRSFTLTSTAHVQELAMRQSLSLDHRRNYRCVVVMGRIDEEISCDDDEFQEEDSFLDYGKCEIFTKKNRKTIV >Al_scaffold_0005_1836 pep chromosome:v.1.0:5:14827076:14828303:1 gene:Al_scaffold_0005_1836 transcript:Al_scaffold_0005_1836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSZ6] MADRERSGGDSSSEDEDPKWKAAINSIATTTVYGASATKPAATKLCEDGDFRIKPKKLTHGQIKVKNLLNEMVEKTLDFVKDPVNVPEDKPENDCGVRLFKRCATGIIFDHVDEIKGPKRKPNLRPDRGAQGNSKEFKKRIKSIAVDGLDILTAATEAAKKASDRLEAKDAAAKAKAKKEEERIAELKKVRGEKWLPSIERAMKKEMKRIKHTAWKSAMS >Al_scaffold_0005_184 pep chromosome:v.1.0:5:1045414:1050822:-1 gene:Al_scaffold_0005_184 transcript:Al_scaffold_0005_184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LQ67] MGDEIVFFDFIAINVERNTLIQQPVQRFMIDTSVARNEIDANVYSRVFEILNGKIWMGYDKNLSDLKNLFNANFHACPIAKYEIDTTKWFAENFDGRIDNMSLEGVSDYFKLDVEKYEKQSSYKVVRDCHLHIQVIKMFPHGDWHCPNCTCKFCRAVVEDVSQTVGAKCLFEGVKKYVGVKHELEARFSWSLVHRECTDSDFILRWTPSYCGKQFQAGHSSLTVMDECFLPIIDRRSGGKYCTKCPLQLFHGNRLAEMQFIGTRHVYRHQGMCRRLFSVVESMSFDVKTLQNLKVELLVIPATADLSHVWISKFGFKYVEDSLKKELRSMNLLAFPGIDVLQKELLAPRHAKSAADTGKEDIKLKKKRMSTPFLYTNGYFVIYCIK >Al_scaffold_0005_1843 pep chromosome:v.1.0:5:14857061:14858432:-1 gene:Al_scaffold_0005_1843 transcript:Al_scaffold_0005_1843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49960) TAIR;Acc:AT3G49960] MARFGIVLVISLCLTISVLPDTTIAQLSRGFYSKTCPNVEQIVRNAVQKKIKQTFVTVPATLRLFFHDCFVNGCDASVMIQSTPTNKAERDHPDNISLAGDGFDVVIQAKKALDANPRCQNKVSCADILTLATRDVVVAAGGPSYEVELGRFDGLVSTASSVEGNLPGPSDNVDKLNALFKKNKLTQEDMIALSAAHTLGFAHCRKVFKRIYNFNGINSVDPSLNKAYAIELQKACPKNVDPRIAINMDPVTPKTFDNTYFKNLQQGKGLFTSDQVLFTDGRSRPTVNAWASNSTAFNRAFVTAMTKLGRVGVKNSRNGNIRRDCGAFN >Al_scaffold_0005_1844 pep chromosome:v.1.0:5:14860736:14862765:-1 gene:Al_scaffold_0005_1844 transcript:Al_scaffold_0005_1844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT3G49970) TAIR;Acc:AT3G49970] MSRKKELLSSAMERTSEWISSQKIPSDVTVHVGETSFSLHKFPLVSKCGFIKKLASKSSNDSNITVIKLPDFPGGAEAFELAIKFCYDISFEINTENIAMLRCAAEYLEMTEEHSVENLVETIEVYLNEVVLKSLSKSVKVLRKSQDLLPIAERVRLVDRCIDSIAYGVCQESQSNEDIVDWWPEDLAVLRIDMFRRVLVAMIARGFKRYSLGPLLMLYTQKALRGLDIFEKEAKKMEAEQEHEKRLILETIVSLLPRERNSVSVSFLSILLRAAIYLETTIACRLDLEKRIGLQLRQAVIDDLLIPSYSFSGDNTMFDVDTVQRILMNYLEFEVEGNSADFASDIGELMESYLAEIASDKNINLAKFISFAECIPKQSRVTEDGMYRAIDIYLKAHPNISEMEKKKVCSLMDYQKISREVYAHAAQNDRLPEILSDSDSPAAETAEETIHPPELSSYNNELSKLNRENQYLKLELLKVKMKFKEFEKEKAFEVMSGSDCSSSVSTASVVKPRLPRKSFISSVSQKLGKLNPFGLKQRQTKQPNSRRHSIS >Al_scaffold_0005_1846 pep chromosome:v.1.0:5:14876814:14877017:-1 gene:Al_scaffold_0005_1846 transcript:Al_scaffold_0005_1846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LT07] FFCFVSFVSFFILSIFYFFHIFFVIYNFFNLNFFCYKFFSSFFSIFFVLYIFFCTFSNFLFNLIIIC >Al_scaffold_0005_1852 pep chromosome:v.1.0:5:14899044:14901227:1 gene:Al_scaffold_0005_1852 transcript:Al_scaffold_0005_1852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LT13] MFLFRFILLGVLHVSSAFSERSSYVVHTAVTTMTSAEKFKWYESSVKSISASGEVLYKYNHAINGFSARLTPEEVELLSGKPGILAVVPEVVYKLETTRTPTFLGLGDNVDGEDLRHNGSASDVIVGVIDSGIWPESKSFNDIGFGPVPISWKGECEEGMNFTASLCNRKLIGARFFLKGFEAEMGPINQSDDFRSPRDSLGHGTHTSSIAAGSAVKEAAFLGYAAGVARGMAPLARIAMYKACWLGGFCVSSDVLAAIDKAMEDNVNILSLSLALNRLDYDKDSIAIGALAATEHGVFVAAAGGNDGPTSSSLANVAPWLTTVGAGTLDRKFPATIILGNGKVFPGESLLFQGNGLPDEMLPIVYHRFGKEVEGSIVLDDLRFYDNEVRQSKNGKEPLGMIYANMVFDGTELVATYAQSPSAVVGKEIGDEIRHYVITESNPTATIKFNGTVIGYKPSPMVAGFSSRGPNSITPEILKPDLIAPGVNILAAWIGVKGPDSEFNIKSGTSMACPHVSGIAALLKAAHPEWSPAAIRSAMMTTAKTSSNDGKPILDSATGKPSTPFAHGAGQVSPVSAFKPGLIYDLTAMDYLHFLCASNYTSSQIKIITRIEFSCDRSKEYRISELNYPSFAVTINRGGGGAYTYTRIVTSVGGAGTYTVKVMSDVKAVNISVEPAVLDFNNVNEKRSYSVIFTVNPSMPSGTNSFGSIEWSDGKHLVRSPVALTWT >Al_scaffold_0005_1853 pep chromosome:v.1.0:5:14901754:14902160:-1 gene:Al_scaffold_0005_1853 transcript:Al_scaffold_0005_1853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LT14] MTSEASIWERSSAALYSSCAFAYSILTDSSSPWTELVLNQRIQTKKSKPNLEENGIITEELKLLHGCRVKRYDGVIIVEASSTTRRFRDFFLSRSQDQPKP >Al_scaffold_0005_1854 pep chromosome:v.1.0:5:14905005:14906448:1 gene:Al_scaffold_0005_1854 transcript:Al_scaffold_0005_1854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LT15] MDYLHFLCASNYTSSQIKIITRIEFSCDRSKEYRISELNYPSFAVTINRGGGGAYTYTRIVTSVGGAGTYTVKVMSDVKAVNISVEPAVLDFNNVNEKRSYSVIFTVNPSMPSGTNSFGSIEWSDGFNDDNSVVSLHPATMEKLQLFRDDTILFKVWFAFLRLDSELNYPSFAVTINRGGGGAYTYTRIVTSVGGAGTYTVKVMSDVKAVNISVEPAVLDFNNVNEKRSYSVIFTVNPSMPSGTNSFGSIEWSDGKHLVRSPVALTWT >Al_scaffold_0005_1855 pep chromosome:v.1.0:5:14911191:14912419:1 gene:Al_scaffold_0005_1855 transcript:Al_scaffold_0005_1855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LT18] MSAVSQQWFQLFFCSLGLEEWTQTPDLVSVDCVPPTRAFLRLGSCPLSVLSDEFFSVYPRRSSPPTTAMPLPTENHSNTPHLRLFFVTLAINQPRRVCRGLLFSTTALSFFSGVPPFSLEENLISACNRRTFAHLRHPPPFNPCSHHLSQHRFSPFSVVKTEDPSPVNLHHLPESSAAARTRALSDEPLRFGIFAVASFLLLNVSGSTPEDFFWCSWSLRCFAVGRWKQNRRVMPVVSAFTINFSASIAEDYLNHNLHRRSLLRRSGQSLDEAAPPVKLSRRQPFNVKLRLGQEKTSISVFLFSGETPFTIYFPPEDPCHLHYLHCGRLYLSAPPVNNKLY >Al_scaffold_0005_1862 pep chromosome:v.1.0:5:14950383:14952310:1 gene:Al_scaffold_0005_1862 transcript:Al_scaffold_0005_1862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G50090) TAIR;Acc:AT3G50090] MENYKTTLQAMQRQANRLMIEKFKQESHDKDTPEQRLIRLTVEHPQYRVDFSFHSHSKDWFVSDVGTKMSNVMISNQMVALDCEMVLCEDGTEGVVRVGAVDRNLKVILDEFVKPHKPVVDYRTTITGVTAEDVIKATLSLVDIQEKLRPFLSSGTILIDHPIVIDTSLVFKYPNSTKRRRPSLNTLCMSVLGYEVQKTGVSHHCVHDAVAAMKLALAVIEKRVDTTITLTKEMVEAEKSRLFLHRIPHYLSSEELKKDLSLKFFPNKFTVDVKPAKTQGGYYCAVVVFGSSLEANQAFENVNGYQETDSSGLPQKLISCSRATFYVRKMA >Al_scaffold_0005_1866 pep chromosome:v.1.0:5:14964405:14966593:-1 gene:Al_scaffold_0005_1866 transcript:Al_scaffold_0005_1866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF247) [Source:Projected from Arabidopsis thaliana (AT3G50130) TAIR;Acc:AT3G50130] MVRILSFTPPPPPPPPPSFRSIPRPPPPPSFRSIPPRRHFFKKKSKSLPPPPPPLPPARPFGPILPWRYSVKRKRNNRLQPSTIQKDMLTWYLLTLMMRQKLHNRNKQPEETRGEWVISIRDKMEQALREDATTSWDKLCIYRVPQYLQENNKKSYFPQTVSLGPFHHGNKHLLPMDRHKWRAVNMVMARTKHDIEMYIDAMKELEDRARACYEGPIDLSSNKFCEMLVLDGCFVLELFRGADEGFSELGYDRNDPVFAMRGSMHSIQRDMVMLENQLPLFVLNRLLEIQLGQRHQTGLVAQLAVRFFDPLMPTDELLTKTDNSLENDKFFNPIADKDKGELHCLDVFRRNLLRPCSKPEPRLSRRRWSWKTRVADKRQQQLIHCVTELREAGIKFRRRKTDRFWDIRFENGYLEIPKLLIHDGTKSLFSNLIAFEQCHIDSSNDITSYIIFMDNLIDSPEDVSYLHYCGIIEHWLGNDYEVADLFNRLCQEVAFDPQNSYLSQLSNKVDRNYSRKWNVLKAILKHKYFNNPWAYFSFFAALVLLFLTLFQSFFTAYPYFYPPS >Al_scaffold_0005_1886 pep chromosome:v.1.0:5:15072850:15074317:-1 gene:Al_scaffold_0005_1886 transcript:Al_scaffold_0005_1886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LT50] MGGGWAHNRYRSSSPEEVHKIKWLWCWVCGSVLNSDEHTSIQTKPDGSWKVLCLVRENQTTFSGGYSKLAREYPLMVGDKCTFKLIKPLEFVLLTSKKTREDIDHYMID >Al_scaffold_0005_1887 pep chromosome:v.1.0:5:15078056:15079255:1 gene:Al_scaffold_0005_1887 transcript:Al_scaffold_0005_1887 gene_biotype:protein_coding transcript_biotype:protein_coding description:centrin2 [Source:Projected from Arabidopsis thaliana (AT3G50360) TAIR;Acc:AT3G50360] MSSFNRGVSRKEKPRRHHGLTTQKKQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQINKMIADVDKDGSGAIDFDEFVHMMTAKIGERDTKEELTKAFQIIDLDKNGKISPDDIKRMAKDLGENFTDAEILEMVEEADRDRDGEVNMDEFMRMMRRTAYGGN >Al_scaffold_0005_189 pep chromosome:v.1.0:5:1114271:1117855:1 gene:Al_scaffold_0005_189 transcript:Al_scaffold_0005_189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ78] MGSESDVNEINQVVEPVDCIGSAFNCKNKMMCPSCRKVEKGEWKYAAHPDHPTFNLEHVLNDHLPISWENGPILALPASSAWRGRHITSVKLLSDIGFKTKVCLDDALEILEAWVHCGDSFKSSISQITRFYKYLWNEMADSKQKITEKLRTLPSVFVPHKIGSRQNDLITGIFLSLDDVYWNDSAGVLDEIKEISSKISSVVESLHRKTLCNIYPGLRDFFVNGCGVPETPSFQEYLKILGQFAHYVSPSCAAKAVFKIFLKWSDDLNSGKSSEDVVHFKERLSELEYTVLPTENDKWVSLHDDEKLKKRFKKKDNRRDTMKRFKKKRYAFLKLHSQKQENTRTMHNFHTLKANSKKTQQIRIHCITKKHINIDDPLPIPLKNNIQHIRLIF >Al_scaffold_0005_1892 pep chromosome:v.1.0:5:15111491:15112801:1 gene:Al_scaffold_0005_1892 transcript:Al_scaffold_0005_1892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LT56] MESLPLHLLNEILFRADLTSLAMMRCTNRSLQTHISEDQYLKSEFLSRFRSGLLHISTYGSKSLRYYSLGDTRSPRTKTILTECRVLGSCSGLLLLSLNYGLCVANPLTKKFRFLNKPGSRCLPNSLPVVLGHETKYIGFAVYEIDRTTQGFKTVCITEVEKKNPNDETTYRFEINAGDSWRFSKTKITCCTSDHDISMRKPVYLDGSLHWIRNDGSIVAFNPETEQARLILTEFPQELRALFAAGNNSLTLISAFKDVIYVYALENILTNPKWVLVRQIRNVVLDQTSLIGWNVVAYDGKCLVLRVETNNGSYNVRMIHGYDLRANKWGLMGSIPDWCDANGEFFQFTPSLSSSVIGLLDHKKEKEVVFDCDGKRIASLSSIMGLITDGISSEKMEKQVRKISVEEDKMKPVLFRPASLNFSDVERPNNKRQRVE >Al_scaffold_0005_1904 pep chromosome:v.1.0:5:15148037:15148597:-1 gene:Al_scaffold_0005_1904 transcript:Al_scaffold_0005_1904 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 28 [Source:Projected from Arabidopsis thaliana (AT3G50510) TAIR;Acc:AT3G50510] MLFHQMDKISTPCAACKQLRRKCTKDCVFAPYFPTTKQENYEAVHKVFGASHVATLINDLHPLKREFAMNSLAWEARVRVKDPVYGCTAIIDRLESQLKDSEEQLAMVKNELASYGIVPTCVPSPPMMYQQMHNNPMTISEYTPNNGGFLTGQQLHDEAQRFVSTQRAQMQQTQETQTQHNEIDRD >Al_scaffold_0005_1909 pep chromosome:v.1.0:5:15168758:15170744:-1 gene:Al_scaffold_0005_1909 transcript:Al_scaffold_0005_1909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LTG1] MDIECDLFKGRWVLDRRSLYMNSSCSAIPDLKNCIKLGTPDTDFLNWKWKPDGYDLPRFNPKGFLSMVQGKKMRFIGDSVAENHMKSLICLLSMTMVVKEDEAHHPYAFHVSGPSNVASPNWGDLINSSWKDPNYKRTIMGCIIQTAYLLELDRQENRIEQNAHAGLTLLSSYGSSNVCIEANSIRAVAVLIADKELSLPHRRNQHRATPSPHT >Al_scaffold_0005_1914 pep chromosome:v.1.0:5:15188368:15189021:-1 gene:Al_scaffold_0005_1914 transcript:Al_scaffold_0005_1914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LTG5] MVFDQTPITTEARRLRNTNDQDHFKAGSTDDFAPTSPGNSPGVGHRKGKVNVEGFQDDFKPTEGRKLLKTNGQDHFKTGSTDDFAPTSPGHSPGVGHRKDTANVERFQQTNGQNHFKTGSTDEFAPTSPGNSPGIGHKKGNANVKGFKDDFAPTEEIRLKKMNGKDHFKSGSTDDFAPTTPGNSPGMGHKKGDDFKPTTPGHSPGVGHAVNNNEPKA >Al_scaffold_0005_1923 pep chromosome:v.1.0:5:15258138:15259238:1 gene:Al_scaffold_0005_1923 transcript:Al_scaffold_0005_1923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LTH3] KKKLRVEIFGGGIIGGEDDDEDQMVDLRLSEIVVATNDFSDENKLGEGGFGSVYKGKVAANGMDVAIKRLSPNSRQGL >Al_scaffold_0005_193 pep chromosome:v.1.0:5:1155180:1155791:-1 gene:Al_scaffold_0005_193 transcript:Al_scaffold_0005_193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ84] MEDLPSLVWREPALLSAERQRGATLPNSTYSAMFNVSRRDDLTVQQQIARNISHTLAANRTMYGCNIRSSGGDGGSYSRDRGHGIGGGSSARGMSTAATTNGGGTSRSNWSPYPTSSLSVHTRKKSGESWLMDCFITGTPWTGT >Al_scaffold_0005_194 pep chromosome:v.1.0:5:1161021:1161360:1 gene:Al_scaffold_0005_194 transcript:Al_scaffold_0005_194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ86] MEAVKGWIELTNNEMGIIDGSGWTVEHEVCEDQLMKVGSSFKDREKQRIEIVGYFDLNLIMNKGKGRGNRGM >Al_scaffold_0005_1949 pep chromosome:v.1.0:5:15387101:15388204:-1 gene:Al_scaffold_0005_1949 transcript:Al_scaffold_0005_1949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF59) [Source:Projected from Arabidopsis thaliana (AT3G50845) TAIR;Acc:AT3G50845] MTLGLINANPVVQAKKERLVRREDQYRDDGVDPLEIYEYVRDIRDPEHPYTLEQLSVLSEESVTVDEKLDRILIMFTPTIQHCSMANIIGLCLRAKLKECLPLHYKVDIRVSPGSHADEVSVNKQLNDKERVAAALENPNLRQLVDECIYSAEI >Al_scaffold_0005_195 pep chromosome:v.1.0:5:1173652:1174550:1 gene:Al_scaffold_0005_195 transcript:Al_scaffold_0005_195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ87] MEMLEGRPVNTLTVVELRKHLSDRNYSTTGKKALLIDRLNEALKTISSEQPEGEQQEEEEGPKPNADRLLFLDLEFEKEDVIEFAVLIVDSKTLEAVYNYETFIKPSDGVVSKFRDRPNGITKAKLQRAPTFLDVHEDIFKVLHGGIWIGHNIIRTDIPLLLKMYRRHNLPEKRIPSFRYKIDTLKWLEGNFLGKTQGLKLNELGKFFKLEEQTHRSLEDCDLNLQVFKLCLCVIGMEKMFDSEESKVVGTSRKSKRLREQQLERW >Al_scaffold_0005_1952 pep chromosome:v.1.0:5:15401619:15402891:1 gene:Al_scaffold_0005_1952 transcript:Al_scaffold_0005_1952 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT3G50870) TAIR;Acc:AT3G50870] MMQTPYTTSTQGQYCHSCGMFHHHSQSCCYNNNNNSNAGSYSMVFSMQNGGVYEQNGEDYHHSSSVVDCTLSLGTPSTRLCEEDEKRRRSTSSGASSCISNFWDLLHTKNNSKPTPYNNVPSFSTNKPTRACSAGGGGGGGGGGGDSLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRTTAASGNTVVGAAPVQTDQYGHHNSGYNNYHAATGNNNNNGTPWAHHHSTQRVPCNYPANEIRFMDDYGSGVANNGESDGAHGGVPFLSWRLNVADRASLVHDFTR >Al_scaffold_0005_1956 pep chromosome:v.1.0:5:15411388:15413213:1 gene:Al_scaffold_0005_1956 transcript:Al_scaffold_0005_1956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LTK6] MPTFSAIALDRMLEPGASTSVESVPSSTNSFYSKPPISKLEKGKGKLPSERTVTRPLMSPALYATPDAIPLPNSPSSFPPSPYIINHKSRGPPRLLKSSSEANVVSSSHQKTLAEETITAGTDVKVSPRRRSTSFSFPISEATEDDYSNGVHARPVGNYNFDGIVDGPVGHWSSLDGKIGNGKSELDNAANGLERENVLTEPVTIKTDKESESEDFYDPGESASFTSNTDVEGDAGDEGSHRLATPVGEFYDAWDELSTDSGMQSSGNNIESELREIRLSLVMEIEKRKQTEEALEQMQIHWQRLREQLAQVGLFVPIDPTTSTNNMNLSEELRCQLEVARFVSDSLGRGMAKVEVEMEMESMLETKNFEITRLSDRLHYYEAVNREMSQRNQEAIEVARRERQKRKKRQRWIWGSIAATITLGSAALAWSYIPASKPSSEVSQPLKDE >Al_scaffold_0005_196 pep chromosome:v.1.0:5:1191375:1191968:1 gene:Al_scaffold_0005_196 transcript:Al_scaffold_0005_196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ88] MRRRATKERLRNQKLKRVETPTGGCQTIQRAKESLSIETPTHEIDTHGVDRGFRRVGVSCPQGEQPDTVKPRTPADTSRNGTVRPREILEKMSPSRRGEATPRHGTAKGSTLDDRKHQEEGDLGQIYKQQLAPQLKMRDARTENHSLHLDLSPSTGSRGKKHEISVTGETVVAHGRPTTLATRNRAESTLGSLQVQR >Al_scaffold_0005_1966 pep chromosome:v.1.0:5:15454104:15458995:1 gene:Al_scaffold_0005_1966 transcript:Al_scaffold_0005_1966 gene_biotype:protein_coding transcript_biotype:protein_coding description:dehydrin family protein [Source:Projected from Arabidopsis thaliana (AT3G50970) TAIR;Acc:AT3G50970] MDSYQNQTGVPKKGMTEKIMEKLPGHDGATSTGGVHPEKKGMPEKVMEQLPGNHGYGNTGGVHHERKGMTEKVMEQLPGHHGTHQTHGTNTGYGNTGGVHHEKKSMTEKVMEKLPGHQGSHQTGTNTGYGATNTNVVHHQKKGIAEKIKEQLPGHHGAHQTGTTANYGNTGVVHHEKKSTIDKIKEKLPGGHH >Al_scaffold_0005_1968 pep chromosome:v.1.0:5:15462544:15463995:1 gene:Al_scaffold_0005_1968 transcript:Al_scaffold_0005_1968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G50990) TAIR;Acc:AT3G50990] MNTKMVKSMVVLAQIPLVALFPLCICYQTHESTSSASLSPQFYDNSCPNAQAIVQSYVAKAYSNDPRMAASILRLHFHDCFVNGCDASVLLDSSGTMESEKRSNANRDSARGFEVIDEIKSALENECPETVSCADLLALVARDSIVICGGPSWEVNLGRRDAREASLSGSMENIPSPESTLQTIVNMFNLQGLDLTDLVALLGSHTIGNSRCIGFRQRLYNHTGNNDPDQTLNQDYASMLQQGCPISGNDQNLFNLDYVTPTKFDNYYFKNLVNFRGLLSSDEILFTQSSETMEMVKFYAENEEAFFEQFAKSIVKMGNISPLTGTDGEIRRICRRVNHDF >Al_scaffold_0005_197 pep chromosome:v.1.0:5:1192173:1205910:1 gene:Al_scaffold_0005_197 transcript:Al_scaffold_0005_197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LQ89] MEDIIGVVALAAVLLFFMYQKPKTKRFKLPPGPSPLPLNPQRFFAGWAKKYGPILSYKIGTRTMVVISSAELAKELLKMQDVNFADRPPHRGHEFISYCRRDHMALNHYTPYYREIRKMGMNHLFSPTARRMMNKINKAADKSEVVDISELMLTFTNSVVCRQAFGKKYNEDGEEMKRFIKIIYGTQSVLGNIFFSDFFPYSSFLDDLSGLTVYMKECFERQDTYIQEIVNETLDPKRFKPETESMIDLLMEIYKEQPFASEFTVDNDIVVAGTDTAAAAVVWGMTYLMKYPQVMKNAQAEVRDYMKEQGLTFVTEEDVKNLPYFRALVKETLRIEPVIPLLIPRACIQDTKIAGYDIPAGTTVNVNAWAVSRDEKEWGPNPDEFRPERFLEKEVDFKGTDYEFIPFGSGRR >Al_scaffold_0005_198 pep chromosome:v.1.0:5:1222879:1224219:1 gene:Al_scaffold_0005_198 transcript:Al_scaffold_0005_198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ91] MIIIQTEIGESTLRVYYAHGGNTLTQRVKIKLLVFRPKVSDAILDDDFYISNNFKTENSLFWKQKDSKGCWNQLYSPINIGAVRLPAAVANADNDVRFVAAGTCGRTLRQTRVNCF >Al_scaffold_0005_1989 pep chromosome:v.1.0:5:15556873:15557787:-1 gene:Al_scaffold_0005_1989 transcript:Al_scaffold_0005_1989 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: Chalcone-flavanone isomerase family protein (TAIR:AT5G66230.1); Has 39 Blast hits to 39 proteins in 11 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 39; Viruses - 0; Other Eukaryotes /.../ource: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G51230) TAIR;Acc:AT3G51230] METPSSTRRVTRSQALSAINNSDSGFKFPFSSSKNTEDSSIGQRKNIKAQEDRSALIDITNDSPIVGLTMQTPPSGFMVKRKSSRIKNTPGSGEALLRGQVKTLLHKVEEGTEVIHSIETRPFIHLVTSPMRLLAPTPANTPQFPNFSDNDVKIKIASPIVAGQLRTPQIFEEKEEKSMSVTRSLLFDFTDKLASWEEEEEEEEVDGLCEGMKKMSVETTQAEFAGKHNRFVYNSEDEEIIEAKEVLHLKGIPTPRGKHFRFATKEEDDE >Al_scaffold_0005_199 pep chromosome:v.1.0:5:1225068:1226540:1 gene:Al_scaffold_0005_199 transcript:Al_scaffold_0005_199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ92] MVMVQLFLCHFLLQAAGALSIKIDLCFLSWLRSRNKLQFLNHILNRGELNNHETNTNPVAAAAAEVAATKRTQNLRQRRRRKLRRQNKHKTCGSGGGRSCDDETNNNLR >Al_scaffold_0005_2002 pep chromosome:v.1.0:5:15594944:15597794:-1 gene:Al_scaffold_0005_2002 transcript:Al_scaffold_0005_2002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT3G51350) TAIR;Acc:AT3G51350] MDVARQVFVLLSVLVVSWVFERCEASGKFGFEVHHIFSDAVKQSLGLDDLVPEQGSLEYFKVLAHRDRLIRGRGLASNNEDTPVTFDGGNLTVSIKLLGSLYYANVSVGTPPSSFLVALDTGSDLFWLPCNCGTTCIRDLEDIGVPQSVPLNLYTPNASTTSSSIRCSDKRCFGSKKCSSPKSICPYQISYSNSTGTTGTLLQDVLHLATEDENLTPVKTNVTLGCGQKQTGLFQRNNSVNGVLGLGIKGYSVPSLLAKANITADSFSMCFGRVIGNVGRISFGDKGYTDQEETPFISVAPSTAYGLNVTGVSVGGDPVGTRLFAKFDTGSSFTHLMEPAYGVLTKSFDDLVEDKRRPVDPELPFEFCYDLSPNATSIEFPFVEMTFVGGSKIILNNPFFTARTQARHGEGNVMYCLGVLKSVGLKINVIGQNFVAGYRIVFDRERMILGWKPSLCFEDESLESTTPPPEIEAPAPSVTAPPPRSLPPAVSSTPPPIDPRNSTGNRGTGGAANLIPLASQLLLLLPLLAFL >Al_scaffold_0005_2014 pep chromosome:v.1.0:5:15642042:15644610:-1 gene:Al_scaffold_0005_2014 transcript:Al_scaffold_0005_2014 gene_biotype:protein_coding transcript_biotype:protein_coding description:tonoplast monosaccharide transporter3 [Source:Projected from Arabidopsis thaliana (AT3G51490) TAIR;Acc:AT3G51490] MRSVVFVALAAAIGNMLQGWDNATIAGAVIYIKKEFHLEKEPKIEGLIVAMSLIGATLITTFSGPVSDKVGRRSMLILSSVLYFLSSIVMFWSPNVYVLLFARLLDGFGIGLAVTLVPIYISETAPSEIRGLLNTFPQFCGSGGMFLSYCLVFGMSLQESPSWRLMLGVLSIPSIAYFVLAAFFLPESPRWLVSKGRMDEARQVLQRLRGREDVSGELALLVEGLGVGKDTSIEEYVIGPDNEESEGGHELPRKDQIKLYGPEDGQSWMAKPVKGQSSLALASRQSSMLPRGGSLMDPLVTLFGSIHEKLPSENMNASSRSMLFPNMGSILGMMGRQESQWDPERNNEDSSDQDENLNSPLLSPPTTSEPDDYHQRTVGTMQRRQSSLFMANVGETATATSIGGGWQLAWKYNDKVGADGKRVNGGLQRMYIHEETANNNTNNIPFSRRGSLLSFHPEADGHDQVNGYVQAAALVSQASMMPGGKGETAMLPKEVKDSPGWRELKEPGVKRALMVGVGLQILQQFAGINGVMYYTPQILEETGVSSLLTNLGISAESASLLISALTTLLMLPCILVSMRSLMLSTIPILILSLVTLVIGSLVKLGGTTNALISTASVTVYLSCFVMGFGAIPNILCSEIFPTSVRGLCITICALTFWICDIIVTYTLPVMLKSIGIAGVFGIYAIVCAVAWVFVYLRVPETKGMPLEVISEFFSVGAKQQDAGASFLSDG >Al_scaffold_0005_2032 pep chromosome:v.1.0:5:15725509:15726416:-1 gene:Al_scaffold_0005_2032 transcript:Al_scaffold_0005_2032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LTX3] DEVVNYQVMSAKDLVGSVFTQGGWSNFLFSEKKLEQRVDVVLVFIGRELLSSDVSSKRNSDSALVNTLSISDIVFCFKLHNTLTSKSSFQQNLFTASNFSLAFPYIAASEEERMENLLLSGLKEACPNNVGVSNIVFSDSCFVEHGTIQKLSDLQSFKVMLNSLMFLFYLIPCLLSGITPKLHSLITRK >Al_scaffold_0005_2036 pep chromosome:v.1.0:5:15741684:15743160:1 gene:Al_scaffold_0005_2036 transcript:Al_scaffold_0005_2036 gene_biotype:protein_coding transcript_biotype:protein_coding description:saposin B domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G51730) TAIR;Acc:AT3G51730] MGLKAGTFVLLLLGLILVSDARSFVDSTLSEKVSNKEDVCTLCEEYVTDALSYLEKNVTQAEIIEDLHDRCSQLRGFSQQCITLVDYYVPLFFLQLESFQPHYFCKRMNLCGKVVALVEEVRQDSCGVCHRTVSEILIKLQDPDTQLDIVELLLKGCKSLKNYEKKCKTLVFEYGPLILVNAEEFLVKNDVCTLLRACPAEKSVLRQPELADS >Al_scaffold_0005_204 pep chromosome:v.1.0:5:1342371:1342807:1 gene:Al_scaffold_0005_204 transcript:Al_scaffold_0005_204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LQ95] NDSAGVLDEIKEISSKISSVVESLHRKTLCNIYPGLRDFFVNGCGVPETPSFQEYLKILGQFAHYVSPSCAAKAVFKIFLKWSDDLNSGKSSEDVVHFKERLSELEYTVLPTENDKW >Al_scaffold_0005_2044 pep chromosome:v.1.0:5:15791345:15792180:1 gene:Al_scaffold_0005_2044 transcript:Al_scaffold_0005_2044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress induced protein [Source:Projected from Arabidopsis thaliana (AT3G51810) TAIR;Acc:AT3G51810] MASKQLSREELDEKAKQGETVVQGGTGGHSLEAQEHLAEGRSKGGETRKEQLGHEGYQEMGHKGGEARKEQLGHEGYQEMGHKGGETRKEQLGHEGYQEMGRKGGEARKEQLGHEGYQEMGRKGGLSTMEKSGGERAEEEGIEIDESKFTNK >Al_scaffold_0005_206 pep chromosome:v.1.0:5:1380230:1380568:-1 gene:Al_scaffold_0005_206 transcript:Al_scaffold_0005_206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ97] MEDLPSLVWREPALLSAERQRGATLPNSTYSAMFNVSRRDDLTVQQQIARNISHTLAANRTMYGCNIRSSGGDGGSYSRDRGHGIGGGSSARGMSTAATTNGGGTSRSNVCI >Al_scaffold_0005_207 pep chromosome:v.1.0:5:1386257:1386596:1 gene:Al_scaffold_0005_207 transcript:Al_scaffold_0005_207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ86] MEAVKGWIELTNNEMGIIDGSGWTVEHEVCEDQLMKVGSSFKDREKQRIEIVGYFDLNLIMNKGKGRGNRGM >Al_scaffold_0005_2071 pep chromosome:v.1.0:5:15921667:15923405:1 gene:Al_scaffold_0005_2071 transcript:Al_scaffold_0005_2071 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine carboxypeptidase-like 39 [Source:Projected from Arabidopsis thaliana (AT3G52020) TAIR;Acc:AT3G52020] MGKLQDWSVTTCLFLFLFQALQIRCTSQTHVLSRLNRSKRGIGSSVDTNHLNVIRRLSVSSPLNTSGVNQQEQRERDLIENLPGQPSVSFRQYGGYVTVNESAGRSLYYYFVEATENKKSSPLVLWLNGGPGCSSLYGAFQELGPFRTHSDGKTLYTNPYSWNKLANILFLESPAGTGFSYTNTTTDLENPGDMNTAADNYIFLVKWLERFPEYKGREFYIAGESYAGHYVPQLAQTILVHNKNQTFINLRGILIGNPSLDDTAELMGANEFLVSHALLSQETFLSFEKNCAHNPPTGEVDCVELSMKIQDDIGKINLYNILTPTCLNPTSNNQSKECTTVMQYDACGMQHIDAYFNQGEVQRSMHVTKVPYTWKLCNEDLGFNWSQTDASASMLPILKELMKHEQLRVWVYTGDTDTVISITVTMYALKMMNLTAVTDWLPWFSEGQVGGFTEEYKGNFRYATVKGAGHEVPLYKPNVAFTLFKQFLLNSPLPLTP >Al_scaffold_0005_209 pep chromosome:v.1.0:5:1418260:1418853:1 gene:Al_scaffold_0005_209 transcript:Al_scaffold_0005_209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ88] MRRRATKERLRNQKLKRVETPTGGCQTIQRAKESLSIETPTHEIDTHGVDRGFRRVGVSCPQGEQPDTVKPRTPADTSRNGTVRPREILEKMSPSRRGEATPRHGTAKGSTLDDRKHQEEGDLGQIYKQQLAPQLKMRDARTENHSLHLDLSPSTGSRGKKHEISVTGETVVAHGRPTTLATRNRAESTLGSLQVQR >Al_scaffold_0005_2093 pep chromosome:v.1.0:5:15996285:15997702:-1 gene:Al_scaffold_0005_2093 transcript:Al_scaffold_0005_2093 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Kinase phosphorylation domain (InterPro:IPR019315); Has 8882 Blast hits to 4920 proteins in 346 species: Archae - 10; Bacteria - 184; Metazoa - 3955; Fungi - 1221; Plants - 712; Viruses - 24; Other Eukaryotes - 2776 (sour /.../BI BLink). [Source:Projected from Arabidopsis thaliana (AT3G52220) TAIR;Acc:AT3G52220] MYHPTRGGVRGGRDQFSWDEVKADKYRENYLGHSIKAPVGRWQKGKDLHWYARDKKQKGSEMDAMKEEIQRVKEQEEQAMREALGLAPKSSTRPQGNRLDKQEFTELVKRGSTAEDLGAGNADAVWVHGLGYAKAPRPWEDPSTLASSQKEDAEPARLPADTSGVKTVEDGPDDVERDQKKDRHEERKPAKREKEERHDRRDKRERHEKRRTRDSDDRKKHKKEKKEKKRRHDSDSD >Al_scaffold_0005_210 pep chromosome:v.1.0:5:1419058:1419940:1 gene:Al_scaffold_0005_210 transcript:Al_scaffold_0005_210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LQA2] MEDIIGVVALAAVLLFFMYQKPKTKRYKLPPGPSPLPLNPQRFFAGWAKKYGPILSYKIGTRTMVVISSAELAKELLKMQDVNFADRPPHRGHEFISYCRRDHMALNHYTPYYREIRKMGMNHLFSPTARRMMNKINKAADKSEVVDISELMLTFTNSVVCRQAFGKKYNEDGEEMKRFIKIIYGTQSVLGNIFFSDFFPYSSFLDDLSGLTVYMKECFERQDTYIQEIVNETLDPKRFKPETESMIDLLMEIYKEQPFASEFTVDNVEFS >Al_scaffold_0005_2101 pep chromosome:v.1.0:5:16020447:16021182:-1 gene:Al_scaffold_0005_2101 transcript:Al_scaffold_0005_2101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LU44] MSVEGKIKNKYDMRPHNENIESYVIDDARGMHMRPMPEMIIPTAAPEKKKLTNRTSEMKRTRRDRREMEEVMFNLFERQSNWTLRLLIQETDQPEQFLKDLLRDLCIYNNKGSNQGTYELKPEYKKATQE >Al_scaffold_0005_2102 pep chromosome:v.1.0:5:16021936:16023420:-1 gene:Al_scaffold_0005_2102 transcript:Al_scaffold_0005_2102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LU45] MGLSFRLAAVKEQSKKKKVPQGGARRRSRRIVLLKKPDSKSCGFEKIPEDIFMDILARLPGKLVTRLKCVSKLWSNIISSRSFTNLFLKTPSPRRLFAYIMNEEKQSEFALLSSSPDPYSCRSVSLLDQDIKMQGIGGYIVNALRGLDDGNPWNYFGHDSVNDEYKVLSIVWEVGEEERVLKSEHQVLVLGAGAYWRNTQSTIPPPPHCPYTQGFSINGVLYYGAWFGKNRSVSVVMSFDFASEEFTVIKLPVDEASPNLMIYGGKLAVFYYSTKSLASDGSVDLWIMEDARKCIWSNKKSLVLPISKMYFVSFYHMRMQGTSLNSEVRLTYANFMRDQPIHVVTYDLERNKMTRRVEISPLRDRFGVTKCLQTDFWEDIETIMYLET >Al_scaffold_0005_2106 pep chromosome:v.1.0:5:16037582:16038813:-1 gene:Al_scaffold_0005_2106 transcript:Al_scaffold_0005_2106 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein [Source:Projected from Arabidopsis thaliana (AT3G52320) TAIR;Acc:AT3G52320] MGSSLSLVVRKKKEKKTLVILPEITEEMLIDILIRLPAKSLMRFKCVSKIWLSLITSRYFANRFLIKPSPSRCFFAYLVDCENQRKCLLLKSSSSSHDHSDISVSVIDQHSTMPVMGGYFVNSVRGLLCYRTRRRVKVCNPSTRQVVEFPLMRSTNVWHCFEHDPVHDKYKVLSLVWEVNKEERVVRSEHQVLVLGDGATWRNTQSHNDTPHGPFYPHSQGMTINGVLYYIAWTDEDRGVLVSFDLSSEEFNLIELLPYENLSCTSLINYQGKVATCEDTLLSSDGIVDVCVLEDADKSQWSNKKTFVLPVSQMNFVHGDRLVIGGTRDSENI >Al_scaffold_0005_2107 pep chromosome:v.1.0:5:16039130:16039910:1 gene:Al_scaffold_0005_2107 transcript:Al_scaffold_0005_2107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LU51] TREIDWSPNHPHLAQEIAGLVFVVDSTDRDRIEEAKYFLNMVIDEIQGSVPDNVAVLVYGNKHQVPGAMSASEISNKLDLTSLRNKNWQRNWHVQSSCAFCGDGLHEGLDWLLKNAERI >Al_scaffold_0005_2108 pep chromosome:v.1.0:5:16039982:16041104:-1 gene:Al_scaffold_0005_2108 transcript:Al_scaffold_0005_2108 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated ubiquitination effector family protein [Source:Projected from Arabidopsis thaliana (AT3G52330) TAIR;Acc:AT3G52330] MISSLSLAVRKKQKKERIMVLPELYEDLLIEILIRLPMNLAHHVESSSHDDHSVSVIDQDLSMPIMGGYFLNACRGLVCFTVGSRVQICNLNTRQLVELPIILTPQGGEDYNIWYYLGHDPVHDEYKVLSFIWRHNREQWKVRSEHHVLVLGAGASWKMTQCHIHHFPYCQGITINSVLYYGAWTDDKKCVLMSFDLSSEDVRVWVIEDVNKSQWSHKKTFVLPTDFAQTYDFVMGGTGHSGKGNEITRKIEISPSLLGSFKQTDLLLPTLWDDTESIMYLET >Al_scaffold_0005_211 pep chromosome:v.1.0:5:1447868:1449208:1 gene:Al_scaffold_0005_211 transcript:Al_scaffold_0005_211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ91] MIIIQTEIGESTLRVYYAHGGNTLTQRVKIKLLVFRPKVSDAILDDDFYISNNFKTENSLFWKQKDSKGCWNQLYSPINIGAVRLPAAVANADNDVRFVAAGTCGRTLRQTRVNCF >Al_scaffold_0005_212 pep chromosome:v.1.0:5:1462376:1463624:-1 gene:Al_scaffold_0005_212 transcript:Al_scaffold_0005_212 gene_biotype:protein_coding transcript_biotype:protein_coding description:plantacyanin [Source:Projected from Arabidopsis thaliana (AT2G02850) TAIR;Acc:AT2G02850] MAKGRGSASWSARAIVTLMVVSVLLLQADYVQAATYTVGDSGVWTFNAVGWPKGKHFRAGDVLVFNYNPRMHNVVKVDSGSYNNCQTPAGAKTYTTGKDRITLSKGQHFFICNFPGHCENAMKIAVTAV >Al_scaffold_0005_2122 pep chromosome:v.1.0:5:16092737:16092987:1 gene:Al_scaffold_0005_2122 transcript:Al_scaffold_0005_2122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LU66] KLRRKQDPLLCGIDSGQKPRSKAFGFQPRFPSIISPDPQRIFRSVQHHQQQALPEMIRLPIDLRAK >Al_scaffold_0005_2124 pep chromosome:v.1.0:5:16098053:16098686:1 gene:Al_scaffold_0005_2124 transcript:Al_scaffold_0005_2124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LU69] MAFFSAATSLLLLVLSVSSPYVHGNIIPASGCTLVVPLFPCLSFITIGSTMDTPSNSCCSSLKNILDTEPECLCEGLKNTASYGIKLNVTKATTLPDACKVYAPPVAACGALSPASPPATNAQGEVALKFKSE >Al_scaffold_0005_2138 pep chromosome:v.1.0:5:16155153:16157711:-1 gene:Al_scaffold_0005_2138 transcript:Al_scaffold_0005_2138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LU83] MANVMNEVNIMLYELFLLETGMNECTWVQERLAAGDRLSVQAFLRRLTGTITDVEKKEMVYLVSLPLSISLSNANPERPVHRRQQSQTFLDVLDAAKLLHIKEHSVIDASENVFKLAACTSRVQWEY >Al_scaffold_0005_214 pep chromosome:v.1.0:5:1469560:1470116:1 gene:Al_scaffold_0005_214 transcript:Al_scaffold_0005_214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LQA7] GFSSLVGGEAARGLACRTVQGTVACFSFFSDEFGFNSVLVRRDHSLDLRNRWCIAVFFRYRRCSFCGLGSRASLSGCVCLDPASFSFGASCGVTLAPLACSLRLEALGVLVFGAQRFSSVGGLLKVAASSTMVMASPCPLVFVPVASFPVALR >Al_scaffold_0005_2143 pep chromosome:v.1.0:5:16171649:16172985:-1 gene:Al_scaffold_0005_2143 transcript:Al_scaffold_0005_2143 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin E2 variant 1D-4 [Source:Projected from Arabidopsis thaliana (AT3G52560) TAIR;Acc:AT3G52560] MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNVTVHEGRIYQLKLFCDKDYPEKPPTVRFHSRVNMACVNHETGVVDPKKFGVLANWQREYTMEDILTQLKKEMATSHNRKLVQPPEGTCF >Al_scaffold_0005_2148 pep chromosome:v.1.0:5:16185405:16187974:-1 gene:Al_scaffold_0005_2148 transcript:Al_scaffold_0005_2148 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell wall invertase 2 [Source:Projected from Arabidopsis thaliana (AT3G52600) TAIR;Acc:AT3G52600] MSASKLVSVLLLIVLINISNNGVDAFHKIFKKLQSQSTSLDSVSPLHRTAYHFQPPRHWINDPNAPMLYKGIYHLFYQYNPKGAVWGNIVWAHSVSKDLINWEALEPAIYPSKWFDINGTWSGSSTHVPGKGPVILYTGITENQTQIQNYAIPQDLSDPYLKTWIKPDDNPIVKPDHGENGSAFRDPTTAWFNKKDGYWRMLVGSKKKHRGIAYMYKSRDFKKWVKTKRPIHSRKKTGMWECPDFFPVSVTDKKNGLDFSYDGPNAKHVLKVSLDLTRYEYYTLGKYDTKKDRYRPDGNTPDGWDGLRFDYGNFYASKTFFDDKKKRRILWGWANESDTVEDDTLKGWAGVQLIPRTILLDYSGKQLVFWPIEEIESLRGKNVQMTNQKMEMGQRFEVQGITPAQVDVDVTFNVGSLEKAEKFDASFTTKPLELCNLKGSNVTGGVGPFGLITLATSDLEEYTPVFFRVFKDASTNKPKVLMCSDAKPSSLKTDTGSDAKQRMYKPSFAGFVDVDLADGKISLRSLIDHSVVESFGAKGKTVITSRVYPTKAVGEKAHLFVFNNGSQPVTVESLNAWNMQKPLKMNQGAK >Al_scaffold_0005_2157 pep chromosome:v.1.0:5:16226274:16226979:1 gene:Al_scaffold_0005_2157 transcript:Al_scaffold_0005_2157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LUA0] MTGTGIVHLYLYHCSVQTLDGEVVEAYEVRMWRERFTDKTCLEKEQNDPWIARRNSHDFKMKPEMHYAESDCPVFAPGNFPKDDEFRFEIALMDFPKAKARSHHNPR >Al_scaffold_0005_220 pep chromosome:v.1.0:5:1508813:1510521:-1 gene:Al_scaffold_0005_220 transcript:Al_scaffold_0005_220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQB8] MAHISNIKLIRTDTTLDLSQKAEKVFGNNDTGEAQKFGRIVKLKLSHFFLFFVSLFFISCMFSRHKLLFHGSEFLPYFEQNHLLCEVPLAEFVDCILEPHDYLNFARFPLCFVETETYDTPRFGGHQTLRERERDLTLQ >Al_scaffold_0005_2203 pep chromosome:v.1.0:5:16428240:16428787:1 gene:Al_scaffold_0005_2203 transcript:Al_scaffold_0005_2203 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-galactoside/L-rhamnose binding SUEL lectin protein [Source:Projected from Arabidopsis thaliana (AT3G53080) TAIR;Acc:AT3G53080] MDTLHCRHNHGFILILLLFHSSLFSLASKIDVSDDARGIRIDGDQKRFLTNSPQHGKEHVACTNEEPDLGPLTLISCNEPGYVITKINFADYGNPSGTCGHFRHGNCGARATMRIVKKNCLKKEECYLLVTDEMFGPSHCKGAPRLAVETTCTLA >Al_scaffold_0005_222 pep chromosome:v.1.0:5:1518638:1520919:1 gene:Al_scaffold_0005_222 transcript:Al_scaffold_0005_222 gene_biotype:protein_coding transcript_biotype:protein_coding description:maternal effect embryo arrest 12 [Source:Projected from Arabidopsis thaliana (AT2G02955) TAIR;Acc:AT2G02955] MLCRECDNDAFDEEDDGYFYCKRCGVQAEDLIQTGVDDGDLIGDGGGTHGAIYDPRYRRTITQPITPSQPRYTDDTIRYTHFKSQLESEIEKKKDLPREVKREPEIYLEKEPTEPVDFGAETLSYEDYYDEARDRYVKAFLMMITYQCDALVDKFNVTPLIIGLVGPISLRYVALSGVYDDDWADKAIRDSELQSEDGEVKDAKRPKTQKAEPRNLDGKRAVTIWVSLLKKTLPLSSSLAISFLACHHAGAPVLPTDIVRWAREGKLPYLSCFIDIREQMGERSAACPVKASIMSRPFQIISAQMLEARAACIADIIGLPLSPVNFYGIASNYIKRLSIPEDKILELVRLIQNWSLPPELYLSKNELKLPTRVCVMSILIVAIRMLYNINGLGLWERSLEFVNASEADIDFEEFDSPVHDEEVSNTNSGTAELSDVTKATKFDTEELLKNLEAKYYEVAAETLESEKDLLSYLSLGKNEFFAGLEEDLPDDTYRTVDNLWNGYPKDEDFERCRTPPKRGRDWDDDVSLNQLSLEDSKFSDGNNPCSSPSRRNESVSMDRDLSSSEHGESSSPENHHHQEEKLKERAIKRLITDMGDNLFCYIPPRVKVKRLDYLQYVRKKEDGALIYTAHADYYILLRVCAKVAEIDVRNMHRGVLSFERRLAWIEKRIDQVLHLPPPFMTCDHCCDGGNAGEDQDDS >Al_scaffold_0005_2226 pep chromosome:v.1.0:5:16520169:16521900:1 gene:Al_scaffold_0005_2226 transcript:Al_scaffold_0005_2226 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 71, subfamily B, polypeptide 30 pseudogene [Source:Projected from Arabidopsis thaliana (AT3G53290) TAIR;Acc:AT3G53290] MSIFLCFLFLFPLFLIIFKKISPSKMKLPPGPTGLPIIGNLHQFGRLLHSSLHKLSLEHGPVMLLRFGVVPVVVISSKEAAKEVLKTHDLETCTRPKMVTTGLFSYNFKDIGFTQYGEDWREMKKLVGLELFSPKKQKSFRYIREEESDLLVKKISKSTQTQTQVDLGKALFSFTAGILFRLAFGQNFRECDFIDMERVEELVTETETNVGTLAFTDFFPTGLGWLIDRLSGQRSRMNKVFSKLTNLFQHVIDDHLKTEQHRDHSDLISAMLDMINKPTNIGTFKITSDHLKGVMSNVFLAGVNAGVITMIWTMTELIRHPRVMKKLQEEIRATLGSNKERITEEDLQKVEYLKMVIEETFRLHPPAPILLPRLTMSDIKIQGYNIPKNTMIEINIYTIGRDPKCWTNPDEFMPERFFNTSINYKGQHFELLPFGAGRRSCPGMALGITILELGLLNLLYFFNWSLPDGMTIADIDMEEVGALNIAKKIPLELVPTLPSSFVNKKY >Al_scaffold_0005_2227 pep chromosome:v.1.0:5:16523066:16527130:1 gene:Al_scaffold_0005_2227 transcript:Al_scaffold_0005_2227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LUG8] MSIFLGFLFLFPLFLILFKKLLPSKRKLPPGPRGLPIIGNLHHLGRSLHSVFHKLSLEHGPVMLLHFGVVPVVVFSSKETAKEVLKTHDLETCTRPKLVANGLFSRNFKDIGFTQYGEDWQEMKKLVGLELFSPKKQKSFRYIREEEGDLLVKKISKSAQTQTLIDLRKASFSFTAGTIFRLAFGQNFHECDFIDMGRLEELVLEAETNVCILALTDFLPTGLGWLADRISGRHSRMNKAFSKLTNLFQHVIDEQLKIGQLQDHSNLVSAMLDMINRPSKFSSFNITSNHLIAMMSGVVLAGVNAGTITMVWIVTELIRHPRVMKKLQAEIRATLGSNKERITEEDLQKVEYLNLVIKETFRLHPPSPLLLPRETMSDIEIEGYHIPKNALIRINTYTIGQFNPERFSNTSINYKGQDYELLPFGAGRRSCPGMNLGITILELGLLNILYFFDWSLPNGMTIEDIDMEEN >Al_scaffold_0005_2252 pep chromosome:v.1.0:5:16635834:16636315:-1 gene:Al_scaffold_0005_2252 transcript:Al_scaffold_0005_2252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LUJ4] ERELEAVALVHSDKTNLTSLNVPPMGLFYLTHCFPEVFPQPPPYMVPIPREYLGGNMDSADGGNMGLPVWNLKSKKEEDISLVKPLQAAFSQCVSPTLSVC >Al_scaffold_0005_2266 pep chromosome:v.1.0:5:16686538:16687975:1 gene:Al_scaffold_0005_2266 transcript:Al_scaffold_0005_2266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:D7LUL0] MKKSKKNIETYKIYIFKVLKQVHPDIGISGKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELSKHAVSEGTKAVTKFTS >Al_scaffold_0005_2268 pep chromosome:v.1.0:5:16692497:16696948:-1 gene:Al_scaffold_0005_2268 transcript:Al_scaffold_0005_2268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase with RING/FYVE/PHD-type zinc finger domain [Source:Projected from Arabidopsis thaliana (AT3G53680) TAIR;Acc:AT3G53680] MAEENSETKKRDRLCIEQDNLEEELYSSNKRQAKEPSNDDIRSEISNPVASPVVDNASSFRDITSNPAKSSSGDRVGSCSGSYEATSDEKRSEYCSSLADSSQSSDAVPSSFVREIPKHLSTTGITKITFKLSKRNEDVCDLPMIQEHTWEGSPSNVASSTLGVKMLDKIDSTNFPSNVKKLLATGILDGARVKYLSISPARELQGIIHSGGYLCGCTVCDFSKVLGAYEFERHAGGKTKHPNNHIYLENGRPVYNMIQELRVAPPDVLEEVIRKVAGSALSEEGFQAWKESFQQDDSNHIMDYSFQSLVSYPGSGWSIDESQSSTPYFPENNYFRKKISTKDTRHEHKPKAKKVTSHMFGMGCHKKAAGGGKWKRDNDLHRLLFLPNGLPDGTELAYFVKSQKLLQGYKQGSGIVCSCCDTEISPSQFEAHAGMAGRRQPYRHIHISSGLSLHDIAMSLADGGHVITTGDSDDMCSICGDGGDLLLCAGCPQAFHTACLKFQSMPEGTWYCSSCNDGPTSCKTATATDPNLKSIVGSIAIFSLSAHIRVLHSAYCFSPISDRSLDFSIGKFDDRTVILCDQCEKEYHVGCLRENDLCDLKGIPQDKWFCCSDCSRIHTALQSSASCGPQTIPTVLLDTISRKYREKGICIDNGDNVEWRMLSGKSRYAEHLPLLSRAATIFRECFDPIVAKSGRDLIPVMVYGRNISGQEFGGMYCLVLMVNSLVVSAALLRIFGQKVAELPIVATSREYQGRGYFQGLFACVENLLSSLNVENLLLPAAEEAESIWTKKFGFTKMTEHQLQKYQREVQLTIFKGTSMLEKKVPRFSESTSLI >Al_scaffold_0005_228 pep chromosome:v.1.0:5:1536282:1538373:1 gene:Al_scaffold_0005_228 transcript:Al_scaffold_0005_228 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 30 [Source:Projected from Arabidopsis thaliana (AT2G03060) TAIR;Acc:AT2G03060] MGRVKLKIKKLENTTGRQSTFAKRKNGILKKANELSILCDIDIVLLMFSPTGKAAICCGTRSSMEEVIAKFSQVSPQERTKRKFESLENLKKTFQKLDHDVNIREFIASSNSTIEDLSNQARILQARISEIHGRLSYWTEPDKINNVEHLGQLEISIRQSLDQLRAHKEHFGQQQHAMQIENANFVKDWSTCSMQDGIQIPLEQQLQSMSWILNSNTTNIVTEEHNSIPQREVECSASSSFGSYPGYYGTGKSPEITISGQETSFLDELNTGQLKPQTSSQQQFINNNITAYNPNLQNDLNHHQTLPPPIPPPQVYIPMAQREYHMNGFFEGPPPGLSAYNNNTNQTRFGGSSSNSLPCSISMFDEYLFSQMQQPN >Al_scaffold_0005_2280 pep chromosome:v.1.0:5:16739558:16741323:1 gene:Al_scaffold_0005_2280 transcript:Al_scaffold_0005_2280 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRF-like 4 [Source:Projected from Arabidopsis thaliana (AT3G53790) TAIR;Acc:AT3G53790] MVDCGVDAYQLPVVPRACRSPRGRMQRLMKKQRSDFEVLAQVAEKLSGERKHRVAIGSLAHKTKETKNDFIFNNFLETMEVEVKPQLGPEKPSQVLLSKDWLALGPSASNSPISQEEKFDSHSKIDGKRKMCHLKEGGSCSSQESQNMYPLKKRKLFYQNHPSESHDAPCTVKFGIKSLQISELLVDIPESATVGSLKLAVLDAVTRILKDGLNIGVLLRGKTIVDDSKMLLQIGIPHDDDDDQNLSSLGFMLEPQKSETTTITTLNNVYPRTRLRQNRVLGSVDSTEVMAAKSVVPVRMKPASQPEIVQRRIRRPFTVSEVEALVQAVERLGTGRWRDVKCHAFDHAKHRTYVDLKDKWKTLVHTAKISARQRRGEPVPQDLLDRVLAAHAFWSDRTR >Al_scaffold_0005_2286 pep chromosome:v.1.0:5:16758445:16759944:-1 gene:Al_scaffold_0005_2286 transcript:Al_scaffold_0005_2286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LUN0] MASAPKNIEQNPFSLKPPRPPSSSGAVSSTFVASTSVEPQIRNPNPSTNALPSTSNSPITMSQEDELLARSSHLTPPELLRRRAHNLKQLAKCYKNHYWALMEDLKTQHRDYWCKYGISQFKDQQNQSNKRRRLGPEGEIGAVEGSGDKANDGGNGDVYANNNGSCMYGCKAKAMALTKYCQLHILKDSKQKLYTGCTNVINRSPAGPLLCGKPTLASTVPVLCNVHYQRAQKNVAKALKDAGHNVSSTSKPPPKLHALVAAFVHHIQAQRKKAHKDGKLKSVVKEEMTS >Al_scaffold_0005_2291 pep chromosome:v.1.0:5:16770191:16773049:-1 gene:Al_scaffold_0005_2291 transcript:Al_scaffold_0005_2291 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNApolymerase sigma-subunit C [Source:Projected from Arabidopsis thaliana (AT3G53920) TAIR;Acc:AT3G53920] MASFNSFPIPKQIVGSSSSSSSSTSRPRILVRSSITSSMSSTNSMLVFVPPHPLIKHWLSLLRCDQTSFPIFVRIPVSSFVATRWSFLSSVKEESRIYQKDPLKACASSAPYTAENVFLELKDPKENIGVGSAEKSCSSRSMLQYNLLAKNLLALEETFVALDSARMERDIMLQMGKLGAADLFKTCLSRSRGSSVASCLSDTTELVGTTPNQQVFVSSRRKLKKKARRSTVTAENGDQGSLPMGLRTTWNNIDVPRVRRPPKYRKKRERISKNEAEMSTGVKIVADMERIRTQLEEESGKVASLSCWAEAAGMNEKLLMRNLHYGWYCRDELLKSTRSLVLFLARNYRGLGIAHEDLIQAGYVGVLQGAERFDHTRGYKFSTYVQYWIRKSMSMMVSRHARGVHIPSSIIRTINQIQKARKTLKTSHGIKYPADEDISKLTSLSVKKIRAANQCLKVVGSIEKKVGDCFSTKFLEFTPDTTMESPEEAVMRQSARRDIHDLLEGLEPREKQVMVLRYGLQNYRPKSLEEIGKLLRVSKEWIRKIERRAMAKLRDQPNAEDLRYYLNQ >Al_scaffold_0005_2295 pep chromosome:v.1.0:5:16790320:16792741:1 gene:Al_scaffold_0005_2295 transcript:Al_scaffold_0005_2295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LUN9] MSQEPQIPPEVPEEKISKKAAKKEAAKLEKLRRRQEQEKLRSRQEQEEATRKTASKSLEEDDEFSSNYGDVTLNELQSTEDPKAGIWREAVEGKRWTEVSKLVEEIAGSEVLIRGRVHTYRVQPSIVFVILRKSGSTVQCVAKQSEETNVSVNMIKYLEKLSCESIVDVIGVVVLPKDPVKGTTQQVEIQVRKLYCVNKALTRLPFNVEAAARSETGRRAVRVNQDTRLNHRVIDLRTPANQAIVALKSQLVNFFSENLLSKDFVNIFTPKLLAGSSEGGSAVFRLEYQGQPACLAQSPQLHKQMAICGDLRRVFEIGPVFRAEKSFSHRHLCEFVGLDVEMEIDKHYSEIMDLVDELFVAIFTSLNSKCKKELEAIGKQYPFEPLKFLEKTLRLTFEEGVQMLKEAGVEIDPLGDLNTESERKLGQLVLEKYNTEFYILHRFPTAVRPFYTMTCVDNPLYSNSFDVFIRGEEIISGAQRIHTPELLEQRAREHGIDVKTISTYIDSFRYGAPPHGGFGAGLERVVMLFCGLNNIRKTSLFPRDPQRLAP >Al_scaffold_0005_231 pep chromosome:v.1.0:5:1564378:1571105:-1 gene:Al_scaffold_0005_231 transcript:Al_scaffold_0005_231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQD1] MESFRCHGWPFDVMTYNRNMFTISSLNPLIHEWSTCVKILHVWHELDDVSNALNLILMDNQFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENVME >Al_scaffold_0005_2321 pep chromosome:v.1.0:5:16893856:16896570:1 gene:Al_scaffold_0005_2321 transcript:Al_scaffold_0005_2321 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP12 interacting protein 37 [Source:Projected from Arabidopsis thaliana (AT3G54170) TAIR;Acc:AT3G54170] MAFSLQDDDFGGDYSAANATRASGNKRSFGDLEDDEDDIFGSKKGRTKVEEAAPGVATGMILSLRESLQNCKDDLASCQNELESAKTEIQKWKSAFQNESFVPAGKSPEPRFLIDYIQNLKSSERSLKEQLEIAKRKEASCIVQYAKREQEMAELKSAVRDLKSQLKPASMQARRLLLDPAIHEEFSRLKNLVEEKDKKIKELQDNISAVTFTPQSKNGKMLMAKCKTLQEENEEIGHQAAEGKIHELAIKLTMQKSQNAELRSQFEGLYKHMEELTNDVERSNETVIILQEKLEEKEKEIERIKKGMEIVSDVVGDKKDEVDEIDEDAKEIAGGE >Al_scaffold_0005_233 pep chromosome:v.1.0:5:1577177:1578677:-1 gene:Al_scaffold_0005_233 transcript:Al_scaffold_0005_233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQD3] MKRGRGDHRRIHRRVYNNNFDYLLDVPKDGAKKARNYRMEKLRARRTAFRNRLSGMESINRTRVIAAYENMISDELRALLNSRPPKDVCCRARARRVAILKSRRIKARTRPPETGLVLPIYEDDYVHFLATDSDSDHGDREDQTDDDNAMDYDFVEHLDNADECIMEQEGFGSIPIINRERTG >Al_scaffold_0005_2332 pep chromosome:v.1.0:5:16936062:16947665:1 gene:Al_scaffold_0005_2332 transcript:Al_scaffold_0005_2332 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding;ATP binding;nucleic acid binding;binding;helicases;ATP binding;DNA binding;helicases [Source:Projected from Arabidopsis thaliana (AT3G54280) TAIR;Acc:AT3G54280] MAHQQSSRLNRLLTLLDTGSTQATRLTAAKQIGDIAKSHPQDLSSLLRKVLHHLRSKKWDTRVAAAHAIGAIVLNVKHPSLSELLNSLATKLGEAGISDNVDEVVALRNLQSKILANAPFRSFEMNKVLEFGALLASGGQEYDILNDNSKNPKDRVARQKKNLRRRLGLDMCEQFMDVNEMIRDEDLIEQKSNVPANGVGNRLYANYSPHHIQQFVSRMVPRVNSRRPSARELNLLKRKAKISSKDQAKGSCEVADVEMPSSHASTSKRISSDSLDSDKADIGNEDDIEPDGDGKWPFHSFVEQLILDMFDPAWEIRHGSVMALREILMLHGGSAGVSTEEFSSDNGFELKEVLNKVTREREIDLNMQVSEHELEPLRKRPKIEDPSKSFIDNTVLEVIGGYSDINVKDEDAEFLLPPVKVNGQTDSNSTKVEPQSSMDDSSSHSEINHVAEVNNHFEDKSFVEEAVIPKHQEENLEVLDLVKQARHSWIKNFEFLQDCTIRFLCVLSLDRFGDYISDQVVAPVREACAQALGATFKYMNPSVIYETLNILLQMQRRPEWEIRHGSLLGIKYLVAVRQEMLQDLLGYILPACKAGLEDSDDDVRAVAADALIPAAAAIVSLRGQTLLSIVMLLWDILLELDDLSPSTSSVMNLLAEIYSQDDMTLVMHEELSLGEEQNIDLNEMGHIESIGERRDVKESPYALSGLAPRLWPFTRHDITSVRFSAIRTLERLLEAGCRKNISEQSKSSFWPSSILGDTLRIVFQNLLLESTEEILECSERVWRLLVQCPVDDLEDAAKFYMASWIELAATPYGSTLDATKMFWPVAPPRKSHFKAAAKMKAVKLENEASSIMGFDCARSSASLEKHEDVSARSTKIIVGSDMEMSVTRTRVVTASALGIFASRLREGSMQFVVDPLSSTLTSLSGVQRQVASIVLISWFRETKFKAPTDGSGSLPGFPSPLKKWLLDLLACSDPAFPTKDILLPYAELSRTYTKMRNETSQLLHTVETCHCFDKLLSTNKLNVESVTADETIDFASTLALWNKESVGNESLEKQVFEDVESSRQQLLSTAGYLKCVQSNLHITVTSLIAAAVVWMSEFPARLNPIILPLMASIKREQEQILQQIAAEALAELIAYCVDRKPSPNDKLIKNICSLTCMDPSETPQASIICSMDIIDDMDFLSSRSNAGKQKAKVVLAGGEDRSKVEGFITRRGSELALKHLSLKFGGSLFDKLPKLWECLTEVLVPEIPADQQNIDLKIESISDPQVVRSIAPVMEETLKPRLLSLLPCIFKCVRHSHVAVRLAASRCVMTMAKSMTTNVMAAVVEGAIPMLGDLTCINARQGAGMLIGLLVQGLGVELVPYAPLLVVPLLRCMSDVDSSVRQSVTRSFAALVPMLPLARGVPPPVGLSKDLSSNAEDAKFLEQLLDNSHIDDYKLCTELKVQLRRYQQEGINWLGFLKRFKLHGILCDDMGLGKTLQASAIVASDAAERRGSTDEPDVFPSIIVCPSTLVGHWAFEIEKYIDLSLLSVLQYVGSVQDRVSLREQFNNHNVIITSYDVVRKDVDYLTQFSWNYCILDEGHIIKNAKSKITSAVKQLKAQHRLILSGTPIQNNIMELWSLFDFLMPGFLGTERQFQASYGKPLLAARDPKCSAKDAEAGVLAMEALHKQVMPFLLRRTKEEVLSDLPEKIIQDRYCDLSPVQLKLYEQFSGSGAKQEISSIIKVDGSADSGNADVAPTKASTHVFQALQYLLKLCSHPLLVLGDKVTEPVASDLSAMINGCSDIITELHKVQHSPKLVALQEILEECGIGSDASSSDGTLSVGQHRVLIFAQHKALLDIIEKDLFQTHMKSVTYMRLDGSVVPEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMRDHQVNKLWQAMDRAHRLGQKRVVNVHRLIMRGTLEEKVMSLQRFKVSVANTVINAENASMKTMNTDQLLDLFASAETSKKGGASSKNGSEDNDQIAGTGKGLKAILGNLEELWDQSQYTEEYNLSQFLAKLNG >Al_scaffold_0005_234 pep chromosome:v.1.0:5:1579626:1580246:-1 gene:Al_scaffold_0005_234 transcript:Al_scaffold_0005_234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD63] MEVNKQNTNSTHISQTDECIVAIQQAASWVLSVDRLRGLFVMLMDVFCEADPLSVWDATWDVLFKDVLFPQQIIFNDHEPEYAYNEIQNFETFMRKENSTFNVYAELRKRVALAGGPTCDPSLEYKMRDQFNNLKID >Al_scaffold_0005_2345 pep chromosome:v.1.0:5:17010258:17010662:1 gene:Al_scaffold_0005_2345 transcript:Al_scaffold_0005_2345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1163) [Source:Projected from Arabidopsis thaliana (AT3G54410) TAIR;Acc:AT3G54410] MLLLLHHLHKSKLFIYNNLKYRLPQLLKVSAVVSDEDIGSIGTCSMEDVKERKQVTFGSQFSLTDCRKKTSGVMSYACHEVTLRFEPGSDMKASVFGKHPRCKNF >Al_scaffold_0005_2357 pep chromosome:v.1.0:5:17057048:17058512:-1 gene:Al_scaffold_0005_2357 transcript:Al_scaffold_0005_2357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LUU8] MEADGQETSTSKTISIPVGIGCNYSKTSSEKLSCNVVSPCLGPFGRSSFAEAKKRLEKLERDYQHTYKKYTELQSDRYRYYIVLQHPGHHSRWVGYCIVRSKNVVEQLQNVLDKLNTEEASQRGRETPTNGKQFFLMHGRKSLAQEKMVLQQLKAENKEQYTDGLCQETIDVKRINEEFRCIQRIVPRTSNKKSIHDLLRRVKEIKKLTQKAISNGALMGNHTSLDNMKNSTSVLIKFEKENKELENILQDRVHFARKNEKGYTEKMRVLWEKCKCIGEKRDAEKKFLLDLKKSFREMHKTASQRKGCRKG >Al_scaffold_0005_2360 pep chromosome:v.1.0:5:17068834:17069856:-1 gene:Al_scaffold_0005_2360 transcript:Al_scaffold_0005_2360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF506) [Source:Projected from Arabidopsis thaliana (AT3G54550) TAIR;Acc:AT3G54550] MPFRSKVQPININGVAMRQAPRSRLKRLFERQFSLKNLAGVDSSLSRSNPEEFEPSSVCLRRMVQNYIEDPDSETQSQCIVRNHFNCFSGSGTDSSDEDEESSSSSRVLRSLKSLLLCANVSESDLETKASEIVKREVEDKSRLKNVADELVALGYDAAICKSRWEKSKLKSYRVPAGDHEYLDVNIGGERVLIDIDFQSKFKIAKPTKTYESISKTLPNIFVGQVERLKKVVVFVSKAAKKSFKKKGLFMPPWRRAEYLLTKWVSQYDRAKQTQRETSGEAGAAEN >Al_scaffold_0005_237 pep chromosome:v.1.0:5:1589097:1590370:-1 gene:Al_scaffold_0005_237 transcript:Al_scaffold_0005_237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQD8] MDEHVDDFKPIKLCWSIQVKVLNKWRERSEAGYRTLRMILCDKRGSMIEAKINSELITEYDSEFKDGDWISLHHFELDLVTGDMRTTRHAYRINFLPSTILNLIPDKDDLHYTLYPSSFLDVLDDKLIRTYLINLIGYVVDIEDIKYSDPSKRSAGYAMTSFKIKDANNYVLPCVAIGDLAEHFHDEWKRTRSSRVVCVLRWWGVYKVSGHMVIQSVGRCSRLDLDPDMPEVADFRVNIWSCLGRLLRQALRGIEPERKFMCSGEVLEGDEFWISCLT >Al_scaffold_0005_238 pep chromosome:v.1.0:5:1591223:1592056:-1 gene:Al_scaffold_0005_238 transcript:Al_scaffold_0005_238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LQD9] GDRIQASIGLDCLAYDSSRLVEGTWIFIKDFGLVDAVGSVRPTRHAYKILWNLSTSFQRTVVTASVDYFKFVRFEDVLAGLVDPSVCVDLIGRLMCVGNYDEDEGMNSTWEQIYLELENVRGIRIRCRLPKGYATKFFSGLKTCADNIILCVMRFARLELSRGDMRATTLCTCTELLFNPSCDEASRMRLAFASVERKLF >Al_scaffold_0005_2388 pep chromosome:v.1.0:5:17200745:17203295:1 gene:Al_scaffold_0005_2388 transcript:Al_scaffold_0005_2388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT3G54840) TAIR;Acc:AT3G54840] MGCATSLPDRNSGALSGLSNSENAVPADAKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDATSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYSALAPLYYRGAGVAVIVYDITSPESFKKAQYWVKELQKHGSPDIVMALVGNKADLHEKREVPTEDGIGLAEKNGMFFIETSAKTADNINQLFEEIGKRLPRPAPSS >Al_scaffold_0005_240 pep chromosome:v.1.0:5:1594212:1595280:-1 gene:Al_scaffold_0005_240 transcript:Al_scaffold_0005_240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRW8] MSQNSSHDITSDVDQPDVCVDFADLGPGMVTMRVKVRIIRRFVCPAYGARFVEFVLEDPKGQKIHAVLGGDVAQRFSSILIEGNCIILSDFVVRMALGRFRPSSHRFRLGSNALTSVNLIRPFSQSDNFHFAKFSDIKEGHLNPCFCVDLIGRLLVTFDFRRSESNRVNHRFFFQMKDRSGVRLIFRLPDVFVDSFMNERKKWIGDFQILIVRFAKLEVVEGNVTATTACTCTQFFFDHECPEFLVMRRFFTRK >Al_scaffold_0005_241 pep chromosome:v.1.0:5:1596518:1599534:-1 gene:Al_scaffold_0005_241 transcript:Al_scaffold_0005_241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQE1] MAYFHDVSILRPCITGWHIRVKVLRMITVCINPRNALRLVLVDDKFRVLENSDRVRLTNHHFRMSVFGTTVVLPADPPSTEPRDSFTQFSSIIDGTVDESVLIDLIGVLSDVGELVNVGTNPSDLTGFRLSFRIRGPCGNAIEGLVSGRSAMEFRKHYDLCVSKPLVCIMRLWKVDRYFDGPKNVRIVNQGLISKVLPYPDVPEAAEFCTMSGQKIHAVINKEYEDRRTSKIVEGNWIRAFRPVPHRFKIVWNSGTTIKDIRPLCSAEFFSFVAFEDIKSGSLDPTLCVDLIGRVVRVGNGRESGPPASDWNELFLELENEEGERLQCRLPNEYSNAFFNEWRNCLDNIIICVLRFARLEVTRDFWRATTVYTCTRILLNYRCVEVTRMRDVFYDRREADD >Al_scaffold_0005_242 pep chromosome:v.1.0:5:1600103:1601614:-1 gene:Al_scaffold_0005_242 transcript:Al_scaffold_0005_242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQE2] MSEQIYLSNLSRGRTLKYVRVKILSLWRVRIYGFRCKTEMLLADEQGTKIEGTIGCGPFHNVDMRELREDAWYTISDFVVSVPIRRTPNTLHPFHIKFHSDTKMTLIYDLYSPNFFEFAKYSVIKRRLLEANRPFDLCGVVVYVSEIKRMTYVPGEYDASTACNYLYFRLMDQKGRELPCFALGHYAADFMNMWTSRGYQASFRYQPVFCVLRFWKVDEFMGEPSISTRIGCSKIYLEPTLPEIKDLRMMSVFIARVTWAIEEGEDCDDAGEVVDQNS >Al_scaffold_0005_2424 pep chromosome:v.1.0:5:17364909:17367003:1 gene:Al_scaffold_0005_2424 transcript:Al_scaffold_0005_2424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LV19] DYRTWLADIALFRRKTKVSLLAVGSYDNTVRILSLDPDDCLQILSVQSVSSAPESLLFLEVQASIGGEDGADHPANLFLNSGLQNGVLFRTVVDMVTGQLSDSRSRFLGLKPPKLFSISVRGRSAMLCLSSRPWLGYIHRGHFHLTPLSYETLEFAAPFSSDQCAEGVVSVAGDALRIFMIDRLGETFNETVVPLRYTPRKFVLQPKRKLLVIIESDQGAFTAEEREAARKECFEAGGVGENGNGNADQMENGADDEDKEDPLSDEQYGYPKAESEKWVSCIRVLDPKTATTTCLLELQDNEAAYSVCTVNFHDKEYGTLLAVGTVKGMQFWPKKNLVAGFIHIYRFVEEGKSLELLHKTQVEGVPLALCQFQGRLLAGIGPVLRLYDLGKKRLLRKCENKLFPNTIISIQTYRDRIYVGDIQESFHYCKYRRDENQLYIFADDCVPRWLTASHHVDFDTMAGADKFGNVYFVRLPQDLSEEIEEDPTGGKIKWEQGKLNGAPNKVDEIVQFHVGDVVTCLQKASMIPGGSESIMYGTVMGSIGALHAFTSRDDVDFFSHLEMHMRQEYPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPTLPMDLQRKIADELDRTPAEILKKLEDARNKII >Al_scaffold_0005_243 pep chromosome:v.1.0:5:1604499:1606336:1 gene:Al_scaffold_0005_243 transcript:Al_scaffold_0005_243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQE3] MAYSRNIYDNNGAGLEDTVYRYLCPVRKAGSIIGRGGEIAKQIRSETKANMRINEALPGCDERVVTIYSNSEETNRIEDDEDFVCPAFDALFKVHDMIVAEEFDNDGDYDNGDEYSERQTVTVRMLVPSDQIGCLIGKGGQVIQKLRNDTNAQIRVINDNLPICALALSHDELLQIIGDPSAVREALYQVAFLLYDNPSRFQNYFLSSSSTLQHQPGGILMSPAITSSHKNYSAPRDAADARVFSICFICPAENVGGVIGKGGCFINQTRQESGATIKVHTPETDEDDDCIIFISAKEFFEDQSPTVNAALRLQTRCSEKVGKDSSDSAISTRVLIPSSQVGCLIGKGGALISEMRSVTKANIRIFQGEDVPRIARENEEMVQITGSLDAAIKALTQVMLRLRANVFDMDRGLVLLPTFFPYISQTIETSSKPRHRKGENHPHGSEEIARNEDYGSQTNLKSPRRNRVY >Al_scaffold_0005_2437 pep chromosome:v.1.0:5:17446447:17452266:1 gene:Al_scaffold_0005_2437 transcript:Al_scaffold_0005_2437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LV33] MRIDDSIAAFSLALAFHNAHMRNMVTSHSMYFVITITLLFSGIFESCNTTKLHDVEVRALKEIGKKMKKKDWDFSNDPCSGKGNWIVNTNPLINSNLTCDCSFHPPNSSCHVIAIVLTSQNLTGIIPPEFSQLRYLKTLNLNRNCLTGSIPKEWASMHLESLNLEGICSNKFSHLKLYRNLEGNRFSGPISPEIGKLVNLKQLFLSSNSFTGYLPEQLGQLRKLTDMRISDNAFTGQIPNSIGNWTQLSHFQISDLGGKSSSFPPLQNLKSLKTLELRRCNIYGQIPKYIGDMTSLKTLDISSNNFTLQSSIPSGDCDQGYPCYFQHFPCLLPKREYKYKLYINCGGDEIKVKEKTYEANVEGQRPTTFVYGSDKHWAFSSTGHFMNDLTEVDDYTVSNTSTLLADASSPSFVLYKTARISPLLLTYYGLCLGNGEYTVSLHFAEIIFTSDNTFYSLGKRVFDIYVQDKLMIKNFNIKEAAGGSGKPIIKTFLVDVTNHNLKISLRWAGKGTTTLPIRGVYGPMISAISVEPNFKSPEHDDKKNILLIVGIIVAAVILIVVIITVIICLWKRRCYKNAMDKELRGLDLQTGTFTLRHIKAATNNFDAANKIGEGGFGSVYKGVLSEGRTIAVKKLSSKSNQGSREFVNELGMISSLQHPNLVKLYGCCVEKKQLILVYEYLENNCLSRALFGSRLKLEWPTRKKICLGIAKGLTFLHEESVIKIVHRDIKASNVLLDEDLNAKISDFGLAKLNDDENTHINTRIAGTPGYMAPEYAMRGHLTEKADVYSFGVVALEIVSGKSNSNVKQPSENLECLLDQAYVLQDMGCLLDLVDPVLGSAYSKEEAMVILNVALMCTNTSPALRPKMSQVVSLLEEKTAMKNLLSDPNFSAVNPKLKALRRFFWQSESSTSGPRTTDSVDAEENTSKDEIVEEPELECE >Al_scaffold_0005_2471 pep chromosome:v.1.0:5:17615293:17616908:1 gene:Al_scaffold_0005_2471 transcript:Al_scaffold_0005_2471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:D7LV71] MEMLLGGQATNGALKSKTKIVCTLGPASRSVVMIEKLLKAGMNVARFNFSHGSHSYHQETLDNLRTAMANTGILCAVMLDTKGPEIRTGFLKEGKPIQLNQGQEITISIDYTIEGDSNTISMSYKKLAEDLKPGDVILCSDGTISLTVLSCDKYLGLVRCRCENSAILGERKNVNLPGIVVDLPTLTEKDKEDIMQWGVPNKIDIIALSFVRKGSDLIEVRKLLGEHSKNIMLMSKVENQEGVMNFDKILENSDAFMVARGDLGMEIPIEKMFLAQKTMIKMANALGKPVVTATQMLESMTVSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAHPETAVLTMSRICKEAENFIDYDILHKKTLGIVSVPLSPIESLAASAVSTARSVFATAIVVLTKGGYTAELVAKYRPSVPILSVIVPEIAQGNDIELSCSDSVAHVARRGLIYRGIIPVVATGSSARDLNKDATEEMIRFAIGFAKTKGICKTGDSIVALHKIDGSSVVKIVTVE >Al_scaffold_0005_2479 pep chromosome:v.1.0:5:17639450:17641296:1 gene:Al_scaffold_0005_2479 transcript:Al_scaffold_0005_2479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LV80] MDERKVRKIIMFPLPFPGHFNPMIQLARIFHHRGFPVTILHTSFNSPNPSHYPLFAFRTIPHNNEGGEDPLTQPEASSMDLVAFIRLLRQTYAETFRQSLAAEVGGGETMCCLVSDAVWARNTEVAAEKVGVRRVVLITSGVASFCAFAAFPLLRDKHYLPIQDSRLDELVTEFPPLKVKDLPVMETNEPEELYRVVNDMVKGAESSSGLIWNTFEDLERLSLMDFRSKFQVPIFPIGPFHKHSENLLPMIKNKEDHVTTDWLNKQDPKSVVYVSFGSLANIEEKEFLEIAWGLRNSERPFLWVVRPGLVRGTEWLEALPSGFVENIGQKGKFVKWVNQLEVLAHSAVGAFWTHCGWNSTLESICEGVPMICTPCFTDQFVNARYIVDVWRIGIELERTTMDRKEIEKVLRSVVIKEGDLIREMCLKLKERATVCLSIDGSSSIYLDTLVNHVLSFDPYDVAS >Al_scaffold_0005_2488 pep chromosome:v.1.0:5:17684779:17686394:-1 gene:Al_scaffold_0005_2488 transcript:Al_scaffold_0005_2488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:D7LV90] MEMLLGGQATNGALRSKTKIVCTLGPASRSVVMIEKLLKAGMNVARFNFSHGSHSYHQETLDNLRTAMANTGILCAVMLDTKGPEIRTGFLKEGKPIQLNQGQEITISIDYTIEGDSNTISMSYKKLAEDLKPGDVILCSDGTISLTVLSCDKYLGLVRCRCENSAILGERKNVNLPGIVVDLPTLTEKDKEDIMQWGVPNKIDIIALSFVRKGSDLIEVRKLLGEHSKNIMLMSKVENQEGVMNFDKILENSDAFMVARGDLGMEIPIEKMFLAQKTMIKMANALGKPVVTATQMLESMTVSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAHPETAVLTMSRICKEAENFIDYDVLHKNTRGMVSLPLSPIESLAASAVSTARSVFATAIVVLTKGGYTAELVAKYRPSVPILSVIVPEIAQGNDIELSCSDSVAHVARRSLIYRGIIPVVATGSSARDSNKEATEEMIRFAIGFAKMKGICKTGDSIVALHKIDGSSVVKIVTVE >Al_scaffold_0005_2498 pep chromosome:v.1.0:5:17723023:17723403:1 gene:Al_scaffold_0005_2498 transcript:Al_scaffold_0005_2498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVA0] MKKALTTRRPPIDIYFIRSEVTEVMEKLHASDAETIPRATRIEMVNQLNCYVIMSYWRLCDDYEYGPIIESCRKDVLLLLNEIYPPIIDTPEN >Al_scaffold_0005_2534 pep chromosome:v.1.0:5:17865825:17867518:1 gene:Al_scaffold_0005_2534 transcript:Al_scaffold_0005_2534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVD9] MEAPRSVGGRIQRKPLADCTNTVSRSSQQSSSSVKFANPSLTSSLKRIVEQTTLKEKPKDVITSETTSESASRSLATNVRPVTRRMSADLGSPASAPSRPQTSRSDMGVSDKDDFAEPWSVYTVRRKASGQKRSKDASSSTSAAARLRLDLTSSSRKKTHQASENKKKTTLKVAPKKRQRTLKPEKKDPVNAACQDYIEKQKAYFAEIDAFELPIEEVSNSDSD >Al_scaffold_0005_2539 pep chromosome:v.1.0:5:17885821:17888093:1 gene:Al_scaffold_0005_2539 transcript:Al_scaffold_0005_2539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteinyl-tRNA synthetase, class Ia family protein [Source:Projected from Arabidopsis thaliana (AT3G56300) TAIR;Acc:AT3G56300] MEVEKPELTLYNTMTQLKEVFKPINPGKIGMYVCGITAYDYSHIGHARAAVSFDLLYRYLRHLGYEVHYVRNFTDVDDKAKKCGEKPLDLSNRFCEEYLLDMTALQCLIPTRQPRVSDHMEHIIKMIEKIIENGCGYAVGGDVFFSVDKSPSYGQLSGQRLDHTQAGKRVAVDSRKRNPADFALWNAAKSGEPSWESPWGPGRPGWHIECSAMSAHYLSPRFDIHGGGADLKFPHHENEIAQTCAACEDSGVNYWLHNGHVTNNNVKMGKSLDNFFTIREITAKYHPLALRHFLMSAQYRSPLNYSVSQLESSSDALYCAYQTLEDLVKYLSPYREEMSGDVGKTQQTAEAKEMIKKVKNALKFINVSISKLKKIQKKQRMSLIVSLVEVEKAVREVLDVLGLLTTLSYDEFLKEMKQKALTRAGIGEEEVLRRIDERKLARKNKDFKRSDQIRELLAAKGIALEDIPGKDTVWRPCTPLSLNPV >Al_scaffold_0005_2541 pep chromosome:v.1.0:5:17892029:17894465:-1 gene:Al_scaffold_0005_2541 transcript:Al_scaffold_0005_2541 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP/OAS1 substrate-binding domain superfamily [Source:Projected from Arabidopsis thaliana (AT3G56320) TAIR;Acc:AT3G56320] MGDIQERLSVSSSSSSSSSSLSLSTAQPKADSLLIEEESWMIAEERAHEILCTIQPALVSDKSRNEIIDYVRTLIKSHDGIEVFSFGSVPLKTYLPDGDIDLTVLTKQNMDDDFYGQLCSRLQNEERESEFHATDVQFIPAQVKVIKCNIRNIAVDISFNQTAGLCALCFLEQVDQLFGRDHLFKRSIILVKAWCYYESRILGANTGLISTYALAVLVLYIINLFHSSLSGPLAVLYKFLDYYGSFDWNNYCISVNGPVPISSLPELTAASPENGHELLLDEKFLRNCVELFSAPTKAVDSNGLDFPIKHLNIVDPLKYSNNLGKSVTQGNVQRIRHAFTLGARKLRDVLSLPGDTMGWRLEKFFRNSLERNGKGQRQDVNDPVTAFGTGRSELSELSGDFEGYFGRLVYGQIHGYSLPGTFQHGYIPVSSQVTDPSGWDIVRHLVTYRKNEFYLKSLNVSTSLPNGCQNMRRGTGTYIPDMSQQVYSDRFRDSGTGTSSTHHLEASAEAIDNDGASSCCNLSGEVSTCTGNKGEECVRPETNSNPDQKPVLKSQRSEHLEIDDNCQFPPPENLVEPLSSSTLVLENGKEENSRSSQTMNGS >Al_scaffold_0005_2542 pep chromosome:v.1.0:5:17896096:17897871:1 gene:Al_scaffold_0005_2542 transcript:Al_scaffold_0005_2542 gene_biotype:protein_coding transcript_biotype:protein_coding description:N2,N2-dimethylguanosine tRNA methyltransferase [Source:Projected from Arabidopsis thaliana (AT3G56330) TAIR;Acc:AT3G56330] MLSTLSPKTLSSSFTVQKSQNPRCKSPNSCRFQSSKCRFNPIFVKSEVQTERNLEFETGETFFRHESARGRDLGILSATLYKRSNGSLRVLDAMCGCGIRSLRYLVEADADFVMANDANDANRRVITDNLSKVERGVGDERRWVVTHMLANKAMIERYMVADFFDMIDIDSFGSDSSFLRDAFNALRLGGLLYLTSTDGYSSGGHRPYNSLAAYGAFIRPMPFGNEIGLRMLIGGAVREAALLGYHVMPLFSYYSYHGPVFRVMLRVHRGKLHEDRNYGFVTHCNLCGHSHTLRWDELGLMGCPCSDTKASSSLVVSGPMWLGPLHDASYVTEMLELAKEWGWVSEGTGMDLEKLLSIMIEESDPRLPPGYTKMDEMASRAKMNSPPLKKMMSALVKEGYAASRSHIIPNALKTDCPMSHFVRIAKDNLQS >Al_scaffold_0005_2547 pep chromosome:v.1.0:5:17917045:17917962:1 gene:Al_scaffold_0005_2547 transcript:Al_scaffold_0005_2547 gene_biotype:protein_coding transcript_biotype:protein_coding description:response regulator 17 [Source:Projected from Arabidopsis thaliana (AT3G56380) TAIR;Acc:AT3G56380] MNNGCGSGSESCLSSMEEELHVLAVDDNLIDRKFLERILKISSCKVTTAENGLRALEYLGLGDPQQTNVMKVNLIITDYCMPGMTGFELLKKVKESSNLKEVPVVILSSENIPTRINKCLASGAQMFMQKPLKLSDVEKLKCHLLNCRS >Al_scaffold_0005_2548 pep chromosome:v.1.0:5:17918917:17920050:1 gene:Al_scaffold_0005_2548 transcript:Al_scaffold_0005_2548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVF4] MEEIMSMIFNGINLVKELEFSLSSQESPESDLSSYLSSISTLFGDANERLKILLAWRNSLAQYQPEPEPVPMFDIPMQNDQSLMQDHWFNFRYPVMQTVEGNATSRPRHQRRKKDIGEEETVLAAVERIEIPPDDNYTWRKYGQKEILGSRFPRAYYRCTHQKLYKCPAKKQVQRLDEDPHTFRITYRSSHTCHSFTTSPISSLAPATATTANVPDVANMAEAMFGNMDSVVPLGKPCFNHHCLVPGDDGDGEGTHGTHLRRDTTCPS >Al_scaffold_0005_2561 pep chromosome:v.1.0:5:17975338:17977088:1 gene:Al_scaffold_0005_2561 transcript:Al_scaffold_0005_2561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7LVH3] MGKLQDRSITTCLFLFFLQASQIHCTSQSHVRNRLYRSKRGIDSSIDTSHLNAIRHLSVSLSLQNISGVNQQEQRERDRIENLPGQPSVSFTQYGGYVTVNESAGRSLYYYFVEATKTKESSPLVLWLNGGPGCSSLYGAFQELGPFRIHSDGKTLYTNPYSWNNVANILFLESPAGTGFSYTNTTTDMENPGDMKAAADNYVFLVKWLERFPEYKGREFYIAGESYAGHYVPQLAQTILVHNKNQTFINLRGILIGNPSLGEDEMGGEYEFLASRGFVPKETFLSFKKNCLDVNPSDDTTYCIDTSLKFEDILESMNKYNILAPMCLNTTLTNQSKECTTVMQFDTCGEHYLEAYFNLHEVQRSMHVTKQPYMWTLCREALGHTYWNKTDYYASMLPILKELMKHEQLRVWVFSGDTDAVISVTVTMYALKMMNLTVVTEWLPWFSEGQVGGFTEEYRGNFRFATVRGAGHEVPLFKPKAALTLFKHFILNSPLPLTP >Al_scaffold_0005_2573 pep chromosome:v.1.0:5:18014317:18022934:-1 gene:Al_scaffold_0005_2573 transcript:Al_scaffold_0005_2573 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: F-box and associated interaction domains-containing protein (TAIR:AT1G32420.1); Has 58 Blast hits to 47 proteins in 2 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 58; Viruses - 0; Ot /.../karyotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G56670) TAIR;Acc:AT3G56670] MMKRGREENCHETSPSPKRLLHGGVIDIPLDLTVEILKKLPAKSLVRFQCVSKLWSSIISSRRDFIESIVTRSLTQPPRDAHFIFDVAPGPYVECFLALSSTCPPNTDIEAEISMPGRLGQYVRGLICCFSGLKLKTIGSRLVKFSRWEIPRRSSGGNSKVLDLITLHLKDAEKETQGWSRIFFYEMHGFSNWRLLGATRGGEIVFGELRYRLYNEKLLRVLYYDPKLNSMRYVDLEGTLPKDRRRYDFTSI >Al_scaffold_0005_260 pep chromosome:v.1.0:5:1712106:1714115:-1 gene:Al_scaffold_0005_260 transcript:Al_scaffold_0005_260 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G03280) TAIR;Acc:AT2G03280] MEIRSESTLMRSDYKPPLSQAIPKARLQVWFFRVCSCILVWTCLIQLFWHSQIFTGLTNQISRFSLPVESVPLPPPPRRNYTSNGVLLVSCNGGLNQMRAAICDMVTVARLLNLTLVVPELDKKSFWADPRYMFVFAGFKKSHVDFVAKAILVSVFYSDFEDIFDVKHFIDSLRDEVRIIRRLPKRYSKKYGYKLFEMPPVSWSNDKYYLQQVLPRFSKRKVIHFLRSDTRLANNGLSLDLQRLRCRVNFQGLRFTPPIEALGSKLVRILQQRGSFVALHLRYEMDMLAFSGCTHGCTEEEAEELKKMRYAYPWWREKEIVSEERRVQGLCPLTPEEAVLVLKALGFQKDTQIYIAAGEIYGGAKRLALLKESFPRIVKKEMLLDPTELQQFQNHSSQMAALDFIVSVASNTFIPTYYGNMAKVVEGHRRYLGFKKTILLDRKRLVELLDLHYNKTLSWDQFAVAVKETHQGRRMGEPTHRKVISDRPKEEDYFYANPQECINENDIVH >Al_scaffold_0005_2603 pep chromosome:v.1.0:5:18172623:18175554:1 gene:Al_scaffold_0005_2603 transcript:Al_scaffold_0005_2603 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidyl inositol monophosphate 5 kinase 4 [Source:Projected from Arabidopsis thaliana (AT3G56960) TAIR;Acc:AT3G56960] MSKEQSCVLKAWEVTVRKTQQAKKRANSIFGTVSVSPQTDDDTTTTTEEIDDETSTNRSSIGELYHAERILPNGDYYTGQWYDSFPHGHGKYLWTDGCMYIGDWYNGKTMGRGKFGWPSGATYEGEFKSGYMDGVGLYTGPSGDTYKGQWVMNLKHGHGIKRFANGDVYDGEWRRGLQEAQGKYQWRDGSYYMGEWKNGMICGKGTFIWTDGNRYDGFWDDGFPKGNGTFKWADGSFYVGHWSNDPEEMNGTYYPSGDEGNPEWDPKDVFGNLSEYKICSGERVPVLPSQKKLSVWNSSKRVEKPRRMSVDGRVSVGVDRAFEKMNMWGTESGEGAADIDSTTRRDLDAEMMRLEAEGFIQSLRPSPAPMRLPRAGRKQGETISKGHRNYELMLNLQLGIRHAVGKQAPVVSLDLKHSAFDPKEKVWTRFPPEGTKYTPPHQSSEFKWKDYCPLVFRSLRKLFKVDPADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSETKVLLRMLAAYYNHVRAFENTLVIRFYGLHCVKLTGPIQKKVRFVIMGNLFCSEYSIHRRFDLKGSSLGRTTDKPESEINSNTILKDLDLNFIFRLQKAWYQEFIRQVDKDCEFLEQERIMDYSLLVGIHFREASVAGELIPSGARTPIGEFEDESAPRLSRADVDQLLSDPTRWASIRLGGNMPARAERTMRRSDCEFQLVGEPTGEYYEVVMIFGIIDILQDYDISKKLEHAYKSIQYDPTSISAVDPRLYSRRFRDFIFKVFTEDN >Al_scaffold_0005_262 pep chromosome:v.1.0:5:1720037:1742656:-1 gene:Al_scaffold_0005_262 transcript:Al_scaffold_0005_262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQF8] MTFFSPTQVFLNYRGEQLRYSFVSHLVDAFERNEVNFFVDKYEQRGKDLKNLFLRIQESRIALAIFSTRYTESSWCMDELVKMKKLADKRKLHVIPIFYKVKVDDVRKQTGEFGDNFWTLAKVSSGDQIKKWKEALECISNKMGLSLGDKSSEADFVKEVVKAVQSVVAVIGLEEGENHFGKKKRKDCKCELPDFKKSRTKKL >Al_scaffold_0005_2627 pep chromosome:v.1.0:5:18286502:18289191:1 gene:Al_scaffold_0005_2627 transcript:Al_scaffold_0005_2627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVP7] MAGLYSSKPTVSSSPSSSSSTSSSSYSRLFLLVTLLPLSLACLAFVLQWRGGLDDPVTRWSIDHHEFPGMVTTQEKRSLRRSVSDSGCVDLLGQSRAPSFPYFRNWKFDYDSDLKPRICITTSTSAGLEQTLPWIYFHKVIGVSTFYLFVEGKAASPNVSRVLETIPGVKVIHRTKELEEKQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAITMAQDAGMEWIIHLDTDELIHPSGTHEYSLRKLLGNISADVDIVIFPNYESSVERDDIREPFSEVSMFKKNYDHLLRDVYFGNYKDATRGNPNYFLTYGNGKAAARVQDHLRPNGAHRWHNYRKSPNEVKLEEAAVLHYTYPRFSDLTSRRDRCGCKPTKVDVKRCFMLEFDRAAFIIASTASSEEMLQWYREHVVWTDEKLKLKLLRKGILTRIYAPMVIIQELREAGVFSSVVIAAHMSLSNNPSTADSTSGITRESSEATGRRRVLEFHLDF >Al_scaffold_0005_2628 pep chromosome:v.1.0:5:18290907:18291982:-1 gene:Al_scaffold_0005_2628 transcript:Al_scaffold_0005_2628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVP8] MIDHQGTNLDISHVKRYNMTLTLAASYYITLVAMDPSSGKLVHFQTNVNEECCGKFALTSFIARPRRGPKATDSSGRRFCPMGSLPEFPQENPFENENRFYLVDESELEDNDWIRLYLELSVARSDWTSKDHDLSHLKIVNVAIETMEPPSESSLTAKNATVYIRYIDSCVARCGQKFDRIAVVRRRFNELTGCFILDGWNQSSEIIPEKQSSEIIPEKQSSEIIPEKQSSEIIPKKDTSLSSKLLSRRLIRRLIRRLIRKSRRTWRGRCAYTNDGVGLAHRLCKTRSIESAIRKYTQKGYDSDCETPESDCETSVTAFKRSQAMADI >Al_scaffold_0005_263 pep chromosome:v.1.0:5:1749976:1750125:-1 gene:Al_scaffold_0005_263 transcript:Al_scaffold_0005_263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQF9] VTSSNSESMKKIYMKVKSKGKRSEKFQEVVATLLKKILIVLKTEEVLAQ >Al_scaffold_0005_2635 pep chromosome:v.1.0:5:18316888:18317603:-1 gene:Al_scaffold_0005_2635 transcript:Al_scaffold_0005_2635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVQ6] MEDQERGNPKHKLGVWEREVNRLKFPTIMLPHHLVEKILEKLSIKSLFKFKCVSKEWKLTMMSQYFKERHMISQRSHDPNILFVQTNRNYSNAPTEICVNTLTLRSSVFVESRSYYPFCDSSFAIVTKSCDGLICIYSFTQWIYVINPSIKWYRSLPLARFQNLIEDMENRRGTHLSLGCIMYALLFRF >Al_scaffold_0005_2636 pep chromosome:v.1.0:5:18318506:18319868:-1 gene:Al_scaffold_0005_2636 transcript:Al_scaffold_0005_2636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVQ7] MEDQERGNHKPKLGVWEREVNGVKRPTIMLPHHLVEKILEKLPVESLIKFKCVSKEWKLTMMSQYFKERQMMFSQRSHDPNILFVQTNRNYWNAPAKICVNTLTLRSSVFVKSRSYYPLCDKSFALVTKSCDGLICMYGFMRWIYVINPSIKWYRSLPLARFQNLVEHLDTRRAPDGRIAFPLLGLGRDNKNGVYKLVWLYNSKVLELDNTTTCEVFSFNSNIWRNVTGSPYEVDYCDNPTYVDGSLHWLSTVTNSKRHIVCFDLSSETFEVSMKIPFTTDGHVTISNMKDRLCISEGKDMKQEIWSLNSGKKWEKTYSIDLNRTSIWFRHDPNLPTQTITTFQKNKILLHSWKIIGHTLIVHDPEQNSYGLAFKFKHRGISIAYFPSLLTV >Al_scaffold_0005_2637 pep chromosome:v.1.0:5:18321161:18321864:1 gene:Al_scaffold_0005_2637 transcript:Al_scaffold_0005_2637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVQ8] MAAQSSKLKAQSSKLKAQSSKLVFSSTNGQPLISLPDPICRHKWLIRLKMVQSFVVRSVDGNSSETPASLSYTAEVSKPIVEKTSNRIPLWMKLLPMKRLSQSQ >Al_scaffold_0005_2638 pep chromosome:v.1.0:5:18323035:18323592:1 gene:Al_scaffold_0005_2638 transcript:Al_scaffold_0005_2638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVQ9] MSTGDSATSPPKKLSEHEKKQMLKELQKQAYEALLCAFGVENKVIDDDVKKLLNIEEESPEEKRKIAYEAMVLATTFNYSSLEFRDDVLARLRNQLHITDSFHRECQIRVNNNSLLVQFTGEASGSNNVGETSRWSISYVKGNILRLKRTKK >Al_scaffold_0005_2653 pep chromosome:v.1.0:5:18392440:18393061:1 gene:Al_scaffold_0005_2653 transcript:Al_scaffold_0005_2653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVS4] MVKIPCSHAIAAAVRCDMRVPDLAAPEYGSFFWTLAYNGGIHPVPDLCTLRNVPDGVATLNVLPPLTRRPPGRPKRSRFLSAGEYKKAVPFACVRTARRSKMKWKRKKSSG >Al_scaffold_0005_2655 pep chromosome:v.1.0:5:18394725:18395366:-1 gene:Al_scaffold_0005_2655 transcript:Al_scaffold_0005_2655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LVS6] VMDACVSVLRETLFDNTDPASDPRADLLPCKFYGSLAVLYAKFKKVKRKETFEFYPALINEITTRFKATGRVWLSHIDHLLSPFNIDKNRWIAVHIDLTSHTLTVLDPTAAARRGSRLKPELEFICEMFPYLVRKVGGSDLMKNFPLQPLTFARNTHVSQATNIANSGMLSLLLLEAYATGGMDKAVHVKEDGMRLRAEELVVQMYEHCCGEL >Al_scaffold_0005_2657 pep chromosome:v.1.0:5:18400602:18401223:1 gene:Al_scaffold_0005_2657 transcript:Al_scaffold_0005_2657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVS4] MVKIPCSHAIAAAVRCDMRVPDLAAPEYGSFFWTLAYNGGIHPVPDLCTLRNVPDGVATLNVLPPLTRRPPGRPKRSRFLSAGEYKKAVPFACVRTARRSKMKWKRKKSSG >Al_scaffold_0005_2659 pep chromosome:v.1.0:5:18402887:18405753:-1 gene:Al_scaffold_0005_2659 transcript:Al_scaffold_0005_2659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVS9] MANVDLPSRLFADREEPAGDRVNMYFKLNTIKAVLKALTPEELDTIRPCFGKLLDIYSKPVFSAVPGVLSAVGAGTDPESGDEDPFPVIAMKLEPVWDLDGVDEVEVLSVLPDCENVVGIEDCSWPDEVSDPSVDLMLQQLDDGVKFKREMFGGGIRGADVQVESPPRVIVKRKRKLRAKPSECSTSRGQSSRAKKSKVRAARSRFASLDSPRATLDTATALKAALKEAQSDVYAHICVELKSMELRLERSLKENINSAVAAAISGVVVENVLREVGIGVGQPYNKSPSSPSPSIRQTDPQPSPVVVAPENHSDQCQRPSQQQPPLANEQNAGPVEGTAFSESSGSRSRTPADEVIEEASMGGDCGDGQIVAGGDAVAMSANYKKLMVLDIPPMAFGDGLVIKDSELLMIPTIIPPGYPNVMDACVSVLRETLFDNTDPASDPRADLLPCKFYGSLAVLYAKFKKVKRKETFEFYPALINEITTRFKATGRVWLSHIDHLLSPFNIDKNRWIAVHIDLTSHTLTVLDPTAAARRGSRLKPELEFICEMFPYLVRKVGGSDLMKNFPLQPLTFARNTHVSQATNIANSGMLSLLLLEAYATGGMDKAVHVKEDGMRLRAEELVVQMYEHCCGEL >Al_scaffold_0005_2665 pep chromosome:v.1.0:5:18427745:18434541:-1 gene:Al_scaffold_0005_2665 transcript:Al_scaffold_0005_2665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVT5] MMLVGTENATAKTAASGECGEILKPRTDKRDYRRIVLKNSLEVLLISDPETDKCAASMNVSVGSFSDPQGLEGLAHFLEHMLFYASQKYPEEDSYSKNITEHGGSTNAYTSSEDTNYHFDINTDSFDEALDRFAQFFIQPLMSTDATMREIKAVDSEHQKNLLSDSWRMGQLQKQLSREDHPYHRFNTGNMDTLHVRPQANGVDTRSELIKFYDEHYSANIMHLVVYGKENLDKTQGLVEELFQGIRNTNQGIPRFPGQPCTLDHLQVLVKAVPIMQGHELSVSWPVTPSIYHYEEAPCRYLGDLIGHEGEGSLFHALKILGWATGLYAGEADWSMEYSFFNVSIDLTDAGHEHMQDILGLLFKHIKVLQQSGVSQWIFDELSAICEAEFHYQGKIDPISYAVDISSNMTIYPTKHWLVGSSLPSKFNPAIVQKVLDELSPNNVRIFWESNKFEGQTDKVEPWYNTAYSLEKITKFTIQEWVQSAPDVNLLLPTPNVFIPTDFSLKDFKDKDIFPVLLRKTSYSRLWYKPDKKFFKPKAYVKMDFNCPLAVSSPDAAVLSDIFVWLLVDYLNEYALINLYYVSAYYAQTAGLDYGLSLSDNGFELSLAGFNHKLRILLEAVIQKIANFEVKPDRFSVIKETVTKAYQNNKFQQPYEQATNYCSLVLQNQIWPWTEELDALSHLEAEDLAKFVPMLLSRTFVECYIAGNVEKEEAKSMVKHIEDVLFTNRKPICRPLFPSQFLTNRVTELGTGKKYFYHQEGSNSSDENSALVHYIQVHQDEFSMNSKLQLFELIAKQAMFHQLRTIEQLGYITSLSLSNESGVYGVQFIIQSSDKGPGHIDSRVESLLKDLESKFYNMSDEEFKSNVTTLIDMKLEKDKNLDEESWFYWAEIQAGTLKFNRIDAEVAALRELKKDELIDFLDKYIRLDAPNKKSLSICVYGNQHLKEMANDKDKIPSTSIEIEDIVCFRKSQPLYGSLKL >Al_scaffold_0005_267 pep chromosome:v.1.0:5:1769135:1771606:1 gene:Al_scaffold_0005_267 transcript:Al_scaffold_0005_267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQG3] MAPASASSVVVTKPLTKEDLIAYFASGCKPKEMWRIGTEHEKFCFEVKTLRPIKFEQITALLNGIAERYDWDKVMEKERIIGLKQGSKSISLEPGGQLELSGAPLETLHQTCDEIRSHLHQVKTVAEELEIGFLGIGYEPKASLEDVTIVPKRRLNIITDHLARATTSGPDVMFRTCTVQVNLDYSSETDMIRKFRASLALQPIATAIFANSPFSNGKPNGFLSVRSQLYTDSDKNRTGMIPFVFDDSFGFERYVEYALDIPMLFLFRNESYLDCGGMTFRDFMSGKISHLSNEQPNIKDWELHLGAIYPEVRLKRYLEMRGADGGPLDMLCALPAFWVGLLYDEDSLQTVLDMIDDWTTEEREMLRTQVPITGLKTMFRDRPLKHVAEDVLKLAKDGLERRGYNETGFLKALAEVVRTGVTPAEKLLELYNGDWGQNIDSVFQGLRY >Al_scaffold_0005_2689 pep chromosome:v.1.0:5:18569634:18570374:-1 gene:Al_scaffold_0005_2689 transcript:Al_scaffold_0005_2689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVW2] MKFWRNKGKERRKQWFLDNGSTFLKELIAGCNGKTNPIQSFSSDQILKATNGFDPSRYVTSDLYYTWFTGSIEDRSYMIKMFPEEKVRGDGDGIGAVYNDIVISARVTNHTLESL >Al_scaffold_0005_2693 pep chromosome:v.1.0:5:18577215:18584064:1 gene:Al_scaffold_0005_2693 transcript:Al_scaffold_0005_2693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVW6] MAHISDIKLIRTDTTLDLSQKAEKVLNSINWTAKLSSFSLSLPIPEGESGVYDMVCGTQGFAEPQYMRTGFVTENVDIYNLGFIMLSLLTGKHGIYQEPANGDSYNMIMLPDYVEKCLGRGPLAKLIDPSMLNSADDDIPDHSKLQMEAFVELALRCVRFRPEETKLHMIDVAKELKRIENQT >Al_scaffold_0005_2698 pep chromosome:v.1.0:5:18605545:18605805:-1 gene:Al_scaffold_0005_2698 transcript:Al_scaffold_0005_2698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LVX2] VDHRIIRKKIHVRVEQSRCAEEFNVRKKKKKNDELKAAAKVRDETISTKRQPKGPKLGFMVEGMTLETVTLTPIPCDVVNDLKGCY >Al_scaffold_0005_2711 pep chromosome:v.1.0:5:18657656:18660389:1 gene:Al_scaffold_0005_2711 transcript:Al_scaffold_0005_2711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emsy N Terminus (ENT)/ plant Tudor-like domains-containing protein [Source:Projected from Arabidopsis thaliana (AT3G57970) TAIR;Acc:AT3G57970] MAVVNFLVILIPFAVESTDIPINNTETMPSYSSDDAILFSSMDKNEKLRELQRKAYFYVLHAFRAESPAISSQGITIVKELMKELKIDLNTHQNYENIIKDDPMVQQLRNVSLASDEMEMQKLTAAEERKITGVDGQPLRIRIKPNKDDMAKIRDRVLAPAKIKDGKVIAPEKIQDEEAKPSFTSEDSPVLSWGQVSPGSLVGRHVNIQMPDEDEYIEFLITEYDAKTETHHLVSAFSNKDYEDPCNWIDLRHVPAEDMQWPKSDPGLPVWKRLLKPGETLLHETSTTRNKKQLKEVGKTSTGIPIIRKVDKGKAIVDA >Al_scaffold_0005_2712 pep chromosome:v.1.0:5:18660636:18662873:-1 gene:Al_scaffold_0005_2712 transcript:Al_scaffold_0005_2712 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding bromodomain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G57980) TAIR;Acc:AT3G57980] MEELLLACAVHRHGTDSWDSVASEIHKQNPTVRTLTAIDCRHKYNDLKRRFSRNLVSPGSAEGEEDTLAAEISSVPWLEELRKLRVDELRREVERYDLSISSLQLKVKRLEDEREKSLKTENSDLDKIAETKENHSESGNNSGVPVAEPTNSPDPNDNSPGTGSEKTNKDVKIAEPVDEEPNRIDEKPVREDSGRGSCESVAKESDRAEPEREGNDSPEFVESMDESKGEEDRKETSDGQSSASLPRKETVDQHQPGNEDQSLTVNKIPAESQPLIDFIEILQSHPIGSHFSRRLQSQETSEYDRIIRQHIDFEMIRSRVEEGYYKTSRSKFFRDLLLLVNNVRVFYGEPSSEFNVTKQLYQLIKKQMSLKIPKQTLPTPKEESLVTSKEEVTVSSLKPTLSVPIIACRKRSSLAVRSSASVTETLKKKTKVVPTVDEKPVSEEEEDGTSDKDEKPIVSKKMTRGGAPSTAKNVGSTNVKTSLNAGISSKGRSSNDSSVPKKSVQEKKGNNASGGSKKQSAASFLKRMKGVSSSETVVDTVKADSSNGKRGAEQRKSNSKNDKVDAVKPPAGQKRLTGKKPTIEKGSPAKKNTGAASKRGTAPLMTKRDSETSEKETGSSTRPKKRSKR >Al_scaffold_0005_2716 pep chromosome:v.1.0:5:18676787:18678307:1 gene:Al_scaffold_0005_2716 transcript:Al_scaffold_0005_2716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVZ0] MIKKSCSSPKMMMMKSVTACLILTLLIISVAAKGGGGGGHGGGGHGGGGHGRGGGLFLFGGHGGSHHRNSASVDGSSMGLTMTCLLSSLRVHTLLEFVRLLIFECCKSEDGAVVSWDSDCVKPPHYGQLKN >Al_scaffold_0005_2717 pep chromosome:v.1.0:5:18679558:18681005:1 gene:Al_scaffold_0005_2717 transcript:Al_scaffold_0005_2717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G58020) TAIR;Acc:AT3G58020] MMAAIRAAILKRQDYCSYIKTAFFHSTPILLRKHQSNSKARSKRLGRKKAKQDLRRNVNAFAQHLFGIWSDGFDYSGKHTSWFEKQYSRVSKRNRNGKRHIPQHLDKRCFDFSEVDDGYEIEHFLRTALGGSRRFSWSNTHGEGGTRSGRYSSNFRKSWGSRYRLDEEEGDKYSSTESSDTEPNQESHRQALGLSSSGPLNLEDVKIAYRACALKWHPDRHHASTKNEAEEKFKLCTVAYQSLCEKLAMN >Al_scaffold_0005_2718 pep chromosome:v.1.0:5:18681895:18682101:1 gene:Al_scaffold_0005_2718 transcript:Al_scaffold_0005_2718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LVZ2] KLMKSCSSRKMMTIKFVTASLILILFITSVASKGGGFRGGGSRRGGIIPIRVGSSHRNSGLVDGSTWV >Al_scaffold_0005_272 pep chromosome:v.1.0:5:1800495:1803590:1 gene:Al_scaffold_0005_272 transcript:Al_scaffold_0005_272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQG9] MDEVDVESECSKLEIDVVVMDYLSAVMRMRCFTFTTIQELNLPEDNDESSLNYAASRPCFLLLSKCTNIDSLRQAHGVLTGNGLMGDISIATKLVSLYGSFGYTKDARLVFDQIPEPDFYLWKVILRCYCLNNESFEVIKFYDLLMKHGFGYDDIVFSKALKACTEVQDLDNGKKIHCQIVKVPSFDNVVLTGLLDMYAKCGEIKSSYKVFEDITLRNVVCWTSMIAGYVKNDLYEEGLVLFNRMRENSVLGNEYTYGTLVMACTKLRALHQGKWFHGCLIKSGIELSSCLVTSLLDMYVKCGDISNARRVFNEHSHVDLVMWTAMIVGYTHNGSVNEALSLFQKMSGVGIKPNCVTIASVLSGCGLVGNLELGRSIHGLSIKVGIWDTNVANALVHMYAKCYQNRDAKYVFEMESEKDIVAWNSIISGFSQNGSIHEALFLFHRMNTESVMPNGVTVASLFSACASLGSLAIGSSLHAYSVKLGFLASSSVHVGTALLDFYAKCGDAESARLIFDTIEEKNTITWSAMIGGYGKQGDTKGSLELFEEMLKKQQKPNESTFTSVLSACSHTGMVNEGKKYFSSMYKDYNFTPSTKHYTCMVDMLARAGELEQALDIIEKMPIQPDVRCFGAFLHGCGMHSRFDLGEIVIKKMLDLHPDDASYYVLVSNLYASDGRWSQAKEVRNLMKQRGLSKIAGHSIMESEFLALG >Al_scaffold_0005_2722 pep chromosome:v.1.0:5:18698718:18700588:-1 gene:Al_scaffold_0005_2722 transcript:Al_scaffold_0005_2722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT3G58060) TAIR;Acc:AT3G58060] MEVNYCPETPLLSSNDHEAGDQKPKLTGMVSSMKSNFFTDLPHKLRSNIDPENPFHLDVSKAAGLKGDEKEYYERQLATLKSFEEVESFLARSDEYTIDEKEEEEDRAERAAQELAMQISNWANIFLLALKIYATVKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKNVNIYKYPIGKLRVQPVGIIIFAAVMATLGFQVLLVAAEQLISNEPSEKMNHVQLIWLYSIMLSATAIKLVLWIYCKSSRNHIVRAYAKDHHFDVVTNVLGLVAAVLANAYYWWLDPTGAILLAIYTIVNWSGTVMENAVSLIGQSAPPEVLQKLTYLVMRQGGDNIKHVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHAIGESLQIKLEELPEVERAFVHLDFECHHKPEHSVLSTIPNDL >Al_scaffold_0005_2727 pep chromosome:v.1.0:5:18722882:18723863:-1 gene:Al_scaffold_0005_2727 transcript:Al_scaffold_0005_2727 gene_biotype:protein_coding transcript_biotype:protein_coding description:plasmodesmata callose-binding protein 5 [Source:Projected from Arabidopsis thaliana (AT3G58100) TAIR;Acc:AT3G58100] MYLSRLSLLAATTAMLMVVATAQIGGQVLQVELWCVAKNNAEDSSLQTAIEWACGQGGADCGPIQQGGPCNDPTDVQKMASFVFNNYYLKNGEEDEACNFNNNAALTSLNPSQGTCKYPSSKGVNNGRVADATSTGAGQADMSRGGRPICNSWIVTFIGFSSLLTMTRIIHHL >Al_scaffold_0005_2736 pep chromosome:v.1.0:5:18754693:18755445:1 gene:Al_scaffold_0005_2736 transcript:Al_scaffold_0005_2736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LW11] VKITLSDEVITYNKRKKGDFDQKEEEEEEDHKRVAKRLESTYAGPLVSEVEPKPLALTV >Al_scaffold_0005_274 pep chromosome:v.1.0:5:1812473:1816102:-1 gene:Al_scaffold_0005_274 transcript:Al_scaffold_0005_274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LQH1] MVVAISVTGPENVPPHLKPQFIELKGAQVKELLDSLKHVLGTDFKRSLFPLIDTLSVERVLVGTGGACCESLMPLAFSLLVHHVRRDLSLAQLLEKGEVGKDQVTFRLKLELPFQMVFEKWRLLLPEIIIQPLIVKVSPAAQGMHSQALASQSSVPLGFKGMREDEVWKAYGALRSGVHCLALFKGKDEVKEMLNSFSEFLAIMEPLYLVDMFSLCMPGLFDSLIYNKQLVQIFAELRQVPKLYKPFSDGLISLLVSIKLDVLKNPDYGAAKLILHLFICILGDDTKTQSDIERILQHHELVIMEVKDNKLVIMFTTSNCLFVTCNCSALCSYVSLDADLGVKLKIQIMAEKCDTDFVENSCRRFVVILPVDCSSSNASTSSNSIGGSVISASSRSKSSQSSNSKQLDPLILLDALVDMLADENRVHSKAALNVFSETLSIHTHVKHADVLIARGGHNASVIVSSPSTNSVYSSHPSVRITAFEQLLHGSYGSTWQAQVGGVMGVGKVNVESLCYFQVKIMRGLVEVLAPVYASKELKERSQVLMQILASHVVNNVDEANSEARRKSFQDVIEYLATWLLNRNAYIAAVLASQTGSEVTELLEPSYQLLLQPLILCPLRCTTVEQQVGTFAALIFCVASKPPLLKVTPELVNFLQEALQIDEADETVWAVKLMNPQVLRSLNRVRTACIEFLSTTIAWVNFRMQTYTEVPAKVNSMFFKSLTCRDPDHIVAVAKEGLRQ >Al_scaffold_0005_2742 pep chromosome:v.1.0:5:18782524:18783814:-1 gene:Al_scaffold_0005_2742 transcript:Al_scaffold_0005_2742 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G58240) TAIR;Acc:AT3G58240] MGNPVDNKFTWVIKNFCSVSSKPIYSDQFLIGGYKWHILAYPKKRDGHQCFCLDLELVDCEFLPSGWRSVVKFSFTVVNYFSKKLSSQIGLKHLFTKKERSKGLSVIHFSELTDKKRGFLVDGEVEIVAQIDVRETDHKLQGSKDYDMEMQYLNGMATTFFINKEPNDDDKAGLVNVKGFQVLPSQLGIVNRIFEKHPETALECCTKNQELRASYINVIFSLIKMLYKGAQEHSTHDLSDAEGALAYMKNVGFKLDWLEKKLDEVKEIKKKCERVTEMQQELHDLMNKHTNVSILLEKEMLEIKNASAPDLSFSDVI >Al_scaffold_0005_2743 pep chromosome:v.1.0:5:18796792:18798125:-1 gene:Al_scaffold_0005_2743 transcript:Al_scaffold_0005_2743 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein [Source:Projected from Arabidopsis thaliana (AT3G58250) TAIR;Acc:AT3G58250] MGNDQADKKKFSWVIKNFSSLQSEKIYSDQFVIDGCRWRLLAFPKGNSIKSDHLSLYLEVAESESLPCGWRRHAQFFFTIVNHIPGKCSQRRETIHWFCEKVPDWGFTDMFPLNGLKAKDSGFLVNGDLKIVVEIEVLEVLVIGLLNVSESMLDVNGFHVLPSQVKYVKSLFEIHPDIATKFRIKNQYLKTGYMNVLLSLIETVRRSPKEISKADLDDAYVALESLTDYGFKLDWLKKNLDQVSEKKEKEEAGETRRKEIEEELKDLKLKCSGLEAHLEKVKRKCSDLEGQLLEQKAEVLAAIAPLSSSDDGVFDDFF >Al_scaffold_0005_2747 pep chromosome:v.1.0:5:18825848:18826051:-1 gene:Al_scaffold_0005_2747 transcript:Al_scaffold_0005_2747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LW24] MDWLEKKLEEVKEKKKKVVVCLARRQEMEELLKPVKEKCLDQEAQIDKEKAELLAARAPFSFDHLNF >Al_scaffold_0005_2749 pep chromosome:v.1.0:5:18829281:18830467:-1 gene:Al_scaffold_0005_2749 transcript:Al_scaffold_0005_2749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis phospholipase-like protein (PEARLI 4) with TRAF-like domain [Source:Projected from Arabidopsis thaliana (AT3G58280) TAIR;Acc:AT3G58280] MANQGGLKKFVNLELFPSGWRRYVDYQFTIVNHISGNHSFNKKGWGWFDENTKVCGFRDMIPVFNLNNIRGGFLLNGELTIIAEVEVHEIIDTLNASQVEEYFSDDSSEDFQNKDNVNIEVNGFQVLDSQVDQVNVIFEKHPDLTSNFNLKNQHIKNAYMHALLDLIKTLSKSTKDLTVEDMNKADNTLTDLVKAGLNLDWLRHKLDQALEKQIDYDTRIRELEKQVKKRKLALTELEADLEKEKAAASASLMLFD >Al_scaffold_0005_2752 pep chromosome:v.1.0:5:18835979:18836764:-1 gene:Al_scaffold_0005_2752 transcript:Al_scaffold_0005_2752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease family C19-related protein [Source:Projected from Arabidopsis thaliana (AT3G58320) TAIR;Acc:AT3G58320] MNCNNVVVSDADTDDAAKEDLFDDGTVEEDPDDDASSLHQLKSMVDTSKTVQNGGTGCNNKAPIFDTWINDFLKEILPAKETMDVNGFQVLTSQVQSVRQIFKRHPDTAIGFRPKNQQIRKAYMDALLRLIETLCQSPEKLSDDDLSNADETLDDLIDVGFKLDWLKTKLNEVSEKKKMGQSSVARLGTMEEELQKLKHMVLDFEAQLQKEKAKVLAARAPFSFKDVVY >Al_scaffold_0005_2754 pep chromosome:v.1.0:5:18839625:18840889:-1 gene:Al_scaffold_0005_2754 transcript:Al_scaffold_0005_2754 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein [Source:Projected from Arabidopsis thaliana (AT3G58340) TAIR;Acc:AT3G58340] MAKGVDKKFCWEIKDFSSLNSERCNSVPVVIGDYKWRLVAFPKGYKADYFSLYLEVADFQSLPCGWRRYVKFSASIVNQLSQELSVQQETHRWFDQNARGWGFENMLPLTELNAKDGGFLVNGQVMIVAEVEFHEVIGTLDESKNIILSNDLLNKSREVAQQVKESIDVNGFQVLPSQVESVSRIFEKHPEIAVGFQVKNQHLRKTFMNFLVNVIETLCQSLQELSNEDLVEVDIALTYLKDAGFKVDWLEKKLDQVKEKKEKEQSGLVILQGIEKQLHDLMHKCEKKKSEVLSIGAPLKFDDVV >Al_scaffold_0005_2759 pep chromosome:v.1.0:5:18866467:18869876:1 gene:Al_scaffold_0005_2759 transcript:Al_scaffold_0005_2759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LW36] MGPKKVTNRGGRKKKKDSEEPEFLGTINPPQPDHNLQHNQRLEGVQPLEEQDQHPSEEQPVEAQPLEEQDQHPSEEQPVEAQPSEEQAQHPLEEQPVEDQPGVNDGTDEHDHVIYIYISIYYI >Al_scaffold_0005_276 pep chromosome:v.1.0:5:1826158:1829253:1 gene:Al_scaffold_0005_276 transcript:Al_scaffold_0005_276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQG9] MDEVDVESECSKLEIDVVVMDYLSAVMRMRCFTFTTIQELNLPEDNDESSLNYAASRPCFLLLSKCTNIDSLRQAHGVLTGNGLMGDISIATKLVSLYGSFGYTKDARLVFDQIPEPDFYLWKVILRCYCLNNESFEVIKFYDLLMKHGFGYDDIVFSKALKACTEVQDLDNGKKIHCQIVKVPSFDNVVLTGLLDMYAKCGEIKSSYKVFEDITLRNVVCWTSMIAGYVKNDLYEEGLVLFNRMRENSVLGNEYTYGTLVMACTKLRALHQGKWFHGCLIKSGIELSSCLVTSLLDMYVKCGDISNARRVFNEHSHVDLVMWTAMIVGYTHNGSVNEALSLFQKMSGVGIKPNCVTIASVLSGCGLVGNLELGRSIHGLSIKVGIWDTNVANALVHMYAKCYQNRDAKYVFEMESEKDIVAWNSIISGFSQNGSIHEALFLFHRMNTESVMPNGVTVASLFSACASLGSLAIGSSLHAYSVKLGFLASSSVHVGTALLDFYAKCGDAESARLIFDTIEEKNTITWSAMIGGYGKQGDTKGSLELFEEMLKKQQKPNESTFTSVLSACSHTGMVNEGKKYFSSMYKDYNFTPSTKHYTCMVDMLARAGELEQALDIIEKMPIQPDVRCFGAFLHGCGMHSRFDLGEIVIKKMLDLHPDDASYYVLVSNLYASDGRWSQAKEVRNLMKQRGLSKIAGHSIMESEFLALG >Al_scaffold_0005_2769 pep chromosome:v.1.0:5:18906115:18909141:1 gene:Al_scaffold_0005_2769 transcript:Al_scaffold_0005_2769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit C [Source:UniProtKB/TrEMBL;Acc:D7LW45] MQSGSDSEYESDVEEELDDVQDTNVGGASRYLAGGSDSENEDLRKRVVQPAKDKRFEEMVSTADQMKKAMNINDWVSLQENFDKINKQLEKVMRVTEAVKAPILYIKTLVMLEDFLNETLANKEAKKKMSTSNSKALNSMKQRLKKNNKLYEEEINKYRESPETEEVKESEDADTEDDDIDDYVEVTESEEEITNDKGDPSWEKMLSKKDKLMEKLYSKDPKEITWDWVNQKFKEVVAARGRKGTARFELVDQLTHLTRIAKTPAQKLEILFSVISAQFDVNPGLSGHMPINVWKKCVNNMLTILDILVKYDNIVVDDTVEPDENETSKPEDFDGKIRVWGNLVAFVERIDTEFFKSLQCIDPHTREYVERLRDEPMFLAFAQNIQDYFERSGDYKAAAKVALRQVELIYYKPQEVYDAMRKLAELVVEEDEEEDSEEPKEETGPPSFIVVPEVVPRKPTFPESSRAMMDVLVSLIYKYGDERTKARAMLCDIYHHALVDNFVTARDLLLMSHLQDNIQHMDISTQILFNRSMAQLGLCAFRVGMITEAHSCLSELYSGQRVRELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINLELLEAVQLICAMLLEVPNMAANSHDVKRKVISKNFRRLLEISERQAFTAPPENVRDHAMAATRALTKGDFQKAFEVVKSLNVWKLFKNRETILDMVKDRIKEEALRTYFFTYSASYESLSLDQLAKMFDLSENQVHSIMSKMMINEELHASWDQPTRCIIFHDVQHTRLQTLAFQLTEKLAVLAESNERAMEARTGGGGVDLSSRRRDNNQEYAGGAGGGKWQDNMSYNQGRQGYNGGARSGYVGNRSSGHARDWSGQNRGSDGYAGRGGTGNRGMQMDGMSRMVSLNRGVRA >Al_scaffold_0005_2796 pep chromosome:v.1.0:5:19007885:19010614:-1 gene:Al_scaffold_0005_2796 transcript:Al_scaffold_0005_2796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase [Source:UniProtKB/TrEMBL;Acc:D7LW71] MEIPERASARLAVLTAHLAASEPTQVLPTIEPWCTSSHISATPHGSLEGNLTIVDERTGKKYQVPVSEHGTVKAVDLKKITTGEDDKGLKLYDPGYLNTAPVRSSISYIDGDEGILRYRGYSVEELAEKSTYTEVTYLLIYGNLPSQRQLADWEFAISQNSAVPQGVLDLIQSMPHDVHPIGALVTAMSALSIFYPDANPSLMGLGVYQSKQVRDKQIFRILGQAPTIAAAAYLRKAGKPPVQPLSNLSYSENFLYMVESMGNKSYKPNPRLARVLDIIFILHVEHEMNCSTAAARHLSSSGVDVYTAVAGGVGAIYGPLHGGAIEATVNMLSEIGTVENIPEFIESVKNKKRKLSGFGHRVYKKYDPRGKVVKKLADEVFSILGREPLFEVGDALEKAALSDEYFIKRKLYPNADFYSGLIYRAMGIPSSFMAVARIAGYLSHWRESLDDPDTKIMRPQQVYTGMGLRRYELVRERTKL >Al_scaffold_0005_2798 pep chromosome:v.1.0:5:19016076:19019080:1 gene:Al_scaffold_0005_2798 transcript:Al_scaffold_0005_2798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrin-linked protein kinase family [Source:Projected from Arabidopsis thaliana (AT3G58760) TAIR;Acc:AT3G58760] MTIKPKSPARFKLGRQSSLAPESRPPIETLTEDEDDELAAATAGIVDPTIRLMYLANEGDIDGINKMLDSGTNVDYRDIDGRTALHVAACQGRTDVVELLLSRGAKVDTKDRWGSTPLADAVYYKNHDVIKLLEKHGAKPTIAPMHVLTDKEVPEYEIHPSELDFSNSVKISKGTFHKASWRGIDVAVKTFGEEMFTDEDKVNAFRDELALLQKIRHPNVVQFLGAVTQSTPMMIVTEYLPKGDLRQYLDRKGPLMPAHAVKFALEIARLSGYFLGMNYLHEHKPEAIIHCDLEPPNILRDDSGHLKVADFGVSKLLVVKKTVKKDRPATSLDSSWRYMAPEVYRNEEYDTKVDVFSFALILQEMIEGCEPFHEIEESEVPKAYIEDERPPFNAPTKSYPFGLRELIQDCWDKEASKRPTFREIISTLELISDRFASKRSWKVMLGRCIPRIRLFTKRDYVNPGSNRSSRSFAR >Al_scaffold_0005_2811 pep chromosome:v.1.0:5:19066764:19067212:1 gene:Al_scaffold_0005_2811 transcript:Al_scaffold_0005_2811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LW84] MSHETTIGEDVKQKQIENHVGLKLSSSSSSPSISLLPKLISFAIALSLTSSSPALAIPSFSSSQPLTTPFSTQSKFVQIGLLNGKIRPCPSTNPGCVSTNPTSSSFSFPLTIRETDAQDPI >Al_scaffold_0005_2813 pep chromosome:v.1.0:5:19074379:19075295:-1 gene:Al_scaffold_0005_2813 transcript:Al_scaffold_0005_2813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LW86] MYVFDWDYWEQCRTVSCPTLQRLIINCYGSTTFDLSRRKTVTDGYPIVNFDSLVEAKLTLASRKHPQKGSPENLIKGLRNVEILELSSFQTSEGPLHCDRRWPAREPVCMCFSGLESCPVEVLEITEYKGTKDNLEHMKNFLEKLLCLELVKVCACETDDEKKLKLTNDLLRLRGPSKCKIQFQFIAS >Al_scaffold_0005_2814 pep chromosome:v.1.0:5:19077880:19078697:1 gene:Al_scaffold_0005_2814 transcript:Al_scaffold_0005_2814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LW87] VKSSLLDIAETESMISFVRKLSLMYMPMMELGVSSNMFDIKKNALKKLSKQQSAYRIKLLSSYKEMVSVTMLNLVNLGNLFSCYLESIKKTLEKCRFGTCHIDMITKKMAEEFVEMFIREVMIK >Al_scaffold_0005_2819 pep chromosome:v.1.0:5:19097556:19099228:-1 gene:Al_scaffold_0005_2819 transcript:Al_scaffold_0005_2819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LW88] MEDFLHSFPVLEEIHMANMEWRESDESETMSSASLRKLSIHGTGVEEFENPKSISFDTPSLLYLNYSDLVAEDYPLVKMGKLFQARINLIVKNEDQIKRIREPNNDLLEDDEGDVVLQFGNVKLQVSGFRGTIREKEMIRHFLEYFPCVDEMEIDAEEDDSTNFEVPRILKIVAYKLYDVVSTEIVGHWKSSAQSGGWSQTQMDEMYSEFAPRNKERIYDMVFMMRRASSPVPPPLPQEMSQDYLQMQLEAADLKERLRDQEAQLADLKERQRAMFDMIVDQNPMIASALRAREATDSERAKGSSGQEMTEKKRDYDALFDIIAEQNPMLASALRALRATDTERAETSRDQEMTKLGQARAADFLPRDGE >Al_scaffold_0005_2820 pep chromosome:v.1.0:5:19100300:19100458:-1 gene:Al_scaffold_0005_2820 transcript:Al_scaffold_0005_2820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LW89] ASLQHYTQRLRRRIKDSLFDTGLLLGPDLFHHSPYFHSPNSSSPGWFTRLPN >Al_scaffold_0005_2822 pep chromosome:v.1.0:5:19103403:19104988:-1 gene:Al_scaffold_0005_2822 transcript:Al_scaffold_0005_2822 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like/FBD-like domains-containing protein [Source:Projected from Arabidopsis thaliana (AT3G58960) TAIR;Acc:AT3G58960] MDRISNLSNEIICHIVSFLSAKEATVASVLSKRWQNLYTIIPNLEFDNTLENQGSLKDFLNGLLALPASSRIKNVSIKCRGRDGPNRHADLNRFLCNVLKRGVLKLKLDIWVTLNGGYSLPVEIFTCKTLVELELGSILQIDLVPENALLPALKTLTIDSVRFSDQSGCAFQKLISSCPVLVELGILNVEWEQWEWSCRVSSPTLERLTINHRYYFAYIGNIYYDMEGITFDTPSLTNLKYYDFAPQSYPMVNLDSLVEATVGLKLPLHHAWTREYARHGDTIPSVTNLIKGLRNVETLNLTCTDTVDGPLHYNYQLGDEYESDEVNYYEDESESDDDESICECLSEYSFLESCLVKTVEITEYSGTKKELEHMKHFLEKLSCLELVKVCSNETDDEEQIQLRTNLLNIPRSSKCKIEFEFIPPRSFL >Al_scaffold_0005_2828 pep chromosome:v.1.0:5:19124659:19126478:1 gene:Al_scaffold_0005_2828 transcript:Al_scaffold_0005_2828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LW94] MEDNYRLSPKGFESRTLVKLKIDCGIDVSWMAGSIFLPMLKTLVLDSVSFYVDKFETLLHALPALDDLVLVDVNWLDSNVTISNASLKTLTIDSDGHLGTFSFDTPSLVYFFYSDYAAEDYPVVKMENLREARIFLMVSDEEIERVRVPNNDLFEDDMDNVVLRFEHVGKLMNGIRNVEYLDLSADTLEEMEIYADEDGPTNLEAPGMFEQIAHLFTLYDQVYTCDVQFMSHTIERTEHFGAKYLQMTMIPSTNNPTNLTSET >Al_scaffold_0005_2829 pep chromosome:v.1.0:5:19126790:19128539:-1 gene:Al_scaffold_0005_2829 transcript:Al_scaffold_0005_2829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LW95] MPCVSPEECPRTTFREFVNRVLALQSNSPITKFSLICHNGVDQDLAETWILKALRRGATDLTLVVLFPSRIYSLPSSIFFYCHNLIKLKLGCGRLGIIHHSLFWGDIIFTNLRTLHLDSIDLGQRDRSFARLLSKCPMLEELIVNCIKWHRWRSASVCSLTLKRLTIDGEHYLPPMDSHIFKFRREKMASGKFYSNKPLAVDSDFGTASPSNVSFDTPNLLYLNYSDFVAGNYPLVKLDSLVEARLDVGSNTSQMHARDSEEYEVPWDATNLIMGIHNVQTLHLTSATIEGLHHRVTDGCGDACDCISPPSPPSSSCLSSCPVKILKILDFGATCGEMSLVEHFLKKLPRLEQVIIVLHCDSFIEEDCGPSEVSEALEMAPRASPNCKLTVVNH >Al_scaffold_0005_283 pep chromosome:v.1.0:5:1853171:1855205:1 gene:Al_scaffold_0005_283 transcript:Al_scaffold_0005_283 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 9 [Source:Projected from Arabidopsis thaliana (AT2G03450) TAIR;Acc:AT2G03450] MIAVYSLVFFFLLITSVYSKVTISISPQTLNRSGDIVVIKWSGVESQSDLDWLGIYSPPDSPHDHFIGYKFLSDSPNWKSGSGSISLPLTNLRSNYTFRIFHWTQSEINPKHKDHDHNPLPGTRHLLTESNQLNFRFAVNRPEQIHLSYTDNINEMRVMFVTGDGEEREARYGEVKDKLDNIAVARGVRYEREHMCHAPANSTIGWRDPGWIFDSVMKNLKQGLKYYYQVGSDLKGWSEIHSFVSRNEHSEETLAFMFGDMGCSTPYRTFIRGEEESLSTVKWILRDIEALGNDKPAIVSHIGDISYARGYSWIWDEFFAQIEPIASRVPYHVCIGNHEYDWPMQPWKPDWAAYVYGKDSGGECGVPYSVKFNMPGNSSEATGMVKGPQSRNLYYSYDMGSVHFVYISTETDFLKGGKQYSFLKSDLESVNRSKTPFVVVQGHRPMYTTSRKIRDAAIRQRMIEHLEPLFVKNNVTVALWGHVHRYERFCPISNNTCGERWQGNPVHLVIGMAGKDTQPIWEPRPNHQDVPIFPQPANSMYRGGEFGYTRLVANKERLTLSYVGNHDGEVHDVVEILASGEVISGSDDGKDSNFGSESDFAVLWYIEGASVMVVGVILGYFVGFFSRKKKESGVGSSNGSWIQVKNEET >Al_scaffold_0005_2831 pep chromosome:v.1.0:5:19137008:19138204:-1 gene:Al_scaffold_0005_2831 transcript:Al_scaffold_0005_2831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LW96] MVVRSKGFSLLVQCSWNYCCMKSNGNIGNGLALCQVRPLRDLPSSVEIFYGFEGSDFGSITFDTPSLVFLEYVDFVPDEYPFVNFDSLVEAKLDLVLTVHHTWNGELIIDSDNISSNPTNLLKGLKNVQILALTCSSVEGSLHDNQCKPESVCECMSGYSCLLSCPVKILVITRYKGTKGELEQMKHFLGKLSCLVLVTISFWTRDDDEKLRLTTDLLMLPRASVNCKIQIRFSNKLLQVFPLI >Al_scaffold_0005_2845 pep chromosome:v.1.0:5:19221106:19223308:1 gene:Al_scaffold_0005_2845 transcript:Al_scaffold_0005_2845 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59150) TAIR;Acc:AT3G59150] MGQRKNVFFKAFVDRLLSQRVETSSPVQRVSLKCRQGGVAPDCIIKWILTTVRDLGVLDLSLCIDFGIFHLPFNVFRSKTLVNLRIGTMIRLGQFPKDAVSPTLNSLVLDLVEFRDDDKVGFRQILLVFPSLQSLRVHESNKWKFWNGSASTRTLKSLVYRSDDDSSAPKPCVSFDTPSLVYLDYSDMVADKYENLKFDSLVEARLDLHLTAYQIMRKPNNIGFYKRVDFGCMFQALYYRGDRIPVFNNLISLSLGSDKPHGSPFIFWKLLPSLLNNSLNIETLTIKGLVHYVAEGWEGLSPMSRLCFSWDAVSDSLSSSAMKVLEITGYKGTSQELNQMKRLLGNLSRLEVVRVYHKAVDDRERSSVMKDLLLLPKASSECEIQVMKETA >Al_scaffold_0005_2847 pep chromosome:v.1.0:5:19230781:19243885:1 gene:Al_scaffold_0005_2847 transcript:Al_scaffold_0005_2847 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59200) TAIR;Acc:AT3G59200] MDSVSKDMINVLPDALLCHILSYLTTKEAASTSLLSRRWRYLLAFVPNLEFDDSVFLHRDKRHDKPKSDDELSTSFMEFVDRVLALQGNGTINRFSLDCSNYDVDLARVTGWILNVLGRGVSDLDLSLLEYSLPSEIFVSKTLVKLKLGPANDLTLTIDRKDVFLPKLKTLYIDSVEVQERGFGFVKLLSGCPVLEELVLMNIGWENWKFCSVSVKTLKRLTFFCEDSYENPKSVSFDTPNLVYLEYSDAIAGKYPKVNFNSLVEAHIGLRLTEDQSGDADFSEEDYFSEDDEQNQMVGNATDFLKGISTVQILYLSAEAIKRLVHKYNKACGNVCCCKRPKQPSCLSSSPVKVLKIFLFDDNDEEDGSEMRQIKYFLEKMPRLEELIVYYNTSYDPAVLELSKKLQKIPKIASPKCKIQVISENLSLSSTVPSFLTTRWSSLPTEEEYPWVESPPPQIIDPMLQYGSPPEDEDSWLY >Al_scaffold_0005_2849 pep chromosome:v.1.0:5:19251423:19251919:-1 gene:Al_scaffold_0005_2849 transcript:Al_scaffold_0005_2849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWB2] MREEKEEEKAKLALVLAAKSRSLLYTSSPASPRVFASPIHTLASVPFCWEDQPGKPKNPLRPLSYPKCLDLPPRLLLPGEFTQMPLPERKHGLLRFLRRKGRAGEGMLLSGRAGEINENNMKIMKFNRSGSFHGGGSVKGSHFWVKFLCFSASSS >Al_scaffold_0005_2850 pep chromosome:v.1.0:5:19256730:19260697:-1 gene:Al_scaffold_0005_2850 transcript:Al_scaffold_0005_2850 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59230) TAIR;Acc:AT3G59230] MVFIENASLGGYQMSLNVGYRNLIYAMFFFQTLRLHLGSVWFGEGDTGLLKLISGCHVLEELTMIHLWWGGYWNRSVSSKTLKRLTGLHHKHTIKCEDADGCLCKSSKEICSCLSSSPVKVLKILKFGEVSSYFDDEEKQLELVKYFLETMPNLEQMILCYNTRIDEGVKSQLERLVPRVSSSKCSVQLICDNFIAPTYPFYGIVPAPSYPVYEITRPATYPSHSRSQAKTLMFSFTSLSQSSSQYSCDFYALNKSLVKLRIGARNGLAVGGLIMKVGDVSLPKLKTLCLDSVVLDYQIICLAKLLSGCHVLEQLALVNLSWNFWEYCSVSIPTLKRLTCCIEEDWINPPPSVTFDNPALVYFEYTDTVALKYEKVNFDSLVEARLGLRVAYEETENPIEFPDGIPFPVIEYVTVGDATDLLMGLRNVQVLYLYASTLEVLKILKFGEVNDKTELEQIKHFLELMPHLEQLNIYYDTSVDDNLVKVSKQLQEIPGVASAKCKVQVISDNLTFSVTLPSSSSI >Al_scaffold_0005_2853 pep chromosome:v.1.0:5:19269906:19271211:-1 gene:Al_scaffold_0005_2853 transcript:Al_scaffold_0005_2853 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBD-like domain family protein [Source:Projected from Arabidopsis thaliana (AT3G59270) TAIR;Acc:AT3G59270] MNLEFDDSKRMHHGVTKQERDKILRRFIKCVDGKLALHKNVPLNRFSIKCKDDVGPAPVIGWITNVLKRRVSELALDISSCWDWPMSTAIDGCGTMVQEFWESCSVSSTSLKRLTLPNFDSLVEARLDLQMTHDQIHKAKFSEDDLIKHEGMVGNATVFFIGICNVKSLYLSDNTLEGLLHRYDMKCRSDECLCKPWEEEDIPTCLSSSPVKVLKIMKFGDIYEDEDMDKMMDQVEYFLETMPNLEHLIIHYETSIDEDVEEVLSQFQMVPREGLTECRIQVISENLDLSSN >Al_scaffold_0005_2858 pep chromosome:v.1.0:5:19291828:19293910:-1 gene:Al_scaffold_0005_2858 transcript:Al_scaffold_0005_2858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic protein of unknown function (DUF914) [Source:Projected from Arabidopsis thaliana (AT3G59340) TAIR;Acc:AT3G59340] MAMGFDFNEMNKTKKTLIGLGLGQILSLLCTSIAFTSSELARKGINAPTSQTFLSYTLLAVVYGGIVMYRRPTIKGKWYHYFLLALVDVEGNFLVVKANQYTSITSIMLLDCWAIPCVLVLTWIFLQTKYRLMKISGVFICIAGVVMVLFSDVHAGSRAGGSNPVKGDFLVLAGATLYAVSNTTEEFLVKNADTVELMTFMGLFGAIISAIQVAIFEQGELKAILWSADAIFLFLRFAITMFLFYSLLPVLLRTNGSTMFTLSLLTSDMWAVLTRIFAYHEKVDWLYYLAFATTAIGLIIYSMKEKDEEEEREEQRKKLLDEIEEAGETLPDSLIVASTGTLTKGL >Al_scaffold_0005_286 pep chromosome:v.1.0:5:1864504:1867106:1 gene:Al_scaffold_0005_286 transcript:Al_scaffold_0005_286 gene_biotype:protein_coding transcript_biotype:protein_coding description:QUASIMODO2 LIKE 2 [Source:Projected from Arabidopsis thaliana (AT2G03480) TAIR;Acc:AT2G03480] MRSSWYKSVASVFGLRPRIRGLLFFIVGVVALVTILAPLTSNSYDSSTSSTLVPNIYSNYRRIKEQAAVDYLDLRSLSLGASLKEFPLCGKERESYVPCYNITGNLLAGLQEGEELDRHCEFEREKERCVVRPPRDYKIPLRWPLGRDIIWSGNVKITKDQFLSSGTVTTRLMLLEENQITFHSEDGLVFDGVKDYARQIAEMIGLGSDTEFAQAGVRTVLDIGCGFGSFGAHLVSLKLMPICIAEYEATGSQVQLALERGLPAMIGNFFSKQLPYPALSFDMVHCAQCGTTWDIKDAMLLLEVDRVLKPGGYFVLTSPTNKAQGNLPDTKKTSISTRVDELSKKICWSLTAQQDETFLWQKTVDSSCYSSRSQASIPVCKDGDSVPYYHPLVPCISGTTSKRWIPIQNRSAVAGTTSAGLEIHGLKPEEFFEDTQIWRSALRNYWSLLTPLIFSDHPKRPGDEDPLPPFNMIRNVMDMNARFGNLNAALLDEGKSAWVMNVVPVKARNTLPIILDRGFAGVLHDWCEPFPTYPRTYDMLHANELLTHLSSERCSLMDLFLEMDRILRPEGWVVLSDKVGVIEMARALAARVRWESRVIDLQDGSDQRLLVCQKPFLKK >Al_scaffold_0005_2878 pep chromosome:v.1.0:5:19384935:19387460:1 gene:Al_scaffold_0005_2878 transcript:Al_scaffold_0005_2878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWE6] MALSITELNAVKPFKTQWKIQVKIVHSWIQYTQYSGETLEMVLADTTGTLIHATIKKQQVNKFQRLITTGEWRTVENFTVAKSTGKYRPTRLPFKMTLMNTTAISRIPSISEEFYFDFANFPDILNVNGLNENILIGERSISNAFDMSLLEINPNYPVVQDFVNNLPADVPVLTIQEVMPKDTKIIKKKAYFQTFPRKTISEVFEATEMVTGLKQEALALTNSSDTTDVNTTSSATPSSKRSNESSDEAEGQSSTTKKVCVSSIREKIEEDKIEEEKIEKQKIGVLKIEEEKVEGEKIEPN >Al_scaffold_0005_2880 pep chromosome:v.1.0:5:19399449:19402933:1 gene:Al_scaffold_0005_2880 transcript:Al_scaffold_0005_2880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant regulator RWP-RK family protein [Source:Projected from Arabidopsis thaliana (AT3G59580) TAIR;Acc:AT3G59580] MENPSSSRDKGFCFPEIPVEEMDGWVKNLISEEDMFSSSSTSELMNFESFASWCNSPSATDILFSQYGLSTCTPFGGLEGSYAGEKRPTSSLSGAVDCSVPRSLNHSLDEKMLKALSLFMEFSGEGILAQFWTPIKTGDQYMLSTCDQAYLLDSRLSGYREVSRRFTFSAEANQGSYPDFQAELQDCMLRYFSMMKHALDNEVRGSIAIPVLEASGSCCTVLELVTCREKPNFDVEMDSVCRALQAVNLQTSTIPRCQYLSSNQKEALAEIRDVLRAVCHAHRLPLALAWIPCSYSKGANGELVKIYGKNSKECSLLCIEETACYVNDMEMEGFVNACLEHYLREGQGIVGQALISNKPSFSSDVKTFDICEYPLVQHARKFGLNAAVATKLRSTFTGDSDYILEFFLPVSMKGSSEQQLLLDSLSGTMQRICRTLRTVSDAESAEGTEFGFLSGEMTNLPQATVSDGSFQTTFPDTNVNSTRSNFSNMSSDKRNEMAGSQGTLQQEISGARRSEKKKSSTEKNVSLNVLQQYFSGSLKDAAKSLGVCPTTLKRICRQHGIMRWPSRKINKVNRSLRKIQTVLDSVQGVEGGLKFDSVTGEFVAVGPFIQEFDTQKSLSSHDEDALARSQGDMDEDASLEPLEGKSHDGGGVKLEEDVETNQAGSGSLKEPWTWISKQSGLIYSDDIDIGKRSEEVKKDKDLCVRRCLSSVALAGDDMNTGIERGNGMVEPNQSISSSMSDSSNSSGAVLLGSSSTSMEQNWNQIRTHNNSGESGSSSTLTVKATYREDTVRFKLDPYLVGCSQLYREVAKRFKLQESAFQLKYLDDEEEWVMLVSDSDLHECFEILNSMRKHTVKFLVRDIPGAAMGSSAGSNGYLGTGT >Al_scaffold_0005_2884 pep chromosome:v.1.0:5:19442680:19443945:-1 gene:Al_scaffold_0005_2884 transcript:Al_scaffold_0005_2884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LWF2] ECFRNFVNRTLALQCASPLKKFSLKCHYDHDSELAHVFPWVCNALDRGVVELGVSIKPRWDPVPRSETGVWQLPVNIQTHGDVFLPHALFTSKTLVKLTLGTRVAFGKLPPDLSLPALKTLFIDSIFFEYEDLCYVLLPGCPVLEELYVRHKQYIGLPFCISSRTIEKLSVQYDSDYDLDLGMSFDAPSLVFLDYSDYALSAYPQVNLKSLIEARLDIRYSKIIKRPNISVLFIGISNIETLHLSANTVDGLDSYTGDETMRPFQVKVLRVHGYGGTAKELEHLKKFIGESECGEVVLVEAVVDDAMYCKPKGFCC >Al_scaffold_0005_2886 pep chromosome:v.1.0:5:19447141:19447374:-1 gene:Al_scaffold_0005_2886 transcript:Al_scaffold_0005_2886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LWF4] GRRRFYTVSFPRQRRFTGSLLISGNPTLQELLHLIFTLKSLETFPTQTQSILLQKTTTTLPISLSFSSSETASLFRR >Al_scaffold_0005_2890 pep chromosome:v.1.0:5:19472573:19474158:1 gene:Al_scaffold_0005_2890 transcript:Al_scaffold_0005_2890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWG2] MQDSKASPARKPWYQRAMAVARFATNWRTIPKSSSQQPENLRPSRNPSVNNKSSNQSQIHHQLRKCSSLKLAANSFTRVCLCAPIGPYDDVFRNYVPPRRSSSYPPSKPLPMVTETAVAVAAARMSVDSGRRIFRGKSLRENALMRRFVVAEEEAMMENRKRDQMEIVRKRNQMRRKKKLGPSPLSRMVIAEDHQVCHL >Al_scaffold_0005_2897 pep chromosome:v.1.0:5:19507235:19510693:1 gene:Al_scaffold_0005_2897 transcript:Al_scaffold_0005_2897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59780) TAIR;Acc:AT3G59780] MFPLCSATSCHYHSQILFPGNWRGCSSFRRELIHRYSSGDNAFFRISNGTRLQKTFLPQATGSFFTGTIEKVEQPVSTFRSLCQNELDRINVYDLSEAVVAGDGGLAYVDGEDVFPAEAVVADVSPVEAVVPDVSPVEAVVPDVSRVESPSAETLTEKSSSLIDSVESGTKLSLEISQDTSVSLPDTLDLNPGSLLDAKASFDDFSSGLKESFSSSLNQGENAVKNSLDSFSSSVTSITKNASEVVDDAFNRAFSAVDQTGDIAGDKFSSFSTGLKEASHRAAVIAIDLLRQSVSIAESSVTNGVSFVVYSYGSAKDLFPPDVKSALNSSEDVALKVLSPVGAVLQQVSVAIGGLERNFGLDPDDPIIHLFLFVGTTGTFWVLYRVWTYGGYAGDLSPKSTLDLLRSREKSVLIDVRPEALREKDGIPDLRRSARFRYSSVTLPEVDGAVKRLLKGGSEVDDILTAVIIKNLKIVQRPYLVQGGFRSWVKEGLRVKEPKPETTLTILNEEAEAILEDINPSPLQLVGVGVGFFAALYALSGAFNSFSFPLLNSHTRHNTQVPHFHLYTYMIALSLAEWEKTLQLIAVIGLGLTIYQRLSSYDDSEDFKQDVRLLLAPVRLGAQAFSWAAGKLETNGVGLPTSPSSSDVRSRVLQAAAKHESKPSDETSESLQDASSSPEEALNNVDVSEA >Al_scaffold_0005_2898 pep chromosome:v.1.0:5:19511055:19512797:1 gene:Al_scaffold_0005_2898 transcript:Al_scaffold_0005_2898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWH0] MVLVKPQNSRPYSMRYQVKYRRRRDGKTDYRARIRRLINQDKNKYNTPKYRFVVRFTNKDITAQLVSASIAGDVILAAAYAHELPQYGLNVGLTNYSAAYCTGLLLARRVLKMFEMDAEYEGNLEASGEDFSVEPTENRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFSKENKQLDFATHAKYIYGGHVADYMKTLNEDEPEKFQTHFSEYVKKGIEADEMEALYKKVHAAIRADPLAKKAGKQSLKEHKRFNLKKLTYKQRKANLIDRITTLNSAAEAIDEDDNE >Al_scaffold_0005_2899 pep chromosome:v.1.0:5:19514846:19516610:1 gene:Al_scaffold_0005_2899 transcript:Al_scaffold_0005_2899 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase 10 [Source:Projected from Arabidopsis thaliana (AT3G59790) TAIR;Acc:AT3G59790] MEPTNDAETVETQGEVTTALLPSSQMLKTTNDVPETLSHDGRYIQYNLFGHIFELTAKYKPPIMPIGRGACGIVCSAMDSETNEKVAIKKIMHVFDNTIEAKRILREIKLLRHFDHENIVGIRDVILPPQRDSFEDVYIVYELMEFDLYRTLKSDQELTKDHCMYFMYQILRGLKYIHSANVLHRDLKPSNLLLTTQCDLKICDFGLARATPESNLMTEYVVTRWYRAPELLLGSSDYTAAIDVWSVGCIFMEIMNREPLFPGKDQVNQLRLLMELIGTPSEEELGSLSECAKRYIRQLPKLPRQSFSEKFPNVPPLAIDLVEKMLTFDPRQRISVKEALAHPYLSPLHDITDEPECPEPFNFELDEHQLTEEQIKELIYCEALAFNPETSND >Al_scaffold_0005_2902 pep chromosome:v.1.0:5:19521787:19522535:-1 gene:Al_scaffold_0005_2902 transcript:Al_scaffold_0005_2902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWH5] MEDAIKLVEEEGRTTNIVQSNRSSKNGKRDQKPQKTTGAKRSLEQERLEAFKEESNVSVVVDDTTTQLKLSDDDDHAVNESSVTYQEFEPGRITEKTEKEDTVFGLAW >Al_scaffold_0005_2917 pep chromosome:v.1.0:5:19597209:19597603:-1 gene:Al_scaffold_0005_2917 transcript:Al_scaffold_0005_2917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWJ1] MAKNINSVSFTVLLLVLLMTSTEIVKSDAACFTFLGECGPEPFTGSNADCLACCVALYSSPPVCAGRVEGNPAHCHCYKS >Al_scaffold_0005_2928 pep chromosome:v.1.0:5:19637825:19639171:-1 gene:Al_scaffold_0005_2928 transcript:Al_scaffold_0005_2928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJK1] MDQRDMTHMDTMHVYLLVDVWRGRCELVYGKFGPNSMNKQVSFHALMRFRVCQWKLASLFTPSTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >Al_scaffold_0005_2931 pep chromosome:v.1.0:5:19656053:19656312:-1 gene:Al_scaffold_0005_2931 transcript:Al_scaffold_0005_2931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWK5] IIFSSFAAELVLKDKVFVYDLVGQRIGWTNANSDCSMSVNVSATSSSRRSEYVNA >Al_scaffold_0005_295 pep chromosome:v.1.0:5:1915803:1917055:1 gene:Al_scaffold_0005_295 transcript:Al_scaffold_0005_295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQJ2] MREATSVSMADHIPGTEMMVVGVFWIPEKERFTKKSLFGLSDNSHYVASSGNWFLMVYSCHDFYIFNLFTGKKNSLPSMKYSIRGGKVRFEPSGDYRLYNWGHFVDHFRRIYVSKDTFGCKRSAVLWIDERTGDYFVAWILNNHDFVSGQHIQRNPPTVKKGDDSWWNWNNKWDSKRRIVIQNSGEVLAILSLISEKRVLFYIFKINLESKEWERVYSIGDDEMLIFGHGVTIRAPVPDVGGIKNGCICFVKDDNAVSSILPQVTSHGIVHPMFTPRKLSGVK >Al_scaffold_0005_2954 pep chromosome:v.1.0:5:19776028:19776639:-1 gene:Al_scaffold_0005_2954 transcript:Al_scaffold_0005_2954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G60270) TAIR;Acc:AT3G60270] MGLTAGALLLFLAAVPAVFAVTFKVGDNAGWAGGVDYTDWVTGKTFRVGDTLEFIYGLSHSVSVVDKADYDGCETSRPTQSFSGGDTKINLTRVGAIHILCPSPGHCLGGMKLAVTVLAAVSSAPSPSPSGPSPSPSPGNAENVKNAESKRTMMSYGMIGVTMVLMYGVIR >Al_scaffold_0005_2957 pep chromosome:v.1.0:5:19783506:19785387:1 gene:Al_scaffold_0005_2957 transcript:Al_scaffold_0005_2957 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWD domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G60300) TAIR;Acc:AT3G60300] MTEEEVAMEVEALEAVYGEDCVILDSYPPHLHLHIKPRTADISSQQFVEAVVRIQAGSKYPDEPPRITLIESKGLDDQRQKHLTGIVQEKAFQLSSSLMLVELCEEAVERLTIMNHPDGDCPLCLYPLFPEEDGSKQMPFMKLMSCFHCFHCDCIIRWWNWLHAQKDADSKSGDTSHMRRGSSTREDKSLGNCPVCRKDSGLIQGKDQDPLLQSDSENIRRERFEAILKTQEEKGGLVQPKKNISVVPGMYLPPPAPASSSPNEEEEAGQSQEQGEEEPKEAESETSSSSSSNRRGRGRGRGRGRGHNVNQRKQNSQDPRKPTRQWVQRAKESDK >Al_scaffold_0005_2960 pep chromosome:v.1.0:5:19797071:19798284:1 gene:Al_scaffold_0005_2960 transcript:Al_scaffold_0005_2960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJM0] MDSYPYSHGSGFVNLLTSQQEVHNLEANPYDDVPVFPSQADSPSQAAHKPKDRQVASLATREAKRQVDKKGTC >Al_scaffold_0005_2961 pep chromosome:v.1.0:5:19800876:19801415:1 gene:Al_scaffold_0005_2961 transcript:Al_scaffold_0005_2961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LRP1] MKFARLTDQLTGGTEQSYSNAAAHTGSYNSKTRSCRFQWRKLQDHESFVLTGFLILKIQFEIIDAYGYFLTNCMMSPPTSSQESRNILISVENKKSQQYGSPLS >Al_scaffold_0005_2969 pep chromosome:v.1.0:5:19838144:19838537:1 gene:Al_scaffold_0005_2969 transcript:Al_scaffold_0005_2969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LRP8] MGMEEIDTSPKHLIIDSSFKKHPRRPVVLVRALEAMKEKVYNILLAQHDEHDDYDKALAIASDVWLWETLFDGGEPLGPYVTDTDSEEESSHDAKRSKTDADSDDMCS >Al_scaffold_0005_297 pep chromosome:v.1.0:5:1919610:1921451:1 gene:Al_scaffold_0005_297 transcript:Al_scaffold_0005_297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQJ4] MDARNDSMIENNGREVSDWSKLCPDLLRKIIESLNSIDYHRAKLVCSDWYSVWKTCVKRPLYPWRIIYYDDDSSSLFDPRENKIYETKLLGLSDNSYYMASSGNWLLMVHSRLDFYIFNLLTCEKINLPSMESSIRGGKVRFEPSSDCRQGKWGHLVDHFCKTPVSKDILGYKRSVVLWINERAGDYFVAWIFKNQYMFTHKKGDDSWWNWNNNWDTFLLDLAYKHNKLYLYTYHDSIKIIDFSGDSPKEEIKKKPVLGSSVSLPHFARGIYLEEENSHSEIRRGFGHLELNKRRAPVQDVGDGIKTGSICFVKDDVSPYYMCSNCGVFDLATNEFQIQFLLLDMFFIAGQGLSEVGQDSSGSAAAPFAATTAEVDGVWWVALFGEVVNGSSGGSELRWLVRVLGSEVRRVARSGFVVVEPAVGGASFLGDLCRAWCVGGRRVWSGTEISRLCSSSFEASTKLWS >Al_scaffold_0005_2977 pep chromosome:v.1.0:5:19871969:19878940:1 gene:Al_scaffold_0005_2977 transcript:Al_scaffold_0005_2977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF247) [Source:Projected from Arabidopsis thaliana (AT3G60470) TAIR;Acc:AT3G60470] MNRRLNHEPDTVLELNESTPGMWWFPTNPEHRCIYRVPHRLRRVNPEAYTPQLLLIGPLHHSLKCQALKSRGDITNTKLMGYLNMEEHKKIYLARFSEKLGGKKTIDEFRRIIKEDEDIIRACYSESTAWIESSKFVDMILHDSVFIIEFMLRSKEYILLEDQGSDIKKTWDPIFEQPCLQTTVDEDLILLENQLPYFILEKLFDPIVPILRPDQTLRKLIITYFRFQGNIRDDSRFKHFTDLFRCVRVETLRPKKPKNKFRYLAGLFRFFRLGTQGSSGELEKSRPQEHKALPMEKTHGSGEPTKSKFRYIADLFRCVPMETQGSKRETEKSRSLERLKTHRMKETSHGHGSKKPKDRPIIKHMYNAAKLYSAGVKFKAVTDEFSIDVKFENGCLKIPCLWVPDDAEITLRNIMALEQCHYPFKAYVCNFVSFLDFLIDTDKDVDLLMENGYGFERDTKQLGEKSSVAVAEMVNTLFSGVVESRSYYAGIASRVNAYYENPVNRTRTILGRQYFGNLWRGTATIAAGLLLLMTFVQTVASIIQVW >Al_scaffold_0005_298 pep chromosome:v.1.0:5:1925370:1926948:1 gene:Al_scaffold_0005_298 transcript:Al_scaffold_0005_298 gene_biotype:protein_coding transcript_biotype:protein_coding description:ureide permease 1 [Source:Projected from Arabidopsis thaliana (AT2G03590) TAIR;Acc:AT2G03590] MYMIESKGGAIACMLLALLFLGTWPAIMTLTERRGRLPQHTYLDYTLTNLLAAVIIALTLGEIGPSRPNFFTQLSQDNWQSVMFAMAGGIVLSLGNLATQYAWAYVGLSVTEVIAASITVVIGTTLNYFLDDRINRAEVLFPGVACFLIAVCFGSAVHKSNAADNKSKFQDFKSLETASSFEMETVSANNGLAKGKAKEGTAAFLIELEKQRAIKVFGKSTIIGLAITFFAGICFSLFSPAFNLATNDQWHTLEHGVPKLNVYTAFFYFSISAFVVALILNIRFLYWPILGLPRSSFKDYLNDWNGRGWSFLAGFLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRRSSRKTYTFLISMLLMFIVAVAVLMASSGHRK >Al_scaffold_0005_3007 pep chromosome:v.1.0:5:20025724:20028416:-1 gene:Al_scaffold_0005_3007 transcript:Al_scaffold_0005_3007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase [Source:UniProtKB/TrEMBL;Acc:D7LRT9] MEAKQYFENRLMYLAAKKKKGENPYPHKFLVSMSISEFIEKYTTLSNGDHVEDDQVSLAGRIMSRRSSSSKLFFYDLHNCSSRVQVTVDASKSELDEAEFTRVHANVKHGDIVGFTGFPGKTKRGELSIFPRSFTVLSHCLHMLQKPSPGLEPNWVPGKPRTPETYILKDQEIRYRQRYLDLMLNDEVCQIFMTKTKIIKYIRRYLDDLDFLEVETPMMSMIAGGAAARRFATHHNDMNMKMFMRITPELYLKQLVVGGFERVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMEMSEKLLSGMVKELTGGYKIKYHANGYDKEPIEIDFTPPFRRIEMMVELDKVANLNIPKDLASWEANKYLIDACERFDVTCPPPKTTARLLEKLVGHFLEGTCVNPTFIMNQPKIMSPLAKCHRSNEFLTERFELFVNQHELCNAYTELNDPVEQRQRFADQLKDRRYGDDEAMDETFCTALEYGLPPTGGWGMGIDRLVMLLTDSQNIKEVLLFPTMKPGLDEPAALRQVEPNISIIHTP >Al_scaffold_0005_3017 pep chromosome:v.1.0:5:20059034:20061905:-1 gene:Al_scaffold_0005_3017 transcript:Al_scaffold_0005_3017 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated protein 65-4 [Source:Projected from Arabidopsis thaliana (AT3G60840) TAIR;Acc:AT3G60840] MGETEDEKDSALADIEKECLSVYKRKVEEASRCKANLLKEIAVGRAEIAAIGSSMGGQEIHESSNSRLGENLKEELENVNVQLEGLRKKKAERMTRFNEVIDQLLKLSFQLGNPTDYLKKFAAEETDISLQKLEELRSQLGELQNEKSKRLEEVECLLKTLNSLCSVLGEDFMDMIRGIHLSLVDSNTRDVSRSTLDKLDMMIVNLREVKLQRMQKVQDLAVSLLELWNLLDTPAEEQKIFHNVTCSIALTESEITEANILSVASIKRAEDEVIRLSKLKITKIKEVILRKKLELEEISRKMHMATEVLKSENFLFEAIESGVKDPEQLLEQIDSEIAKVKEEASSRKEILEKVEKWMSACEEESWLEEYNRDDNRYNAGRGAHLTLKRAEKARVLVNKLPGMVEALTTKVTAWEDERGNEFLYDGVRVLSMLDQYKTLWEEKEHEKQRQRDLKKLHGQLITEQEALYGSKPSPNKSGKKPLRTPVNAAMNRKLSLGGAMLHQSLKPEKATLNSRRSNYYDQNATNRRDSALPTPSGRRNSELPGRIRSKNVSVAGKAARSPMLRKPLSPVTSNILNSPEDHKDAYTTKERILTPKTNEENKRAVPTTPAASVAMTEATTPFTPAVEKRMDEEDVVVEYSFEEVRAGFR >Al_scaffold_0005_3022 pep chromosome:v.1.0:5:20079816:20080236:-1 gene:Al_scaffold_0005_3022 transcript:Al_scaffold_0005_3022 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein binding [Source:Projected from Arabidopsis thaliana (AT3G60890) TAIR;Acc:AT3G60890] MCLTSSEPPFPDTHTPTMRPSSYHNKHKSKTQSHLRILNLTRRRRLLKEQKVMEMRNLKLFVANQSIMRENEALKKKALLLHQENNALFALLHPKPSPVATSLLL >Al_scaffold_0005_3026 pep chromosome:v.1.0:5:20101587:20103332:-1 gene:Al_scaffold_0005_3026 transcript:Al_scaffold_0005_3026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LRV9] MKHTIALALLLILGFFLTYLLLVAVKSRRRRSSQLALLPGPPGPSGWPIIGNLLQIIGKAPHRSLADLSRVYGPVMSLRLGSLATVVISSPDAAREVLKTLDHVLSGRTSSETVRAFGHHDVSIAWLPSTSSRWRLWRKVLATKLFSRERHKATKSVRSKKAKELITFIIERGERGFSVDIARACFITSLNVISNVVFSIDLGGYDPRASTELQDSLSRMMKIMGKPNLVNYFPSLEFLDIQGIRKEMKVCSERLFQIFQGLVNARIAERSSQTGPRDALRGDLLDSLIDLIQEEGSEVDMNDINHFLCDLFIAGTETNSTTVEWALAELLRNPEAMANAKVEINFIVGPNRYVRDSNLFEFPYLQAVVTETLRLHPPSPFLIPRKAESDTEILGYPIPENAQILVNAWAIGRDPSVWENAEQFEPERFLGRDIETIGKDFEMIPFGAGQRICPGISLALRIVPLMLASLIYSFEWHPKNVKKEVVEDLNMDETLGFTLHKTKPIYSDTLEKAYNYFY >Al_scaffold_0005_3032 pep chromosome:v.1.0:5:20120827:20121276:-1 gene:Al_scaffold_0005_3032 transcript:Al_scaffold_0005_3032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative endonuclease or glycosyl hydrolase [Source:Projected from Arabidopsis thaliana (AT3G61090) TAIR;Acc:AT3G61090] VYGEKTNKFKDDFLLADIMFLPADARARYMRMGNDFFCWGFDNRKSTLMVMSRDNTEFASSLIMYKNLNFNILVAEPENAHKRCSNCRKPLDEIITDEWIWESLSAGGDPITKTQEPDLSNSCH >Al_scaffold_0005_3035 pep chromosome:v.1.0:5:20125007:20125703:-1 gene:Al_scaffold_0005_3035 transcript:Al_scaffold_0005_3035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding ribosomal protein family protein [Source:Projected from Arabidopsis thaliana (AT3G61111) TAIR;Acc:AT3G61111] MVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSYFMYVRCYGCFNITTIFSHSQTVVVWGKCQNVLCQPTGGKARLTEGCSFRKISS >Al_scaffold_0005_3036 pep chromosome:v.1.0:5:20126404:20126869:-1 gene:Al_scaffold_0005_3036 transcript:Al_scaffold_0005_3036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin related modifier 1 [Source:Projected from Arabidopsis thaliana (AT3G61113) TAIR;Acc:AT3G61113] SCSGGLELLCDSVKIHKVNINFPNESDILTMKDLLSWVRTNVIKERPEMFMKGDTVRPGVLVLVNDCDWELSGQLDTTLEDKDVIVFISTLHGG >Al_scaffold_0005_3046 pep chromosome:v.1.0:5:20157921:20158278:-1 gene:Al_scaffold_0005_3046 transcript:Al_scaffold_0005_3046 gene_biotype:protein_coding transcript_biotype:protein_coding description:low-molecular-weight cysteine-rich 54 [Source:Projected from Arabidopsis thaliana (AT3G61182) TAIR;Acc:AT3G61182] MTKTITLAIFMVVLVLGMVIKETQGEENQCYEYISTPQENCEGLVCAHDCTMKHGGNGVCVDTTSTTCICTYDC >Al_scaffold_0005_3057 pep chromosome:v.1.0:5:20196284:20196622:1 gene:Al_scaffold_0005_3057 transcript:Al_scaffold_0005_3057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LS80] AQIIERQGSEEVSEYMMKNLKMKYVYDYMLYVLQGYVKLMKLDVTVPENDTEVCSKTMACPITDGGRIRQCMDIRWLCLRASRRLVICHLRSFLRNKKVRERLRSGTMSIGT >Al_scaffold_0005_306 pep chromosome:v.1.0:5:1951715:1952592:1 gene:Al_scaffold_0005_306 transcript:Al_scaffold_0005_306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis protein COQ4 homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7LQK2] MIIERARVPLRRWQQAAVAMGSAIGALVDPRRADLIAALGETTGKPAFEMVLERMKKSEEGRAILLERPRVVSEQVGHAWDLPDNTFGAAYAKFMGSRNFSPDDRPPVRFMETDELAYVATRAREVHDLWHTLFGLPTNLIGESALKVIEFEQMYLPMCMLSVIGGTVRFNEKQRSMFLKHYLPWAARAGRQCTDLMCVYYERHFSEDLEQVRRNWGIIPAPQHPK >Al_scaffold_0005_3068 pep chromosome:v.1.0:5:20233801:20235639:1 gene:Al_scaffold_0005_3068 transcript:Al_scaffold_0005_3068 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61390) TAIR;Acc:AT3G61390] MTLKIPIQEVVKMVGSLRYRESMETSKIKTLMDEKIYVAVTGKDLESKSSLVWAIHNSGGKEFCIVHVHQPIQISVQGTTFHEQKLRLYRKEKEKAHKNLDKYLHICRQMQVNAEIISIEMDSVEEGILQLISQRGVTKLVMGAAADRHYSMRMKDLQSKKAIYIHREAPAICHIWFTCKGYLICSREARSTDNSYLEYSSSNTLSQSKITRETESVPSSSIVKDDAETSKRKARFEASKREEAEKSAVDALKKEETEKALRKTNEELEKMRSEAESQITESYTVIRKLQEKNNLSMETLRRLREEQEELKIKLREVSKLKGKREEEEVSPSNHREPPQYFICPITQDIMEDPHVATDGFTYEREAISGWFARGHDTSPMINKRLPHTSLVPNLALRSAIQEWLQVPESLNKSSACKSEHF >Al_scaffold_0005_3070 pep chromosome:v.1.0:5:20238767:20240056:-1 gene:Al_scaffold_0005_3070 transcript:Al_scaffold_0005_3070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LS93] MSLICRLNLGRRFSTALPRRAEDIMSNPDCRPTQLCLRVTYLIRFVGDLDTAAKYARLAVFTRFKSETTIKTCQSIIGGMLRDKRPEDAYDLFDFFFNEHKLIRNSHCWNYMLESGLQQGVIDDALELYHRPIKFGKEIHDYPNTDTFRVMTKGLVHSGRLDEAEALLRDRKVDRIIYPDHVAYNNLIRGFLDLGNLDKANLVLDEFKRLFLIALSETKDDLHHSNYESRVAFVMATFMEYWFKQGKEVEAMECYNRSVLSNRLLVCAETGNALLVVLLKYGEKKHAWALYHELLDERRTDSDTIKIMVDECFDMGRLGEAMETYKKARAKNISFYFTVFNDGYIITRCCENGMLSEAESVFVDSLADDIGYVDVKTFKTMIDAYVKAGRIDDAIKTSNKMIDATLKEVSHLF >Al_scaffold_0005_308 pep chromosome:v.1.0:5:1955600:1956488:-1 gene:Al_scaffold_0005_308 transcript:Al_scaffold_0005_308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis protein COQ4 homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7LQK4] MIIERARVPLSRWQQAAVAMGSAIGALVDPRRADLIAALGETTGKPAFEMVLERMKKSEEGRAILLERPRVVSEQVGHAWDLPENTFGAAYAKFMGSRNFSPDDRPPVRFMETDELAYVATRAREVHDLWHTLFGLPTNLIGESALKVIEFEQMYLPMCMLSVIGGTVRFNEKQRSMFLKHYFPWAVRAGRQCTDLMCVYYERHFSEDLEQVRRKWGIIPAPQHPK >Al_scaffold_0005_3082 pep chromosome:v.1.0:5:20314164:20316381:-1 gene:Al_scaffold_0005_3082 transcript:Al_scaffold_0005_3082 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACC synthase 1 [Source:Projected from Arabidopsis thaliana (AT3G61510) TAIR;Acc:AT3G61510] MSQLLSKLASSDKHGEASPYFDGWKAYDNNPYHPTHNPHGVIQMGLAENQLCSDLIKEWIRKNPQASICTAEGIDSFSDIAVFQDYHGLKQFRQAIAAFMERARGGRVSFEVERVVMSGGATGANETLMFCLADPGDAFLVPTPYYAAFDRDLRWRTGIRIIPVECNSSNNFQVTKQALESTYLKAQETGIKIKGLIIANPSNPLGTSLDRETLESLVSFINDKQIHLVCDEIYAATVFAEPKFISVAEIILEMVHVNRDLIHIVYSLSKDMGLPGFRVGVVYSYNDVVVSCARKMSSFGLVSSQIQSFVAAMLSDQRFVDNILVEVSKRVAKRHHMFTEGLEEMGISCLRSNAGLYVLMDLRQMLKDQTFESEIALWRVIINKVKINISPGSSFHCSEPGWFRVCFANMDEDTLQIGLERIKDFVLGDKASKNKNCNCICNNKRENKKRKSFQKNLKLSFSSMMYDEHVRSPKLMSPHSPLLRA >Al_scaffold_0005_309 pep chromosome:v.1.0:5:1977823:1979885:1 gene:Al_scaffold_0005_309 transcript:Al_scaffold_0005_309 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT2G03710) TAIR;Acc:AT2G03710] MGRGKVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEIALLIFSNRGKLYEFCSSPSGMAKTVDKYRKYSYATMDPNQSAKDLQDKYQDYLKLKSRVEILQHSQRHLLGEELAEMDVNELEQLERQVDASLRQIRSTKARTMLDQLSDLKTKEEMLLETNRDLRRKLDESDAALTQSFWGGSAAEHSQQQHQQQQQQHQQQQGMSSYQSNPPIQEAGFFKPLQGNVALQISSHYNHSPAAVTNASNSATTSQNVNGFFPGWMV >Al_scaffold_0005_3095 pep chromosome:v.1.0:5:20359595:20363459:1 gene:Al_scaffold_0005_3095 transcript:Al_scaffold_0005_3095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSC4] KKKKIKISEKKKKKKKKLKIKKKKDEGDRKKKKKKKKKKKKNKTTYLILKRKENLSLIQKENKIQKIKPFKLN >Al_scaffold_0005_3105 pep chromosome:v.1.0:5:20409184:20409619:-1 gene:Al_scaffold_0005_3105 transcript:Al_scaffold_0005_3105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G46410) TAIR;Acc:AT2G46410] MPEMDTRRWNQRKTTYASCSQEVTSIEWKVVTMSEEEEDLIFRMYKLVGDRWPLIAGRIPGRTPEVIERYWLMKHGFFFAN >Al_scaffold_0005_3106 pep chromosome:v.1.0:5:20410574:20411361:-1 gene:Al_scaffold_0005_3106 transcript:Al_scaffold_0005_3106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSD6] MDAGVGGGGAAEEFLQIHRHDSKENQCSSVLVKHIQAPVHLVWSLVRRFDQPHKYKPFVSRCVMKGDVGIGCVREVDVKSGLPATTSTERLELLDDTDHILGIKILGGDHRLKNYSSVVTVHPEIIDGRAGTMVIESFVVDVPQGNTSDETCYFVEALIRCNLKSLSNVCERMAAQDRI >Al_scaffold_0005_3110 pep chromosome:v.1.0:5:20428016:20429302:-1 gene:Al_scaffold_0005_3110 transcript:Al_scaffold_0005_3110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSE0] SCEREKKSGWERKKREREREKKSGWERKEREREREREREREKKSGWERKKREREREREKKKMDG >Al_scaffold_0005_3115 pep chromosome:v.1.0:5:20448936:20450435:-1 gene:Al_scaffold_0005_3115 transcript:Al_scaffold_0005_3115 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25410) TAIR;Acc:AT2G25410] MTSKQLPLLLLHLFFFFFFFFLPLLNASEPKPCYSFSCGQGSVVVRFPFSLFPYQPESCGYSGFNLLCTGDGKTALKLPKSEPFLVREIDYESQRIRLNDPENCLARRLLNFDPSGSPFSPLRSRNYTFLICPKEANVTASFRAIDCLGNSTSSFFVVQLDLVGSMPSSCQTFKTLPLPFSWSVAYTAFPGGQNSRDLWLKWDSPDCRDCERRTNSRCGFKNNTSLQVECFSSANPGLHNTGLQVLKIICLSLVGPLTALTFCVGLVMCSSERVSSQIQHAVVARLSGSVTPQPSDEVARTGLDESTIESYKKVELGESRRLPTGSNDVVCPICLSEYATKETVRCLPECEHCFHTECIDAWLKLHSSCPVCRSNPSPARD >Al_scaffold_0005_3117 pep chromosome:v.1.0:5:20454594:20455054:-1 gene:Al_scaffold_0005_3117 transcript:Al_scaffold_0005_3117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSN5] MKLLNLFAASLLLAEFMLNQLGIEESEVTKNMAEVSILNLKSWTGEADDSQPEAVIAPHAVAVHTRLQENEGILVKYHTMKARTDGDIVSIRISQQLLC >Al_scaffold_0005_3120 pep chromosome:v.1.0:5:20458129:20460239:-1 gene:Al_scaffold_0005_3120 transcript:Al_scaffold_0005_3120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSN7] MKLRSGDVEGDSRGKRIVPVGDGNGGRKRKLVQSNEQKNDLQRDEDGRAKRRIVQSSDQKNGKILRGIHGCVSPRCSAQTYQSRFSWFEQDIWTYISRFLDGKSLVKLGATNKWFYKIAMEDTVWRFACLRDLQVPQPFPVSSTWIKIYASAFDGSHSYLFRQKEKHIDWMRIGAFVLDSRTSLLTESLSGRLKVPREGTIERMLQSSGSCVINDIKSGIWIADLQLVRCPVCDLSTCDGTMQTLDARHIELFLSEGYKDGSWDYNLIGSHKLQKDANAACGAIFDLKHLKESSSSGILNLKSWTGEADDSQPKAVIAPHAVAVHTRLQENEGILVKYHTMKAGTDGDIVSIRISQQLL >Al_scaffold_0005_3153 pep chromosome:v.1.0:5:20632585:20633756:1 gene:Al_scaffold_0005_3153 transcript:Al_scaffold_0005_3153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSS3] MPKISLSWAAIYKPVPNIILSSADLNPNFLSIEIMSDEHDGAGDDLEDDVDNTTLCNSCNKEEELKAANIKILEMEKAQAEQAKVLAQQAKELEYYKNIVFNQFPNLVLPTNPPARDDN >Al_scaffold_0005_3159 pep chromosome:v.1.0:5:20649615:20650318:1 gene:Al_scaffold_0005_3159 transcript:Al_scaffold_0005_3159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LSS9] MLKDFFDGKEPNKGTNPDEVLAYTTAVQGGVLSGEGGEETQNLNLLKTNILLLDVAPLHLGIDTVGGVMTNIIPRIPTKKSQVFTTYQDQQTTVTINVYEEISMTKDNPELGNFQLTGLLPAPRKEQFFMGVPQMEVTFEVDANGILQVNTEDKVPKMSQSLTITNDKGRLTGEEIDEMIILISY >Al_scaffold_0005_3165 pep chromosome:v.1.0:5:20668566:20669855:-1 gene:Al_scaffold_0005_3165 transcript:Al_scaffold_0005_3165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LST5] MSLICRLNLCRRFSTALPRRAEDIMSNPDCRPAQLCLRVSYLIRFVGDLDTAAKYARLAVFTDIKSEATAATCQAIIGGMLQNKRHDDAYDLYDFFFNHFKLRPNSHCCNYIIESRFKQGLVDEALGFHHRSIESGMVHDYPSNDTFRVLTKGLVHSGRLDQAEAMLRDRTVDRIIYPDHVAYNYLIRGFLDLGNLGKANLVLDEFKRLFLITLSETKDDLHHSNYENRVAFVMATFMEYWFKQGKEVEAMECYNRSVLSNRLLVCAETANALLVVLLKYGEKKHAWALYHDILDKSRTYPDTIKIMVDECFDMGRFREAMKTYNKARAKNHYLSDIYIFTKCCQNGMLSEAESIFADSLADDFAYIDVDTSKTMMDSYVKAGRIHDAIKTSNKMIDATLQEVSHLF >Al_scaffold_0005_3169 pep chromosome:v.1.0:5:20693311:20695954:1 gene:Al_scaffold_0005_3169 transcript:Al_scaffold_0005_3169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSU0] MAGPSEKKEKVKKEKVVKEKVAKASSIGQKKKDVKKETGLGLSVKKDENFGDWYSEVCKQDMIEYYDISGCYILRPWSMAIWEIMQIFFDAEIKKMKVKNCYFPLFVSPAVLEKEKDHIEGFAPEVAWVTKSGKSDLEIPIAIRPTSETVMYPYYSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKAEADEEVLQILELYRRIYEEYLAVPVVKGMKSENEKFAGGLYTTSVEAFIPNTGRGVQGATSHCLGQNFAKMFEINYENEKAETAMVWQNSWAYSTRTIGVMIMTHGDDKGLVLPPKVASVQVVVIPVPYKDANTQGIYDACTATASALCEAGIRAEEDLRDNYSPGWKYSDWEMKGVPLRIEIGPRDLENDQVRTVRRDNGVKEDIPRGSLVENVKELLEKIQQNMYEVAKQKREACVQEVKTWDEFIKALNEKKLILAPWCDEEEVERDVKARTKGETGAAKTLCSPFDQPELPEGTLCFASGKPAKKWTYWGRSY >Al_scaffold_0005_3173 pep chromosome:v.1.0:5:20711205:20713438:-1 gene:Al_scaffold_0005_3173 transcript:Al_scaffold_0005_3173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSU4] MDSEAVKEFIRREVSDWDDEVVATARFKAFSGQRSNWELKFQFWRDLILKVLRQFGLFIIDPVQVKKAWFNRGGITPLCIDHVLLLMHSEGDVVRISDIDDPGSGRISRLLRTVRNLMVQQSVKPEEILENKLVIVPLLKEKAADVVNILSEGHWTSTCVVTLKKFRNLCNGSNEASAVLSHLSGCGKAHKISINRGELIEGIKVSFSQAALPSISTLDCDILHLLRTTEKLQDQLEVMDQRCEKSKKSALASLKSGHRKVALRHARELKLATESREKCTSLLNRVEEVLNTIADSESTKMVSEAIKTGARVMKDIKISADDVHDYLEELEETIESQKQVEKALESAPYPDTDDENIEDELLELEMDLESESSQVLPANLDTADSLTEMFSELKLGKTKQTLEPARMKDSGKKILEAA >Al_scaffold_0005_3203 pep chromosome:v.1.0:5:20827258:20827730:1 gene:Al_scaffold_0005_3203 transcript:Al_scaffold_0005_3203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LT67] MMKTRFLDWYLKIAIGSAIIGGGMEFFMIKTGFYDKVTVIEAERRALENSPEAQAMREALNPWRNKDAETSKTP >Al_scaffold_0005_322 pep chromosome:v.1.0:5:2047105:2048141:1 gene:Al_scaffold_0005_322 transcript:Al_scaffold_0005_322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQL8] MARDKEEQNNENPSSFIKNISFPFNTIFLISTAIFLVTAAFWFVTVITLHYRTDECNRFVTTPGIFISFSLLAMSLTGFYAAYLKSDCLFRIHFFIFFLWMFVVVSKAIFVIFLQKETNPRLFPGTKIQEFRYEDYSGWVSRLVIKDDEWYRTRRCLFKDNICNRLNHKMPASEFYQMNLTPIQSGCCKPPLSCGLNYEKPNMWTVSRYYNNLEVDCKRWNNSADTLCFDCDACKAVIIADLHNNSFSITINIIHIIFSLSIGMTGWFAWLRILRESQK >Al_scaffold_0005_3236 pep chromosome:v.1.0:5:20938248:20939171:-1 gene:Al_scaffold_0005_3236 transcript:Al_scaffold_0005_3236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT2G47640) TAIR;Acc:AT2G47640] MSKPMEEDTTQGKTEEEEFNTGPLSVLMMSVKNNTQVLINCRNNRKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNRDRFISKMFLRGDSVIIVLRNPK >Al_scaffold_0005_3237 pep chromosome:v.1.0:5:20940091:20941962:-1 gene:Al_scaffold_0005_3237 transcript:Al_scaffold_0005_3237 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger protein-related [Source:Projected from Arabidopsis thaliana (AT3G62850) TAIR;Acc:AT3G62850] MYKRGAAKPEYATAKIAVWWDMKCCPIPEGYDARLVRPSIEAAFNELGYSGPVSITGYGDQRQTPCHILRGLSSTGVAVAQIKSESTCSLMYSNMLEWRDHNPPPATMMLISDQWQDVFSWDLARLQQHTKYNLFLSYSTKSNIGSALEPCGKWTWTKLLATKRELVQDQKCCSRELSAMFYCKSCSYQGQSVEGFRKHLSTRKHAVREVTNRIHPELDYLTRTWAKDYPAKPEYATAQIAVWWDMMDCPIPEGYDARQVRPSLEAAFKKLGYSGPVSITAYGDHNKTPDYILRELSSTGVEVIYSRMFRNLSEWKDSNPPPATIMLISDAVEVMFSGALARLLQETKYNLFLAYSYRPYKMSVLLTSAEWLWESLLLAGVFFSSPYHHPLTFETNDATRRHVLGKCSERGESTKMFSCSVCICDCKSLEDLRTHLSSEDHAVLQERHYPEVIRMKYRCIKQCQKASFSNDDYRFSDMGFLSNS >Al_scaffold_0005_3238 pep chromosome:v.1.0:5:20942345:20945232:-1 gene:Al_scaffold_0005_3238 transcript:Al_scaffold_0005_3238 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62860) TAIR;Acc:AT3G62860] MMKFKFEYEEEYIKNSRGVELFACRWVPSSSPRALVFLCHGYGMECSSFMRECGIRLASAGYAVFGMDYEGHGRSKGARCYIKKFSNIVNDCYDYYTTISAQEEYKEKGRFLYGESMGGAVALLLHKKDPSFWNGALLVAPMCKISEKVKPHPVVINLLTRVEDIIPKWKIVPTKDVIDAAFKDPIKREEIRNNKLIYQDKPRLKTALEMLRTSMDLEDTLHEITLPFFVLHGEADIVTDPEISKALFEKASTRDKTIKLYPGMWHGLTSGEPDANVDLVFADIVNWLDARTGDSASLTVNPVHDFTSNVQKVVDGVSNGQGKSKRPQASLLCGLNGGGRRLVHRSSM >Al_scaffold_0005_3241 pep chromosome:v.1.0:5:20949286:20951090:1 gene:Al_scaffold_0005_3241 transcript:Al_scaffold_0005_3241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62890) TAIR;Acc:AT3G62890] MSKEAIISYANPIFHIRHLKLESFVWNIIIRAIVHNVSPPQRHSPISVYFRMRHHCVSPDFHTFPFLLPSFHNPIHLPLGQRTHAQILLFGLDKDPFVRTSLLNMYSSCGDLSSALRIFDESVSKDLPAWNSVVNAYAKAGLINHARKLFDEMPERNVISWSCLINGYVMCGKYKEALDLFREMQLPKPNEVFVSPNKFTMSTVLSACGRLGALEQGKWVHSYIDKYGVEIDIVLGTALIDMYAKCGSLERAKRVFDALGSKKDVKAYSAMICCLAMYGLTDECFQVFSEMTTSNNINPNSVTFVGILGACVHRGLINKGKSYFKMMTEEFGITPSIQHYGCMVDLYGRSGLIKEAESFIASMPMEPDVLIWGSLLSGSRMLGDIKTCEGALKRLIELDPMNSGAYVLLSNVYAKTGRWIEVKRIRHEMEVKGIKKVPGCSYVEVEGVVHEFVVGDESQQESERIYAMLEEIMQRLREAGYVSDTKEVLLDLEEKDKEMALSYHSEKLAIAFCLMKTRPGTPVRIIKNLRICGDCHLVMKMISKLFSREIVVRDCNRFHHFSDGSCSCRDFW >Al_scaffold_0005_325 pep chromosome:v.1.0:5:2063118:2064165:1 gene:Al_scaffold_0005_325 transcript:Al_scaffold_0005_325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQM0] MARDKEEQNNENPSSFIKNISFPFNTIFLISTAIFLVTAAFWFVTVITLHYRTDECNRFVTTPGIFISFSLLAMSLTGFYAAYFKSDCLFRIHFFIFFLWMFVVVSKAIFVIFLHKETNPRLFPGTKIHEFRYEDYSGWVSRLVIKDDEWYRTRRCLVKDNVCNRLNHKMPASEFYQMNLTPIQSGCCKPPLSCGLNYEKPNMWTVSRYYNNLEVDCKRWNNSADTLCFDCDSCKAVIIADLHNNSFSITINIIHIIFSLSIGMTGWFAWLRILRESQK >Al_scaffold_0005_3261 pep chromosome:v.1.0:5:21025790:21027562:-1 gene:Al_scaffold_0005_3261 transcript:Al_scaffold_0005_3261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LTC8] MIHLGGLTCCVSGGVLYLLSMIPGSFFEMLNSVTRVHQIKDLENLLQVEGKIIAVSGTAQQLFLKRNWLFSWVEDSKWMLPQAKEVPWYLMLGVRRTEHVLPIGTPVTVVGEAVKDGIRGFRIQKPEKGLFFVSPVPLDKIISPMGKWLRRFKYVYVGLTVVGVILISKPVIEYILERRRGRLLRKRVADAAAKRAKLVARGLETQHENSLDSTSRDRDVLDLCVICLEQKYDATFVKCGHMCCCLTCSLHVKTCPICRRPIEHVLKIDRR >Al_scaffold_0005_3294 pep chromosome:v.1.0:5:21146408:21148971:-1 gene:Al_scaffold_0005_3294 transcript:Al_scaffold_0005_3294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LTQ1] MTSIINSWLLITSFVLFLLAINCRGESSTCLAVYKQGGAPAVFQSPKCPRWNLHNWGQSGAGRCHTAAIRGRRNYQEDRLLCALDLRIPFPGKTGTKDVLVGIAAVFDGHNGAEASEMASKLLLDYFALHINFLLDATFSAMTRKMIGRLPTKGEHGVILHGVSRDDIMHLYNLDFQMQFRDSLPLNFDDSLHLDIMKEALLRAIHDIDATFTKEASARKLNSGSTATIALIADGQLMVASIGDSKALLCSEKFETLEEARGTLVKLYRERRRNRGSSPSRFSDFKLEHGNGLLHFIAKELTKDHHPNREDEKIRVEAAGGYVTEWAGVPRVNGQLTVSRSIGDLTYKSYGVISAPEVMDWQPLLANDSYLVVSSDGIFEKLEVQEVCDRLWEVNNQTSSGAGVPSYCSISLADCLVNTAFEKGSMDNMAAVVVPLKSNLVTQLHWKEQSMNDNKDKIASALPTNNCALPLPNDINLGPLQLKQAQPLATMFNRLLKLKTEVFAAFICQRTLLGRLKGKWTI >Al_scaffold_0005_3304 pep chromosome:v.1.0:5:21176619:21178596:1 gene:Al_scaffold_0005_3304 transcript:Al_scaffold_0005_3304 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytokinin oxidase/dehydrogenase 6 [Source:Projected from Arabidopsis thaliana (AT3G63440) TAIR;Acc:AT3G63440] MSYLLRKRTMLIVRSFTILLLSCIAFKLACCFSSSISSLKALPLVGHLEFEDVHHASRDFGNRYQLIPLAVLHPKSVSDIASAIRHIWMMGPHSQLTVAARGRGHSLQGQAQTRHGVVIHMESLHPQKLQVYSVDAPAPYVDVSGGELWINILHETLKYGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVHQLEIVTGKGEILNCSKRQNSDLFDGVLGGLGQFGIITRARIALEPAPTMVKWIRVLYLDFSAFAKDQEQLISADNKFDYIEGFVIINRTGLLNNWRLSFTPEEPLEASQFKSDGRTLYCLELAKYLKQDNKDVINQEVKETLSELSYVSSTLFSTEVTYEAFLDRVHVSEVKLRSKGQWEVPHPWLNLLVPRSTIKEFAKGVFGNILTDTSNGPVIVYPVNKSKWDNQTSAVTPEEEVFYLVAILTSAVPGKEDGGGVEQILKRNRRILEFSEEAGIGLKQYLPHYTTREEWRSHFGAKWGEFVRRKSRYDPLAILAPGQRIFEKAVSYS >Al_scaffold_0005_338 pep chromosome:v.1.0:5:2125566:2126601:-1 gene:Al_scaffold_0005_338 transcript:Al_scaffold_0005_338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a root meristem growth factor (RGF). Belongs to a family of functionally redundant homologous peptides that are secreted, tyrosine-sulfated, and expressed mainly in the stem cell area and the innermost layer of central columella cells. RGFs /.../equired for maintenance of the root stem cell niche and transit amplifying cell proliferation. Members of this family include: At5g60810 (RGF1), At1g13620 (RGF2), At2g04025 (RGF3), At3g30350 (RGF4), At5g51451 (RGF5), At4g16515 (RGF6), At3g02240 (RGF7), At2g03830 (RGF8) and At5g64770 (RGF9). [Source:Projected from Arabidopsis thaliana (AT2G04025) TAIR;Acc:AT2G04025] MTTLSKILCVLIVLLLCFSFRYSLHEDGNQQSSHDFVSTAKATTEYGDVIKKMIGGRKLMIANGKEEEEETTMKRGNRETERKASKSVEEDGLVAYTADYWRAKHHPPKNN >Al_scaffold_0005_340 pep chromosome:v.1.0:5:2135276:2135850:1 gene:Al_scaffold_0005_340 transcript:Al_scaffold_0005_340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQN6] MASSKAYYKIFAITVLLLSLTLISLAGNAEDTDVKECKTEYNPEIHHATTTKKHKRLKLKAIISISRRALAVFAYRCLASGAILETGVMLVLPDSFDYLTSELLPENPWKKFPFTTFIVMASDLFPFMFNLYAMSLYKKMTSDMDKQDEVNDDNTSQRRRNRYSLSSNL >Al_scaffold_0005_341 pep chromosome:v.1.0:5:2138219:2140674:1 gene:Al_scaffold_0005_341 transcript:Al_scaffold_0005_341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQN7] MERDLTGGKFDRGEVFAHGSTERIGDFLATLGLEIFGNIRKWRIIVVSGLKDREDLVRVNVSLGGNLDNIQASLSGLVFLFSFKNATIALTVVNVLIFLFLLQGYFTSSSSSSSSSSSRRLISGNGALYQKVPWWRMAALKSSSGKEKLSKKLEIAEDGKEENDEEEGSKVILLYSCLLLYSGLHLYSHSAVQLSSAVQWSTSVQSFCCTVVFCCTVVYICTVILLYSCLLLYSGLHLYSHSAVQLFSVQWSTSWEYSTFQKHNRQTSTGTIYNCS >Al_scaffold_0005_342 pep chromosome:v.1.0:5:2141500:2141918:-1 gene:Al_scaffold_0005_342 transcript:Al_scaffold_0005_342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6E0] MAALKSSSGKEKLSKKLEIAEDGKEENDEEEGSKAIESFLRTVTPSLNLKRHKGQADQYSPSSLDNCFSV >Al_scaffold_0005_352 pep chromosome:v.1.0:5:2183082:2183519:1 gene:Al_scaffold_0005_352 transcript:Al_scaffold_0005_352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like (DEFL) family protein [Source:Projected from Arabidopsis thaliana (AT2G04045) TAIR;Acc:AT2G04045] MASKITFFFFIALVIDCAMMVTTQTAEAQIFLPCVTTKDCEYLHCSSGTALCVNRQCQCSRSSIHQTKLDNLKTMDSAKKCKWTQDCDPRMRFTCVSGSYMCFDGLCTCTN >Al_scaffold_0005_357 pep chromosome:v.1.0:5:2230599:2231388:1 gene:Al_scaffold_0005_357 transcript:Al_scaffold_0005_357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQQ9] MFAAGFYTYSIFALVFWETRRSDFGVSMGHHITTLVLIVLSYICRLTRAGSVILALHDASDVFLEIGKMSKYCGAESLASISFVLFALSWVVLRLIYYPFWILWSTSYQIIMTVDKEKHPNGPILYYMFNTLLYFLLVLHIFWWVLIYRMLVKQVQDRGKLSEDVRSDSESDDEHED >Al_scaffold_0005_358 pep chromosome:v.1.0:5:2232479:2234221:-1 gene:Al_scaffold_0005_358 transcript:Al_scaffold_0005_358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQR0] MFIQTTSNVSTNPFGEDSLANRINLKETTDFIKSLPNSSNQSSSSSSSEMLNERRPSFSSQKSIGEGRSNGQRRLMLMESPCTPGRGVFSFSSNVSGRRRNFPSKWIDAEKWVTSSGHDSPAHSLKNTQFDGFKHQVEVVYSEKSRVTEECFHGSVSLSPQDLILKDKLANEVPQILPSTEG >Al_scaffold_0005_359 pep chromosome:v.1.0:5:2235034:2235354:1 gene:Al_scaffold_0005_359 transcript:Al_scaffold_0005_359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LQR1] VLDQQGVGQLIKMATEKGRAAMPSLKVGICGEHGGDPSSVGFFAEAGLDYVSCSPFRVPIARLAAAQVVA >Al_scaffold_0005_365 pep chromosome:v.1.0:5:2258285:2258748:1 gene:Al_scaffold_0005_365 transcript:Al_scaffold_0005_365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQR5] MYSPVRGRQEKQKFCLADKTSVKLSFDNVTMLAPSLVRVLYKYAVNSFIVKNSAVVAVVQQREFLMDIATMKKENKAEKKNLKEEDKAEKVNFKEEEAAEKPAANLKENAE >Al_scaffold_0005_378 pep chromosome:v.1.0:5:2342728:2343071:1 gene:Al_scaffold_0005_378 transcript:Al_scaffold_0005_378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQS7] MAAFKTDSKKKRPRGERIVTRMGVGGLPVATTVDEEGNGAVGSGKGELMYMRARFERVIGSRDLEAFYIMNPDVSSGGPKHSVYFLRV >Al_scaffold_0005_382 pep chromosome:v.1.0:5:2357361:2359113:-1 gene:Al_scaffold_0005_382 transcript:Al_scaffold_0005_382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G25670) TAIR;Acc:AT3G25670] MSWIHSVLFLFLTFRWCVTGESSPEVTDDGAPMEKTEQEALYSAIQGFVGDSWNGSDLYPDPCGWTPIQGVSCDLYGDLWYVTDLTLGLVHENSLSCGASLKIKPQLFKLKHLKSLTFFNCFTSPITIPKEDWINLASNLESLEFRSNPGLIGELPETIGCLTKLKSLVVLENGFNGKLPTSLCNLTRLKRLVLAGNLFTGTIPDCFNGFKDLLILDMSRNLISGTLPSSIGEMVSLLKLDLSNNHLEGKLPQEIGFLKNLTLLDLRNNIISGGLFENVVKIRSLTDLVLSGNPMGSDDMMGIKWENMGNLVILDLSKMGLSGEIPLGLTSLKRLRFLGLNDNNLTGTVPSRRLETLPCLGALYINGNNLSGELEFSRKFYEKMGTRFKASKNPNLCQHVVSEAQQYVVGLKSCMMEKTEGSSVIKQTWSNLKEEDESSSSMGVMVTRHVLLSNGFMWNLLLELSLILLLNLLVC >Al_scaffold_0005_39 pep chromosome:v.1.0:5:223583:225951:-1 gene:Al_scaffold_0005_39 transcript:Al_scaffold_0005_39 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase 17 [Source:Projected from Arabidopsis thaliana (AT2G01450) TAIR;Acc:AT2G01450] MLEKEFFTEYGEASQYQIQEVVGKGSYGVVASAECPHTGGKVAIKKMTNVFEHVSDAIRILREIKLLRLLRHPDIVEIKHIMLPPCRKEFKDIYVVFELMESDLHHVLKVNDDLTPQHHQFFLYQLLRGLKFMHSAHVFHRDLKPKNILANADCKIKICDLGLARVSFTDSPSAVFWTDYVATRWYRAPELCGSFYSNYTPAIDMWSVGCIFAEMLTGKPLFPGKNVVHQLELVTDLLGTPSPITLSRIRNEKARKYLGNMRRKDPVPFTHKFPNIDPVALKLLQRLIAFDPKDRPSAEEALADPYFQGLANVDYEPSRQPISKLEFEFERRKLTRDDVRELMYREILEYHPQMLQEYLQGEENINSHFLYPSGVDQFKQEFARLEEHNDDEDEHNSPPHQRKYTSLPRERVCSSEDEGSDSVQASSSASVVFTPPQTPNTATGLSSQKTTQVDKAATPVKRSACLMRSDSICASRCVGVSSAVS >Al_scaffold_0005_398 pep chromosome:v.1.0:5:2480877:2482542:-1 gene:Al_scaffold_0005_398 transcript:Al_scaffold_0005_398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQV0] MLKLQRAKVHSVYFSVKESLKKLVAAHFVERSPSLDPSFGRDLPRRRGATYPLFFDNLIVLKKKVLQTAPAEDIRFPLTAFANTDSNIAKRKRKPDVDASDPSKLITWRPNFEEFISRLRHKACLDIVKKNKGEECVAVLRAMLNVKSAEEKVEKGQSGRMSVGSFSKEVKTEDGHPLLQEIVVECLGKLSSSSSSSSSSLPAFVIEMDGSYRVDFESIISVPRYHEMKAAVKRTYGEEFSQMFNYLLEKEDCLFETSEIVGAVEIEEEAALRGLFDMRKGGYVRMEV >Al_scaffold_0005_401 pep chromosome:v.1.0:5:2492231:2496141:1 gene:Al_scaffold_0005_401 transcript:Al_scaffold_0005_401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQV3] MATFRIGSALIYQNITHHIRLRRPHRFVCKSMTKTTPDSTSADLRRRSGNYQPSPWDHCYLLSIENKYASEKEVITRDVLKKKVRMMLDVEKKSRLEQLELIDDLQKLGVSYHFELQINDTLTDFHLKNGRNVWKCDKEEDLHATALEFRLLRQHGFDVSENIFDVIIDKIESNTFKSNGIKGIISLYEASFLSTKSDTKLHKVIRPFATEQIRKFVDDETNNIEEREKAIHALEMPYHWRMRRLEMRWCIDSYKKKQDMNLVLIEFAKIDFNIVQAAHQEDLKYVSSWWKDTSLANQLPFVRDRIVENYFWTVGLIYEPQFGYIRRIMTIVNALVTTIDDIYDIYGTIEELELFTSMVENWDVNRLGELPEYMRLCFLILYNEINGIGCHVLKCKKIDVIPFLKKSWADLCRTYLVEAKWYKRGYKPSLEEYMQNAWISISAPTVLIHFYCVFSDQISIQSLDTLSQHRQKIVRCSATVLRLANDLGTSPDELARGDVVKSVQCYMHETGASEEKAREHVQQMISDTWDDMNFETKTACNSSLLSRGFVEAAMNLARMSQCMYQYGDGHGFPDKAKTVGRVRSLLVDSIPLD >Al_scaffold_0005_404 pep chromosome:v.1.0:5:2515653:2516444:1 gene:Al_scaffold_0005_404 transcript:Al_scaffold_0005_404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQV7] MGLNSKNGGQKSDDGFVELKPKALYLESQKKPFRRRKERSRRACKRKPVSFSFNPTLSYADFEFPPWFSPESRRLISKLPVVDPDRRILIPAIMRTTWLQKNFTPPLAFKIDEPICSQSNNNNEEEDDVDWENQTEPISPKFFNAFEFISSMSSGFDLSSLFESKRKVKSVFTSKSLATEVMERIETVTKEMNMKVKRTKDFKVKMEGKTEGRKGRLSMTAEVFEVAPEILWSTIGYTKKKSGRR >Al_scaffold_0005_405 pep chromosome:v.1.0:5:2521691:2522736:-1 gene:Al_scaffold_0005_405 transcript:Al_scaffold_0005_405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transport protein family [Source:Projected from Arabidopsis thaliana (AT3G25855) TAIR;Acc:AT3G25855] MSEKKQYCVVMRINLDCNACCRKARRIIINMKEVDTHMINKKERQVILCGRFRPSDVAVKLQKKMKRRVEILEVEDLANGHGGEEGHEYEPEPPYEQPYEYSQQSDHMTTPLLC >Al_scaffold_0005_420 pep chromosome:v.1.0:5:2603671:2606344:-1 gene:Al_scaffold_0005_420 transcript:Al_scaffold_0005_420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25970) TAIR;Acc:AT3G25970] MRLFDEMPQRDSVSWNTMISGYTSCGKLENSWCLFTDMKRCGCYVDGYSFSRLLKGIASAKRFDLGEQVHGLVVKGGYECNVYVGSSLVDMYAKCERVEDAFGAFMEILEPNSVSWNALIAGFVQVRDTKTAFWLLGLMEMKAAVTMDDGTFAPLLTLLDDPMFCNLLKQVHAKVLKLGLEHEITICNAMISSYANCGLVSDAKRVFDGLGGSKDLISWNSMIAGLSKHEQKESAFELFTEMHRNWIETDIYTYTGIVSACSGEEHQSFGKSLHGLVIKKGLEQVTSVSNALISMYIQFPTGVMKDALSLFESLKPKDLVSWNSIMTGFSQNGLSEDAVKFFRYLRSSNIEVDDYAFSAVLRSCSDLATLQLGQQTHALATKSSFESNEFVTSSLILMYSKCGVIENARKCFEQISSKHNTIAWNAMILGYAQHGSGQVSLDLFSQMCNQNVKLDHVTFTAILTACSHTGLIQEGLELLNSMEPVYKIQPRMEHYAAAVDLLGRAGLVNKVKELIESMPLNPDPMVLKTFLGVCRACGEIEMATQVANHLLEIEPEDHFAYVSLSHMYSDLKKWEEKANVKKMMKERGVKKVPGWSWIEIRNQVNAFNAEDRSHSLSKEIYLMIEDLTQEMQWLDSDNGFDQASLIGVNFVF >Al_scaffold_0005_435 pep chromosome:v.1.0:5:2656119:2656856:-1 gene:Al_scaffold_0005_435 transcript:Al_scaffold_0005_435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQY9] MEEDAIFDGGGEFVGGDLTGSIKWCWYNMLRRHNLVHVRHFVNSKNIINQTNYLRLFDSFSRSCSSLNPSFFEVILHLYTAIFCFSSTIANRENLTVELIHRDSPHSPLYNPHHTVSDGLNATFLRSISRSRRFNTKTDLQSGLISNGGEYLMSISIGTPPSKVLAIADTGSDLTWVQCKPYQQCYKQNSPLFDKKKSKEKEGEKP >Al_scaffold_0005_440 pep chromosome:v.1.0:5:2674147:2676242:-1 gene:Al_scaffold_0005_440 transcript:Al_scaffold_0005_440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulase (glycosyl hydrolase family 5) protein [Source:Projected from Arabidopsis thaliana (AT3G26130) TAIR;Acc:AT3G26130] MEKFFFISVFLLPYVITTFAFPLSTDSRWIVDDGNKGRRVKLTCVNWPSHLETAVAEGLSKQSLDSIAEKIVSMGFNCVRLTWPLYLATDESFSDIMTVRQSLRKFRLLEAVSGFQTHNPTILDLPLIKAFQEVVSCLGKHRVMVILDNHISQPGWCCSDNDGNGFFGDKHLNPQVWIKGLKKMASMFANVSSNVVGMCLRNELRGPKQNIKDWYTYMRKGAEAVHSMNPDVLVIVSGLNYATDLSFLRDRPFEVSFRRKLVFEIHWYGFWNSLEGDNLNKICGKETEKMMKMSGFLLEKGVPLFVSEFGIDQRGNNANDNKFLSCFMALAADLDLDWSLWTLAGSYYIREKTIGTDETYGVLDWNWSSIRNSTILQMISAIQSPFQGLMETQPKKIMFHPSTGLCIVRKSLFQLKLGSCNRSESWKLSSHRVLSLTEEKILCLKAYEDGKSVKLRLFFSDSYCSKWKLLSDSKMQLSSITKNGVSICLDVDSNNNNIVTNSCKCLLGNSSCDPRSQWFKLVTSTRRRSKPKPFLQISPYSKTSTF >Al_scaffold_0005_443 pep chromosome:v.1.0:5:2685420:2687036:-1 gene:Al_scaffold_0005_443 transcript:Al_scaffold_0005_443 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 71, subfamily B, polypeptide 17 [Source:Projected from Arabidopsis thaliana (AT3G26160) TAIR;Acc:AT3G26160] MGISLLCVFLITLVSLIFFGKKTKRCKWNLPPSPPKFPVIGNLHQLGELPHRSLQRLAARTGHVMLLHLGFVPVTVISSKEAAEEVLRTHDLDCCSRPNLLGSRLISRGFKDINFTPYGKEWKERRKFLVRELFCSKKVESFGYIKEEECNFLVKKLSESAVDQSPVDLSKTLFELAARILFRVSFGQSFHEIEFINKDKIDELVFEIETAQASFTYSDFFPIAGLGWLVDWISGQHKRLNDAFLKLDALLQHVIDDHSDPGRSKDHKDIVDVMLDMMHKQGKDDSLRLTIDHIKALLTNIIIAGIDTGALTMIWTMTELARNPEVMKKVQCEIRDHFGNNKERITKEDLDKVPFLNLVIKESFRLYPVAPLLLPRETMAHVKVQGYDIPPKRRILVNVWAIGRDPKLWKNPEEFNPERFIDSPVDFRGQHFELLPFGSGRRICPGMEMGMATLELGLLNLLYFFDWKLPDGMTHKDIDTEEAGTLTVVKKIPLKLVPVRVQ >Al_scaffold_0005_444 pep chromosome:v.1.0:5:2691707:2693361:-1 gene:Al_scaffold_0005_444 transcript:Al_scaffold_0005_444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LR24] MAISLFCLLLIAFASLILLGKKIKRSKWNLPPSPPKFPVIGNLHQLGELPHRSLQRLAERTGHVMLLHLGFVPVTVISSKEAAEEVLRTHDLDCCSRPKLVGTRLISRGFKDVGFTPYGEEWKERRKFLVREFFCLKKVQSFGYIREEECNFLVKKLSESAVDRTPVDMSKTLFWLTASILFRVASGQSFHESKFIDKDKVDELVFEAETAQASFTCSDFFPIAGLGWIVDCISGQHKRLKDVFFKLDALFQGVIDDHLHPGRRSEDHRDIVDIMLDVMHKQGKDISLKLTINNIKGILTVQGYNIPPKTRILVNAWAIGRDPKVWTNPEEFNPERFINSPLDYSGQHFELLPFGSGRRVCPGMAMGMATVELGLLNLLYFFDWKLPDGMTHKDIDTEEAGTLTIVKKVPLKLVPVRVQ >Al_scaffold_0005_456 pep chromosome:v.1.0:5:2777009:2778687:-1 gene:Al_scaffold_0005_456 transcript:Al_scaffold_0005_456 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 71, subfamily B, polypeptide 35 [Source:Projected from Arabidopsis thaliana (AT3G26310) TAIR;Acc:AT3G26310] MAYIWLLPLIFLVCILFAVFNHKKHREYRQLPSPPGFPIIGNLHQIGELPHQSLWKLSKKYGPVMHLMLGRVPTVVVSSSDTARQVLRVHDLLCCTRPSLTGPRELSYNYLDIAFSPFDDYWKEVRKLCVQELFSTKQVHSIQPIKDEEVKKLIDSIAESASQKTPVNLNNKCLALTVSVVCRTAFGVSFEGTVLNNDRFNKIVREALEMLGSFSASDFIPYVGWIIDLLTGLHGRRERSKRDLNAFIEQMFDLHKQGKKQGSEDFVDLLLRLEKEEAVLGNDKLTRNHIKAILLDVLLAGIDTSAITMTWAMTELARNPRVMKKVQSEIRTQMGNNRSMISFEDTDQLEYLKMVIKETWRLHPTTPLLLPREAMSEFEINGYTIPVKTRLHVNVWAIGRDPDTWKDSEVFLPERFMDNNIDAKGQNFELLPFGGGRRICPAIYMGTTMVEFGLANLLYHFDWKLPEGTTVEDIDMDEAPGLTVNKKNELLLVPEMRRSCG >Al_scaffold_0005_457 pep chromosome:v.1.0:5:2784039:2784554:1 gene:Al_scaffold_0005_457 transcript:Al_scaffold_0005_457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LR38] MVDIICWVVKNRSACPNLLVIANASVEDRQFWPFLLGLGYRGFNIFATIPDTDLPDDKTKTAERLM >Al_scaffold_0005_466 pep chromosome:v.1.0:5:2808342:2808925:1 gene:Al_scaffold_0005_466 transcript:Al_scaffold_0005_466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LR47] MNSDHYASWIVHLGGAMLITNVEDRVGVEFTSIHLSIETTRFLRGGFTTVGDVCDWTLPQLVGEPDDISKDKARLAAL >Al_scaffold_0005_469 pep chromosome:v.1.0:5:2817000:2818097:1 gene:Al_scaffold_0005_469 transcript:Al_scaffold_0005_469 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein with retrovirus zinc finger-like domain [Source:Projected from Arabidopsis thaliana (AT3G26420) TAIR;Acc:AT3G26420] MSEDPEYRCFIGGLAWSTSDRGLRDAFEKYGHLVEAKVVLDKFSGRSRGFGFITFDEKKAMDEAIAAMNGMDLDGRTITVDKAQPHQGGSGRDHDGDRSRDRGYDRDRSRPSGGRGGGDCFKCGKPGHFARECPSESSRDGGGRYSSKDDRYSAKDDRYGAKEDRYGSKDDRYSSKDDRYSAKDDRYGVKDDRYGSRDGGGSRYGPDRSGERAGGRSRDGGSRGGPGGERHSRATAPYDRPRAGGFH >Al_scaffold_0005_473 pep chromosome:v.1.0:5:2829779:2830108:-1 gene:Al_scaffold_0005_473 transcript:Al_scaffold_0005_473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LR54] MGSTLLRRTAQELYNAVSENDPSILPSEIGALVGRRFLFKVSIGGDNLKSDRSHYVVQLFSDDDELIKDYSDSLDSE >Al_scaffold_0005_474 pep chromosome:v.1.0:5:2850226:2851860:-1 gene:Al_scaffold_0005_474 transcript:Al_scaffold_0005_474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LR55] MEFCITWEVPSTKLSDEGGVDEQRARFGEVLDELISRFVSVYWKQVTAGVSFSRRCTNDMFYFNKTTRDWRRRVVAPSCFTDRVGFCKHVLSWLKYSLRPNILKERTEIGSKARSYSSIYLLFSYIAKGSDVSVDRYGSYPLVFGIDQTVPNFGSGPIFEDSDDE >Al_scaffold_0005_482 pep chromosome:v.1.0:5:2927349:2927809:-1 gene:Al_scaffold_0005_482 transcript:Al_scaffold_0005_482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LR62] MLEANKIGELVDSMLQSPHHGGFSVFAGVAERTREGNDLYREMIESSVIKLGEKQVFNCASDVKTDLM >Al_scaffold_0005_490 pep chromosome:v.1.0:5:2962262:2962414:1 gene:Al_scaffold_0005_490 transcript:Al_scaffold_0005_490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LR69] LKVVFLDHREFLEDTSTTTVSIISLGTLSKLWFPIPKDEAYEIGMIVPTT >Al_scaffold_0005_504 pep chromosome:v.1.0:5:3034542:3034715:1 gene:Al_scaffold_0005_504 transcript:Al_scaffold_0005_504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LR80] EIYDALVLKSLPSSRTYSSSITTIGMNEIAKLYTRDWYSSEQESKHLYFHREISIGL >Al_scaffold_0005_513 pep chromosome:v.1.0:5:3075986:3076865:-1 gene:Al_scaffold_0005_513 transcript:Al_scaffold_0005_513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LR90] MISSLGGLKGSDRALLMLACEAVSIGKGVLVVKPDSARLQMMKEISGYVWLLFCKDLQAPVAMENARISISESERKDPGNCQLGLAIVLVDLGTSCLGLLVLGGRRSKLVLFHGLSNRYNRISNLGVIRKFIEVIGLWNQLGNASAGQDKFSDTMWMQPGDPGKREVLYLGRCNSHKNIKLFYEGFELELSKIWE >Al_scaffold_0005_526 pep chromosome:v.1.0:5:3166198:3166786:1 gene:Al_scaffold_0005_526 transcript:Al_scaffold_0005_526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LRA1] MAHATSSPSTRHSLFPSLVFDELAKGKKKELKDKDKAQKLYLQVKSEVEECIAYLKVKRESDPYRNLLPRMMYQASHGWSSEIPTFDL >Al_scaffold_0005_537 pep chromosome:v.1.0:5:3286746:3287495:-1 gene:Al_scaffold_0005_537 transcript:Al_scaffold_0005_537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LRB2] MISFLCVQALVVVVMVYALKRDVRVTEDVHKIQMNCIKLYMLYLKRSKLISVKIPTMCRSQNKTAEVKEKSQELEHEINLWKVSGDSDFKANVAKS >Al_scaffold_0005_546 pep chromosome:v.1.0:5:3334722:3335324:1 gene:Al_scaffold_0005_546 transcript:Al_scaffold_0005_546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein [Source:Projected from Arabidopsis thaliana (AT3G26960) TAIR;Acc:AT3G26960] MKKKSMIMFLFFLLQFLFVNSLSPKHSSPKPKPDAEITIMGFVYCDVCSNNSFSKHSYFMSGVEVRIVCRFKEASSTTRETITFSANRTTNEFGLYKVAISSLDCADVDSLASSCQASLIGRRNFSASSCNIPGYRTTTDQVLFKSQRSNSCVYGFNALNFRPFNRDLALCGKK >Al_scaffold_0005_55 pep chromosome:v.1.0:5:281236:284161:-1 gene:Al_scaffold_0005_55 transcript:Al_scaffold_0005_55 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLS9] MIDSVEYNGVDEHGWKKVVSRKQKPEDQAANGNLANNDNSNGAAANENLDYSEEQAGDVVDESNLKAEEEEKPDWKLSLAKAAAKIGPSDLADFLDRVPDTYPLVASFQLVRFLDYYEVALSGVPCPWRQMLQESDLPNLFRYLIFLNLSTKHHSIGVPSTELRCDFVLWSFNYILCDLYIQRGGVFHDEAIPLGYGKPGDPCVSEVSIFVTLAMLVRSDPLVLTRVMPSLWIKRYFHGPGRIPLTIWLVDQASQDNLPVGLYSWVHSLLPLVPRIPESTDPILKLVEKILAKPDAQTILVNAPVWDGRRLIPPHIFEALLWLTFPVTSEREEATSRFEAIYPLLKEVALASTSGNEAIKQIFTFSLKLSGEEVTGNPVLAKEATSIAIWSLTENINCWKHWDNVYKENLGVSVALLKKLVDEWNDHSLKLLSPPSDTLTLSQTMKSFMLKNKKAITEASLYKEADESCKVISGRLPRGRSSLKGTTITAVVLVATVVLAAVAHVLSSNL >Al_scaffold_0005_557 pep chromosome:v.1.0:5:3394995:3395440:-1 gene:Al_scaffold_0005_557 transcript:Al_scaffold_0005_557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LRD2] AQKTITLPRPRRGCHLITPKIVKEIGQDLSDFNCGLAHVFLQHTSASLTINENYDPDVQADTETFLNRIVPE >Al_scaffold_0005_566 pep chromosome:v.1.0:5:3447358:3448528:-1 gene:Al_scaffold_0005_566 transcript:Al_scaffold_0005_566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLW7] MSTLHKASFTRWDEDPDLGSVNRQLEAQTKLDDNRLTDTDQHLSIQKTVQIVVEHNRRCKNMQDQINRGKKKKNRRNTVLRENGSLNSMQAAGVALKSIGTFLESEGPPLSFTYESRKSCTVKNNFLISDRFVGSNSV >Al_scaffold_0005_569 pep chromosome:v.1.0:5:3457328:3458219:-1 gene:Al_scaffold_0005_569 transcript:Al_scaffold_0005_569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLX0] MVTGRCAPEQENTALLMDDVQRIRQQLTSSIPVLMTENQANFAYKLALETLVSETSICMPPNNTRCSRSMRCCNVSCHSNLSCNDCERLGTNPTAMWLQCKKWLQYYWWLFEDHQ >Al_scaffold_0005_570 pep chromosome:v.1.0:5:3476840:3477690:-1 gene:Al_scaffold_0005_570 transcript:Al_scaffold_0005_570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLX2] MDDVQRIRQQLTSSIPVLMTENQANFAYKLALETLVSQISIRMPPNNTGSMRCCNVSCRSNLSCNDCKRLDTNPTAMWLQCKKCPDMIRLSSEYDFSATCRCGYSFCCTCGAEWKLRGGESQTYGRTQTNPLGRCSPQIDSLSGYANGPKARAHIHVAKWRSNNCALAGNQSLA >Al_scaffold_0005_574 pep chromosome:v.1.0:5:3533464:3534037:1 gene:Al_scaffold_0005_574 transcript:Al_scaffold_0005_574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLX6] MDSWWMKKTCGPELYILYLTKKYRSRSDLLIGFVCHNTPVSTVVSIESPFPPSDKIGITSIQRAAEEIIPMKEMKMDWRRAALRHLKDERVRKFEYCLPYFYDPFKEDELEQSSEVQILYPSEPPVVCEFDWRFDRLEVFVDKLIEDQELSAEQKEEFKEFVK >Al_scaffold_0005_587 pep chromosome:v.1.0:5:3590971:3592916:1 gene:Al_scaffold_0005_587 transcript:Al_scaffold_0005_587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLZ1] MDNDVIIRRNFKVNVQCQYILEPILSINTPYRILIFSPSLMHSSFLLTIVVAAIGFLVFYGKFILHQDNKDIDFSSSLSLSSPPSSLSRNWIHDVFSSFRGEDVRKDFLSHIQKGFERKGIRQFNDYEIERGESISFQLIRAIRGSKIAVILFSRNYASSKWCLDELMEIMKCRRELGQIVIAIFYKVDPSDVRNQSGDFGKVFRKTCAGKTKEEIRRWRTALAEVATIAGYHSSNWDNEAAMIENIATDVSKKLTFSMRSIDFNDLVGMRAHMENMNQLLYLDMDEVRMIAIWGLPGIGKSTITKFLYNQLSNRFQVSVFVKNIKALYTRPNAEFMSLLIYHNDSEVLNLQVERERLKLKKVLVVIDNLDGSVNLDDILKETQWFGPGSRVILAVQDRKLLKEYKIKYSYRVSLPSDHEALQIFCMAAFGQKFPKDGFEDLARKVLNIAGKLPLRLKIMGSYFRGMTREEWIENIDPF >Al_scaffold_0005_59 pep chromosome:v.1.0:5:312127:312678:-1 gene:Al_scaffold_0005_59 transcript:Al_scaffold_0005_59 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LLT2] THDSDSSLPGLEEHTADLFPETGSYSDVVVGVLDTGVWPESKSYSDEGFGPIPSTWKGGWEAGTNFTASLCNRKLIGARFFARGYESTMGPIDESKESRSPRDDDGHRTHTSSTAASIIIRHFVTTDPNPTASISILGTVVGVKPSPVVAAFSSRGPNSITPLGPLLQDQPDSLPILAAWSSI >Al_scaffold_0005_593 pep chromosome:v.1.0:5:3631157:3645392:-1 gene:Al_scaffold_0005_593 transcript:Al_scaffold_0005_593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLZ7] MTSKILVIGATGNIGKVIVQGSAKSGHATFALVREASLSDPVKAKLVESFKDLGVTILYGSLTDKESLVNAIKQVEVVISAVGRAQILDQINIIDAIKESGNVKRFLPSEFDNDVDRTVAIEPATATLSNYNRKAQIRRAIEAAKIPYTYVVTGCFAGFFVPCLGQCHLRLTSPPRDKVSIYDSGNGKGSRYICLYVTLYVTCVCLVLIYFSFAAIFNIEEDIATYTLKAVDDPRTVNKILYIYPPKNIVSQNDMVGFWERKIGKTLDKTYVSEEELLKSIEETQPPIDFAMGLIHTIFVKSDHTSFDIDPSFGVEASELYPEVKYTTIDEYLNRFV >Al_scaffold_0005_595 pep chromosome:v.1.0:5:3656224:3656480:1 gene:Al_scaffold_0005_595 transcript:Al_scaffold_0005_595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLZ9] FFFLIFLFKLLFSFCFLFFIFFIFFNLYIFFICIFCFVFQFSFFGFISFCFLFFILFFSIYIYFSFVF >Al_scaffold_0005_598 pep chromosome:v.1.0:5:3690774:3691001:-1 gene:Al_scaffold_0005_598 transcript:Al_scaffold_0005_598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LM04] MMKKIFVQISVACLVLALMIAMVSAQGHDDHEGHADHDGHDHAPAKSPNAAVIVAADMFTGLAAVTVALVAGYIY >Al_scaffold_0005_6 pep chromosome:v.1.0:5:91666:92107:1 gene:Al_scaffold_0005_6 transcript:Al_scaffold_0005_6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LQZ8] RAEEEDVDQKRYFEESCKPKCVKPLLEYQACVKRIQDDESGHKHCTGQYFDYWHCVDKCVDLSCSRD >Al_scaffold_0005_60 pep chromosome:v.1.0:5:318985:319690:-1 gene:Al_scaffold_0005_60 transcript:Al_scaffold_0005_60 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLT3] MCSSRTYFNLTEFVSILSGIVNPNICVDVFGKIVNVRELIFVPSVEHSQGRYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHCSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDHSITDKMLCWIPENDQVPIITRKENAME >Al_scaffold_0005_604 pep chromosome:v.1.0:5:3708163:3709192:1 gene:Al_scaffold_0005_604 transcript:Al_scaffold_0005_604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LM09] MVIIGVFDTGIWPERSFSYLNLGPIPKRWRGVCESGARFGPRNCNRKIVGARFFAKGQQDAVIGGINKTVEFLSPRDADGHGTHTSSTGRHAFKASMSGYASGVAKGVAPKARIATYKVCWKESGCLDSDILAAFDAASRDGVDVISISIGGGDGITSPYYLDPIAIGSYGAASKGISVSSSAGNEGPNGMSVTNLAPWVTTVGASTIDRNFPADAILGDGHRLRGVSLYAGV >Al_scaffold_0005_612 pep chromosome:v.1.0:5:3736862:3739639:1 gene:Al_scaffold_0005_612 transcript:Al_scaffold_0005_612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G27400) TAIR;Acc:AT3G27400] MFSYSSNCFAYAFLLLLSIGNTVALSSSTLAHVQDPNLVVEEVNRSVFNASRRSLAYLSCRTGNPIDDCWRCDPNWETNRQRLADCAIGFGKNAIGGRDGRIYVVTDPANDDPVNPRPGTLRYAVTQEEPLWIIFKRDMVIRLKKELIITSFKTIDGRGSSVHITNGPCLKIHYAHNIIIHGINIHDCKPGSGGMIKDQPYHTGLYIPSDGDAVAIFGGEHVWIDHCSLSNCDDGLIDAIHGSTAITISNNHMTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTIYSQGNRFLAPNTRFNKEVTKHEDAPESQWRDWNWRSEGDMLLNGAYFRESGAGSPSTYARASSLSARPSSLVGSITTTAGTLSCRRGRRC >Al_scaffold_0005_616 pep chromosome:v.1.0:5:3757544:3760904:1 gene:Al_scaffold_0005_616 transcript:Al_scaffold_0005_616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPG7] MLKRRHGSSVVVGLKRHRSSVVGLLLPHDAVELILERLPVESLLKFKSVSKNWKSTIESRCFKERQLIRRKQSRGPDVLLVPLTWSCDGIYAESIALGSSIVSTVRLPTSSGSRICHGSCDGLLCLYCVYTPSVVVNPATGWHQTFPLSNLQLLCLDMYDKPEDHDFFPMPNLGFGRDKFTGTYKPVWLYNSSDFGLPNATTCEVFDFSTNTWRYVHPSPPHRIDDFINPVYLDGSLHWLAEGEEETKVLSFDLHSETFHVLCKAPFARDHFPFSHNMFILDNRLCVSEQDSSTQVIWSFHSSGGNKNKTWNKLCSIDVTRTRSWFIDINFPLAPIAILDKNKLLLQGRHCVGDLRITVQPANASEGLCQSQMMSWNSGNWTRRCNFQALVMQNNVIKDISATVLARINGFGCLVWNQELLDMVHFTEEGELLSICLARSELAKLEIDWPKIFGYLVGSDVSRNSKSRQRWQGIRNFRLHGSRYSMSCALNMLSKHERASYCNNCRENQLKKVELWEKAQDFLLHKIAILRVPVSHKQDSDVYERKTPKGSSCNRRDLELVDISACNVPNYSEQEMSLRYSSTSTEREELFLVAVLY >Al_scaffold_0005_62 pep chromosome:v.1.0:5:335861:337688:-1 gene:Al_scaffold_0005_62 transcript:Al_scaffold_0005_62 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LLT4] EFKPFLHHRPRKPSEVMFGFIAAAGRNTEEHRLLSWELSSTLDIEKADSKIGLVVGISVSGFVLLIVLVHTIVVVWSRKQRKKKDRDIANMISINEDLEREAGPRKFSYKDLELGEGGFGAVYEGNLKEINTMVAVKKLSKIISKLRHRNMVQLIGWCNEKNEFLLIYELVLNGSLNSHLFGKRPHLLSWDIRYKIALGLASALLYLHEEWDQCVLHRDIKASNIMLDSDFNVKLGDFGLARVMNHEHGSHTTGLAGTFGYMAHEYVTKGSASKESDIYSFGIVLLEIVTGRKSLERTQEDNSDSESNEKSLVEKVWKLYGKQEGNEFDNKEAECLLVLGL >Al_scaffold_0005_621 pep chromosome:v.1.0:5:3809934:3812334:1 gene:Al_scaffold_0005_621 transcript:Al_scaffold_0005_621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:D7LPH1] MEQLSNGVRDHCLISDYISPSAPAPLKQPFVIGVAGGTASGKTTVCNMIMSQLHDQRVVLVNQDSFYHSLSEEKLKKVHEYNFDHPDAFNTEVLLSCMEKLRSGQPVNIPSYDFKIHQSIESSSPVNPGDVIILEGILVLHDPRVRDLMNMKIFVDTDADVRLSRRIQRDTVERGRNIQNVLEQYTKFVKPSFDEFIQPSMKYADIIIPRGGDNDVAIDLIVQHIRTKLCQHNLCKIYSNIFIISSTFQIKGMHTLIRDINTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQITTPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCNGIKIGKILIHRENNDGRQLIYEKLPKDISSRHVFLLDPVLASGYSAVKAITLLLSKGVPESHIIFLNLIAAPQGIHALCKKFPMLKIVTSEIDASLNEDSRVIPGMGEFADRYFGTNNINSKVSSLSANLKLS >Al_scaffold_0005_622 pep chromosome:v.1.0:5:3812674:3813563:-1 gene:Al_scaffold_0005_622 transcript:Al_scaffold_0005_622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPH2] MCGSATNRLITSKDHASVQLNIGHLGADGIYTGQFTTFALCGFVRAQGDADSSVDRLWQNKKVEAKQQ >Al_scaffold_0005_66 pep chromosome:v.1.0:5:348511:349204:1 gene:Al_scaffold_0005_66 transcript:Al_scaffold_0005_66 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLT8] MTTPESDPQLDPTAAQNFPFSLPPYPKMIMEAIEASTDPYGCNKTAIAKHIESTKITLPPSHMTLLSYHLNQMKQSGQLMVFKNNYMKPDPNGPPKRGRGRPPKPKPEAGSSHVATVPAPSASPSRPRGRPPKSKEAPSEPKEKVPSVSGRPRGRPPKKQKTESETVNATDAPTAKPEGERRGRGRPPKVKPAMVPVGC >Al_scaffold_0005_663 pep chromosome:v.1.0:5:4107568:4108804:-1 gene:Al_scaffold_0005_663 transcript:Al_scaffold_0005_663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPK8] MPPLTRIDDLTPYDRNRVICVKVLFFWFENFGRPDQKLEMILADLEETKIEATITGGPFDHDNITGLREYTWYFLSNFLVLYLPELMSNTSNMFRIWFHRPTKMTSTYERSPSKCIEPERFSRIRGWMILTEIPIGDLANYFMRVWVNREYGHSYLRDPVFCVLRFWHVEEYKGKPCLMNRVGCSRFYLDPEFDELEEIKERTLSTAYAWTATNSRCSDLDN >Al_scaffold_0005_664 pep chromosome:v.1.0:5:4114081:4115079:-1 gene:Al_scaffold_0005_664 transcript:Al_scaffold_0005_664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPK9] MILGDEHFTQIQAVVRDELIDNYFSRSIIDEWVSIKNFDVSRVNIILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVIGKIVNVIELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKRRSRNTMICIIKFVKLELPQEHRWRCTNVTGCTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0005_666 pep chromosome:v.1.0:5:4128893:4130415:-1 gene:Al_scaffold_0005_666 transcript:Al_scaffold_0005_666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LPL0] EFKPFLHHRPRKPSEVMFGFIAAAGTNTEEHRLLSWELSSNLDIEKADSKIGLVVGISVSGFVLLIVLVHTIVVVWSRKQRKKKDRDIANMISINEDLEREAGPRKFSYKDLELGEGGFGAVYEGNLKEINTMVAVKKLSKIISKLRHRNMVQLIGWCNEKNEFLLIYELVLNGSLNSHLFGKRPHLLSWDIRYKIALGLASALLYLHEEWDQCVLHRDIKASNIMLDSDFNVKLGDFGLARVMNHEHGSHTTGLAGTFGYMAHEYVTKGSGSKESDIYSFGIVLLEIVTGRKSLERTQEDNSDSESNEKSLVEKVWKLYGKQEGNEFDNKEAECLLVLGLLTQMEF >Al_scaffold_0005_671 pep chromosome:v.1.0:5:4156390:4156945:1 gene:Al_scaffold_0005_671 transcript:Al_scaffold_0005_671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPL7] MAVELIRRFSLILSFPIGFGLENIARNIRYKKNKSRNVIGAGKEARDLVVTGKRRIRRSNTGRSKIHSFTAESTDLETMTEASDSRPRYFSDDPPYPPQISR >Al_scaffold_0005_679 pep chromosome:v.1.0:5:4216786:4217714:1 gene:Al_scaffold_0005_679 transcript:Al_scaffold_0005_679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPM7] MCLHGRMESPTFWLGIVGFVIIAYFLVKNVKGAMIYGIVFVTAVSWFRNTEVMAFPNTSAGDAAHDYFKKIVDVHLLRDKQRIFLGSSGDIPLRWYLDTTGTLYSMARFAGFVDEKGDFAGQYFAFMSDASTIVIGSFLGTSPVTVFIESSTGIREGGRTGLTAITVAVYFFLAMFFTPLLASIPAWAVGPLLILVGVMMMKSVTEINWEDMREVIPAFVTMILMPLTYSVAYGLIGGIGSYVVLHLWDWGEEGLVKLGFLKRKELGI >Al_scaffold_0005_684 pep chromosome:v.1.0:5:4251011:4253002:1 gene:Al_scaffold_0005_684 transcript:Al_scaffold_0005_684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G27970) TAIR;Acc:AT3G27970] MDYRSSMESSETLRNKCAACYRQFNKMEHLVEHMKISYHSGHEPTCGVCKKHCRSFESLREHLIGPLPKQECKNIFSLRGCRFCMTILESPNARRIHQERCQFSSVNSGLTTRMAALGLRDKAMIDYTSSRSPRVVALSCKMVGGGSDGSLDLCARVCITDESDNVVFHTYVKPSMAVTSYRYETTGIRPENLRDAMPLKQVQRKIQEFLCNGEPMWKIRPRGGKARILVGHGLDHDLDCLQLEYPSSMIRDSAKYPPLMKTSKLSNSLKYLTQAYLGYDVHFGIQDPYEDCVATMRLYTRMRYQKHKIEAYPLAADAQNRSNQVVWRQSEVERMSPDEMLSISRSDYYCWCLDSLA >Al_scaffold_0005_687 pep chromosome:v.1.0:5:4282602:4289547:1 gene:Al_scaffold_0005_687 transcript:Al_scaffold_0005_687 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) TAIR;Acc:AT3G28030] MGVQGLWELLAPVGRRVSVETLANKRLAIDASIWMVQFIKAMRDENGDMVQNAHLIGFFRRICKLLFLRTKPIFVFDGATPALKRRTVIARRRQRENAQTKIRKTAEKLLLNRLKDIRLKEQAKDIKNQRLKQDDSDRVKKRVSSDSVEDNLRVPEEEKLDEVSPASLVGEENGVDDIVKELTKDDPKGKGVLLDGDDLDNKMKSNLEQDSSVQGKDYQEKLDEMLAASLAAEEEGNFTSKASTSAAAIPSEEEDEDEDSDEDEEILLPVMDGNIDPAVLASLPPSMQLDLLVQMREKLMAENRQKYQKVKKAPEKFSELQIEAYLKTVAFRREINEVQRSAGGRAVGGVQTSRIASEANREFIFSSSFAGDKEELASAREGRNDENQKKTSQQSLPVPVKNASSVKKSDATIELDRDEPKNPDENIEVYIDERGRFRIRNRHMGIQMTRDIQRNLHLMKEKERTASGSMANNDETFSAWENFPTEDQFLEKSPVEEDVVNLEIQNDDSMLQNPSSIEISFDHDGGGKDLNDEDDMFLQLAAGGPVTISSTENDPKEDSSPWASDSDWEEVPVEQNTSLSKLEANLSNQHIPKDISIDEGVAWEEYSCENANSSMENDTVTKITKGYLEEEADLQEAIKKSLLELHDKESGDVLEENQSVRVNLVVDKPSEDSLCSRETVVEAEEEGFLDEITILKTSGAIREQSNTSVAGNADGQKGITKQFGTHPSSGSNNVSRAVSNELSKVKSVISPEKALNVASQSRMLSTMAKQHNEEGSESFGGESVKVSATPIADEERTGFLGEKGNADGESSIMMYKRDYSRRKIQSLVTESRDPSLDVVRSQIGILHDTDSQNERSEENNSNEHTFNIDSSTDFEEKSVPVEFSEANIEEEIRVLDQEFVSLGDEQRKLERNAESVSSEMFAECQELLQIFGIPYIIAPMEAEAQCAFMEQSNLVDGIVTDDSDVFLFGARSVYKNIFDDRKYVETYFMKDIEKELGLSRDKIIRMAMLLGSDYTEGISGIGIVNAIEVVTAFPEEDGLHKFREWVESPDPTILGLKIKKRGSGSVDNKGIISGASTDDTEEIKQIFMDQHRKVSKNWHIPSTFPSEAVISAYLNPQVDRSTEKFSWGKPDLSVLRKLCWEKFNWNSKKTDDLLLPVLKEYEKRETQLRMEAFYSFNERFAKIRSKRINKAVKGIGGGLSSEVADSTLQEGPRKRNKKRVAPHETEDNTTSDKDSPKANEKVKNKRKRLEKPSSSRGRGRAQKRGRGRVQKDLLELSDGTSDDDDKDLEAKPSNLQKSTRSRNPVKYNAKEDDELDESRSNGESLSEHFEEVDEGRIGNVSEERTQKEASINDCPSEDYIQTGGGFCAGEADEIGDAHLKDKATDDYRVIGGGFCVDEDETAEEDATDDAEMLKMESEERRKKGKRRNEEDASLEENVEIGFGNSSTEGLSAMPFLKRKKRKN >Al_scaffold_0005_690 pep chromosome:v.1.0:5:4312952:4314867:1 gene:Al_scaffold_0005_690 transcript:Al_scaffold_0005_690 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7LPP1] MAGRFCQRDGGIMTAMVAVVISDVGMNTLFKAASSKGMSSYVFLVYSYGIGALLLLPSPFLTHRSRSLPPLKFSVLCKMGLLGLLGCVYLMLGYTGIKYSSPTLASAMSNLTPAFTFLFALLFRMEKVSIKKNSSVAKVVGTIVCIVGALVATLYHGPIIFAASQPSSIYLPQPLLAPPSPPSNSNWVIGGGLLALEYTLIAVSYIIQTHIMREYPSEFALALSHNVCVSISCAFVSLFAEKNNPSAWIMRSKIMLICIVATGMVNSTGYVVESWTVRHKGPVFLAMFRPLSILTAVVLGAIFLGDSLYLGSVIGGTLISIGFYTVMWGKAKEEKADIETNVATSSHSKRVPLLMNYAAEKQV >Al_scaffold_0005_693 pep chromosome:v.1.0:5:4318463:4322198:1 gene:Al_scaffold_0005_693 transcript:Al_scaffold_0005_693 gene_biotype:protein_coding transcript_biotype:protein_coding description:nodulin MtN21 /EamA-like transporter family protein [Source:Projected from Arabidopsis thaliana (AT3G28100) TAIR;Acc:AT3G28100] MAGTLSLWRREALFLTAMLATETGVVGMSTLFKVATSKGLNLYAFLGYSYLLASLVLLPSFFFSNRSRSLPPLSFAILCKIGLLGLLGSMYVITGYIGIKYSNPTLASAISNITPALTFILAIIFRMEKVSFKERSSVAKVIGTILSLIGALVVVLYHGPRVFIASSPPYLNFRQLPPPLSSSNSDWLIGGALLTTRDIFVSVSFILQAQIMSEYPAAFTVSFLYTVSVSIVTSVIGLVVEKNNPSVWIIRFDITLITIVTMAIVTSVYYVIHSWTVSHKGPLYLAIFKPLSILIAVVMSAVFLNDSLYLGCLIGGVVITLGFYAVMWGKANEEKDQLLLSEKEKTPLLLNGYNDQI >Al_scaffold_0005_694 pep chromosome:v.1.0:5:4323355:4323792:1 gene:Al_scaffold_0005_694 transcript:Al_scaffold_0005_694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPP4] MASISLRRRDAVLLTAMLATETGNVAMNTLFKAAATSKGLNSYTFLIYSYLIGSLVLLPSHIFSYRSRSLPPLSFSILCKIGVLGLLG >Al_scaffold_0005_695 pep chromosome:v.1.0:5:4323922:4326655:1 gene:Al_scaffold_0005_695 transcript:Al_scaffold_0005_695 gene_biotype:protein_coding transcript_biotype:protein_coding description:nodulin MtN21 /EamA-like transporter family protein [Source:Projected from Arabidopsis thaliana (AT3G28130) TAIR;Acc:AT3G28130] MNRSTYLITGFIGIEYSNPTLASAISNINPAITFILAIIFRMEKASFKEKSSIAKMVGTIVSLVGALVVVLYHGPRVFTPSSPPFQELHQLLPPLSSSNSDWIIGGCLLAIKDTLVPVAFILQAHIMKIYPAPFTVSFFYFLIASILTSLIGIVAEENNPSIWIIHFDITLVCIVVGGIFNPGYYAIHLWAVRNKGPVYLAIFRPLSILIAVIMGAIFLGDLFYLGSLVGGILISLGFYTVMWGKAKEEKTQLLSLSEEAPLLIKNIDDQI >Al_scaffold_0005_697 pep chromosome:v.1.0:5:4331523:4333124:-1 gene:Al_scaffold_0005_697 transcript:Al_scaffold_0005_697 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 22 [Source:Projected from Arabidopsis thaliana (AT3G28150) TAIR;Acc:AT3G28150] MKQSSSIFRENSEKKSERWMIMNIGRSSPFFLSSFCITLFFTGFFVYQNPFKSIADQNVLSLQPQIDPKCDLFKGHWVPDKRGSLYTNSSCSTIPDSKNCIKQGRPDRSFLFWRWKPDGCNLPRFNPKVFLGMVRGKKMSFIGDSVARNHMESLLCLLSMEETPKVIYKDGEDRNRIWYFPKHDFTLSTSWTKFLVEERERRDGNNTGTGLFDLDIGKIDEGWFKGLPNTDIAIVSAAHWFFRPIFIHRGDETLGCIYCNLPNMTQISPEEGFKLVYSAVFKFIDECEKCKDNLVTVLRTISPAHFENGTWDTGGTCSRTSPFGENQIDLQSNEMKIRRSQIEQVEGIATKRNNKAKKFAVLDVTRVMLMRPDGHPNGYWGNKWMKGYNDCVHWCLPGPIDAWNEFLMAIIRQLR >Al_scaffold_0005_701 pep chromosome:v.1.0:5:4376894:4380723:-1 gene:Al_scaffold_0005_701 transcript:Al_scaffold_0005_701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPQ1] MEGDEVSGRESGVHAPMYGPQSTPITGMKVSVSLFISRPDSTVYTYRGDFTHIRSVEIAHEHDPIRFLYLSKRVTYKSFSFPKKRFNAIGTLKEEQLRLSVASFVVIICKIRIREAIVRRKQLGYASDKNSTFLSSNLLPKTSQQARYASINLGEVPNEITRRVTKLEDVGVEAITGDFGVLDQRRRYIEEAVREEDGTINHFRAFNFLMLSKPEPEVKPKLIELVPNKNRYSQNQTKV >Al_scaffold_0005_709 pep chromosome:v.1.0:5:4429592:4429867:1 gene:Al_scaffold_0005_709 transcript:Al_scaffold_0005_709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPR1] MRNQIGWKTNPVEKTEKTRSLANEKQSEQKNRATERTRSREKPSSESDLGRDTEPRLKSTPVEVEILAGEARFADERSETRFTVAGVFTLS >Al_scaffold_0005_710 pep chromosome:v.1.0:5:4441816:4444088:-1 gene:Al_scaffold_0005_710 transcript:Al_scaffold_0005_710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPR2] MDSNDLDTNALIVHEEATGVGPQEEGDPGDNGPDNVPDDEDPRERDAFEIEKIAFEGVGTVTHGSQVEPSQGVVMSQG >Al_scaffold_0005_711 pep chromosome:v.1.0:5:4446886:4448007:1 gene:Al_scaffold_0005_711 transcript:Al_scaffold_0005_711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPR3] MALSEDLMSKCSEQMSAYKAACEEDPKLKSFDASLQQQTNKMIDSLTFDTETGSVSPHDVHKKKFKANGDPFNGQVLTNQFELIKKQQESLLEEVTQTMKKIEEEITNLKKGNVIANIVFGAVFAVVAVASIALIVIFPGTIAAYGALAAPLVALGWPVVNTILGKKIDNLNKQLESLKKVKEIGKTVEKGIKTNEEASETVSILVDGLDDRIQNMLELVDKAIENEEDEGATILVMKIVPEKVEKLTKKIKEVGESVEDHSKLVAEARLHVLQKINR >Al_scaffold_0005_714 pep chromosome:v.1.0:5:4464154:4464649:1 gene:Al_scaffold_0005_714 transcript:Al_scaffold_0005_714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPR6] MGTCGYLAPKYTASGKLTVFSFCVVIIGRRPVDANNVYHKLCVIDGGTGTALLNRASEEGVFRVWLNQRWVMSMKERRWLAWLLVLQLAFAIQLAADLA >Al_scaffold_0005_720 pep chromosome:v.1.0:5:4510572:4512805:1 gene:Al_scaffold_0005_720 transcript:Al_scaffold_0005_720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPS1] MSPKFILALSKDKMSRYSEQVDAYRAACGHHPELKSFDSALQQRTKKMIDSLTVEAKTGSVSPHTVHKEFKAMGNPFDGSELTNQFELMNKQQESLLKKVTERISTVKTVTIISHVVFGAVGVIYFAGCIGLMCTGVGAPLGAVGAMVGIIVLPLGWVGVNYFLNERLEALQKQLKALNIVKSIPPKIGEGTITDQVGINSVSEQVDELKDQIKSMLEAVDDAIESKGDEVDMKGDMQSLVEEVEKLTTKIKEIGDDVDVYSKLIKETRLHVLEKINGSGK >Al_scaffold_0005_721 pep chromosome:v.1.0:5:4513295:4514450:1 gene:Al_scaffold_0005_721 transcript:Al_scaffold_0005_721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPS2] MANTKDKFDELEKGMGLVKDVVHKMQTGLGDKLCMIVLWKRQTQAYCSYGTPTTGAPPTSSAGLEYGVGVALLSTNVGPPLRWICASASPLWICSFQMGN >Al_scaffold_0005_723 pep chromosome:v.1.0:5:4529622:4532382:-1 gene:Al_scaffold_0005_723 transcript:Al_scaffold_0005_723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPS4] MAEDDAARNPVAAVVAEAKGHGEERCYARNGYPVWWKGARVEGTTPSRQESTSALILPHGKPVAHAVGPISTPTTSQGVTISPVSTPELPSPPILEISPDVPSLYPDTDDEEEVEVVTMNGVPATVITEHEEPSGDDTLASSSETLSSTTDTIEPSPVVEELGKGCRKKLPSVLLKEYVVEKPKKPDFQLLIKLFSR >Al_scaffold_0005_725 pep chromosome:v.1.0:5:4553721:4554865:1 gene:Al_scaffold_0005_725 transcript:Al_scaffold_0005_725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPS7] MGSTMIPFTSDSCDGGYFHIASLNPNMGECILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVIGKILNVRELVFVPSVEHSQGAYFELCFGLRDTECIHLECRLTGDFAVEFYDLWKRRSRNTVICIIKFVKLELSQEHRWRCTNVTGCTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0005_726 pep chromosome:v.1.0:5:4575897:4577270:1 gene:Al_scaffold_0005_726 transcript:Al_scaffold_0005_726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPS9] MAITRGGGNLRRSNRQAGRGVTLVVVKKISKKSKKKEVREVISLESDDQVVEEPMSTAVGGEEQSSVRDVEKPMSEGEKEDGQVEMDEAAAGKEDEHVMAEGEKEDE >Al_scaffold_0005_730 pep chromosome:v.1.0:5:4626643:4628495:-1 gene:Al_scaffold_0005_730 transcript:Al_scaffold_0005_730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPT7] MVIYPKACQFLTDHSTTGEKTTAFFLFSSAGEIVTMEEESDKTTALKNAYAEMILNTAKESAARVIVSERKSAQFHHDLHGTKDEALRLLVRLKQMIDAKTIEAEITSSNQQRQIDVLEAQLQEAEDIITDLRLELRWVRDKLEKARKDQETISAPEVVVGNVYQSSLNDDECCGDETISVTEVVASDSLLNQSSLYSDECGNDRMLFDGLGVETSKVCESELDANIGNKKLELSRNGCTQRIHALESKASVSASEEERRITEKESEENLSSGNTRCLVLALRAKNAEVIPLKPSNSLGIKKSCKLQGRRKTRWSKRIASLVRSQSQLIKPCQSQSDILCSKKSLENSDGEDSMETHLSVENEEVVSLNTCKGLEEHLQHNVDGIGIIRKGKRSKNVEHLDGLNHTDHVVEACQESNLVSIDVEDGENKADTPKNETKIKPHPRLDPVLTSIVDPTSGSKHATVISVNAANRSTDKDLKSRKEDVLVKCEGEEDSVVPATKMSSDQLVNPCSDLKATAVVSDQISESPRTDGNRLVKYTFQRKRKRDR >Al_scaffold_0005_739 pep chromosome:v.1.0:5:4666213:4667767:-1 gene:Al_scaffold_0005_739 transcript:Al_scaffold_0005_739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent oxygenase [Source:Projected from Arabidopsis thaliana (AT3G28490) TAIR;Acc:AT3G28490] MGSQNFLAFSFSLLLIFSQISSSSFTFDPTRVTQLSWTPRAFLYNGFLSDEECDHLINLAKGKLEKSMVVADDNSGESIDSEERTSSGVFLTKRQDDIVANVEAKLATWTFLPEENGEALQILHYENGQKYDPHFDYYYDKETLKLGGHRIATVLMYLSNVTKGGETVFPMWKGKTPQLKDDTWSECAKQGYAVKPRKGDALLFFNLHPNATTDPTSLHGSCPVIEGEKWSATRWIHVRSFGKKQSDGCVDDHESCEIWAKAGECEKNPMYMMGSETDLGYCRKSCKAC >Al_scaffold_0005_747 pep chromosome:v.1.0:5:4765342:4765710:1 gene:Al_scaffold_0005_747 transcript:Al_scaffold_0005_747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPV3] MSRCERTPMDEAIGAEKMEIIDAINTTVAQMELENTRVTRLFQTSPSRHRKCGELLYCEIVI >Al_scaffold_0005_750 pep chromosome:v.1.0:5:4776877:4778807:-1 gene:Al_scaffold_0005_750 transcript:Al_scaffold_0005_750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LM14] MDYGVLHNPYINESKPIIVEAFKNKGITGIGAARFCWGGEFGFLVSITSIRLDNYEREKGYAISGTSNLKNHASSGYYILGTANATANATATANATATTTAQNNAEETIGETNPATAPQGTVAATPIVSSSSF >Al_scaffold_0005_756 pep chromosome:v.1.0:5:4824628:4826538:-1 gene:Al_scaffold_0005_756 transcript:Al_scaffold_0005_756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LM19] MKCWCGVKTFLGRLEDERAEVRDRQRGWMYGGDDVNWVDVWRGRCELVYGKFGPNSMNKQVSFHALMRFRVCQWKLASLFTPSTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >Al_scaffold_0005_768 pep chromosome:v.1.0:5:4900933:4901417:1 gene:Al_scaffold_0005_768 transcript:Al_scaffold_0005_768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LM32] MTCKVRKLCCDWKLLRDLQQTLIKAKDWSLILSIPVGEEHDNSSLNCYATASKQSCGSTVFRAGFIAGPSGTQTKNSKSRRRPSQWKRKTQALAKAKEKTNKQDLEKNKAVMVYKRKATDEALTSSKLAKRDEEKVVPHEEPPKQI >Al_scaffold_0005_769 pep chromosome:v.1.0:5:4902537:4905962:1 gene:Al_scaffold_0005_769 transcript:Al_scaffold_0005_769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LM33] MRSNNLLKDRKGRLRIPVYFEDTLVVQLIHVYGSEVKDSSGLDWEFVKRTFMDEAENGGLLLGEQKLSFKSYSVNYRECPICSFAVSRGMNSYTSRFLFDNYTLIVSEYLDSKHMHRALTDSAEELRRVAGIVEEEGNEFARVLPVYVFDLDINTPLLLDRYHQSVAFRDMVIAVRTRGTQTVSDYTCNGRHVFVHTRDLERPLVGSILQSMWGVSSTHLTWSPRHNTTLVDYTWSIGQTPFGPFSDISSLSFVQKDAAKRNVLLTSLNTTITSAIDVIDSAVAYGGDVILRKQNRHSEFMQRWNLLQYKLDKTVSALSHNEFEMALYYLRSAAHDLYSVHSVVYLASQQVEASLNCFKDPPFPWGTVSVSGFGLMALGYVYSKRDRLFRSKRKQF >Al_scaffold_0005_772 pep chromosome:v.1.0:5:4929832:4932240:-1 gene:Al_scaffold_0005_772 transcript:Al_scaffold_0005_772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LM36] MSNEDHCATKVNADNFGSQSDNYLPMANNNEGYTNQSEYNSGFKQTNTDLDMENFNISDVAPQSPGDILVGDGVQHNWKQYREVFGYPGQLGIHDVVDGFVEDSIERTTFKTPVKHIDYDPSFDKSDNLTAIKSPMAADIIQSPTTKLQKQFEETLATVHVSEYVIDTPVEPMDEDNPLKPLVFAEDVPIKETLVSVIASQEIIDTPMQPISEDNPLQALVLVGDPIFDTTSKSEISNQSSPTEGKIFLRENIDGQNIVDNQVYL >Al_scaffold_0005_777 pep chromosome:v.1.0:5:4953513:4955459:1 gene:Al_scaffold_0005_777 transcript:Al_scaffold_0005_777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LM40] MGQEYIEQVSDKICSFGGLAPGGERNRCLRSLFWLGDTNRRCGAGVVKGFQPGLYQANGGGANVVAHGYTKGSGLGAEIIGTFVLVYTVFSATDAKRSARDSHVHILVPLPIGFAVFLVHLATIPITGTSINPARSLGAAIIYNKDHAWDDHVNA >Al_scaffold_0005_781 pep chromosome:v.1.0:5:4969512:4969811:-1 gene:Al_scaffold_0005_781 transcript:Al_scaffold_0005_781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LM44] FEDIYQDFGVVNVCNILDNLESPEQHEIFANCLRYAAKSSRRDSISGCPAMILHYKSILNSIEQGIKSAMNEFGTYVGPDQVLKFYDFPMPAVFLLHQL >Al_scaffold_0005_784 pep chromosome:v.1.0:5:4988537:4989768:1 gene:Al_scaffold_0005_784 transcript:Al_scaffold_0005_784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LM47] VLLNYAKSAKEAEEDSKQVIHFPVLECNLHYSCPKYRVELDVHDGEHGTTFVILDKEMRKLTNKTATTIMDEKGNKGNNNILPTCLSDLAGKHFRF >Al_scaffold_0005_793 pep chromosome:v.1.0:5:5079625:5080662:-1 gene:Al_scaffold_0005_793 transcript:Al_scaffold_0005_793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LM52] MSANIDLNKEKGVVFGHGPALELQQSLSVSSTSQSQSAPLPQIVQTFQRSKSMSSQQSERVFLETGGSKRKATDEGEVPSKVAKCNNPEELLGYDKVFTVNLVGLSGGLALFWKQGVNIVFNFFDKNILDFNVQFGEVEFFVSCVYENPLYSSRPLLWERLSRIGVGRSDAWCMLGDFNAILHNGEKLGGPRRDDASFLPFKDMLSNCGMDELPSTGNGFT >Al_scaffold_0005_794 pep chromosome:v.1.0:5:5112995:5113285:-1 gene:Al_scaffold_0005_794 transcript:Al_scaffold_0005_794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LM53] MVAEVMAEVNKSLGMVAGHESAPEKQNKEHKQRILRCNFELRYQPKTNTNIEITLNPSSTTGDTPPVMGGMDRESHIHRHARISSHCSLSTSTGCL >Al_scaffold_0005_801 pep chromosome:v.1.0:5:5223882:5228416:-1 gene:Al_scaffold_0005_801 transcript:Al_scaffold_0005_801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LM60] MAHSSSSCTWVYDVFPSFSGEDVRVTFLSHFLKELDRKLIIAFKDNEIKKSESLDPVLKQAIKDSRIAVVVFSINYASSTWCLNELVEIVKCKEEFSQMVIPVFYRLDPSHVRKQTGDFGKIFEKTCHNKTEEVKIQWKEALTSVANILGYHSTTWFNEAKMIEEIANDVLDKLLLTTSRDFEDFVGIEDHISEMSILLQLASKEVRMVGIWGSSGIGKTIIARALFNRLSRHFHGSIFIDRAFISKSMNIYSQANSDDYNLKLHMQGKFLSQILDKKDIKVYHLGAMRERLKNRKVLICIDDLDDQLVLDALVGQTHWFGCGSRIIVITKDKHFLRAHKIDHIYEVRLPSEEAALEMLCRSTFKQKYPPDGFLELASEVALRAGNLPLGLNILSSYLRGRDKKEWMDMLPRLRNGLDGKIEKTLRVSYDGLNNKKDKAIFRHIACLFNREKINDIKLLLANSDLDVTIGLKNLVDKSLIHESYDIVEMHSLLQEMGKEIVRMQSNEPGEHEFLVDWKDTCDVLEDNKGTKNVLGISLDIDEIDEVHIHENAFKGMRNLFFLKFFTKRQKKEIRWHLSKGFDHFPPKLRLLSWEKYPLRCMPSNFHPENLVKLVMRWSKLEKLWDGVHPLTGLKEINLWGSKNLIEIPDLSMATNLEKLVLNDCSSLMEIPSSIQYLNELYDFHMERCENLEILPTGINLQSLYDLNLMGCSRLKSFPDISSNISTLDLYGTTIEELPSNLHLENLVNLRMCEMRSGKLWEREQPLTPLLKMVSPSLTRIYLSNIPTLVELPSSIHNLHKLEELSIWNCKNLETLPTGINLKSLYSLDLSGCSQLRCFPDISTNISELFLNETAIEEVPWWIENFINLSFINCGELSEVILNNSPTSVTNNTHLPVCIKFINCFKVDQEALLMEQSGFFEFSCDEVPSYFTHQTIGASLINVPLLHISPCQPFFIFRACALVDSESIFIDSPSKFQVCCRFIDSLGNHFDPPNQHHVFSAYKKASHMVIFECCFPLNDDNAPLAELNYDHVDIQFHLTHKNCQLKLKGCGIRFFEDDESSDGNETEYNEECPYSDDKYFGSEKWEDCDDSDLSSEIEQWKDCEVSDLGYETDHSS >Al_scaffold_0005_802 pep chromosome:v.1.0:5:5239074:5239919:-1 gene:Al_scaffold_0005_802 transcript:Al_scaffold_0005_802 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG2-like (avirulence induced gene) family protein [Source:Projected from Arabidopsis thaliana (AT3G28930) TAIR;Acc:AT3G28930] MTSSDQSPSHNVFVYGSFQEPAVVKLILECVPVMVSAQLHGYHLYRLKGRLHPCISPSENGLINGKILTGLTDSQLESLDMIEGTEYVRKTVEVVLTDTLEKKQVETIVWANKDDPNMYGEWDFEEWKRLHMEKFIEASTKFMEWKKNPDGRSREEFEKFVFDDPPAAA >Al_scaffold_0005_803 pep chromosome:v.1.0:5:5241513:5241912:1 gene:Al_scaffold_0005_803 transcript:Al_scaffold_0005_803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LM62] MEIKEEYNITKVETCLKLTFRWPSWMLLIDDGTTKPQTIKTDNDIDIFLSMNVDVANLTLCVTKKNTTGVARESQTLSESASLSSQPQEATMIHKVYIIVDLTSSD >Al_scaffold_0005_81 pep chromosome:v.1.0:5:418205:419763:1 gene:Al_scaffold_0005_81 transcript:Al_scaffold_0005_81 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLV3] MENDNTKESSQLFSLLCILLQHISNLAGNPKIVLPVPAFNVINGGSHAGNKLAMQEFIILPVGASSFKEAMKMGDFAPNIQENKEGLELLKTAIEKAGYTCKVVIGMDVAASEFYSSDKKYDLNFKEENNDGSQKISGDALKDLYKSFVSEYPNVSIEDPFDQDDWENYVEMTVECGEKVQIVGDDLLVTNPKKVKKAINERSCNAVLLKSIEAVKMSKRAGWGVMASHHSGDTEDTFIADLSVGLSTDKSRPELLADQSVLPNTTNQV >Al_scaffold_0005_814 pep chromosome:v.1.0:5:5340499:5341555:1 gene:Al_scaffold_0005_814 transcript:Al_scaffold_0005_814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoate A/B protein ligase family [Source:Projected from Arabidopsis thaliana (AT3G29010) TAIR;Acc:AT3G29010] MSLSRVKDLRLPLMNLVRLKGTPILEQLHLEERLLRTSSDNWCIVNDGTNVPTIVMGMSGKPCQLIEVRPVMEDRIPVIKRFTGGGTVIVDKSTLFVSLICNKDDVPNVQPYPRSVMAWSGSLYGEVFKGVNGFQLRENDYVFGDRKFGGNAQSIIKSRWIHHTSFLWDYDVRNMAYLKLPSRVPQYRLERDHTEFVCRMKDYIERSDFIEKTVKAVGNQFTLKQVNLEDIDSYAKGGYLKTTRLLTMEELEEAMAGTTQNA >Al_scaffold_0005_819 pep chromosome:v.1.0:5:5389589:5390813:1 gene:Al_scaffold_0005_819 transcript:Al_scaffold_0005_819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF26) [Source:Projected from Arabidopsis thaliana (AT3G29040) TAIR;Acc:AT3G29040] MFSNILAVVAIQLLIRSVSSQNVTNEYLNHQCNNTQGTYTRGSTFEKNLNQVIHNISHLHLRNGYTYESNVVAYEVSKDPNIVFVLLQCRGDSYGSKCHSCLHTALSGLRERCPGNRGAIIWYDQCVLEISSINTKGRIRYDSFFNMTNLKNVSSDAEQFKNKRKDLFNKLLVKATKDVSEKNGWYAVGETRIGRNKLYAMMQCALDLTPNGCYVCLEWITGRYDSYYFDRRQGGRVLGRSCSLRYELYPFLRR >Al_scaffold_0005_82 pep chromosome:v.1.0:5:420462:421025:1 gene:Al_scaffold_0005_82 transcript:Al_scaffold_0005_82 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LLV4] AQYCLREFDHVEIMFREHLRNDPYRMEDMDLYSYVLYAKEACAALSYLAHKVVLTDKYRPESCCIISNYYNLKGQHEKAVMYFRRALKLNKYLSAWTLMGHEYVEMKNTHAAIDAYRRAVDINPCDYRAWYGLGQAYEMMGMPFYALYYFRKSIFFLPNDSRLWIAMAKCYQTEQLYMLEEAIKCYN >Al_scaffold_0005_823 pep chromosome:v.1.0:5:5405298:5406877:1 gene:Al_scaffold_0005_823 transcript:Al_scaffold_0005_823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXW1] MEPHHYLSDLNVHSTRWLVHVKILSLWKEPTSSWRTEIKMILADEKGNRIDATIPNRHYHWNFLAVLKPGLWYRMSDFEVVRPDEKKTKYSCFPVEIKCIADTTMWPITVKCPYSFFDFVFPQTVEFAQEEEKEFVTGINHDFMEMQRADDDNVMMEVVAENQ >Al_scaffold_0005_824 pep chromosome:v.1.0:5:5407514:5409248:1 gene:Al_scaffold_0005_824 transcript:Al_scaffold_0005_824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNT3] MKKRNSLVDKGLTDVTPSSKRKCSTPKSRVISNLQQPNSSEKQTSVPVKSIFGRVFTDITNLTPVVLEESLCPRGKNLSVTDTGSLDENSYLTPVCVRQSLSSQEPYSLCSVPITASIHSSQCQGYINSTELSECLINDGANLTDIPIKQSTSSLASKDFLSSIRSEAIKSTLADENVQNLSSVRISQSYAQLGADTFLSDIRREATKNTIIQSNSGTQTLKSAKKRAPNRVLGDISNKPDRRRRHEPLGSSVLYSAQNKENYTPNFEYSEQQPKQRKSKKTPNLEDCRVNLFDSTPFEEEEISDLEQEYDVSSQEDMGSDSSSGYETELSVETDEVIHVQNKRGTCSKGRKGISLKRRKYTKGASNHIKRKTGYYQPNSYVHNFSVYI >Al_scaffold_0005_826 pep chromosome:v.1.0:5:5417748:5418795:-1 gene:Al_scaffold_0005_826 transcript:Al_scaffold_0005_826 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-rich protein [Source:Projected from Arabidopsis thaliana (AT3G29075) TAIR;Acc:AT3G29075] MPYYTNDDNDVDDFTEYDPLPYSGGYDITVTYGRSIPPSDETCYPLSSRSGDAFEYQRPNFSSNHESSAYDDQALKTEYSSYARPGPIGSGSGGSDFGRKPNSGYGGRTEVEYGWKPESEHGSGYGGRIESDYLKPSHGGHKDDDNDGHKKQSGKEYDDEDEKSKKKEKKKDKKKDGNNSEEDEFKKKKKKDEYKDHHDDDYDEKKKKKNEYDDDDEKKKKKEYHDDEKKKKKDYHDDDGDKKKKHYDYDDEKKKKKDYHDDDGDKKKKHYDYDEKKKKKKDHHDDDDEKKKKKDKHHKGHD >Al_scaffold_0005_83 pep chromosome:v.1.0:5:421033:421380:1 gene:Al_scaffold_0005_83 transcript:Al_scaffold_0005_83 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LLV5] TVLTQKEYHLIKLHQKLGRNEEAAFYFEKDLERMDAEGLEGPNKFEALIFLATHFKTHKKFAEAEVYCTRLLDYSGHYKRTKTWKKGQKDREV >Al_scaffold_0005_835 pep chromosome:v.1.0:5:5511382:5513638:1 gene:Al_scaffold_0005_835 transcript:Al_scaffold_0005_835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT3G29180) TAIR;Acc:AT3G29180] MGGCVSTHSRAIRPRRKGRRRSSKHFSKVSDIVPHSNIRRPSDVGSRVSFAISQDDAWFDSVSVLDSDEDEDFISLPEAENVPSAPSAGGATGNIPNGQVVQFESSSCIVDGKGKYEEYHETYLKIDGSKTEKFVSKGMYKDPSGLSVLTGNNKKKLMDHASFKGLKEQKRNSQEKTLRTSLSRLMPTVSFNDKTLNSPTSQKRKSAVYRLSFKRRSCDGEEVTEQRKLLYRPKAGFTIPSSGREKQSSGSWCEIPPSTFKLRGETYFKDKKKSPAPNQCPYTPIGVDVFVCPRKIDHIAQHIELPNIKAEAKLPALLVVNIQLPTYPAAMFLGDSDGEGMSIVLYFKLRDNYEKETSQQYQDNIKKLVNDEMEKVKGFAKDSNVAFRERLKIVAGLVNPEDLALSSTEKKLVQAYNEKPVLSRPQHNFFKGPNYFEIDLDVHRFSYISRKGLEAFRDRLKNGTLDLGLTIQAQKPEELPEQVLCCLRLSKIDFVDHGQIPRLLIPEDGENQV >Al_scaffold_0005_839 pep chromosome:v.1.0:5:5542620:5543338:1 gene:Al_scaffold_0005_839 transcript:Al_scaffold_0005_839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNV0] MLQTFELIKNLFGGGAISLKNSDKLKARWTVEERGGPVEERAGPVEERYGPVEEGTRPVEERSGTVEKRDGPMEERTLELKVRKQLMTPTSHNYLNSCLSSPHWFYDNEEPKMVLVKIWKQRWFPSKITRKTTSKEKCIIRYVQAVSVFKTFGSKNFRMISCLLGLNLMQK >Al_scaffold_0005_842 pep chromosome:v.1.0:5:5583247:5583408:1 gene:Al_scaffold_0005_842 transcript:Al_scaffold_0005_842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNV3] MMVQGFEWQTIEEKVNLEEAVVGMSLAMSHPPKFTPIARTLNPLSLNMPNPKS >Al_scaffold_0005_844 pep chromosome:v.1.0:5:5591556:5594924:1 gene:Al_scaffold_0005_844 transcript:Al_scaffold_0005_844 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics;intramolecular transferases [Source:Projected from Arabidopsis thaliana (AT3G29255) TAIR;Acc:AT3G29255] MWKLRIGAKAGDDPHLCTTNNYLGRQIWEFDTHAGSPEELFEVEQARRNFSNNRSQYKASADLLWRMQFLREKKFEQNIPRVKIDAEKITYEEANTALRRGIHYMAALQSDDGHWPAENSGCMFFNAPFVICLYITGHLDTIFSQEHRKEMLRYMYNHQNDDGGWGLDVESHSSMFCTVINYICLRIMEVDPDYDGKKNACARARKWIIDHGGATYTPLFGKACLSVLGVYEWSGCKPIPPEFWLFPSYFPINGGTVWIYLRDTFMALSYLYGKKFVATPTPLILQLREELYPQAYAEIVWSQARNRCAMEDLYHPQTFVQDLFWKSVHMFSENILNRWPFKKLIRERAMRRAMELIHYYDEASQYITGGGVPKVFHMLACWAEDPESEYFKKHLACVSGYIWISEDGLKIQSFGSQIWDTTLLLQVMLAADIDDEIRSTLIKGYSFLRKSQLIENPPGDYIKMFRDISKGGWGFSDKDQGWPASDCTSESLECCLIFESMPSNFIGEKMDVERLYDAVNMILYLQSKNGGIAVWEPASGKKWLEWLSPIEFMEDTILEHEYLECTGSAVVVLTRYMKQFPGHRTKEIETFIAKAVKYIESLQTADGSWYGNWGVCFIYATFFAVRGLVAAGKTYHSSEPIRRASFLSCPNKKYIPLEGNKTNVVNTGQAMMVLIMGGQMERDPLPVHRAAKVIINSQMDNGDFPQQV >Al_scaffold_0005_845 pep chromosome:v.1.0:5:5603498:5603818:-1 gene:Al_scaffold_0005_845 transcript:Al_scaffold_0005_845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNV6] MAELFVWAIAEAFSDGIVGSDDLMVDESFPPRSGLLETWESTMAELVAKARAEVFCGEIEAAGDPGDETSLSPEETGEEDADNAEPQGTANQESSSSWTLNWEGRA >Al_scaffold_0005_856 pep chromosome:v.1.0:5:5671218:5672205:1 gene:Al_scaffold_0005_856 transcript:Al_scaffold_0005_856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNW7] MTKKQSQPSKREIEEDPSTEVEVKRAKMENGPETENKPIFQRIKKDLVSESETHSSESDSETEPAATKTTPPAAMAKNPMFQRSWSEEDQIMLLEGMIDFSRDTGTSVYDDMNGFSEKHKDSISFDVKNVNQFVKKIWSLKNKYFLKRRSRVSTNVHDKNCLELAKKIWGSDVDATLKVDDSFKVDGVKVECDWFERSFLVGALKNLGAGIDEETLKEKWSLAPLKTRKRIEEKMKSVQANELKLVLRKLDVLHEMTTFVTSKSS >Al_scaffold_0005_857 pep chromosome:v.1.0:5:5676198:5676996:1 gene:Al_scaffold_0005_857 transcript:Al_scaffold_0005_857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNW8] MFDLWTDRDGPQLFESVDDLPSARFFPKGVVHSVKPYGRLSSTSVVDGDSDGEVSEVKDEEIGKKLRGRRGRNRFGVMGIERGEGGKRRIENRVNGGRLRNGKSSQCAYEKSSVLGKQISVQTEVAGICSRAAKGEEKRKEVVAEESDGEEYGGLYMSCHERVPYSVLFHKLQMTFVLIFS >Al_scaffold_0005_868 pep chromosome:v.1.0:5:5735879:5739365:1 gene:Al_scaffold_0005_868 transcript:Al_scaffold_0005_868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LNY1] MERVNTTTSRQLSSLNFGMHNWIRDITHWQSKFESVRFLWTGRAQNKAADQLSKSPLPPNTDFVFHFITPRQIKEENNLG >Al_scaffold_0005_869 pep chromosome:v.1.0:5:5742115:5743038:1 gene:Al_scaffold_0005_869 transcript:Al_scaffold_0005_869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNY2] MNRSMTFVVLLTILVSVANLGLAHVGLTNIDPSWYDAHATFYGDMSGGETMQGACGYGDLFKEGYGLETAALSTALFNNGQTCGACFELMCVNSKWCKPNAGSIKITATNFCPPNYDEPVQYHWCNPPNKHFDLSMKMFTSMAEYKGGIVPVKFRRVVCHKRGGVRFEIKGNPYFIMVLVYNVGGAGDVNNVEIRGHKSNWIVMKRNWGQIWNTGVDLVGQRLSFVVRTSDGRSMTFFNVAPASWGFGQTFEAKLNF >Al_scaffold_0005_870 pep chromosome:v.1.0:5:5759979:5760412:-1 gene:Al_scaffold_0005_870 transcript:Al_scaffold_0005_870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNY4] MYVTTRAIRQMCRDCVVNQSWVGLVVLEGVILIWCPQFDFRWPPRFSPCPLRPPGNILSVGLGRPNREPASSLGASRASIQIPGL >Al_scaffold_0005_872 pep chromosome:v.1.0:5:5762060:5763311:1 gene:Al_scaffold_0005_872 transcript:Al_scaffold_0005_872 gene_biotype:protein_coding transcript_biotype:protein_coding description:XH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G29375) TAIR;Acc:AT3G29375] MMCRKGTTGSSPSSLPSSTSTTAYATSSANTTVTSLGLYLLVIVVEADTELQEPSWRSLKQENEELKKRLKEMEEDLEDKDSDLEQREAMISALSVKERYSNDEIQEARKLLISELRDLTDDGSKIKVKRMGDLDVEPFVKASKRRLTGENIELYTKWEENLRDPHWQPFKWVETGNRVKEVVDEEDEKLKNLREEWGEEVTNAVKTALEEVNEFNPSGRHTVLALWNSVQGRTATLREAIAHMTCEIKTLKRKTNLKHRK >Al_scaffold_0005_874 pep chromosome:v.1.0:5:5785671:5787331:-1 gene:Al_scaffold_0005_874 transcript:Al_scaffold_0005_874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNY8] MPFIGYSKADNDPKKQGMYNYAWKSNSGLTKSNILNQNLVASRPKKRKSSIFLQSPWHKVYLQGSELCHSISISEKEWSLATNMLCEKIFDFFIGTVVDDKVGPNEAKSPSERRLVLSTHLMQQLLQPAPAFVFLGENAALNYEIVLYFMSRLTLADSCSLKCRSDLEKSRNRQTSKTASDQQFSSLVNASMEKIHKLESDFQSLERTTTSILDIIFEIQDLERFSVINHLGKFHNRAKTITRPNPQRYVVGIQMPTNLPEPLHCLPL >Al_scaffold_0005_875 pep chromosome:v.1.0:5:5794380:5795150:-1 gene:Al_scaffold_0005_875 transcript:Al_scaffold_0005_875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNY9] MNRTNIVEHEILEIHTGLKMFGTGLEGFGPSATDCSVRIYRRGAGQSESRVFWEVCSGIGESAVRMIRRKPNSFNGVFQSSFYVAKP >Al_scaffold_0005_881 pep chromosome:v.1.0:5:5824233:5828028:1 gene:Al_scaffold_0005_881 transcript:Al_scaffold_0005_881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNZ5] MNPSFFLGIVVAAIDFFMLFRIFRFQQENKEIDSSSASPSSVSPSTSSHNWTHQVFPSFRGEDVRRNFLSHIQKEFQRKGITTFVDNEIKRGESIGPKLIHAIRGSKIALVLLSKNYASSSWCLDELVEIMKCKEELGQTVLPIFYKIDPSDVKKLTGKFGSAFKNICACKTNEIIRKWRQALAKVATTTGYSSRNWDNEADMIEKISSDILKMLNYTTPSSDFGGLIGMEAHMKKMEQLLCLDSDEVRMIGIWGPSGIGKTIIARVLFNQFNGSFELSVFVENIKELMCRPLCSDDYSTKLHIQRQFMSQITNHKEMEICHLGVVQDMLHDKKVLVVLDNIDQSIQLDAIAKETCWFGQGSRIIITTHDQKLLKAHDDINHIYKVGFPSASEACQIFCMYAFGQKFPKDGFEDLAWQVTKLLGGLPLGLRVMGSHFRGMSKEEWINALPRLKTRLDSSIQSILKFSYDALWDEDKDLFLHIACLFNNKRTSKVEEHLAHKFLDVRQGLYVLAEKCLISIDTEWIKMHNLLEQLGKEIVRHEPGHQSICDPGKRQLLVDARDICEVLTDDTGSSSVIGIHFDPSELLGELNISEGAFEGMSNLKFLRFKCTYGDQSDKLYLPKGLSLLSPKLTTMGLFSDVMFAFQFLYEPLENLKWMVLSYSKNLKELPNLSTATKLQELFLIDCTSLVELPSSIGNAISLQTLHLGECKSIVELPSCFGNAINLSWLNLSGCSSLVELPSSIGNATNLEILHMDMCTDVVKLPSSIGNLYKLREFTLKGCLKLEILPTNINLESLDELNLTDCLLLKRFPEISTNIKHLYLNGTAVEEVPSSIKSWSRLDDLHMSYSESLKKFPHALDIITTLYVNDLEMHEIPLWVTKISCLRGLKLNGCKKLVSLPQLPDSLSYLEAVNCESLERLDFSFYNPKIYLNFVNCFKLNKEARELIIQTSTDYAVLPGGEVPAKFTYRANRGNSMIVNLNHRPLSTTSRFKACILLVNKGDKENEANRRDLMVTYRIMDKHNLSVVPCRPTYHFIRPPTLAEHLYTFEFEADVTSNEHIFEFKVDNNEMVIQECGVLQP >Al_scaffold_0005_883 pep chromosome:v.1.0:5:5837160:5838857:-1 gene:Al_scaffold_0005_883 transcript:Al_scaffold_0005_883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNZ7] MPFIGYSKADNDPKKQGMYNYAWKSNSGFTKSNILNQNLVASRPKKRKSSIFLQSPWHKVYLQGSELCHSISISEKEWSLATNMLCEKIFDFVIGTVVDDKVGPYEAKSPSERRLVLSTHLMQQLLQPAPAFVFLGENAALNYEIVLYFMSRLTLADSCSLKCRSDLEKSRNRQTSKTASDQQFSSLVNASMEKIHKLESDFKSLERTTSILDIIFEIQDLERFSVINHLGKFHNRAKTITRPIPQRYVVGIQMPTNLPEPLHCLPL >Al_scaffold_0005_884 pep chromosome:v.1.0:5:5848705:5849367:-1 gene:Al_scaffold_0005_884 transcript:Al_scaffold_0005_884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNZ8] MEKTIVVRRDREGEEESEESKEKEEEYNEEAKSNDDNSQKKLIMKMMRKNMKSKKR >Al_scaffold_0005_888 pep chromosome:v.1.0:5:5910101:5911284:-1 gene:Al_scaffold_0005_888 transcript:Al_scaffold_0005_888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP01] MGSLVDNKFTWVINKVSSLTSDLLFSNYFVIGGCSWRVVALSRDNNFKDSLSLTLIVTEDSAQKMSVGWSRYAKVSFTLINQISEMLSQRIETMFDQRSSVFSCETMFSIGKLNDDHAGFLVDGEIKIVVQFLEIFDKLVVSKESNQPFKKTKLNDDGEVSKDLIREVPVIISSFTVLWILFFYLQVEFVKRIFEKHPDIALEFFPKNPVVKTAYMNVLLSLIETLGQPPREISKDDLAGAYGLLRSMKEAGFKLDWLENKLNEVLKKKESEEAYETRMREIEEEMKDLKEKVLDVAAPLRLDDVF >Al_scaffold_0005_889 pep chromosome:v.1.0:5:5917757:5918591:1 gene:Al_scaffold_0005_889 transcript:Al_scaffold_0005_889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP02] MESFTRNFDMKGGESKAEATSTDQRLGFFSDLRSRFVFASSKSDFFVFRFIRLKTRGRKPGKKTKKDPNQPKRPPSERKKKIYGFEF >Al_scaffold_0005_891 pep chromosome:v.1.0:5:5926898:5928417:-1 gene:Al_scaffold_0005_891 transcript:Al_scaffold_0005_891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP04] MTLHIIDIAGVIPAVDSDSVLNSANLVTIPLTFFDLPWLLFQPVKRVFFYRLTESKHDHFHSIILPRLKLSLSLVLRNYLPLSGRITWDTNELKPSIVVSPNDAVLVTVAESDADFSHLSGYGQRPVSELHNLLPKLPVSDDSATAFSIQITLFPNQGFSIGVAGHHAVMDGKTSSMFIKAWAHTCKHQLENIASLPDILTPSYDRSLIKDLTGLDEKIIQMVRSLKGNKTNIRSLTPFPARKLANDVVLATLVLSCEDIKKLREQIKNVSPSLHLSTFVISYAYAWTCFVKARGGSGERSVSFLFVGDFRERLDPKLPATYFGNCMFPVGCYNRKAVEFTEEKGLVTAVEILSDLVKGLSSRKIETIVKELAESFDFQKGSTQFGTIAGSTRLGIYESDFGWGRPVKVDVVSIDQGESFSMAERRDESGGVEIGMCLKKTEMDNVMAFFNNF >Al_scaffold_0005_892 pep chromosome:v.1.0:5:5939753:5941045:-1 gene:Al_scaffold_0005_892 transcript:Al_scaffold_0005_892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP06] MQARCRQDAGRRCRQKIRSMRCDYLSMWMRSAGFEATETEKERNGYRLSSRLMRLRSPDLLRQRQRRRRRETAVEKNGGGEKQRRRKKEKERDGELRIDGDCGVDNRDFFNGNREFMQWVEPLSPLEFICELLELHDLHLSYMICMFCTCRAYSV >Al_scaffold_0005_893 pep chromosome:v.1.0:5:5950136:5956611:-1 gene:Al_scaffold_0005_893 transcript:Al_scaffold_0005_893 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein [Source:Projected from Arabidopsis thaliana (AT3G29635) TAIR;Acc:AT3G29635] MALKVTKISRVSPARNSSNDSAHWMVLPLTFFDLRWLKFHPTERVIFYKLIKNSSLESFLTVILPKLELSLSIVLRHYLPLAGRLTWGSQDPIPSIVVSWNDYVSLTVAESDADFYLISGKGLRPETEIRYLVPEFPLSCDSPSVLSLQITLFPNQGFCIGISAHHSVMDGKTVVRFIKSWAHICKHGATDLPNDLCPFLDRTVINVPASLDAKIFELLSHFSEEKDSLRSLKLLPTKEISPDLVRISLELTRENIEKLRERAKKESTRSHLELHLSTFVVANAYLWTCLVKTRGGDVNRPVRFMYAADLRNRLDPPVPETYFGNCVFPIGCFGYKAKVLLREDGFVNAIEILSDSVRSIGSRKIETICERYIDGTKSVKPGTQSGSIAGSNHFGLYGSDFGWGKPCNSEIVSIDRNEAFSM >Al_scaffold_0005_900 pep chromosome:v.1.0:5:6077069:6082310:-1 gene:Al_scaffold_0005_900 transcript:Al_scaffold_0005_900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP14] MVLQFLRENLVFGILAQNYDFAVLKGNLDFAVSARKRGVAILAGKRVLQFQRKTVIFAVLAENCDFTFLTGKHGFAILAGNICFAILAGKYEPKVLCMWTITRQRLLNDKLHNYTTEVVHYGVTKPKVLCMWTITRQRLLDDKLHNYTTEVVHYGVTRNCDFAVSARKRGIAILAGKRVLQFQRKTVIFAVLAKNCDFTFLTGKRGFANLAGNLCFAILAGKYGFVI >Al_scaffold_0005_901 pep chromosome:v.1.0:5:6091320:6093249:-1 gene:Al_scaffold_0005_901 transcript:Al_scaffold_0005_901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP15] MRAKIAETNAIEIIIKIAAGNGVGQILVGKVKCTKIPDYSFISLHMDHSNVRPPAVVFGDDKWGLRFWLENGVLRFVILAENMVLQFWRENLVFGILAQNYDFAVLTGNCDFAASARKRGVAILTGKRVLQFQRKTVIFAVLAENCDFTFLTGKRGFAILAGNRGFAILAGKCDFVI >Al_scaffold_0005_902 pep chromosome:v.1.0:5:6111674:6112810:-1 gene:Al_scaffold_0005_902 transcript:Al_scaffold_0005_902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIJ8] MIPFTSDSCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0005_903 pep chromosome:v.1.0:5:6114308:6114805:-1 gene:Al_scaffold_0005_903 transcript:Al_scaffold_0005_903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP18] MKPYPSDGLECDEILMNTNEDTLECINDIVISSWRLCPAYLRLRFVESLILYKSINVHTVWDACYRVLSGDVLAEQKIARNNPGLELSDVQLEWYGFRVMRKVMGDLGFHHAGFEGVRLGIVRRLLTYKCDDMSM >Al_scaffold_0005_907 pep chromosome:v.1.0:5:6248744:6249912:1 gene:Al_scaffold_0005_907 transcript:Al_scaffold_0005_907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP22] MTPLCVSGVSHLSLKLFLKKCWAFSNYKERERGRKNKEQILASLCCQVRVLVVGDSVEAFKNKGITGIGAARFCWGGEFGFLVSITSIRLDNYEREKGYAISGTSNLKNHASSGYYILGTANATANATATANATATTTAQNNVEETIGETNPATAPQGTVAATPTVSSSSF >Al_scaffold_0005_913 pep chromosome:v.1.0:5:6426127:6426847:1 gene:Al_scaffold_0005_913 transcript:Al_scaffold_0005_913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP27] MTESCHREIGSAGCCCDRENYVVMLSDRESDREIRLVIEKSLRVIEKSLRVIEKSLRLEESRWELGRGVESVELSGVKNKMEKPWMLKIAEANLKPSAESLRIIEQLLDCVRLGARLDLVWYRIMSAILVIRT >Al_scaffold_0005_914 pep chromosome:v.1.0:5:6463828:6467962:1 gene:Al_scaffold_0005_914 transcript:Al_scaffold_0005_914 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 79 [Source:Projected from Arabidopsis thaliana (AT3G30260) TAIR;Acc:AT3G30260] MGRGRVQLRRIENKIRRQVTFSKRRTGLVKKAQEISVLCDADVALIVFSPKGKLFEYSAGSSMGRILDRYERSSYAGQDIPTPNLDSQGECSTECSKLLRMIDVMQRSLRHLRGEEVDDLSIRELQGLEMQLDTALKKTRSRKNQLMVESIAQLQKKEKELKELKKQLTKKAGQREDFQLQNLSHDLSSSATPPYEPPHELPPPISPPPVSFGDAPRNGVGEVAAGTLIRRTTTLPHWMPRLTRE >Al_scaffold_0005_922 pep chromosome:v.1.0:5:6505823:6506730:1 gene:Al_scaffold_0005_922 transcript:Al_scaffold_0005_922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LP37] GYAIDGNKCLIWTKDVLNLHQLDANKSEGSTFYLRVAASNISSQTSDEEKNHRMDIFGGEDDDGDQIELRLSEILVATNNFSDENKLGEGGFGSVYKVCLTVW >Al_scaffold_0005_927 pep chromosome:v.1.0:5:6645003:6648083:1 gene:Al_scaffold_0005_927 transcript:Al_scaffold_0005_927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LP44] IVIEVPIFDGFIRRNVTFALLAEVCGLKASLEAKDGAEIGCLGLMKEVFGVT >Al_scaffold_0005_928 pep chromosome:v.1.0:5:6649070:6649369:-1 gene:Al_scaffold_0005_928 transcript:Al_scaffold_0005_928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP45] MADEQAFTKEGKPQKETLNVVFKKVQASMEMVRWQVMELVISVFIEELICTILILL >Al_scaffold_0005_931 pep chromosome:v.1.0:5:6686320:6687360:-1 gene:Al_scaffold_0005_931 transcript:Al_scaffold_0005_931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP47] MVGSAEDDVLEDVSVKTVVDLIRYLIILGNYAPGKLTQRKSQQRERRIPARISPSKIRPPKIRQQSDVEEVGSLLLQRLKRWYEN >Al_scaffold_0005_933 pep chromosome:v.1.0:5:6695666:6697461:-1 gene:Al_scaffold_0005_933 transcript:Al_scaffold_0005_933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT3G30390) TAIR;Acc:AT3G30390] MTIGDVAPIPRRNSSCANEIVAPLLPEYHGDEVAYDEFNGASFSGAVFNLATTIIGAGIMALPATMKILGLGLGITMIVVMAFLTDASIEFLLRFSKAGKNRSYGGLMGGSFGNPGRILLQVAVFVNNIGVLIVYMIIIGDVLAGKTEDGIHHFGVLEGWFGHHWWNGRAAILLITTLGVFAPLACFKRIDSLKFTSALSVALAVVFLIITAGISIMKLISGGVAMPRLLPDVTDLTSFWNLFTVVPVLVTAFICHYNVHSIQNELEDASQIRPVVRSALMLCSSVYIMTSIFGFLLFGDDTLDDVLANFDTDLGIPFGSILNDAVRVSYALHLMLVFPIVFYPLRINIDGLLFPSARSLSTSNVRFGCLTAGLISVVFLGANFIPSIWDAFQFTGATAAVCLGFIFPASIILKDRHGKATNRDTTLAIFMIVLAVLSNAIAIYSDAYALFKRNAPRE >Al_scaffold_0005_937 pep chromosome:v.1.0:5:6767338:6769010:-1 gene:Al_scaffold_0005_937 transcript:Al_scaffold_0005_937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP50] MRAIEHSVEEEPLIKKARVIDKVENCYELPMLSLNETAVDGNEQVSLLDVEEKTETPVASGDGSRKNGEVESNERKIEEVSVLSLADRKDVVSGGETIGKLPVLEVEKNKEASVISGGTRSDEVPVVVNNDSCVALSGIRGESGSNSSVLKKKLLVLDLNGLLADIVTPLKDVPADINIGRRAIFKRPYCDEFLKFCFDKFEVGIWSSRKQNNVVRITEFLLGDLKNKLLFCWDMSYCATTTVGSLENRHKYVVFKDLNRLWEKHDPRLPWKMGDYNETNTVLLDDSPYKALLNPPYTAIFPHSYNHQNKTDTSLGNGGDLRLHLEKLVEAENVQDFIKNNPFGQEAITVASESWEYYREAIRMLHISFQTYRLRNFIR >Al_scaffold_0005_955 pep chromosome:v.1.0:5:6912371:6913289:1 gene:Al_scaffold_0005_955 transcript:Al_scaffold_0005_955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP74] MKRQPDNTMGLRDISTDGFTIKVKRMGEVDETPFIKAMLCSKMAETSMAWHPFKCVEVVDEEDEKLTNLREEWGEEVENAIRTALEELNEFNPSGRYMVPVV >Al_scaffold_0005_960 pep chromosome:v.1.0:5:6940131:6941261:1 gene:Al_scaffold_0005_960 transcript:Al_scaffold_0005_960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP76] MTGKQGRKRRNAPNASQRAVGSTAANRRPKNLRLDLFKRHMVVFAVGTSLASVATAWIDIQVLDCDVRRLSCLNDACLRTVLAYLVKFFAVLISAHIDIAFDALVLCVFVL >Al_scaffold_0005_961 pep chromosome:v.1.0:5:6943092:6946870:-1 gene:Al_scaffold_0005_961 transcript:Al_scaffold_0005_961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP77] MAAVQAFTPLADIKPFKTHWKIQVKIVHSWTQYTQFTEETVEMVLADTSGTLIHATVKKPQVSKLQRFIVSGEWRIIEHFTLTKSTGKYRATKHGLKMSMMEKTVISRTPAVSDDIYVDLASFPDILNEAGLSENILIGERAVSNSFDMSLLEINPNYPVVLEFVANCLKCSKTAYKIPKVENEIVKKRKKEMFWCPTCKEDTPKVIPRYLLNVGVMDSTGDTKCLIFDKSAQEIIGVSAEDLLEGKWDEVNKFVVNRMKRRKNETVKENNNVLQTPNPKRSRPQQNDENINPNLQNQQDRSDVQVKGIFNRLRSGIGNIPAQMCDSEALQTVTGPSSSATIQKETQVTFNTSVRSAKKTARTQRRPFQDVQNSINTSQLHSEVHQTPLNPHKPPEKKGKKWSPPSVNSKQATKGIILTNSRNTLRFPKSLAKEKKTSHKSIDTTIEEDSDEILNSKEETFINMRDLEETREQVYECSSPDENDSETSEDYENIDDIPIEVKQRYEFLSMLDESLTKAFGERKTPTVSSRKNKNTGTTSLV >Al_scaffold_0005_965 pep chromosome:v.1.0:5:7029628:7032922:1 gene:Al_scaffold_0005_965 transcript:Al_scaffold_0005_965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LP80] VVEDISSCEDTTCADIKTAFDVRSISNAFNTSQILINPDIPEIVAFKESLPKDGLALTLLESKPKQEMIELTTGDFYLQFPKKTIKEVAEMFDTQGSLLLTYSGEPSETTTPSSKRSSDSSLSPADNSSTTKKLCLESINVVKIKQEKGVKTNEDKVDGVKLECVALKQHEENTDEDKVNLDKLKGSDVKQKNKNMK >Al_scaffold_0005_966 pep chromosome:v.1.0:5:7033788:7035065:1 gene:Al_scaffold_0005_966 transcript:Al_scaffold_0005_966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP81] MEPHHYLSDLNVHSTRWLVHVKILSLWKEPTSSWRTEIKMILADEKGNRIDATIPNRHYHWNFLAVLKPGLWYRMSDFEVVRPDEKKTKYSCFPVEIKCIADTTMWPITVKCPYSFFDFVFPQTVEFAQEEEKEFVTGIKLAVLFSLKEYCSV >Al_scaffold_0005_967 pep chromosome:v.1.0:5:7040676:7042510:1 gene:Al_scaffold_0005_967 transcript:Al_scaffold_0005_967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cofactor-independent phosphoglycerate mutase [Source:Projected from Arabidopsis thaliana (AT3G30841) TAIR;Acc:AT3G30841] MEQEHVEVKKRVAFVLIDGLGDVSIPKLGYKTPLQEANIPNLDAIASAGINGLMDPVEVGLGCGSDTAHLSLMGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKSGVVVSRRADRHFEEEGPILCAALDGMKLQSFPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDQLKDNRLLLEAKPLDESEEANHTAKVVNELSREISHILVSHPINAERAAQGKNIANLVLLRGCGIRIEVPPFQEKHGLWPCMVAPTKIIAGLGMSLGIDILEAPGATGDYRTLLTSKAIAIANALSAPLNPCPNVFVPGEDGHKPGRSDGYDFGFLHIKAIDDAGHDKATMFKVRGLEAVDKAIRQLAKLLWQAESSTNYQYFLCVTGDHSTPVEYGDHSFEPVPFTMCRLSDFVSAVGGESALLETSLDPFPLPTVVESSEDVTKQEEDNGRRETPPAIGGDSVAELNEIAAARGCLGRFHGGEMMGVITKFLKLEV >Al_scaffold_0005_969 pep chromosome:v.1.0:5:7051582:7057161:-1 gene:Al_scaffold_0005_969 transcript:Al_scaffold_0005_969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP84] MRSQEKTSTVSFKSSSNTHVIFRFADWVDIVLMVLGTVGAIGDGMSTNVALVFASRIMNSLGYGQHNPSSTTFKEEIQKCSLYFVYLGLAILGVAFMGNVPTFLMHISVFITGLLFSAYFSWRLTVVAIPTLVLLLIPGLIYGKYLVHLSKKSFKEHAKANSIVEQALSSFKTILSFTAETQIIKKYSEVLERHKKLGLKQGLAKGLAVGSSGISFTIWAFLAWYGSRLVMHKQETGGRIYAAGISFVLSGISLGTALTEIRYFSEASVAAARICSRIDRISEIDGEDTTKGFIPGDKMKGRVEFEHVTFIYPCRPKSIILKDFTLTADVVAHKLSTVRGANIIAMLENGSVKELGSHEDLMTNNNHYAKLVNLQRQCGHEHRQDLQDRVKTPEIQQRWSTMNCVNRLSRRSSPDLIDSPYPLESINTAKINDNHPSTSFTRLLPLVSPEWKSSLVGCISAATFGAIQPVYALTIGGMISAFFAKSSQEMQAKIRIYSLIFTSLTFLSITLNLLQHYSFAKMGERLMQRLRLKMLEQIFTFEPAWFDVEENFSGELCSRLSNEVSIVKSLVADRLSLLVQTISGVTIAMIIGLLLSWKLALVMIAVQPLSILCFYTKKVLLSNISHNYAYAQNRSSQIASEAIYNHKIITSLGSTKKIIEIFDKAQYKAKRKGRKAAWLAGFGMGSAQCLTFLTWALDFWYGGVLVQKGEISAGDVFKTFFVLVSTGKVIAEAGSMTSDLAKGSAAMSSVFKILDRPSSQENTNHGAKIETLIGRIELKNIDFSYPNQPSIPVLRQFSLDIKPGTSIGLVGISGCGKSTVIALIQRFYDVEIGCVKIDSVDLRDIDIKWYRKHTALVSQEPVVYSGTLIMANRKMTTVVVAHRLNTLKILDCIAMIADGTVMETGSYDHLKNIGGQFSRLVHAHDLKS >Al_scaffold_0005_970 pep chromosome:v.1.0:5:7071409:7071747:1 gene:Al_scaffold_0005_970 transcript:Al_scaffold_0005_970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP87] MQEDLVAARRKLAEVDQENARRDEERRKDQSRFTSLEMMVSYMRNKDPAFAEFLATQQQEATAAATVASQPPTTAAATAAATAAAIPPANAPYSSPAATSPMSNTSSSSPLA >Al_scaffold_0005_974 pep chromosome:v.1.0:5:7092105:7093437:-1 gene:Al_scaffold_0005_974 transcript:Al_scaffold_0005_974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP91] MVDPDTCMIHASGAWWTQQEFGCKLTKSLNRKPPEFWDVMQRCFVLHDVQSQSEHSARQRREQLIHEHTVDEEGHDDSDTDSGDLAQTKVPDTQEEEEVYRVTIDDDETFKYSASRSQCRDLGEAQEFLLEVVHEEIVGEKSFETTIQDTIAGYREFQRQSFQQLRLGGFDQDDYDEFKKAEAIFIALDLPKHTRFYWACINALKELVFWRKYFIDISRSSNEDKLQLLEAMTGVSRNNQDVPKQLGPCHSFGSPNLGGLSYGSPSSWGTPPNAPQWGTPPNAPQWGTPPNFQQGGSSGTTPANVQYGFSLGGQGEHMRNTQQASPSGLGFTNYFETGQIPQTPRPGGFFNIWGTPQEKNASHQSDVGDED >Al_scaffold_0005_977 pep chromosome:v.1.0:5:7216830:7218751:1 gene:Al_scaffold_0005_977 transcript:Al_scaffold_0005_977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP95] MNDNNLDETVKRESKREREIHVSALPFLSTDFPLDGWRGLGAVHELIKRERVYEEVEATVRATWQYPECLGEPPCQLKILLSQVQSQVQSQVKSQKEKWEHKLGIRHYGLLVPDKRIIIDENGSDL >Al_scaffold_0005_979 pep chromosome:v.1.0:5:9269142:9270279:-1 gene:Al_scaffold_0005_979 transcript:Al_scaffold_0005_979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP96] MEVIGFTINFVFFSPIRRASLGSKWTRFPHRGGSATFPPRARLFMLVRGRISVLLDGGWFTYKNLVFKPDSIENIRLKILEKIVWYLFQGGLFQKVKNTYKDILGSS >Al_scaffold_0005_983 pep chromosome:v.1.0:5:9311347:9312032:1 gene:Al_scaffold_0005_983 transcript:Al_scaffold_0005_983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPA1] MHRPLPPNKPHHYIRRRSQPNPRLMAEQTCSQNRKSIVGIEKEGPSRNLHMPAGLLAKIVSYIGEEGIGELKNWIVSGREGMEAVLSPECLTSVRYSDIEDAIKVVATVKGVYPVAELLYIMLNSCAGKEDRVVYSKFKKRYNYEEAEQLCGTLRFHIK >Al_scaffold_0005_985 pep chromosome:v.1.0:5:9328321:9331163:-1 gene:Al_scaffold_0005_985 transcript:Al_scaffold_0005_985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPA2] MAESKRKRSRAASKIEDPIQLFTNQMQQQTIAIYGFPLGLQLLAYRNISGLLDKIPGSSDDRTFLEWHSIGIPKNNLSLNEVHLLERDPDLIVTPLLFVDHNEEGWGDWDDEVRDKKVLYLIEQIRKCHEFTKKEWPGGYADLELISVNEKERVVEHKKHIVNRKRKHTATPSSKGTQSKSKVGTTRRGRKRKFDLVDDDEADDIKLWVNSQLDAIRREFAESVKKLRAQNVNLLKKIKALTSLKMPKFQYHKFSRSRQSSCPPSRKIHKAGKHPILSESPVKAAVDAQNIRTPPSSPLTSMHEEDNSASGEPAMLVDDMTWRRITSQQCGRNSGNTNMDSVTSPRKSLKESPSRYLSADSTEAPQSDQPIYDTESKLTDEPLSSPQLAAVYDTTKKPSSIDGSEEINIGDLVYADNVDTLVQSVCKSTSPTIAAADVDSLPSQEEFLAVDYSKIIPQDDQPDANISDDIVSNSDKLQNSEITPQDDLPDGIKSGGIETNFVLVKHSEIETTSIYPPKIDPPVLQDSLNVSIQGPVSPVTKMAADTQQDKDVDIENDDDEDSAVKSGDVVDVSDSSPARERKPTTLSDKEAKLVELVLNLPRNSPTKQYDLLPRLDKTFFKVFMDILRKAPHT >Al_scaffold_0005_986 pep chromosome:v.1.0:5:9347474:9348245:1 gene:Al_scaffold_0005_986 transcript:Al_scaffold_0005_986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPA3] MGDLQESLTMGGVLQSYEESEGSNVIEPSFQPGRVGRPRCPRCKRVGHRFNRCKRDVIFGYLIPLSCRGMYNI >Al_scaffold_0005_988 pep chromosome:v.1.0:5:9352269:9355110:-1 gene:Al_scaffold_0005_988 transcript:Al_scaffold_0005_988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPA2] MAESKRKRSRAASKIEDPIQLFTNQMQQQTIAIYGFPLGLQLLAYRNISGLLDKIPGSSDDRTFLEWHSIGIPKNNLSLNEVHLLERDPDLIVTPLLFVDHNEEGWGDWDDEVRDKKVLYLIEQIRKCHEFTKKEWPGGYADLELISVNEKERVVEHKKHIVNRKRKHTATPSSKGTQSKSKVGTTRRGRKRKFDLVDDDEADDIKLWVNSQLDAIRREFAESVKKLRAQNVNLLKKIKALTSLKMPKFQYHKFSRSRQSSCPPSRKIHKAGKHPILSESPVKAAVDAQNIRTPPSSPLTSMHEEDNSASGEPAMLVDDMTWRRITSQQCGRNSGNTNMDSVTSPRKSLKESPSRYLSADSTEAPQSDQPIYDTESKLTDEPLSSPQLAAVYDTTKKPSSIDGSEEINIGDLVYADNVDTLVQSVCKSTSPTIAAADVDSLPSQEEFLAVDYSKIIPQDDQPDANISDDIVSNSDKLQNSEITPQDDLPDGIKSGGIETNFVLVKHSEIETTSIYPPKIDPPVLQDSLNVSIQGPVSPVTKMAADTQQDKDVDIENDDDEDSAVKSGDVVDVSDSSPARERKPTTLSDKEAKLVELVLNLPRNSPTKQYDLLPRLDKTFFKVFMDILRKAPHT >Al_scaffold_0005_989 pep chromosome:v.1.0:5:9361691:9364334:1 gene:Al_scaffold_0005_989 transcript:Al_scaffold_0005_989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPA6] MPPKKGLKRKRLTKATTVEPGSTEEPSPTVEPSTTTTVEPGSTEEPSPTVEPSTTTTVEPGSTEEPSPTAIEGEQQVPETLSPVLEESDKNEEENSKKNEEEESGEEESEEEEKEEEGNEEGEESSDDDGSRSLGGESSSDENDNEEDEIAPENQPENAMDQEENNQEKDGDPEETTQEKDGDPKDTTQEKDGDPQVTKMTTRSKRQLG >Al_scaffold_0005_990 pep chromosome:v.1.0:5:9373752:9376113:-1 gene:Al_scaffold_0005_990 transcript:Al_scaffold_0005_990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LPA7] DQYLEISTSLPKDSSLYGFGENSQPNGIKLVPNEPYTLFTEDVSAFKLNTDLYGSHPVYMDLRNVRGKSYAHSVLLLNSNGMDVLYRGGSLTYKVIGGVFDFYFFAGPSPLNVVDQYTSLIGRPAPMPYWSLFHQCRWGYRNVSVLEEVVDNYQKAKIPLDVIWNDADYMDGYKDFTLDLVNFPHAKLLAFLDRIHKMGMKYVVINDPGIGVNASYGVYQRGMANDVFIKYEGKPFLAQMWPGPVYFPDFLNPKTVSWWGDEIRRFHELVPIDGLWIDMNEVSNFCSGLCTIPEGKQCPSGGEPGVTCCLDCKNITNTRWDDPPYKINATGNKAPLGFKTIPTSAYHYNGVREYDAHSIYGFSEAISTNKALLDVQGKRPFILSRSTFVGSGQYAAHWTGDNQGTWQSLQVSISTMLNFGIFGVPMVGSDICGFFPPTPEELCNRWIEVGAFYPFSRDHADYYAPRKELYQWGTVAESARNALGMRYKLLPFLYTLNYEAHMTGAPIARPLFFSFPDYTECYGLSKQFLLGSSLMISPVLEQGKTQVEALFPSGSWYHIFDMTQVVYSKNGKRVTLLAPLNVVNVHLYQNTILPMQQGGLNSKEARTTPFSLVVTFPARSSEGYATGKLFLDDDELPEMKLGNGQSTYVDFYASVSFALRQGLVIERVIVLGLEGTGQVSEIHLNGSPISNETKRIEVSSKEQKYVVVSEDKGESKSFMVELKGLEILVDKDFNISWKMASTNGAH >Al_scaffold_0005_992 pep chromosome:v.1.0:5:9386115:9386455:-1 gene:Al_scaffold_0005_992 transcript:Al_scaffold_0005_992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPA9] MKHISRTRQLSWLPFKRMMNKQMGLRNDMSYLKRRMMRSSFSLLSQTTEENTLVLAASGFTSTGSASTQEAVLLSQHSNHSLRMLTGR >Al_scaffold_0006_1004 pep chromosome:v.1.0:6:4352749:4353807:-1 gene:Al_scaffold_0006_1004 transcript:Al_scaffold_0006_1004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3K1] MLLDSLFILGFFFKISLFNHHFSLSLYREIKKAADTCMLCMKERENSSTYELSQLSTSKGESKLCRLHLNPWNKIGFSGEKFGVDVLKLLGIIVSM >Al_scaffold_0006_1011 pep chromosome:v.1.0:6:4387974:4391884:1 gene:Al_scaffold_0006_1011 transcript:Al_scaffold_0006_1011 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G08695) TAIR;Acc:AT5G08695] MGLDWSRIIVKNVPKYVTEDQLRGIFSRKGEITDVKLKRLSDGTSRQFAYIGFRNEQEAQDAITYFNKSFIDTLRISVLVADPPPRTQGKADEKSEHAYAKGDKKIKKKPEADHDPQLQEFLHEHKKLKFWSNDMCIPPSTGKEKVSPVSFSNGAKRSFLDPKKTRKNKVGDDVSDMEYLKSRIKKNLSDSDSDCETDSREDAIHVFPIDGEVEADRVDKDDDDDDGHAMDGNDDVLDTSRLFVHGLPYSTAEEELTEHFSKFGDISEVHLVLDKDTRNSRGMAYVLYLIPESAKRAMEKLDKLPFQGRTLHILPAKPCAKSAKQDFHQLFIMAFPNRDNSSNLPKSFKQKREEQRKASEACGNTKAWNSFFMRPDTILENLVRSYGVTKSELLDRECEDPAVRLALGETKVIMETKEALAKAGVRVASLEEFAARKGDEKNRSKHILLVKNLPFASTEKELAQMFRKFGSLDKIILPPTKTMALVVFLEPAEARAALNGMAYKRYKDVPLYLEWAPRDILEPKALADNKEEKSAVEENDARRVNLDQQVGIYSDIAESNVLHVKNLSFKTTDEGLKKHLTGLVKQGKILSVKIIRDWKRRRSSGYGFVEFDSVETATSVYRDLPVEGNVLDGHSLILSYSENKRSETVGEGSDKIKRVGLPLKTVGKYAGFGFVEFGTMQEALNAKKAFSNTHFYGRQLVLEWAHDDKRKSCAAKYMDQENDNPKKRKRWTVVGRGRETSKKRL >Al_scaffold_0006_1013 pep chromosome:v.1.0:6:4400509:4404439:-1 gene:Al_scaffold_0006_1013 transcript:Al_scaffold_0006_1013 gene_biotype:protein_coding transcript_biotype:protein_coding description:aberrant lateral root formation 4 [Source:Projected from Arabidopsis thaliana (AT5G11030) TAIR;Acc:AT5G11030] MASASPSRRVRELLALCFSFFQSVEAGGFRELESLVTELVNCLNSLYENVVLNASDELENDVIEVLDEILKVLSSPQVDQDVIDALSFHLPRVTSKFADLSSRCLQLVEEIVDRFVEACNPRDMLSILCEALDAARCSLSPSSCSTPLLHGLSKVFISIQRRHYEQLKVAVPIVLNVLKDISLETDVQVEGLFDKALGIASSIRDVSSKLNNEEEAKVRCLLGLYVIQITAILSVSIRDKAASCIPLVIQLEPFLTYCGLTHLGLITGNDTEKLMSTVAIDDDDDFGTSFPDINLGASFLLIWAKISHEVAEAANAALGSDVDELQSNPVKRWQVYGMLKYILSSVDLLWEFKRHAIEFLLDITEGVTSSHCNDEQIDCSHYTPGIYATLQAVTLVIMYAPDADLRKKTFEALKRVLSDIAAPHRFDVLRALVTNSRSPSMTAILLGLVKDSISESSLQATDCATTDTHVIELVELVLRPPEGGPPLLPDQSDAVLGALNLYRFALLFESRECEAGKERSKVGSEILSKKNLEKAYKEWLLPLRTLMSCSIAENLKEDHGQESSLGDVCLLNPIEFVLYRCIELVEERLKSH >Al_scaffold_0006_1019 pep chromosome:v.1.0:6:4435541:4436771:1 gene:Al_scaffold_0006_1019 transcript:Al_scaffold_0006_1019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3L9] MTNLLLRSDDVATSFSFSLVCETGDDFDGSIPRRLLRSYLSLNRKDELITPSPEDTLRSLGLISGDLIYQSLEANEFSSEIERLSNQAPQSESYHAIVHESMGIGVAEVDSNPNSGVEDSAEGYFALLQMILPSLLLVQLVNPFRRGYISLGLKSPRSDRSTIIEEELGGPVESFFSQFSQEIVAAASFEKEKKQRSSVKRRATTWKEEEVVDVDKKKCEQELQVVPFIKATSRSRSKNADNLSFDLICFQTFDTYFKREHQP >Al_scaffold_0006_1028 pep chromosome:v.1.0:6:4471781:4472896:1 gene:Al_scaffold_0006_1028 transcript:Al_scaffold_0006_1028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11190) TAIR;Acc:AT5G11190] MGHSRKFRGVRQRQWGSWVSEIRHPLLKRRVWLGTFETAEAAARAYDQAALLMNGQNAKTNFPVVKSEEGSDHVKDVNSPLMSPKSLSELLNAKLRKSCKDLTPSLTCLRLDTDSSHIGVWQKRAGSKTSPTWVMRLELGNIVNESAVDLGLTTMKKQNVEKEEEQEAISDEDQLAMEMIEELLNWS >Al_scaffold_0006_1032 pep chromosome:v.1.0:6:4486002:4490091:-1 gene:Al_scaffold_0006_1032 transcript:Al_scaffold_0006_1032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7M3N2] MSLFHHLLSRSLPLWHVFFLTFLVLLGKSQKEVLQVKVGIVLDTNVTLADLSLRAINMSLSEFYSTHNGFKTRIVLNIRDSKRTVIGAAASALYLIKKREVVAIIGPGNSMQAPFLINLGNQSQVPIISFSATSPVLDSLRSPYFIRATHDDSSQVQAISAIIESFRWREVVPIYVDNEFGEGILPYLVDAFQEINVRIRYRSSISAHSSDDQIKKELYKLMTMPTRVFVVHMLPDLGSRLFSIAKEIGMMNKGYVWIVTNGIADQLSLKGESSLENMHGVLGVKTYFSRSKELMYLETRWRKRFGGEEINNFECWAYDAATALAMSIEEISNVHMSFNKTKTNTSREDIGTDLDDLGVALSGPKILQALTTVSFKGVAGRFQLKNGKLEAKTFKIVNIEESGERTVGFWISKVGLVKSLRVNQTDIKISHSSRRLRPIIWPGDTIFVPKGWEFPTNAKKLRIAVPKKDGFNNFVEVKKDANTNAPTITGFCIDVFETAMRQMPYAVPYEYIPFETPDGKSRGSYDEMIYHVFLGEFDGAVGDITILANRSSYVDFALPYSETGIVVVVPVKDEREKGKWVFLKPLTWELWVLTAASFLYIGIMVWIFEYQANEEFRGQSIINKISNVFYFSFSTLFFAHMRPSESIFTRVLVVVWCFVLLILTQSYTATLTSMLTVQELRPTVRHMDDLRKSGVNIGYQSGSFTFERLKQMGYKESRLKTYDTPQEMHELFLKKSSNGGIDAAFDEVPYVKLFMAKYCSKYSIIEPTFKADGFGFAFPLGSPLVPDISRQILNITEGETMKAIENKWLLGEKHCLDSTTSDSPIRLDHHSFEALFTIVFVVSMLLLLAMLVYRRYQEIEGGETNAPPSPPRDDNMRAPAASPPNDDQVHEPPGPALHEADAPDAQDQLLNDEVNVGDRNEVDIIVEVDPTLIHRRNLITSKTIPIRRAVPLFSRIKSA >Al_scaffold_0006_1036 pep chromosome:v.1.0:6:4501442:4504847:-1 gene:Al_scaffold_0006_1036 transcript:Al_scaffold_0006_1036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS-LRR class) [Source:Projected from Arabidopsis thaliana (AT5G11250) TAIR;Acc:AT5G11250] MEFQRMGITPFIDNEIKRGESIGPELIRAIRESRISVILLSKNYASSKWCLDELVEIMKCREELGQTVVAIFYKVDPSEVKKLIGNFGQVFRKTCAGKTKEDIGRWREALAKVATIAGYHSSNWDNEAAMIKKIVTDISNMLNNSISSSDFDGLVGMRAHLEKMEPLLCLESDEVRMIGIWGPPGIGKTTIARVVYNQFSNSFQLGVFLDNIKANYTRPCSDDYSSKLQLQKHFMSQIINHKDMEIFHLGVAQDRLKDKKVLVVLDGVNQSVQLDAMVKETWWFGPGSRIIITTQDHRLFRAHGINHIYQVDFPPADEALQIFCMYAFGQKSPKDGFEELAWEVTTFAGKLPLGLRVLGSHFRGMSKQEWIKSLPRLKTSLDTDIQSILKFSYDALDDEDKDLFLHIACFFNYGVIEKVEEHLARKFLEVRQRLNVLSQKSLILFNQCGRIEMHSLLEKLGREIVRKLSIHDPGQRQFLVDEREICEVLISDAAGSKSIIGIDLNYRGIGEELNISERAFEGMCNLQFLRIDGDCNTLQLSQGLNYFSRKLRILHWSYFPMACLPSNVNLEFLVELIMDNSKLEKLWEGIKPLRNLKRMDMRDSANLKELPDFSTATNLQKLNLSYCSSLIKLPSSIGNATNLKKLNLRRCSNIMEFPSFIEKATNLEILDLSSCSNLVELPLFIKNLQKLQKLRLGGCSKLQVLPTNINLESLVELDLTDCSALKLFPEISTNVRVLKLSETAIEEVPPSIAFWPRLDELHMSYFENLKELPHALCSITDLYLSDTEIQEVPSLVKRISRLDRLVLKGCRKLESLPQIPESLSIIDAEDCESLERLDCSFHNPKICLKFAKCFKLNQEAKDLIIQTPTSEHAILPGGEVPSYFTHRSTSGGSLTIKLNEKPLPTSMRFKAILLVHQSDDGKKYPSSVVSFWCKKSWHVMYPTLAEHLYTFEVETAVTSSELVFEFKLDSSDWKIREFGLRKLMEVPSF >Al_scaffold_0006_1040 pep chromosome:v.1.0:6:4511303:4512397:1 gene:Al_scaffold_0006_1040 transcript:Al_scaffold_0006_1040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF247) [Source:Projected from Arabidopsis thaliana (AT5G11290) TAIR;Acc:AT5G11290] MEDHKLRYLQSFIPRTALSLEDLVGVARTWEERARFCYTEDVRLSSNEFVKMLIVDASFLVELLLRSQFDVYRGMLDRIYGKQKMIVDVNHDVMLLENQLPYFVVEGMFGLLHVDYHRELPPLTRIIHNHFKKFWMSIPSFSRSISDSKICHFVDLLRSIHLPLVLSFPGGSMRMMDSVQSAKEIQNAGVKLQPADNNTCALDISFANGVLTIPKIKINDITESLYRNIILFEQCHRLDVYFIHYMRFLSCFIRSPMDAELFIDHFGFQGKQKGFYNEISRVKERAIYTFSISLICA >Al_scaffold_0006_1064 pep chromosome:v.1.0:6:4617232:4621175:1 gene:Al_scaffold_0006_1064 transcript:Al_scaffold_0006_1064 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryonic flower 1 (EMF1) [Source:Projected from Arabidopsis thaliana (AT5G11530) TAIR;Acc:AT5G11530] MGSSIKINSISIDLAGAANEIDMVKCDHFSIRGFVAETRERDLRKCWPFAEESVSLVDQQNYSLPSLSVPKFRWWHCMSCIKDIDAHGTKDCGLHSNSRTIGNSSVIPSRSKLNSLTIIDHEKEKKIDIAGNAVEENVGVNCERSQKDDQTATTFLKKVRPRPMDASTVRSKSRKLASPEQVGNKRSKEKVNKSSMDISSWKDQKHNVDQAVTTFGSSEIAGVVEDTPPKATKNHKGIRGLMECDNGSSESINLAMSGLQRRKSRKVRLLSELLGNTKTSGGSSIRKEESALKKESVRGRKRKLLPENNYVSRILSTMGATSENASKSCDSDQGNSESTDSGFDRTPFKGKQRNRRFQVVDEFVPSLPCETSQEGVMENDADPSKRSTPVHSLFTGKDLVPCPPSTQRTERKPSLAKKKTKKPVIDNGKSTVISFSTGIDGNQVKPQTGPSISTVSQTQDLLNEKRVGSLFDNRLASDGYFRKYITQPNDKPITSLHLQDNDYVRSRDAEPNCLRDFSSSSKSSSGGWLRTGVDIVDFRNNNHNTNRSSFSNLKLRYPPSSTEVADVSRVLQKDASGADRKGKTVMGQEYHGAPRSQSHDRKETTTEEQNNDDIPMEIVELMAKNQYERCLPDKEEDVSNKQPSQETAHKSKNALLIDLNETYDNGISLEDNNTSRPPKPCGSNARREEYFPMGKQQNSHDFFPISQPYVPSPFGIFPPTQENRASAIRFSGHNCQWLGNLPTVANQNPSPSSFRVLRACDTCQSVPNQYREASHPIWPSSMIPPQSHPKPVSFNMDQSTKPGTLSQASNNENTWNLNFVAANGKQKCGPNSEFSFGCKHAAGVSSSSSSRPIDNFSSESSIPALHLLSLMDPRLRSTTPADQHGNTKFTKRHFPPVNQSKEFIELQTGDSSKSAYSTKQIPFDLYSKRFAHETSRKSFPIIPPLGTSSFSFQNAQASWSPHQEKKTKRKDTYAPVYNTHEKSVFASTNDQAKFQLLGASNSMMLPLKFHMRDKEKKQKRKAESCNNNASAWPVKNSSGSIVCSVNRNPADFTIPEPGNVYMLTGEHLKARKRTTVKKKPSLCKQDAMKQTKKPVCPATENA >Al_scaffold_0006_1078 pep chromosome:v.1.0:6:4706287:4706616:1 gene:Al_scaffold_0006_1078 transcript:Al_scaffold_0006_1078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4A9] MTESICFSVGGGVVSSHRCSCGFGLAVEELCCAVAPFATTTAEVDEVWWVALFGEVVSGSSGGSKLRWLVRVLGSEAWFEPPPYGSAAFGIH >Al_scaffold_0006_1089 pep chromosome:v.1.0:6:4761883:4762918:1 gene:Al_scaffold_0006_1089 transcript:Al_scaffold_0006_1089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4C3] MENNPKIRENDSSDYLPEELIAQILVNLPSKSVVKLIVVSKLWSSIICSKSFIDLYLERSLNRPCILFSFLRGNIRTGQFLVLPKLNTKRRRISRFFGYDPIEDEYKVLCMTVLQVPSNSGPVVSEEHQVFTLGGAEKKKEAAWRMITCKVPHCPATQGICIDGVVYYGAWSNSKRKGSLIVAFDVRSEEFTLVKLPDGVEIYYDRDSELVNYQRKLALANLSYKEKFELLVLDKQEWSNISVMVPSLKDLVGQDLFYLRGAISSGEFIFTPMHSDWSSFIISYDRKEEIARRVELKGIKDRSPYMRVFLNHVECPIFL >Al_scaffold_0006_1103 pep chromosome:v.1.0:6:4803547:4805060:1 gene:Al_scaffold_0006_1103 transcript:Al_scaffold_0006_1103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phytoceramidase (aPHC) [Source:Projected from Arabidopsis thaliana (AT5G11870) TAIR;Acc:AT5G11870] MVWLTNQQIGRWKRKRILVVGSFLCWLIIMFITPKVPLDSFRHHIFADKRNFMGVPNTLNVMTNFPFLIIGVLGFVLCIGGSFFNISLKGEIWGWTLFYAGIASLAFGSAFYHLKPDDNRIVWDTLPILIAYSSLFSSFLVERAGEIVGLSCLIVLLFISLFSVAYARVFNDLRLCMTFQLIPCLAIPVMTVLLPPKYSHSRFWLWATAAYTIARIEGLADSKIYNANRYIISGHSLEHLCSAAATLLLTIMLCCRSIRLNR >Al_scaffold_0006_1117 pep chromosome:v.1.0:6:4876895:4877446:-1 gene:Al_scaffold_0006_1117 transcript:Al_scaffold_0006_1117 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT5G11990) TAIR;Acc:AT5G11990] MGLIVIIIALVMVIVVASSPSDQTDVLTPLCISECSTCPTICSPPPSNKPSPSTPPPQSPSLPLSSSPPPPPPHKHSPPPLSQSGSPPPLITVIHPPPPRFYYFESTPPPPPLSPDGKGSPPPSPPSPPPSPKGQSQGQQQPPYPFPYFYFYTASNSIPLLSSSFLIALVVSTLSIFLNGSLV >Al_scaffold_0006_1146 pep chromosome:v.1.0:6:4973507:4975124:-1 gene:Al_scaffold_0006_1146 transcript:Al_scaffold_0006_1146 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-6 tubulin [Source:Projected from Arabidopsis thaliana (AT5G12250) TAIR;Acc:AT5G12250] MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGNSDLQLERVNVYYNEASCGRYVPRAILMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIAPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEGEYEEDEDEEEILDHE >Al_scaffold_0006_1147 pep chromosome:v.1.0:6:4979953:4982243:1 gene:Al_scaffold_0006_1147 transcript:Al_scaffold_0006_1147 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: glycosyltransferase family protein 2 (TAIR:AT5G60700.1); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryot /.../39 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G12260) TAIR;Acc:AT5G12260] MVSQSRSRNLTVRSMAMVFAQPKKNLLPLLLFLFCISFIILLVLVSETTRSNAGRGTTFRRNYNDPPPFTFLIKVLTFNRLYSLSRCLRSLSAADYGVSGDKGRIHLHVYIDHFNLARNDTPVEDNLNSAREILGFVDRFEWRFGEKVVHYRTDNAGLQAQWLEAWWPSSDHEFAFVVEDDLEVSPLYYGILERLIHKYYYDTSNFNPSIYGASLQRPRFVPGKHGNKLHVDPKTNLLLYQLVGTWGQLLFPKPWKEFRLWYDEHKSNAKKPFLDGMVTNGWYKRLGERIWTPWFIKFVHSRGYFNIYTSFPNEGALSVSHRDAGVNYGKTAGPDSQLLNKSALSSDSLKLQPLSNLKWYDFCFSEVVPGRVVRNLNELGTILPSVQSDKTIILVSLYGAEKMFTRNLLCHFEKLNTQNHIFIGPSSELFYDLSRRGHPVIDADMLLDKLIKSKTSYPNSVKEALGNAFVVKKCLELGYSTWAFSSNSLLVDKGPLLDRVSAEYDIYIRESSGILIVQSSSVTQKLWSNEFLHSITSAATKNPSLNQSLDYIHLVKELVEQKGKRIKTVETMDIAENTNANSVNQSLGDGKPVVYWSPKVAPNMIRSKLEELNLWLIDDDLSCKAVVCHNS >Al_scaffold_0006_1168 pep chromosome:v.1.0:6:5067839:5068761:1 gene:Al_scaffold_0006_1168 transcript:Al_scaffold_0006_1168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M523] MLACFAALVRRFGLFFFYPSALFGLRSSVVCSISGESLNRSIVSKLFPLSDNPIIQAIQNDRYYCFLAPLTLPALLVAVYFHWLSMKLFKHA >Al_scaffold_0006_117 pep chromosome:v.1.0:6:494129:497158:1 gene:Al_scaffold_0006_117 transcript:Al_scaffold_0006_117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M780] KFKRKFKQKSKQNIKKKNKKLKNLTKNKQNKKQNKRLKKKQKKQKTKQNIKKKKQKIKKFNTYKKTKKQNKRLKKDKKKTKNKTKD >Al_scaffold_0006_1171 pep chromosome:v.1.0:6:5080521:5081598:-1 gene:Al_scaffold_0006_1171 transcript:Al_scaffold_0006_1171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M526] MMTHKLFAPLDLTFSSSSSSSSSSVSLSLQITRESPTNISHLFFVIVGSTKTWRYRRGYIEPWWRPNITKGYVFLERPPGRDLLPWPNQSPPFSVNKESFITNKFKTQIRLFYSLLESFKKASKETRWFVIADDDTLFFLDNLVKALDRYDHKKHYYIGMNSENVWSNAIFAFDMGYGGGGYALSYPTVVTLLSNMEECIKRYLGVYSDLLSFRCLADLGIDLTLEKGMHQIDLHGDISGLLSAHPQSPLISLHHFDVIDPIFPGMTRQQSVNHLMKTDQSRVLQQTICH >Al_scaffold_0006_1172 pep chromosome:v.1.0:6:5083302:5084224:-1 gene:Al_scaffold_0006_1172 transcript:Al_scaffold_0006_1172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M523] MLACFAALVRRFGLFFFYPSALFGLRSSVVCSISGESLNRSIVSKLFPLSDNPIIQAIQNDRYYCFLAPLTLPALLVAVYFHWLSMKLFKHA >Al_scaffold_0006_1173 pep chromosome:v.1.0:6:5099499:5101637:1 gene:Al_scaffold_0006_1173 transcript:Al_scaffold_0006_1173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M528] MQGEELFGDYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIRGDATGAQVVAHELAHSWTGNLITNINNEHFWLNEGFTTYAERRIVEVVQGADRATLNIGIGWRGLTDEMERFKDNLECTKLWNKQEGVDPDDVYSQVPYEKGFQFVLRIERQIGRTAFDEFLKIYIATFKFKSIDANTFLEFLKANIPGIEKEINLQLWTEGVGIPEDAYELASTIYTKIISLAKEFKEGRMPGEDDGAEWNGQEWELYLENLTKSCEPSQVMALDKRYRLAESKDYEVKVSFLQLAVASKCREYHGEDKTEDSRPEKLQKLAEKTPAI >Al_scaffold_0006_1174 pep chromosome:v.1.0:6:5106537:5107459:-1 gene:Al_scaffold_0006_1174 transcript:Al_scaffold_0006_1174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M523] MLACFAALVRRFGLFFFYPSALFGLRSSVVCSISGESLNRSIVSKLFPLSDNPIIQAIQNDRYYCFLAPLTLPALLVAVYFHWLSMKLFKHA >Al_scaffold_0006_1178 pep chromosome:v.1.0:6:5119337:5121227:-1 gene:Al_scaffold_0006_1178 transcript:Al_scaffold_0006_1178 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear factor Y, subunit A1 [Source:Projected from Arabidopsis thaliana (AT5G12840) TAIR;Acc:AT5G12840] MQSKPGRENEEEVNHHAVQQPMMYPADPWWKINTFGVVPQARPSGIPSNSSSLDCPNGSESNDVHSASEDGALNGENDGTWKDSQAATSSRSVDNHGMEGNDPALSIRNMHDQQLVQPPELVGHYIACVPNPYQDPYYGGMMGAYGHQPLGFRPYLGMPRERTALPLDMTQEPVYVNAKQYEGILRRRKARAKAELERKVIRDRKPYLHESRHKHAMRRARASGGRFAKKSEVEAGEDAGGRERGSATNSSGSEQVETDSNETLNSAGAP >Al_scaffold_0006_1189 pep chromosome:v.1.0:6:5172838:5174202:1 gene:Al_scaffold_0006_1189 transcript:Al_scaffold_0006_1189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M549] KEKEKLGRSKKKFEKKRTKKQGINTKKEKKDMHDLFTQKVKKKKKKKKKKKKKKKKPRIIFTRRRTR >Al_scaffold_0006_1198 pep chromosome:v.1.0:6:5206106:5208067:1 gene:Al_scaffold_0006_1198 transcript:Al_scaffold_0006_1198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M557] MSQDTKDVIQALMQLQACPDVICQRHSSLSPEQQLRDHHFHFRSSRGNATNCKVEFQLELGFRERVEKEAKNPKRRRRDGIESKQKKWRSEMDRRDEAGGEAEERKSAEAEEKQRKDREARIGEGEKFGGFL >Al_scaffold_0006_122 pep chromosome:v.1.0:6:507809:508702:1 gene:Al_scaffold_0006_122 transcript:Al_scaffold_0006_122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02140) TAIR;Acc:AT5G02140] MAKSATLFLLCFTISAFLSSSFTDGAQLILVNNCQESIWPGILGGGGQITPRNGGFHMGSGEETIIDVPDKWSGRIWGRQGCTFNQNGKGSCQTGDCNGGSLNCQGTGGVPPATVVEMTLGSSSSPLHFYDVSLVDGFNLPVSMKPIGGGVGCGVAACEVNLNICCPSALEVKRDGKVVGCKSACLAMQSAKYCCTGEYANPQACKPTLFANLFKAICPKAYSYAFDDSSSLNKCRASRYVITFCPPK >Al_scaffold_0006_1229 pep chromosome:v.1.0:6:5361031:5362325:-1 gene:Al_scaffold_0006_1229 transcript:Al_scaffold_0006_1229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13290) TAIR;Acc:AT5G13290] MEQRRRRRRNGCSSNTISLLLFFFLVFFSRTSTSTSCRRRTVKHLSTTSTSSTPLESRITSKVIVVSIVSGILTGLVSALVLAFLVRSIVKYMKQTPILKGPVVFSPKITPKSLHAALGNGIQLLGSDPNGKYYKMVLDNGLVVAVKRLGSLEGIGSPETNSSKSVKRRLQKELELLAGLRHRNLMSLRAYVRESDEFSLVYDYMPNGSLEDVMHKVRTKEVELGWEIRLRVAVGIVKGLQYLHFSCETQILHYNLKPTNVMLDSEFEPRLADCGLAKIMPSSHTAVFCYSAPESSQSNRYTDKSDIFSFGMILGVLLTGRDPTHPFCEESASGGSLGQWLKHLQQSGEAREALDKTILGEEVEEDEMLMALRITIICLSDFPADRPSSDELVHMLTQLHSF >Al_scaffold_0006_1243 pep chromosome:v.1.0:6:5429881:5431000:1 gene:Al_scaffold_0006_1243 transcript:Al_scaffold_0006_1243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5T5] MSLVDYDDSSSDDDVLAAEEKPLPQPQPQPQQRRVSPAQALPPIRQRCEQEEIVEKLPDALLLLESPTLAQVTGGDHASVVAAAMAQNAVRKRDLNGNASSLPRRPKLPRGNLPHSKNYPDTLGNVLVPPQLKGRSNVATEDMSRLFVKKRQDSSKARSPDQE >Al_scaffold_0006_1279 pep chromosome:v.1.0:6:5591227:5591914:-1 gene:Al_scaffold_0006_1279 transcript:Al_scaffold_0006_1279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5W9] MEAESTSSGHRRQKRPRQRRSSEVISYENSVANLSKEGKETLMIVSRRRQLNKIPNTSCTVFDNTCPRYNWLRSGWIAEERLKPHDRLYRYFYDPLGQMYGTRGEVDQIYADIEKSRAIVVFDK >Al_scaffold_0006_1293 pep chromosome:v.1.0:6:5625867:5626170:1 gene:Al_scaffold_0006_1293 transcript:Al_scaffold_0006_1293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5Y4] MPRRSNASFVTPPKPIEAEESESDDSEDSENEEDEEEEEEEEEDEGEDGGEEESE >Al_scaffold_0006_13 pep chromosome:v.1.0:6:42740:43600:1 gene:Al_scaffold_0006_13 transcript:Al_scaffold_0006_13 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 62 [Source:Projected from Arabidopsis thaliana (AT5G01900) TAIR;Acc:AT5G01900] MNSCQQKAMEKLLLGHGCANQLLIMDHTESDSSMEREDLAKSVLHCFSDALSILIDTNDHQDEQSNNSSPQDSSPVLESARKQLHKRGRKTSMAESSDYHRHESSTPIYHDGFLWRKYGQKQIKESEYQRSYYKCAYTKDQNCEAKKQVQKIQHNPPLYSTTYFGQHTCQLHQAYATFPIDTSDPEEHEGSHIIRFGHPATSFFSSTPSLSQHQNQDHIKDDYMKPVIAEEWTPSEWMSSEVAHAVEAFEFNPLWTSHDLSS >Al_scaffold_0006_131 pep chromosome:v.1.0:6:533448:533765:-1 gene:Al_scaffold_0006_131 transcript:Al_scaffold_0006_131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M794] MSPETKTEARILLVTGGRESVALDPVVSRSTALRQRRRIENPVGRNQFPTMHLTVDSSNPLQTSQKIQLSDTPALRRHILTKQYVALGPNPSVPDPNTFKPPEHS >Al_scaffold_0006_1313 pep chromosome:v.1.0:6:5701136:5702300:-1 gene:Al_scaffold_0006_1313 transcript:Al_scaffold_0006_1313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14130) TAIR;Acc:AT5G14130] MDTRRDDATKPMTMWFSGMLLFSMVAESNAQLSENYYASTCPNVELIVKQAVTTKFQQTPTTAPATLRMFFHDCFVEGCDASVFIASDNEDAEKDAPDNKSLPGDGFDTVIKAKTAVESQCPGVVSCADILALAARDVVVIVGGPEFKVELGRRDGLVSQASRVTGKLPEPGLDVRGLVQIFASNGLSLTDMIALSGAHTIGSSHCNRFANRLHNFSTFLPLDPTIDPAYAQQLTKDCSNPDPDFVVPLDPTTTDTFDNSYFQNLVARRGLLTSDQALFNDLSSQSTVMRFANNAEEFYGAFSSAMRNLGRVGVKVGSEGEIRRDCSAFN >Al_scaffold_0006_1316 pep chromosome:v.1.0:6:5716869:5718070:1 gene:Al_scaffold_0006_1316 transcript:Al_scaffold_0006_1316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M607] MESMRSFWQLGDELRGQSKASEDQKWFMAASKLADQTRSKGERSNNLDLSKGPVEARPREKFGFQEENKFETFNFNMMNSELKFNAGYDFYVFGQKHLSGGKFSYNQFTNREGNNFSSTHNNDSMNVAADKRFKTLPASECLPKSEVLGGYIFVCNNDTMLEDLKHQLFGLPPRYRDSVRTITPGLPLFLYNYTTHQLHGIFEATTFGGSNIDPTAWEDKKCRGESRFPAQVRIRVRKLYKALEEDAFRPVLHHYDGPKFRLELTIPETLALLDLCEQAGSP >Al_scaffold_0006_1329 pep chromosome:v.1.0:6:5767761:5770146:1 gene:Al_scaffold_0006_1329 transcript:Al_scaffold_0006_1329 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding storekeeper protein-related [Source:Projected from Arabidopsis thaliana (AT5G14280) TAIR;Acc:AT5G14280] MATPTELDFSSPGGAGDDNTPRKRTSKRTASETVTAEETKKKNKLASPMSNRIWNEEDELSILKGLLDFRAKTGLESKIDWEAFYCFVRGSIHVQVSKDQVLNKTKKLKKKFLNHMEKINRGIDPHFTRSIDSEAFGFSMMIWGKNDAEYTNGATDKTYQNKSDEEMFKKDEEVALIDNGAGKSDFDGKSPPLKAVVVDKITTKNGTAGKEGDDVLCAVRDAFETTMVSQGLSDYQKKLQLEKLMNLGTGKKRELSNEWKALCVEELKLNIKKLRFSAKLAEAANDDDKYSSSSSIVVRVENPISMSINIGEFSIPDLPLFFSMFLTIYLIAYFIVFRNWKPQIRPEASSCLISIFHGTPAVFLATRAVFSSSARSFASANTAAQNTVLDFSVAYFLTDLFHYIVFNPNDVLFIGHHLATLFVFLTCRFLVFHGACAILGLLILAEVTSACQNAWTLAGARKNDPESRLAVKVYDLLSPPFYAFYSIVRGVLGPLFFGKMVAFYARGGAHGVIPNWLWISWAIVVGTAITVSILWIWNLWIELFRQRKAKKIR >Al_scaffold_0006_1341 pep chromosome:v.1.0:6:5814324:5816451:1 gene:Al_scaffold_0006_1341 transcript:Al_scaffold_0006_1341 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 724, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G14400) TAIR;Acc:AT5G14400] MFILQNEGKLFTSDYPKAMHDILGKYSLLLATGEIHRKLKNVIISFINLTKSKPDFLHCAETLSISILKSWKNCREVEFHKEVKMYTLSVMVNQLLSIKPEDPARLYVLQDFLSYMKGFISLPIPLPGTGYTNAIKARKRLSARVMGMIKQREHEEEDMKNAIREEDFLDAIISNEDLNYEEKVSIVLDILLGGFETSATSLSLVVYFLAKSPNLLHKLKEEHEAIRAKKGDGELLNWDDYQKMEFTQCVMSEALRCGNIVKTVHRKATHDIEFKEYVIPKGWKVFPIFTAVHLDPSLHENPFEFNPMRWTDKAKMNKKTTAFGGGVRVCPGGELGKLQIAFFLHHLVLSYRWKIKSDEIPIAHPYVEFKRGMLLEIEPTS >Al_scaffold_0006_1351 pep chromosome:v.1.0:6:5850668:5852097:-1 gene:Al_scaffold_0006_1351 transcript:Al_scaffold_0006_1351 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 85 [Source:Projected from Arabidopsis thaliana (AT5G14490) TAIR;Acc:AT5G14490] MKTLHRTWIVDGPWIARNVKNASVSSALQIKDCEAYINCPNCYYRVDNRNLLTPWPGLPKGVKFEPTDEEVIEHLEAKCGIDGLKPHLLIQDFICSVTQDVGINYTHPQNLPGVNKDGTSVFFFNKTAHAYQNGLRKRRRITPTSLKDESVRWHKTGQTKPVIVNGVQKGCKKIMVLYKSARKGFKPEKSNWVLHQYHLGTEEGEIGEYVVSKITYQQPKQWEKTMDESESSGARGGPTTPKTTTPTQAKPVISVDEDDIAYDDTKMVHDTFAEGQDNIQEASYGSTSDRGAQVAGNLSVIEDNLVSKKIEASSSLVEKNLNYGNADIGSGNFSMTDLENADLGTLPDFFSLASEDSLLNWLGWF >Al_scaffold_0006_1356 pep chromosome:v.1.0:6:5873129:5875083:-1 gene:Al_scaffold_0006_1356 transcript:Al_scaffold_0006_1356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1421) [Source:Projected from Arabidopsis thaliana (AT5G14540) TAIR;Acc:AT5G14540] MNTALFSDKQIMDLMNDNNNSQDGDHHQKHRVGDNGLESNKEAIFPSYDFHPIRPNASVGLSHHALDLAGSVNSTAARVWDASDPKPVSASSARSYGSMDSLEPSKLFAEKDRNSPESAIISAIDRTMKAHADSLLHVMEGVSARLTQLETRTRNLENLVDDVKVSVGNSHGKTDGKLRQLENIMLEVQSGVQLLKDKQEIVEAQLQLSKLQLSKVNQQPETHSTHVEPTAQPPASLPQPPASAAAPPSLTQQGLPPQQFIQPPASQHSLPPPSSQLSQLPSQFSPQQDPYFPPPGQSQPPPTNQPPYQPPPPTQSLHQPPYQSPPQQPQYPQQPPPQLQHPSGYNPEEPPYPQQSYPPNPPRQPPSHPPPGSAPSQQYYNGPPTPPSMYDGSGGRSNSGFPSGYSPEPYPYTGPPSSQYGNTPICETATSEWKWIWCLTTASN >Al_scaffold_0006_1366 pep chromosome:v.1.0:6:5917193:5918794:-1 gene:Al_scaffold_0006_1366 transcript:Al_scaffold_0006_1366 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptide deformylase 1B [Source:Projected from Arabidopsis thaliana (AT5G14660) TAIR;Acc:AT5G14660] MAVCNCFLQAPPLSRLFLPVLSRRATTLSAGYGRLKSTVMFCSTGNRTSPLISPVRAEVKRVSRKDVEVASASDLQFETPLRIVEYPDPILRAKNKRIGVFDQNLKNLVDAMFDVMYKTDGIGLSAPQVGLNVQLMVFNPAGEPGEGEEIVLVNPIIKKYSDKLVPFDEGCLSFPGIYAEVVRPQSVKIDARDITGERFSISLSRLPARIFQHEYDHLEGVLFFDRMTDQVLDSIREELEALEKKYEEKTGLPSPERIQARHKRKAGVGFGKR >Al_scaffold_0006_1377 pep chromosome:v.1.0:6:5982427:5983654:-1 gene:Al_scaffold_0006_1377 transcript:Al_scaffold_0006_1377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6M7] MKKKPDYPLIDLNSSPKPAKRSRVIQTPTSQSQITQTYGAKSNYPLLDLNSSPTTEERVQVGQTSPSQCQITQASCSKTNYPLLDLNSSPKPDKRVQVGQTPTSQSQITQTTICLGKPSGYTPVPEENNCHIRNLQDAFANASFSPTDKADESTVEFTTPTISVSRKSVERKAVTQTINQPKKRGRPRKNVPTKRAAAKAKKTG >Al_scaffold_0006_1378 pep chromosome:v.1.0:6:5995796:5996748:-1 gene:Al_scaffold_0006_1378 transcript:Al_scaffold_0006_1378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M6M8] HRKTRFVDSRQIVKPRCGNPDTIDGVSEMNGGRKLRTTKRYSFFPGKPRWPKRKRDLTYAFVQQNNLTDDVKRVFARAFTQWAEVTPLNFTRSESLLGADIVIGFFSGEHGDGEPFDGAMGTLAHASSPPKGMLHLDGDEDWLISDGEISRRVLPVTSVVDLESVAVHEIGHLLGLGHSSVEDAIFESFR >Al_scaffold_0006_1383 pep chromosome:v.1.0:6:6018156:6019595:-1 gene:Al_scaffold_0006_1383 transcript:Al_scaffold_0006_1383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase [Source:UniProtKB/TrEMBL;Acc:D7M6N3] MEILPIPAESFKVGFIGAGKMAESIARGVVASGVLPPNRISTAVHSNLNRRDVFESFGVNVFSTSEEVVKESDVVIFSVKPQVVKKAVTELKSKLSKSKILVSVAAGIKLKDLQEWSGQDRFIRVMPNTPAAVGEAASVMSLGTGATEEDGAIVAKLFAAVGKILKTDEKMFDAVTGLSGSGPAYIFLAIEALADGGVAAGLPRELALSLASQTVLGAATMVSKTGKHPGVLKDDVTSPGGTTIAGVHELEKGSFRATLMNAVVAAAKRSRELSQS >Al_scaffold_0006_1385 pep chromosome:v.1.0:6:6021765:6024576:-1 gene:Al_scaffold_0006_1385 transcript:Al_scaffold_0006_1385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alg9-like mannosyltransferase family [Source:Projected from Arabidopsis thaliana (AT5G14850) TAIR;Acc:AT5G14850] MEIRKRKNAGGDGDGGASANGGDDPDSISVFSRSPRRIFLFCLAFRVVNAMFIQTYFNPDEHWQSLEVAHRTVFGYGYMTWEWKRGIRSYLHPMVFAFLYKLLQVTGLDTPYIMIKAPRLMQSIFSAIGDLYLYRLSDALYGGNVASWSLFCQMANWFIFFCLNRTFSNCLETVLTIMGLYYWPCIRDSSTDYPVNRKWALAIAALACAIRPTSAIIWLYVGMLELFLTPNKVKFIMLEVIPIGSLVLGFTCLLDRLMYGSWVIVPLNFLKFNFLSSGGDYYGTHPWHWYFTQGFLVMLFTFTPFSITGIFNSKNQKLSALILWALAIYSLLGHKEFRFVLPVLPIALIFSGYAFAQMEVSGSSSTSVTKKKQVPRQNLTKWSPKLRLSVFFLLATNIPMALYMSLFHQRGTEDAMNYLSDEAYKGRVKSILFLMPCHSTPYYSTLHRNIPMQFLDCTPSEEKGQLDESDRFLVNPLGFASELASNWSVPPSHIVLFASEETKLRDFMIQHSFKEVRRFFHAHFKVDRDLQSSVVVYVVNHT >Al_scaffold_0006_1397 pep chromosome:v.1.0:6:6079365:6081928:1 gene:Al_scaffold_0006_1397 transcript:Al_scaffold_0006_1397 gene_biotype:protein_coding transcript_biotype:protein_coding description:DP-E2F-like 2 [Source:Projected from Arabidopsis thaliana (AT5G14960) TAIR;Acc:AT5G14960] MESPAPQVYSRKDKSLGVLVANFLILYNRPDVDLIGLDDAAAKLGVERRRIYDVVNILESIGLVARSGKNQYSWKGFGAVPRALCELKEEGMREKFGIVPYVTKSEMVIYEKEREEAFMLTPDDQEFSPSPKLDNKKERTLWLLAQNFVKLFLCSDDDLITFDSATKALLMESQDMNMRTKVRRLYDIANVFSSMKLIEKTHVPETKKPAYRWLGSKTIFENRFLTGSASSLCDRNVPKKRAFGTELTNVNAKRNKPGCSEDSKRYGKQNTNIVIKQEQCDVKPDVKNFASGPSTPAGTCEMNNVGNNIRPRGRLGDIEALSTLYQPQYCNPALISLFEHYHQTFRSCQEEFGRKK >Al_scaffold_0006_1400 pep chromosome:v.1.0:6:6086072:6088188:1 gene:Al_scaffold_0006_1400 transcript:Al_scaffold_0006_1400 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: myosin heavy chain-related (TAIR:AT2G34730.1); Has 8284 Blast hits to 6001 proteins in 578 species: Archae - 107; Bacteria - 678; Metazoa - 3983; Fungi - 607; Plants - 315; Viruses - 16; Other Eukaryotes - /.../(source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G14990) TAIR;Acc:AT5G14990] MKDIMKEVEGKVKFSMADSTMMLLVQQAMDKAHEKIKTKHGLLLRLNAISIFYELAVIQLESCLSFVRQETDKLESNHEEVVRDLREIKDRLHHRLLETELAILEKDRQLLEMSESQESLRNVLESKETELVHLQDLERKRFHSKIGDFIKEDEFSELKSSVDQQVMNLRQKLETEYDELGGETEDPSGVDIDVLKGTMDLAFNKMHHAIFLSELGPIEQSWRWSIERDSMALLIKGFMNGLEEKMEKVMMVVRDYESGFKDRVCSIRREVECLESQFDQIIIHRSSSPKSCVATAATISSSSSIDYEIGDDKEAKEDGEEEQDSSNFPVSKLIKSHESIIRRKSEELAPPKIESIKRQKSCNSSSSKRAIDDIVAGLDSLMGLNTKLFEQLFDDDDRDRHEHDLEVVMDDNLDDVWMKMQKNNSVFSDNAIEDTEMKLIILEDTYLTLLKGLKADEITNNRKAEEEEEFKQEVNWIIVTELLREVSETVENHEKIEANNKRVIEEEVNRACLEVSLLYDEFDFKIQEKLKMVTLRLQNMEIKIDSTMDSIAELRRKESVYRRAFVLGSDNLRKAETEVDLLGDQVDLLVKLLQKILWTLHRHPLLLCNNSDISEISKMIKKELSSEDS >Al_scaffold_0006_1401 pep chromosome:v.1.0:6:6088597:6088896:1 gene:Al_scaffold_0006_1401 transcript:Al_scaffold_0006_1401 gene_biotype:protein_coding transcript_biotype:protein_coding description:ECA1 gametogenesis related family protein [Source:Projected from Arabidopsis thaliana (AT5G14995) TAIR;Acc:AT5G14995] MKTKQIKVMFFFLSVIMALLCHHQSEAQARKPSPDDCFSSIKKVQGCVDAVKAATKGDFKGLGKDCCHAINGLVDDCFPIIFPGKPYIVAPVKDACVVN >Al_scaffold_0006_1402 pep chromosome:v.1.0:6:6089143:6090380:-1 gene:Al_scaffold_0006_1402 transcript:Al_scaffold_0006_1402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6Q2] MEDLQILAKQWLHQGIEFLQSKETTNFFNATYVLFLAIIMLFLAEVAKLTVLFNRLRDEYSHRGVVTSMEPNESTSVLHTKITMVEKDRHFEWIGKTKPVHLLDVPGHSPKLEEYLPLATTLVFVADAMDFLPNCRAASEYLYDILTNAGVVTNKIPVLLCCNKTDKVTAYTKDFISKQMEKQIEKLRVSRSAISSANDFTLGIEGEVFSFSHCHNKVTVAETSGLTGETDQLQEFIREHARTKMCEHLKEHT >Al_scaffold_0006_1407 pep chromosome:v.1.0:6:6110028:6110371:-1 gene:Al_scaffold_0006_1407 transcript:Al_scaffold_0006_1407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6Q8] MAECRAYFKEVKDTFHDQREKYDMFIRVMSDLRARRIRHYTAFARLKELFKGHNELIIGFNTFLPPGYKITLDDDVEDSFISTARNY >Al_scaffold_0006_1431 pep chromosome:v.1.0:6:6218532:6220913:-1 gene:Al_scaffold_0006_1431 transcript:Al_scaffold_0006_1431 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 6 [Source:Projected from Arabidopsis thaliana (AT5G15250) TAIR;Acc:AT5G15250] MKMASSSSALSLPLSNIPTCNKKSQEFQKPAPLSKSSHTHKPSLKTQTLHHKLTKRNLLSLTALGFTSSLGTVLAHPAKAEPEAPIEATSNRMSYSRFLQHLKENEVKKVDLIENGTVAIAEISIPALGKIQRVRVNLPGLPVDLVREMKEKNVDFAAHPMDVNWGAFLLNFLGNLGFPLILLGSLLLTSSSRKTPGGPNLPFGLGRSKAKFQMEPNTGITFDDVAGVDEAKQDFEEIVEFLKTPEKFSALGAKIPKGVLLTGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNRAKANSPCIVFIDEIDAVGRMRGTGIGGGNDEREQTLNQILTEMDGFTGNTGVIVIAATNRPEILDSALLRPGRFDRQVSVGLPDIRGREEILKVHSKSKKLDKDVSLSVIAMRTPGFSGADLANLMNEAAILAGRRGKDKITLTEIDDSIDRIVAGMEGTKMVDGKSKAIVAYHEVGHAICATLTEGHDPVQKVTLVPRGQARGLTWFLPGEDPTLVSKQQLFARIVGGLGGRAAEDVIFGEPEITTGAAGDLQQVTEIARQMVTMFGMSEIGPWALTDPAVKQNDVVLRMLARNSMSEKLAEEIDACVKKIIGDAYEIAKKHVRNNREAIDKLVDVLLEKETLTGDEFRAILSEYTDQPLNTDDRVRIKDLISV >Al_scaffold_0006_1433 pep chromosome:v.1.0:6:6225249:6226065:1 gene:Al_scaffold_0006_1433 transcript:Al_scaffold_0006_1433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7B0] MGQSCFFKVLLVSSLILLIFFSTAMGRNLKTAKLSGVYNTAELFPSREEDIVRKTIELMDYSPPKSNTNWSGFVASPPPQSPPLS >Al_scaffold_0006_1443 pep chromosome:v.1.0:6:6269082:6272488:1 gene:Al_scaffold_0006_1443 transcript:Al_scaffold_0006_1443 gene_biotype:protein_coding transcript_biotype:protein_coding description:domains rearranged methylase 1 [Source:Projected from Arabidopsis thaliana (AT5G15380) TAIR;Acc:AT5G15380] MTETSYGPAETTSPNFIQKGISDEAVASFIEMGFSSEMIARAIEETGGANSEPRMILETLFNYSASTEASSSKSKVIDHFIGMGFPEENVIKAIQEYGDENIDDIMNALLTYAEVDRLGETKDVNINTTDDDDNLYSLSSDDDEDELNNSSQEDSILQALIKMDYSREEAAIAIERCGEDASVEEVVDFICAAQMARQFDEFFAEPDKKEPMNNSKKRRTYNEPPRRERKPNTAMANDQLIYLPKEMIGFGVPNRPGLMMNRAVPVPDIACGPPFFYYENVAMAPKGVWATISSHLYDILPEFVDSKHFCAAARKRGYIHNLPIQNRFQIQPQPHNTIQEALPLTKRWWPSWDERTKLNCLLTCIASAKLTNRIREALEKYDGEPPLQVQKQVMYQCKKWNLVWVGKNKLAPLEPDEMEKLLGFPRDHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKPLFPNGIKVLSLFTGIGGGEVALHRLQIRMNVVVSVEISEANRNILRSFWEQTNQKGILREFKDVEKLDDNTIEQLMDEYGGFDLVIGGSPCNNLAGGNRHSRVGLEGEHSSLFFDYCRILETVRHKARQMRK >Al_scaffold_0006_1462 pep chromosome:v.1.0:6:6349471:6350191:1 gene:Al_scaffold_0006_1462 transcript:Al_scaffold_0006_1462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7D9] MDETQKTIKLVNHTERNPVLSPFSVKNDNESNIEEMIKLNCKEQDISNVIKSKQEEKATVEDDDDDVKEIIQDDISICCRSEASNNSISSFTFPILHNEEDGSVTMPSLETIWNVYDNQLFSELSQPPKQPQGPQPQQQFYFIPSTQTLPQLPPQKKSLLSKQTSETQSQKVFINRWFSCFNFIIHFDVIDSRSRRRKKRKD >Al_scaffold_0006_1501 pep chromosome:v.1.0:6:6499887:6501841:1 gene:Al_scaffold_0006_1501 transcript:Al_scaffold_0006_1501 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15940) TAIR;Acc:AT5G15940] MVLFWSIALVSSYLQLLRARVFGSKATPISCSINPHNGSSRPICVITGATSGIGKATAFALAEKGFYVVLVGRSSQLLSETLKEIKNKNKDAQLKSFEADISSFESIFKFKNSLEQWLSDSELHPSIQLLVNNAGILATSSRPTIDGYDRMIATNYIGPFSLTKLLLPLLKNSYVPSRVVNVTSFTHRSAFIQKFNKDSVTGVCFSTSNQYPCARIYEYSKLCLLLFSYELHRQLRLLDDSRHVSVIAADPGFVKTNIMRELPCYITSMVFLGFRILGLLQSPDDGAESIIDAALSTWETSGAYYFGGKGRTIESSQVSRDPRLAKQLWEISCDLFNDLHLHLLHQNN >Al_scaffold_0006_1509 pep chromosome:v.1.0:6:6538866:6541141:1 gene:Al_scaffold_0006_1509 transcript:Al_scaffold_0006_1509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7I8] MALRGDEPEFMNLREWDRRARLIRENPTSRRFSASYIGSFREDHHKSSFRTNFNNISSTASSPGYTLKEEIDPSTYSFTYALKALQAKTMYNNREWLAQEGFALNSKWNEAEKYICNPLSGEVPMECLSAKTLSARSFRNLSTMSAPLQHFPNPNPLMNNIGQNKPNNNPNVRVIHEDLYAPDPVLLARAEKKVVGVKRDVGIQSTTSVDLSSGSPSPAKTPSIMERPLKRHVEADDPPLDFNLKLKGQQEDVKLGEKEKEEEKQDMSNEEDEGEEEVEEEEKQDISKEDEEGEQQEEKTKKKKRGTGCFSWVRTRQRQARKSKYIFPICVPHLVKGC >Al_scaffold_0006_1511 pep chromosome:v.1.0:6:6544798:6546196:-1 gene:Al_scaffold_0006_1511 transcript:Al_scaffold_0006_1511 gene_biotype:protein_coding transcript_biotype:protein_coding description:general regulatory factor 5 [Source:Projected from Arabidopsis thaliana (AT5G16050) TAIR;Acc:AT5G16050] MSREENVYLAKLAEQAERYEEMVEFMEKVAKTVDTEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEDSRGNSDHVSIIKDYRGKIETELSKICDGILNLLEAHLIPAASLAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLVAYKSAQDIALADLPPTHPIRLGLALNFSVFYYEILNSSDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLNDETSDDIKEAPKEVQKDEEQAQPPPSQ >Al_scaffold_0006_1513 pep chromosome:v.1.0:6:6549341:6552981:-1 gene:Al_scaffold_0006_1513 transcript:Al_scaffold_0006_1513 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein [Source:Projected from Arabidopsis thaliana (AT5G16070) TAIR;Acc:AT5G16070] MSVRVLNPNAEVLNKSAALHMTINAAKGLQDVLKSNLGPKGTIKMLVGGSGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVIFIGELMKQSERCIDEGMHPRVLVDGFEIAKRATLQFLDNFKTPVVMGDEVDKEILKMVARTTLRTKLYEGLADQLTDIVVNSVLCIRKPEEAIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCHILTCNVSLEYEKSEINAGFFYSNAEQREAMVTAERRSVDERVKKIIELKKKVCGDNDNFVVINQKGIDPPSLDLLAREGIIGLRRAKRRNMERLVLACGGEAVNSVDDLTPESLGWAGLVYEHVLGEEKYTFVEQVKNPNSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTIEDDCVVLGAGAFEVAARQHLINEVKKTVQGRAQLGVEAFANALLVVPKTLAENAGLDTQDVIISLTSEHDKGNIVGLDLQDGEPIDPQLAGIFDNYSVKRQLINSGPVIASQLLLVDEVIRAGRNMRKPTA >Al_scaffold_0006_1537 pep chromosome:v.1.0:6:6655102:6656244:-1 gene:Al_scaffold_0006_1537 transcript:Al_scaffold_0006_1537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M832] MSRKRCMRMESLLPHHVVERILESLEVNSLLRFKAVSKQWKSTIESQFFQGKHLTHRLQSGDSDVLMVAVHNDDPLHVETLKTLVLGSSSSVKIPTPWEENDKDRYYFVSYNSCDGLVCLYQPKEPGFVVNPTTRWLGFGKDIFTATYKPVWLYTSTEIGIEETATTCEMGRFIGSPRPSAKKPKLYLSIFTPKLISKAPFVNSDPYEIVMCNLDNRLCVSEMKWPTQVIWSFLVQFRQQDMGQNHSIDMVINVDRRNNLRFVVVPLASLKKKKLLCHDRVLCPKLFTHDPETKEDDVAFSAANSIGLPVCYFPSLISIL >Al_scaffold_0006_1542 pep chromosome:v.1.0:6:6669526:6670339:-1 gene:Al_scaffold_0006_1542 transcript:Al_scaffold_0006_1542 gene_biotype:protein_coding transcript_biotype:protein_coding description:NC domain-containing protein-related [Source:Projected from Arabidopsis thaliana (AT5G16330) TAIR;Acc:AT5G16330] MGSHFLEKMGFISNQISRDKLKAGDHIYSWRNAYIYSHHGIYIGDEKVIHFTCGGGLETGTGTFLDKILVSVIPNHKGDNPCPNCGERSNVDGVISSCLDCFLSGGNIYLFENSVSPAAFIAKPRRGTCTIAPSDPCDEVISRAKYLLFRNGFGDYHALENNCEDFAIYCKTSLLVGKNYVLGRGGQASSVSAAAWLAQLSPFGSKAIQLFADIGVRKDAIRVPVESLVARAYATGTSRNRS >Al_scaffold_0006_1549 pep chromosome:v.1.0:6:6697394:6698907:-1 gene:Al_scaffold_0006_1549 transcript:Al_scaffold_0006_1549 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein [Source:Projected from Arabidopsis thaliana (AT5G16410) TAIR;Acc:AT5G16410] MIIHSSSSLHGSKFLNRFRYHNRSVTRSEARGFNVTTTRKEVVVAAPPLLSVPENQIIPLSNLDLLLLPVDINVCLFYKKPLNDLTNNDALKTALAEALASYIAKFVPMKKHGVFTIQVTELKCGSLVVGCTFDHRPTTTFLIIDSSIDQLYMPITSLTVPQEITNPDNILTSRIYYIKADVLEKLQTLASNGKRTKLESFSAFLWKLLAKHAATDSVLLTKNSKLGIVVDGRKKLMEQEENCNYFGNILSVPFGEQKIDDLIHKPLSWVTDEVHKLLESTMTKDHFLNLIDWIETRRPIPVISRIYGTGFNDGPAFCRPRFLRHDKTE >Al_scaffold_0006_155 pep chromosome:v.1.0:6:664000:666227:-1 gene:Al_scaffold_0006_155 transcript:Al_scaffold_0006_155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 (Hsp 70) family protein [Source:Projected from Arabidopsis thaliana (AT5G02490) TAIR;Acc:AT5G02490] MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPVNTVFDAKRLIGRRYSDASVQSDRQLWPFKIISGTAEKPMIVVEYKGEEKQFAAEEISSMVLIKMREIAEAFLGTTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFDGADFYSPITRARFEEMNMDLFRKCMEPVEKCLRDAKMDKSTVHDIVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTTLIQRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGKKNKITITNDKGRLSKEDIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIRDEKIGEKLPAADKKKVEDSIEEAIQWLDGNQLAEADEFEDKMKELESVCNPIIAKMYQGGAGGEAGGPGAAGMDEDDAPPASGGAGPKIEEVD >Al_scaffold_0006_1558 pep chromosome:v.1.0:6:6717646:6719991:-1 gene:Al_scaffold_0006_1558 transcript:Al_scaffold_0006_1558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16500) TAIR;Acc:AT5G16500] MIIMMNCFPCFTSQKSRNPPCTTNDTNENVEHDEFRPPVAATTKRIEERETEQTPLKTFNFRELATATKNFRQECLLGEGGFGRVYKGTLQSTGQLVAVKQLDKHGLHGNKEFQAEVLSLAKLEHPNLVKLIGYCADGDQRLLVFEYVSGGSLQDHLYEQKPGQKPMNWITRMKIAFGAAQGLDYLHDKVNPPVIYRDLKASNILLDAEFYPKLCDFGMHNLEPGTCDSLFLSSRVMDTYGYSAPEYTRGDDLTVKSDVYSFGVVLLELITGRRAIDTTKPNDEQNLVAWAQPIFREPKRYPDMADPLMRKNFSERGLNQAVAITSMCLQEEPTARPLISDVMVALSFLSMSTEDGIPTTVPILSFRDKSMSIALSRHGSCSVTPFCLSRKDEDKKSSSSSDSEDEEEEKEGKEEKEEEESMRSKKKQEQEETATDSDDGSDSNSVKGQEEEHSQLEKARESCSSSSDSGSGRRSIDETNATAQSLKIKYSYSSEEEDNEKLSSKSSSTSNEESTFSRYDSDRDHDDSSRNTSMRINSLAHDDNEEDEEENHETRSYTDHDDSPRNTSMRINSLAHDDDEEDEEENHETRLEHIHSSKSEDQSVYSDDDAGEASGESSLHRIEAEEEEHISSDHD >Al_scaffold_0006_1565 pep chromosome:v.1.0:6:6741533:6745386:-1 gene:Al_scaffold_0006_1565 transcript:Al_scaffold_0006_1565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M861] MSMEGVFLEKTKSNTTTTLPDLSLHISLPDIHQYHHNESSKESSRRSSQPEHNNRSSNFELSLSHHNHPTTRIFHCPDRRTLNLPHQQHYYNPIINGGSLHHQRVDESEISDLHRPIRGIPVYHNRSFPFHQQNSPSSSLPSLGGGDLDQISILNSSSGYNNAYRSLQSSPRLKGVPLHHHHHHNHYGSVVGSSDSSSPHHHNHHHHHHGMIRSRFLPKMPTKRSMRAPRMRWTSSLHARFVHAVELLGGHERRGDKSSHHYSMIIIQSFYVLLLNLLSDINLVMYRTVKTTNKPAASSDGSGEEEMGINGNEVHHHQSSTDQRAQSDDTSLHQEIDISSTQPRWSNSSRETWPSSNNCSSDIDTMIRTSSTSMISHYQRSSLQNQEQRSNDQAKRCGDLSCNNPSLEFTLGRPDWHEK >Al_scaffold_0006_1575 pep chromosome:v.1.0:6:6835693:6836750:-1 gene:Al_scaffold_0006_1575 transcript:Al_scaffold_0006_1575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M871] MASCIAAAALSLSGGSQSHYVKANGLSTTKLNSICKTSALPIHKKSNRTRKFSVSAGYRDGSRSESSGDFIAGFLLGGAVFGAVAYIFAPQIRRSVLNEEDEYGFKKPQQPTYYDEGLEKTRETLNEKIGQLNSAIDNVSSRLRGREKNTSSPNVPVETDPEVEATT >Al_scaffold_0006_1601 pep chromosome:v.1.0:6:6949438:6952512:1 gene:Al_scaffold_0006_1601 transcript:Al_scaffold_0006_1601 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:Projected from Arabidopsis thaliana (AT5G16930) TAIR;Acc:AT5G16930] MAQKLAIGVISALAASASLAPSKFAAADGPFTFSGFSTSPSASIPQQQGSSPPAPESGKEPAVAGEESDAPPRIRNNNPRTTSAGFDPEALERGAKALKGINNSAHAKKVFESIKTQEETRQAEFTAKAQEFKAMQSQAEAERQRVIYEEQKKLAQHQAQTKSQMARYEDELARKRMQAENEAQRTRNQELVKMQEESAIRREVARRATEEEIQAQRRQTEREKAEIERETIRVKAMAEAEGRARESKLSEDVNRRILVDRANAEREKWVSAINTTFDHIGGGLRTILTDQNKLIVAVGGLTALAAGIYTTREGAKVIWSYVDRILGQPSLIRESSRGKYPWSGSASRVLSTLRGGGKESTSKNGKGFGDVILRPALEKRIEQLANATANTKAHQAPFRNILFYGPPGTGKTMAARELARRSGLDYALMTGGDVAPLGAQAVTKIHQLFDWSKKSKRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVSDRIDETLEFPLPGEEERFKLLNLYLEKYISKANLKKPGLLQSIFKKEQQKIEIKGITEDLLKEAAAKTKGFSGREIAKLMASVQAAVYGSANCLLDANLFREVIDYKVAEHQQRKKLAGADAGNKK >Al_scaffold_0006_1605 pep chromosome:v.1.0:6:6957623:6959101:-1 gene:Al_scaffold_0006_1605 transcript:Al_scaffold_0006_1605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G16960) TAIR;Acc:AT5G16960] METMINKQVVLRDYVTGFANESDLVITSTTVDLRVPKGSMTALVKNLYLSCDPYMRNRMRKPDPLSPATAQSFTPGKPISGFGVSKVIDSGHSDYEEGDLLWGAVGWEEYSVITPIPNLHFKIHHTDFPLSYYTGLLGMPGMTAYVGFYEICSPKKGDTVFVSAASGAVGQLVGQFAKMMGCYVVGSAGSKEKVDLLKNKFGFDDAFNYKEEHNLIAALKRCFPEGIDIYFENVGGKMLDAVILNMRPHGRIAACGMISQYNLKNPEGIYGLSLITYKRIRIEGFNCFDYFDKYSEFLEFVVPYIREGKITYVEDVADGIESAPAALVGLFHGKNVGKQLVVVSPS >Al_scaffold_0006_1612 pep chromosome:v.1.0:6:6992368:6992574:-1 gene:Al_scaffold_0006_1612 transcript:Al_scaffold_0006_1612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWW5] MDENSPADINFSNEVKDALDEGAYGDNKFGLTLILNAQLRRSCGKDRSSLLKRSKKCGKCGRGGQGSR >Al_scaffold_0006_1615 pep chromosome:v.1.0:6:7000199:7002709:-1 gene:Al_scaffold_0006_1615 transcript:Al_scaffold_0006_1615 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein phosphatase 4 core regulatory subunit R2 (InterPro:IPR015267); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other /.../otes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G17070) TAIR;Acc:AT5G17070] MENPSSSETSEISSVIHPNDGVQRQDHAVLPEVLEHRGAEQIADMSAEEVKSTLEAVASTGKFWQDWEKLKGTLSYWLKKVLSEYSEAKMTDEQQKEALGETYSELVSRLDEALLSFDEGPPFTLQRLCEILLAARSIYPKLSKLALALEKNLLVTSMLAISTDPQSQTVDDPNTATTDTITTAESCAPNGIDAMGGDKDEIMTEVEEADVDDAMTIDMETIDEPSETMTTTSENETLSENTAAQPSSDSMAAEEGDSRLPSTCA >Al_scaffold_0006_1616 pep chromosome:v.1.0:6:7007200:7008905:1 gene:Al_scaffold_0006_1616 transcript:Al_scaffold_0006_1616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWW9] MEEDDYGGGGIGAGGSHKGKKEFGDGSRLIFDLTGTHLRLLGRIVEQSQDDCWARVLRKILEFAYNRNIENVAEQIPLSMKGLINKVKAGREIYETGKRLGKRQDLTIDSLKKPIDYIREKGLEKDFGRTKENMFRVRGSFTTKPNATPNDIRDMLLNHGVVGIYVYMEENFSELKEEIYTLNIPQGAILDKKKHVLIIVAYGLTRDDKIFFLAQNTWGKEWGVGGYGRIIVADTCSIFYVDGLLK >Al_scaffold_0006_1617 pep chromosome:v.1.0:6:7010498:7030854:-1 gene:Al_scaffold_0006_1617 transcript:Al_scaffold_0006_1617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWX0] MTSANNELTCSTTGTSAKEEEVVSKVDKEDDESSSDSDGSDSEWAGYNWLPETEPEWDVDSFDGHEFKINPRVRKMYSSQELYDKYYNNRLKAFESKGFLPDHLNGIYNVYLDEKMDGYNTTRDFMVKLANVCVKKHNETKKKTLELVNVVRATERGAAAWRFYITFMAREYRDGPLVEYQAKVIKFLGQKDPFPVLCRPSPKPGI >Al_scaffold_0006_162 pep chromosome:v.1.0:6:694744:698626:1 gene:Al_scaffold_0006_162 transcript:Al_scaffold_0006_162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:D7M7U6] MDSGTKVKKGAARRRSGGGHKKKPETGFIVERSLFERVGTGAPVYLVAVLEYLAAEVLELAGTLQELTKRTVAVSNDEELGTLLKGVTIAHSGVLPNKNPILLPKKSEKAATKQSQVTIKGYQIS >Al_scaffold_0006_1633 pep chromosome:v.1.0:6:7108307:7113588:1 gene:Al_scaffold_0006_1633 transcript:Al_scaffold_0006_1633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein prenylyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37130) TAIR;Acc:AT5G37130] MVDGEVETLRGYELRLLRCTVSLTQPDPPLLSQSGVHPHDSLIRSLLSSIEAGNYLGALASDATKLILGDSEFDLVDSVDSAERVYSQLLDKVESFVVNDSSDEIDKARRAVLVMCLAIAAALWFTRCNLTGSTEGSTNSVPFKDLVEWENWAKIQLMSAGSDLLGKFSNLQHLVFARLLLLKLKDLLFETTATETFELRSISWWLVRVLLIHQRVLHERSSSLFEMLQVYMAEALDHFGALEKVKSYWGSKLLEDEASSITSTIHLEACVLQYIYGRIDPSRLQLESAKAAAGLEFSVTGALGFRTIHQVVPKAQMVLVANTSSSNGDVRLASEKADVGPYGAWEGETPQVFMTPKLVNNESESGKDSVPLKPVEQALILAQCLLIERGSRHDAMQSWDMAPYIEAIDSQKSTYFVLRCFCDLLRVRWESSRYRTRERARDMMDKLVGAISKSDPGVSNRIPLCYAVYLPTIPALRKEYGELLVSCGYAGEAITIFESLELWDNLIYCYCSMQKKSAAVHLIKARLHERPNDPRLLCSLGDVTINDSCYEKALEVSNDKSVRAKRALARSAYNRGDFEKSKILWEAAMSLNSLYPDGWFALGTAALKARDVQKALDAFTFAVQLDPDNWQAWNNIASLRDSWQIWENFSHVAMDVGNTDKAFEAIQQVLKLSKNKKIDVVLLDRIMTELENRNSACKSSSIGRTGDRPRSTVETIAQEYGNHAGDYDSVWVDLIDLPISSLSIEIEASSDELTETKQCAATPAETQRHLELLGEIIQQGSEVWKDDDRFKKFARALLELCRVYMEMSVSTGSRRELLSAEMHLKNTIKQARESFPDTEELKEFESCLEEVRNVMQKTEENRRNTKTCGVF >Al_scaffold_0006_1646 pep chromosome:v.1.0:6:7185971:7187036:1 gene:Al_scaffold_0006_1646 transcript:Al_scaffold_0006_1646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17390) TAIR;Acc:AT5G17390] MARSLKKSAKLSLRRVRINSPSIRFKPDSSSIERDQRIEFLGENGDDAGSGEEETKEENNESEEVEDKEEEIRVKVIVEEEEDKEQDDGKSFNNGCGEGKKEAVAAVEAVEEEAEGGNRVMVVVDKALASTGALEWAITHTLQPQDTLFLLYFAKPFRKSKRKNRKREVKTDELVHTLKKLCQTKRPGIEVEIRRLEGKDKDKGQKIVEEAKKQEVSLLVVGQEKKPPVWRLLKRWAWKRRRGHGGVLKYCLENASCMTIAVKPKNRRLGGYLITTKRHKNFWLLA >Al_scaffold_0006_1650 pep chromosome:v.1.0:6:7201626:7204759:-1 gene:Al_scaffold_0006_1650 transcript:Al_scaffold_0006_1650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17430) TAIR;Acc:AT5G17430] MNSMNNWLGFSLSPHDQNHHRTDVESSTTTTAVDVAGEYCFDLAAASDESSAVQTSFASPFGVVLEAFTRDNNSHSRDWDINSGACSNIHNNEQNGPKLENFLGRNTTIYNTNKNVGDGSGSCEGPGGRDGGGGSLGLSMIKTWLSNHPVANVNYQDNGNGARGLSLSMNSSTTCDSNNYNNNNDVAQENTIVDVVEATPKKTIESFGQRTSIYRGVTRHRWTGRYEAHLWDNSCKREGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGTTTTTNFPMSEYEKEVEEMKHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDIAAIKFRGLSAVTNFDMSRYNVKAILESPSLPIGSSAKRLKDVNNPVPSMMISNNVSESGNNASGWQNAAFQHNQGVDLNLLQQQQERYVGYYNGRNLSTESSRVCFKQEEEQQHFLSNSPSLMTNVDHHISTSDDSVTVCGNVVSYGGYQGFAIPVGTSVNCDVFTAAEIAYNARNRYYYAQQQQQQQIQHSPGGDFPVAISNNVSSNMYFHGEGGGEGASTFTVWNDA >Al_scaffold_0006_1667 pep chromosome:v.1.0:6:7256296:7258126:1 gene:Al_scaffold_0006_1667 transcript:Al_scaffold_0006_1667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT5G17580) TAIR;Acc:AT5G17580] MRTVSYVSDLHINVKGVPFHLCKEMLAKRSSKVSSLLERNEIDELRLILRDLEVDPETFELVERFCNGCEFKFTSDTIVSVLCIAYYLGMNEEQSSNNLLGKASEFLEQRVLPSWSETVNALRSGDKSFDKLTDVGLVDLFFDSLIEKASYDPRLLGELIKNRVETDDYRPNPRRRLFVIDWKSEDLITIPLRLYEPLMIRAIKSRSIPVEYIVLSVCKYAKKWVFDAEESLSGQKREAIEAVERLLPHQRGLISCELLFESLKHSIWLEANSECRNGFMIRICKQLDMAKSTDLKILSRGYGEKAEGYENIELVKTVVKSFYTYYANEDSENVSHFVKVAKLSEEFLFLAASEASLKLEAFVELAEMTVAISQGILSYSDGIYRGIDEFLESHGYLTESEKMEVCKVLECSKLSQEGCERAARNQKLPVRIVLQVLFVSQLQIRDTVAKEIKGMEEKVDEEEEEIGVSSDEDEMEKMSNKLLGLEIENDECVVHRWKKTKKKKISVWGQVKRKFGCLTSSSYSVDACTCDVKKKKKKKIHHHHYK >Al_scaffold_0006_1678 pep chromosome:v.1.0:6:7295168:7297148:-1 gene:Al_scaffold_0006_1678 transcript:Al_scaffold_0006_1678 gene_biotype:protein_coding transcript_biotype:protein_coding description:like heterochromatin protein (LHP1) [Source:Projected from Arabidopsis thaliana (AT5G17690) TAIR;Acc:AT5G17690] MKGASSVKKKTQLFNEAGEADTVMETVGESRKISGDGGFFSDDGGGGGGELILREMGDDRRTEDEDEEEDEDEDDGGDEEDEEGEGRGGKEERPKLDEGFYEIEAIRRKRVRKGKVQYLIKWRGWPETANTWEPLENLQSIADVIDAFEGSLKPGKPGRKRKRKYAGPHSQMKKKQRLTSTSHDAAEKSDSSTSLNNSSLPDIPDPLDLSGSSLLKGDGEGKNAYVSNQVKANSGSVGMVRQLRLIEDEKEYDPTLNELRGPVNNGNGAGCSQGAGIGSEGDNVRPNGLLKVYPKELDKNSRFIGAKRRKSGSVKRFKQDGSTSNNHTAPADQNLTPDLTTLDSFGRIARMGNEYPGVMENNNLSQKTKIEELDITKILKPMSFSASVSDNVQDVLVTFLALRSDGKEVMVDNRFLKAHNPHLLIEFYEQHLKYNRTP >Al_scaffold_0006_1681 pep chromosome:v.1.0:6:7313968:7314592:-1 gene:Al_scaffold_0006_1681 transcript:Al_scaffold_0006_1681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LX38] MAPFVEVCRYKPVPLSLSSLCTCHCRSTQRKSLLLHHFSEKYLNLRLVDPKPLSHSRCNWISVKRRVITAVARAESNQIGDDGNSKEEHGRDQELQNVEEDSSFDSQEQKSRSQFKKRVV >Al_scaffold_0006_1683 pep chromosome:v.1.0:6:7318970:7320956:-1 gene:Al_scaffold_0006_1683 transcript:Al_scaffold_0006_1683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LX40] MNIEKLVSTVSIRSITTKKRISLPDTILETDFSNTFFGTGRAFAHRCRELSPPRRSTLKGFIFWLSAFSCFLLFRLSSLPFYPKSFMTALPSSLLLFGTSSPLNLFAILVDDPSSRLSLKSRSASPCSSMDGSSASTPELWKHQTMGESTSADRASSSASFDWVDLFSEAPKSVAGQPKSVLPPPLLVRMRRRTWLNLMVPRFGPSPSPFCPPSSTLPKLSKPTKTSAGLSSCHLRPRSDFNYVLQSPNFLKLLLFRESNERFPVMVMMDLAWISSSLSQNLVDSLSRLVRLLHRLSLVLIRLEVISLWKCPRVLLPVPSIINFLSSSLPLAPSNSVLAGNGRHANRVMVCLGWLDSYLCRDQMLSLLWTLSKTLLPNHMQLAFRVKKTGIMTLSLRSRCYRSFFNSLPTHSPIIEFSHVLIYCLDNLQSSGRLEKYGIMTMSSRGGYRLFFNLVNPSASSAEHLSKSSDALFIHQLPKRVKKNGIMIPSLRSGGYRSFFNSLSPPPLKTKLIHVQIKEFRYNTQTFNSLKKNGIMTPSPRRGGYQSFSNLFYPTASSVEHFLKSSFALFARAVYDHPLVEDFAKPVFMVESAMASKDSSNFANLFKMSIILENSWSLYLYSYCISLATTCMNFPLLRF >Al_scaffold_0006_1686 pep chromosome:v.1.0:6:7332526:7333051:1 gene:Al_scaffold_0006_1686 transcript:Al_scaffold_0006_1686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LX43] MCEGLMAWRQQMEMPPTEYISRFQSLDQQIQIVDDVLDDALVQVVSPLDLILLRVYMKVLGWRRNLLMHYCFSPMTLLDLHDEDTYRVSIIFESHHPWSLFKYDSDRILHNIIESALAMMARLLSKVGANLSRTCLPDTRRFE >Al_scaffold_0006_169 pep chromosome:v.1.0:6:716291:719345:1 gene:Al_scaffold_0006_169 transcript:Al_scaffold_0006_169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor family protein [Source:Projected from Arabidopsis thaliana (AT5G02630) TAIR;Acc:AT5G02630] MTILPFFAAVVVLQFFSSLTVAEIKSLTISNDSRPIILLEKFGIIEIGHVTVSVSSVSVSSPSSSPVPDSSKLGFLVLSEESLPEVLLELQQNFSFCVLDSHYILHFFTFIDLSPPPRSQFNKSYLITSPSDYSLFFANCVPETRVSMKVRAEIYHNLDPNGSNSKDYLLAGSTQLPGLYLVFSLCYLSFLCFWFCFCWNNKQIAKRIHLLMTVLLLVKSLTLICAAVYKHYVKVTGTAHGWNIVFYIFQFISVVLLFTVIVLIGNGWSFLKLKRHGKEKKLLMIVVPLQVLANIASIVIGETGPYTQDWVIWNQIFLLADITCCFVIVFAMVWSMCCLRETSKTDGKAVKNLAKLPVFRKFYVLVIGYLFFTRIVVVVVKMKTDFRYQWLSNASEEIATLAFYSLMFYMFRPMEKSEYFDVDNEEETAELSSR >Al_scaffold_0006_1692 pep chromosome:v.1.0:6:7352918:7355724:-1 gene:Al_scaffold_0006_1692 transcript:Al_scaffold_0006_1692 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (Ran-binding) family protein [Source:Projected from Arabidopsis thaliana (AT5G17790) TAIR;Acc:AT5G17790] MNNSTRLISLFSPHPPPLSLLRGIYISRITNLRRFHRRAFPPSSVGSTNRCSSRPFVSLPPLIPTFPIRRLYTHQVRVSAADFVPSYHHHQLPEWTELLQSLSQTGYFSDSGSISGSESEFFPGFPDELLRPALACLSLARDRPELLEMLSKRDVEVVVENGKPFLFRTGPDSLKRLSLYLRSGRQGIGKMMDMDKASTVDLMRLLLSYVVDVASSEESKHHNQEIMESSVRNLLSQIAKMSFGTPESNVHGTMHNQFTDRDGQAVRSFQKNIEMKRGDWICSRCSGMNFARNVKCFQCDETRPKRQLTGSEWECPQCDFYNYGRNVACLRCDCKRPRDSSLNSANSGYSNDPELERRLVENEEKAQRWFSKVAQGGSDADSVDTDEDFPEIMPLRKGVNRYVVSTRKTPLERRLANTEYSVATDGNSNGNDGKALGSKTNRSLNEILGSSSSLASRSDDKNVSSSRFESSQGINTDFVPFVPLPSDMFAKKPKEETQIGLTNNNNEMDGVSGGNQNVYQEDKSDANLSGKENSLEKDGQESEEPARWFKRVTELHNVSDLESTIPQEISPDKMSMREGENRFVVSRKKDRSLTSPAYKRPSQDSDFVPFVPFPPDYFAKEKQPKEPIDTVPAPATVNISKVVQQEPREPSSNKSETVAGSIRNGKSLEGSLVKEPDLLDMSEEAKAERWFKRVAEIKNISELSQIPDEDFPSIMPMRKGVNRFVVSKRKTPLERRLTSQRHQRNPQITDSDPTVKGDK >Al_scaffold_0006_1694 pep chromosome:v.1.0:6:7373193:7375408:-1 gene:Al_scaffold_0006_1694 transcript:Al_scaffold_0006_1694 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 12 [Source:Projected from Arabidopsis thaliana (AT5G17810) TAIR;Acc:AT5G17810] MDQEGASHSPSSTSTEPVRARWSPKPEQILILESIFNSGTVNPPKDETVRIRKMLEKFGAVGDANVFYWFQNRRSRSRRRQRQLQAATAAAVTSIGAEDHQHMTAMSMHQYPCSNSEIGLGFGSYSNSSASYFLNGSSSSQVPSFFLGLSSPSGEYESNNGMENLFKMYGHESDHQQQHHSSNAASVLNPSVQNSNFQYQEEGCMTVFINGVPTEVTKGAIDMKAMFGEDSVLLHSSGLPLPTDEFGFLMHSLQHGQAYFLVPRQT >Al_scaffold_0006_1696 pep chromosome:v.1.0:6:7386678:7393522:1 gene:Al_scaffold_0006_1696 transcript:Al_scaffold_0006_1696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma-membrane choline transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G17830) TAIR;Acc:AT5G17830] MDITTIAPCSHLSRDSRGSLDLCVTKEIEQAVTPPVVQQPQPVTPPAAQQPQPQQQTLTGRFFRCLFTCIFYTQLTLISIFVILLTLRGLVCTKTPNFHPKKWYTPLLSSVAVSGILSVAWNCFFVCNIRATVKATFWFSPLLTLSVGLFLILYDKSNPVVLCIGALIVVYSIVTEMYGGLYVRNKYEFTFKMMSIATGMLPTRTRAIAIVSVIISVFYSGFLVAGIGGATATRTRLDILFISIIVISLAWTMQVLKNVQEVAISKATYVYFRRDEVMNACDALGVTLKKQLGIVCIGSTLVPLIVLYRGMIRGFNLQGRCDDDQEMYESTRGCNWIANHIILCGNRYGFVHVGAYKKGFKQASSDTWRRFRTVAGFEQLIDFDITSSICFSSAMGIGAVSALTARIWELLIDRDHYFELTIYAFIIGYFVGRVSSAWLQACVMGYYVAYSEDPQSDKFDNTIPNRIERQNIEKAKREVEHNRVLEVDEGEPTHVSHV >Al_scaffold_0006_1698 pep chromosome:v.1.0:6:7398328:7400142:1 gene:Al_scaffold_0006_1698 transcript:Al_scaffold_0006_1698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger family protein [Source:TAIR;Acc:AT5G17850](projected from arabidopsis_thaliana,AT5G17850) MGFSFSSNRFGYLTITFFLVVSCLLLGFFTNPVDTSALRPKSEHDCSALKHFHDYKSKCAYLKSIDPCASQGFFDYLSFLYCNFEGFPILGQFLLFLWLLLLFYLLGHTASEYFCSSLESLSKLLNLSPTVAGVTLLSLGNGAPDLFASLVSFMGESKGTYDVGLNTVVGGSGFVTCVVVGIISISLHSRRVRIERAAFIRDICFFCAAIGSLALILVYGKINFWGALGFCSLYAVYVAFVYLSWRFGGDQGAESDLESIHKRGSLSEPILQRDGLEEIEDGVVNGEHQIVDDDDHHQRFYYWKRLVLWVITLPLNLPRILTIPVVSEAKWSKPLAVASVTFAPVLLSFLWNWKRKPTSFEAGIVYLIGCLIGIALGFIAGATTKKSTPPKKWLLPWLAGGFVMSMTWSYISAQELVALLTSLGYIFGVSPSILGLTVLXXXXXXXXXXXXXXXXLHDGNEGAQVAVSGCYAGPIFNTLFALGISLVGCAWEAYPSSIVIKTDPRLLESLGFLVVGLVWSFLVLFSNRMRLGGVMGIGLLVIYLASLSLRIMQTVGDAH >Al_scaffold_0006_17 pep chromosome:v.1.0:6:57124:57564:-1 gene:Al_scaffold_0006_17 transcript:Al_scaffold_0006_17 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:D7M6E5] MMRVVLPLCLLLASMFARGSEAAVSCNAVQADLYPCLAYVVQGGNIPQSCCTGIKMLKQQAKSASDRQAVCRCIKSAVGRVSYSSTYLKNAATLPGKCGVKLPYKIDPSTNCNSIK >Al_scaffold_0006_1701 pep chromosome:v.1.0:6:7411281:7415196:-1 gene:Al_scaffold_0006_1701 transcript:Al_scaffold_0006_1701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXN6] MASSGKPSQDQVFINFRGVELRYNFVSHLKKGLKRNGINAFIDTDEDMGQELNILLKRIEGSKIALAIFSPRYTESDWCLKELAKMKECREQGKLVVIPIFYKVEPSTVKRQKGEFGDNFRDLVEFIDEETKNNWTEALKSIPLLTGFVLNENSDEDDLIFKVVKEVKKALNIISRAPPNRLEGTVLSSTVHQKKLESSCGVDLRLKQLEEKLSFGFEDTTRIIGVVGMPGIGKTTLVKKLYEKLKNEFLSHVLILDIHETSREQGLSYLPTILLEDLLKVKNPMFETVQAAHEGYKDQLLKTKSLVILDHVSNKEQIAAILGKCDWIKQGSKIVIATGDTSLIHDLVDDIYQVPQLSYKDSLQQFTHYAIGDQSNAQSFLKLSIDFVHYTKGNPLALKVLGAELLGKDESLWNSKLDSLSQHHKGRARSSRKIRAQSSSEMLQSVWKECYDGLSQQQQDTLLDIACFRSLDKNYVASLLDSHDANSTEARIEIEKLMNKFLITISAGKIEMHDTLHMFCKEVGREATAPDGKGRRRLWDYHTIIDVLENNKGVSVRSIFLDLADLNMNNSLHSQAFNLMSNIRFLKIYNTCCPQECDRDIMLKFPDGLELPFDELRCLHWLKFPLKELPPDFDPKNLVDLKLHYSEIERVWEGNKDASKLKWIDFNHSRKLYTLSGLAEARNLQELNLEGCIALATLPQDMENMKCLVFLNLRGCTSLKYLPEINLISLETLILSDCSKFKVFKVISEKLEAIYLDGTAIKELPSDIRNLQRLVLLNMKGCKKLKTLPDSLGELKALQELILSGCSKLQSFPEVAKNMNRLEILLLDETAIKEMPNIFSLRYLCLSRNEKICRLPENISQFSRLKWLDMKYCKSLTYLPKLPPNLQCLDAHGCSSLKSIVQPLAHVMATEHIHSTFIFTKCDKLEQAAKEEISSYSQRKCQILPSALKLCNKDLVPEILFSTCFPGGEIPPWFYHQAIGSKVKFESPQHWKYNKLSGIAFCAVVSFQNCQDQTRTEREHTNCLSVKFTCTSTTDAEPCTETTWKVGSWTEQGNNKDTTESDHVFIGFTTCLHLRKHLEDQHSSQCAPIVAIFEFSVSNDNTSGEARFEVLKSGFSFVFEPDENKTTIINETPRTNGYLVDQANGVTNHKMSNGRPSEAHFYTTLQQG >Al_scaffold_0006_1708 pep chromosome:v.1.0:6:7446614:7448322:-1 gene:Al_scaffold_0006_1708 transcript:Al_scaffold_0006_1708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXP5] MFFAGILKSILKESRTDDYNFLHPFIPPSSPSSSTRQYSYDVFPSFFGQDVRRSFLSHFLEGLKGKGIKTFVDHGIMRSDSINSELVRAIRESRIAVVILSKNYASSSWCLNELQLILECRVTLGQTVMTIFYDVDPSDVRKQTGDFGKVFEETCDGKTEEEKQRWRKALTEVAVIAGEHSVSWASEAAMISKIVMDVLNELPSSDFDRLVGIETHVENMKSMICLESDEVKIVGIWGPAGIGKTTIARALYKEVSCNFQLKFYKENLEETHRILLTLDHIGLQNHLEKEILSGVLDHREMKIPDLQEAQFRLKHQRVLLILDDACSEELQALGNLIKGLRFGSKVIVTNVNLNTFRRNEINQIYKVAFPSSEEAQQIFSYSAFGQSSPPRGYMEHAIEVAQFVAPFPLGLKVLGSALRGKSKEEWLMTPAKLETYIDDKEIEKAIRYAYDGLSEKHKTLFNLLTENISFGVNVKDAIFSLSEKDWDVEKGIQTLADMGLISISRERGISMHCLVRLMSIRLCWTTSL >Al_scaffold_0006_1709 pep chromosome:v.1.0:6:7449204:7449522:1 gene:Al_scaffold_0006_1709 transcript:Al_scaffold_0006_1709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXP6] MRELKKTQKQLGPTRCKLTRSTNSPHIDFEVSGTLTSILTPAANRSSATLVVKNFHHSSIMIFESVTRLQINVKSK >Al_scaffold_0006_1710 pep chromosome:v.1.0:6:7450926:7453645:-1 gene:Al_scaffold_0006_1710 transcript:Al_scaffold_0006_1710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS-LRR class) family [Source:Projected from Arabidopsis thaliana (AT5G17970) TAIR;Acc:AT5G17970] MADSSVCYPSSSSFHWWRYHVFPSFCGEDVRKNFLSHLQKELQLRGINAFKDHGIKRSRSIWPELKQAIWESRISIVVLSSNYAGSSWCLDELLEIMECREAVGQTLLTVFYEVDPSDVRKQTGAFGKVFEKTCLGRTVEETQRWKQALTDVANVSGYCSEKWDNEASMIEKIVADVSEELNCCTPSKDFDDLVGLEAHVAKLNSMLCLQSNDVRMIGIWGPIGIGKTTIARALYNQLSSDGDEFQQNLFMENVKRSSKRNKLDGYRLKLHLQERFLSEMFNQRNINISHLGVAQERLKNQKALIVLDDVDDVEQLHALADQTQWFGNGTRVIVITEDKQLLKAHGIDHVYDVCLPSKDEAFHIFCRFAFGKTSAPEGYYDVAVEVAKLAGDLPLGLSILGASLRGMRKDEWINALPRLRTSLNGKIEKLLGACYDGLDEKDKALFLHIACLFNGEKVDRVKELLAISALDAEFGLKVLNDRSLIHICADGYIVMHCLLQQMGKEITRGQCLHDPGKGKFIVDALEISDVLADETGTKTVLGISLDMSEIDGQVYISEKAFEKMPNLQFLRLYNSIPDKAAEFDLPHGLDYLPRKLRLLHWDSYPIKCMPSKFRPEFLVELTMRDSKLEKLWEGIQPLTSLKYMDLSASTNIGDIPNLSRAKNLEKLYLRFCENLVTVPSSALQNLNKLKVLDMSCCIKLKTLPTNINLESLSVLNLRGCSKLKRFPFISTQIQFMSLGETAIEKVPSQIKLCSRLVSLEMAGCKNLRTIPPFPASIEIVDYHGQESS >Al_scaffold_0006_1714 pep chromosome:v.1.0:6:7466801:7468275:1 gene:Al_scaffold_0006_1714 transcript:Al_scaffold_0006_1714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXQ1] MDQRDMTHMDTMHVYLLVDVWRRRCELVYGKFGPNSMNKQVPFHALMHFRVCQWKLASLFTPSTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >Al_scaffold_0006_1720 pep chromosome:v.1.0:6:7504485:7505564:1 gene:Al_scaffold_0006_1720 transcript:Al_scaffold_0006_1720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXQ9] MNMNQLLMQSMAETRGLCHPDCVKASKEQEDYDASQHAAMVAVNLISSARVIFNLDAVRTEYSAQYLVDNAGKEDDEGEIDQQSSQLTFENILQYMVENVWNKREDVQGEREQHLTVKDCLECAFKNGLPRREHWSHVGCTFKAPPFTCHIPRVPMKGEVIETKTVDEAMKLLMKQPVGARLHLFSPEIDRVREGIYDGPSSNGSSYVGLRDAMIVAVDKSEEKFVVKVQICYKKKTSIVKVCMRRMFVQLDGDEESQVKEPTGLLVDFCIPRLSVN >Al_scaffold_0006_1721 pep chromosome:v.1.0:6:7508791:7509888:1 gene:Al_scaffold_0006_1721 transcript:Al_scaffold_0006_1721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXR1] MDMNQLFMLSMSRTRGLCHPDCEKASSEQEDYDASQHAAMVAVNLISSARVIFKLDSGYTEYSAQYLVDNAGKEDDQGEMDQQSSQLTIENLLQYMEANVWNKREDKGLPRREHWAHVGCTFKAPPFACHIPRVPMKGEVVETKSLDEALKLLKQQPVGARLHLFSPEIDRVGEGLYDGPSSNGSSYVGLRDAIIVAVDKSEGKFVATVKICYKKKTSFVKVCMRRMFVQLNGDEESQVKEPTGLLVDFCIPRLSVN >Al_scaffold_0006_1722 pep chromosome:v.1.0:6:7511543:7513505:1 gene:Al_scaffold_0006_1722 transcript:Al_scaffold_0006_1722 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglucosamine mutase-related [Source:Projected from Arabidopsis thaliana (AT5G18070) TAIR;Acc:AT5G18070] MDEIQIASLLKSSELFPIPQSVKLSYGTAGFRGDAKLLESTVYRVGILSALRSLKLGSATVGLMITASHNKVSDNGIKVSDPSGGMLSQEWEPFADQIANASSPQELVSLIREFMEKEEIMIGEKNKGAEVWLGRDTRPSGESLLRAAEIGVGSILGSVAIDIGILTTPQLHWMVRAKNKGLKATENDYFENLSTSFMCLIDLIPVSGNDKLEISKLLVDGANGVGGQKIEELRGSLSNLDLEIRNTGRDGGVLNEGVGADFVQKEKVLPLGFGSKDVGMRCASLDGDADRLVYFYIPSESSEKVELLDGDKILSLFALFIKEQLNILGDDKEGKQSRLGVVQTAYANGASTDYLKQLGLDVVFAKTGVKHLHEKAAEFDIGIYFEANGHGTILFSESFISWLVSKQKDLTDKGQGGSEEHKAVSRLVAVSNLINQAVGDALSGVLLVEVILQHMGSSIQKWNVLYKDLPSRQIKVEVPDRTAVVTTSEETEALRPLGIQDAINSEINKYPRGRAFIRPSGTEDVVRVYAEASTQEAADSLANSVAQLVKSFLGSS >Al_scaffold_0006_1735 pep chromosome:v.1.0:6:7550351:7553837:1 gene:Al_scaffold_0006_1735 transcript:Al_scaffold_0006_1735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXS6] MGISTQSHGIQSMLKEGYRHLSGLDEAVIKNIEACKELSTITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEIQHPAAKILVLAAKAQQAEIGDGANLTISFAGELLQNAEELIRMGLHPSEIISGYTKASNKAVEYLEELVESGSESMDVRNKEEVVSRMRAAVASKQFGQEEIICSLVADACIQVCPKNPTNFNLDNVRIAKLLGGGLHNSCIVRGMVLKSDAIGSIKRMEKAKVAVFADGVDTTATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVAESGAKVIVSGGSVGEMALHFCERYKLMVLKISSKFELRRFCRTTGAVAQLKLNRPSPDDLGYVDSISVEEIGGVRVTIARNEEGGNSISTVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIVPGAAATEIELAQRLKKYANAETGLDKYAISKFAESFEFVPKTLADNAGLNAMEITASLYTGHGSGNAKLGIDLEEGVCKDVSDTKVWDLYSTKLFALKYAADAACTVLRVDQIIMAKPAGGPRRDAAAAAGAGMDED >Al_scaffold_0006_1737 pep chromosome:v.1.0:6:7555516:7557057:-1 gene:Al_scaffold_0006_1737 transcript:Al_scaffold_0006_1737 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT5G18220) TAIR;Acc:AT5G18220] MSNRRKQSTTVGIFLCITISTLSLLSGDVSALGVNWGTMATHQLPPKTVVQMLKDNNVRKVKLFDADTNTMVALAGSGIEVMVAIPNDQLKAMGSYNRAKDWVRRNITRFNDDVKIKYVAVGNEPFLTAYNGSFLNLTYPALFNIQKALNEAGVGDFIKATVPLNADVYNSPLENPVPSAGSFRQDIFEEMKLIVNFLAHNKAPFTVNIYPFLSLYLSSDFPFDYAFFNGQNTVSDNGVIYTNVFDANFDTLLASLKALGHGDMSVIVGEVGWPTDGDKNANIPNAERFYTGLLPKLAANRGTPMRPGYIEVYLFGFIDEDAKSIAPGNFERHWGIFKYDGQPKFPADLSGAGQKKILTGAQNVQYLQNQWCMLNPDGLTFSNNTNQLGDNVNYACTFSDCTALGYGSSCGNLDEVGNASYAFNMYFQVQNQKAEACDFEGLAIITTRNISREQCNFPIQIGDPTSGHSDHNHRFIGLALVSMLLLFMAL >Al_scaffold_0006_1742 pep chromosome:v.1.0:6:7582158:7582472:1 gene:Al_scaffold_0006_1742 transcript:Al_scaffold_0006_1742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXT3] MPPPLPPSPPYQQPSRCTTTTITIIIAISHDHCNHQHHYHHQHHLNNDHHCHVVTTPTTVTITTAITITVTTSLPLHCCHHHQSPLHCCHHHQSPPLPFYSYTS >Al_scaffold_0006_1748 pep chromosome:v.1.0:6:7611442:7613192:-1 gene:Al_scaffold_0006_1748 transcript:Al_scaffold_0006_1748 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G18320) TAIR;Acc:AT5G18320] MADATETNADTLRRELQKVLTEIFNDGGGKDRGETEDCFGFLKAIDEAIRILTCLRKVESKKPEFDISPVEVPKEFICTLSNTIMIEPVIIASGQTYEKRYITEWLKHERTCPKTKQILSHCLWIPNHLINELITQWCRVNKVDRQKPSDELATELFTGDIEALLQRISSSSSVADQIEAAKELRRQTKRFPNVRVFFVAGIHDSITRLLSPLSALGEAVDSNPELQENIITALFNLSILENNKTVIAENRLVIPLLTKSLKQGTAETRRNAAATLSSLSAIDSNKIIIGNSEAVKALIDLIEEGDLLATKEATSTVFNLCIVLENKEKAVSAGLIPAATKKIKAGSNVDELLSLLALISTHNRAIEEMDNLGFIYDLFKILRKPSCLLTGENAVVIVFNMCDRNRDRSRLKVVGEEENQHGTFTKLAKQGSVRAVRKAQGILQWIKRFVTGKEPQRA >Al_scaffold_0006_1751 pep chromosome:v.1.0:6:7619766:7621421:-1 gene:Al_scaffold_0006_1751 transcript:Al_scaffold_0006_1751 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G18340) TAIR;Acc:AT5G18340] MADSTADATETNADTLSLRRELKKVLTENLYDDGGVKDGVETVKSIDEAIRILNCLKRESKKRKRESDISPVEVPKEFKCTLSKTIMIDPLIISSGQTYEKRYITEWLNHNRTCPKTKELLSQVRMTPNHLINDLITQWCLVNNKVDRPKPQPSDFEIVVTEMVTGDIEPLLQRISSPSSSVADQMEAAKELALQTSKFVNVRDFFVAKIPDSITRLLTPLSVLGDDVDSNPELQENIITSLFNMSTFEQNKTLLAENPQVIPLLAKSLKQGTVVTRRNAAATLMSLSDTDSNKIIIGNSEALKALIDLILDSDDLSATNEAANAILNLCYDELENCKKAISLGLASAVTKNIKAGRNVDELLAVLVLISPHERVVEEMDNLGVIYDLLSILRKTSCLVTCENVVVIVHNMYVKSRERSILKSLAEEENQHKTFTKLASQESVPVVGRAQGILQGIRAFAAGKETQRA >Al_scaffold_0006_1752 pep chromosome:v.1.0:6:7623722:7626916:-1 gene:Al_scaffold_0006_1752 transcript:Al_scaffold_0006_1752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXU3] MYQVFPSFCGGDVRRAFLSHILKELRSKGMTPFIDNEIKRGQLIGPELVLAIQESRIVIVLLSSNYASSSWCLDELVEIMKCKEKNQQTVMVVLDEADSLWQLNAMANQPGWLGRGSRIIITTEYRKLFKAHGINIQLEMRLFKSSAYMLLTMESCARMHCLPQQMGREIVYKQSFDEPGKRRFVIYLMKLLTVLGITFQPPDREKVEISESAFEGMSNLQFLAIYSNSLCLPEDFGLLSSLASFLSNLSCDKANLRSCWRESKIPLKPKKSLSASFIVHDKTWKVKGCGVNLLEVLHHILDGKETEDEDCMDRNKIEKNTETEEEESGGDDDAEIWINQAPLTSSS >Al_scaffold_0006_1753 pep chromosome:v.1.0:6:7627547:7630598:-1 gene:Al_scaffold_0006_1753 transcript:Al_scaffold_0006_1753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXU4] MAVSSSFSLQPCHWRHHVFPSFSGEDVRRTFLSHLLKKFQLKGIRTFMDNDIERGQMIGPELIQAIRESRFAVVVLSKTYASSKWCLDELVEIKEASKKVIPIFYNVEPSDVKNIGGEFGNEFEKACKEKPEKLDRWREALVYVADIAGECSQNWVSEADMIENIAMSISEKLNSTPSRDSENLVGIDAHMREMDSLLFLESTEVKMVGIWGPAGIGKTTIARALFNRLSENFQHTIFMENVKGSYRRTDLDDYGMKLRLQEQFLSEVIDHKHMKVHDLGLVKERLQDLKVLVVLDDVDKLEQLDALVKQSQWFGSGSRIIVTTENKQLLRAHGIKLIYEVGFPSRGESLQIFCLSAFGQSSAPHGFIKLATEITKLAGYLPLALTVLGSSLRGMNKDEQKSALPRLRTSLNEDIKNVLRVSYDSLHERDKSIFLHIACLFNGENVDYVKQLLASSGLDVNFGLEVLTNRSLINISGFNRTIMMHTLLEQLGREVVYEQSIVEPRKRQFLVDASDICDVLFHDSGARAVSVLGISMDISKINEWYLNEEAFAGMFNLMFLRFYKSPSSKDQPELNYLPLRLDYLPHKLRLLHWDACPMKSMPMSFRPEFLVVLNIRESQLEKLWEGAPPLRSLKCMDLSMSENLKEIPDLSEAVNIEELCLSYCRSLVLLPSSIKNLNKLVVLDMTYCSNLESFPSNIKLESLSILNLDRCSRLESFPEISSNIGYLSLSETSIKNVPATVASWPYLEALDMSGCRYLDTFPFLPETIKWLDLSRKEIKEVPLWIEDLVLLKKLLMNSCMELRSISSGICRLEHIETLDFLGCKNVVSFPLEIYESSRFCHNLVMEMRNIQNPDLPRPFYFRNSYIDTIPDCITRHCKLPFLNSSGSVSSNIENDFIWFDE >Al_scaffold_0006_1759 pep chromosome:v.1.0:6:7648883:7652553:-1 gene:Al_scaffold_0006_1759 transcript:Al_scaffold_0006_1759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXV0] MMIMKIEESAIVLSLLKSDLRPMDDVLSEFNSKFDDSSRFFSVCNSLSMMLQDQKMLQNTERLIAFAIIHQCYSSQKPSLNPFLSEMMSAACNEQLEKSERAFLLHLLQWNSYNNVKEILKQSAVDYIRSFDPSTHDFPELRELQREYGDKADPGPSSHIFADYSLKKLLHDPDVPRGCDPNSPEYVFDVQPGGNPRIGSGDRDEALSGFLGNSTMGGLAPRWIRPCPPRYPVHQSELLWIDPDNKHELVWDDKMCADTSRGATVRDLLVKGLKVTLSPMEQEDITTELANDPKLVYHCGITPRKLPQLVEHNPQIAVEILTKLIKSPDIADYFTALVSMDMSLHSMEVVNRLTTAVELPKEFIRMYITNCISSCGNAKQQDKYMQNRLVRLVCVFLQSLIRNNIINVKDLFIEVQAFCIEFSRIREAAGLFRLLKTLE >Al_scaffold_0006_1760 pep chromosome:v.1.0:6:7660587:7662134:-1 gene:Al_scaffold_0006_1760 transcript:Al_scaffold_0006_1760 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G18430) TAIR;Acc:AT5G18430] MSSLTMVEARAFFVFGDSLVDSGNNNYLVTTARADSPPYGIDFPTRRPTGRFSNGLNIPDLISEAIGNEEPPLPYLSPELRGRRLLNGANFASAGIGILNDTGFQFINIIRMYQQLDYFQQYQQRVSRLIGKPQTQRLVSQALVLITVGGNDFVNNYFLFPYSARSRQFSLPDYVRLLISEYKKILLRLNSLGVGRVLVTGAGPLGCAPAELARSGTSNGRCSAELQRAASLYDPQLLQMINALNKKIGRNVFIAANTNQMQEDFLSTPRRYGFITSKVACCGQGPYNGMGLCTVLSNLCPNRELYVFWDAFHPTEKANRMIVRHILTGTTKYMNPMNLSSALAL >Al_scaffold_0006_1771 pep chromosome:v.1.0:6:7711118:7713631:-1 gene:Al_scaffold_0006_1771 transcript:Al_scaffold_0006_1771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXW2] MQKNLELRVLQFAATSLMSICQRIGQEMTALHVLPQLKELFDEFAFSEKSADASDSLSWKIRTAEQNFHPESPIKNRMDLVLLLYPSFASLLGMEKLRQNCPTWLLLEQYLLKHHNWKWEYTGRSSRYSMEARPVLNKGPTSKHTPKMLLNGSGRSVPQLQGLRNSNHLKPHIHVPVEGQEAVLNPLVHEPWSWFPSPVACWDGIDIGRFGNPKDEHRWKIRASVLSSARAYHGALRSLVVSEDECTVFASGIDPGFKGSVQKWELASLSCVSSYHAHEEVGSLKYAPNYSVSITLKKSKQLSIRDNMQLFWSIPLHNSGEPIESGFTSLVSALCSGGSQTKHGDGASVSPSWIAAGFSSGQCRLFDLRENGVISSWRAHDGYVTKLAAPERHLLVSSSLDKTLRIWDLRKSWTPQPFVVKGHNDGVSGFSIWGKDVISISRNNIGICSLSNFQDEEEQRQQQRIIPQKLYMAEKGGRVKSDLSTICVLPFSRLFIVGAHDGYLRICC >Al_scaffold_0006_1772 pep chromosome:v.1.0:6:7714482:7717578:-1 gene:Al_scaffold_0006_1772 transcript:Al_scaffold_0006_1772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXW3] MRGEASDLCFDCLDQRINSDFSDQIVFAYGVSDSPLPFGSSAVVKVSDSSEEFSASSSCESTSSQFILEYLRKEEHGCLANYVDRCVVGNREGGNSNDAVESDEYLDCSSSGSQVIEDDDDTENITCGSVTCKHSGSFSCWRTIAALLPIAQISKCSASELQKLAFSFLYDCPEDQILASLHRLIDGKSSGQATHSFLRLLGLPLSEEKRKLQCFRHPNLSPVLGLLTSSDCLVSVLPKAPYTLENILHYSPCAIKSEWHRNFMIYQLLSGLAHLHGLRVSHGNICPSSILLSDSLWSWLKIYSKPDLGSGDADSSASRRRWCVEDCYSYGLYADLKISSHLDWQTHFDNWWRGELSNFEYLLVLNKLAGRRWGDHTFHPVMPWVIDFSKKPENDSNSGWRDLRKSKWRLAKGDEQLDFTYSTFEFPHHVSDECLSELAVCSYKARRLPLSVLRKAVRSVYEPNEYPSDMQRLYDWTPDECIPEFYCDPRIFCSLHPSMSDLAVPPWANSPEEFIRFHRDALESPHVSSLIHHWIDIIFGYKMSGEAAITAKNVMLSSSEPTVPRSVGRRQLFFRPHPVRLGFSREKEQSRNELEMHTFHGFGVDNKRSVILQADDYLEETEEASAFSDHAAHLSPKYHLHENLVESPLHVSYSENTKKVNTSLPGTSKNKGLSSRISLNYLLEHMEVRDEASTELQELLQWRQDFCTGNFSKDIAGDIFSIGCVLAELYLMKPLFNSVSLANYLEGGDLPELIKELPPPTQVLVEACIEQDWRRRPSAKSLLDSPYFSATVRSAHLFAAPLHLLAKGQTRLCYAASFAKQGVLKAMGTFVAEMCAVYCLPLVTTPLSDDECELAYVVLKEFTKSLTPMAVQRLVLPSIQKILLVRLVPDGICKSKLLCGNSINILLSSINVF >Al_scaffold_0006_1776 pep chromosome:v.1.0:6:7736901:7737167:-1 gene:Al_scaffold_0006_1776 transcript:Al_scaffold_0006_1776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LXW7] NNEVEANEWKEKFFGEASRFLLVVRNVPVKGVQESWIIFLRAIKDMVDVKKVDLKLVKQILLVVTKNQVYNHLVECLLILEPTKEVIK >Al_scaffold_0006_1784 pep chromosome:v.1.0:6:7774129:7776462:1 gene:Al_scaffold_0006_1784 transcript:Al_scaffold_0006_1784 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G18640) TAIR;Acc:AT5G18640] MMWLCVIVLLQNMGQKRWFFLLAVFACLFSSSCGRVLKLKSDDDRPVYNHTLAITLVEYTSAVYMSDLSELFTWTCERCNGLTKGFEVIEIIVDVEHCLQAYVGVAKDLNAIIIAFRGTQEHSIQNWVSDLFWKQLDLNYPDMPDAMVHHGFYSAYHNTTVRPAVLDAVKRAKKSYGANLNIMVTGHSMGGAMASFCALDLVVNEDEENVQVMTFGQPRVGNAAFASYYNLLVPNTFRIIHDHDIVPHLPPYYHLFPQKTYHHFPTEVWVTELSVLNIVIRGVEKVCDNTGEDPTCSRSVMGSSISDHLTYFGVELMGETWRQCNIVMSHEMENYSRKDSKGNIFLSRTVPSTDVIKTKSIFKTGISSL >Al_scaffold_0006_1797 pep chromosome:v.1.0:6:7852282:7854401:-1 gene:Al_scaffold_0006_1797 transcript:Al_scaffold_0006_1797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYH7] MARARSRSRSYSPRPLDRSPPPRARKSYDDYRHRERPSSRDHESSGPSGLLIRNLPLDARSSSFSIVHRHVLIFNELLINCSFTFTFSSKARLKVGIPLVISMLSSRNVENVHVRHRHKKVREDDLYSPRRSRSISRSPLPRNEREYKSSNVSRSPRRNCRSPGEESVLTPIKSRSLSRSRSRSLR >Al_scaffold_0006_180 pep chromosome:v.1.0:6:750429:752133:1 gene:Al_scaffold_0006_180 transcript:Al_scaffold_0006_180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7W2] MANRREKRGRRKRRRKPSHRKIKRIIDGADFINSMPDEILHHILSLIPTDLAMKTSVLSRRWRHVWCETPCLDINVCRLNGGTVNQTLPSYTAPKITSFKLLTSLDHNTAPEIDSWIEFPISRNVQNLSVFVRDFKYRKTYSFPVSFYLSSSLKQLTVTLDFFYMTPTCKVSWKSLRNLALRRCKLPDGSIDNILSGCPILESLTLGTCRLLERLDLSKSPSLRRLDINRQYCDTGPIAIVAPHIHYLRLTYSSTPSTLLDVSSLIEANLNIISSRLCPLTADRYQTMALKMLANSHNVERLTVGETLLQILSLAELRGVPFPTLKVQTLIVKTEFVRSVIPGISRLLQNSPGLKKLTLHTMQQSHVIMDMHPLKGFYPDQCWRSKCEMFPTSKEIYEMLGCNDATSKLVASFSELVLRNAKTLEMMVVWLGGSYFNDDAQWFQQLLQMVATLSHDNNVSILLKRSNC >Al_scaffold_0006_1802 pep chromosome:v.1.0:6:7885700:7890777:1 gene:Al_scaffold_0006_1802 transcript:Al_scaffold_0006_1802 gene_biotype:protein_coding transcript_biotype:protein_coding description:inosine-uridine preferring nucleoside hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G18860) TAIR;Acc:AT5G18860] MMLTSPTLKSLWFLFTTVVTILGILGQNLPCVLSTPHRILVDTDVDTDDLFAILYLLKLNKSEFDLVGITLSANAWTNAGHAVNQVYDLLHMMGRDDIPVGVGGEGGIRDDGTIHSDVGGYFPIIEQGMTTTGECRYRQAIPKGLGGLLDIDSNYGFRKQFLPQGNRRYTPLRQPTAQKVIADKISEGPTTVILIGSHTNFALFLMSNPHLKHNIQHIYIMGGGVRSQNPTGCCPANSTAAECQPRQCGNRGNLFTDYTSNPYAEFNIFADPFASYQVFHSGVPVTLVPLDATNTIPINQKFFETFEKNQRTYEAQYVFLSLKIARDTWFDDEFYKSYFMWDSFTAGVAVSIMRNSGNNKNGENDFAEMEYMNITVVTSNKPYGRSDGSNPFFDKRRTPKFNLTVGGVHSGHVQMGLRDPACLPKSGKGRGKCKDGYTQEISGPDSVRVLVATRAKPNINIKSKLDREFYVDFLEVLNRPEETGRFNFSSQFPYYKEELFRPDLSKTRLGKPVVFDMDMSAGDFLSLFYLLKVPVEEIDLKAIIVSPTGWANAATIDVVYDLLHMMGRDDIPVGLGDMLALNQSDPIFPPVGDCKYVKAVPRGCGGFLDSDTLYGLARDLPRSPRRYTAENSVADGAPRDTDRPELRQPLALEVWQNLTKSGNGVSNITVLTNGPLTSLAKIISSDKKSSSIIKEVYIVGGHINPEKSDKGNIFTVPSNAYAEFNMFLDPLAAKTVLESGLNITLIPLATQRKFSFQPMLNRLNPSAKTPEARFVRRLLARLQALHQKHRRYTHMDMFLGEIIGAVFLGGDHASLKPKLRAEHLKVIAEGDESIDGKILIDKLRGKQIKILEIVDLRSFSESFTSRLDDKKQSAVIGSFEEQKKKWSTPPS >Al_scaffold_0006_1806 pep chromosome:v.1.0:6:7908063:7908603:1 gene:Al_scaffold_0006_1806 transcript:Al_scaffold_0006_1806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19-like CHCH family protein [Source:Projected from Arabidopsis thaliana (AT5G18920) TAIR;Acc:AT5G18920] MEKRVTENPNSETQPPPPPNHQMDADDDDENVKQLKECSSLYLSLQDCLVDSNRDWKSCQKHVQALKECHERRMKK >Al_scaffold_0006_1813 pep chromosome:v.1.0:6:7940954:7942585:1 gene:Al_scaffold_0006_1813 transcript:Al_scaffold_0006_1813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G18990) TAIR;Acc:AT5G18990] MGTHRIIIGLVALCCFCLPHLIEAKPFGVYQRQVFVDQSGHGNFRTIQKAIDSVPINNTHWFFINVKAGLYREKIVIPQKKPFIVIVGAGKRLTRVEWDDHDSLAQSPTFATLADNTVVKSITFANSYNFPSKGKMNKNPRVPAVAAFIGGDKSAFYSVGFAGIQDTLWDSDGRHYFHRCTIQGAVDFILGGGQSIYQSCVIQVLGGQLEPAGTEGYITAQGRNNPYDANGFVFINCLVYGTGKAYLGRAWRPYSRVIFYNSNLTDVVVPRGWWEWNQTGYEKQLIFAEHGCFGSGSNTGKRVKWVKKLSGSAVQQLTDLSFINRGGWLENLPIPV >Al_scaffold_0006_1817 pep chromosome:v.1.0:6:7960345:7962000:-1 gene:Al_scaffold_0006_1817 transcript:Al_scaffold_0006_1817 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G19030) TAIR;Acc:AT5G19030] MALSSSTFQIPLFKHQFFETSQNLRTLNLPTTEIPRRSSFHTARSKSCSPPLRTISCVAGDDGTREASSLPTPISSVFVKGFSDSVSEGRLKKVFSEFGQVTNVKIIINERTRQSLGYGYVWFNRKEDAQLAVEAMNGKFFDGRFILVKFGQPGLSRRRRPHSGFLL >Al_scaffold_0006_1820 pep chromosome:v.1.0:6:7980758:7987209:1 gene:Al_scaffold_0006_1820 transcript:Al_scaffold_0006_1820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYK0] MRHVRKVLKVRSTVERRDMSEKEKRGLFFYFFHLQTNKRKQGDNSYNDIPTRKQPQRKKCKNTDKVAADLGTRTCDQELGDKADNDVPLKTSQQDNKKTEEEFIIVSDDEEEVEIPINNQENKQTETREESCSPPDEDSHENVNDEKVAQEEGATDEVPHANEENDPQCSNVNDKVSQKKEKQHEEMRNMDGAPQGTQESDSQRSNVNDKVAQTKEAQHNKMGHSEEPSQESDSQQSKVNDKEEEARLKKEHSDRKLSEIQQKEIDDRVILWAKNKNFIFMMSSLHQIIWSNSSWETVHHFIVVNNDHEIGLAKRKALLALHPDKQHGASAEQKYLATRLFSVIKQQWDIYI >Al_scaffold_0006_1826 pep chromosome:v.1.0:6:8011469:8012049:-1 gene:Al_scaffold_0006_1826 transcript:Al_scaffold_0006_1826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYK6] MKGGAGGYRGGGGRYISGSDGYERKRWRLVVSIRFDGKDGDGGERRQTYTTCHMKGGDRGYGGGGRRYGGGGDGYEKKKVEEISNMGGQGVVKVYTVVVV >Al_scaffold_0006_1828 pep chromosome:v.1.0:6:8034883:8035351:1 gene:Al_scaffold_0006_1828 transcript:Al_scaffold_0006_1828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYK9] MADSSSDKEKKENSKQPVYRGVRMRSWGKWVSEIREPRKKSRIWIGNLPTVEMAMRSHDVAAMSIKGTSAILISYGVMERWREEGERLRKCVNV >Al_scaffold_0006_1840 pep chromosome:v.1.0:6:8090350:8090911:1 gene:Al_scaffold_0006_1840 transcript:Al_scaffold_0006_1840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LYM4] MAMKEAFPEDDLSQKELEQSLNASHHKNPSAGRAKRRRTVNNTEVQKRTEKKNE >Al_scaffold_0006_1863 pep chromosome:v.1.0:6:8188853:8192263:-1 gene:Al_scaffold_0006_1863 transcript:Al_scaffold_0006_1863 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRT (chloroquine-resistance transporter)-like transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G19380) TAIR;Acc:AT5G19380] MATTSSGRLIAGSTASIGSIKSRYAYPAQSVSLICRNQTNGAPPIVLGSSRRSRLWLIEAIPPAKSWDGSNDGEARNYAIGGGAVAGKHDRTMEIVIAAATTAALGVGNRVLYKLALIPLKQYPFFLAQLSTFGYVAVYFSILYFRYRAGIVTKEMLSVPKLPFLIVGVLESLALAAGMAAASNLSGPSTTVLSQTFLIWQILFSIIFLGRRYRINQILGCTLVAVGVIVSVASGSGAAHSFKDTGILWSLLMVLSFLLQGADTVMKEVIFLDSKKRLKGASLDLFVVNSYGSVFQVICIALLLPFLSKLWGIPFNQLPSYIRDGGACFLNIGSRITGCEGAPLLPVMFVMMNMAYNISLLRLIKISSAVVSSLASTVSVPIAVYCFTLPLPYLGVASTLPRGFVAGTVILVLGMLLYAWTPSTNTSDSIIPSPPST >Al_scaffold_0006_1876 pep chromosome:v.1.0:6:8241657:8242722:-1 gene:Al_scaffold_0006_1876 transcript:Al_scaffold_0006_1876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19490) TAIR;Acc:AT5G19490] MAVPLLVSKALELFLQDLCNHTYDVTLSRGAKTVNSFHLKQCVHTFNIFDFLRETVGKVPDLGGSDTEDQSATKRRKVVDERSCNDEDMTKTTQMHEVKHTSGGRGRRGRGCGRGGGRTGSGLSLKFEEDGSPESSRTPSPEIGSLSHDDKSWKKVASLNNHSNSVVKVRNFDLNVELDENGDSAAWLDRALEGSPDWPLLEINEMKIDPDQQQASSANDEEDYDEESIDQE >Al_scaffold_0006_1898 pep chromosome:v.1.0:6:8330496:8331823:-1 gene:Al_scaffold_0006_1898 transcript:Al_scaffold_0006_1898 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: HPT phosphotransmitter 4 (TAIR:AT3G16360.2); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (so /.../NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G19710) TAIR;Acc:AT5G19710] MVIKSLHLQVQEMRQSFFDEGYLNSQYTQLEALAKDTNPNFIIEIITLYFRDSPNGARSNRGTHDYQMHSSVRKQQRQASYNIFVICIGASKVFKELQKANTSLKASNTEGIKVALGDIKKEHSKLRAKFETYFQLMRQAGPTDVAVNSS >Al_scaffold_0006_1899 pep chromosome:v.1.0:6:8335338:8340291:1 gene:Al_scaffold_0006_1899 transcript:Al_scaffold_0006_1899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZB1] MVPEDEKSLELFLCIGLDERTARDIVKNNRNLTSDLTALIHQARVTDGCDRTTGILLYWVVTKYGGKALVHRPTLLEYIVSSKIKTSSQLDAAYSFFGNTAVEVSPEDIEKTVKQIFEENMKTILEQRYRTSVGKLTGHVLKSLPWADPETVRRVIEEKLYALLGEKTAADNEKPTKKKEKKEKPTKVEKAVLEAIPHPSEEELNPYSIFPQPKENLKVHTEVLFSDGSVLRCSNTKEVLDKHLKVTGGKVYTRFPPEPNGYLHIGHAKAMSVDFGLAKERGGWCYLRYDDTNPEAEKKEYISHIEEIVNWMGWEPFKITYTSDYFQELYDLAVELIRRGHAYVDHQNAEEIKEYREKKMNSPWRERPIEESLELFDEMRLGMIDEGKATLRLKQDMKSDNCNMYDLIAYRIKASETGIISGYFTPHPHTGDRWRIYPTYDYAHCIVDSLENITHSLCTLEFETRRASYYWLLHSLGLYMPYVWEYSRLNVTNTVMSKHKLNYIVTNKYVDGWDDPRLLTLAGLRRRGVTPTAINAFVRGLGITRSDGSLIHVSRLEHHIREELNKTAPRTMVVLNPLKVVITNLEADKVMELDAKRWPDAQNDDPSAFYKVALSRVIYIEQSDFQKEDSKNYFGLAPGKSALLRYAFPIKCTNVVFANDTETVSEIHVEYDPEKKIKPKGVLHWVPASSPGKEPIKVEVRSFENLFNSENPAELNDDWLTDINSNSKVVVSDAYALSTIKDAAVGDTFQFERLGYYTVDKDSIPGKLVFNRTVTLKDGYKKGGK >Al_scaffold_0006_1918 pep chromosome:v.1.0:6:8415331:8416579:-1 gene:Al_scaffold_0006_1918 transcript:Al_scaffold_0006_1918 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOH1 family protein [Source:Projected from Arabidopsis thaliana (AT5G19910) TAIR;Acc:AT5G19910] MASPEEIDDTSEIPSPAKNTYKDPDGGRQRFLLELEFIQCLANPTYIHYLAQNRYFEDEAFIGYLNYLQYWQRPEYIKFIMYPHCLYFLELLQNPNFRTAMAHPANKELAHRQQFYYWKNYRNNRLKHILPRPLPEPIAPQPPVAPSTSLPPAPSAAAALSPALSPMQYNNMLSKNDTRNMGAAGIDRRKRKKGT >Al_scaffold_0006_194 pep chromosome:v.1.0:6:801920:803860:1 gene:Al_scaffold_0006_194 transcript:Al_scaffold_0006_194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7X7] MAMEEEDHATVAVRNPSHRFERPVKIKRSRSDSIDGVDSISDLPDAVLQHIFSYIPTKFAIRTSVLSRRWRHVWSETPHLSFKWLSVSPESVNKTLASYKASKITSFHLCTRLASTAHHHVNSWIEFAMSHNVDDLFLEFRGFLTTMNYSFPDFFYSNSSLKNLIVDSRCNEMIPRCTVSWTSLKYLSLSCCELSDESFLKILSGCPILETLRLYSYDSVRYLDLSKSLHLRILDIDFSSFFRRPINIVAPHIHYLRLRNSEAQCTLVDVSSLTEAKVDISYIEPGCCYYSFQLLKPDVLQGIVQAMLEKFQNVEKLTFGVNFLQMLSLAEICDIPFPMLKVEVLILETMIVPSVIPGIAKLLQNSPGVKMLKLDIVNSNIVPDADLNYYLDLKELDQNQCWKPKDLDFSTSFQPKLMTSFMEFLLENTREDNISQYNWQLS >Al_scaffold_0006_1959 pep chromosome:v.1.0:6:8592734:8594237:1 gene:Al_scaffold_0006_1959 transcript:Al_scaffold_0006_1959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20310) TAIR;Acc:AT5G20310] MRDNIERNEVREGPIAIAIDRDKTTSCQALKWAVDHYIPRGGTVKLVHVVQRSALNNANGSYNTDDESSDRPHNDKRSTLFLPLRCLCMRRNIQSEVVLLEDQDVAKALIEYISQNCISTFLLGASLKKSITRLFKADDIPSNVMRWAPDFCSVLVISKGRLSSVRSATRPLPQALPSSSSGTAPLSPRSNADEAPSEMSLSREDDVFFEEFLSLDPDSSVNISSRFSTDSSVLSFYEKLAAPHMLDIPRFSGLDDEKARFSIYLNSPSDEKNCTLASPLSPTDDAEAEMRRLKKELKETMNMYHAACKEALMEKERAVELEMWKRKAELRIQMAEDTTTMAEMKSVKGKGGDDGKMVWDTLGESHLVVKHESLLHILVVLFLFYIYFTLRKFYFL >Al_scaffold_0006_1960 pep chromosome:v.1.0:6:8594861:8604327:-1 gene:Al_scaffold_0006_1960 transcript:Al_scaffold_0006_1960 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 4 [Source:Projected from Arabidopsis thaliana (AT5G20320) TAIR;Acc:AT5G20320] MREEVDLSLTIPSKILGKRDREKKGKEETNKNKKERAKMQQKDPILLTSATHKFLPALTMPYGEIEIGDDFRSLDCDADVSSDIHLSSSSSFYSFSSSSSSLCTDDPSSKMEKDPRKIARRYQVELCKKAMEENIIVYLGTGCGKTHIAVMVIYELGHLVLSPKKSVCIFLAPTVALVEQQAKVIADSVNFKVAVHCGGKRIVKSHSEWEREISENEVLVMTPQILLHNLQHCFIRMECISLLIFDECHHAQQQSNHPYAEIMKVFYKSESLQRPRIFGMTASPVVGKGSFQSENLSKSINSLENLLDAKVYSVESNVQLDGFVSSPIVKVYYYQSAISDASQSTIRYENMLEDIKQRCLTSIKLQIDTHQTQTLLNMKRLLKKTHDNLIYSLVNLGLWGAIQAAKIQLNSDVQEEPVGENPKSKICDTYLSLAAEVLSSGVAKDESASELLSLAALKEPLFSRKLVQLIEILSVFRLEPHMKCIIFVNRIVTARTLSCILNNLELLRSWKSDFLVGLSSGLKSMSRRGMETILKRFQSKELNLLVATKVGEEGLDIQTCCLVIRYDLPETVTSFIQSRGRARMPQSEYAFLVDSGNEKEMDLIENFRVNEDRMNQEITSRTSEETCPILDEELYKVHETGACISGGSSISLLYKYCSRLPHDEFFQPKPEFQFKPVNEFGGTICRITLPANAPISEIVSSLLPSTEAAKKDACLKAVYELHNLGVLNDFLLPDSKDEIEDELSDDEFDFDNIKGEGCSRGELYEMVVPVLFKQKWDSSRSCVNLHSYYIRFVPHPADRSYKKFGFFMKSPLPIEADTMDIDLHLAHQRSVSVKIFPSGVTEFDNDEIRLAELFQEVALKVLFERGELIPEFVPLELQDSSRTSKSTFYLLLPISLHDAESVISVDWVTIRSCLSSPIFKTPSVLVEDIVPPTGSYLNLANGCWNIDDVKNSLVFMTHNKQFYFVADICYGRNGFSPVKESSTKSHMENIYKLYGVELKYPGQPLLRVKPLCHVRNLLHNRMQKNLEPQELDEYFIEIPPELSQLKIKGLSKEIGSSLSLLPSIMHRVENLLVAIELKHVMSASIPEIAEVSGHRVLEALTTEKCHERLSLERLEVLGDAFLKFAVSRHLFLHHDRLDEGELTRRRSKAVNNSNLCRLAIKRNLQVYIRDQALDPTQFFAFGHPCRVTCDEVTSEEVHSLNRVPGLLESNSEIRCSKGHHWLYKKTIADVVEALVGAFLVDSGFKGAVKFLSWIGVNVDFESLQVQDACIASRRYMPLTTRNNLEALENQLDYNFLHKGLLVQAFIHPSYNRHGGGCYQRLEFLGDAVLDYLMTSYFFTVFPKLKPGQLTDLRSLSVNNKALANVAVSFSLKRFLFCESVYLHEAIEDYTNFRAASPLASGPSEGPRCPKVLGDLVESCLGALFLDCGFNLNHVWTMMLSFLDPVKNLSNLQISPIKELIELCQSYKWDREISATKKDGGFSVELKVTKKGCCLTVSATGRNKRESTKKAAQLMITSLKAHEQITTSHPLEDVLKNSNLNEAKLIGYNEDPIDVVNLVGLDVENLKIQENLGENSEISSSYVIRRGLPQAPSKTEDRLPQKTIIEAGRPSSKTAKSLLHETCVANCWKPPHFECCEEEGPGHLKSFFYKVILEVEDAPNMTLECYGEARATKKGAAEHAAQAAIWCLKHSGFL >Al_scaffold_0006_1972 pep chromosome:v.1.0:6:8655553:8658095:1 gene:Al_scaffold_0006_1972 transcript:Al_scaffold_0006_1972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M010] FCRAWEVLSTKLLDESGVDDNRTRFGKMSSKGHHSQQHPLHLNGFYVVFGTKKHGNGKIDELERHIESLIWETVKERERECVGLDDYEKFKPFLHHRPRKPSEVMFGFIAAAGTNTEEHRLLSWELSSNLDIEKADSKIGLVVGISVSGFVLLIVLVHTIVVVWSRKQRKKKDRDIANMISINEDLEREAGPRKFSYKDLAAKERVLYEVKIISKLRHRNMVQLIGWCNEKNEFLLIYELVLNGSLNSHLFGKRPHLLSWDIRYKIALGLASALLYLHEEWDQCVLHRDIKASNIMLDSDFNVKLGDFGLARVMNHEHGSHTTGLAGTFGYMAHEYVTKGSASKESDIYSFGIVLLEIVTGRKSLERTQEDNSDSESNEKSLVEKVWKLYGKQEVMTSSVDEK >Al_scaffold_0006_1973 pep chromosome:v.1.0:6:8663967:8664965:1 gene:Al_scaffold_0006_1973 transcript:Al_scaffold_0006_1973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M011] MILGDEHFTQIQAVVRDELIDNYFSRSIIDEWVSIKNFDVSRVNIILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVIGKIVNVIELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKRRSRNTVICIIKFVKLELPQEHRWRCTNVTGCTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0006_1975 pep chromosome:v.1.0:6:8672779:8673634:1 gene:Al_scaffold_0006_1975 transcript:Al_scaffold_0006_1975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M013] MNTVDFYKQISLLYATLEEFCTPTTCPVMNVGRYEYRWADGITVIEPKMVSAPEYVECLMNWIETQIDNEIIFPKNPGEPFPSNFEDFVKRILRKMFRVYAHIYYSHFIKIVTLNEHAHLNTCFKHFLLFVSEFQLVDKEEMAPIKDLVETVLKP >Al_scaffold_0006_1977 pep chromosome:v.1.0:6:8679751:8690200:-1 gene:Al_scaffold_0006_1977 transcript:Al_scaffold_0006_1977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M015] METGGNAQCYYIFLVFGINIIVGSHVWIEDPGAAWIDGEVVKINGEEVHAQTTNGKTVVANIANVFPKDTEAPPGGVDDMTKLSYLHEPGVLNNLAMRYELNEIYTYTGNILIAVNPFQRLPHLYDTHMMEQYKGAGFGELSPHVFAIAEVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTLRNNNSSRFGKFVELQFDNCGRISGAAVRTYLLERSRVCQISDPERNYHCFYLLCAAPPEEREKFKLGDPKLFHYLNQSKCYKLDGVDDTEEYLATRRAMDIVGISEEEQDAIFRVVAAILHLGNVNFAKGKEIDSSVLKDDKSRYHLDVCAELLRCDAKKMEDALIKRVMVTPEEVITRTLDPDSATGSRDALAKTIYSRLFDWLVDKINNSIGQDPNSKTIIGVLDIYGFESFKINSFEQFCINFTNEKLQQHFNQHVFKMEQEDYTKEEINWSYIEFVDNKDVLDLIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFKNNKRFTKPKLSRTSFAISHYAGEVTYQADLFLDKNKDYVVAEHQDLLIASSDTFVAGLFPRLPEETSSKTKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNVLKPSIFENVNVIQQLRCGGVLEAIRISCAGYPTKRTFYEFLNRFGVLAPEVLEGNYDDKVACKMLLDKIGLKGYELGKTKVFLRAGQMAELDARRAEVLGNAARRIQRQSRTFIARKEFRALRGAAIVLQSNCRGKLACNLYEEMRRQAAAVKIQKIFRRHIARESYLRIRHSTITVQTALRGMVARNEFRFRKRMKAATIIQACLRSHLAHSYYKKLQKAALSTQCGWRSRVARKELRTLKMAARDTGALREAKDKLEKRVEELTWRLQLEKRQRTELEEAKTQEYAKQQEALQTMRLQVEEANAAVIREREAARKAIEEAPPVIKETPVLVEDTEKINSLTSEVEALKASLQAERQAAENLKKAFSEAEARNSELATELENATRKADQLHESVQRLEEKLSNSESEIQVLRQQALAISPTSRTMATRSKTMLLPRTPENGNYLNGGTKTTPDMTLAVREPESEEKPQKYLNEKQQENQDLLVKCISQNLGYAGDKPVAACVIYKCLLHWRSFEVERTSVFDRIIQTIASAIEVPDNNEVLAYWLSNSATLLLLLQRTLKATGAASLTPQRRRTTSASLFGRMSQGLRGSPQSAGLSFLNRQGLTKLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRAQANAVAQQALIAHWQSIRKSLNSYLNLMKANNAPPFLVRKVFTQIFSFINVQLFNRHCCSFSNGEYVKAGLAELEQWCIEATDEYAGSAWDELRHIRQAVGFLVIHQKPKKTLDEITRELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVIANMRVMMTEDSNNAVSSSFLLDDDSSIPFTVEDISKSMQQVDVNDIEPPQLIRENSGFGFLLTRKEGGTS >Al_scaffold_0006_1994 pep chromosome:v.1.0:6:8754467:8756917:-1 gene:Al_scaffold_0006_1994 transcript:Al_scaffold_0006_1994 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: keratin filament, chloroplast; CONTAINS InterPro DOMAIN/s: Keratin, high sulphur B2 protein (InterPro:IPR002494); Has 6153 Blast hits to 2882 proteins in /.../ecies: Archae - 6; Bacteria - 47; Metazoa - 4777; Fungi - 68; Plants - 187; Viruses - 30; Other Eukaryotes - 1038 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G20635) TAIR;Acc:AT5G20635] MSAPSGGDGGGGESAAGVSSSSLAPSSLPPPRPKSPPEYPDLYGKRREAARVQMLSREIGFLEGEIKFTEGVQPASRCCKEVSDFVVANSDPLIPAQRKSRRSCRFWKWLCGPCLSLVSFCCCCQSKCSCHLRKPKCCNCTSCSCIGSKCCDGSCCSNICCCPRPSCPSCSCFRGCCCSCPDMSCCIPSCFRNCSCTRPSCLNKKKSSCCSCNCKIRWSSCFRCPKVRLCSCCFCNCKNLCSNPCCLAF >Al_scaffold_0006_2013 pep chromosome:v.1.0:6:8850854:8851021:1 gene:Al_scaffold_0006_2013 transcript:Al_scaffold_0006_2013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M051] PIISIVSGCRRRLRLLYSARWGCEIGDMKTKKIIRRRCNHFCGHRRQRISKKIDT >Al_scaffold_0006_2014 pep chromosome:v.1.0:6:8851891:8852259:1 gene:Al_scaffold_0006_2014 transcript:Al_scaffold_0006_2014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M052] CFSAYYGSKAEGFGLINDSSSLALYFLDKFQVAMVPGDAFGDDSCIRISYATSLDVLQAAVEKIRKALEPLRATVSV >Al_scaffold_0006_2033 pep chromosome:v.1.0:6:8929454:8932174:-1 gene:Al_scaffold_0006_2033 transcript:Al_scaffold_0006_2033 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 2 [Source:Projected from Arabidopsis thaliana (AT5G21040) TAIR;Acc:AT5G21040] MLGETSNEQRSESDTLNLRIENGSVEIPSVKLSCQQKKGTLVPSGSKQLLSDKDLSTTIIDLPQALISEILNCLDPKELGLVSCVSTCLHKLASEHHAWKEFYRERWGLPVVFGATSSGLSDERSWKELFAEREFRSRNFLGRYSIDTLYGHTEAVRIVFLLASAKLVFTSGYDSIVRMWDMEEGLSIAASKPLGCTIRALAADTKLLVAGGTDGFIHCWKSLDGLRNLFDLTGFQKEKTDFRLWGHEGPITSLALDMTSIFSGSWDMSVRIWDRSSMKCVKTLRHSDWVWGLAPHETSLASTSGSDVYIWDIDRETPLTIIRDAHEGTTYSLARSHTGDFLFTGGEDGGIKMFEIRRYGSETSVVLISQWMPHTSPVYSLSFEFPWLVSASGDGKLALIDVRKLLKTNRRAYSRRISSSTVEPPQRMLHGFGSNLFSVDVGCDRIVCGGEEGIVRIWNFTQALEIERRARALKGMRLENRMRRRRMQMEMNAKSGRPDQCSIAAHKNPINGDRNRAWHSKRRASGKAKA >Al_scaffold_0006_2045 pep chromosome:v.1.0:6:9001646:9002004:1 gene:Al_scaffold_0006_2045 transcript:Al_scaffold_0006_2045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0Q5] MLFVQENVSIVDHWLVNGTHYAKTSEEWVKRMDKEIVAIKEIMEVTYGKEEAVKWMVYWRTFFIAVAELFGYNNGEEWMVAHFLFKKK >Al_scaffold_0006_2050 pep chromosome:v.1.0:6:9031163:9032413:-1 gene:Al_scaffold_0006_2050 transcript:Al_scaffold_0006_2050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0R0] MRRSPLGCGGAYDLKASTHGYMVQVCNQRFPCPALVFFYAKMGLHRYNFVQGTKFELSRVKQYVMSTGSAASSYYITLDAIDPAGALVTFQTKVSEHSFGKFILDCHIAKVRGETRDGKGFLMIDHSFPKCPLENPFETYYLVKESELQENAWVLLYLQLAVATTHRYREAKDFGLPNLEILDVAIDDEQGLYAKNAVFYIRYKDYLYKSNLAHDLDRIAIVRRCFDKATGCFSLVGQNLSSHVLIPNTPKITYEDTTGCSTFNGHILSPEPSTLVVTSEDHEAANHLAILSIDEAAAGDSMELDSSLCTT >Al_scaffold_0006_2054 pep chromosome:v.1.0:6:9066493:9068621:1 gene:Al_scaffold_0006_2054 transcript:Al_scaffold_0006_2054 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G21900) TAIR;Acc:AT5G21900] MNSSDIPNDSRGNRRITSDEEAIEALLMAANEVENRDLPLGFQNHDKQWAEEQAARKRRHDYEVSRNYKVARTFALDLHSDLKIVEKTEEEEEVIRDEEKSEFQRAKESIKKRREEISTTSDMEIDPMTVLRKAPTLVELSARVLAQNIVAIKSLKLVPDHLRKKLSYLVSGLGKVDTRLMELLIEDSPNEICAKNCVELEEDDLIKILCDCDRVSLKVLILDLCGRSITDYTIREFFKRAPNGFPSLTTLSLQGAFCLTDIALLLISSSSPLLQFINLTECSLLTFRALKILADKFGSTLRGLSIGGCQGIKWYKGFSSSLYKFEKLNYLSVAGLDSVNDGVVRTFFMFRSSNLTDLSLANCNEVTDDCIWHIGRYCKKLEALDITDLDKLTDKALEFITEGCKYLRSLKLTSNGFSDEGIAACLEVSGGSLNELCLNKVRNVGPHTAFSLAEACKRLQFLDLSWCRRLTQEDLRRILRCCSSLRSLKLFGWTQVDDTFLEELSRSHVHITGLKMTSLYAHLDNFYPSVGAKFF >Al_scaffold_0006_2059 pep chromosome:v.1.0:6:9085642:9088108:-1 gene:Al_scaffold_0006_2059 transcript:Al_scaffold_0006_2059 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G21950) TAIR;Acc:AT5G21950] MAPSFLSVAKFVEALLRRRFSSAGLSLQTLSIDSETTIQFWGPPPSSSSENTQKPSLLLLHGFGPSAVWQWSHQVKPFSQFFRLYVPDLVFFGGSSSSGENRSEMFQALCMGKLMEKLEVERFSVIGTSYGGFVAYNMAKMFPEKVEKVVLASSGVNLRRSDNEAFIARAKCHGIKEVMLPASATDLRRTSGMVSSKRLDYVPDFVLNDFCQEKAELLEGLSIGKDDKTNVSPIQQDVMLIWGEQDQVFPLKMAHDLKEMLGIKTTLKIIQKTSHIPQTEKPKEFNGIVMSFLLPTSPSL >Al_scaffold_0006_2076 pep chromosome:v.1.0:6:9216241:9217902:1 gene:Al_scaffold_0006_2076 transcript:Al_scaffold_0006_2076 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA cyclase family protein [Source:Projected from Arabidopsis thaliana (AT5G22100) TAIR;Acc:AT5G22100] MGKTMKMRMKGSQNLRQRLLLSTLSSTPIVIDDIRADEMIPGLRPHEVNLLRLLEIVTDDAFVEVNETGTQLKYKPGIIMGGKNLVHTCSLSRSIGYYLEPLLLLGLFGKKPLSIRLKGITNDPRDPSVDTFRSTTLNIIKRFGVPAEDLELKIEARGVAPNGGGEILLTVPNVKTLSAVHWVEEGMVKKIRGITFSTRVTSDFEHSMRFAARGIFNNLLPDVHIFQDHRVGAQAGKSPGYGISLVAETTTGCYMSADTTVSCERPDETGELDVEKKERLPAEDTGVEVASWLLQEIEKGGVVDSTHQGLLFLLCALSEQDVSKVRVGTLSPYAVETLRNIMEFLGVKFVIKPDPLTGTVILKCTGSGLINLSRKLS >Al_scaffold_0006_2078 pep chromosome:v.1.0:6:9221895:9223958:-1 gene:Al_scaffold_0006_2078 transcript:Al_scaffold_0006_2078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0U0] MENTRPNEEGRISEPNWSERVEDLVAAGDVTAAISFLESLETNLQSRLGSSSSSERTEFVLQLAAALTQLADLYSSQGLSLKSDELRIRSSLIKQRALDCDRASSRDSGDVENQSIASNGLKSDANVSPADGYKGKTKDSTNVPSNNSAAHDSSDDDWEALADLEPSKLLPVEELPEISKLSVEEPKVEGPKRRGRGTFTYKRDAMYSDRDFSESRFDDSEDNDLSRDSEKTDESLKSKYGTRHVLVLADFSPSLRTADLEKLFKDFKDSGFIIRWVNDTTALAVFKTPAAALEACNHVQCSFTIRVLDDHDSLLGSISGKDLEPPSQRPKTSARTAQRLIAHSMGLKLPASGFGSKELRDQEAARKNRIVSRQKQREDAWGDD >Al_scaffold_0006_2081 pep chromosome:v.1.0:6:9229786:9232347:1 gene:Al_scaffold_0006_2081 transcript:Al_scaffold_0006_2081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0U3] MAFQINPYNEYPIPPTSATPPPPRRWWSRPIVTMPPTNGRKATCMETTVCVTPFCAAIFTIIAVCVYLFHFVDNAHCDAKFVIQSIAVSPSSATWHVDFLVKNPSPRFAIYYKGDETDVSLGLLSAAVLNTSHERKSPSHTAFSVDFLAEGNPNDAVSEQLDIKLRAKHKSYGDDYDNAGHIDLFANSVSVSNANANVSAADWTIGFVARSPVTGCEVSIHTLNSRLLRGSEVISKSSSPSAGYFVTGDKTEVVFEKVVMPKVIGDVIWDSRVEIMFAMNTNARYLNGFLMAACPAIPVKFTTDQAGKVMGSLLGNVKRCDYIFQKQLA >Al_scaffold_0006_2082 pep chromosome:v.1.0:6:9233048:9233796:1 gene:Al_scaffold_0006_2082 transcript:Al_scaffold_0006_2082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0U4] MASSSVPEIVTGYPIPSSLATPPPRRRWWSRPIVTIPPTHVREATCMETTVCCTPCWAGLFTILAVYLLLFHVIDNARCHAKFSIQSIAVSPSSATWHLISSSKTLAPADETTVSLGPLNAAVLDTFHERKSRSHTAFSVDFVAEGNPNGVVFEELYIKLKATHKVYRYVFDNAGHIDIRCHNLTRSYENVERIQCHSSYTKLETLIDG >Al_scaffold_0006_2098 pep chromosome:v.1.0:6:9295763:9297353:1 gene:Al_scaffold_0006_2098 transcript:Al_scaffold_0006_2098 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrilase 4 [Source:Projected from Arabidopsis thaliana (AT5G22300) TAIR;Acc:AT5G22300] MTMQKEMSLVTAAPPVDQIFPEVDMSAGDSSSIVRATVVQASTVFYDTPATLDKAERLLAEAADNGSQLVVFPEAFIGGYPRGSSFELAIGARTAKGRDDFRKYHASAIHVPGPEVERLAEMAKKYKVFLVMGVIEREGYTLYCTVLFFDSQGLFLGKHRKLMPTALERCIWGFGDGSTIPVFDTPIGKIGAAICWENRMPSLRTAMYAKGIEIYCAPTADSRETWLASMTHIALEGGCFVLSANQFCRRKDYPSSPEYMFSGSEESLTPDSVVCAGGSSIISPLGIVLAGPNYQGEALITADLDLGDIARAKFDFDVVGHYSRPEVFSLNIREHPRKAVSFSTTSKVMKDESVNK >Al_scaffold_0006_210 pep chromosome:v.1.0:6:864338:865036:1 gene:Al_scaffold_0006_210 transcript:Al_scaffold_0006_210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7Z6] MTTNQSHQLCSKEGVCFKVTSENLHIEKVVTPSPILQNSHNMQMLCQHSSASLPPSGVTLPKLTSSYVYPLVYPVLQTNILERTLKLNGTVLLVNQSLKQYLCKCLHSSQLCNAYM >Al_scaffold_0006_2113 pep chromosome:v.1.0:6:9373081:9374215:-1 gene:Al_scaffold_0006_2113 transcript:Al_scaffold_0006_2113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:D7M0X6] MSKLQSEAVREAISSIITHCKETKPRNFTETIELQIGLKNYDPQKDKRFSGSVKLPHVPRPKMKICMLGDAQHVEEAEKIGLESMDVEALKKLNKNKKLVKKLAKKFHAFLASESVIKQIPRLLGPGLNKAGKFPTLVSHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGPPNRVF >Al_scaffold_0006_2121 pep chromosome:v.1.0:6:9423471:9424841:-1 gene:Al_scaffold_0006_2121 transcript:Al_scaffold_0006_2121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF247) [Source:Projected from Arabidopsis thaliana (AT5G22540) TAIR;Acc:AT5G22540] MDPQIVVNINNLISADNGLKLLRESAGSESCCNVRIPQSLARINLKAYEPKIVSIGPYHHGKDHLKMTQQHKRRFLKFFVAKMEEKGIDPQELIKAVSSLEGVIRGSYSEDLGLDSQKLVEMMVLDGCFILTLFFVVSGKVVYTNLDDPIFRMPWILPSIRADLLLLENQVPFVLLQTLFETSKLVTCSGLNEIAFEFFDYSLQRPETFWAKHYGLEAKHLLDLIRKTFVPVPSQRSIKDHRKSSFNDHEFLGFVLSAKKLHLRGIKFKRRKNTDSILDITYSNGVLHIPPVVMDDFTASVFLNCVAFEQLYADSSNHITSYVAFMACLINEESDAAFLTERRILENYFGTEDEVSRFYKRIGKDIALDLEKSYLAKVFEGVNEYTSKGFHVHCAEFIHTHFDSPWTFASSFAALLLLMFAALQVFFAAYSYFCPPKGK >Al_scaffold_0006_2132 pep chromosome:v.1.0:6:9468020:9469404:1 gene:Al_scaffold_0006_2132 transcript:Al_scaffold_0006_2132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1H3] MNLFSDAGGAFARRRRDVSNHLSSSCVCSVFRKPFVSFFNLPDHHGALPLAWFLVVGDPFFTLSRTSYLRQYSKLRVAIDETYLALILARSPKAKFAKTLESGLILSLSSRSMESSCFAILVDHGPHRNLAWLKPVMKMAAEGSTLIHQASPVLDPGTLPKWYRNPSSMSTPVPQPPTMSLSTPIICSSSPSRHTQSLIDLVKGELGFMEPIDRGLQLKPILPLLLCWYNFYLRTLPLESPMEIIYEIKRVKKNGIMIPSPRSGGYRSFFNPLSHTLKQTPTDYRIVVILLLADEQIHVALLVPSRVSAMDPLSTSCSLVTVTITSSNASSADVVSTNRVITCAKLLSSFCLQALMDPSSNFISYLCVAIALPLLCCLCFILSFVTFVFLATLTLVLV >Al_scaffold_0006_2135 pep chromosome:v.1.0:6:9484915:9486590:1 gene:Al_scaffold_0006_2135 transcript:Al_scaffold_0006_2135 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone deacetylase 2B [Source:Projected from Arabidopsis thaliana (AT5G22650) TAIR;Acc:AT5G22650] MFDCFLQCYLGIEVKPGKPTKVTPEEDSLVHISQASLDCKVKSGESVVLTVTVDGTKLVIGTLSQEKFPQISFDLVFEREFEISHNGTKGTVHFVGYRSPNIDHEDYTSSEEEDVPEAVPAPVPAAVAVNGSAGAAASNVVKADSKPKAKPAEVKPAEVKPESEDDEDSSDEEDESADDDDSEKGMDVDEDDSDDEEDESEEEEEETPKKPEPINKKRPNESTSKTPVSGKKAKPAAAPAATPQKTEEKKKGGHTATPHPAKKSGKSPVNANQSPKAGGQSSGGNKKFNSGKQFGGSNNKSKGKGRA >Al_scaffold_0006_2137 pep chromosome:v.1.0:6:9493566:9498629:-1 gene:Al_scaffold_0006_2137 transcript:Al_scaffold_0006_2137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1H9] MDEEFLKFLKAKYRERPPLTYLITGEDLISKLPDAMRLEENVYANDASLESFISSCPVLEDLSILRMVEDNIKVLRVHSLTLTSLDIDFYFGENDDMVDDFDTKHSEVLIDAPRLKYLKFQDDLSESKVITNSGSLAKVNIVYVFNENDCADVVDLPRRNMVRNFFISISGVRDMKISGHFVETNLSKPPVTLRGYQSRAPVLHSSATNRRSSSVPESETNNDRERVDIDVNYDVFYNHSAGNVSSKRSAVRKFLIGLPNVRDMTISGKTLKINLSLLEPRTAAPVSSNPWFCFASGFLDFHKDSCLHKFKIFGKRKDVSMCTIMWWLHNAVKRKIRHLDVECYLEQTIDMVPLSLYLSETLVSLRVKCLVLVCFEFVSLPNLKSLNSLKIVVDCTKEWCIGANGDWKVFIDAPRLAYLSLTDTYSVSFEISNLGSSAEVDIDVSFKVNKIWDLDDSFDRSNVCILLTGLASVRDMTIRETTLKDLQKVRGRVENEEISLSSSSVPKCLQSSLEDVAIIRPNYGNGAEMKLSKYFLENSLVLKRFTLDMDCDNEEQENIIFEQFETVQRRSSACEASLVVRDMMYPLSELML >Al_scaffold_0006_2144 pep chromosome:v.1.0:6:9562491:9565486:1 gene:Al_scaffold_0006_2144 transcript:Al_scaffold_0006_2144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1I8] MAEAVVAFGLQKLWELLIRESYRLKGVHEQATELQSDLRRLKSFVKDAETKKSKSERVKNCVDEIVEIVYDAEDIIESFLIKEEKCGRESGIKKHLKSVSCITFSHQEFGSQIRSIISRISKVIDNMERFGVREIIDKEEEIMGPLVEIRQSFPSVSESSIVGVERSVEELVSHLVGEDCVQVVSICGMGGIGKTTLARQVFHHEMVRRHFHGGLAWVFVSQDCRQKHVWRVILQSLRPKNEEQRIVEMTVSGLQDELFKLLETEKCLIVLDDLWSSAAWELIKPAFPHSSGSKILLTSRNEGVGLHPDLKSVIFRPRFLSHEESWEVFQKIALFERNNIEFHVDDLMEEIQQMLKHCGGLPLAVKTLGGLLATKRTSSEWRKVHNNIGSHIAGEIGESDGNGILVFNVLSLSYEDLPSHLKHCFLYLAHFPEDHEIQTETLFNYWVAEGIVMVHSEETTIVDVAEDYLEELVKRSMVLVGKRNTVTSRIESCRLHDVVREVCLFKAKEENFIQVFNAQSLVLNATKVLSPDVSTNRSRRLAVHFVDDDENEPSIFQQRQIQNPKARTLLYITRDFSPWILSSSSFRGLRSLRVLDLFGAQFRRRKLPKSIGKLIHLRYLSLKETNLSVLPSSLGNLELLVYLDLEIYETMVHIPNVLKKMKKLRYLMLPDELSNKTKLELSGLVKLETLKNFSLKHSSAKDLINMTKLKNLWICCASDNPGEEVLPLSLGASLKQLEELMLYNKRNSQTQPVKIDAGAFVSGFQRLNQLRLDIKIEKLPNELQFPSRIASISLSSCDLSEDPMPVLEKLHNLKIVSLELNAFTGRKMVCSKSGFPKLHTLEFSILDNLEEWVVEEESMPFLCRLEINDCRKLKSLPDGLKYITTLEELRVGWMQNEFKDKLIQGGDDHYKIQHVSSVVFYNCGEE >Al_scaffold_0006_2145 pep chromosome:v.1.0:6:9565625:9566305:-1 gene:Al_scaffold_0006_2145 transcript:Al_scaffold_0006_2145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1I9] MMFDGYDADKQDIQQEHFVFTLSSGQQEWRKIDITEEEDPYRHMKGGICIDGAIYYGVLYRKIARFDVRTEKIEFIQGPDGPEDFNAISYLFEKERSLCTGQIHTGEVIIVSRPARLESSKLFCVYYCDMTREDIRRCEIDGIADYEFRRIHGIGKRTRQLLCFPGHIENIMFFQ >Al_scaffold_0006_2146 pep chromosome:v.1.0:6:9566309:9568795:-1 gene:Al_scaffold_0006_2146 transcript:Al_scaffold_0006_2146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M1J0] MKEQVEIQASPSSLGLKSRETLYLTIRHEESGGKCAHLMKEPDESYQRDGEEGNNSLLNPDMLAADDDVVKTFLIFSIKLQGCGSSSHDSPPAAMEDSQPSPSSNLNDAGNSTKNIPFDLTLEILSKLPAKSLIRFQAVSKLWFSIIRSKDFTDSFLTRSKTRPRLLFTFKHFDSRKRFIFSAPEHDNNDKSSTVVARHDMTISDLVYYIRSRPVNGFVCCTRGDSIAVCNPTTRQIVKFPDVKSNGRDVYARLGYDPVQDQYKVLC >Al_scaffold_0006_2148 pep chromosome:v.1.0:6:9592624:9592978:1 gene:Al_scaffold_0006_2148 transcript:Al_scaffold_0006_2148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M1J2] IWMPRITKVKPTNTARSHGKKFMVTVEKKTTRILGNFGWAYMQLRDYTAAKDVYWKAQVIEPEGMLANIKCMVEILPKLLEGME >Al_scaffold_0006_2149 pep chromosome:v.1.0:6:9593612:9596204:1 gene:Al_scaffold_0006_2149 transcript:Al_scaffold_0006_2149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1J3] MAKAVPEVSRRTSSSIITRRSTSHELPLSHMAKAVPEVSRRTSSSIITRRSASHELPILKALQSVAKKHKRGVCGLKPREELHENLKNMVGLLKLPEENKNLFKAKVRLWLEMLIKKCGMKKAVKSGKPKETYETPEKQERFKYIQNQSLLEVDESDDEPLDTKQDQLFEQEFDVEELLSCSGLRYWLKNAVKSGKPKEHMKLLKNTKDICVMPYILSSLGSS >Al_scaffold_0006_2152 pep chromosome:v.1.0:6:9607994:9609872:1 gene:Al_scaffold_0006_2152 transcript:Al_scaffold_0006_2152 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22810) TAIR;Acc:AT5G22810] MVMIKAQPLVPAMFIFGDSVVDVGNNNDIYTIVKANFPPYGRDFTTHTPTGRFCNGKLATDFTAENLGFTSYPQAYLSKKAKGKNLLIGANFASAASGYYDGTAKLYSAISLPQQLEHYKDYISRIQEIATSNNNANASSIISNGIYIVSAGSSDFIQNYYINPLLYKVQSPDDFSDLLILSYSSFIQNLYSLGARRIGVTTLPPLGCLPAAITVAGPHEGGCSEKLNNDAISFNNKLNMTSQDLKRNLIGLNLVVFDIYQPLYDLATRPSEFGFAEARRACCGTGLLETSILCNPKSVGTCNNATEYVFWDGFHPTEAANKILADNLLLSGISLIS >Al_scaffold_0006_2153 pep chromosome:v.1.0:6:9610479:9613535:-1 gene:Al_scaffold_0006_2153 transcript:Al_scaffold_0006_2153 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22820) TAIR;Acc:AT5G22820] MEDDEAKQRRKLEEEEEDFDERREQIENDFPSHHPPPPPDEFFDISTTVDPSYVISLIRKLLPVDSGTDERHNHHMNADNVVHGVVAVSRNTSNGDPESMDIEDNHNESTSEVRGTVSSCREPGMLGGSSVEEAWEDHGCVLWDLAASRTHAELMVQNLILEVLHANLMVSTSPRIREICLGIIGNLACHEGLLKHIESTAGLVNILVGQLFHDDTQCLSEVCRIFTTGLYGAGCTFWADCLQSDDILRRILWIAENTLNPHLIEKSVGLLLGIIEGQPEIGQLLIPPLMNLGLTGLLINLLSVEMSKLTKERIPERYPVLEIILRAIEALSASDSHSKEICSGKELFQLVCDLLKLQDKTEVATSCVTAGVLIANMLSETVDFIPEVSQDFSFLEGLFSTLPFASDDVEARRAFWNVIARLLARVNESEINTLCLSQYILVLLSKADIIEDDLLDTQLEDSNEESQNSFPSQIKSSARTIARQQIRKIESILNNWNVRKEDFQEETVNGNCSINLADVKRLSDCCHRYIK >Al_scaffold_0006_2171 pep chromosome:v.1.0:6:9712565:9714052:1 gene:Al_scaffold_0006_2171 transcript:Al_scaffold_0006_2171 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 37 [Source:Projected from Arabidopsis thaliana (AT5G23000) TAIR;Acc:AT5G23000] MGRAPCCDKTKVKRGPWSPEEDSKLRDYIEKYGNGGNWISLPLKAGLRRCGKSCRLRWLNYLRPNIKHGDFSEEEDRIIFSLFAAIGSRWSIIAAHLPGRTDNDIKNYWNTKLRKKLLSSSSSSSYSPSAMASHLNPNSQDVKRPLTPSTTIPSSSYNPYAENPNHYPTKSLISSINGFEADEKQIFPYISPNYPQDPSLSDSSNNTWEASGFLLNHNMCDHYNNHTSFSSNVNGKRSEIMMKQEETMMMMMIDHHIDQRTKGYNGDFTQGYYNYYTGHGDLKQMISGTGTNSNINMGCSGSSSSSISNLAENKSSSSLLQHKCLPYFYS >Al_scaffold_0006_2196 pep chromosome:v.1.0:6:9906190:9906673:-1 gene:Al_scaffold_0006_2196 transcript:Al_scaffold_0006_2196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1P0] MTSMKLHILALFVIVSFLVSAQSTRIMDASSDCEFKGPCHKKEDCYDSCGVNKPPFNNALCVPGRDSFQCCCILS >Al_scaffold_0006_2200 pep chromosome:v.1.0:6:9924156:9925705:-1 gene:Al_scaffold_0006_2200 transcript:Al_scaffold_0006_2200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LYX3] VMCDPYTPAGVPIPTNKRHNAAKIFRHQSLPPRSLGFSKDDRYMAGPIDINKKLLL >Al_scaffold_0006_2202 pep chromosome:v.1.0:6:9932919:9934670:1 gene:Al_scaffold_0006_2202 transcript:Al_scaffold_0006_2202 gene_biotype:protein_coding transcript_biotype:protein_coding description:sugar transporter 11 [Source:Projected from Arabidopsis thaliana (AT5G23270) TAIR;Acc:AT5G23270] MAGGAFIDESGHGGEYEEGRVTAFVMITCIVAAMGGLLFGYDIGISGGVTSMEEFLTKFFPDVLRQMQNETGRETEYCKYDNELLTLFTSSLYLAALFASFLASTITRLFGRKVSMTIGGFAFLTGALLNGLAINLEMLIIGRLFLGVGVGFANQSVPLYLSEMAPAKIRGALNIGFQLAVTIGILAANVVNYVTPKLKNGIGWRLSVGLAGVPAFMMLLGCFFLPDTPNSILERGNKEKAKEMLQKIRGTMEVDHEFNELCNACESAKRVKHPWTNIMQARYRPQLTFCTFIPFFQQLTGINVIMFYAPVLFKTIGFGNDASLISAVITGLVNVLSTIVSIYSVDKFGRRALFLQGGFQMILTQIAVGSMIGWKFGFNGEGTLSEVDADIILALICLYVAGFAWSWGPLGWLVPSEICPLEIRSAGQSLNVSVNMFFTFFIGQFFLTMLCHMKFGLFYFFAGMVLIMTIFIYFLLPETKGVPIEEMGRVWKEHRYWGKYSRNDNGDDVDDDVYMFS >Al_scaffold_0006_2203 pep chromosome:v.1.0:6:9936411:9937545:-1 gene:Al_scaffold_0006_2203 transcript:Al_scaffold_0006_2203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1P9] MIPYTSDSCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0006_221 pep chromosome:v.1.0:6:903345:904244:1 gene:Al_scaffold_0006_221 transcript:Al_scaffold_0006_221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LWL7] VRWWFDEFLKPFDFRSATSWSGLLLIDGSGVYRRGGDVSAFIYGSMGSFATVVVDGHPRRLWAKQWNRSLALLLRGRRQFFVRRWLKLVYALFLVCFALYVWLVRCDGSCICVSGLGGFLLSSLSVCYKFDFGVRSSDLIGASDVNRFFGKLGETLWFLCSNSAWISYFPSQFLLFTYLSLSFA >Al_scaffold_0006_2229 pep chromosome:v.1.0:6:10072909:10074794:1 gene:Al_scaffold_0006_2229 transcript:Al_scaffold_0006_2229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2A3] MHVQPGTSVDETPLISKTETKNFFFFEGDDLLPAIEDLQIAGEPYPGHELQACGYSTNGTTSCNFEWVCRLEDGTVNYIDGAKQPNYLITADDVGLYLAIEVHPLDDRNRKGELVKVFANDNRKIACHPDMQSNIDKTLHTGHASYKVSLATGFLDKWEAATLSIKREGYSIKCDNDLTIAEYFSASTAVKIPFGKPAELVIIGSDGSEHSLRAENGLTDLIGSRDEIVLTLRLFIKTVMFFRALKFILSLSL >Al_scaffold_0006_223 pep chromosome:v.1.0:6:908987:910073:-1 gene:Al_scaffold_0006_223 transcript:Al_scaffold_0006_223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWL9] MDELAIKGLKLLELNDNGADSQTPRSILSNSCWKNISLHAERSQIFMFPKTLKGKSSRGLLASSLPALMLNSANVAFMRIEGEDVEEKALQLSGTDVGGWTAIVKPKPKEETYLYVNGEICLGKALKLSGRYMGGMTLVVEPLVLRPEKVKNRRPRYCTTTGYMPQVHKFAKKKNKALSRAVPWVVWNRSGV >Al_scaffold_0006_2242 pep chromosome:v.1.0:6:10123740:10124857:1 gene:Al_scaffold_0006_2242 transcript:Al_scaffold_0006_2242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like transcriptional regulator [Source:Projected from Arabidopsis thaliana (AT5G23650) TAIR;Acc:AT5G23650] MALAQKTSVGSSWNKDDDIAFERALAIYNDKTEIRWKKIATVVPGKTLEQVIEHYNILARDVMLIESGCIPLPDYEFSEEPNQDASDKERSILEGGNNRKCEFKHKGKSKLKQKRRKGIPWTSIEHRQFLLGLKKYGKGDWRSISRHCVVTRTSTQVASHAQKYFAHINSKDKKKKRPSIHDITVVEKQKPITWQNRNINGATTSNTQANQTTLQQSLNLPIYDRPNIWNTQATQAISQPSRNHPTYGASTTWNTQAALQPSANIPMYGTSTISQPMVGPMLSTFGTNLNRMAPPHMTSGVQHKPYYSGPSAPINMDSIPYNIDLYN >Al_scaffold_0006_2254 pep chromosome:v.1.0:6:10185457:10188028:-1 gene:Al_scaffold_0006_2254 transcript:Al_scaffold_0006_2254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2D0] MRYCGSGMPSMEEGCEFEISYRMDPTSLRIMSTCILEDIRYKAIVVAKPPKNPKSRRSVLCVRLLKDDFSTPLNDHTFKVLRRPIPPTHSLDCIPIKIGCIVEADYKYAWVTGFVVKEIDDYKCLVCFYSPPDIIHFERKLLRPYLEWLGERRDPGWFGTSRRNEKFLRLLAEEPMFSPGTMVEVSSKINETEVVWLPAMSLSCKSSKSEPNRIVDFRSLRPTPPSISVDEYQSEEYVEVFHDGMGWRQGRVMGTLSQKLFTVLLEATKKELAFKHSDLRPLKLWENGIWKMLQTRESPLTQKSGYKMSDSVMNANESDPPVTPPPGITITPLKQEEVETQRKTFPKKTLPRNQNGSGNDSTRENENIEDVNRKRKREENLCSVASAEEDKAKDTRMVLPFEKKLRIWKTLESMEVFKTVPQSPHFSPLVESREDSREISAVGMMLTFSGLLDEVKALQHDDPISSFDSLNDSFSKLEKHGLNVKVPQLRLNKLLSLRDRQSKKTEELKGAEKVTAEKESVKAENERKILELQRLNEEMDKEIAQSKSCATKIVQQLDDVKLEFKATASAPW >Al_scaffold_0006_2255 pep chromosome:v.1.0:6:10189554:10192226:-1 gene:Al_scaffold_0006_2255 transcript:Al_scaffold_0006_2255 gene_biotype:protein_coding transcript_biotype:protein_coding description:galactinol synthase 5 [Source:Projected from Arabidopsis thaliana (AT5G23790) TAIR;Acc:AT5G23790] MAQMTMTVEKRIETDVVSLGGVKRAYVTFLAGNKDYWMGVVGLAKGLRKVKSAYPLVVATLPDVPEEHRQILVAQGCIIRDIKPVYPPENETGYSMAYYVINYSKLRIWEFVEYEKMIYLDGDIQVFSNIDHLFDTPRGYLYAVKDCFCEVSWSKTPQYKIGYCQQSPKKVTWPVESLRAPPPVYFNAGMLVFEPNLITYEDLLRVVQITTPTYFAEQDFLNVYFRDIYKPIPSTYNLPGPMLWRHPEHIDLDQISVVHYCANGSKLWRFDGAEEHMDREDIKMLVKKWWEIYEDSSLDYKNFVETESKLNPVTATLASKESVGDALTSLAPSAA >Al_scaffold_0006_226 pep chromosome:v.1.0:6:921383:923447:1 gene:Al_scaffold_0006_226 transcript:Al_scaffold_0006_226 gene_biotype:protein_coding transcript_biotype:protein_coding description:lysine decarboxylase family protein [Source:Projected from Arabidopsis thaliana (AT5G03270) TAIR;Acc:AT5G03270] MTKKQSSRFKRICVFCGSSNGNKASYQDAAIDLAKELVMRKIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKLLMLQECSFCLSVNVSQTNTKITGETVGEVREVADMHQRKAEMAKHSDAFITLPGGYGTLEELLEVITWAQLGIHNKPVGLLNVDGYYDALLSFIDKAVEEGFILPTAGHIIVSAPTAKELFKKLEEYVPQHK >Al_scaffold_0006_2262 pep chromosome:v.1.0:6:10219369:10220621:1 gene:Al_scaffold_0006_2262 transcript:Al_scaffold_0006_2262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2D8] MIELGPSRGHVDVEKWIANAVDRRVRELGLKIAWSAGPASLSKSLYTLHNEGIEGSLVIDSLALKQIFYRDYSGDSCSIENKTCFDKAAIDFFSYPDDKFMRSISSVTNLELDLSVATAAWCNAINFSQLIECTVTLLAELDWLESLMGLLQNSPILEVLFIDQTFIRFEEDFSLSWNEPSFVPGCFAAHLKIFEWKGYIGRHKEKEAIKYIFANSNCLERVQVSMESTCKLKDREKMMKELESMSRVSTSSQLLFSTQLEFPSFLNEID >Al_scaffold_0006_2264 pep chromosome:v.1.0:6:10232333:10232829:1 gene:Al_scaffold_0006_2264 transcript:Al_scaffold_0006_2264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M2E0] MGLGLQQFPVSTQEKLIEFFLRIAGYELNYSMTALVLGEGCVGKSSTVNSLIGEQVVHVSPFQAEGLRPVMVSRTMEGFTINIFDIPGLLEAGYVNHQALELTKGP >Al_scaffold_0006_2277 pep chromosome:v.1.0:6:10289663:10292741:-1 gene:Al_scaffold_0006_2277 transcript:Al_scaffold_0006_2277 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferric reduction oxidase 4 [Source:Projected from Arabidopsis thaliana (AT5G23980) TAIR;Acc:AT5G23980] MGNMRSLVKMLMVVLFLGWIFVWIMISTNLFKSTWTPKLAKNLNTTYFGPQGTNLVLLTVPMMFIAVLSCVYLHVQKKPTQPQREWKLKRRMGRVIMVMNPLGIVTATELTFSLLFVALLAWSLYNYLYISYHIHLHNDDNAKIWQAKFRAFGLRIGYVGHICWAFLFFPVTRASTILPIFGLTSESSIKYHIWLGHISNFLFLVHTVVFLIYWAMINKLMETFAWNATYVPNLAGTIAMVIGIAMWVTSLPSFRRKKFELFFYTHHLYGVYIVFYVIHVGDSWFCMILPNVFLFFIDRFLRFLQSTKRSRLVSARILPSDNLELTFSKTPGLHYTPTSILFLHMPSISKLQWHPFTVTSSSTLEKDTLSVVIRKQGSWTQKLYTQISSSIDSLEVSTEGPYGPNSFDVSRHNTLILVSGGSGITPFISVIRELIFQIQNQSTKLPDVLLVCSFKDYHDLAFLDLIFPLDISVSDISRLNLRIEAYVTREDKKPETTDDHRLLQTKWFKPQPLDSPISPVLGPNNFLWLGVVILSSFVMFLLLIGIVTRYYIYPVDHNTGSIYNFSYRGLWDMFLGCVCIFISSSIVFLWRKKQNKEGDKETKKQVQSVEFQTPTSSPGSWFHGHERELESVPYQSIVQATSVHFGSKPNLKKILLDAEGSEDVGVMVCGPKKMRHEVAKICSSGLAKNLHFEAISFNW >Al_scaffold_0006_2278 pep chromosome:v.1.0:6:10296456:10299527:-1 gene:Al_scaffold_0006_2278 transcript:Al_scaffold_0006_2278 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferric reduction oxidase 5 [Source:Projected from Arabidopsis thaliana (AT5G23990) TAIR;Acc:AT5G23990] MGDMRSLVKMLMVVLFLGWIFIWIMISTDLYKSKWTPKLAKNLNTTYFGPQGMNLVLLTVPMMFIAVLSCVYLHTQKKPTQPQREWKLKGRMGRVIMVMNPLGIVTATELIFSLLFVALLIWALSSHLYLSYHVHLHNHDNAKIWQAKFRAFGLRMGYVGHYCWAFLFFPVTRASTILPLVGLTSESSIKYHIWLGHVSNFLFLVHTVVFLIYWAMTNQLMETFAWNPTYVSNLAGTIAMVIGIAMWVTSLPSYRRKKFELFFYTHQLYGLYIIFYVMHVGDSWFCMILPNIFLFFIDRYLRFLQSTKRSRLVSAKILPSDNLELTFAKTSGVHYTPTSILFLHVPSISKLQWHPFTITSSSNLEKDTLSVVIRRQGSWTQKLYTHLSSSIDSLEVSTEGPYGPNSFDVSRHGSLILVGGGSGVTPFISVIRELIFQSQNPSAKLPNVLLVCAFKHYHDLAFLDLIFPSDISVSDISKLNLRIEAYITREDKKPETTDDHRLLQTKWFKPQPLDCPISPVLGPNNFLWLGVVILSSFFMFLLLIGIVTRYYVYPVDHNTGKIYNFSYRALWDMFLGSVCIFISSSIVFLWRKKQNKEGDKESKKQVQSVEFQTPTSSPGSWFHGHERELESVPYQSIVQATSVHFGSKPNLKKILFEAEGSEDVGVMVCGPRKMRHEVAKICSSGLAKNLHFEAISFNW >Al_scaffold_0006_2283 pep chromosome:v.1.0:6:10325129:10326370:-1 gene:Al_scaffold_0006_2283 transcript:Al_scaffold_0006_2283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M2F9] RRCKRIWQEKGRKMVTIVDPHIKRDDSYFLHKEATRMGYYAKDSSGKDFDGWCWPGSSSYIDMLSPQIRKWWGGRFSYKNYVGSTPLYTWNDMNEPSVFNGPEVFDTMEMIKYIKLSSPSCIGFGIEEIADGESEVEDENQGMRMKENTKMT >Al_scaffold_0006_2287 pep chromosome:v.1.0:6:10338030:10338317:1 gene:Al_scaffold_0006_2287 transcript:Al_scaffold_0006_2287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2G3] MATVERMNCLGPVNSKDLLTKTEVLPQPQNRQDGPTKVQQRSKISVHEKPIPTVSATVKSRVPRTCRVQGTEGLCHEIHLSNRFNALGSGAIKCS >Al_scaffold_0006_2288 pep chromosome:v.1.0:6:10342798:10343348:1 gene:Al_scaffold_0006_2288 transcript:Al_scaffold_0006_2288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2G4] MGRLKSNNVQRFRSMRSPFPQSATVKSRVPRTCRVQGTEGLRHEIHLSNRFNALGSGAIINKHCILWIKLNKKAKPFIIFFKFFSKSGKTFILHRFPFIAFSTSSSAFLLHMVFAAAISIALSRQGMCTHDDAIIRQYRWKKKKKHRRRRLQIKARKSEIDQKLS >Al_scaffold_0006_2289 pep chromosome:v.1.0:6:10344557:10347305:-1 gene:Al_scaffold_0006_2289 transcript:Al_scaffold_0006_2289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24060) TAIR;Acc:AT5G24060] MINLLDFEWTCMHIITRCGFLKCNSDYLTRKHLRRNRTQAIAEYLGSASNPKKPTGKSSYHPSEEIRAYVPENNPRDSRLSPPETARTIIEVNKKGTLMLSGLLGIGVHENILWPDIPYVTDQHGNIYFQVKENEDIMQTVVASDNNYVQVIVGFDTMEMIKDMELSSPSGIGFGIEEIEDGESEVEDENKGDEDEGEDKDDEEWVAVLEDGDDEDNYVSDSDESLGDWANLETMRYCHPMYFATRMAEVTSTDPVNWMEQPSAGLAIQGLLSRVVVEDHSDIQKHISGCKSTGTDKNKEGVNSEEKFEGIGENESEILQVENSRNAIAYYKLEIIRIQLITAQGHQARFSRLTEVKVEDVRKVQPDAIARASDGILTRLEEDGDKLTEALKSLCWRHNGIQAEEMKLIGIDSLGFDLRICSGMQIETLRFAFSIRATSVHKAEGQLRELLFASTPPKPLETKTN >Al_scaffold_0006_2299 pep chromosome:v.1.0:6:10402325:10410860:-1 gene:Al_scaffold_0006_2299 transcript:Al_scaffold_0006_2299 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G24150) TAIR;Acc:AT5G24150] MDMAFTHVCVCTLLAFVLTWTLFYDTNRGKKATKSADAVVEERKDGAAADVIIVGAGVGGSALAYALAKDGRRVHIIERDMREPERMMGEFMQPGGRFMLSKLDLQDFLEGIDAQKATGMAVYKDGKEAVASFPVDNNKFPYDPSARSFHNGRFVQRLRQKASSLPNVRLEEGTVRSLIEEKGVIKGVTYKNSAGEETTAFAPLTVVCDGCYSNLRRSLNDNNAEVLSYQVGYISRNCQLEEPEKLKLIMSKPSFTMLYQIGSTDVRCVFELFPNNVPSISNGEMVNFVKNTIAPQVPSKLREIFLKGIDEGAHIKVMPTKKMSATLSDKKGVIVLGDAFNMRHPAIASGMMVLLSDILILRRLLQPLSNLGDAQKISEVIKSFYDIRKPMSATVNTLGNAFSQVLIASTDKAKEAMRQGCYDYLCSGGFRTSGMMALLGGMNPRPISLIYHLCAITLSSIGHLLSPCPSPLDIWHSLRLFGLATKMLVPHLKAEGVSQMLFPVNAAAYRKSYMAATAL >Al_scaffold_0006_2302 pep chromosome:v.1.0:6:10427577:10430725:-1 gene:Al_scaffold_0006_2302 transcript:Al_scaffold_0006_2302 gene_biotype:protein_coding transcript_biotype:protein_coding description:squalene monoxygenase 6 [Source:Projected from Arabidopsis thaliana (AT5G24160) TAIR;Acc:AT5G24160] MDIAFTHVFVWTILAFVLTWTVFYVTNRGKKATKLADAVVEEGKDGAADVIIVGAGVGGSALAYALAKDGRRVHVIERDMREPERMMGEFMQPGGRLMLSKLGLQDCLEDIDAQKATGFAVYKDGKEAVAPFPVENNNFSYEPSARSFHNGRFVQQLRRKASSLPNVRLEEGTVKSLIEEKGVIKGVTYKNKEGEETRALAPLTVVCDGCYSNLRRSLNDNNAEILSYLVGFISKNCRLEEPENLHLILSKPSFTMVYQISSTDVRCGFEVLPENFPSIANGEMSTFMKNTIVPQVPPKLRKIFLKGIDEGAQIKVVPAKRMSASLSKKKGVIVLGDAFNMRHPAIASGMMVLLSDILILHRLLQPLSNLGDANKVSEVINSFYSIRKPMSATVNTLGNAFSQVLIGSTDEAKEAMRQGVYDYLCSGGFRTSGMMALLGGMNPRPLSLIYHLCAITISSIGQLLSPFPSPLRIWHSLKLFGLALKMLVPNLKAEGVSQMLFPANAAAYRKSYMAATAL >Al_scaffold_0006_2305 pep chromosome:v.1.0:6:10442467:10443963:1 gene:Al_scaffold_0006_2305 transcript:Al_scaffold_0006_2305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3-related protein [Source:Projected from Arabidopsis thaliana (AT5G24180) TAIR;Acc:AT5G24180] MGRGFRKRLGGKAIASEDTDTFSIFGPFHLTSIDWTNPYHRTSVASSLVNGVYTLEADRLEERVGSEALAKPWWEFFHFTLLETLNDDDSSIYGAIFEYELYNLYENTPHVKVPRYVIAFRGTVLKGKTWKFDLKLDLKCIFNTLHQGNRSMYALNAVRRMVDKHNHSAIWLAGHSLGAALVLLAGKTMTRVGFFLESYIFNPPISSIPLEQLPGGDIIKGVFQIAKSVVKATVAMALTDLQVPIDDPKTASWIPYLYVNPADPICAGYIDYFRHKTFMSEIGASNIERTGARKSVRSLLVGRRGKTLSSDLSSEPLHLLPSADMTVNKNKPTKSTTAHGLHQWWERDSTLRENWESCCIRPYPEDKLEQLTLQ >Al_scaffold_0006_2307 pep chromosome:v.1.0:6:10457204:10458993:1 gene:Al_scaffold_0006_2307 transcript:Al_scaffold_0006_2307 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24210) TAIR;Acc:AT5G24210] MKKERFVPPNLIGVMFGARSLRNGKRQEKKRNGSESLATPWWKSFNFTLVESETLYDARDGSIYGAVFQNVINYENTPDSIVPPRYVIALRGTAPTMNDVLHNIRVPFETLHHGDRSKHGIEEIRSFVAKHGNTAVWIAGHSLGAGLALLAGKNMAMSGLPVEAYIFNPPISLIPIEQYGYNHTLNCVYRFTRDIFKAGIAKVLDLDEVSINILNSDVICSEYIGYFNHVVNMTEAGLGEISRLASGYSVRRMLIGDGENWSSSSSPDHLHFLPSAFMMVNKTESSEFYDNHGIHQWWNHMLKQSATFNSY >Al_scaffold_0006_2318 pep chromosome:v.1.0:6:10518444:10530100:-1 gene:Al_scaffold_0006_2318 transcript:Al_scaffold_0006_2318 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-irradiation and mitomycin c induced 1 [Source:Projected from Arabidopsis thaliana (AT5G24280) TAIR;Acc:AT5G24280] MFRFHVVSVFAFQMSSRRSVKRSLILDDDEDEDIFYSFKVLLPNGTSVKLTVNNPDPEMSMQNFVNLVKKEYDNARKDCVLLSKRTKVDWNSGGKFYLESNGDKMKGIVRFAAFKPNLCHIIRLDDGSGIAFTMYENLWDLTPDTDLLKELPENYSFETALADLIDNSLQAVWPFREGARKLISVDISGDRITVFDTGRGMDSSEENSIDKWGKIGASIHRSQKTGAIGGKPPYLKPYFGMFGYGGPYASMFLGSLTLFSVRRTLVSSKTKDSKKVFTLQFKKEALIDNRSILGKNWKTDGGMRDPSEEEMELSPHGSFTKVEIFESEFDISKIYQLQCRLKDIYFPYIQFCPSTIFLCDELSKTGRTERPVEFQVNGEDLAEITGGEVAITNLHSKGQVYSFQIRFTLTGGKRKGTTQEANARLKFVYFPIVQGKESIDKILESLEEEGCKVSESFQTFGRVSVRRLGRLLPEVRWDSIPFMQRGYRASTLQKGCRRVKCFVDLDAGFSPTPSKTDLASQNPFSVALRNFGSKSTEKEKDDDVTIVTHREGKSVSYAHLDEKYQEWVLEMHNTHDEEAASGADEAVLIVGSLDKKALGILRDAVRVHKEVTRKGMSWKRGQNIKILRGAYAGVHNNNVYATIDYFLIEGFEDEAGGDTRILCRPIDRPENEGCKLSIIDGISKLEVRSSLSLPITIIDSGKCLHVDANEWNRKLDKQQEKAPSKIDLLDERDCRELKIDGELPVGDSVRAGKATPKQIVAVVRPACFTSSTPSKKLDQKHIVKMDGEEMVMVVTLKSSDKNVKSVCSQRMFPTSRKGISGLYIFPLGSKFPNLFKKAGTYKFSFSIGNLIKCNKTVVVRPSSKAAKWELDDNLESLTCNVRVGSSLPPFRIACFDEYKNQILFSSVPSLEVELEANPGFLIKIDKIETNLINDGSILKIENMLVETDGLDQIRPNYKATLEIRAMDKPFSVSVPCKVNPGPLKRVAVNNPDALENLLPDSTVEDLILEVYNIGLCTFLNRNQVFPSNLGTDVLINIDGYIIEDWMGINRKVDGRGCIDLSGILKVTEGYGKSVSLSVMSGNEVIFRKESQIEERELRLVTELPDCCAAGSNLVNLIFQVTDSDGSLDTRIHHDEKSGCFHTMCIESDSSIVESTIRYAFVHGSCKVPSLSLPENEGVFSYRVFHSRYPELHMSVKVTCAPTFERDEIGYSTPYSTTPPPESGMPSITNPSSTPCSQFGVLAIRSSSLALCSQTGLMDIAQYTESLKETINSEEELRVELDKRLKCLQDQHEHAEQECSRLQASLEPLGASFPECLSTKELMMKQIEDKHHDTAASVFCCLYRKAPPPQSLFLSKKGMFGLVALLGSVASTSLSRVLSEYLGKDTMLSLVCKSSQFGPKSDEYRKLQSEAASLGRSITNRFLVICLDAIRPWRNGLVKNDPQKRLAMDNPYLPNGDPILGFKGYAVNMIDLSSEELNIQSSSGYGLRETLFYGVFGELQVYETGEHLEAALPHINGGDAVSLDGVIARENGFIYSGCCTPEIHFPITVTERQEKALVQLEIIRDKKRKVEQMMTEENCKLRKVVKKLKKANEKYQHFTAMAADSSYS >Al_scaffold_0006_2322 pep chromosome:v.1.0:6:10546001:10546488:-1 gene:Al_scaffold_0006_2322 transcript:Al_scaffold_0006_2322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M326] MEEFTFKFQSTDEIARQKALNYIYLLQGVSSVTFSEQSNLLTVKGEGIDKAKIMRKIDKFFQPKKSFFSCFS >Al_scaffold_0006_2325 pep chromosome:v.1.0:6:10568928:10569725:-1 gene:Al_scaffold_0006_2325 transcript:Al_scaffold_0006_2325 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT5G24316) TAIR;Acc:AT5G24316] MKLVILVSFLLVLPMFSSGIVETLHLDNVNRKVKELVMSGRRIDLEMDYTGPHPRPPNPGPANPTNPRTQVDEVVTKEPTEYIIDYGDWSPRPVTPRPRPYVPPPPPPPSRRPPFTTNH >Al_scaffold_0006_2327 pep chromosome:v.1.0:6:10573546:10576370:-1 gene:Al_scaffold_0006_2327 transcript:Al_scaffold_0006_2327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24320) TAIR;Acc:AT5G24320] MESLIEEEEEEETRFFDALEEIASCSSTSLCRIEYDESLSSISGEFRYDVWIKSPGNTEERREKFLNWMGLSSTVKEDKSGNVNCLSRSVNESAVLMSLKSDDDEFSSCRCDSSVFSPSESVDRIVKEEEVDSGMVLRNLGFGDDDDDEISSSLCSVSSSPVSGSTDRFVKENGEALPDPMVAAEQRDVGGIMKRVKEKWLSRLYKMRNKQSAGDDNGGEVAVCGSRIERVKVKEYKKEAKELSALFKGQEIQAHEGAILAMKFSPDGRYLASAGEDGVLRVWSVVEDERCEEHDVPKIDPSCIYFEVSKLSELRPVAVEKDGITGSLMSPRKTTESACVIIPPKIFRVLDKPLHEFLGHSGDILDISWSKNNRLLSASVDNSVRLWQIGHEDCLGIFSHSNYVTSVHFNPVDDDHFISGSIDGKVRIWSASQCQVVDWADARGIVTAVCYRPDGQAVIIGTLTSDCRFYNLSGHCLQLDGHICLHNKKKSSNKRIIGFQFLLQFDFTDPSRVMVASADSQVRIISGRNVVHKYKGSRNAGNQISASFTADGKHIVSACDDSSVYVWNCVGHDPEQPSPGFFSHTKRLKIRSFEKFSADVSVAIPWCGFTPVLSGGSELSPSLFSLGREYVLDSPKGSATWPEEKLASSFSPVKAIRRSHYKFLRSSCRRTSESSHLWGLVIVTGGWDGRIKLFHNYGLPVPV >Al_scaffold_0006_2331 pep chromosome:v.1.0:6:10598934:10599356:1 gene:Al_scaffold_0006_2331 transcript:Al_scaffold_0006_2331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M335] MARVIEGGREYESTERSREASTTDVAVPTKAPLDASVPTEDPTDSAVAVFVPRVDASVLELSDREGKDSVRESNEDVRESDKEVSESKEKEKEVRELSIDGQGCDNEEEERVGDAEGGERSGDNEDEDVAGVVKVSNSWY >Al_scaffold_0006_2333 pep chromosome:v.1.0:6:10620713:10623010:1 gene:Al_scaffold_0006_2333 transcript:Al_scaffold_0006_2333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M338] MMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPLDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGVPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSF >Al_scaffold_0006_2337 pep chromosome:v.1.0:6:10641534:10643238:-1 gene:Al_scaffold_0006_2337 transcript:Al_scaffold_0006_2337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M342] MSSLSIHRSGDLKSKSKSFPYAFVSSSPLSPKSHLRRNRQGEHESRPPGIKEMKKLRKTKGKEKASASAAPSAASASPSAEFSKMFELKLKDLEGMKELQKLSILDSLIAKKENLDEEDKVVKKKLVAELFLT >Al_scaffold_0006_2354 pep chromosome:v.1.0:6:10740297:10743516:-1 gene:Al_scaffold_0006_2354 transcript:Al_scaffold_0006_2354 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta glucosidase 31 [Source:Projected from Arabidopsis thaliana (AT5G24540) TAIR;Acc:AT5G24540] MAIKLIALVITLCVASWDTAEGRSLRFSTTPLNRYSFPPHFDFGVASSAYQYEGAVEEGGRSLSIWDNFTHAFPERTNMDNGDVAVDFYHRYKEDIKLIKEMNMDSFRFSLSWSRILPSGKVSDGVNQDGVQFYKNLIDELIKNGIKPFVTVYHWDIPQALDDEYGSFLSPRIIDDFRNYARFCFQEFGDKVSMWTTFNEPYVYSVSGYDAGNKAMGRCSKWVNSLCIAGDSGTEPYLVSHHLLLAHAAAVEEFRKCDKISKNSKIGIVLSPYWFEPYDIASNADKEAVERALAFNIGWHLSPLIFGDYPEIIKTSAGNRLPSFTKEQSMMIKNSFDFIGVNYYTARFVAHDLNVDISRPRFMTDQHLQYKLTNRSGDTISLESDGTKILWSYPEGLRKILNYIKNKYNNPTIYITENGFDDYENGTVTREEIIEDTKRIEYHQKHLQQLQKAIIEDGCNVKGYFTWSLLDNFEWEHGYAVRFGLYYVDYKNGLKRHAKNSSIWFKHFLLRSGKPMPMDLFKSVKRWWYGLQMI >Al_scaffold_0006_2362 pep chromosome:v.1.0:6:10792199:10794554:1 gene:Al_scaffold_0006_2362 transcript:Al_scaffold_0006_2362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M368] MEKNIYFNLNFDIYEPLYIRKTRSNQSSSTHAIIVKRASVRTYSFVPIETSLDVNPKNKNAVEKERQFILRSVTRRRSFDKKLISNTKTQLKDELIIDESDTEDKISSDTFRKIKSKQETIGLGRLESNDYIAADTAKEVIFRPKNEKAVIKKAKFSPEVSRYNEKRKSSSKNEASLHELRPKHIEETISMNSNRHKSSIDKESYDKENAHGQSSETGFVTMKKARFREARDQRSMAKPNVGVLVECSRRK >Al_scaffold_0006_2367 pep chromosome:v.1.0:6:10807012:10810917:-1 gene:Al_scaffold_0006_2367 transcript:Al_scaffold_0006_2367 gene_biotype:protein_coding transcript_biotype:protein_coding description:double-stranded DNA binding [Source:Projected from Arabidopsis thaliana (AT5G24630) TAIR;Acc:AT5G24630] MAAHKAYWAFSKLYLHVGDSIPLVTKHLETTNNQFLPNLPAFFTYFNIAAGEIFASSLNDSPYRESEAVSSLPLPDDDGDDTTILEKESVESQESVELLLSRKNSETNLVMKQVSIEQVFSRKKKADASLNLEGKQNGNNVDGEKLSTKHKDAQGGDDSVWLVSSDSEPSSSAPIKQEVAVSTEKDEDFVLDATEEEPAVKKVGKEKSPKTKSKSGRKTPKEGNGAQKIFKSEDKVINTTIAEEVTMEKSPETKSKSSRKTPKEENSAQKIFKSEDKDTDTTIAEEGTMDQKIKPSSGSSSRLPLVLSEKVNRTKVLVECEGDSIDLSGDMGAVGRVVVSDTTGDMYLDLKGINTFSFHVSGTIYKSTIIPSRTFCVVNVGQTEAKIEAIMNDFIQLTPQSNVYEAETMVEGTLEGFSFESDDETNKNAKTASKPADQSGGTEEETNTKAKPKAKAKGETVIGKKRGRPSKEKQPPAKKARNSAPKKPKSKK >Al_scaffold_0006_2373 pep chromosome:v.1.0:6:10846524:10848759:-1 gene:Al_scaffold_0006_2373 transcript:Al_scaffold_0006_2373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M379] MSSEKMGPVGGNMGDAFTDDAFDGVKKITVGKDLECVSYIKIEYEKDGKFETREHGTIRGELKEFAVDYPSECIIAVGGSYGNVDLYKAVLIKSLFFKTSYGRTSPIFGETNSFGNQLMLEGKNGGKLLGFHGRSGQAIDAIGAYFSPVPEPEKQEAIGGNMGDVFDDHVFEGVKKITVGKDLGCVSYIKIEYEKDGKFETREHGTIRGELKEAVLIKSLFFKTSYGRTSPIFGETNSSGKEFLLEGRNGGKLLGFHGRSGQAIDAIGAYFWPFPAPVPEKREAMGGNMGDAFDDGVFDSVKKIIVGKDLDCVSYIKIEYVKDGKTETREHGTIRGELKETSYGRTSPIFGSSGNVAGKEFLLEGKKGGKLLGLHGRSGQAIDAIGAYFWSVPAPEKIEAMGGNMGVAFDDGVFDGVKKIIVGKDLGCVSYIKIEYEKNKKIETREHGTIRGELKEFAVDYPYECITSVGGSYDHVDLYKAVLIKSLFFKTSYGRTSPIFGETNSSGNQLMLEGKNGGKLLGFHGRSGQAIDAIGAYFGTAIGVGCGNG >Al_scaffold_0006_2380 pep chromosome:v.1.0:6:10937146:10937337:-1 gene:Al_scaffold_0006_2380 transcript:Al_scaffold_0006_2380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M384] MYMWKHRPTGSNKKIASLKSEKRRGVVILSLGILETNAQWADLTKDNPGRRTFKLPNTFYYKI >Al_scaffold_0006_2381 pep chromosome:v.1.0:6:10948137:10948385:-1 gene:Al_scaffold_0006_2381 transcript:Al_scaffold_0006_2381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M385] MKGLQKLAGEELESEGNYTNSPEKNESDKVFESPVEKSDPVTDGVVEGDDEGRGRRRKSRSTAKVEVDGEGGGRRSRQVFRR >Al_scaffold_0006_2389 pep chromosome:v.1.0:6:10978351:10980382:1 gene:Al_scaffold_0006_2389 transcript:Al_scaffold_0006_2389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24830) TAIR;Acc:AT5G24830] MALFISCGEVSSSQFTLLRLLNQSLDFVSDNVSRILAPIVTNLRDFEMRLSCIERPSSISGKHSHLCTEKWFSDQKDQKRDPEAIFNVLDYILKSSLDRLASLRESVCQTKNFEYDDSLSIHSSIMRDLCLQGKMDAALWLREKMLRSGFIPGLIMHNHLLNGLCKLGYIEKADGLVREMREMGPSPNCVSYNTLIKGLCSVNNVDKALYLFSTLNKYGIKPNRVTCNIIVHALCQKGVIGNNNTKLLEEILDSSQVNAPLDIVTCTILMDSCFKNGNVVQALEVWKEMSQKNVPTDSVVYNVIIRGLCSSGNMVAAYGFMCDMVKRGVNPDVFTYNTLISALCKAGKFDVACDLHGTMQNVGVAPDQISYKVIIQGLCIQGDVDRANEFLQSMLKRSLLPEVLLWNVVIDGYGRYGDTSCALSVLNLMLSYGVKPNVYTNNALIHGYVKGGRLIDAWWVKNEMRSTKIHPDTTTYNLLVGAACTLGHLRLAFQLYDEMLKRGCQPDIITYTELVRGLCWKGRLKEAESLLSRMQVSGITMDHVPFLILVKKYTRLQRPDEAYLVYKKWLVTRNGGVSCPSILNHKPT >Al_scaffold_0006_2391 pep chromosome:v.1.0:6:10988555:10989148:-1 gene:Al_scaffold_0006_2391 transcript:Al_scaffold_0006_2391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M394] MGDAFDDGVFNGVKKIIVGEHIGCVAYIKIEYEKDGKFETREHGTIRRDLKEFVVDYPSECITSFGGSYGHVNGYKAVMIKSLIFKTSYGRTSPVLGETNSFGNPADNQFMLEGKNGGKLLGFHGRSGAAIDAIGAYFGTGSGGVGPRKLELRVGEGCGNGGT >Al_scaffold_0006_2392 pep chromosome:v.1.0:6:10996585:10999272:-1 gene:Al_scaffold_0006_2392 transcript:Al_scaffold_0006_2392 gene_biotype:protein_coding transcript_biotype:protein_coding description:cryptochrome 3 [Source:Projected from Arabidopsis thaliana (AT5G24850) TAIR;Acc:AT5G24850] MAASSLSLSSPLSNPLRRFTLHHLHLSNKPFSSSSLFLCSAATMNDHIHRVPALNEEENDSVAIKTFERYALPSSSTKRNGKGITILWFRNDLRVLDNDALYKAWSSSDTLLPVYCLDPRLFHTTHFFNFPKTGALRGGFLMECLVDLRKNLMKRGLNLLIRSGKPEEILPSLAKDFGAHTVFAHKETCSEELHVERLVNQGLKGVGNGTKLELIWGSTMYHKDDLPFDVFDLPDVYTQFRKSVEAKCSIRSSTRIPLSLGPIPSVDDWGDVPTLEQLGIEPQEVTRGMRFVGGESAGVGRVFEYFWKKDLLKVYKDTRNGMLGPDYSTKFSPWLAFGCISPRFIYEEVQRYEKERVANNSTYWVLFELIWRDYFRFLSIKCGNSLFHLGGPRNVQGKWSQDQKLFESWRDGKTGYPLIDANMKELYTTGFMSNRGRQIVCSFLVRDMGLDWRMGAEWFETCLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQAQNYDPEGEYVAFWLQQLRRLPKEKRHWPGRLMYMDTVVPLKHGQMAGGSKSGGGFRGSHSGRRSRHNGP >Al_scaffold_0006_2393 pep chromosome:v.1.0:6:11001692:11002709:1 gene:Al_scaffold_0006_2393 transcript:Al_scaffold_0006_2393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3S4] MGFPLSSFPTVLLASLGRRLPPGSPLESPLPPEPPDPPDLSSSQISFWGFTSFPLTVRNFEPPLTDFPPLFNSPPLAQFVVMKSSRLTIRTMTVALYLVSSGGTPFAFISGFRFYQVYVLLFSPFGGISCNVGKKIFTGIFRWLTISSLRGMDWCVSNVSEDPLFNSYVDAAWTALFAETLAVELALLDAQSAGFHKLKVFSVCKVLISLLISGTSTVAPRSLLHDIRELSVSFTLICFYFVSRLETVIAESLAISALSDVIPFSSVWR >Al_scaffold_0006_2401 pep chromosome:v.1.0:6:11053484:11055494:-1 gene:Al_scaffold_0006_2401 transcript:Al_scaffold_0006_2401 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 71, subfamily A, polypeptide 15 [Source:Projected from Arabidopsis thaliana (AT5G24950) TAIR;Acc:AT5G24950] MEMIIISVCLATILAFLLLKPLLNRTAVKVNLPPSPWRVPVIGNLHQLSLHPHRSLRSLSHRYGPLMLLHFGRVPILVVSSSDVAHDLLKTYDLKVANRPQLKVVNKLFNGGREVAFSPYGEYWRQMKSVCIIHLLNKKMVQSFEKVREEEISVMMERVEKASSDSSPLNLSELLITLTSDVTSRVSFGRKHSNEESMSDFKNQVRKIMELVGEYPVSEYIPCLAWIDKIRGLDDKTEEVSKNFGDLMDKVVQEHLDSKDKPTMDFVDILLSFERQNKDGIEIRRSDIKFIILDMFLGGTATTYALLEWTMTELIRHPECMKKLQDEICGDETKLNIYRSQEEVEDMKYLKAVIKEGLRLHLPFPLLVPRLLTEDVKLKGYDIAAGTQVIINAWAIQRDTVTWGMDAEEFRPERHLDSPLDFRGTNFEYIPFGSGRRICPGIGFAMALVEVTLANLVNRFNWRMDVRFSGDEYDLAEAPGIDVCRKFPLIVFPSNA >Al_scaffold_0006_2409 pep chromosome:v.1.0:6:11112507:11113712:1 gene:Al_scaffold_0006_2409 transcript:Al_scaffold_0006_2409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3U4] MSLRIERMLKTIHLMTSPSKENSPKDLAASRFFKSHHGLDSSSDFFFFNKKKISDEKGVLVHCFAVQSQSASMIIAYLMRTEKLSREDALTSLKQRAHALKTPKCKAKVGSFDWSGSYCSCGSKIVPAFQIQMSRVDVITAKDDVKKKHKKKRV >Al_scaffold_0006_2410 pep chromosome:v.1.0:6:11114052:11116300:1 gene:Al_scaffold_0006_2410 transcript:Al_scaffold_0006_2410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3U5] MMKRVLLYVVVWLLACLSPTRVVESSDQCKAWLVQSIPTDMPELPRISGVLSTGDVFQWLANNSTRSLDIIAQYWQLLANPKDPRSGDFGYSDSDLQRFGANLGSLVYKSIDNAANRNVSIRLLSHSGVYPDYTKEPSDLAYGRSNVKNVTLLLSKWWGSGIIHAKVWISDDRDVYIGSANNDWKSLTQIIGCDEAVVWKDFLQVKEVGIYLSGCSQIARKIKTYFDNLWRLASLDASTHTRSVSDQQWLINRTVPCWSYFVSKKARCRSPLPSYIDTPNVSPGYPSLSDPEMFRTDIETLPRNRSCPEPQPSYLSFAPPELLFEKHQSDEQGWIDTIKSVVEGGTVRINTMDWLGQSQYTNPKVYWSTFSSAVSEVVFSKNAKVKIIVAYWGHFIEATDGYLRSLLYSNILCSSSSSVQNKCFGQVEIRYYMVPGFNKTGPAVINGTKTGNNYPAYTRVNHGKYAVSDVRAHIGTSNLVWDYFFVTSGVSFGTYNTKIVLKLQEIFDADWTLHM >Al_scaffold_0006_2411 pep chromosome:v.1.0:6:11123699:11125968:-1 gene:Al_scaffold_0006_2411 transcript:Al_scaffold_0006_2411 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 25 [Source:Projected from Arabidopsis thaliana (AT5G25110) TAIR;Acc:AT5G25110] MRGSYKLTIPININIHSRYQLAPKMEEEQQQLRVLFGKYEMGRLLGKGTFAKVYYGKEITTGESVAIKIINKDQVIKREGMMEQIKREISIMRLVRHPNIVELKEVMATKTKIFFIMEYVKGGELFSKIVKGKLKEDSARKYFQQLISAVDFCHSRGVSHRDLKPENLLVDENGDLKVSDFGLSALPEQILQDGLLHTQCGTPAYVAPEVLRKKGYDGAKADIWSCGVILYVLLAGFLPFQDENVMKMYRKIFKSEFEFPPWFSPESKRLISKLLVVDPNKRISIPAIMRTPWFRKNFNSPIAFKIDELENQNVEDETTTTTTPVSPKFFNAFEFISSMSSGFDLSSLFENKRKQSSMFTSRWSASEIMAKLERIGKEMNMKVKRTKDFKVKMLGTTEGRKGQIAVTAEVFEVAPEVAVVELCKSAGDTLEYNRLYEEQVRPALEEIVWSWHGDNHNNNIVSNKNNNVNYVSDDNSGSDC >Al_scaffold_0006_2423 pep chromosome:v.1.0:6:11240911:11242576:1 gene:Al_scaffold_0006_2423 transcript:Al_scaffold_0006_2423 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family [Source:Projected from Arabidopsis thaliana (AT5G25260) TAIR;Acc:AT5G25260] MFKVARASQYLAITGGGIEDIKLSKKSWVLPWQKCTVFDVSPVNYTFKVQAMSAEKLPFVLPAVFTIGPRVDDTEALILYARLISPHDKQSNHVNELVEGVIEGETRVLAASMTMEEIFKGTKEFKKEVFDKVQLELDQFGLVIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQARIDVAEAKMKGEIGAKERTGLTLQNAAKIDAESKIISMQRQGEGTKAEIKVKTEVKVFENQKEADVAKANSELAMKKAAWTKDAKVAEVEATKAVALREAELQTQVEKMNALTRTEKLKAEFLSKASVEYETKVQEANWELYNKQKQAEAVLYEKQKQAEAQKAEADATFYSKQREAEGLVALASAQGTYLRTLLDAVQNDYSCLRDFLMINNGTYQEIAKTNALAVRDLQPKISVWNHGGEQGIGGAGGSGNAMKDIAGLYKMLPPVLDTVYQQTGMQPPAWIGTLSK >Al_scaffold_0006_2428 pep chromosome:v.1.0:6:11274262:11274552:-1 gene:Al_scaffold_0006_2428 transcript:Al_scaffold_0006_2428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3W5] MNTSEIGENETNESTKKTVAKPQTLRYQIPSHPIKRRRARPPANISHGKYHLSSPSSDPKLKRPWKRPQHPQHREETPTTQKAKGSDESKPLTKPF >Al_scaffold_0006_2434 pep chromosome:v.1.0:6:11318496:11319359:1 gene:Al_scaffold_0006_2434 transcript:Al_scaffold_0006_2434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3X1] MDKFQHRFTRFGFISICLGSIALVLLISRCSISFFDYSLEKFEFSFPESELRRNVYSSSGEENRVVVDSHHVSQQILTLRSTNSTLQSKPEKLNRRNLVEQGLAKARASILEASSNVNTTLFKSDLPNPEIYRNPSSLYRFKVTAHG >Al_scaffold_0006_2438 pep chromosome:v.1.0:6:11328967:11329941:1 gene:Al_scaffold_0006_2438 transcript:Al_scaffold_0006_2438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25340) TAIR;Acc:AT5G25340] MGSFHRRTFSYDKLPTEPIRLSVLKLDGSSFDVYVLTSATVGDLKVAIETAFSHVPKKGPSKISWSHVWGHFCLCFGGQKLITDTDCIGSYGLKDGDEVRFKNHVSGNAVLSKGYSRKSKQKNLERVLPKDVDEVMNRIEEIDDTWDDLEKGGFVRYKDDDIETSSNESSRSCLSSVRGCCFALGLKELLGFGNDRAYYSLRDTWRDD >Al_scaffold_0006_2441 pep chromosome:v.1.0:6:11346579:11349542:-1 gene:Al_scaffold_0006_2441 transcript:Al_scaffold_0006_2441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:D7M3X8] MTEQLLLHGTLEVKIYRIDKLHQRSRFNLCGKENKEPTGKKTQSQIKRLTDSCTNLFGGNLYATIDLDRSRVARTMMRRHPKWLQSFHVYTAHSISKIIFTVKEDEPVSASLIGRAYLPVTEVITGQPIDRWLDILDENRRPIQGGSKLHVRVKFNHVTQDVNWNKGIILPSFNGVPNAYFNQREGCKVTLYQDAHVIPEYPDILAEGQVIYKHHRCWEEIFDAIWDAKHLIYIAGWSVNTGVTLIRDPKRTRPGGDLKLGELLKKKAEENVTVLMLVWDDRTSNEIFKRDGLMMTHDQETYDYFKNTKVRCVLCPRNPDNGDSIVQGFEVATMFSHHQKTIVVDGEVDGSITKRRIVSFLGGIDLCDGRYDTEEHPLFGTLNSVHANDFHQPNFDGASIKKGGPREPWHDIHCKLDGPAAWDVLYNFEQRWMKQGSGRRYLKSMAQLAEITVPPLPIVQPDNEEGWTVQVFRSIDDGAVEGFPEDPREAASVGLISGKDNVIERSIQDAYVNAIRRAKHFIYIENQYFLGSSFGWNSRDIDLNEINALQLIPKEISLKIVSKIEAGERFAVYIVIPLWPEGKPGSASVQAILDWQRRTMEMMYTDIIIALRKKGLDANPRDYLTFFCLGNREVGKAGEYLPPEKPEPNSDYARAQESRRFMIYVHSKMMIVDDEYLIIGSANINQRSMDGGRDTEIAMGAYQPSHLISTHNRRPGGQVFSFRISLWLEHLRKTTGKFQYPEREECIRLVNETADELWGLYSAQEYPRNDDLPGHLLSYPINIGSNGEVTNLAGTEFFPDTNAKVVGEKSNYLPPILTS >Al_scaffold_0006_2446 pep chromosome:v.1.0:6:11383472:11384579:1 gene:Al_scaffold_0006_2446 transcript:Al_scaffold_0006_2446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3Y3] MNTRESLNTRKKTQRKKKKRKKKQRKKKKRKRKKKKRKKKQSKRKKKKRKKKQRKKKEEEEEEEEENEEEEEEEEEEEEEEEEEENEEEEEEEEEEEEEGQFNFWPNLKNLFCLAPLDEAVDGGANEEYKENPKKDEVENAHRNWVAHIWSAIHAHLKTTVILAFPKNKITEKDNFRLKEEDAEEEERRSRGRRRRRRGRRRRGRRSRGRRSRVRGRRRRGRRRRGRRRRGRRYLEILRRKKKVP >Al_scaffold_0006_2450 pep chromosome:v.1.0:6:11406096:11409413:-1 gene:Al_scaffold_0006_2450 transcript:Al_scaffold_0006_2450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:Projected from Arabidopsis thaliana (AT1G65550) TAIR;Acc:AT1G65550] MTNGGGGGNGGGGNNGAANRTEELQPHPVKEQLPGIQYCVNSPPPWFEAVVLGFQHYLLSLGITVLIPSLLVPLMGGGDAEKVKVIQTLLFVSGLTTLFQSFFGTRLPVIAAASYAYIIPITSIIYSTRFTYYTDPFERFVRTMRSIQGALIITGCFQVLVCFLGVWRNIVRFLSPLSIAPLATFTGLGLYHIGFPLLARCVEVGLPGLILLVFVTQYLPRFLKMKKGAMIWDGNRCDRYGMMLCIPVVWLFAQLLTSSGVYDHKSQTTQTSCRTDRTGLITNTPWIYIPYPFQWGSPTFDITDSFAMMAASFVTLFESTGLFYASARYGSATPIPPSVVSRGNGWLGVGVLLNGMLGGITGITTSTENVGLLAMTKIGSRRVIQISAAFMLFFSIFGKFGAFFASIPLPIMASLYCIVLCFVSSAGLSFLQFCNLNSFNTKFIVGFSFFMAISIPQYFREYYNGGWRSDHRSNWLEDVIRVIFMSHTTVAAIIAIVLDCTLCRENDEAKKDCGLKWWDKFRLFNLDVRNDEFYGLPCNLNKFFPSH >Al_scaffold_0006_2453 pep chromosome:v.1.0:6:11417302:11419645:-1 gene:Al_scaffold_0006_2453 transcript:Al_scaffold_0006_2453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25440) TAIR;Acc:AT5G25440] MGNCLSASTPPTQPNAPISVEEGVKVFTVAELKKATNDFGNQMEIGESLGYLNPKTLSPAKKGVGMAVAVKKIYLANEQAFQDWLVDVEFLRHNSHPSLVKLIGYGYDRDMLFIVSEYFPNGSLGSYIDRDSRPKSLPWETRLKISIGAAQCLAFLHSRKQAGLYRRYLTASKILLDSDFNARVSYFGKPKVSLDELVHTRGFANVAPRYQYPPPEYILSGMSNMAGDVYSFGVILLKMLTGLGKHLIISVKREIKNKKDNIEAMIDPDLKNSYPLEAGRLMCELIKQCLEVDPKIRPTMQEVLDNLNAIALF >Al_scaffold_0006_2454 pep chromosome:v.1.0:6:11419957:11420901:1 gene:Al_scaffold_0006_2454 transcript:Al_scaffold_0006_2454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit 7 [Source:UniProtKB/TrEMBL;Acc:D7M3Z2] MASFLQRLVDPRKNFLARMHMKSVSNRLRRYGLRYDDLYDPLYDLDIKEALNRLPREIVDARNQRLKRAMDLSMKHEYLPDNLQAMQTPFRSYLQDILALVKRERAEREALGALPLYQRTIP >Al_scaffold_0006_2455 pep chromosome:v.1.0:6:11421372:11422842:-1 gene:Al_scaffold_0006_2455 transcript:Al_scaffold_0006_2455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3Z3] MDHSRTLKLDGLDLDKYGKYFSSSKLCFTVKKADSIGMGNCFSLLTERFATSPVEEGVKVFTMVELKKATKNFGKERVIGDSYGEVVRGYINPKTLSPAKEGVGMAVAVKRFYLKYEEALQKCLVDLEILRHNSHPSLVKLIGYGYGYDHEKLFIVSEYFPNGSLERYIFRKSRPKSLPWETRLKISIEGARCLAFLHSRKKDGLYRTCLTACNILLDSEFNARVSCFRLKMVDSLEDCSHTPSYADGSSRIYYAPLEYIFSGNNLLFPPSVLSQSHMAGDVYSFGVILLEILTGLRNPCIILAKQATQNGKENIAEMIDPDLENSYPLAAGKLMCELSKQCLEDNPKIRPTMQEVLDNLNAIGQI >Al_scaffold_0006_2457 pep chromosome:v.1.0:6:11430800:11432182:-1 gene:Al_scaffold_0006_2457 transcript:Al_scaffold_0006_2457 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein [Source:Projected from Arabidopsis thaliana (AT5G25470) TAIR;Acc:AT5G25470] MLPKSVTDKIQENVSKPCFWKSLSPGQNWKSKSMRSFPAEFVISMPGSLEHRVVFSVRWGNSWQLWLEREKEDLFMIEEDWDEFVNDNHLGPNDNLFFKHDSTMFLEVQIFKNDGKEIIDAPLEVEPETEQLHPTTPKNFHKETTHASASASEFSENGPERQGCVDVKNPELYLLNPKNPYFVKTLSKRNDVLYVPKPVIKKYGLKFGPTHSPMHYLLPGDKINGLTKLYGGGDAPCFNGWVGLCRKYNLKTGDSVVCELERSGDLVTAVRVHFINENMR >Al_scaffold_0006_2464 pep chromosome:v.1.0:6:11455068:11456403:-1 gene:Al_scaffold_0006_2464 transcript:Al_scaffold_0006_2464 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT5G25530) TAIR;Acc:AT5G25530] MGLDYYNILKVNRNATEDDLKKSYRRLAMKWHPDKNPNTKTEAEAKFKQISEAYEASLSIFLFVLSDPQKRAVYDQYGEEGLSDMPPPGSMGNNGRAGGFNPRNAEDIFAEFFGSSPFGFGSAGGPGRSMRFQSDGGGGMFGGFGGGNNGSENNIFRTYSEGTPAPKKPPPVESKLPCSLEELYSGSTRKMKISRSIVDANGRQAQETEILTIVVKPGWKKGTKIKFPDKGNEQVNQLPADLVFVIDEKPHDLFKRDGNDLITSQRVTLAEAIGGTTVNINTLDGRNLPVGISEIVSPGYEFVVPGEGMPIAKEPRNKGDLKIKFDVQFPARLTTEQKSALKRVLAS >Al_scaffold_0006_2466 pep chromosome:v.1.0:6:11458457:11463642:1 gene:Al_scaffold_0006_2466 transcript:Al_scaffold_0006_2466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M404] MDFYMHKEKKRLLEGRIILGSVWKRYYLILDLVEISGWVKTGREQGKGSFAFLEVNDGSCAANLQVKVDACVSDVSKLVATGTCLTVDGCLKIPPEGKATKQKIELSAEKVIDVGTVDPATYPIPKTKLTLEHLRDYTNFRARTTTMAAVTRIRRKITLEAHLFCDEHDICHIHTPIITTSDCEGAGEMFQVTTLINQSDDKSPTEADIEAAKLIVKEKGEAVAQLKAAKASKQEITASVAQLTEAKETLAKVEERSRLKPGLPKKDGKIDYSQDFFARQAFLTVSGQLHAETYACSMGDVYTFGPTFRAEKSHTSRHLAEFWMVEVELAFAGVEEAMNCAEALVKDICNSLLEKCDDDMKLMAKNVDKACIDRLLLVASTGFGRVTYTEAITLLEKAVAQGKKFENQVEWGIDLASEHERSFFFYNP >Al_scaffold_0006_2474 pep chromosome:v.1.0:6:11499331:11499851:1 gene:Al_scaffold_0006_2474 transcript:Al_scaffold_0006_2474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M411] DFRTVEDYGINIPFRALQALGCKGDAVTPNKKKGERKIRGFNAIGHGQLVFAVTGHGQLLLASTLMIILVMVITAEKELVCVKLDQTEWWAETERWRQRCGD >Al_scaffold_0006_2479 pep chromosome:v.1.0:6:11512986:11514483:1 gene:Al_scaffold_0006_2479 transcript:Al_scaffold_0006_2479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4J5] MLYLPTPIVCNIFRRLGEDGFRYLGPVIAAGPGYTELVYTAEVLENCLEVGHPVAKYVEALRILTQVGPSQAALDMLSQCVGESIYAHFAYGILLICCGALKEGMLVNKYFLRKFPTLEAAVIIGNEVVEQARSMGILVMR >Al_scaffold_0006_2480 pep chromosome:v.1.0:6:11514961:11516207:-1 gene:Al_scaffold_0006_2480 transcript:Al_scaffold_0006_2480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4J6] MIVVDVKGNYIEVIVPNRTYRKAFVRNLSEGKWFYLENFHVFPSKSTCFALNTYEIRCMWETTMVSVSDKTSNNFYHFVFPSEVENALDVQFHHVTECCETVVKKVSTILSSPSYNYQPIVAMMRFWRVQAFEGKNVVMSVDDCARVYINPTFEDFDLEGYIEKRVIHVMFCFLNTVEASQTRNLMLFTWRRLRLTKGWNALWDAGL >Al_scaffold_0006_2484 pep chromosome:v.1.0:6:11551988:11552460:-1 gene:Al_scaffold_0006_2484 transcript:Al_scaffold_0006_2484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4J9] MGMVLWLTGKIVEEEKGNFGLWLSYLFTGVGANLVSWFTLPPNSVSAGASGAVFGLFVISVRVKMSPGWRKFLEFLILGQFVMKRWYIDSHRYLKQLRLQLDY >Al_scaffold_0006_2487 pep chromosome:v.1.0:6:11563324:11563859:-1 gene:Al_scaffold_0006_2487 transcript:Al_scaffold_0006_2487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21366) TAIR;Acc:AT4G21366] MSPEYAGEGKYSKKSDVYSFGVIVLEIVSGKKNRTFSLSDPNCANLLSHVWINWMNGSWEKIVDEIILHDSSQSRQILRCIEIGLLCVQKLVQDRPKMSSVVMMLGNETSQIPKSKTPGFYIGKSPDDPSSSTPEPSCSVNQCTVTNISPR >Al_scaffold_0006_249 pep chromosome:v.1.0:6:1013638:1014614:-1 gene:Al_scaffold_0006_249 transcript:Al_scaffold_0006_249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWP5] MEESAIKGLKLLEFNDSGADSQTHSSTSTAISVEGYNTTLKEYPLRLALAKHFASCGKITQIYVPRDFKKKLLKRASFVWIEGDDAEEKALQLSGTDVGGWTAIVKPVPSQKIFYDDSWSAATPEAICPQAFSLLLLLLTVTVVGASVTGTRESWDDNDNN >Al_scaffold_0006_2493 pep chromosome:v.1.0:6:11586594:11587444:1 gene:Al_scaffold_0006_2493 transcript:Al_scaffold_0006_2493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L0Z7] MQASRARLFKEYKEVQREKVADPDIQLVCDDTNIFKWTALIKGPSETPYEGGVFQLAFAVPEPYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDVRGFNSMARMYTRLAAMPKKG >Al_scaffold_0006_2494 pep chromosome:v.1.0:6:11587585:11590739:-1 gene:Al_scaffold_0006_2494 transcript:Al_scaffold_0006_2494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4K9] MAWRRASQVCSGPLLNIFLFLVVQIAHITQGDNGPSPLPVAMESPITQAHVFRSEFLRLLQSRRSPKVPLIANSSNPVENPLFQADVPSTKAIESCPKENIGNLKEMLKEENLHLHTEDSEQGKLPLLILSLKERTKVRRPAIVFMHGTNTNKEWLRPWLEAYASRGYVAIGLDSRYHGERADCKTAYRDALISSWRNGNTMPFIFDTVWDLIKLAEYLTQRDDIDPKRIGITGISLGGMHAWFAAAADTRYSVAVPLIGVQGFRWAIDNDEWEARVNSIKPLFEEARIDLGKNVIDKELVEKVWNRIAPGLASKFDSPYSLPVIAPRPLYILNGANDPRCPLGGLEVPLKRAEKAYKETASPGNFKFKAEDGVGHEVTSFMIKESSDWFDKFLKEEDMTCD >Al_scaffold_0006_251 pep chromosome:v.1.0:6:1017526:1018834:-1 gene:Al_scaffold_0006_251 transcript:Al_scaffold_0006_251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWP7] MDETAIKGLKLLELNDSGSDSGESNCCSCTSTTISVEGYDTWLRKYPLKLVLEKHFASCGEITNIYVPTDFERGILKSVAFMRIEGEGAEEKALQLSGTDVGGWTAIVKPAPSQKVFMDDPWYAGPRCAAAPADTKTHMIRVTGYDTSLPKIDMQIALYKHFSSCGSIWKVIVLSSGAAFIYLEGERCVDKALELSGRNMGGSTLVVEPVVPRPDILKKRRPLACTTTGYTLPSTLLEVAKKKKKKMETEMEMEKEKEKALS >Al_scaffold_0006_2511 pep chromosome:v.1.0:6:11689384:11690806:1 gene:Al_scaffold_0006_2511 transcript:Al_scaffold_0006_2511 gene_biotype:protein_coding transcript_biotype:protein_coding description:indole-3-acetic acid inducible 28 [Source:Projected from Arabidopsis thaliana (AT5G25890) TAIR;Acc:AT5G25890] MRPHTAMNHVTIYLKVEVAPVVGWPPVRSSRRNLTAQLKEEMKKRESDEEKELYVKINMEGVPIGRKVNLSAYNNYQQLSHAVDQLFSKKDSWDLNRQYTLVYEDTEGDKVLVGDVPWEMFVSTVKRLHVLKTSNASSLSPRKHGKE >Al_scaffold_0006_2513 pep chromosome:v.1.0:6:11702202:11702381:1 gene:Al_scaffold_0006_2513 transcript:Al_scaffold_0006_2513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4L6] IAINIYGCNMDKKRWERPEEWWPERFLDNSKYESSDLHKTMAFGAGKRVVLVLVHFKHL >Al_scaffold_0006_2514 pep chromosome:v.1.0:6:11729552:11730623:1 gene:Al_scaffold_0006_2514 transcript:Al_scaffold_0006_2514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4L7] MTLLPLPFLFFFLNSIPLSVFSQSNDQSTLLNLKRDLGDPPSLRLWNDTSSPCNWSEIICTAGNITGINFKNKNFTGTVPTTICDLSNLNFLDLSFNNFAGEFTTVLYKCTKLQYLDLSLNVFNGSLPVDIDRLSPELDYLDLAANDFAGDIPKNIGHISKLKVLNLYQSEYDGTFPSEIGDLVELEELRLAVNDKFTPAKIPTEFRKLKKLKYMWLSEMNLIGEISAVVFENMTGLKHVDLSGNNLTGRIPDVLFGLKNLTNLYLYANDLTGEIPKSISATNMAPTTISLERFHLSYVRCAL >Al_scaffold_0006_2515 pep chromosome:v.1.0:6:11742625:11742948:1 gene:Al_scaffold_0006_2515 transcript:Al_scaffold_0006_2515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4L9] MKYIDTSEFVRLLGTGSEDEDAGCQIDAHYGRFVADWWVGGREMVTEIRCFRRYFSVPRLCRVKLWTLHPPEFMKGCLLPVVSPGFDPFFWFHFVFRYHFFVTAGYV >Al_scaffold_0006_252 pep chromosome:v.1.0:6:1019826:1021023:-1 gene:Al_scaffold_0006_252 transcript:Al_scaffold_0006_252 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G03495) TAIR;Acc:AT5G03495] MDESAIKGLKLLELNDSGAVSRKPRKTTISVEGYDTRLREYTLKLALEKHFASCGKITTIYVPRDYKRGILKSVTFMWIKGDDAEEKALQLSGTDVGGWTALVKPAPSQKDIMDPWCPAMLSKHETQRVRVTGYDTWLPEIDIQIALCEHFSSCGEVTQVMVLPCGSGSIYLEEERCEDKALKLNGCKMGGMNLVVEPVKARPEDLKKRKGRPCTTTGYTGYMPLST >Al_scaffold_0006_2522 pep chromosome:v.1.0:6:11769209:11769472:-1 gene:Al_scaffold_0006_2522 transcript:Al_scaffold_0006_2522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4M8] MKSEDNSELASKETEDVQNDCMCSDSESKRKRGSGLRSNKAHLPFQEKVTTVVYIFKQKRQRPAKSRTVCEEDELSYGRSDYDQQLV >Al_scaffold_0006_2523 pep chromosome:v.1.0:6:11773951:11774241:-1 gene:Al_scaffold_0006_2523 transcript:Al_scaffold_0006_2523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4M9] MKSQDNSEPASKETEDVQNDYAATQNQKGKEEVGCEVTKPTYQSKTKSQRSSTCSNRNDKDQPKAEPRAKKMSCRTEDPITTSNRFDLLSQASPDE >Al_scaffold_0006_2527 pep chromosome:v.1.0:6:11805850:11806412:-1 gene:Al_scaffold_0006_2527 transcript:Al_scaffold_0006_2527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4N2] MDLCFAKSVSQFSDGIEDRVVVGSLDLNQCRWLPDEIAGTKPEGIGVDFARAYLSNVCVAKELHRNGVGYKLIDKSKRVGITDMYVHVMVANEAAQRLYMKSGIEQETVEQRGKLDTSIDHNGSSSGSAYLLLPWS >Al_scaffold_0006_253 pep chromosome:v.1.0:6:1026102:1026538:-1 gene:Al_scaffold_0006_253 transcript:Al_scaffold_0006_253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWQ0] MDLVDELFVFIFTSLNNKCKKELEAIGKQYPFKPLKFLEKTLRLTFEEGVQILKEAGVEIDPLGDLNTESQRKLGQLVLEK >Al_scaffold_0006_2535 pep chromosome:v.1.0:6:11877015:11878332:1 gene:Al_scaffold_0006_2535 transcript:Al_scaffold_0006_2535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4P5] MEENQRTKFSKICVFCGSHSGHREVFSDAAIELGNELNFNLKYLLYGFRIIPKALMPIEISGETVGDVRIVADMHERKAAMAQEAEAFIALPGEFSNSKDSSKFLKELLEMITWAQLGIHKKTVGLLNADGYYNNLLALFDTGVEEGFIKPGARNIVVSAPSARELMEKMELYTPSHKHIASHQSWKVEQLGDYPLINQNRPQ >Al_scaffold_0006_2536 pep chromosome:v.1.0:6:11885536:11888219:-1 gene:Al_scaffold_0006_2536 transcript:Al_scaffold_0006_2536 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G26150) TAIR;Acc:AT5G26150] MASPYSSDDIHSPVNSTVVAIDKEKHSHYAVRWAVDHLLNMIHKPVMILVHVRSKNSNHGANLNNDDLNQLFIPYRGYCARKGVVLDDSDVAKAILDYINNNLVNNLVMGASSKNSFARSFMFSKPHEVQSSIMKSTPDFCSVYVISKGGKVQSSRPAQRPITNTLAPPRVPSSGFLIQSLSDSEQDLIPRVQRSARNKPNETTYPHNRAFNTTQKSYKNTINGSMDLNNGFNQVAFQRNPTLQSSFSDESEVGLGMMGSIDLSAHNNMDFYHGASSSSEESIPQSTKDIEAEMRRLKLELKQTMDMYSSACKEALTAKRKANELNQWKIEEARKFEKARLSEEAALAVAEMEKAKCRTAEEAAEKAQRMAELEGQRRKQAEMKAISEEKDKDRAVSALAHNDVRYRKYTIEEIEEATEQFANHRKIGEGGYGPVYNGELDHTPVAIKVLRPDAAQGKKQFQQEVEVLSSIRHPHMVLLLGACPEYGCLVYEFMENGSLEDRLFRRGNSPPLSWRKRFEIAAEIATALSFLHQAKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPASVANSVTQYHMTSAAGTFCYIDPEYQQTGMLTTKSDVYSFGILLLQIITARPPMGLAHQVSRAISKGTFKEMLDPVVHDWPLQEAQSFATLSLKCAELRKRDRPDLGKEVVPHLIRLKNFGNDVDLIRGDERTKEWI >Al_scaffold_0006_254 pep chromosome:v.1.0:6:1027737:1029002:1 gene:Al_scaffold_0006_254 transcript:Al_scaffold_0006_254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWQ1] MDESAIKGLNLLELNDSGDDSGDDSGDDSGDDSGADSQTPRISSTTVSVEGYDTWLRKYPLKLVLEKHFASCGEITNIYVPTDFERGILKSVAFMRIEGEGAEEKALQLSGTDVGGWTAIVKPAPSQKVFMDDPWYAGPRCAAAPADTKTHRIRVTGYDTSLPEIDMQIALCKHFSSCGYVSKVIVLSSGAAFLCLKGERCVDKALELSRCNMGGRTLVVEPVVPRPDILKKRRPLACTTTGYTLPST >Al_scaffold_0006_2541 pep chromosome:v.1.0:6:11913712:11914570:1 gene:Al_scaffold_0006_2541 transcript:Al_scaffold_0006_2541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4Q2] MEIYKIGGRTFGIMNMGRLDCVLGLLALDPPRIGSCFEPINELIKLHNEVKKACCGSGPFRGSNTCGYISGMSHDFELCENVSDYMLFDSSHTTEKANRHTAESMLDGPSDLVGPFNLKTLFQNL >Al_scaffold_0006_2542 pep chromosome:v.1.0:6:11914986:11918330:1 gene:Al_scaffold_0006_2542 transcript:Al_scaffold_0006_2542 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G26180) TAIR;Acc:AT5G26180] MARRHKPKTPPAKQRFSGAESHKPKTPPATKQSFSAAERSALYARREAANVLRSILRGDAERRAVASIKSLVFSPSVRNKRATFALVCETLKHLTVIKDVLEIANVLNSKWKRQEPLVYIICYDILFGKDTPSIGDAEKFLMRHKDALLSGLATLLVRKKVESVDQLLGLSKLNGHLKPRYVRVNTLKMDVDSAVQELEKHYTVQRDETVPDLLVLPPGSDLHAHRLVANGRIFLQGKASSMVAAALQPEAGWEVLDACSAPGNKTIHLAALMQGQGKIIACELNEERVKRLEHTIKLSGASNIQVFHGDFLGLNPKDPSFVKVRAILLDPSCSGSGTITDRLDHLLPSHSADNMNYDSMRLHKLAVFQKKALAHALSFPQVERVVYSTCSIHQIENEDVVSSVLALASSLGFKLATPFPQWQRRGLPVFAGSEHLLRMDPVEDKEGFFIALFVKTNKLDNPKPSELPERECRRRRKERTMQLHPFLCPKMFRALSRTLHRRRRKPVLCINSED >Al_scaffold_0006_256 pep chromosome:v.1.0:6:1037880:1038917:-1 gene:Al_scaffold_0006_256 transcript:Al_scaffold_0006_256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 7 [Source:UniProtKB/TrEMBL;Acc:D7LWQ4] MATATYPPPPPYYRLYKDYSENPNSAPEPHPPIEGTYVCFGGNYTTEDVLPSLEEQGVPQLYPKDSNVDYKKELRSLNRELQLHILELADVLVDRPSQYAKRIGEISSIFKNLHHLLNSLRPHQARATLIHIMELQIQQRKQAVEDIKRRREEAQRLLKDAFVTLDG >Al_scaffold_0006_2560 pep chromosome:v.1.0:6:12030050:12031125:1 gene:Al_scaffold_0006_2560 transcript:Al_scaffold_0006_2560 gene_biotype:protein_coding transcript_biotype:protein_coding description:response regulator 24 [Source:Projected from Arabidopsis thaliana (AT5G26594) TAIR;Acc:AT5G26594] MTRDQIAEELPNLAESKLTALVVDDSFVNQTIHQKLLNRLGIENDVVTNGKEAVDVYCSGRNYDLILMDMDMPIMNGIQATKRLREMGIESKIAGVTTRANEGEKKEFMEAGLNDFQEKPLTISKLLSILHKLDFYVQT >Al_scaffold_0006_2561 pep chromosome:v.1.0:6:12034419:12034891:-1 gene:Al_scaffold_0006_2561 transcript:Al_scaffold_0006_2561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4S1] MARYKLHLNVNDNSGETKLICFDNPAFFMVNLPASALLPAPFNESTAYTTIPDRIQKLIGQTFIFSVSVEQENIFEGLDTFKVNRVIADDLSITKTLHGKKIFAPK >Al_scaffold_0006_2568 pep chromosome:v.1.0:6:12064549:12065071:1 gene:Al_scaffold_0006_2568 transcript:Al_scaffold_0006_2568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4S8] MTVFVFSSRLASNSGPLGGVSMTSAEELFSNGQIWRTRKKTTTEKTKPDGGVIKRGRDLKLRSRSVHRKARSLSPLRNAAYQWNQEEEEVAKEREVTGEREVKSRFKKQLTLPWNPRSISGSNRRRRKLRSPENQQTVSVFSPHERTCEDTPF >Al_scaffold_0006_2570 pep chromosome:v.1.0:6:12082128:12083248:1 gene:Al_scaffold_0006_2570 transcript:Al_scaffold_0006_2570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5A7] MKQISDDSLYDSPLSEPPNLNNWFSSYVYESPMLDTSDDLGLFVFGKDECIKETIIEKEITNFESTIDVDEDLDQLIVDESDIEEGKENTTSLFRFTKKEKAVYLAMVNSKDYKEKLAAHKEKAAYLAMANSNDYKEKLAAHKNGTTTTITDETEVIFLRNRVKCLEDEGLSVRHEMDRHEASLKWMFYYKFKLLSIL >Al_scaffold_0006_2579 pep chromosome:v.1.0:6:12120132:12120293:1 gene:Al_scaffold_0006_2579 transcript:Al_scaffold_0006_2579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M5B6] RCVYYSNPNTKHTVVIPLSEMLRLLKIPVQTFARDAAPVVDDTYLEGNIANVI >Al_scaffold_0006_2586 pep chromosome:v.1.0:6:12208641:12209979:1 gene:Al_scaffold_0006_2586 transcript:Al_scaffold_0006_2586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5C1] MGVGTGLLDSVYLDETSGGVTRLVQRNWFWCTSPVLKGASLPGRVLIRRTGLWDRPYGLNGRLGEGLSVPRWVLLEFVCSESEV >Al_scaffold_0006_2587 pep chromosome:v.1.0:6:12215685:12215951:-1 gene:Al_scaffold_0006_2587 transcript:Al_scaffold_0006_2587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5C2] MGARRSSHHRVEADASWDDVVYTRKAWASDEDKRYWVAEPGIDRKASAFIAKFHASRVSESERQTLPPCQSHHD >Al_scaffold_0006_2588 pep chromosome:v.1.0:6:12219065:12219298:-1 gene:Al_scaffold_0006_2588 transcript:Al_scaffold_0006_2588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5C3] MVESVVEKIEGDVGSLAKGLHELEAIKERAQRCEKEIVYLKDVVSLCEKEVQELRSFKNMVVCGGLVMAMVYYVFFA >Al_scaffold_0006_259 pep chromosome:v.1.0:6:1047059:1048405:-1 gene:Al_scaffold_0006_259 transcript:Al_scaffold_0006_259 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase homolog C2A [Source:Projected from Arabidopsis thaliana (AT5G03530) TAIR;Acc:AT5G03530] MGSSSGQSGYDLSFKILLIGDSGVGKSSLLVSFISSSVEDLAPTIGVDFKIKQLTVGGKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRETFTNLVDVWGKEIELYSTNQECVRMLVGNKVDRESERGVSREEGIALAKELNCMFLECSARTRQNVEQCFEELALKIMEVPSLLEEGSSAVKRNILKQKPEHQTNTQSGCCS >Al_scaffold_0006_2614 pep chromosome:v.1.0:6:12372079:12373473:-1 gene:Al_scaffold_0006_2614 transcript:Al_scaffold_0006_2614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M5E4] FVIGATSVPVPDFNCYALDNSSRLVDFSSWIGFSFEYDGKEFDLVVRFCKDVETRGQTGYVDFGRFHPLSYFVSSSGNFDFVQGFYHGDLSNCEQSYDKLGRTSQVNIICGNCSDGRCKAVFASGGLGCICSVTQDSTCRVTDDLAIPCEKPGPLVFKGFKCSSRSDQQSSRWRNHTSSCGGFERTHRDGAVTLGFGSFGYSVK >Al_scaffold_0006_2616 pep chromosome:v.1.0:6:12403316:12403795:1 gene:Al_scaffold_0006_2616 transcript:Al_scaffold_0006_2616 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 23 [Source:Projected from Arabidopsis thaliana (AT5G26930) TAIR;Acc:AT5G26930] MDSRKLLSCSSSYMSTRMEEEKETVRCCSDCKTTKTPMWRGGPTGPKSLCNACGIRFRKQRRSELLGICIIHSHQGLASKKIKPKSSSLSSHGGVAVKKRRSLKEEEQAALCLLLLSCSSVFA >Al_scaffold_0006_262 pep chromosome:v.1.0:6:1058325:1058627:-1 gene:Al_scaffold_0006_262 transcript:Al_scaffold_0006_262 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: TRAF-like family protein (TAIR:AT2G42460.1); Has 137 Blast hits to 125 proteins in 2 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 137; Viruses - 0; Other Eukaryotes - 0 (source: NCBI /.../). [Source:Projected from Arabidopsis thaliana (AT5G03550) TAIR;Acc:AT5G03550] MNLLCNTIGILYHTPLGYLTEAELSKVSKDSYDLTQAGFKLEWLQSKLDKVSLEKKTSEERIVELKLEVKKLVMTVTDLNSERKREKKKLKKQPTWIHAG >Al_scaffold_0006_2621 pep chromosome:v.1.0:6:12439397:12441324:1 gene:Al_scaffold_0006_2621 transcript:Al_scaffold_0006_2621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M5F0] VDVLSIIPEPEGVAGLEDCSWPDEVRDPGVEVIMGKIQEGCEFNRGMFVGGLRGAVLPVEPPPRVVNKGKRKLRSKQGGEPLVRGGSSRVKKMKVRSGKGRHAQPDPNTSLLGALKYEIDAGLKEARGDVYAHVCVDLKEMELRLARNMKQSIFSVVAEALSARDLLKNVVDGVGVGNLDPYNQPPANGASTSFNHANGASSPVNPDNGAVNAVGGSSSASKASNQSGASGGSIDGEGGTVSEVVVDSDGCPSSEKFKKLLELLEPRFDFEYDGGLVLKETELRLVASSIPPENPQVMDACVTVMRESIFINTDPASVPRADMLTSQFHGSLAVMYSKFKKVRRKESFDFDADLLSSITSRFNSTGRQWLVNIDYLYSPFNIDKNRWIAVMVDMPSHSLTVFDSTANVRRCSRLKPELEFLCEMFPYLVRKVGANDVMLNYPLTPLSFTRHTRVTQASDRANTGMLSLLFMEAHAVGGFEKVCQVSESGIRHRAEQLAVQLYEHCCGDIEV >Al_scaffold_0006_2622 pep chromosome:v.1.0:6:12441944:12442456:-1 gene:Al_scaffold_0006_2622 transcript:Al_scaffold_0006_2622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5F1] MLGQQLTTVSEGGSSSTVQELDNEMTAGGYECDCGTQALIFQAWTDANPGRRFYRCGAGRRSECNYFRWRDLEKPHGWQKKALLEARDLIKAQDAEIKRLRETQAEGIESYAGEDLEMEKKALESDLKTSKEKEQTLREVLLISWIGFICIFATVIHALK >Al_scaffold_0006_2625 pep chromosome:v.1.0:6:12451376:12453399:1 gene:Al_scaffold_0006_2625 transcript:Al_scaffold_0006_2625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought-responsive family protein [Source:Projected from Arabidopsis thaliana (AT5G26990) TAIR;Acc:AT5G26990] MDSDSWSDRLASASRRYQLDFLSRSVLRCLTSFFICKNADNFLGFEEIEGEDDFREEYACPFCSDYFDIVSLCCHIDEDHPVDGKNGVCPVCAVKVSSDMIAHITLQHANMFKVTRKRKSRRGGAQSMLSILKREFPDGNFQSLFEGTSRAVSSSSASIAADPLLSSFISPMTDDFFISESSLSAETSSAKKTLPESLDHRNVEKQSLSAEDHREKLKQSEFVQGILSSMILEDGL >Al_scaffold_0006_2636 pep chromosome:v.1.0:6:12531985:12533662:-1 gene:Al_scaffold_0006_2636 transcript:Al_scaffold_0006_2636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M5G2] ITGSILSNEIKPLISTVCEGKDANVIAHGARNCGKTHLIHGNDRELGLTVLTMSEMLSMAVSVSVSIYEVSQETVYDLLDQEKRVIPVKSLSEFHKGVMIHVTTGNANPGSLGRMNFLDMASRKQNSALAPLEIARVNTLIYALQNVMYALNGNESHVPYRESKLTRMLKDCLKGCNRSQICLCSNRAMNNPTKKKINGLERSISLCSAAQRKQIPLIVSATSRKQTVLRENENVPGSKRFGEM >Al_scaffold_0006_2637 pep chromosome:v.1.0:6:12539246:12540170:-1 gene:Al_scaffold_0006_2637 transcript:Al_scaffold_0006_2637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M5G3] LFDWQLRDGSSHQGTVTSMEPNEGTFVLHTENTKKGKINHVHLVDVPGHSRLRPKLEEFLPQAAAIVFVVDALEFLPNCRAASEYLYDILTNANVVKNKISVLLCCNKTDKLTAHTKEFIRKQMEKEIEKLRASRSAVSTADIANDFSIGIEGEVFSFSHCYNKVTVAEASGLTGETVQIQDFIREYIKPLAQTCINRLNLRLDSYAFGL >Al_scaffold_0006_2638 pep chromosome:v.1.0:6:12541725:12543932:-1 gene:Al_scaffold_0006_2638 transcript:Al_scaffold_0006_2638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5G4] MEPFSTKRLHLYWLISVSIEELGETSVEGSGDVIARSSQPLFGLMMNMRSLDKAVELNYQWIAFDDVCYHVQVTVKNPNLLLLVVSLPNPPPEAMSFDGLPLGAIEAIKATYRTGFQEVEVLSHITWKPKWGMIFSDFVFLCY >Al_scaffold_0006_2643 pep chromosome:v.1.0:6:12579282:12580255:-1 gene:Al_scaffold_0006_2643 transcript:Al_scaffold_0006_2643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M5H0] VKFLRGEYETLCRISCRKSFCVGSNGEDGDCDGEAELAWIRTNARGRNLNRSRAALRQKSIENKVVVVAEVEKNVWPAIKAGKVKPVIHKYLPLPQAAEAHGLMETWMYKTWSLFCWLYVSLIW >Al_scaffold_0006_265 pep chromosome:v.1.0:6:1064357:1066385:-1 gene:Al_scaffold_0006_265 transcript:Al_scaffold_0006_265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G03560) TAIR;Acc:AT5G03560] MAVRLARSLAASRPSRGNRCSASVITSSAINGGEFSDFHRAFAFYSSSSSWWSSPEDLTAGSKRIEKNTTDRLSAVIDAVHDRKLPPELRGRRDFVRGFARQFSSETKRVNTKVNFSLSDDDSDEETPVTEDSGKPEFLPPPYDPFSKKLAIEGPEDPKNLQEIFHKMKTEGFMNEAVKMFDALSKDGRTHEALELFSQIKDKNQMPDVVAHTAIVEAYANAGQAKEALKVFMRMLACGVSPNAYTYTVLIKGLAADVRTLKDAKKYLLEMMGNGMSPNTATYTAVFEAFVKDEKEESARELLQEMKGNGFVPDEKAVREALQSKRGQVFRTVFNLLFDKSETDIINVVEQRIWHSMEEGHFENLPGKGKPLNLHTNPHADPAEDTLYRILNKNGVAPEWVELNKEIRNKAKEWRISLKKAWTMKLEEDQSGWEERSDLLKKELKQINNMVFRYNLIVPFGRQMFGLKWEKEIDLLKD >Al_scaffold_0006_2660 pep chromosome:v.1.0:6:12668191:12670258:-1 gene:Al_scaffold_0006_2660 transcript:Al_scaffold_0006_2660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5I6] MPNASRWNVEKLKQLIHHDDIPRICRIRPRLLAAPDVPTWVYTKDGEYSAKSGIGWFLWDGQGKPILKGASSITATGSSLEAEAIALKEALLQMKILGYDNLRRSSAAPTLQLQRSSSSSSSSSSSSSPPTIKAHH >Al_scaffold_0006_2663 pep chromosome:v.1.0:6:12694581:12694787:-1 gene:Al_scaffold_0006_2663 transcript:Al_scaffold_0006_2663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5I8] MTLLVVTITTMKPLMETRSKTAIKGEETKEATSPALRLTAVELSWVGEKMEHLGDGKESCDEEIEKTQ >Al_scaffold_0006_2665 pep chromosome:v.1.0:6:12702282:12703249:-1 gene:Al_scaffold_0006_2665 transcript:Al_scaffold_0006_2665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5I9] MDFMALDLNGVPKFRYHNRFEVRQYLLSNLSLSLSLFSGLEQDECWRSGVERMDLQSPYTLEMLNAPSQYIDMNEEDAQDENIEMNEGEIEIEEPEQSDTQAPRKRRLTLVVWNHFVLVGTKKDGKERGKCIHCGTKLVINTKTHGTKSLIRQFG >Al_scaffold_0006_2666 pep chromosome:v.1.0:6:12707539:12708990:-1 gene:Al_scaffold_0006_2666 transcript:Al_scaffold_0006_2666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5J0] MNTKRRSAISLPESREEKRSRCGNGSESTKASVYTWKTLNLFAASIQHSENLAITPRKSRSPIEPTPTTPSVSDKEKMGVKPVHEKKSPTTATSLPPQVKPNAVSVPTKRNVEPAKETRLHNRFDTLLSLSDD >Al_scaffold_0006_268 pep chromosome:v.1.0:6:1073306:1074864:1 gene:Al_scaffold_0006_268 transcript:Al_scaffold_0006_268 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G03590) TAIR;Acc:AT5G03590] MKPNAMSWKPPYGITFPGKPSGRYSDGLIATDFLGSEVFDSPVDRSPNISTQVGFLVNLALARRVYTIDGDLASSYALLSYSGSDYYGFIDQNPNMAAYPAFVEFIVEDIHCNESYSDLVRLHNEGLKKVVAKLNKEDKFRTKGDRFVIVDLHKAFMAVLEKKGSKRFKTPLKPCCEGDCARMDMKGAKKYTLCNDPKSAFFWDEINPTQEVWRSIYSLLGKSLTESLTNG >Al_scaffold_0006_269 pep chromosome:v.1.0:6:1075648:1076756:-1 gene:Al_scaffold_0006_269 transcript:Al_scaffold_0006_269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWR8] MKKSIRPVYQWQDSRTIKRSKALDPIVPESIDASGTQNSWTDAAESVIDAALAPPLRCEISCKYFIGRKGQTIESSALSSDPKMAKELWDTSCLIFDELQQAHT >Al_scaffold_0006_270 pep chromosome:v.1.0:6:1078470:1080032:1 gene:Al_scaffold_0006_270 transcript:Al_scaffold_0006_270 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G03600) TAIR;Acc:AT5G03600] MGSNQLLGPRKVPKLFVFGDSYADTGNTKRDTEAWALPYGITFPGKPSGRYCDGLIATDFLEKVLGAESPYLYRTHGRKKKIKRGMNFAFGASKMLESSPNSPFPNITAQVNFLVDFVLAGRVYGDITSSDVSLISYAGGDYIYYIDQNRPAAGLKAIVEKVVDNLHVNMIILSGLLFKKIAVTSLQPIGCLPSYTSASSFKSCNESQSALVELHNKLLKQVVAKLNEQSRVMKKGQHFFIIDIHNAFMTVLKNKGNKRFKNPMKSCCEGYCGRSSDGEKLYTLCDDPKSSFFWDEVHPTQEGWRSIYSVLGKPLTEFLTKP >Al_scaffold_0006_2700 pep chromosome:v.1.0:6:12868145:12882506:-1 gene:Al_scaffold_0006_2700 transcript:Al_scaffold_0006_2700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27360) TAIR;Acc:AT5G27360] MMVEEKERSIEERLLQLKNQNDDSDCRITACVILGTFVAVCGSFSFGVSLGYTSGAEIGIMKDLGLTIAQFSAFASFSTLGAAIGALFSGKMAIILGRRKTMWVSDLLCIIGWFSIAFAKDVIWLNFGRISSGIGLGLISYVVPVYIAEISPKHVRGTFTFTNQLLQNSGLAMVYFSGNFLNWRKLALLGALPCFIQVIGLFFVPESPRWLAKVGTDKELENSLLRLRGRDADISREASDIQVMTKIVENDSKSSFCDLFQRKYRYTLVFSGSSAVLSYASTILRKAGFSVTVGSTLLGLFMIPKAMIGVILVDKWGRRPLLLTSVSGMCITSMLIGVAFTLQKMQLLQELTPVFTFICVTLYIGTFAIGMGGLPWVIMSEIFPMNIKVTAGSIVTLVSWSSSSIVTYAFNFLLEWSTQGTFYVFGATGGVALLFIWLLVPETKGLSLEEIQASLIRQPDGIN >Al_scaffold_0006_2702 pep chromosome:v.1.0:6:12922022:12922377:1 gene:Al_scaffold_0006_2702 transcript:Al_scaffold_0006_2702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M657] MESGWLWDLAKGSVPDGPKFNNVHAGSNKIGGNAASTSKATGLKASKEKDPAVLGSEKGIQSTERVSTLEEEEDSSDAFFFFAAINPGNRKFITDDVEVKLNGLH >Al_scaffold_0006_2703 pep chromosome:v.1.0:6:12978135:12982604:-1 gene:Al_scaffold_0006_2703 transcript:Al_scaffold_0006_2703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M661] MEILPEVVGFAVQATPRGKGFDYRSHVCTICGKKGHGEDRCYQRHGYPVWWKGTRNENQNTLQPERVSSSVFTPARVKPTAHVIGPITQATANQVMKPSSSSTPNDIEVTPGDSHGDSAAAADIPEEEKLGRGCRQKIPSVAFICVGPREQALTLLRFAADKRTSLIVTEMNKSIRRLNVCPRTPFIGRRDKLGGSTRLVVVFRFRASVSSSINVDVKVGVSSSMTASILHSKK >Al_scaffold_0006_2707 pep chromosome:v.1.0:6:13026320:13026487:-1 gene:Al_scaffold_0006_2707 transcript:Al_scaffold_0006_2707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M664] MALPITELNAVKPFKTQWKIQVKIVHSWTQYTQYSGETVEMILADTSVIYDLSIV >Al_scaffold_0006_2708 pep chromosome:v.1.0:6:13030117:13030584:-1 gene:Al_scaffold_0006_2708 transcript:Al_scaffold_0006_2708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M665] MQKKQTSADLSRSTAVRPRRILEKMIPRTEVMRRRGTALGSQHDHRNHQEEGDLEQIYKPKLAPPLREARHLDLKSALRIPQEHQWDSDHGTLKPSHGAGRSRTPERQRIGS >Al_scaffold_0006_2717 pep chromosome:v.1.0:6:13153414:13157448:-1 gene:Al_scaffold_0006_2717 transcript:Al_scaffold_0006_2717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frigida-like protein [Source:Projected from Arabidopsis thaliana (AT5G27220) TAIR;Acc:AT5G27220] MEEIKLENEIRLCDVKTENLRKTMDTIKSQASDVLILNLQWCDLEDHLKSTSEKVELRFREVVSKEVELQSRSFALEERAKVVEAAEAEMGDLEMKANGFRSEVEEKREELGFLRKSLEECSVEERSKRSQLNEIVELLRKTQVDHDLKGGELRQIETDLERYRAEVKEEKEYLRRTENGRREWEEELERKTKDLTLVRDKLAECDKRFETRSLELRKTQGEVEVKEKQLEQMKIDLEKYRVEVNAEKENLGRTQTHRRGLEEEIEIKTKDLTLVMDKIAECEKLFETRSSELIKTQCEVELKGKQLEQMDIDLERRRGEVSAEMEHLDKSQTHSRELAQEIKRKRKELTAVLDKIAEYGKQLESVEQQLASQQKLLETRSSELVSKKKELDGLSLDLDLANSLNNEMRETCKQIKSKGRELEEIERLIQERSGHIESIKLLLEEHSEELASKEERHNEITEAIRKLSSEIVSKEETIQQLSEKQLVKQRKLDSTEKRLENTTAEFVIKEKELGSVKDTYRECLQNWEIKEKELKSLQEEIKKIQDSLKDFQSKEAEQVKLKASLMEREKELGLKEKRIRARSEKIELKEKKLDAREERIDKKDEQLKSTELKLAKCVKDYELNAKKLASFCQQNNPDQQVDLVRDASVCDEKTLQLLLRGHLKKCDQLHLDVLGALKASSDPAKLVLDTIQGLHERMAVTKLDPDSVRRSSICLLECLMNMSQEPKTEVQVEAIKSATEWKNTTLVKAENPVEVLGFLHFLAAFSLAYTFDADKVQNLFDAAFLRQYAPSLCEALGVSSLAPVNNVLLLDDKPEQQPPEAIRNSSDSRSPDVQETIASSHLGNEDVLLDPEGSASFSPNEVFTGLQGMKDPATYVLNFVNDELMGAQHRGELGLAEPVVKTLIPLLEELPRVVRSSKHVLSDALKVANLWSWMMGNSAQMSSLEAWGFLQLIVAYGLVHATSQENTLRFASYVAHFKQAPKLFESLGLSYAIPNVVKQLLDERHYFMAIRFIFYFKLKSNFSPLELLKDEIVNLRVSAKEERRFDSQAEDRDAAKLKDIIELIEDFKLDIDLPVDLIVKFMVPREIQNQNQYVVSSFVPVQPPQVHMQASHTVIHSSYIATHGSNPTFPTILGASPNQQVLDMETYQAGGSTVFHGQPSHQAGFKRPRMDPSVSRPVIRPCFNPSSYGRF >Al_scaffold_0006_2720 pep chromosome:v.1.0:6:13172504:13175919:1 gene:Al_scaffold_0006_2720 transcript:Al_scaffold_0006_2720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M679] MAILGRITGVTRISRNNSRVRVCPVRYFQSKDLSNTNSFNGEDAAKLPVLIVGAGPVGLVLSILLTKLGVKCAVVDKATSFSKHPQAHFINNRSMEIFRALDGLAEEIERSQPPVDLWRKFIYCTSLSGSTLGTVDHMQPQDFEKVVSPASVAHFSQYKLTNLLLKRLGDLGFHVRGSKESDGLEVDAVVARQILMGHECVAIDANKDSITATVSYLKGGKHMKRNIQCSILVGADGAGSAVRKLTAIEMRGERDLQKLVSVHFMSRELGEYLISRRPGMLFFIFNTDGIGVLVAHDLLQGEFVLQIPYYPPQQSLSDFSPEMCRMLIYNLVGHELSDLDVADIKPWVMHAEVAEKFMCCENRVILAGDAAHRFPPAGGFGMNTGIQDAHNLTWKIAALVQGSAKSSILKTYETERRPIALSNTSLSVQNFRAAMSVPSALGLDPTVANSVHRFINKTVGSILPTGLQKAILDNVFALGRAQLSESLLNESNPLGNQRLRRVKSIFERGKSLQLQFPAEDLGFRYLEGAIVPDNESEAGDPELPSGRRRDYVPCAEPGSRLPHMYVKILSDSTREVIVSTLDLVSTEKVEFLLIISPLQESYELARATFKVAKEFMANVKVCVVWPSSDEGLERESNSALAPWENYVDVMEVIRQNGEGTCWWSICKMSERGSILVRPDQHIAWRAKSGITLDPTLHMREAFSIILGKQ >Al_scaffold_0006_2722 pep chromosome:v.1.0:6:13178948:13179653:-1 gene:Al_scaffold_0006_2722 transcript:Al_scaffold_0006_2722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M681] MCSSRTYFNLTEFVSILSGIVNPNICVDVIGKILNVRELVFVPSVEHSQGGYFELCFGLRDTECIHLECRLTGDFAVEFYDLWKRRSRNTVICIIKFVKLELSQEHRWRCTNVTGCTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0006_2724 pep chromosome:v.1.0:6:13196737:13202294:-1 gene:Al_scaffold_0006_2724 transcript:Al_scaffold_0006_2724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M683] FFFFFFFFFFFFFLFFYFFSFFFVALVLLWIDFFILRYYFLLFLEVIFVLLLSWSM >Al_scaffold_0006_2726 pep chromosome:v.1.0:6:13208043:13208519:-1 gene:Al_scaffold_0006_2726 transcript:Al_scaffold_0006_2726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M685] MPEWPPENPFEHSKLLSSYELQDNDWIRLYLELAVSTTNRATVMVHDDLSNLMIVQVVIDTTPQDVDLVFNRTNFAIVYIMYKDSCEARVGKDVDRVAIVRRAFDEQRGCFSLVGKTLSLPKE >Al_scaffold_0006_2727 pep chromosome:v.1.0:6:13210366:13211295:1 gene:Al_scaffold_0006_2727 transcript:Al_scaffold_0006_2727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M686] MINEQDVKGNTPLHLATIKRQATIVTAFVCLGGNLRLKNNDGQTAMDIAETNLQSSYKFSERLILLALTWAQKPWFVPFVPRIGNRSAPTATNPDANTYKKLVNTLLVVTVTLATAFTIPGGYASTGENIGMATLAKKTTFQVFIICNTLAMGASVVALVFLLLAQLGDINRVATFVEFALNYLMIALCAMPISFTTGVVLVVSHIPKLSKTIMIIAAVLLSPIPVLLFPFYLQYIVFLLIYVPYRYVKDYFLHQMYLALYILHGVSEPVLRSNRIIV >Al_scaffold_0006_2728 pep chromosome:v.1.0:6:13212482:13214301:-1 gene:Al_scaffold_0006_2728 transcript:Al_scaffold_0006_2728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M687] YPTENAGPNPTEAEPIYGFSMTTVELKEKIDLAEKERKIFNRLLGTLCHCNLDTQLRVAGGWVPGKLLGKEFVNLKSEEYTENSRIPTMKFGTAKENAYRRDLTINSLFYKINTGLVEDLTDRGIKDLKSGKIVRPLPARVTFLDDPLRVIRAIRFGARFGFTLDEELNEAASSEEVKVALGGKISREQIGNEIESMISGSEPVSAITCLYDLKLFGVVFAFEPTPSENCGRLTVQNNGGRKN >Al_scaffold_0006_2729 pep chromosome:v.1.0:6:13214400:13214582:1 gene:Al_scaffold_0006_2729 transcript:Al_scaffold_0006_2729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M688] MRALHQTLGGDLVHVRSEIVDNSFTNKSVNERLVTVKRRSDVEEEAKDTDLAGTRMNYTK >Al_scaffold_0006_2734 pep chromosome:v.1.0:6:13277816:13278220:-1 gene:Al_scaffold_0006_2734 transcript:Al_scaffold_0006_2734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M695] MVEDEDAEALKKMREGEEAKAKVKPQAIETFYKVLEIAKEVILSSLERSSSGYLKHSDWNESLHYAMNRYFDGLYDHWPKKSIEDDRNNTIFSFEIQRVKCIIVPAYSKINLLLLSENEKVYEYLEPPMKSKKE >Al_scaffold_0006_2741 pep chromosome:v.1.0:6:13319800:13320648:1 gene:Al_scaffold_0006_2741 transcript:Al_scaffold_0006_2741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6A3] MANGLSPIFNQGTIDAQFRLQVCFLSLVAGGISRQTLNKIDEPFNVEEVESVHVPPTPTEKLLVLGGNGFVGSHICKEALDRGLSVSSLSR >Al_scaffold_0006_2749 pep chromosome:v.1.0:6:13381734:13383016:-1 gene:Al_scaffold_0006_2749 transcript:Al_scaffold_0006_2749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M6B2] VAPKHNKNLLPNQLSTSSTQLKDVCALNSTAGPSPLSSPVKHLLQSTSRKTMTRSQARIASLPSAKCSQQLLVSGPAMNLRSKKQAPKPLVGDSSEYILSTLEAINSPTVSKFLVKLSKLQGSEYTINGQPYPAAFFSEISKPQNWVSSLKKHGAYLASRRITLLDSMFTSIMSNKFMSFSQNLNTSAYAWNPLLIAYARGVVDGRPSQLAWLKDVDIGYMPMNWGKRHWVALAIDLCRGHIDILDPFEDCTPQRKVVSYMSPIAQMLPSLLQSLSNDVPATWPSTGFTFMRVPHLAQNDRGGDCGPMSLKFIELHSHQLTSSLQHLTKKQVDSIRMHYAMDLYAEYLSFS >Al_scaffold_0006_2750 pep chromosome:v.1.0:6:13387158:13388167:1 gene:Al_scaffold_0006_2750 transcript:Al_scaffold_0006_2750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6B3] MEGGFVSMYVECLSSTTPLIDVFAHLTFFVFSEEEKKYLSIQDVEVKRFNSAKTVWGLSKALSVETLKDRAKGFILYGELHEFGAHVKIVSRPVSFGEDLPFHKFSWTICDFSLLRQNDCVSKTFHMGEKDWTLTLYPKGDSRADGKLSQHLHLADGETLFRGELIFVRVNLQVLDPRGSDHLIGSINGWVMASTKAMGLPQFMPLAKIQGA >Al_scaffold_0006_2751 pep chromosome:v.1.0:6:13407159:13407852:1 gene:Al_scaffold_0006_2751 transcript:Al_scaffold_0006_2751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M6B4] VNMNLRRRIRENQRIQVPWSTLQKKPENRENQRMLTLEVKPSGCKLIRQKKQQAMMMLRIKVMILKIELMILVMMVMMF >Al_scaffold_0006_2753 pep chromosome:v.1.0:6:13502668:13503120:1 gene:Al_scaffold_0006_2753 transcript:Al_scaffold_0006_2753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6S5] MFAGKAEVIFSIDVLELAEWGGGITDPGQTNFLIISARDIGEVPDSFGSMDKFGAIKTIIHSSRYMGNGASRLLLSFEPLSFGAWITIPREDSLFSTMDLTRGDLPPVEDTSRSVQADEDGMSDKRMVDPYPRLLSSYLGSEDGLSWMIL >Al_scaffold_0006_2775 pep chromosome:v.1.0:6:13772840:13775210:1 gene:Al_scaffold_0006_2775 transcript:Al_scaffold_0006_2775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G28470) TAIR;Acc:AT5G28470] MDVESSSPSSHALIKKEKGGWRAIKYIIANESFEKLASMSLIGNLSVYLTTKYNLGGVFLVNVINIWFGSCNVLTLAGAFVSDAYLGRFWTLLLGSIASFIGMGIIALTAALPRLRPEACIDPSNCSNQPAKWQLGVLFSGLGLLAIGAGGIRPCNIAFGADQFDTSTKKGKAQLETFFNWWYFSFTVALVIALTGVVYIQTNISWVIGFVIPTACLALSITTFLIGQHTYICAKPEGSVFADIVKVVAAACKKRKLKSGSDIMFYIGPSNDGSPTTLVRDKQRLRFFDKASVVTNPNELNEEGNAKYKWRLCSVQQVKNLKCVTAILPVWVTGIACFILTDQQNIYGILQAMQMDKTFGRHNFQVPAGWMNLVSMITLAIWISLYECVIIPIVKQITGRKKRLTMKQRIEIGIVMGIACMIVAAFQEKKRRASALKNGSFVSPISIVMLLPQFALAGLTEAFSAVALMEFLTVRMPEHMRAVAGAIFFLSSSIASYICTLLINVIDAVTRKEGKSWLGDKDLNKNRLENYFFIIAGIQVANLLYFKFYASRFATDNKKGH >Al_scaffold_0006_2777 pep chromosome:v.1.0:6:13812784:13815733:-1 gene:Al_scaffold_0006_2777 transcript:Al_scaffold_0006_2777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6V1] MSSRFDGTVIVLANRYELAQNYKIGWQQFFKSDRDRRDLVTCGCAAGVAAAFRGGQIMVFLEAEKGYRSLIKIVIALGEDPPRVGVHCVG >Al_scaffold_0006_2780 pep chromosome:v.1.0:6:13828614:13831734:1 gene:Al_scaffold_0006_2780 transcript:Al_scaffold_0006_2780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6V3] MTTDGKITGTSSQQRYNRASFTFKFSTQTPNMKWSTTVDNLRLCLLCDSKCVQKNPSYREEPNPTDVMVEAQETRGDEPEETDSNLASNPQDSTVCLEKTQKEATEVEMKMTQEVQAEKEKAEREKEKAEREQAEKEKEKAEKENAEREQAEKENAERENAEKEKAEKEKAEKEKAEKEKAEKEMAEKEMAEKEKAEKELAEKEKAEKEKTEKEMAEKEKAEVEKVLAEKAEDEKVEAEKAVAEKVEAEKAVADKVEAEKVEGEKEKAVTDQEEKVEGEKEKAVNDQEEKVEGERQISIQYERKSRKINAEVQGEEEVAGEA >Al_scaffold_0006_2783 pep chromosome:v.1.0:6:13843948:13845362:1 gene:Al_scaffold_0006_2783 transcript:Al_scaffold_0006_2783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6V6] MDSVNHTLSKILQLPLVTLPLATSPPWTSLPTISRSLLLHFNRNVPQMKNLFFLYIRLYHHVFMMPIRIRVLEQQDHATKAQTSREAELENDPIEAGVGGVNGSREAMIDATEARDIL >Al_scaffold_0006_2788 pep chromosome:v.1.0:6:13915378:13916420:1 gene:Al_scaffold_0006_2788 transcript:Al_scaffold_0006_2788 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 (targeting protein for Xklp2) protein family [Source:Projected from Arabidopsis thaliana (AT5G28646) TAIR;Acc:AT5G28646] MRREVVESVSTNASNERVHVAPKIAAEEEDYEVKECTEENSLSLNHKSSNVIRENDLKKKHLDEQDDCSVASSVKNATSKVTHGTAPRFRSAQRAEKRKEYYQKLEEKHQALEAERIELEQRQKARIFLEEQEAAIKQLRKNLKFKANPVPDFYYQGPPVKPELKKFPLTRPKSPKLNLSRRKSCSDAITSSGEENSKSQNRQSVVE >Al_scaffold_0006_279 pep chromosome:v.1.0:6:1135809:1137440:-1 gene:Al_scaffold_0006_279 transcript:Al_scaffold_0006_279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase superfamily protein [Source:TAIR;Acc:AT5G03690](projected from arabidopsis_thaliana,AT5G03690) MSCFKSKFADELIANAAYIGTPGKGILAADESTGTIGKRFASINVENVESNRRALRELLFTTPGALQYISGIILFEETLYQKTASGKPFVDVMKEAGVLPGIKVDKGTVELAGTNXXNTTIGLDGLGDRCKKYYEAGARFAKWRAVLKIGNDEPSELAIHENAYGLARYAVICQENGLVPIVEPEILVDGSHDIEKCAYVTERVLAACYKALSDHHVILEGTLLKPNMVTPGSDSGSKVKPEVIAEHTVRALQRTVPAAVPAVVFLSGGQSEEEATVNLNAINQLKGKKPWSLTFSYGRALQQSTLKAWGGKEENVEKAQKAFLARAKANSEATLGGYKGDAQLGEGASESLHVKDYKY >Al_scaffold_0006_2790 pep chromosome:v.1.0:6:13920960:13923136:-1 gene:Al_scaffold_0006_2790 transcript:Al_scaffold_0006_2790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6W3] MTERSSRDVPKPKKAKKKQAHRGEVWQYFVEKEGIAGVANCRCCAQQIGCDTKLHGTSFTRHIKSLVYQELGTHKRLCNNSQAKSTGNKSGDGDDLAQLFKEDGELESKDSLYDELATETVNDVATRSKTVADDARMP >Al_scaffold_0006_2796 pep chromosome:v.1.0:6:14033335:14035550:-1 gene:Al_scaffold_0006_2796 transcript:Al_scaffold_0006_2796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6W6] MNYFPVTIKPKKSLLLEAWKPVSIGYKRRWWDYIALPDDVHPFDEGALRMEIKNFACTEEAEEITRLFLDALGDEYSVYCQGTAFFPLQSCMNHSCRPNAKAFKREEDKEKQVLRVLWVFYTDPDLNSVRRVVLEADGYQPYLISTKKGFRTLIKFVIELAKDPPRLHIDAVGLNFRNLQHCELFMASLTCVP >Al_scaffold_0006_2798 pep chromosome:v.1.0:6:14038254:14039172:-1 gene:Al_scaffold_0006_2798 transcript:Al_scaffold_0006_2798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6W8] MDLLHGELEEAEKEICNALGNHEKNYGTILDIIDQKGYAVHSQDQIVEDVDVELGDASTDEDLVREIDEYDDGEEVDVDFESDKSISSGYLQIYLQN >Al_scaffold_0006_2804 pep chromosome:v.1.0:6:14081504:14081830:-1 gene:Al_scaffold_0006_2804 transcript:Al_scaffold_0006_2804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6X3] MFLSTSSSSSLSATSSISSTASVPFNPSTGSSVRSNSEASEASTGSFTAASEESSVGTLTATSEPFEAPVEGYFSPGSSAVISVRSLTRALPDNNTPKDFIIFEYESN >Al_scaffold_0006_2806 pep chromosome:v.1.0:6:14087113:14087439:-1 gene:Al_scaffold_0006_2806 transcript:Al_scaffold_0006_2806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6X3] MFLSTSSSSSLSATSSISSTASVPFNPSTGSSVRSNSEASEASTGSFTAASEESSVGTLTATSEPFEAPVEGYFSPGSSAVISVRSLTRALPDNNTPKDFIIFEYESN >Al_scaffold_0006_2808 pep chromosome:v.1.0:6:14108983:14109765:-1 gene:Al_scaffold_0006_2808 transcript:Al_scaffold_0006_2808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6Y0] MSVYGWRKKVIQIGDNKLTSSCLRATEAQAFFLRTEETDFACHSTELTRADEHLFQLTYRLSRCCYCSEEKDKGQKKETEEYAKVKREKRVIRGYSTRWGRVKASL >Al_scaffold_0006_281 pep chromosome:v.1.0:6:1144888:1145840:1 gene:Al_scaffold_0006_281 transcript:Al_scaffold_0006_281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWS9] MEKKLGIMVLLFVVLLLQFSELISTAQRPLQGDNEEDKGNKNDNWPSATSEMAQEFSYLMGEEKCEEMDEECMKRRMITESHLDYIYTQSHNKP >Al_scaffold_0006_2816 pep chromosome:v.1.0:6:14207448:14209384:-1 gene:Al_scaffold_0006_2816 transcript:Al_scaffold_0006_2816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6Y8] MNGIMFCITWEVPSTKLSDEGGVDEQRARFGEVLDELISRFVSVYWKQVTAGVSFSRRCTNDMFYFNKTTRDWRRRVVAPSCFTDRVGFCFELAEVFIATEYFERED >Al_scaffold_0006_2819 pep chromosome:v.1.0:6:14239583:14246320:1 gene:Al_scaffold_0006_2819 transcript:Al_scaffold_0006_2819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M6Z1] EDQETSVHLASTITYSLKGRALHVPSFVIARIFGGKEPPQFVAVFQHMVVLKGGLSSGYKNRMTEKGSSDKTYKMESIEMEVTIIVSLGILSVKNAKEGTESLSFWFSLALLFLQQRLKRFTTFDLLTEEMHLLMLKFIGVGQCVDPKEKQTAFEISQFCAGYIFLAGSLNGLSPKVPLYEITEGNEPCFLTTYFSWYSTKATVQGNSFQKKAALLLGTHHVLEILQACALCFLAFMFNSSRISLEVVIKDQGKGLLP >Al_scaffold_0006_2821 pep chromosome:v.1.0:6:14310404:14313122:-1 gene:Al_scaffold_0006_2821 transcript:Al_scaffold_0006_2821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6Z5] MSHIFSVNMFNQFDRWMNVTEWMPPQTKPYVYVSEVCVIFMTLATSVFLIEMYGCTLKTYQLRQVLWNDARQKKPGSANKRLRFDMQYWEENCAGSSLGTNLVSFQKQTGTVCGGDDTFTYLMRYQHTMVKLVDFLLGEKYMVTYHSQGLNNQRDAIQTQESGFQEELPEINSLTVIAQISLKWELKDPLKRKQLIHACKAEFARKWITFCKKFNIEHRALEFYFSKKLHRVLVGHDPAYISQGETGNKSIPTTAMKVT >Al_scaffold_0006_2822 pep chromosome:v.1.0:6:14314562:14318236:-1 gene:Al_scaffold_0006_2822 transcript:Al_scaffold_0006_2822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6Z6] MLSKAWALKEIHEKWTASTKLMGEGLVAEIKDISQTKHKEDPLHSISLSPLSPQMWSSSDYVFVIRLLPDCNHHTRFFWKRVEYLLEKINSNNTVCRETNLRQRHTFQASLVAEIDDMSKTKDQEDLFHAISLGTNPSFTPDVEFSYYAWCLQFTLLRQDQFSSGNQGFGSLFASLDFLREQNLYLGPYYCGVGADKIWGRDIVDAHYKACLHALINISGTNGEVMPGQKMIESKTTHVPVQDSKLTRLLQSSLTGHGHGHGSVKWRKSKISVTESIRKTHFMPFQLELSRQLKDFSLRYSFLFSSLSRILITCVISDHVLCFKRV >Al_scaffold_0006_2823 pep chromosome:v.1.0:6:14328289:14333271:-1 gene:Al_scaffold_0006_2823 transcript:Al_scaffold_0006_2823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M6Z8] GGLSSGYKNRMTEKGLSDKTYTMESIEMEVTIMRHYKLKRLKRFTTFDLLTEEMHLIMLKFIEVGQCVDPKEKQTAFEISQRYIDLMVSLNALSPKVPLYEITEGNEPCFFSTYFSWDSTKATDQASSGNQGPRQRAAALAALTSGLNTSSGRTSSPDQSSSGNQGPRQRAAAFAALTSPFNFSSGKTSSPIWKMDLEARSLQSSAKAMAEAFLRLTLLFSFQVSADQRGRLAMSVKRLDQSKDRVREIRRLMLETEEVGISVFQDLNQQCQTLLHVHTKVPQLLELLL >Al_scaffold_0006_2824 pep chromosome:v.1.0:6:14335541:14337623:-1 gene:Al_scaffold_0006_2824 transcript:Al_scaffold_0006_2824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M6Z9] EDQETAVRLANTMKNSLKERPVQIWKMDLEARSLQPSAKVMESRVAEVSADQRGRLAMSVKRLDQSKDRVREIRRLMLETEEVGISVFQDLNQQCQTLLHVHTKVVKIDGCVIGDGKVGRVTRTLQNAYKKRQRIL >Al_scaffold_0006_2825 pep chromosome:v.1.0:6:14344356:14345348:-1 gene:Al_scaffold_0006_2825 transcript:Al_scaffold_0006_2825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M700] MTEKGSLDETNTLESTAFIYSEFMMKKGCVLTPHANYSLPGITRAIVRKRHSFLLFSFTVMAKQNFILEERRISLSEFHTVDEVLSGMDNMNYSRTKPGCEN >Al_scaffold_0006_2829 pep chromosome:v.1.0:6:14393894:14394472:1 gene:Al_scaffold_0006_2829 transcript:Al_scaffold_0006_2829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M704] MKGGAGGYRGGGGRYGSGSDGYKRKSGGLRGGGWWCRYDSTEKTVMVEKEDKQIQVVTEAMKVVVVVTEVVVMDTKKKVEEISNMGEQGVVEVYTVVVV >Al_scaffold_0006_2832 pep chromosome:v.1.0:6:14407278:14408713:1 gene:Al_scaffold_0006_2832 transcript:Al_scaffold_0006_2832 gene_biotype:protein_coding transcript_biotype:protein_coding description:caleosin-related family protein [Source:Projected from Arabidopsis thaliana (AT5G29560) TAIR;Acc:AT5G29560] MATDVGGDSIATVAEKAPITAERRVRTDLDDRLPKPYVPRAMVAPDMENVNGTRGHKHQDMSVLQQHVAFFDQDGDGIIYPSETFRGFRALGFNVISSFFLTIIVHLTMSYATLPTWMPSPTFPIYIKNIHRAKHGSDTSTYDTEGRYIPANLENMFSKYARTVPDKLTRYELWQMTEANRNAFDFFGWAASKMEWGVLYLLAKDENGHLSKEAVRRCFDGSLFDYCAKARASTKKTE >Al_scaffold_0006_2833 pep chromosome:v.1.0:6:14421763:14422887:-1 gene:Al_scaffold_0006_2833 transcript:Al_scaffold_0006_2833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M709] MYKVLDKLISRFEAVHCKQYTQNWCRRFFTSLNNIIPCYMVLCGLKRLSRPDIMTETGCSSVIVKDVRRYNSINFFFRYIVKGEDVLVNKWESYRSLFGIDQTVPDVGSEPDFEDSNDE >Al_scaffold_0006_2838 pep chromosome:v.1.0:6:14530731:14530976:-1 gene:Al_scaffold_0006_2838 transcript:Al_scaffold_0006_2838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7J4] MKLSTKYPKKAPKDNGFANFGNHGKNNEPGHLWALFYDYKEVGELHKKLIPNNLSNINITRPDCRRSKKDYMMAKITTQMS >Al_scaffold_0006_2839 pep chromosome:v.1.0:6:14548321:14551094:1 gene:Al_scaffold_0006_2839 transcript:Al_scaffold_0006_2839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7J5] MVKVGSYLEDADSLVAKLKQSSVAVHGFPLAIQLFALKYIPLLLTILPNGEDQSTFLDRIIHHLPKCKSFHTSNILRLEYSSNLCVLHPQNPDPAFVASEHCDPKVKELERLIASSFQFTNDVWSGGDASLPSLTSSRKRKSIPSRSASSSSGPEDFCKQKKRVGHRSSVKIRGNADTLIEKHLKSFKASLLVELSHLIQHSHQSTRRGVPPVNKSSIVSPAVSSSPVRNTRSGCAGLSAGSFSKRSASNTRSSSSNAKHSPICHPAVGSAHTVLSPGKCLESMVDSEAVGFTGFQHLDTDVLPPEVSSPSVTGSHAHGVTGSQSISPVVLPCPVVKPLVQLILSYIKQRRPSFLHEAAKHTSHTSTLPSHLASYLSPSSPMPQVNACQLNACKPDSKEESCFIHPTPVQPSVFVLKNISQRVTRQTCSSATQCPATDGVSAMLGQVPSATGLSVVMDRCPRLPIAPPTKQLPLGGPAMNLRSKKNVSHPDVKAMIPYILSTLGTPNSATVSKFRSKLSKMGKSSYTINGHSYPSTFFTELSKPQNWVSSLHIDCIVSFLWQKHGAFLATRRITILNSMFTSMMENKFVNFSQHVNTLTYAWHPLLTAYVRGLVDGRTCKLEWLKDVDIIYLPMNWGKRHWVAIAIDLPKGHIDILDPFEDCTSARKVASYMAPIAQMLPCLLRSVCEDVPSTWPATGFTFTRMTGLAQNDRGGDYGPMSLKFIELHSYQLTSHLQELTKKTIDNIRMRYAIDLYEEYVSHV >Al_scaffold_0006_2844 pep chromosome:v.1.0:6:14610718:14611376:-1 gene:Al_scaffold_0006_2844 transcript:Al_scaffold_0006_2844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M7K0] KRIPGLFVINRVFMNGNRLHESSVFSRVWDPGEILCELVQLGWLDHYFGENKKQKLLPRNYEIVILEITIIAKVIGVLSLERLSHGEKVQALAIQDGTLHFSSGLDNHNFSSFMKLLLGWNQTFLITHHGLEIKGLSWFKELYEKDSLPEILLIEVAGCSPEDADKSGLAPK >Al_scaffold_0006_2845 pep chromosome:v.1.0:6:14611681:14612022:-1 gene:Al_scaffold_0006_2845 transcript:Al_scaffold_0006_2845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7K1] MVGVQPQEASGKFWTSMVPRASQIRRQRRNQVNRGYNKAQKQRMKPKHHSQSSHLSFQLRSSRNQLYLGDDRVDSMAVLWQERSNTQELEELVSTNVSTNFRNEEIGIDIELW >Al_scaffold_0006_2849 pep chromosome:v.1.0:6:14624145:14624907:-1 gene:Al_scaffold_0006_2849 transcript:Al_scaffold_0006_2849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7K5] MSRNESTAVVSVVTPEKTIISKCGDSRDMLCRDGVASPLSVDHKPDRPDELIRIQQAGHIYLKPYDDDDGSEMMDYGSLYQMRQRYGMARVCPRGTGRADGDDSVAAATHNACSDASLLLTKLALARPTFDNVSVVVLWLTGGRGGIINHRHNI >Al_scaffold_0006_2850 pep chromosome:v.1.0:6:14627489:14628343:-1 gene:Al_scaffold_0006_2850 transcript:Al_scaffold_0006_2850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7K6] MSPRHKWAKADYEGVREVGYYDLKFKTQTLDLSHNNLSGEIPQTLSKLRELNVLELRNNKLTGRIPQSPQLDRLNDPDIYSNNNKLCGMQIQEPCSTQTKQPEENKEEAMFSWKAAVIGCPCGFSNGSCLNVYGWLFQRFSSPPPRRPLKNRGLKKSKTLFS >Al_scaffold_0006_2853 pep chromosome:v.1.0:6:17208673:17208972:-1 gene:Al_scaffold_0006_2853 transcript:Al_scaffold_0006_2853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M7K9] IFRNLNPKSLLPLLTINETSFSPWIFLPSLRSSHSRSIDLRSSHRSEINNNPDLDFSQIGFVVTEKNRRWRRIGGGRESAVEENRWWRRIDGGGESALV >Al_scaffold_0006_2857 pep chromosome:v.1.0:6:17240831:17241331:1 gene:Al_scaffold_0006_2857 transcript:Al_scaffold_0006_2857 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPla/RYanodine receptor (SPRY) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G06536) TAIR;Acc:AT4G06536] MKLVCESEKHEWAKENKVVGCGYDPRKKKVYFTVNSHLVHVINCKADEFGTPLYPTLASNTEATVLVNLGQSPFYYGPANGQRTSNPCAGAVAGLEDSKELFSIGRIDSHYLSNFFINRGNSDEIASGRTTVGNSHRRKLDYDEESDADLFEIALERSGKLNPSKL >Al_scaffold_0006_2861 pep chromosome:v.1.0:6:17375231:17375652:-1 gene:Al_scaffold_0006_2861 transcript:Al_scaffold_0006_2861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M7L6] TVNAGGQPKSESYDLKKFHVRDMIIGRIKRVEPYGLFIDIDQTGMVKEKTIAARWSQVKMFIFPEANRKDFDELAENVKEVLDGNKGYMMLIY >Al_scaffold_0006_2863 pep chromosome:v.1.0:6:17382541:17382828:1 gene:Al_scaffold_0006_2863 transcript:Al_scaffold_0006_2863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M7L8] VVTKVDKKTSVISIPKDQLVDLGDYQEEKVGFKVNQGKVTLSLNDELTPTKGEMCDVEAGSQIK >Al_scaffold_0006_2869 pep chromosome:v.1.0:6:17568827:17570776:-1 gene:Al_scaffold_0006_2869 transcript:Al_scaffold_0006_2869 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIER F-box proteine 3 [Source:Projected from Arabidopsis thaliana (AT4G07400) TAIR;Acc:AT4G07400] MRELGCWQQLSKTGGSRREHCEPHRRRMGQSTSTFRRSKASFTSPVLPNEGEHNSGADEPYDCTSNLPDECLSLIFQSLTCADRKRCSLVCRRWLTIEGQCRHRLSLKAQSDLISVIPSLFSRFDSVTKLVLRSDRRSLGICDNAFVMISARCRNLTRLKLRGCREISDKGMVAFSGNCRSLKKVSFGSCGFGVKGVNALLNNCLGLEELSVKRLRGINNVAGAGVELIGPGAAVGSLKMICLKELHNGQCFAPLLSGAKGLRTLKIFRCSGDWDRVFQAVGNQVNAIVEIHLERIQMSDLGLTALSKCSGVEVLHLVKTPDCTNAGLALVAERCKLLRKLHIDGWKTNRIGDEGLIVVAKSCWNLQELVLIGVNPTKLSLEAIVSNCLNLERLALCGSDTVGDTELCCIAEKCLALRKLCIKNCPITDDGIKALGTGCPNLLKVKVKKCRGVTTEGADLLRTRRALLVVNLDTPETPIAEVNEGGAQADAVEFPPPRLQIPTLGIASGSTSRSTSFKSRLGFMSRGNLVVCALKRLGSRSRSRND >Al_scaffold_0006_2876 pep chromosome:v.1.0:6:17645047:17645361:-1 gene:Al_scaffold_0006_2876 transcript:Al_scaffold_0006_2876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M7M8] PCLPSGERTESRDHLFYACPYWFTVWNYLCAMIGHSISPDWNYSLHSLVHSLKSAHDYAIIYHIWRAQIIDNVIRHRIISRHKDLPEMRSPSFNAGLMSTVMVA >Al_scaffold_0006_2879 pep chromosome:v.1.0:6:17657710:17658897:1 gene:Al_scaffold_0006_2879 transcript:Al_scaffold_0006_2879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7N1] MEVLDRDSYWGLRLRCCAIGDLADYFMRVWVNREYGHSYLRDPVFCVLRFWHVEEYKGKSCLMNRVGCSRFYLDPEFDELEEIK >Al_scaffold_0006_2883 pep chromosome:v.1.0:6:17701274:17701601:1 gene:Al_scaffold_0006_2883 transcript:Al_scaffold_0006_2883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molecular chaperone Hsp40/DnaJ family protein [Source:Projected from Arabidopsis thaliana (AT4G08028) TAIR;Acc:AT4G08028] MTTTMKTFVAFVFTVFFIISSAHCRTGGSPGYGIGGRTKTCFTPTWCLYRGVHGCDSYCRTKNFDYGYCSPEHCCCVNY >Al_scaffold_0006_2884 pep chromosome:v.1.0:6:17723096:17723299:1 gene:Al_scaffold_0006_2884 transcript:Al_scaffold_0006_2884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M7N5] EEEAETKFTTCWMRLVIGWKGRRQFNHTVLTSSPPLSVKLFLSKLRISSLTRIKCSNALIPLFPCFC >Al_scaffold_0006_2887 pep chromosome:v.1.0:6:17731992:17737953:-1 gene:Al_scaffold_0006_2887 transcript:Al_scaffold_0006_2887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M7P1] MLRDQANQAEFPREFLGVSLPKESSKYYFVVRSQRIVVDADSSIQMIMENLESYKCKLSFYFEGFQYQLGDFQVRVGKVVPAHAETVRGIVMEVEYLPISSIEMARK >Al_scaffold_0006_2892 pep chromosome:v.1.0:6:17767824:17768009:1 gene:Al_scaffold_0006_2892 transcript:Al_scaffold_0006_2892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ35] MPISYTQSSESEPSEDMSWARADGNWGIPRYCFCGTYVKLVVCTTGNNQGRKEYKCPNFEV >Al_scaffold_0006_2894 pep chromosome:v.1.0:6:17772225:17774441:1 gene:Al_scaffold_0006_2894 transcript:Al_scaffold_0006_2894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7P8] MTLGLLLGIGRTFRRKRASSLDILSPKRAPRDFYKGKNCKPPGFHTRKGGYVVQPHKLPNYVVPDLTGFKLKPYVSQCPLDVNKTTESTEASK >Al_scaffold_0006_290 pep chromosome:v.1.0:6:1189278:1198041:1 gene:Al_scaffold_0006_290 transcript:Al_scaffold_0006_290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWT8] MEWSTTSNVQNERVAFMPPPWLESNSFNSLRSFNYDSYTAGNSYKSANTQTGPVIPVPESEKIINAYQCPSNNNEMIKKNKRLTSEQLASLELRFQEDFKLDSERKLKLAKELGLEPRQVAVWFQNRRARWKVKHLEESYDSLRQEYDAIWREKQMLHDEVKKLRAIILRDQGLMMNINQTAGGDQTIGLGDQYNSLMMVASSGWPPISQPPNPW >Al_scaffold_0006_2910 pep chromosome:v.1.0:6:17933610:17934071:-1 gene:Al_scaffold_0006_2910 transcript:Al_scaffold_0006_2910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M7R7] LDVLVTHDPSSPIPPKSPSKILPSGNIYKVGDSKRWSVYDSEFYYQWSKEKQFHVGDSLLFEYNNKVNDVFEISGDLEFLYCDPISPVAVHKTGHDLVKLTEPGIHYFISSEPGHCEAGLKLQVVVGPTANVPKLSPLERLTRWLDSFMFNHH >Al_scaffold_0006_2916 pep chromosome:v.1.0:6:17989131:17992326:-1 gene:Al_scaffold_0006_2916 transcript:Al_scaffold_0006_2916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8A4] MKTIILFLTFLVLLSSCTSIIIQKANLEERTSLDNPSASPSMDQILVDIHLGHSLRQGVMGFCYDCGKACFRRGKYIRSCTRFKCRCSISDIK >Al_scaffold_0006_2918 pep chromosome:v.1.0:6:18012659:18014876:-1 gene:Al_scaffold_0006_2918 transcript:Al_scaffold_0006_2918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8A7] MERKLRSEDEKRFLDSFFETADGKTSETASQILKKSSFYYEEKYWILEDSMQLCYPQIPEQTLKEDIQPMSSDDNVPAQSFGAMTVGISQHQPDCLIANRNFSEIWGLDMDAFSPSASASKRSLASLYRPPFHLMFHGSFEQAKATSSSQDKWLLVNLQYTREFTSHLVDGDSTEGRKVCTYYKLESIPLVLVINPTTGQAMKKWFGMVPPEWFGMVPPEALLREALLPEALLVFFYPFMDSGPREHFTSLAKKQPRRSLAASFDDYNMEETSDDQSMISTEEVVLLPKFPPLLEEPERGNFSSNCGVGIDLPNGQRIMRYFLKTDTIQVNKS >Al_scaffold_0006_2922 pep chromosome:v.1.0:6:18085980:18086569:-1 gene:Al_scaffold_0006_2922 transcript:Al_scaffold_0006_2922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8B0] MGLGQGLHRKSKQLQKWLNGKLAGKIKKARILLIICRKETGTLDPAKSRPQLRGSLKGARTQPRDFGSRPHSPSSDEPSPPQISQKASPSDSRSGRSRRWWLNQTSEPRTRTSHLSSEPPELPLTTSPNNATHQTPSTSAVVAANGAAVEPEEL >Al_scaffold_0006_2924 pep chromosome:v.1.0:6:18107112:18110413:-1 gene:Al_scaffold_0006_2924 transcript:Al_scaffold_0006_2924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G08170) TAIR;Acc:AT4G08170] MKLTDDNEEVTMNGTREVETAEEDISSPCSLVIEAFPVKRSIIVGYALTSKKIKSFLQPKLEGLARNKGILFVAIDQNRPLSEQGPFDIVLHKQIGKEWRRILEEFRLAHPDVTVLDPPDAILHLRNRQSMLQCVADMNLSDSYGRVGVPKQLVIKRDASSIPEAVNKAGLRLPLVAKPLVADGSAKSHELSLAYDQHAVLKLEPPLVLQEFVNHGGVLFKVYIVGEAIRVVRRFSLPDVSRRELSEAAGVFRFPRVSCAAASADDADLDPNIAVNNVLILVAELPPRPLLERLAKELRRGLGLRLFNLDIIREHGTRDRFYVIDINYFPGYGKMPEYEHVFTDFLLSVIQSQCKKRALADQY >Al_scaffold_0006_2925 pep chromosome:v.1.0:6:18117701:18118120:-1 gene:Al_scaffold_0006_2925 transcript:Al_scaffold_0006_2925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8B3] MTISTTNAPNRPPSLPPPLPLGVGESLFRGRFGVVFSRSGFPSPLIFSLPSRGGSELVTVVVGEVRLLMFGRKEDDKKKPDRIRISADTREFYGYSFPGYPKLADTDPDTIFTDLADTDPDPDTPKIPDIWIHNRPSTK >Al_scaffold_0006_2931 pep chromosome:v.1.0:6:18162731:18162931:1 gene:Al_scaffold_0006_2931 transcript:Al_scaffold_0006_2931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8B8] MENMNDGNKNREDGGIEGFAVKPRRFENWKILSERSLSEICRNMVSRYRLNLSLSLTATREQARLN >Al_scaffold_0006_2932 pep chromosome:v.1.0:6:18177565:18179075:1 gene:Al_scaffold_0006_2932 transcript:Al_scaffold_0006_2932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8B9] MSEQIYLSNLSRGRTLKYVRVKILSLWRVRIYGFRCKTEMLLADEQGTKIEGTIGCGPFHNVDMRELREDAWYTISDFVVSVPIRRTPNTLHPFHIKFHSDTKMTLIYDLYSPNFFEFAKYSVIKRRLLEANRPFDLCGVVVYVSEIKRMTYVPGEYDASTACNYLYFRLMDQKGRELPCFALGHYAADFMNVWTSRGYQASFRYQPVFCVLRFWKVDEFMGEPSISTRIGCSKIYLEPTLPEIKDLRMMSVFIARVTWAIKEGEDCDDVGEVVDQNS >Al_scaffold_0006_2934 pep chromosome:v.1.0:6:18183960:18185028:1 gene:Al_scaffold_0006_2934 transcript:Al_scaffold_0006_2934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8C2] MSQNSSHDITSDVDQPDVCVDFADLGPGMVTMRVKVRIIRRFVCPAYGARFVEFVLEDPKGQKIHAVLGGDVAQRFSSILIEGNCIILSDFVVRMALGRFRPSSHRFRLGSNALTLVNLIRPFSQSDNFHFAKFSDIKEGHLNPCFCVDLIGRLLVTFDFRRSESNRVNHRFFFQMKDRSGVRLIFRLPDVFVDSFMNERKKWIGDFQILIVRFAKLEVVEGNVTATTACTCTQFFFDHECPEFLVMRRFFTRK >Al_scaffold_0006_2937 pep chromosome:v.1.0:6:18188897:18190103:1 gene:Al_scaffold_0006_2937 transcript:Al_scaffold_0006_2937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ74] MDEHVDDLKPIKLCWSIQVKVLNKWRERSEAGYRTLRMILCDKRGSMIEAKINSELITEYDSEFKDGDWISLHHFELDLVTGDMRTTRHAYRINFLPSTILNLIPDKDDLHYTLYPSSFLDVLDDKLIRTYLINLIGYVVDIEDIKYSDPSKRSAGYAMTSFKIKDANNYVLPCVAIGDLAEHFHDEWKRTRSSRVVCVLRWWGVYKVSGHMVIQSVGRCSRLDLDPDMPEVADFRVKSSASTGSSRYRA >Al_scaffold_0006_2940 pep chromosome:v.1.0:6:18198982:18199602:1 gene:Al_scaffold_0006_2940 transcript:Al_scaffold_0006_2940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8C8] MEVNKQNTNSTHISQTDECIVAIQQAASWVLSVDRLRGLFVMLMDVFCEADPLSVWDATWDVLFKDVLFPQQIIFNDHEPEYVYNEIQNFETFMRKENSTFNVYAELRKRVALAGGPTCDPSLEYKMRDQFNNLKID >Al_scaffold_0006_2942 pep chromosome:v.1.0:6:18213176:18214310:1 gene:Al_scaffold_0006_2942 transcript:Al_scaffold_0006_2942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIJ8] MIPFTSDSCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0006_2946 pep chromosome:v.1.0:6:18268469:18269202:-1 gene:Al_scaffold_0006_2946 transcript:Al_scaffold_0006_2946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8D4] MPTHKDVLPKDAPSSNPTQPSPPPQSEEISDNSETEKENDKEESQDGEEATVTTQDHDDNPDQNDEHFQDFSDNSTDQESNGSDESYDSDATDDGLRFDS >Al_scaffold_0006_2947 pep chromosome:v.1.0:6:18291975:18292639:-1 gene:Al_scaffold_0006_2947 transcript:Al_scaffold_0006_2947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8D5] MPTHKDVLPKDAPSSNPTQPSPPPQSEEISDNSETEKENDKEESQDGEEATLTTQDHDDNPDQNDEHFQDFSDNSTDQESNGSDESYDSDATDD >Al_scaffold_0006_2961 pep chromosome:v.1.0:6:18428380:18429373:1 gene:Al_scaffold_0006_2961 transcript:Al_scaffold_0006_2961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8E5] MDVASSPIFVCPFHTIQILTIAFSGVFSTTISSSLLSSEEDSPHKDLLPSSEEDSSLSSFPSPFLSSFSSSSSLSSSSLSSSSLSSSSFYGSKTGLEVEDGCAIEDGCSVEDGCYSVDDGCCSVVGTSLFLFNPVFFGGIIGNEKPNVVTKEKRNSKI >Al_scaffold_0006_2963 pep chromosome:v.1.0:6:18472661:18473272:1 gene:Al_scaffold_0006_2963 transcript:Al_scaffold_0006_2963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M8E6] WAVDYIKFDKEFNWKFSDKYIDVYNGESPPNGKTYKKWVKDIYIYILYLTHNIGKDHWVAVEVNLRRRRIKVFDSIVSCYTDVEIYEACRQFTRMIPALIQVMAHVEERKKLGALAFSIYMVKTAPQNFQTGDCGVYSVKFIECLAIGISYEGLCDSAMPGIRLKLAAEVFDEVPDSGCFIQMSDPHGVDTVGVEFISQNDPS >Al_scaffold_0006_297 pep chromosome:v.1.0:6:1219927:1222322:-1 gene:Al_scaffold_0006_297 transcript:Al_scaffold_0006_297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate synthase [Source:UniProtKB/TrEMBL;Acc:D7LWU5] MELETSVYRPNVAVYDSPDGVEVRGRYDQIFAKILTREALNFVAELQREFRGHVRYAMECRREARRRYNSGAVPGFDPSTKFIRDGDWICASVPPAVADRRVEITGPVERKMIINALNSGAKVFMADFEDALSPSWENLMRGHVNLKDAVDGSITFHDKSRNRVYKLNDQTAKLFVRPRGWHLPEAHILIDGEPATGCLVDFGLYFFHNYAKFRQTQGSGFGPFFYLPKMEHSREAKIWNSVFERAEKMAGIERGSIRATVLIETLPAVFQMNEILYELRDHTVGLNCGRWDYIFSYVKTFQAHPDRLLPDRVLVGMGQHFMRSYSDLLIRTCHKRGVHAMGGMAAQIPIRDDPKANEMALDLVRKDKLREVRAGHDGTWAAHPGLIPICMEAFTGHMGKSPNQIKSVKREDAAAITEEDLLQIPRGVRTLEGLRLNTRVGIQYLAAWLTGSGSVPLYNLMEDAATAEISRVQNWQWIRYGVELDGDGLGVRVTKELFGRVVEEEMERIEKEVGKDKFKKGMYKEACKMFTKQCTAPELDDFLTLAVYNHIVAHYPINNSRL >Al_scaffold_0006_2973 pep chromosome:v.1.0:6:18572851:18574375:1 gene:Al_scaffold_0006_2973 transcript:Al_scaffold_0006_2973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8F6] MSGLNPVVLLRFRYGCCSVWEDLVAILAVLAFSCLDLVLSFGDSGFDLGIRDISLQAGSVQMLLWSHPVRAHKIWRGRDLFSGFAVLILELNMEELQISVCLGTEFWVKGMGCVWWKLTEEFKFYSERRSRGSMEIEYLVLNVRDIFMEFIFSGKVRTKSGYSRSELFFRWASGVIPVYVRGSLRNKFRRVRLRKAGLTLMWWQRKELCQLMVEMSRFWVSVLYPNQEGIKWYTDPQFWNVGKSISGNRGWRFCLIIGEGFSKRIKLNVLFSRRFAWWGRRRWLLGNDISVAILSDQKRNVIRLDVYGSVVAFFAEEAQRGNNYDQGFNSGCGILILIHRELRAMGKSWEIHLLAISDLRGMLDAISRVFNNCQAFAYFVVVGFNNSRILHALFSKTVNPRNQGMAKGTVGGGKPPKYKGDK >Al_scaffold_0006_2978 pep chromosome:v.1.0:6:18635647:18635967:1 gene:Al_scaffold_0006_2978 transcript:Al_scaffold_0006_2978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G08530) TAIR;Acc:AT4G08530] MVSYPNGDRHCVMAQGQVISACLQQATVNGLLHADCCYAINDVNRQAETTYGRIALCKCFQEILKDSRFTKLIGMPEKCVIPNAVPFDPKIDCDRYIGLSYFFFFF >Al_scaffold_0006_298 pep chromosome:v.1.0:6:1223599:1226831:-1 gene:Al_scaffold_0006_298 transcript:Al_scaffold_0006_298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LWU6] PREDISETDSSNRAPPCPRQLNYKESELRALYLLRQQHLSLLSLWNGTLVNPSLNQSENALGSFVLFEDVKSAVSKQISLNKEIQEVSDDAKERDL >Al_scaffold_0006_2984 pep chromosome:v.1.0:6:18710683:18711601:-1 gene:Al_scaffold_0006_2984 transcript:Al_scaffold_0006_2984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8G7] MEMILADVSVRYIDSCYYKETTDEQRLSSLESGDLLKILLSQNLWENDMLFDFANFQDILNESGLNENILIDVIGQVVSLGEMNTLDVANKATKELEYELRDSSDDQLTSTLWKRFAETMWNACETVGNVKVICLIRLAKCNTFKGERSISNVFEMSLLEIKEFVATYVN >Al_scaffold_0006_2986 pep chromosome:v.1.0:6:18755047:18756141:1 gene:Al_scaffold_0006_2986 transcript:Al_scaffold_0006_2986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G08570) TAIR;Acc:AT4G08570] MGVEGTMEYISDFLKKRKRKKKKQLQTVALRVARIDCEGCERKIKHILSGVKGVKSVDVDVKLQKVTVTGYIEPKKVLEAAKSTKKKVELWPYVPYTMVANPYISQAYDKKAPPNMVRKVPDTTSVNETTVDDSYTIMFSDENPNSCIIM >Al_scaffold_0006_2988 pep chromosome:v.1.0:6:18774948:18776237:-1 gene:Al_scaffold_0006_2988 transcript:Al_scaffold_0006_2988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G08670) TAIR;Acc:AT4G08670] MKQSLLLSFVLLLLSSSSLVTPIHARNKSNPAKSPVGAPAPGPSGSDCSSVIFSMMDCLTYLGVGSNETMPEKSCCVGIETVLQYNPQCICAGLVSAGQMGIELNNTRALATPKTCKLSIAPPHCGIITSGATTPGASPASPVSPSAGTPTTSPSAAKSPATSATSPSSATSPSSDETPSMTAPSPTTSGTNILSVPALTIVFVIVSSVAYISAFSN >Al_scaffold_0006_2989 pep chromosome:v.1.0:6:18779738:18780133:-1 gene:Al_scaffold_0006_2989 transcript:Al_scaffold_0006_2989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8H4] MQAVDGDKISGIETQVTDKTGTDEFMDSEENETQVTDETEPVKRWVSLWIKNPLARWYPIESILQLRLGEGEGDQRRPKKFKTSGRNIV >Al_scaffold_0006_2992 pep chromosome:v.1.0:6:18795232:18795774:-1 gene:Al_scaffold_0006_2992 transcript:Al_scaffold_0006_2992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8H7] MAPKGKSSRGRGGGKNTRAAAAGGGQKAKAVAAGGGEDSRAVAADPRENNRAAATRRGETSRRGGGQTSSHGGVQTSSRGGDQTSSRGGVQTSSRGGGQTNSHGGVRTYVGQRPPLTTSGPQHQPQQPLPPLQPLPEDDANLQGSPE >Al_scaffold_0006_2997 pep chromosome:v.1.0:6:18882457:18884728:-1 gene:Al_scaffold_0006_2997 transcript:Al_scaffold_0006_2997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8I4] MSKNEWIDALPLLRNNFDGEIESILKFSYDALCDEYKDLFLHISCLFNLEYVERVEMRLAHKFSNIGQGLRVLADKSLISFSENGWILMHDLLVQLGTKIVSHKPGHQSIREPGKQWDFFPMTSLPSNFCTAYLVELSMRDSKLQKLWEGNRPLRNLKRMDLSESTNLKKLPDLSTASNLILLYLNECTSLVELPSSIGNAINLKSLYLTGCSGLVKLPSSIGNATNLQNLYCHNCSSLVELPFSIGNATNLRCLYLVNCSSMVELPSSIGNLHQLVELNLKGCSKLEVLPTKINLESLYILDLTDCLMFKSFPEISTNIKVLKLMGTAIKEVPLSIKLWSRLCDLEMSYNENLKELPHALGIITTLYIKNTEMREIPLWVKKSSCLRELKLIGCKKLVSLPQLSDSLLYLEVENCESLERLDCSFNNPKISLKFFNCIKLNKEARDLIIKTSTNYAVLPSREVPANFTYRANTRSFMTISFNQRALSTTSRFKACIFLVYRGDKEEEANVREITISYRIEEKHSLDVFVPYRHAKYYTASSTLTKHLFIFEFEADVTSNELFFHFKTGCEEVLIEDYGVLQL >Al_scaffold_0006_2998 pep chromosome:v.1.0:6:18885580:18886038:-1 gene:Al_scaffold_0006_2998 transcript:Al_scaffold_0006_2998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8I5] MVDIKGCYPRPCFDEYNAKLQLQNRMLSQMIDHKDIMISSLDVAQERLRNKKVLLILDDVDRLAQLDALAKDIRWFGLGSRIIITTEDRRILKAHEINHIYKVDYPSTNEALQIFCMNAFGQKSPNAGFANLSLEVTYLAGKLPLGPVADPR >Al_scaffold_0006_3 pep chromosome:v.1.0:6:10364:10702:1 gene:Al_scaffold_0006_3 transcript:Al_scaffold_0006_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6D1] MENEVRWMNEVSSDSSNDGESFIEIKINKPVTDTGLSISSSPSPDNEMQTTAIFSSASSCFSAYSNVTRLMMKVGCLSIVGVIREKVNVGERWVLQVIQRRRNKSPLRREHY >Al_scaffold_0006_3004 pep chromosome:v.1.0:6:18939359:18941130:1 gene:Al_scaffold_0006_3004 transcript:Al_scaffold_0006_3004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G08800) TAIR;Acc:AT4G08800] MELRIGNKFRLGRKIGSGSFGEIYLGSDIQSNEDVAIKFESVKTVHPQLSYESRIYRVLQGGIGIPNMKWYGVDGDYNVLVMDLLGGSLEDLFCYCNKKFTLKTVLMLADQMINRLEFIHSKSFLHRDIKPDNFLMGLGRQANQVYIIDFGLARKYRDSSSYRHIPYRENKRLVGTPAYASLNTHLGIEQSRRDDIESLGYILMYFLRGSLPWKGLKSGNKKQIYDKINDKKVSTSIETLCEGHPIEFATYLHYCRSLRFVDKPDYAYLKRLFRDLFTREGFQFDFVFDWTILKYPQSQCGNPPPHADVQS >Al_scaffold_0006_3010 pep chromosome:v.1.0:6:18984112:18986589:1 gene:Al_scaffold_0006_3010 transcript:Al_scaffold_0006_3010 gene_biotype:protein_coding transcript_biotype:protein_coding description:pumilio 11 [Source:Projected from Arabidopsis thaliana (AT4G08840) TAIR;Acc:AT4G08840] MDFGFFPGDLRQRGSFTDLGFGGFPLTSSSSVSNGGFHFSGDRTTNPFLNLRKLDTTALMADGGDMGLCQNLSKMSISDERSSFFNHSGFSGYGCSRFDQARESFNGEASGFVGYGDVHRFEQDLRVRGRREGFAQSFHGGSSMSGYVGDGDYHRLRLLALQEAYNPNPSFNHHRGFTENNMSLHNRDYMLELEHFNQQIRRDFSLLPQKSRLAFHEDRIFPPFSAMGGSRELEGSTKTFFKEDSLDLPLDLASMVDIYGSVCLMAKDQLGCRFLQKFVGEGRFVDVMIVFKEVINHIAELGIDPFGNYFIQKLLEVCNEEQRTQILIRLTSKPGLLIKISINNYGTRVVQKLIETVTTKEQISLVKSALVPGFLSLVRELNGNHVILNCLKFFGPNDNKFILEAAIKFCTEIATHRHGCCVLQRCVSYSVGEQHEKLVGEISRNSLLLAQDPYGNYVVQYIIEKKVGGVNVMFELRGNYVKLATQKFGSHVVEKCIRFYPESRSQIVHELVSVPNFEQLVQDPYANYVIQSALSKTKVS >Al_scaffold_0006_3012 pep chromosome:v.1.0:6:19002656:19002881:-1 gene:Al_scaffold_0006_3012 transcript:Al_scaffold_0006_3012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LX57] MVVVVVEWRVGGGGGDDDGDGIVVVAATVVVAATVVIVTAIQHCGGDSNSNTALWSWMW >Al_scaffold_0006_3016 pep chromosome:v.1.0:6:19110617:19113021:1 gene:Al_scaffold_0006_3016 transcript:Al_scaffold_0006_3016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LX66] MKVSIRREEGRKEVAENNEEEGNEDDEEEGNEVEEKEDEESEDEENEDEGESSRTLRDFIEERSEEISNENRVEMVKLCAPSNLHMCESNLHMCAVKFGGKPKKMGSNSQGLSSLIGSSIIRTHTLDN >Al_scaffold_0006_3017 pep chromosome:v.1.0:6:19117044:19117851:1 gene:Al_scaffold_0006_3017 transcript:Al_scaffold_0006_3017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LX67] MVKPRNLSVDRRRSRRSSLIWKMKKKLSQMLGDEASAVPQEMNIGGSKVEKSRRDYEDEEET >Al_scaffold_0006_3019 pep chromosome:v.1.0:6:19153538:19154486:-1 gene:Al_scaffold_0006_3019 transcript:Al_scaffold_0006_3019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LX69] MRWVTTQTWWNPVVVVVKRNAGADQRWRREEEERGESGCDGEMEAEMEEASRVLTVHHRNQWLWSQAHFKVMLRKKKKKKKKWKKMKEKGQFKLGFCEASICRTSGSQTTRHFKTLISMSWMMESQSGVEDYEVATKLVNLFKGEWRREMFFFFN >Al_scaffold_0006_3029 pep chromosome:v.1.0:6:19213772:19214122:1 gene:Al_scaffold_0006_3029 transcript:Al_scaffold_0006_3029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LX81] MAKISCSYFLILMLVLSVFSVVEKAKGDKRCTLIIDLSPCYPHDCRLNCYPERNGVGECIASKVGSTPNCVCTYDC >Al_scaffold_0006_3034 pep chromosome:v.1.0:6:19241076:19242457:1 gene:Al_scaffold_0006_3034 transcript:Al_scaffold_0006_3034 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein [Source:Projected from Arabidopsis thaliana (AT4G09190) TAIR;Acc:AT4G09190] MEEKRALLKSQREHIPLDLIVEIFSRLPSKSIVRFRSVSKLWSSTTTTPYFTSSVVTRSLSSRPCVLLNFRKDDKLFCFASPVHQKKTCPRVENFQFTIPNNGKLQRYESVHGLIYLETSTNVMFIRNPIMKTFYTLPKLDSNEGRPLTGFLGYDPINGKYKVLCILKERNKIGILTLGAQESWRILTKGFLSHYKVTGCAKCIDGVIYYEGSFGDGLRQELAIMSFDLRSEKFSLIKHPKKNSIATFWSCYEGRLALVSSIASGVELWILEDAENHKQWISKQFPSHREFIKGRWKLKGVTHTGEFIYTSYRSYLLTVSERILYHWFRILYVDPKRNSMRVVMYGGIAVDDIRRLDEVGSDLMKGLTVIPSHIEI >Al_scaffold_0006_3050 pep chromosome:v.1.0:6:19380572:19381577:-1 gene:Al_scaffold_0006_3050 transcript:Al_scaffold_0006_3050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXA0] MYWLTKLRIHVVTRDKATEYAIQLLPPIRPSSLNIREVKICSGIYHKKLGNPDDINALCRDVTDLMPKQRGEDAHVIPWDTCMKWVDNVCDHNACGSKSESLGVRHPNNFTNKTF >Al_scaffold_0006_3063 pep chromosome:v.1.0:6:19478040:19479549:-1 gene:Al_scaffold_0006_3063 transcript:Al_scaffold_0006_3063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXB1] MASITFAFKALCVSLLFIVVASRPTGRPKVFNVQRHGSKPDGKTDNANAFTSVWSRACRRESGRSKIYVPKGTFYLGGVEFVGPCKNPIEFVIDGTLLAPANPSDIKQDTWINFRYINNLSISGSGTLDGQGKQSWPLNDCHKNPNCPKLAMTMGFAFVNNSNIKDITSLNSKMGHFNFFSVHHFNITGVTITAPGDSPNTDGIKMGSCSNIHISNTNIGTGDDCIAILSGTTNLDISNVKCGPGHGISVGSLGKNKDEKDVKDLTVRDIVFNGTSDGIRIKTWESSASKILVSNFMYENIQMIDVGKPINIDQKYCPHPPCEHEKKGESHVQIQDLKLKNIYGTSKNKVAVNLQCSKSFPCKNVELIDINIKNNGLEDGSSTAVCENVDGSVRGKMVPQHCLN >Al_scaffold_0006_3072 pep chromosome:v.1.0:6:19561114:19569638:1 gene:Al_scaffold_0006_3072 transcript:Al_scaffold_0006_3072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXC2] MCSNISSTTLESWRERPPSSYSIKVENLSQFESSTLHSDGKYLSRHFSSGDYKWRMIIYPKGNDKDNGSGFISMYVEIDSTSLISTTPTEVYANLQFFVFNKKENKYFTIQDVESKPFNTLRTMWGLPQVLALDTFNDRNNGYLFDGDHCEFGVDIIVVPPPTKWEMLSFVKLPYPKFSWIVKNFSEIKDNPYTSDSFSKGGKKWVLKVYPKGYSTPDSKWLSIYLYLADGEILKNDEKIYVQAHVKVEDPRGSNHLTCKLNWWFNRPSQSCGWDHFVSTAELRKSYLDKKDTLNVEVEFKVVSATNYS >Al_scaffold_0006_3084 pep chromosome:v.1.0:6:19727896:19728384:-1 gene:Al_scaffold_0006_3084 transcript:Al_scaffold_0006_3084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXD2] MDSKRKNPNLSTSEVAEPSLSKTKKKQKMDVKGAMNKQNDVALFLAGKVISAIAKNSNFVFSPASINSVLTMTAATTDSETLRSFILSFLRSSSTGELNAVFSEIASVVLVDGSKRGGPKIVAVNGVWIEQSLSCSPESKDLFENFFKATYAQVDFLHKVGF >Al_scaffold_0006_3094 pep chromosome:v.1.0:6:19768107:19773160:-1 gene:Al_scaffold_0006_3094 transcript:Al_scaffold_0006_3094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXX3] MGEWSVSVKILNCWSVSRGSGRELNMILGDEHFTQIQAIVRDELIDNYFSKLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILNGIVNPNICVDVIGKIVNVRELVFVPSVEHSQRGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKRCSRNTVICIIKFVKLELSQEHRWRCTNVTGCTRFMLNPDLSITDEMLCWNPENDQTVSIRAASLASSETVLLRRTRWCFVDSRKSSKTLEIRAESYFVESCFVEGGGALPLYDESCFVEGGGALPFHAESCFVEDGGASKSLVLCRLEPRIFLLHGSASSRVFLLHSGASSRVILVRWYFAESYFVEGGGALPFHAEFCFVEGGVLSTRMERLCRLHISGFQVLLYHTLDLVEVALAVKGDGGGGGGGGGFGGGGGFGGGGFGGGGLGGGGFGGGHGGLNNQQNVQPEIVDVSDNEGEEGEDHVAGHDNQRKSKRKRQHTKKKSVFSDLSNPHQLESGEKKKKD >Al_scaffold_0006_3099 pep chromosome:v.1.0:6:19797112:19802458:1 gene:Al_scaffold_0006_3099 transcript:Al_scaffold_0006_3099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LXX7] LEILGTEKSDNTVKRKRGDPKVLTSLNRLRTACIELLCTTMAWTDFRTQTHNELRAKIISMFFKSLTCRAPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILDCFR >Al_scaffold_0006_3105 pep chromosome:v.1.0:6:19837426:19838788:-1 gene:Al_scaffold_0006_3105 transcript:Al_scaffold_0006_3105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXY5] MKMGIDMMYDESKPVSSSNPSQTLVLVGRTGNGKSALGNSILGREAFVSKASCLGVTNTCQSERVVQDDGQIINVIDTPGLFQLSRAAASIGKQILRCITLAENGIHAILLVFSVRDRITKDEKVFSHLQTLFGSRIANYMIIVFTGGDELEENEETLEDYLTQECPQFLKVSVLHEILELCDNRLVLFDNKTKDKLKQVEQVQKLRALVELVAKQNNGKPYREELFNELQVETTLIRETEMTLEQQLAQGQSARLDVGESATDRNPKTHHGWV >Al_scaffold_0006_3107 pep chromosome:v.1.0:6:19849077:19849314:1 gene:Al_scaffold_0006_3107 transcript:Al_scaffold_0006_3107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXY7] MEERGNTFIGYRIFGSCVSNKLKESLRDVKEQLKKLEATREEAETMKNETQTLTADEIRKLR >Al_scaffold_0006_3109 pep chromosome:v.1.0:6:19856258:19857631:1 gene:Al_scaffold_0006_3109 transcript:Al_scaffold_0006_3109 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G09950) TAIR;Acc:AT4G09950] MALDSTALNVENDWKPERTLVLLGRTGNGKSATGNSILGKTMFQSKARGKFITKECKLHKSKLPNGLTINVIDTPGLFSASSTTDFTIREIIRCLLLAKGGIDAVLLVFSVRNRLTEEEQSTLRTLKILFGNQIVDYIIVVFTNEDALEYGETLDDYLEDCPEFQEILKECDDRKVLFDNSYNAPVSKKERQVHDLLNLVEQISKKNNGKSYMADLSHELRENEATIEEKQKQIEAMKGWSSKQEISQMKKELEKLHNEMLEGIKEKISNQLKESLKDVKEQLAKAQAEREETEKKMNEIQKLSSDEIRRLREQLNKAEKETASLRTELNKKCTVL >Al_scaffold_0006_3119 pep chromosome:v.1.0:6:19918210:19918683:1 gene:Al_scaffold_0006_3119 transcript:Al_scaffold_0006_3119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LY01] MAYKDSINPFLAISSLYGLPEDIASMNLLPSHTKRTPGRPKKKTLLLTQRGSGIRNTSLATFSYTKMYPMQLDISRRKGCEDRMFSQDARVRGTTGPLAACPYRGM >Al_scaffold_0006_3122 pep chromosome:v.1.0:6:19932184:19932525:1 gene:Al_scaffold_0006_3122 transcript:Al_scaffold_0006_3122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LY04] MTTRFGENGSFSYKEPSQEDMRDDTIFYFELKEMGEICSIHCGYKGIKLSVCSANKIILTKNYYDILTKVDGEKKAGEEEEKEKRREERLIIKGGRRREESIGGGGEREEKRN >Al_scaffold_0006_3130 pep chromosome:v.1.0:6:19990188:19990400:-1 gene:Al_scaffold_0006_3130 transcript:Al_scaffold_0006_3130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LY10] KNYILLSKDIFVRKLFWLRMEKAVQAERIKRFKRIKQNKCGSSGSSGTSANQADLADQTLQNICFNGKSG >Al_scaffold_0006_3131 pep chromosome:v.1.0:6:19990686:19992236:1 gene:Al_scaffold_0006_3131 transcript:Al_scaffold_0006_3131 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongator protein 6 [Source:Projected from Arabidopsis thaliana (AT4G10090) TAIR;Acc:AT4G10090] MDRSLNLLDLALGFDEQLANPSPLNGKVILIEDCVETSGSFVLHQLMKRVLSPNSSDALIFLAFARPFSHYDRILRKLGCNLATHKTNNRLVFFDMLMIKCSDSEEMEDNVSAVAKLFREIQETVRKLQSVTSGNITVMVDDMSLLEIATTGSNSDHVLDFLHYCHTLSSESNCSLVILNHEDIYASMERPAFLLQMVCLADVVIKAEPLASGLANDVHGQLTVLNKGISNSGRGTSRNKLQNFQFRIKENGIDYFYPGCRS >Al_scaffold_0006_3132 pep chromosome:v.1.0:6:19993958:19996461:-1 gene:Al_scaffold_0006_3132 transcript:Al_scaffold_0006_3132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LY13] MSLYKNINDMPQPRDLMITIDHKSNAVLLPIYGSMVPFNVTTIRTVLGNQNTIRIIFNVPGTPLNPNDSNSLKNQDAIYLKEVFFRTKDSRHSSQVVQSFKTLKRQVMSRESERAERTSLVTQEKLKIASNKAKPLRLSNLWIRPPFSGRKKIPGTLEAHANGFRYSTTNERVDVLFANIKHAFVQPAEKEMTTLLHFHLHNHIMVGTKKTKDVQFYVQVMDVVQSLGGGRRSSSYDPDEIDEEQRERDRKNRVNDMWQLPQFASLNLEFDQPLRELGFHGVPYKTSVFIIPTSSCLVELIENPFLVVSLSEIEIVNLERVGFGQKNFDMAIIFKDFKKDVLRVDSVPTSSLEGIKEWLDTIDIKYYESKLNLNWRQILKTITDDPQSFIDDGGWEFLNLDGSDSESGGSEESDKGYEPSDVEVESESEDEASESGSMVESEDEEEEDSEKESEEEKGKTWDELEREATNADREHGVEFDSEEERKRRKMKAFGKSRPGSSGGGGSSSMKNMPPSKRNSEKSWIIMDDEDLLDWDPKVSRVMNQAARLNELMFIEVRRVLVIRPSLPSP >Al_scaffold_0006_3147 pep chromosome:v.1.0:6:20115448:20118548:1 gene:Al_scaffold_0006_3147 transcript:Al_scaffold_0006_3147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LY27] MKMKLVCGLPLMCYIICCSFLLGHCHGVVEEPKILKSNKDLEIEQKLKLINKPAVKIIKSINGERYGCVDFYKQPGLDHSSMKNYTFHYKMRISYLGGSKMKRETHSNKTFGHFWENGVGCPIGTVPILRVNKEALLKMKSFDGDNSNPQSSWSKTYKPTSSIESHHFAVVRTTKGKPRSYNGASMNINAFTPSVEPMQFSSTRMHFQIGNEFIQVGWTVHPQLYHDSNSRLFVFTNSGGHECYNPFCPNGSGMILVSEDFTPGLLTGKKGIDFTIMKDKINGNWWLLMGTSLEEIGFWPSSRFKESSGTRVEWGGEVYSPSPPNPPMGNSQYPTGSPIEDSYVRLITTVDENYNTDQIVENTERYSDSCYEVRDTIETFWAHVGHLITYGGPRCI >Al_scaffold_0006_3150 pep chromosome:v.1.0:6:20141109:20142419:-1 gene:Al_scaffold_0006_3150 transcript:Al_scaffold_0006_3150 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G10260) TAIR;Acc:AT4G10260] MANTPLIVSFGEMLIDFVPDTSGVSLAESTGFLKAPGGAPANVACAITKLGGKSAFIGKFGDDEFGHMLVNILKKNGVNSEGVCFDTNARTALAFVTLKKDGEREFMFYRNPSADMLLKESELNKDLIKKAKIFHYGSISLISEPCRAAHMAAMKTAKDAGVLLSYDPNVRLPLWPSTEAAIEGIKSIWNEADIIKVSDDEVTFLTRGDAEKDDVVLSLMHDKLKLLIVTDGEKGCRYYTKKFKGRVPGYAVKAVDTTGAGDSFVGAFLVSLGKDGSILDDEGKLKEALAFANACGAVCTTQKGAIPALPTPSDAQKLMKSKSK >Al_scaffold_0006_3152 pep chromosome:v.1.0:6:20146643:20146912:1 gene:Al_scaffold_0006_3152 transcript:Al_scaffold_0006_3152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-responsive family protein [Source:Projected from Arabidopsis thaliana (AT4G10270) TAIR;Acc:AT4G10270] MSSTSKAWTVAVSIGAVEALKDQLGLCRWNYILRSVNQHLRNNVRSVSQGKRFSSSISAAVTSSGESEKAKKAEESLRTVMYLSCWGPN >Al_scaffold_0006_3164 pep chromosome:v.1.0:6:20227804:20229403:-1 gene:Al_scaffold_0006_3164 transcript:Al_scaffold_0006_3164 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 70 [Source:Projected from Arabidopsis thaliana (AT4G10350) TAIR;Acc:AT4G10350] MGSSSNGGVPPGFRFHPTDEELLHYYLKKKISYQKFEMEVIREVDLNKLEPWDLQERCKIGSTPQNEWYFFSHKDRKYPTGSRTNRATHAGFWKATGRDKCIRNSYKKIGMRKTLVFYKGRAPHGQKTDWIMHEYRLEDPDDPQANPSEDGWVVCRVFMKKNLFKVVNEGGTSINSSDQHNHDASNNNHTLQARSFMHRDSPYQLVRNHGATTFELNKPDLTLHQYPPIFHKPPSLGFDYSSGLARDCESAASEGLQYQQACEPGLEVGTCGTVASHDSHQQGLGEWAMMDRLVTCHMGNEDSSRGIRFEDGNNNSSSVVQPVPATNQLTLRSEMDFWGYSK >Al_scaffold_0006_3166 pep chromosome:v.1.0:6:20237864:20240027:-1 gene:Al_scaffold_0006_3166 transcript:Al_scaffold_0006_3166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LY46] MEPNEGTFVLHTENTKHWNWNDFSIGIEGEVFSFSHCYNKVTVAEASGLTGETVQIQDFIREYIKPLAQTCINRLNVRQAARDLVAGKEATMVTSPRRGQRRLRISADRCRRRTTKAQWTSFVDEACLENGA >Al_scaffold_0006_3169 pep chromosome:v.1.0:6:20267763:20268764:1 gene:Al_scaffold_0006_3169 transcript:Al_scaffold_0006_3169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LY49] MLQIAVKKYKNSNHHEWNKSLELARKDINNELVIYWLRMEKAYRTERIKRIKRIKRIKRIKQNKSGSSRSSGTKADLAIRTLLICVEW >Al_scaffold_0006_3171 pep chromosome:v.1.0:6:20296006:20296501:1 gene:Al_scaffold_0006_3171 transcript:Al_scaffold_0006_3171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LY51] MGLKRKRVADRTKSVLEDDGGATTTTEKPSPTELPSATEQKKEIEGEGVEEQVPTLSPVVEAVEEENVEEEYEKNEEEEKEEEEEESEEEEKEEGNDEDGGETNDSSRSLSGESSSDESMEDEIADGNAVEIQQIWKTL >Al_scaffold_0006_3172 pep chromosome:v.1.0:6:20307084:20309400:-1 gene:Al_scaffold_0006_3172 transcript:Al_scaffold_0006_3172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LY52] MSTEKFELCAKMFSSELKKNTIAKELVFFLLACVDYMFSELSQCGCFFCCHGIGQDFSSFPVQLYEAWLLFLYAGLAMRENILRANGSDIRPWWLYHHYCAMAMALVSLTWEIKGQPNCVQKQRGVHLFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRLDVVWGETAGVDGQLWLLCPILFILQVFEAYVGLLLLRKTVTGVVNEWQVMVCGILLVVMAVGTS >Al_scaffold_0006_3178 pep chromosome:v.1.0:6:20345100:20348401:1 gene:Al_scaffold_0006_3178 transcript:Al_scaffold_0006_3178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYN8] MVTRLRLVSKSSRYATVKFTESVSASCSCRLFSASTDPKSESQPAQAPPTNAVTGDEERHEKLRNLRMISEAADLFFALRSEGIFPSSDSLTLLLDHLVKAKQFRVTINVFLNILDSDFRPSKFMYGKAIQATVKLSDVGKGLELFNRMKHDRIYPSVFIYNVLIDGLCKVRKMKDAEQLFDEMLARRLLLL >Al_scaffold_0006_3184 pep chromosome:v.1.0:6:20380459:20380972:-1 gene:Al_scaffold_0006_3184 transcript:Al_scaffold_0006_3184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYP4] MGTSKSDLVMEDVPEMQDNDLTECIKLTESTYNLLDMKENIRMRGYHVLATDEEGRAFSVDESGNSKGTNTHKAKEGIKEGMVAISIP >Al_scaffold_0006_3185 pep chromosome:v.1.0:6:20385163:20386535:1 gene:Al_scaffold_0006_3185 transcript:Al_scaffold_0006_3185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYP5] MAASATSKLLVSDIASVVDHVPSNYVRPVSDRPNMSEVETFGDSIPLIDLQDLHGPNRANIINQFAHACSSYGFFQIKNHGVPEEIIKQMMNVGREFFHQSESERVKHYSADTKKTTRLSTSFNVSKEKVSNWRDFLRLHCYPIEDFIHEWPSTPVSFREVTAEYATSVRALVLTLLEAISESLGLVKDRVSNTLGKHGQHMAINYYPPCPQPELTYGLPGHKDANLITVLLQDEVSGLQVFEDGKWIAVNPIPNTFIVNLGDQMQVISNDKYKSVLHRAVVNIDKERISIPTFYCPSEDAMIGPAQELINEEEDSHAIYRNFTYAEYFEKFWDTAFATESCIDSFKASTA >Al_scaffold_0006_3187 pep chromosome:v.1.0:6:20397654:20400784:1 gene:Al_scaffold_0006_3187 transcript:Al_scaffold_0006_3187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:Projected from Arabidopsis thaliana (AT4G10510) TAIR;Acc:AT4G10510] MGRAVSWRERVLRERYSIDNYVHIVYLGEKQHDDPELVTKSHHRMLWSLLGSKEDAHNSMVHNFRHGFSGFAAKLTESQAKKIADLPEVVHVIPDKFYKPATTRTWDYLGLSATNPKNLLSETIMGEQMIIGIIDTGVWPESEVFNDNGIGPVPSHWKGGCESGEDFNSSHCNKKLIGAKYFINGFLAENESFNFTESLDFISPRGYNGHGTHVATIAGGSYVPNISYKGLAGGTVRGGAPRARIAVYKTCLYLDDLDITSCSSADILKAMDEAIHDGVDVLSLSLGFEPLYPETDVRDGIATGAFHAVLKGITVVCAAGNAGPAAQTVTNLAPWIITVAATTLDRSFVTPMTLGNNKVILGQAIYTGPEVAFTSLVYPENPGNSNESFSGTCERLLINSNRTMAGKVVLCFTESPYSISVSRAARYVKRAGGLGVIIAGQPGNVLRPCLDDFPCVSVDYELGTYILFYIRSNGSPVVKIQPSRTLIGQPVGTKVASFSSRGPNPISAAILKPDIAAPGVSILAATTTNTTFNDRGFIFLSGTSMATPTISGVVALLKALHPDWSPAAIRSAIVTTAWRTDPFGEQIFAEGSPRKPADPFDYGGGLVNPEKATKPGLVYDLGLEDYVLYMCSIGYNESSISQLVGKGTVCSNPKPSVLDFNLPSITIPNLKEEVTLTRTLTNVGPLDSVYRVAVELPLGIQVTVTPETLVFNSTTKGVSFKVRVSTTHKINTGYYFGSLTWSDSLHNVTIPLSVRTQLLPYYYDEN >Al_scaffold_0006_3196 pep chromosome:v.1.0:6:20456970:20459086:1 gene:Al_scaffold_0006_3196 transcript:Al_scaffold_0006_3196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYQ8] MFLIVRLTDFKLLLEGSVEPGRRLNRAANLCPMESKRPSTNPHRSHLLELIFLKRNTRDRSAVITGDRSKPSLIGNINRKTIQATGFRFHLVTWIKVLDSICAILKMIGVLH >Al_scaffold_0006_3198 pep chromosome:v.1.0:6:20468489:20470604:1 gene:Al_scaffold_0006_3198 transcript:Al_scaffold_0006_3198 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10620) TAIR;Acc:AT4G10620] MLSKAARELSSSKLKPLFALPLSSFKSPIPTKPNPSPSFLNLHNSNTTSKSPFLRFYSSSSSSLLPLNRDGNYNDTTSITISVCPGCGVHMQNSNPKHPGFFIKPSTEKQRNDLNLRNLVPISQEPEFIDSIKRGFIIEPINSSDLNPKDHEETDQDSRPLVCARCHSLRHYGKVKDPTVENLLPDFDFDHTVGRRLGSASGARTVVLMVVDASDFDGSFPKRVAKLVSRTIDENNMAWKEGKSGNVPRVVVVVTKIDLLPSSLSPTRFEHWVRLRAREGGLSKITKLHFVSPIKNWGIKDLVEDVAAMAGKRGHVWAVGSQNAGKSTLINAVGKVVGGKVWHLTEAPVPGTTLGIIRIEGVLPFEAKLFDTPGLLNPHQITTRLTREEQRLVHISKELKPRTYRIKEGYTVHIGGLMRLDIDESSVDSLYVTVWASPYVPLHMGKKENAYKTLEDHFGCRLQPPIGEKRVEELGKWVRKEFRVSGSSWDTSSVDIAVSGLGWFAIGLKGEAILGVWTHEGIDVFCRDSLLPQRANTFEDSGFTVSKIVAKADRNFNQIHKVETQKKRKPNKSSSDSVSDRETCREVSQPSDILPAI >Al_scaffold_0006_3200 pep chromosome:v.1.0:6:20473987:20474728:1 gene:Al_scaffold_0006_3200 transcript:Al_scaffold_0006_3200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYR2] MKYKESNTKERLPHKENQGIHTSKRGTRTEQLEPTTTSGSGEIEEYEETTICQRLRRYQCNEEISIRSIKRRDKDRVQTIRSTPKTENGKRTNRIETQAISTRQTIGDRKEARPQKTGNKAETPTLYRPNQQQGSKHQKTTDETQNDVMLPVRKQTSRSRRGSNRSAKHQRRIQRHGPDSRLRRTKPPTKP >Al_scaffold_0006_3203 pep chromosome:v.1.0:6:20488235:20489994:-1 gene:Al_scaffold_0006_3203 transcript:Al_scaffold_0006_3203 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10650) TAIR;Acc:AT4G10650] MATAKSWKIAREIGDAVIKASRNPNRRWYGPHMAAAVRAISERIPLVDFVLEIRDARIPLSSDYELLRKFSPFPSQRIIVLNKMELAEPLELKKCIDYFEERKYLSYAVNSHNKECVKQFLNFLQSQVRELHKAGYSGHTTTMMLLGIPNIGKSALANSLHQIGRISAAEKGKLKHTTVSSQPGDTKDIMSLKIGSHPNVYVLDTPGIFPPTLNDAEICSKLALTGAIPDDIVGEIKLARLFLTILNSSYEYKKWAKLCKSQDLTESLSDESSKSDGKRKRQYPTDHTQDFIVYDVRRVLYQTISAFDGNLEDDISMGNLIETQFAALRTVLMVPEEASEFTDLRVASKILNLYRTGRLGHYTLEHVSDIAKY >Al_scaffold_0006_3204 pep chromosome:v.1.0:6:20499692:20501674:1 gene:Al_scaffold_0006_3204 transcript:Al_scaffold_0006_3204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYR7] MSVYKNINDIPQPRDLMIMVDHKSNAVLLPIYGIMVPFNVTTIRTVLGNQNTIRIIFNVPGTPLNPHDSNSLKNQDAIYIKEVSFRTKDSKHSSQVVQSFKTLKRKVMSLESERAERTSLVTQEKLKIASNKSKPLRLLNLWIRPPFSGRKKIRGTLEAHANGFRYSTANDRVDVLFANIKHAFVQPAEKEMTTLLHFHLHNHIMVGTKKTKDVQFYVEVRDVVQSLGSGRRSSAYDLDEIDEEQRERDRKNKINMEFNHFANRVNDIWKLPQFASLDLEFDQPLRELGFHGVPHKTSAFIIPTSSCLVELIEHPFLVVSLSEIEIVNLERVGFGQRSFDMVIIFKDFKKDVYRIDSVPTSSLEGIKEWLDTIDIKYYESKLNLNWRQILKTITDDPQSFIDDGGWEFLNLNGSDSESGGSEESDKGYEPSDVEAESESEDEDSESESMMESEDEEEEDSEQESEEEKGKTWDELEREATNADREHGVEFDSEEERNRRKMKAFGKSRPGSSGGGGSSSMKNMPPSKRSKHR >Al_scaffold_0006_3215 pep chromosome:v.1.0:6:20545322:20545731:1 gene:Al_scaffold_0006_3215 transcript:Al_scaffold_0006_3215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYS8] MKDCGMGQFQGRMTTEEAKYTALIWAMQAAWALGYRAVEFEGDNQNVIRIINGSALNPRLNHFLNTIWGWRDKFPDAKFLFTHRQQNQCADLLAKTIRTSPNQWCMYHHVQCFLIPL >Al_scaffold_0006_3225 pep chromosome:v.1.0:6:20594429:20597102:1 gene:Al_scaffold_0006_3225 transcript:Al_scaffold_0006_3225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYT6] MRHGRGAPAKSESSEDINSRSHMSLHVNLKYGDYWRLSQELCRSELLKPMEKESDFLPARCLKVSGVVTVTGVCYKDKEVAQAWKIRPEFKYSSRFSDKSTSQLAIESLSSCTVWILAPRTPQIGGHQHEPRSCLETPQIEISNHELYISDDHH >Al_scaffold_0006_3240 pep chromosome:v.1.0:6:20661021:20663360:-1 gene:Al_scaffold_0006_3240 transcript:Al_scaffold_0006_3240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYU9] MVVNKIHPRTEVSTRSKELGKLTEAKEIELESKQWAESSKMYRQEERKQEEMQANLRDRLIREVIWRQTQLHLRQYERLQKIVNPIPKQQSQEEEMHKSIIEVGESSNGTREVSCKCLYQSSKIGVNGIGGNEEDNGANNNDGTNAESVSLEA >Al_scaffold_0006_3245 pep chromosome:v.1.0:6:20692134:20693410:-1 gene:Al_scaffold_0006_3245 transcript:Al_scaffold_0006_3245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYV5] MWKEPLVNGKVETRMILADEKANRIDATIPNRYYNWNFQAYLKPGLWFRLSDFEVLRPQEKKTRYYCFPVVINFYDFVYPETVEFAQEDEKDLDFTEKQRQDVEDVMMEVVEEK >Al_scaffold_0006_3248 pep chromosome:v.1.0:6:20707031:20710017:-1 gene:Al_scaffold_0006_3248 transcript:Al_scaffold_0006_3248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYV7] MTNKGVMNGIAKFPLLETLEVFHSSLKLDLKAIGHVCPQLKTLKLNSLCCPGPAHGNYAISQLGDMPPLVECDDDALAIAESMPKLRHLQLMGNGLTNTGLNVILDRCPHLEHLDVRKCFNMNLVGNLEKRCLERIKELRRPGDSTADYPYNIGVSVMLQIMISCRFYPSHRPSSSSSLPPAMKERGYRNLAEILENAQKVCRSWRGVVFKDPWMWRRIDLSNRRSSISCVVTLLIVAREAWLRSTFGTMKMKTSSPSPMKDEEPRNWADLPSELTSLILIRLSVADILNNAQKVCRPWRRVCKEPSMWRKIDMRNLIRDRGMLDPLAIMCRHAVDRSEGGLVKIHLGNFVNDDLLDYIADRSRNLRSLGLGMCFPRVTRPGLMNAITKIPLLETLEVSHSCLNLSLEDIGHACPQLKTLKLNSSGGFWNSRNDDDYALEIAESMPELRHLHLYGNNLSDTRLYAILDGCPHLERVFTNVLPA >Al_scaffold_0006_3255 pep chromosome:v.1.0:6:20749611:20750565:1 gene:Al_scaffold_0006_3255 transcript:Al_scaffold_0006_3255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYW5] MFDLEKPMTLAHEILKENYETLSKDYESLQERIKHAEEAYEAIKLHNENKAKELEVSNKRLLEDCVRERSEKAKVMKTFEEMKKIMESEKIAMVDELMSKNQELLLARRKEEEDLVKMESKFVELAEKFDVVEKECAYLKSLYDAEVVASGTASAVLSGNGETDKLIGQGENEVNHKANNAVTDAIMISDDSDAEPDRHPPIESNISSHRSVDRNQEEEGRNRANTATENRVSGETITPVSVKHPPPFSPSSSTSSSSSSEGYEVVVKLPRNWPDWAIPKGPGSGSNKP >Al_scaffold_0006_3256 pep chromosome:v.1.0:6:20755515:20755790:1 gene:Al_scaffold_0006_3256 transcript:Al_scaffold_0006_3256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYW7] KQSNSFPALEKIFNRKSTFFSKTQNFRRFVLRFLLLSSSSSSSTASVAYLLLLPSIVDYVGGSLFSLLLFFIVNDWLLAIRFFRLLFLIFS >Al_scaffold_0006_3261 pep chromosome:v.1.0:6:20786617:20786799:1 gene:Al_scaffold_0006_3261 transcript:Al_scaffold_0006_3261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYX1] MTKVGGGGSGDGGGSGDGGGSGYGSSSGDGGGSGDVGGSEDGGGSGDAGSSGDSGGGGHW >Al_scaffold_0006_3263 pep chromosome:v.1.0:6:20801047:20802595:-1 gene:Al_scaffold_0006_3263 transcript:Al_scaffold_0006_3263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LYX3] VMCDPYTPAGVPIPTNKRHNAAKIFRHQSLPPRSLGFSKDDRYMAGPIDINKKLLL >Al_scaffold_0006_3271 pep chromosome:v.1.0:6:20832617:20832826:1 gene:Al_scaffold_0006_3271 transcript:Al_scaffold_0006_3271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LZF8] CLSVLLLQSNTVSLVRKSFDLDKPCKRFVFYQHNIGYHSDDADNATSATIENPLGLGNFSFEKFVIFNK >Al_scaffold_0006_3279 pep chromosome:v.1.0:6:20905626:20909055:-1 gene:Al_scaffold_0006_3279 transcript:Al_scaffold_0006_3279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZG6] MVVASPFLVFVLISSSLRDPKNKNVVICDDRLIKNLRHDLNLPSLPIIQVAIAHREEDTEIRNLKHSLLLRLLHHYYFFFFNFKLSMSLLVAFGILCFLILPLFFGILFSRTRTMTILNSIINFIIGISNILNTIAAAKLVKPHVQAWFWLSFAVICVVSSRNFWSHQWSFIDLIASLSIVAFFYYLPRLTLRINLKDMLREDLYAIVKTLASLAFFNFFKIFGAFLKLQENWVLFVAVIALYFYFLAIDLHLQIQDDFGFCDGIIKSMYGVLISQIYIPNWMIHLIFSGLILVKNLKSGTVGIPISASFDAPTAPFTTVSQIVVQPCAAQRSASAVVLFAPPERVETVETIHAVEEESKWAYCVCLVLFMGLLLVLKD >Al_scaffold_0006_3282 pep chromosome:v.1.0:6:20926725:20927298:-1 gene:Al_scaffold_0006_3282 transcript:Al_scaffold_0006_3282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZH0] MWHTAPIVDLDVCDPTMVSGNGLTSDCSDTGNADPFVNCCALKLVFLIQECSTDYDLQDYKAFLVTVDSVCHPSMAISEKMILLLQARDQTVRTERQWNTCSLSVAG >Al_scaffold_0006_3289 pep chromosome:v.1.0:6:20979426:20979899:-1 gene:Al_scaffold_0006_3289 transcript:Al_scaffold_0006_3289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LZH7] LSRRYDTKYSAWDPDQLKSAREDIKELLNFKFCHPILVRLGWHDVGTFNKNITVCPQRGGAIGSLIFEIELKHAANAGLVNALYLIKDIKEKYSRS >Al_scaffold_0006_329 pep chromosome:v.1.0:6:1346947:1347889:-1 gene:Al_scaffold_0006_329 transcript:Al_scaffold_0006_329 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04150) TAIR;Acc:AT5G04150] MEYPWLQSQADSFSPTLQYLPSFLYPLDDSKSYNINLYPHHIRLTRHSNDTNSNCNNDQEEVRGTVLEKKLNHNASERDRRRKLNALYSSLRDLLPLSDQKRKLSIPMTVARVVKYIPEQKQELQRLSRRKEELLKRISRKTHQEQLRNKAMMDSIDSSSSQRIAANWLTDTEIAVQIATSKWTSVSDMLLRLEENGLNVISVSSSVSSTARIFYTLHLQMRGDCKVRLEELINGTLLGLGH >Al_scaffold_0006_3298 pep chromosome:v.1.0:6:21091691:21092363:1 gene:Al_scaffold_0006_3298 transcript:Al_scaffold_0006_3298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZI6] MEDSEEDTEFRRRYRIHFSQFLCNSFSSVILWRLTIGRRFHETPTVRALLAELRCGVEEGDFTARNERGRWLNLGSGDAGGLTRLSVHGGGRLWSGEAMPPSLDSSSTPYHCNSLTTEHGASSTKSSSVIFFLLKAALRWRDGDRSWVSISVWLTSSWLAGVPFSGEYSQI >Al_scaffold_0006_3302 pep chromosome:v.1.0:6:21108542:21111897:-1 gene:Al_scaffold_0006_3302 transcript:Al_scaffold_0006_3302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LZJ0] DDDELVMNDEHQYHHHLDLDKTEIIECKAVAAIRDLICPRLGIILAVETRIMVLLVEASCVKILAMKGIAPPQHQVFVSFRGSDVRYNFFSFLKDALVKNGINVVTDEDAPRGKPIDENLLKLIKDSRIAIVIFSENYPESTWCLDELVEIEKQMDLKMLDSCPIFFEVETCHVKLQVARSTFNNNLLQLEHDERKKARQISKKAWEDAEKRFEGWRKALISVSSRLGLTYKKGNQATFVNEIVQKVKAMLDNVSSPHIVPQHQVYISFRSQEIRNKFSSLLRAALRRSGINVFLDDENITRIESRDEVDRLFCRVSRVKDRTS >Al_scaffold_0006_3308 pep chromosome:v.1.0:6:21137039:21137377:1 gene:Al_scaffold_0006_3308 transcript:Al_scaffold_0006_3308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like (DEFL) family protein [Source:Projected from Arabidopsis thaliana (AT4G11393) TAIR;Acc:AT4G11393] MAKIQNSVCFTAVLLILILVSTEIPKIEGKTCKLFRGECPVDPCEPAKCDECCKAAFGKQICGKCEQESTELHCHCRR >Al_scaffold_0006_3314 pep chromosome:v.1.0:6:21175203:21176641:-1 gene:Al_scaffold_0006_3314 transcript:Al_scaffold_0006_3314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSY7] MVWVDVWRGRCELVYGKFGPNSMNKQVSFHALMRFRVCQWKLASLFTPSTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >Al_scaffold_0006_3317 pep chromosome:v.1.0:6:21188988:21201905:1 gene:Al_scaffold_0006_3317 transcript:Al_scaffold_0006_3317 gene_biotype:protein_coding transcript_biotype:protein_coding description:cysteine-rich RLK (RECEPTOR-like protein kinase) 31 [Source:Projected from Arabidopsis thaliana (AT4G11470) TAIR;Acc:AT4G11470] MFLNKLFSMLCFIFILSFGFVSAQKCGESMFFRPNGTYDTNRRLVLSTLASNVSSQNNRFYNVSVGEGAWRIYALGLCIPGTDPKVCADCIQLASEGLLQNCPNQTDSFDWRGNKTLCFVRYSNSSFFNKMDLEPTVTVFSTKKFQGNFKTYNRTWDALMNFMITRVGQSQYLAEISPRIGFDRIYTLMQCIPGLSSNDCETCITVSVRNYQRCCNGFVGGVVRKPVCFFRWDGYEYLGAFGNTQSLPPQESQPPPLPPPGDGKKNSTGVIVAIVVSAVIFAVLLALGLVIWKRRKSYQTLKPQTDDDMISPQSLQFDFATIEAATDNFSRNNKLGQGGFGEVYKGMLPNETEIAVKRLSRNSGQGTQEFKNEVVIVAKLQHKNLVRLLGFCLERDEQILVYEFVPNKSLDYFLFDPTKKSQLDWKRRYNIIGGITRGLLYLHQDSRLTVIHRDIKASNILLDADMNPKIADFGMARNFRVDQTEENTGRVVGTFGYMPPEYVTHGQFSTKSDVYSFGVLILEIICGKKNSSFYQMDDSGGNLVTHVWRLWNNDSPLDLIDPAIKESYDNVEVIRCIHIGILCVQETPADRPEMSTTFQMLTNSSITLPVPRPPGFFFRNRPNLDPLTYGSEPGQSSNMSVPYSIDSASITRVTPR >Al_scaffold_0006_3320 pep chromosome:v.1.0:6:21210233:21212686:1 gene:Al_scaffold_0006_3320 transcript:Al_scaffold_0006_3320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZL0] MSLSHLLSILCFIIFVFSFGYVSAQKCGDSLFFRPNGTYDTNRRLVLSTLASNVSSRDGFFNVSVGEGSERIYALGLCIPGTDPKVCSECIQPASDGLLKNCPNQTDSWDWRATKTLCFVRYSNRSFFNEIDLEPVTAELLTLDVTGDVAEYNRTWEDFMARMISTASSSTPGSLAGRHYAANITPLPGFRRIYVLMQCIPGISSVDCDACLQANVRTYQRCCGGKQGGSIRRPVCFFRFDPYPYLDAFDSITSSPPPQSSKDPQESQPTASPPPPDGKTISTGVIVAIVFSVVIFVALFALGLIFLKRRQSYKALKLETNDDITSPQSLQFDFKTIEAATDRFSENNKVGQGGFGEVYKGRLSNGTEVAVKRLSKNSGQGSQEFKNEVVLVAKLQHRNLVRLLGFCLEGEEQILVYEFVPNKSLDYFLFDPTKRRQLDWGRRYNIIGGIARGILYLHQDSRLTIIHRDLKASNILLDDDMNPKIADFGMARIFGMEQTRANTSKIAGTFGYMAPEYVMHGQFSMKSDIYSFGVLVLEIISGKMNSSFYQSDGSAGNLVTHAWRLWRKGSPLELLDSTIEGNYQSDEVTRCIHIALLCVQEDPENRLMMSTIILMLTSNTITLQVPRAPAFFFQSSRDQDSEDEGSNSYGKPIPSSINDASITDLEPR >Al_scaffold_0006_3321 pep chromosome:v.1.0:6:21213398:21213779:1 gene:Al_scaffold_0006_3321 transcript:Al_scaffold_0006_3321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZL1] MYKPITDEKLEKFWSHEFVEAVLLSSFAACIATAILVEKKQLSIYQWRSIIMGVITFFVMAWTRMDRDLFVGGLVVTSVIISWSLWDTVRAPPKRPAGTKD >Al_scaffold_0006_3322 pep chromosome:v.1.0:6:21218822:21222187:1 gene:Al_scaffold_0006_3322 transcript:Al_scaffold_0006_3322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZL3] MAIKYLGVNRFFHNRLDAQKLYQETGSLISASFCSVSAQFCGKSLFFTPNGTYDTNRRLVLSTLASNVSSRDGYYNVSVGEGPERIYALGLCIPGSDPKLCSDCIQPVSLALLQNCPNQTDSYQWGIKTMLCLVRYSNHSFFNSLNLQPVLKLCSPMNITGNVTEFNRIWANFMEDMITTLAASTSYERRHYAAKASHPIGLQRIYALMQCIPGVSVVDCESCLRQSVLKSLENCSGRKGSKIRRPTCFFRWEFYSYYGAFVDTDSSPPPNPQGPQFLPPNATENAIDGTNMSTRTILVIVAPAAIIVVLLALGFAYWKRIKSFKQMKLKPDDDITNSQPLRYDLKTIEAATNNFSGNNKLGEGGFGVVYKGTFPDGTEIAVKRLSITSRQGLQEFTNEVNVLLKLQHNNLVELLGYCLEGEEKILVYEFLSNKSLDVFLFDTMNQRQLDWTKRYNIIEGIARGILYLHRDSRHKIIHRDLKVSNILLDADMNPKIADFGLAKIFAMEQTRAETSKIAGTYGYMAPEYRMHGQFSMESDIYSFGVLVLEIINGKTCSSIYQTDGTSCNLVTYAWRLWRKGLALELMDSTFEEDYQSEKVDRCIHIALLCVQENPADRPNLSTIISMLTSSQITLSIPNKPGFYVHSRRLEAEGLKLFGTNSSLSINDDVSVTLV >Al_scaffold_0006_3323 pep chromosome:v.1.0:6:21223342:21225996:1 gene:Al_scaffold_0006_3323 transcript:Al_scaffold_0006_3323 gene_biotype:protein_coding transcript_biotype:protein_coding description:cysteine-rich RLK (RECEPTOR-like protein kinase) 33 [Source:Projected from Arabidopsis thaliana (AT4G11490) TAIR;Acc:AT4G11490] MRKAKKISLSIFLFVLICIKAVAFSPLCIDDNGFEPPSILYKTYSRDILSNLFSNVTAPRFFYNASIGQDENTVYAMGMCITKSEPTVCSDCIKAATDELKSCPNQAEAYKWRSAHNALCFARYSNRSFSRTSALGIEMHPLYKEWNSNEIKTDLTLESFEETKWNSFIRNLVTKASNESDALLSNRKYYATGELFLTNFQSVYALMQCIPVLSSELCGSCLEASVLEYRTSGCRGTQSGIIARPRCFFRWDMQPFSGAFDPDRKDLKHIQPSKKISTTIFIAAVGLTALLSFVLSAVVLVLIFRRRKSNPPPDSPKGIPTTYSLQYDLKTIQAATCTFSKSNMLGQGGFGEVFKGLLQDGSEIAVKRLSKESAQGVQEFKNETSLVAKLQHRNLVGVLGFCMEGEEKILVYEFVPNKSLDQFLFEPTKQGQLDWAKRNKIIVGTARGILYLHHDSPLKIIHRDLKASNILLDADMEPKVADFGMARILRVDQSRADTKRVVGTYGYISPEYLMHGQFSMKSDVYSFGVLVLEIISGKRNSNFHETDDSGKNLVTHAWKHWRNGSPLELVDSELGKNYQSNEVIRCIHIALLCVQNDPEQRPNSLNVILMLTSNSITLPVPQSPIYEGMEMFLPLIKSVPGSINDSLIDDIVPR >Al_scaffold_0006_3324 pep chromosome:v.1.0:6:21229689:21230756:1 gene:Al_scaffold_0006_3324 transcript:Al_scaffold_0006_3324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZL5] MVCASLGLNQRLAQIASKAHQTAWTEKILVYEFVPNKSLDYFLFDPAKRGELNWTRRYHIIAGIARGIMYLHHDSRLTIIHRDLKASNILLDADMNPKIADFGMARIYRIDQTHANTNRIVGTYGYMSPEYAMRGHFSMKSDVYSFGVMILEIISGKMNSSFYDIDDSPSNLVTHAWKLWRTGSQLELVDPTIGESSPSNEAIIRCIHIALLCVQEDPADRPMLPAIVVMLTSNTDTLPVPRAPGFCLSSISEQSTIPCSNNDVSITDLDPR >Al_scaffold_0006_3325 pep chromosome:v.1.0:6:21231116:21231370:-1 gene:Al_scaffold_0006_3325 transcript:Al_scaffold_0006_3325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZL6] MSILKGTKRYIAVAIFIACLFISNMNVAAANVIGYPPIKGGDDQPGCDHGKCPPKQPANPYHRGCEKTNRCRGPSPPVPPQKMI >Al_scaffold_0006_3328 pep chromosome:v.1.0:6:21244222:21244860:1 gene:Al_scaffold_0006_3328 transcript:Al_scaffold_0006_3328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase-related family protein [Source:Projected from Arabidopsis thaliana (AT4G11521) TAIR;Acc:AT4G11521] ANNGFYSSSIGQNPDQMFIIGMCIPGTKPERCSDCIKGSSDGLLRSCPNQTVGYVWPDCCMVRYSNISFSGSFIMEPSQPMSDPAPIGVDLKLFDRIWDDLMSRTIAAASRTHGSSSFGHKYYTAEVASLTAFQTIYAMVQCTPDVSSGDCEFCLNKTVVDYKKCCRGHIGGAFVRPFCFIRWDLYPFAGAFEHRTLPSPPPPLSLTPPVSN >Al_scaffold_0006_3329 pep chromosome:v.1.0:6:21249184:21249363:1 gene:Al_scaffold_0006_3329 transcript:Al_scaffold_0006_3329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LZM0] TSVVDYESCCRGKQGGAVIRPSCFFRWDVYPYAGAFENITLLPSPPQSFTSATAIFDSSS >Al_scaffold_0006_3332 pep chromosome:v.1.0:6:21275410:21276680:-1 gene:Al_scaffold_0006_3332 transcript:Al_scaffold_0006_3332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZM3] MEISDGLKGLLENSPSTTNSTTCLPRNYIVQRYEHFASCKVMEKIDRSGEVSKWETLHRDILAIIFDKLDMMDITMGVSRVCTSWFLASHNKTLWHTVDLSKLQQLDFSYFVQYKDRVRPIIFYEHRVDEEVAEGLNLRSLYIKISNFFFDFSVLRLTLRDLLVEITKLSRMNPKNLFFHFNSYVQTEDLMFAAERMPNIEKLVLPVWCYKTEESFQFVFSRWKNLKTLIIAQDYQLRTGTFDFHTVGENCSNLTNFKYLGYLQNANVEKIVMYLPNLKRLSLRCSFVRTTGLISLITGLQNLTVLNLSHCIYLGMIPDTVPDDYFVQAPTQKLEKFITCTQDCRICKNQRRYSWSYLSEDWQNDEIKEFQF >Al_scaffold_0006_3343 pep chromosome:v.1.0:6:21340640:21343572:1 gene:Al_scaffold_0006_3343 transcript:Al_scaffold_0006_3343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZN6] NKTQKKKKAKKKKKRTKKEKKKHGKGRENKKNKIKRKKKKTKKKKKKNQKGKKKHGKGRENKNIIKIKLKKKKSY >Al_scaffold_0006_3354 pep chromosome:v.1.0:6:21409788:21413366:1 gene:Al_scaffold_0006_3354 transcript:Al_scaffold_0006_3354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M081] MVNAIAMACVIAGVVIGMLNEVDGIQILSKSKLEKCEKTSDSGNLNCSTKIVLNLAVPSGSSGGEASIVAEIVEVEDNSSSNMQTVRIPPVITVNKSAAYALYDLTYIRDVPYKPQEYSVTTRKCEPDAGPDIVQICERLRDEKGNVLEQTQPICCPCGPQRRMPSSCGDIFDKMIKGKANTAHCLRFPGDWFHVFSIGQRSLGFSVRVELKTGTRVSEVIIGPENRTATANDNFLKVNLIGDFAGYTNIPSFEDFYLVIPREAAVAGQPGNLGANYSMWMLLERLRFTLDGLECNKIGVGYEAFNSQPNFCSSPYWSCLHNQLWNFREADINRINRNQLPLYGLEGRFERINQHPNAGPHSFSIGVTETLNTNLMIELRADDIEYVFQRSPGKIINIAIPTFEALTQFGVAAVTTKNTGEVEASYSLTFDCSKGVAFVEEQFFIIKPKAVTTRSFKLYPTKDQAAKYICTAILKDSLFSEVDRAECQFSTTATVLDNGTQVTNPFQIPETRPKGFFDSIRIMWTKLINGLVDFITGDTCRNKCSSFFDFSCHIQYVCLSWMVMFGLLLALIPTTCVLLWLLHQKGLFDPFYDWWEDHFDLDHHRRLLPPTREDAINRRHHHHHRQHRHGVKTHNHHRRTHKRHKHHHNQDDDVLQNMLERDHNESHYYHQLHRVHKDSKQKQRRRAKHGIVLPRDVHVDRRKRQRLRES >Al_scaffold_0006_3359 pep chromosome:v.1.0:6:21445592:21446820:1 gene:Al_scaffold_0006_3359 transcript:Al_scaffold_0006_3359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M087] IGANTQMRKRRKSRGVKKEQETQIVAATACARFSPDGMHLASCCSFDAFIKIWDYSTGILKMSFQDQTEDDHLLCIEFSQDSKRLASGSKNGNIKLYNTITRELLSSSLDHKARIHDLGTLEMTKEFSGHSDSVNCAIYFSTDRILTASQDCTVKVWDPKTTTCLMTIEPALSLNKKRAPVNSIHMLPTELGKVLVCSKTSSLSIIDCFQGKLVRTLTSKVQGSAFIAACVSQKGNFIYAVGEDGMVYCFDYKTGELKHRLEVKG >Al_scaffold_0006_3367 pep chromosome:v.1.0:6:21486361:21489866:1 gene:Al_scaffold_0006_3367 transcript:Al_scaffold_0006_3367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hapless 2 [Source:Projected from Arabidopsis thaliana (AT4G11720) TAIR;Acc:AT4G11720] MVNPILMACVIAGIVVGVFNEVDGIQILSKSKLEKCEKTSDSGNLNCSTKIVLNLAVPSGSSGGEASIVAEIVEVEDNSSSNMQTVRIPPVITVNKSAAYALYDLTYIRDVPYKPQEYHVTTRKCEPDAGPDIVQICERLRDEKGNVLEQTQPICCPCGPQRRMPSSCGDIFDKMIKGKANTAHCLRFPGDWFHVFSIGQRSLGFSVRVELKTGTRVSEVIIGPENRTATANDNFLKVNLIGDFGGYTSIPSFEDFYLVIPREAAAAGQPGSLGANYSMWMLLERVRFTLDGLECNKIGVGYEAFNTQPNFCSSPYWSCLHNQLWNFREADINRISRHQLPLYGLEGRFERINQHPNAGPHSFSIGVTETLNTNLMIELRADDIEYVFQRSPGKIINIAIPTFEALTQFGVAAVTIKNTGEVEASYSLTFDCSKGVAFVEEQFFIIKPKAVTTRAFKLYPTKDQAAKYICTAILKDSQFSEVDRAECQFSTTATVLDNGTQVTNPFQIPETHPKGFFDSIRILGTKIINGLVDFITGDTCRNKCSSFFDFSCHIQYVCLSWMVMFGLLLALFPTTCLLLWLLHQKGLFDPCYNWWEDHFDLDHHRRLLPTRENIANRHHHHHKHHHGVKTHNHHRRTHQRHKHHHGENHDVLQKMMLDRDHSDAHYYHQLHRVHKDSKQKQRRRPKHGIVMPRDVHVERRRRQRLREC >Al_scaffold_0006_3369 pep chromosome:v.1.0:6:21503245:21504163:1 gene:Al_scaffold_0006_3369 transcript:Al_scaffold_0006_3369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0A1] MKKPSQLSTTILTIFVILAIGVMVKETLGQAPRTCFEALKDASKGASTCDSELCASLCKKKSADGVGTCRTKTTQPSKGQPECHCRFWCRSDGSPYK >Al_scaffold_0006_3373 pep chromosome:v.1.0:6:21532992:21537808:-1 gene:Al_scaffold_0006_3373 transcript:Al_scaffold_0006_3373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G11800) TAIR;Acc:AT4G11800] MVSDGHSARLYNSLPMERVRTILTHTYPYPHEHSRHAIIAVLFGCLFFISSDNMQTLIEKFSVKWWSMYACLLGFFYFFSSPFIQKTIRPNYSNFSRWYIAWILVAALYHLPNFQSMGLDLRMNLSLFLTIYISSILFLVVFHIIFLGLWYVGLVSRVAGRRPEILTILQNCAVLSMACCIFYSHCGNRAILRQKPLGRQYSSWFSFWKREHRHNTWLAKFIRMNELKDQVCSSWFAPVGSASDYPLLSKWFIYGEIACNGSCPDSADEISPIYSLWATFIGLYIANYVVERSTGWALTHPLSVDKYEKLKNQQLKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMLQAAMTKSGDATGRKELLYDHLADKQDFWFDFMADTGDGGNSSYSVAKLLAQPSLRVPVADNFISLPRGNVLLIGGDLAYPNPSAFTYEKRLFCPFEYALQPPRWYKNDSIAVDKPELPNGVSDLKSYEGPQCFLIPGNHGKFQVSASFLFQINWFDGLNTFMRYICHKNWLGGWLMPQKKSYFALQLPKGWWVFGLDLALHGDIDVDQFKFFSELVKDKVGENDAVIIITHEPNWLLDWYWSGDTGKNVRHLICDVLKYRCKLRMAGDLHHYMRHSCNQSDGPAHVQHLLVNGCGGAFLHPTHVFSNFSKFYGASYGSKVAYPSFDDSSKIALGNILKFRKKNWQFDFIGGIIYFILVFSLFPQCKLAHVLRGDSFSGHLESFLGTVWSAFAYVMEQSYVSFTGVLMLLITAITFVPSKVSPKKRVVIGVLHVAAHLMAALILMLMLELGIEICIQHNLLANSAGYHTLYEWYKSVENQHFPDPTGLRARIEQWTFGLYPACIKYLMSAFDVPEVMAVTRTNICKHGMESLSRSGAVIYYASVFLYFWVFSTPVVSMVFGSYLYICINWFHLHFDEAFSSLRIANYKSFTRFHILEDGDIEVFTLAVDKVPKDWKLDKDWDSEPKQSFKMSYEREFPSKWCSSTAQQDPVNTVKIVDRFVIHRSQKENGEC >Al_scaffold_0006_3380 pep chromosome:v.1.0:6:21599386:21602626:1 gene:Al_scaffold_0006_3380 transcript:Al_scaffold_0006_3380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0B3] MNIERLRERRKENWYVRVKKNVGLEHTAHHHCEQILSRTIIRMAQNFVGNNNVNMLRPIGVFGLKASEKRDIQYVTNVTAVANPPLKNLKLKTSHKFKSCYPHLNAMYKVSKSSSKE >Al_scaffold_0006_3381 pep chromosome:v.1.0:6:21603977:21604701:1 gene:Al_scaffold_0006_3381 transcript:Al_scaffold_0006_3381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0B5] MAYNNFTRLDAQSAAEKAVSVIELGYDLCSDVRFSACKTTLDGSRLVEIDPTRNRDLVFPGGIVVNNVSSSIKCDKVERTRLRSDILSFNQMSEKFNQDMCLSGKIPPGMFNNMFAFSKCWPKDASSVKNLAYDGWFISLYIRVEIVRKQLTLRDEVKREVPSSWDSASLAGFIEKYGTHVVVGVSTKF >Al_scaffold_0006_3383 pep chromosome:v.1.0:6:21621923:21623576:1 gene:Al_scaffold_0006_3383 transcript:Al_scaffold_0006_3383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G11890) TAIR;Acc:AT4G11890] MALTSLLKTLFRRRKKKKSTEFVSNSAVFEFDFDTVKAATNEFSELVGHGGFGSVYKGRLQNGQEIAVKILSKSSIRTETQFHNELNILSKLKHKNLINLLGFCTKRDQHCLVYEFMPNSSLDCFLLDPHRASQLSWEMCRNIVDGIARGLRYLHEESGLCLVHRDIKPRNILLDSDLKPKIMGFELARMMQQCENEAESTVIVGTIGYIDPEYLRSGRVSVKSDVYAFGVTILTIITRRKAWSVDGDSLIEYVMRCWNRGEAIDVIHEVMREEEREDSISEILRYIHIALLCIDENAETRPSIDRVLHWFSCISTPLPEPTSGNRFLGKEETNWPWSLSLSPGHSSVTSPISSR >Al_scaffold_0006_3384 pep chromosome:v.1.0:6:21623859:21627009:-1 gene:Al_scaffold_0006_3384 transcript:Al_scaffold_0006_3384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0B8] METCKNNVLYLASSATDTISTDQPLSGLKTIVSSGDIFELGLFNPTPGMIGFYIGMWYKQVSPRTIVWVANRESPLQRATFFFKILDGNLILHDNMTSRTFWSTGVNSSRSTDVQAVLLDNGNLVLRDGPNSSAAVLWQSFDHPSDTWLPGAKIRFNNIKLGSQRLTSWKGLTDPSPGRYSLEVDPNTTHSLITVWNGSKSYWSSGPWDDQFRVSILAISLSFKLNLDESYITYSAENYSTYRLVMDVSGRFMLHVFLVDIQLWGAIWSQPRDTCAVYNSCGSFGICDEQADTPCRCVPGFKQAFGEDSNDYSGGCKREINLQCDKGNDEFFPIENMKLATDPTTTLVLTASLVTSCASACLANCSCQAYAYDGNKCLMWTRDAFNLQQLDANNTEGHIFFLRLAASNKGETESSKVRRIVLPAVLSSLIAAAAFFVGLYCYISQRGRRKRTKRDKKQSRELLEGGLIDDDGENMCYLNLHDIMAATNSFSEENKLGEGGFGPVYKGMLLNGMDVAIKRLSKKSSQGLTEFKNEVVLIIKLQHKNLVRLLGYCVEGDEKLLIYEYMSNKSLDVLLFDSLKSRELDWETRMKIVTGTTRGLQYLHEYSRLRIIHRDLKASNILLDDEMNPKISDFGTARIFGCKQIDDSTQRIVGTCNGYMSPEYALGGLISEKSDIYSFGVLLLEIISGKKATRFVHNDQKHSLIAYAWESWCETQGVSIIDEALRGSYPVKEVIRCVHIALLCVQDHPKDRPTISQIVYMLSNDNTLPIPKQPTFSNVLNGDQQLVSSDYVFSINEATQTELEAR >Al_scaffold_0006_3385 pep chromosome:v.1.0:6:21632521:21635156:-1 gene:Al_scaffold_0006_3385 transcript:Al_scaffold_0006_3385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0B9] MPPKTKNMGKGKKRKRVSELLKDVDDGGAKQRTEQPQPSSEPNPTEQNIIEGGGGVEEEQVPMESDKEEENSEKNKEEESEEEDKEEDKEEDKEEEGEEEDKEEDKEKEKEEGNEEGNEEGEESSFDDGSRSLGEESSSDESKEDEIAVENAPENQPENAMVRPEIAGGDDIPVVDGQELLSLAAVVGMIQDMQVEMTDQLNEILVMISSLDDTFISLDERVKSLETFREAQKAEKRKDQEEKNQEKDGDPKEITQEKDGDPKDITQEKDGDPQVTKMTTRSKRQLG >Al_scaffold_0006_3392 pep chromosome:v.1.0:6:21662029:21662884:-1 gene:Al_scaffold_0006_3392 transcript:Al_scaffold_0006_3392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0C5] MLFRTHVSGYSNRGRYNRKKGFGYFRERITMPFGGSLDVVFVDTGSLQQEVLGGALKSSRISQLKELTRILKAADGDWRIVVGSDPLLAYTLTKEAEEAKGIASTFDQIMTKYGVLYL >Al_scaffold_0006_3399 pep chromosome:v.1.0:6:21689748:21690195:-1 gene:Al_scaffold_0006_3399 transcript:Al_scaffold_0006_3399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KAP7] MSHETTIGEDVKQKQIENHVGLKLSSSSSSPSISLLPKLISFASALSLTSSSPALAIPSFSSSQPLTTPFSTQSNKIRPCPSTNPGCVSTNPTSSSFSFPLTIRETDAQDPIQ >Al_scaffold_0006_3402 pep chromosome:v.1.0:6:21705402:21708316:1 gene:Al_scaffold_0006_3402 transcript:Al_scaffold_0006_3402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0D5] MFVDRAFSDTSDVFGPLRTCARFSASEDYDVVIRYRRGDQINDDFISHLRAALCRRGISVFNEFDEVDAVPKCRVFIILLTSTYVPSNLLNILEHQQTEYQAVYPIFYRLSPYDLISNSKNYERYFLQNEPERWQAALKEISQMPGYTLTDRSESELIDEIVRDALKVLCSGDKVNMIGMDIQVEEILSLLCIESLDVRSIGIWGTVGIGKTTIAEEIFRRISVQYETCVFLKDLHKEVEVKGHDAVREDFLSRVLEVEPHVIRISDIKTSFLRSRLQRKRILVILDDVNDYRDVGTFLGKLNYFGPGSRIIMTSRNRRVFVLCKIDHVYEVKPLDIPTSVRLLDRGTFQIVLSPEVYKTLSLELVKFSNGNPQVLQFLSSVDRERNRLSQEVKTTSPIYIPGIFERSCCGLDDNERSIFLDIACFFNRMDKDNVAMLLDGCGFSTHVGFRGLVDKSLLTISQHNFVDMLSFIQATGREIVRQESADRPGDRSRLWNAEDIRDVFINDTGTTAIEGIFLDMSKQTFDANPNVFEKMCNLRLLKLYCSKVEEKHGVYFPQGLEYLPSKLRLLHWEFYPLSSLPESFNPENLVELNLSSSCARKLWKGKKARFLSLGNLKKMKLSYSYQLTKIPRLSSAPNLEHIDLEGCNSLLSISQSVSYLKKIVFLNLKGCSKLESIPSTVDLESLEVLNLSGCSKLENFPEISPNVKELYMGGTMIQEVPSSIKNLVLLEKLDLENSRHLKNLPTSICKLKHLETLNLSGCTSLERFPDLSRRMKCLRFLDLSRTAVRELPSSISYLTALEELRFVDCKNLVRLPDNAWTLRFKVEFRQIDTEKFSRLWNRFGWLKKVQIS >Al_scaffold_0006_3405 pep chromosome:v.1.0:6:21720658:21721016:1 gene:Al_scaffold_0006_3405 transcript:Al_scaffold_0006_3405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M0D8] VKDYIATLKHNGYQSLHTYVIPFLYESMFRLEILTNNADFFLEMDLIAVRSKFKGEGELPQQCRFCTQ >Al_scaffold_0006_3406 pep chromosome:v.1.0:6:21727234:21728099:-1 gene:Al_scaffold_0006_3406 transcript:Al_scaffold_0006_3406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0D9] MTESVKFSGFGKLSVEETDQVGTGSYSMIGESSPLIDLTGSNGLQVSGLSYWQSLALPNLISFFGEIYLTLVSQRILKHAFHQIYLGCLFVTYTFKRPSSSMRFVGSYRLSPMCHRFRIHNQQLENGPLAMKSATA >Al_scaffold_0006_3407 pep chromosome:v.1.0:6:21732386:21732812:-1 gene:Al_scaffold_0006_3407 transcript:Al_scaffold_0006_3407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0E0] MVPFALHRRSRFLKEVPGVSRCSPPSTAVWFPIDVVVALVLAEVEVCWRGLTVEDAESCCKSLQGSAARLRRKLVGIWWVFCILDGWLGFRVQSDWLSQRPLNTPWLASTCPDRSAPTRGDRKATDREKRG >Al_scaffold_0006_3415 pep chromosome:v.1.0:6:21779068:21779856:-1 gene:Al_scaffold_0006_3415 transcript:Al_scaffold_0006_3415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon family protein [Source:Projected from Arabidopsis thaliana (AT4G12090) TAIR;Acc:AT4G12090] MGDLLDWIISFLFLVTLLIIVIYQLTCLADLEFDRRNPYDASTKINRMVLPEFGLQGLLCLYYVLTGHWFMAVLSLPHLFYNIRLYMKREHLADVTELYNTNKWEQKKRVYKIGHIALSIFITSYWLIHSALGDI >Al_scaffold_0006_3420 pep chromosome:v.1.0:6:21808473:21810915:-1 gene:Al_scaffold_0006_3420 transcript:Al_scaffold_0006_3420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M0E8] MAAVQAFTPLADIKPFKTHWKIQVKIVHSWTQYTQFTGETVEMVLADTAGTLIHATVKKPQVSKLQRFIVSGEWRIIEHFTLTKSTGKYRATKQGFKMSMMEKTVISRTPAVSDDIYVDLANFPDILNEAGLSENILIGERAVSNSFDMSLLEINATYPAVLEFVANLPPDVIPLTIEDSKAKQVNAEQRKKDYYDRFPRKTISGLFCFSETGKCNIICTIMNIDTDYSWYFFSCLKCSKTAYKIPKVENEIVKKGKKEMFWCPTYSDQQTDQRNDLSIDQEGLALTNSGETTDPLGPTSSTPSSKRSMDAVSEDIE >Al_scaffold_0006_3422 pep chromosome:v.1.0:6:21817546:21818993:-1 gene:Al_scaffold_0006_3422 transcript:Al_scaffold_0006_3422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M0F1] CGGVEVSSRVERNRCITDEKWLCRAVTSELKKTTGDFAVGAWAGAAHYLLWYMLASHITGAFWYMLSVERNDTCWRFACKFSQIRDSVFRFCIVGSSKSQRLSSRAANKYISRRGFVFQRVRSRVSSFALLLGNMLTVRLHLLTVRLEEMRIKRCDSEQYHRSLPQNLRERVRRYDQYKWLETRGVKEENLVQSLATDLRRDIKRHLCLSLVRRVPLFANMNERLLDNCERLKPSLYKESTFIVREGNPVNEMMFIIRGRLESVTLDVGRSCFFNRGLILKEGDFCGDKLLTWALDLKAGSILPSSTRT >Al_scaffold_0006_3423 pep chromosome:v.1.0:6:21819977:21821079:-1 gene:Al_scaffold_0006_3423 transcript:Al_scaffold_0006_3423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M0F2] GTKMDGGILVVLGPDGPMPQTKEHILLARQVGVPSLVCFLNKVDVVDDPELLELVEIELRLLNFYNFPGDDIPIIRGSALSGRGTVATLNREPLKWVKKLRYWVYGLPLKSTVTGVEMFKKILDNGQREDIQRGMVIAKPSSCKTYKKFEAEIYVLTN >Al_scaffold_0006_3426 pep chromosome:v.1.0:6:21838331:21839043:-1 gene:Al_scaffold_0006_3426 transcript:Al_scaffold_0006_3426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0F5] MCSSRTYFNLTEFVSILSGIVNPNICVDVIGKIVNVIELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKRRSRNTMICIIKFVKLELPQEHRWRCTNVTGCTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0006_3427 pep chromosome:v.1.0:6:21851881:21853393:-1 gene:Al_scaffold_0006_3427 transcript:Al_scaffold_0006_3427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M0F6] EFKPFLHHRPRKPSEVMFGFIAAAGTNTEEHRLLSWELSSNLDIEKADSKIGLVVGISVSGFVLLIVLVHTIVVVWSRKQRKKKDRDIANMISINEDLEREAGPRKFSYKDLELGEGGFGAVYEGNLKEINTMVAVKKLSKIISKLRHRNMVQLIGWCNEKNEFLLIYELVLNGSLNSHLFGKRPHLLSWDIRYKIALGLASALLYLHEEWDQCVLHRDIKASNIMLDSDFNVKLGDFGLARVMNHEHGSHTTGLAGTFGYMAHEYVTKGSASKESDIYSFGIVLLEIVTGRKSLERTQEDNSDSESNEKSLVEKVWKLYGKQEGNEFDNKEAECLLVLGLLTQMEF >Al_scaffold_0006_3428 pep chromosome:v.1.0:6:21854225:21856049:-1 gene:Al_scaffold_0006_3428 transcript:Al_scaffold_0006_3428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M0F7] MACLIEPFCRAWEVLSTKLLDESGVDDNRTRFGKKHGNGKIDELERHIESLIWETVKEREKECVGLDDYEK >Al_scaffold_0006_3442 pep chromosome:v.1.0:6:21927476:21928164:-1 gene:Al_scaffold_0006_3442 transcript:Al_scaffold_0006_3442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M102] MADCRRERKERFSRESHYFPFGSGRRICAGMAMAERVVLYNLATLLNSFDWKIGEGEKVELEEKFGIVLKLKNPLVATPVLRFSDPNL >Al_scaffold_0006_3449 pep chromosome:v.1.0:6:21969892:21971294:1 gene:Al_scaffold_0006_3449 transcript:Al_scaffold_0006_3449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M110] MLPPPSTTFAVSENGVTFSVRLQPPLHIEAIKLIPADKLVTVSTCHPGATEWITQDFPTFIKPFYMLSNLVYRRDRFYCFNAEGTLYNFEPSHRTWNYICADKLRCPYIHKMHYVLNEIAVALVEKKGELFVMFTCSNQKPMVYKLFSLEWKEMTRTTLDGLTIFVSFYNSELRINLPWMRNNVYFSRFGYNRKHCVSYSFDESRYNPRKEWDKWVELCPPQSLWIDTPKDFF >Al_scaffold_0006_3453 pep chromosome:v.1.0:6:22022691:22023221:-1 gene:Al_scaffold_0006_3453 transcript:Al_scaffold_0006_3453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M113] MALPITELNAVKPFKTQWKIQVKIVHSWTQYTQYSGETVEMILADTSVIYDLSIGTLIHATVKKQQVNKFQKLITTGEWRTVENFTVAKSTGKYRPTSLPFKMTLMNTTAISRIPSISDDLYFDFANFPDILNVNGLNENILIGIFHSAYFFL >Al_scaffold_0006_3464 pep chromosome:v.1.0:6:22118255:22118404:1 gene:Al_scaffold_0006_3464 transcript:Al_scaffold_0006_3464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCU5] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >Al_scaffold_0006_3469 pep chromosome:v.1.0:6:22147006:22148651:-1 gene:Al_scaffold_0006_3469 transcript:Al_scaffold_0006_3469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M126] MEPYISRRDDFVRGMKHIEEDHGGGGSDCELDQLFLVHSDIRSVLLQIDELVVEATKRKTVTKHGLREVESFRSVLSDMLSSLKYMQPWFPRLEEAMSDFQLLPEDKEGQSLMRTNEEEDLFDVESPEPTQFEPLVSPSPLVHWRGDHNADKGRQLFLLTPLPLGKSEFLKHQNASKLTAKRIFPDDTANKSLEASKETSDDVLGGELMKTAGLGNSLGHAIDFSEKLVEYEPCSSPVLRRKIQSELLMTPCLKMSLPKSCTMFKPVPETSQLVKHGACKSTCSELGASTSNGSQSSGSSGIETTDNLCSKYPELLGMQHAPITRKTDLESSPVWWFSPPKTCVLMEPLNEKKPIDETGGSRMVSSFDLPNIKAKHTTDGSMSMVVESTPLFKEPESIMTKAGENTLKKELWTRFEEASIHDSRFSSMTTTTMRGNKQKEFMEMLEEVSGNEENQELNL >Al_scaffold_0006_3478 pep chromosome:v.1.0:6:22213404:22213768:1 gene:Al_scaffold_0006_3478 transcript:Al_scaffold_0006_3478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M139] MGVFRLHQYEIVVRALPTEKNEQPKIYMMKLWTDERGSYQIQVLVLLKDAEEGKDK >Al_scaffold_0006_3482 pep chromosome:v.1.0:6:22223284:22225626:1 gene:Al_scaffold_0006_3482 transcript:Al_scaffold_0006_3482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M143] MNDLSLSDLKKSRNQFLEKKLGISRGEDRISLLPESLLCHILSFLTTGEAVWTSVLSSRWRTLWQWVPRLEVDTFEFPNHKACVDFINKFLNCQIEFYLREFKLITGNHVSRYEPCLSRVIKHKIQHLQVDNKLGFRNIKIPLNQSVCENLTFPNSSFEGKHLCGHYLLHCQQEVPANKRPRTTESDSDSDIALDFSYGVALGFGLSLVVVAFRQQLFSF >Al_scaffold_0006_3484 pep chromosome:v.1.0:6:22232647:22234938:1 gene:Al_scaffold_0006_3484 transcript:Al_scaffold_0006_3484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M145] MAGRLQSHQLPSGLYVSGKLEQPKERPPTMAARAMPYTGGDIKKSGELGRMFDISVNDPTSFQRPPSIFSGESARQPPPPPRVPCASSSNPNSGSVRSGSQSGLIRKSSGPLSQLQPTGLITSGPLNSSGPIGSGSRRSGQIDQHHQTSNTRSSKPKYGSAVTVLNSDPIRVGFRVPKAVIWAVIVVAGMGLLIGAFLSVAVKKPLVVVVIVAAIFPAVVVFLWNCIWRRRGLMSFIKNYPDAVIRGAIDGQFIKVTGVVTCGSIPLESSYQRIPRCVYVSTELYEYKGFCGKSANLKHRCFSWGCRHAERYVSDFYISDFQSGLRALVKAGYGSKVSPIVKPATVANVTSQTKDLSPSFLQWLADRNLSNDNCAMRLKEGYIKEGSTVSVMGMVRRHDNVLMIIPPAESIATGCKWWRCLLPTYVDGLIITCDENQNADVIPV >Al_scaffold_0006_3485 pep chromosome:v.1.0:6:22235825:22238503:1 gene:Al_scaffold_0006_3485 transcript:Al_scaffold_0006_3485 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G05540) TAIR;Acc:AT4G05540] MERNQKTSLINQIFAWSINYILNKDIYKEEIKSIPDRFWSVDEYLNCFVPLLLEETRTELSSSLNSLWKAPVFYISSVEATAIKLPSRSSNKVNISGLTSVAQGNRTSYEPKHGDLIALTKAARPTRVDDLNPLILGYVFSVEDELHFSVHSSKTISIDEQFSFRSGVFLMNLTTNTRIWKALHNGDGNLGLIKSVLQANTADTEHPVSSRNWGNSVWDIMRSAKLNPSQESAILSCLETRNLRDKTSVKLIWGPPGTGKTKTVATLLFALLNLSCKTVVCAPTNTAVVEVASRLLALFKETSSSEHSTYGLGNIVLVGNRVRMGIDDRGNDDLLNVFLEHRISKLRKLFSPSTGWERSLESIIDILENSESNYKKYLLLNERREIKEDGKNILTTFGEFVMKMFLGSNERSEKEEAEKKEKILTFGEFVKKNYYGLSETMGKVQKDMVDLYTHLPKSFTSSNDVKNMIAARKALRRARSFLQEKQGSFTFDCFNKVISIDCLQTLRLLSKRFEIPALLVNEDTRTFCLQNAHIIFCTASGAAEMTAERTGSIELLVVDEAAQLKECESVAALQIQGLHHAVLIGDELQLPAMVQSEVCEKAKFGRSLFERLVLLGHKKHLLNVQYRMHTSISLFPNMEFYDGKISDAEIVKESTYQKRFLRGNMFGSFSFINVGLGKEEFGDGHSPKNMVEVAVVSEILSNLLKVSSEAKTKMSVGVISPYKAQVRAIQERIGDKYTSVSDQLFTLNVRSVDGFQGGEEDIIIISTVRNNGNGHIGFLSNRQRANVALTRARHCLWVIGNERTLSLSGSIGQSS >Al_scaffold_0006_3489 pep chromosome:v.1.0:6:22251732:22253513:-1 gene:Al_scaffold_0006_3489 transcript:Al_scaffold_0006_3489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3537) [Source:Projected from Arabidopsis thaliana (AT4G03820) TAIR;Acc:AT4G03820] MEESSNQRFLNSSTRPDPIQKPPESPATKFSFPKLLLWFDQSSRIKTLLSWSIFFLLAIIVPMISHFVLICADCDFKHRRPYDGLVQLSLSIFAGISFVSLSDWSKKYGIRRFLFFDKLKDVTDKVRIGYEAEIQRSMKLLAIFVLPSITLQAIYRIWWYASGFNQIPYIINPMVSHVLACTLQLSSWLYRTSLFIIACILYQNICHLQVLRLDEFARCFASEIKDFSSILAEHLKIRRELKIVSHRFRRFILLSLFFVTATQFMALLTTIRASVPFNIYEVGELALSSISLVSGLFICLKSATQMTHKAQTVTSIATKWNVCASLDTFDVLDDGETPKCPTTIQHSQILSRRRNVIQSSDDDEEGEGDHNDLDIHPIFARAISSQKRQALVTYLENNRAGITVYGFLVDKTWLRMIFSIELALLLWLLKKTIGNVICFYSKSIFSPLDHSLL >Al_scaffold_0006_3505 pep chromosome:v.1.0:6:22327822:22329433:-1 gene:Al_scaffold_0006_3505 transcript:Al_scaffold_0006_3505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-like RbcX protein [Source:Projected from Arabidopsis thaliana (AT4G04330) TAIR;Acc:AT4G04330] MESSSSLILHHSYLSYLNPKFGKRPLLSFALMRNSRKCKKTRICSNKMYVPGFGEASPEAKAAKHLHDFFTYVAVRIVSAQLESYNPEAYMELREFLDTNSVSDGDKFCATLMRRSSRHMNLALRILEVRSAYCKNNFEWDNLKRLAFKNVDGSNTRLMREYVLETSHVETDSDK >Al_scaffold_0006_3509 pep chromosome:v.1.0:6:22349444:22349758:-1 gene:Al_scaffold_0006_3509 transcript:Al_scaffold_0006_3509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M171] MRHEEGSEENESSFTEMVAEELKLREAQAQEDEWRADRLLLDAKKAASQYQKEADKCSMGMETCELAREKAEATLDEQRRLSYMWELRARQRGWKEGTVASDVI >Al_scaffold_0006_3511 pep chromosome:v.1.0:6:22361740:22362825:1 gene:Al_scaffold_0006_3511 transcript:Al_scaffold_0006_3511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M174] MSELPWDIVEEILCHVPTTSLKRLRSNCKRWNRLFNDTRFARKHFDKAVRQSLILMLTVECRFLLMNVNLHGVSARDVTDKEEKHLGTFLVSFDYTKERFERLGLPCHRGTAAVSVVREEKLTVLLHDANTSRTEIWVTNKIDGTKVVAWSMVLAMDHPELVFGFRVSFLVDEEKRCVVCWGQKRMVKDGKIHYKVMVHIIDEDNKVTKVDFAPSTMSSYRPLLLNYVPSLVQIQ >Al_scaffold_0006_3516 pep chromosome:v.1.0:6:22390357:22392874:-1 gene:Al_scaffold_0006_3516 transcript:Al_scaffold_0006_3516 gene_biotype:protein_coding transcript_biotype:protein_coding description:cysteine-rich RLK (RECEPTOR-like protein kinase) 36 [Source:Projected from Arabidopsis thaliana (AT4G04490) TAIR;Acc:AT4G04490] METSLLFHFPCFLLLFLLFNINGVHTTFVCGDEDFSPNKTYRENLDALLSSLASNVTRERGFYNASLDGVHALALCRKHYEVQACRRCVDRASRTLLTQCQGKTEAYHWDSENDANVSCLVRYSNIHTFGKLKLEPIGNVPHSGLLTSSNLTRISQEFAAMANRTVEVASTADESSVFKYYGVSSAEFTDTPEVNMLMQCTPDLSSSDCNHCLRENVRYNQEHNWDRVGGTVARPSCYFRWDSYKFAGAFDNLERVPAPPRPPQPREDSRDKKGRIFQPWSVVVIVFPTVINLAVFVAFVLAYRRMRRRIYAEINKNSDSDGQSTLRFDLGMILIATDEFSPENKLGQGGFGSVYKGILPSGQEIAVKRLAGGSGQGELEFKNEVLLLTRLQHRNLVKLLGFCNEGNEEILVYEHVPNSSLDHFIFDEDKRWLLTWDVRYRIIEGVARGLLYLHEDSQLRIIHRDLKASNILLDAEMNPKVADFGMARLFNMDETRGETSRVVGTYGYMAPEYVRHGQFSAKSDVYSFGVMLLEMISGEKNKNFETEGLPAFAWKRWIEGELESIIDPYLNENPRNEIIKLIEIGLLCVQENAAKRPTMNSVIAWLARDGTFNIPKPTEAAFVTLPLSVKPANGSMNKLKDKDPFSVDEVSITVLNPR >Al_scaffold_0006_3517 pep chromosome:v.1.0:6:22393838:22396219:1 gene:Al_scaffold_0006_3517 transcript:Al_scaffold_0006_3517 gene_biotype:protein_coding transcript_biotype:protein_coding description:cysteine-rich RLK (RECEPTOR-like protein kinase) 37 [Source:Projected from Arabidopsis thaliana (AT4G04500) TAIR;Acc:AT4G04500] MGKSGVVTSSLSLLLFFLQTLKYVHAGSICYGDFFNVNYGVSRNYLFSSLPSNVVDNGGFYNASFGRDSKNNRVHVVALCRRGYEEQACKTCLEHVIEDTKAKCPRQKESFSWVTDEFDDVSCSLRYTNHSTLGKLELLPSTINPNPNSIDSKFNNMTMFSQEWIAMVNRTLEAASTVETSSVLKYYSATRTEFTQISDVYALMQCVPDLSPGNCKRCLRECVNDFQKQYWGRQGGGISRPSCYFRWDLYPFYRAFENVTRVPAPPPQASSTILDYGRDKQSFQGSNIAIIVVPTVINLFIFVVLIFSWKRRKPSHTGINEALDGQSMLRFDLRMILTATNNFSLENKLGQGGFGSVYKGILPSGQEIAVKRLTKGSGQGGMEFKNEVLLLTRLQHRNLVKLLGFCNEKDEEILVYEFVPNSSLDHFIFDEEKRRLLTWDVRYRIIEGVARGLLYLHEDSQLRIIHRDLKASNILLDAEMNPKVADFGMARLFDMDETRGQTSRVVGTYGYMAPEYATYGQFSAKSDVYSFGVMLLEMISGKSNKNLEKEEEEEEELPAFVWKRWLEGRFAEIIDPLAVLSNNISMNQVMKLIHIGLLCIQENVSKRPSINSILFWLERHATTTMPVPTPVAYLTRPSPSQSSSLCR >Al_scaffold_0006_3519 pep chromosome:v.1.0:6:22401823:22404352:1 gene:Al_scaffold_0006_3519 transcript:Al_scaffold_0006_3519 gene_biotype:protein_coding transcript_biotype:protein_coding description:cysteine-rich RLK (RECEPTOR-like protein kinase) 39 [Source:Projected from Arabidopsis thaliana (AT4G04540) TAIR;Acc:AT4G04540] MGKYSVLMILIASFLLLVLQNVEIVNAVGCTGSFFNGNSSYAQNRRELFSTLADKVVTNGGFYNASLGKSPNRVHAVALCGRGYEQQACISCVESAIRGILTTTSCLNRVDSFTWDKDEEDNVSCLVSTSNHSTFGNLELRPSVRYQSPNSIEPSKNMTLFEQEWNAMANRTLESAKEAETSSVLKYYSAEKAEFTEFPNVYMLMQCTPDITSQDCKTCLGECVTLFKEQVWGKQGGEVYRPSCFFRWDLYAFHGAFDNVTRVSAPPRPQAQGKESSITEKKGRSIGYRGIIAIIVVLTFINLLVFIGYIKVYGRKKESYNKINVGSAEYSDSDGQFMLRFDIGMILMATNDFSSENTLGQGGFGTVYKGKLLNGQEIAVKRLTKGSGQGDIEFKNEVSLLTRLQHRNLVKLLGFCNDGDEQILVYEFVPNSSLDHFIFDEEKRSLLTWEMRCRIIEGIARGLLYLHEDSQLKIIHRDLKASNILLDAEMNPKVSDFGTARLFDSDETRAETKRIAGTRGYMAPEYLNHGQISAKSDVYSFGVMLLEMINGERNNSFEGEGLAAFAWKRWVEGKPEIVIDPFLIENPRNEIIKLIQIGLLCVQENPTKRPTMSSVIIWLGSETIIIPLPKAPAFTGSQSQSENGIMSMSDDVFTELSCR >Al_scaffold_0006_3520 pep chromosome:v.1.0:6:22413833:22414579:1 gene:Al_scaffold_0006_3520 transcript:Al_scaffold_0006_3520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1R4] MSLPPILSPIQSQGWVVFHNTQTLSLHVGRVDPRAKFVSGGPYHRRHLRLSTDEVSPNLWFGEVIWVFDPGINRQILLIEGSGIHDNILWSWILSDDGDVHIIPQLDYSGFMEEQIMVTNRQRWIRRYKRHDSEPFQAIYQCVRLFKVMRKAFGNFIYKMLAFYEYMKRVLNRCHLLPIRLSFGKQGYFHFFIEIVFNFFYFIQWLYFNGSCIMYDVTLL >Al_scaffold_0006_3523 pep chromosome:v.1.0:6:22436135:22437034:1 gene:Al_scaffold_0006_3523 transcript:Al_scaffold_0006_3523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1R8] MKLLKKKSRHRSNVVKNSEKRQVNSSPEKVIEIPSNPPEPESTSMGIWFSMLQEEGKAGPQTSTKEADMPDSKIDGLDYGVPSDQEEPKKDAAVEGSDAQIHDRVHSTKSVQTKVTYVEDPDYPDGFGLNKKSL >Al_scaffold_0006_3529 pep chromosome:v.1.0:6:22467465:22468516:-1 gene:Al_scaffold_0006_3529 transcript:Al_scaffold_0006_3529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M1S6] MEEAAVASKKGYEINKEDAWAHHCLCHVLQHECRFKEAVEFMEALAKSWPSCSSFIRYSLKFFLRVPSRANRVRIQ >Al_scaffold_0006_3531 pep chromosome:v.1.0:6:22474509:22477508:1 gene:Al_scaffold_0006_3531 transcript:Al_scaffold_0006_3531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1S8] MVLHSKAPAKKQQNKRIAFKKIKRKLGRKLPKIQKSKEMILPEQSVAAEKRKGLTLNDLLQQTSHCNAKLRKDIESRDHLFLICAFSQAIWRLVFLKLDPNRSLLLSWSELLSWRQATCQTFLPYEGKSQPIQSSMKPHDDAYEAQTLKLPLFLRDEETRKLPVRRGGRNLTSLLETTPKNGDGEAPKNGDGKAPKNGDGEAPKNGDGKAPKNGDGKTGNHR >Al_scaffold_0006_3536 pep chromosome:v.1.0:6:22501258:22502540:1 gene:Al_scaffold_0006_3536 transcript:Al_scaffold_0006_3536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1T3] MEKKPKANLVPEEALMKIWGRFSLRSIARFRSVCKEWKSMIDSDVFRDLYESLNSSSSSVSWSIMSTRNKTMSLEIVGHHGCERWGLTESLGSFITRHNPSETTTVRKTCVLSCTDGLVLLYTETIEGAPMYHVGTPCCDNGFRSLFLHISLIEKGIAVGYKVVWTLVSGLVSNELTFMIYSSETGLWITKEVRCLRSLIWTRLAHSVPLNGFLHCLATIDNSSVDANYVVGYDFYNGGGDVYPIIPFPDIQKFQATRLFKRTMTTSAGFVVYFNVYSDDNEGERAIRVYRLVSTNEHPNSWQLLWKVNTKGGGVDYLPVVMHPLNSDIIYCWSCNKNALVLFDLRARKHSFHKEEKKNKSMDGCIMTFTGCKEYMDLIYPKFVNDMYGAAHNLFFSQYVHFSHAG >Al_scaffold_0006_3539 pep chromosome:v.1.0:6:22519785:22525628:1 gene:Al_scaffold_0006_3539 transcript:Al_scaffold_0006_3539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G04750) TAIR;Acc:AT4G04750] MAEESLLLSHTEDLSASPNKSSSLVSEISNASTRPFVLAFTVGSCGALSFGCIVGYTAPTQTSIMKDLNLSIADFSFFGSILTVGLIVGALICGKLADLVGRVYTIWITNILVFISWLAIAFAKDVWLLDLGRLLQGISVGISSYLGPIYISELAPRNLRGAASSLMQLFVGVGISVFYALGTVLAWRNLAILGSIPSLVVLPLLFFVPESPRWLAKVGREKEVEGVLLSLRGAKSDVSDEAAAILEYTKHVEQQDVDSRGFFKLFQRKYALPLTIGVVLISVPQLGGLNGYTFYTDTIFTSTGVSSDVGFILTSIVQMFGGILGVLLIDISGRRSLLLVSQAGMFLGCLATAISFFLQKNNCWEKGTPILALISVMVYFGSYGLGMGPIPWIIASEIYPVDVKGAAGTVCNLTTSISSWLVTYSFNFLLQWSSTGTFMMFATVMGLGFVFTAKLVPETKGKSLEEIQSVFTDSPPEDSTVF >Al_scaffold_0006_354 pep chromosome:v.1.0:6:1470130:1470978:-1 gene:Al_scaffold_0006_354 transcript:Al_scaffold_0006_354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LXI7] TDSAGMYKSLSSCLEKKEDEAEPEKVVVTLKAIVRKQDINILFVECGEEFVELLLSFLAVPLKSVWEISGSGISLGCIGNLCRSFSDLNANKGTELSTSTCALPSFYRFQMQVPRIITQQPPVYYRYTDCRQVSYGWCDYKIVRVDLMDPKSRGSDKSTHGFLKKETKFTVLDDLTITSMNSCSSVCLLKKLQSHADDLEVQVVSISNAEEETDLWNPVSKKVKEET >Al_scaffold_0006_3547 pep chromosome:v.1.0:6:22559484:22563871:1 gene:Al_scaffold_0006_3547 transcript:Al_scaffold_0006_3547 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 3 [Source:Projected from Arabidopsis thaliana (AT4G04850) TAIR;Acc:AT4G04850] MAIIRQHNGRLKHCVFTVKSSVPVYSEGVNDGIKLHSFGNLVKTRRFFLDTSRRFYFQGRWSEFSGRRVQTYAGVDVASAVDVINDLGFDTLTFLMVTVIIVPAFRVLKASPILGFFFAGVVLNQFGLIRNLTDVKVLSEWGILFLLFEMGLELSLARLKALAKFAFGMGLTQVLLCTAAFTAFELPPNGAIGTKILEFLFHSRSDLVNIRSIDEAVVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNLGGESIWPMLAKESAKALGGLGILSLGGKFFLRRIFEVVAETRSSEAFVALCLLTVAGTSLVTQWLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMEVLFREWPNVLSLLGGLIVIKTLIITAIGPRVGLTIQESVRVGFLLSQGGEFAFVVFSLANRLGVLPNELNKLLIIVVVLSMALTPYLNQLGRKAADFLDERLDPGDKIDENVNFDVSESIVIIGFGQMGQVLANFLSTPLVSDSDLVGWPYIGFDLNPAVVKESRKLGFPILYGDGSRPSVLQSAGVSSPKAIMIMYKGKKRTTEAVQRLRLAFPGSPIYARAQDLPHLLELKKAGATDAILENAETSLQLGSKLLTGFGVMSDDVSFLSKVFRDSMEIQAQEEITASETNAGLKPMQMKASDINVESGAQQVQLMKPMQMKASDSNSDSAAEILQETDSLSQSQIDDSSVNIDNGFVGKADKAQE >Al_scaffold_0006_3549 pep chromosome:v.1.0:6:22567122:22569165:-1 gene:Al_scaffold_0006_3549 transcript:Al_scaffold_0006_3549 gene_biotype:protein_coding transcript_biotype:protein_coding description:cardiolipin synthase [Source:Projected from Arabidopsis thaliana (AT4G04870) TAIR;Acc:AT4G04870] MAIYRSLRKLIEINHRKTRPFFTAATAASPSGGTVSLIPPQFSPLFPHFSHRLSPLSKWFVPLHGPLFLSSPPWKLLQSATPLHWRGNGAILKKVEALNLRLDRIRSRTRFPRHLGLQSVVPTVDRNDSKEEDGGILKSFVNVPNLISMARLVSGPALWWMISNEMYTSAFVGLAVSGASDWLDGYVARRMKINSVVGSYLDPLADKVLIGCVAVAMVQKDLLHPGLVGIVLLRDVALVGGAVYLRALNLDWKWKNWSDFFNLDGSSPQKVEPLFISKVNTVFQLALVAGAILQPEFGNPDTQTWITYLSWLVASTTMASTAAYGVQYWKKRPISMIKRS >Al_scaffold_0006_3560 pep chromosome:v.1.0:6:22650206:22650942:-1 gene:Al_scaffold_0006_3560 transcript:Al_scaffold_0006_3560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1V7] MKRIDKKGRKRESKDQREDFVERVTVDCGGGCGVTEGGGEAGGVGGGDDFAPPLSGMEDLRSAGEK >Al_scaffold_0006_3561 pep chromosome:v.1.0:6:22671509:22672257:-1 gene:Al_scaffold_0006_3561 transcript:Al_scaffold_0006_3561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1V9] MGGSWSIEMPCLDSALIYTNSCPDDKFLRSLSSVPYLWLYVTDETVVWCSTIKFYRLTECRIFPEENSDRMKPIILLLRTAPKLKHLIVDVEIDEKQRWEEFSRLLSGWNMEEEKKRSNS >Al_scaffold_0006_3566 pep chromosome:v.1.0:6:22709439:22710618:1 gene:Al_scaffold_0006_3566 transcript:Al_scaffold_0006_3566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M1W5] AYIEKEDGKKLKQKKCERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSLGELYFEGKGFLVKLRETKPGTLSHDLKEALGMPEGAPPPWLINMQRYGPPPSYPHLKIAGLNAPIDGWGKPPVDEHGRPLNGDVFGVQQQHQPKYEEEPIDKSKLWGDLEEEEEEEEEEEEEQEEEMDDDEMEDGIVIFEDTRRQGKKRNCGISQRASVTWLQ >Al_scaffold_0006_3568 pep chromosome:v.1.0:6:22714601:22715321:-1 gene:Al_scaffold_0006_3568 transcript:Al_scaffold_0006_3568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M1W7] MSSGRLCGFTPITFCRKISRLQVARGLALKRLIKGKHEFRRASTSKKNNVEKKKNKEIKRTVSQISSSVAQASPKSFNSTKHVSTPRATASPLSVKNGKKKANTPKKNTLEGSSPKCSANFILMVELRKNIFAFRDMIDLPSLDGSLSVTE >Al_scaffold_0006_3575 pep chromosome:v.1.0:6:22749588:22750221:-1 gene:Al_scaffold_0006_3575 transcript:Al_scaffold_0006_3575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1X4] MSVSMRCEKCRSEALKIGAKTTGVTFVGIEGEEKDKVVVIGEGVDAACLVVRLRKKVGFADIISVTDVDDT >Al_scaffold_0006_3576 pep chromosome:v.1.0:6:22754695:22755195:1 gene:Al_scaffold_0006_3576 transcript:Al_scaffold_0006_3576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M1X5] MENDKARNANKEALTMLNEDEMEFESKNLQCLVKEKALFISELGNLADNISPGVIRSLVALKDKSLV >Al_scaffold_0006_3577 pep chromosome:v.1.0:6:22755865:22756083:1 gene:Al_scaffold_0006_3577 transcript:Al_scaffold_0006_3577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M1X6] FTNMNSMRNLIITPKFFVKRKTEAWLGGSSSEYSKAWWIKFRIFRVDNRIEEFYTLPYNLNGFFPPILLVKA >Al_scaffold_0006_3584 pep chromosome:v.1.0:6:22789113:22790556:1 gene:Al_scaffold_0006_3584 transcript:Al_scaffold_0006_3584 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 74 [Source:Projected from Arabidopsis thaliana (AT4G05100) TAIR;Acc:AT4G05100] MGRSPCCEKKNGLKKGPWTPEEDQKLIDYINKHGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSIMGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLKMGIDPVTHTPRLDLLDISSILSSSIYNYTHHHHHQHMNMSRLMMGDGHHQPLVNPEILKLATSLFSNQTHPNKTHENHTVNQTEVNQYQTGYNMTGNQELQSWFPIMDQFAEFQDLMPMKTTVQDSLPSFPSNHEDDYSKSNSVLEPYYSDFASVLTTPSSSPTPLNSSSTTYINSSTCSTEDEKESYYSNNVMSTSEIADYSFDVNGFLQFQETKNNWDRVM >Al_scaffold_0006_3588 pep chromosome:v.1.0:6:22839967:22841367:-1 gene:Al_scaffold_0006_3588 transcript:Al_scaffold_0006_3588 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G05170) TAIR;Acc:AT4G05170] MTEEFEIAGISTGAWWSSPTNTATVFSGYSLPRSTEISLDVTNFGWQNFDNKINDPNDGFINMHNSFFEGLFDPNEQLLPDSWPKSTVPNAKSELLESFPFLDNMFLIDTEAESFLDHEIRNHNSKEQTTQDCKNFTSKGSERTEELEENSDEYSPRLLKRQRLETLSPLPSFKVRKEKLGDRITALQQLVSPFGKTDTASVLNEAVEYIKFLQEQVTVLSNPDQNTIGSVQQQQCSDKKSMNTQEEEEEEEECSPRKHVDLASRGLCLMPISASYPVAAAAASAAEMNLHLISGIFHSV >Al_scaffold_0006_3596 pep chromosome:v.1.0:6:22877438:22879198:1 gene:Al_scaffold_0006_3596 transcript:Al_scaffold_0006_3596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M2H9] ESPIPSNSIGDLIYGEDLPLTTEVVLKIRPFCPVETGEIIYVQDSPVRNNDQAPPSDAAKQIINAEEYFEGQEWPLTEEEIRKIYSYQPETTQGISKVQDSQAIVRSDKNVSTSMKVESNNNNDQVQYPPSLLNIRFGNLRR >Al_scaffold_0006_36 pep chromosome:v.1.0:6:150718:153675:-1 gene:Al_scaffold_0006_36 transcript:Al_scaffold_0006_36 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation/H+ exchanger 27 [Source:Projected from Arabidopsis thaliana (AT5G01690) TAIR;Acc:AT5G01690] MENITKTFQYGGVEWLCEPWVGAGSLGIGRGENPLKFALPLLLLQISVFTIFSVSLQFFLRPFGKFAFLTQMLAGICLGPSVIGRNKQYMSTFFYTRSVYIIESFEAICFLFICYITTCQVDTRMIKRVGKLAFINGILLFLIPFVWGQFAAILISKRLGGGPAGIPPVEFHHIAIVESTMFFQVVYGVLSSLKMLNTEPGRLALASMMVHDCLSWCFFMLNIAIKLNVDLPNKNRAAFLSVLQICWFTLLLWCGRLRSSHPKTSASRHGTVRQNRVAKMIAIALPSLYYNVPLWQAILVGFIVNIQGLYDVQIYKQNLNYTKISSKSFGAMVMSATVNSTIVIVIVKKLYQTMSKRNPYKRRTVQHCRVGAPLRILTCFRNREAVRPVLDLVELSRPAIGSPLSVFAVNLEELNNHSLPLLIHHTQEISPFIVPSRRDQIVKAFHNFEKTNQETVLIECFTAVAPRKTMHEDVCAIAFDQETDIVILTLDAGIESWERLLCRNLLQNCPCSVALFIDRGRLPDFRFVPLKKLTINICAIFLGGPDDREMLAYATRLASHPSVDLHVFRLVDQNGVSPLRDMVERNHDMRVINVFRKENSKKNIIFREVRIEEAVDLLDLLRKEGDDFDLMMVGIRHEENLLMLEGLSEWSDMKELGEVGDVLISKDLELSVSVLAVQQ >Al_scaffold_0006_3607 pep chromosome:v.1.0:6:22938343:22939353:1 gene:Al_scaffold_0006_3607 transcript:Al_scaffold_0006_3607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2J5] MKEEEVNRCQIQNWYPKFKSLTIKTKFHKLPESFINYLIDDSGPFLLPDSVTNEDAMPNRVHNFEEEDDFQVPEESSDNETEPPLNRPSFPELEIEVRESIETLGGIIIPKLNWSAPKDAAWISPSQNLSCTCFNEIALLFRSSDSLIHDLCNAYDSCIDKVSSRPESFYLALRKWYPSLKPEMEFRCFVKSNDLVGICQREVTTFYPVLLNEKDLIKGLIEEFFDDQIRFEFESQNYTFDVYVTKERKVKLIDFNTWCGSTLPLMYTWEELEKIHGECDELELRIVESRLSVLPGLKTAVPYDYLDVSPGSGWDQVLKIAEEEFQKENQSSDEFA >Al_scaffold_0006_3613 pep chromosome:v.1.0:6:22996977:22997414:1 gene:Al_scaffold_0006_3613 transcript:Al_scaffold_0006_3613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M2L4] YLQRFLNQTILKRSASVPEGHVLVYVGDEMERFVVSAELLNHPVFIGLLNRSAQEYGYEQKEVLQIPCHVLVFERIME >Al_scaffold_0006_3616 pep chromosome:v.1.0:6:23012213:23014510:1 gene:Al_scaffold_0006_3616 transcript:Al_scaffold_0006_3616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2L7] MVRLKKAEMAKPKLTSVKPEWDVYSFDEQFSSGEEEALETYSIVKRQLIESKFKFALKTMQQGQEKKMLQVDLKAEAEKTDGGVGTGNQNKNLKTLTKEMLYMRLGLY >Al_scaffold_0006_3617 pep chromosome:v.1.0:6:23023716:23024576:1 gene:Al_scaffold_0006_3617 transcript:Al_scaffold_0006_3617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2L8] MVLKPCVVETTIKVDSSKFAIEEEGNHKIEDLDDDDDDIIKVPEWDVDSFDGLEYYSSPEAQLSSDEQPLSDEEEALDNYRIVKRQLIESKGFYVEPGRRLIYLFKGIKPMSLDRNAIGAITFREYWEEMVRKVELVEVVRGNYRGGARAKEKPNGTLVEYQAKCMDTLDGKSHPILCRPAPKPKT >Al_scaffold_0006_3624 pep chromosome:v.1.0:6:23060496:23062207:1 gene:Al_scaffold_0006_3624 transcript:Al_scaffold_0006_3624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M2M6] DLPLTTEVVLKIRPFCPVETGEIIYVQDSPVRNNDQAPPSDAAKQIINAEEYFEGQEWPLTEEEIRKIYSYQPETTQGISKVQDSQAIVRSDKNVSTSMKVESNNNNDQVQYPPSLLNIRFGNLRR >Al_scaffold_0006_3625 pep chromosome:v.1.0:6:23069028:23069772:1 gene:Al_scaffold_0006_3625 transcript:Al_scaffold_0006_3625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2I1] MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPLDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Al_scaffold_0006_3628 pep chromosome:v.1.0:6:23087668:23088895:1 gene:Al_scaffold_0006_3628 transcript:Al_scaffold_0006_3628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2N0] MKKKPDYPLIDLNSSPKPAKRSRVIQTPTSQSQITQTYGSKSNYPLLDLNSSPKTEERVQVGQTSPSQCQITQAPCSKTNYPLLDLNSSPKPDKRVQVGQTPTSQSQITQTTICLGKPSGYTPVPEENNCHIRNLQDAFANASFSPTDKADESTVEFTTPTISVSRKSVERKAVTQTINQPKKRGRPRKNVPTKRAAAKAKKTG >Al_scaffold_0006_3642 pep chromosome:v.1.0:6:23159454:23163738:1 gene:Al_scaffold_0006_3642 transcript:Al_scaffold_0006_3642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2N9] MAELELPSRLFADREEPAGDRVNMYFKLNTIKAVLKALTPEELDTIRPCFGKLLDVYSKPVFSGKLAHFLLTRQLNVAVPAVLSAVGEITDPESGDEDVFPVISLKLDKVWDLDKDSKVDVFSIIPAPHDVVGLEDCSWADEVRELGAEVILSKIEEGYEFNRGMFVGGLRGAVLHVEAPPRVVNKDLKEMELRLERSMKLSIFSAVAEALRSREIVKSVVTEVGVGTSDPYSQPPANGASNPVNPAKVASILVNPADGASSPVYPTASSNLNGESEEAEDSSSGSEDSTKSQASGGTIDGEGGSVPEAALESDGTPSSEKFKKLVELLEPRFDFEYGGGIVLKESELRLVASSIPPDNPQVMDACVSVMRESVFINTNPAAVPRADMLTSHFHGSLAVMFSKFKKCRRKESFEFDEDLLSSITHRFSSTGRKWLEAIDYLYSPFNIDKNRWIAVMVDLPSHSLFVFDSTTNALRGSRLKPELEFLCEIFPYLVRKIGANDLMINYPLSPLSFTRHTRITQASDRANTGMLSLLFMEAHAFGGFDKVCQVSEAGLRQRAEQLAVQLYEHCCGDIEITILKGLQLTTPKKGYSGLCLRSRGHLKAYDSYNTKTRFQCGVHRLKAYPFQPIKESEGGSSFTVQDIGNEFTVGFFECDCGKQALVRQAWTDANPGRRFYRCGAGWRSECNYFRWKDIEKPHGWQYKALLEARDIIKAQDAELKRLRETQAEGNRIYPVEVGLLEFQTKIEHLEKESIVLKSDLKASNEKEQTLREVLIISWIGFICVLATVVHAFK >Al_scaffold_0006_3647 pep chromosome:v.1.0:6:23185321:23186187:-1 gene:Al_scaffold_0006_3647 transcript:Al_scaffold_0006_3647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2P3] MEKEILKLNLKPAEATATMLSSGNNNNNSNGKYVERTEVAGLRNVKEEKEKRMKELETKLEYLEERRLKDLVKKHSEFISYPIYLWTEKTTEKEISDDENEDEPKKENEGEVEEVDEEKEKEDDKNPEK >Al_scaffold_0006_3656 pep chromosome:v.1.0:6:23220657:23221716:-1 gene:Al_scaffold_0006_3656 transcript:Al_scaffold_0006_3656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2Q3] MATTIDRISRTFLASRNQSPYLNKHLKPIRLCFSNFGSSFPKSSLQRKIVPLLDVDFASSSSSINHIFVHDRRLTKPVRTLRASKDENSIPGAANVLLKRLFLTFNIIMGMLLLAISEFFPLIELNRRLGMYFMMVFGLSCIWCLVDSLLCVMAGIYRLIHVIISTFNWPVLRTLLYYSFIVLKELLVFYCKLRICEFCLGIFSLVMILSDFK >Al_scaffold_0006_3672 pep chromosome:v.1.0:6:23268398:23270310:-1 gene:Al_scaffold_0006_3672 transcript:Al_scaffold_0006_3672 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G03140) TAIR;Acc:AT4G03140] MFQIGKNALYKNVSKNFLINGISSSASSHSCSRKLEGKVALITGGASGIGKATAGKFISYGAKVIIADIQPQIGREAAQELGPSAAYFPCDVTKESDIANAVDFAVSIHTKLDIMYNNAGIPCKTPLSIVDLDLNVFDKVINTNVRGVIAGIKHAARVMIPRNSGSIICAGSVTGMMGGLAQHTYSVSKSAVIGIVRSTASELCKHRIRVNCISPFAITTSFVMDEMRQIYPGVDDSRLIQIVQSTGVLDGEVCEPSDVANAAVYLASDDSKYVNGHNLVVDGGFTSVKTLDFPAPDQVK >Al_scaffold_0006_3675 pep chromosome:v.1.0:6:23277419:23279263:1 gene:Al_scaffold_0006_3675 transcript:Al_scaffold_0006_3675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT4G03115) TAIR;Acc:AT4G03115] MCRRICVVVEGKEELRKHQNLLPPFSKVVSHFGTSGLSVALATGVTHPLDVVKVRLQMQHVGQRGPLIGMTGIFVQLMKNEGFRSLYLGLTPALTRSVLYGGLRLGLYEPTKVSFDWAFGSTNVLVKIASGAFAGAFSTALTNPVEVVKVRLQMNPNAVPIAEVREIVSKEGIGALWKGVGPAMVRAAALTASQLATYDETKRILVKRTSLEEGFQLHLCVVAGVLSTLITAPIDMIKTRLMLQQGSESIRIYRNGFHCGYKVVCKEGPLALYKGGFAIFARLGPQTIITFILCEKLRSLAGLHTM >Al_scaffold_0006_3688 pep chromosome:v.1.0:6:23352815:23353901:-1 gene:Al_scaffold_0006_3688 transcript:Al_scaffold_0006_3688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3B4] MAEPEPRDDPEYMKNFPNGFLDFDVSDTCFEEDRDFNLEEEPTKINPEICVDVFARKPQVKDSASCSAIINEATKKTYASSSRNREDSSESGEIRRVDNKEDDRNDGDVRKVNTISGLLNSQESDPQQQLTRTNPGSTSSTSDRNNTRQLIGMQSQLSDDHHNLYQHSMASQQNFYQLPVVNQIRGPALVVHNYEPWRLQNTYHQHPSANQMTNPMPGPMWPIQHPMAYQQNFYQHPIGQPRSFAIGQNYSNPRHARPELNQQMLQRVPQTQQPTQSQGSSEQGQDQQQPR >Al_scaffold_0006_37 pep chromosome:v.1.0:6:155072:157748:1 gene:Al_scaffold_0006_37 transcript:Al_scaffold_0006_37 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation/H+ exchanger 26 [Source:Projected from Arabidopsis thaliana (AT5G01680) TAIR;Acc:AT5G01680] MNNSVRNGTHEFVCEAWLGSSSGGLLRGDDPLKYSTPLLLLLISLVSSLSSVFQALLRPLANVDFVTQILAGIFLGPSALGQNIDLVTKFFNTRSFFIIESFEAISFMFISYISTAQVDMGVIKRGGKLAIINGFSLFLFPYVVGAIACTVITSNIRGTVAKNKPEQLHDLLTNQSVVYFQVAYSVLSNLKMLNSEPGRLALSSIMVANCFGWGFFLLLITFNSFLQHNYSKATYMPTFTKVLLLVGIVVVSRPIFNWIVKRTSEGKKLKASHLCTICVMLCTATFLAETVGFPYIVGSVALGLVTPKTPPLGTGLTDKIGSFCYAVLMPCYVIGIGNKVDFFSFQLRDVISLELLFLIISAAKFAAILLPSLYFKVPLSHAVIVGFIVCIQGIYDVQIFKQLLNYKNISQEAFGIMVISAMVHSTIFSTIVKNLHGWVQRKHITYRRQTVQHYEPNSPLKILTCFYHRETVPSILTVLELSSCMSSASSLSIVSVNLEELERHNVPLLIQHHPGPIDHAGHNDESSMSSSRRDQISKAFEKFQSGHDLQENVSVECFTAVAPSKTMHEDVCTLAFDKGTDLIIIGIEDGTAAERRLCRNVLNVSPCSVAVLMDQGRLPDFKNMGTTMKNGSMRINVCSIFLGGADDRETLAFAVRLSNHPCVNLTVLKLVDGENVSHLNDVIERRLDFRTMEKFRQDTMNKHNVTLREEASDLVNLLREEGNNYDLIMVGIRHEESFQVLQGLSVWSEIEELGEIGDLLVSSDLKLTASVLAVQQQLSSVVEEA >Al_scaffold_0006_3732 pep chromosome:v.1.0:6:23604533:23607709:-1 gene:Al_scaffold_0006_3732 transcript:Al_scaffold_0006_3732 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:D7M3G1] MGPGGEGMSLEFTPTWVVAAVCTVIVAISLAVERLLHYFGTVLKKKKQKPLYEALQKVKEELMLLGFISLLLTVFQGLISKFCVKEDVLLHMLPCSLDSRREAEANEHKNVTAKEHFQTFLPIVGTTRRLLAEHAAAEAGYCSQKHKVPLLSVEALHHLHIFIFVLAISHVTFCVLTVVFGSTRIHQWKKWEDSIADEKFDPETALRKRRVTHVHNHAFIKEHFLGIGKDSVILGWTQSFFKQFYGSVTKSDYVTLRLGFIMTHCKGNPKLNFHKYMMRALEDDFKQVVGISWYLWIFVVIFLLLNVNGWHTYFWIAFIPFALLLAVGTKLEHVIAQLAHEVAEKHVAIEGDLVVKPSDEHFWFSKPQIVLYLIHFILFQNAFEIAFFFWIWVTYGFDSCIMGQVRYIVPRLVIGVFIQVLCSYSTLPLYAIVSQMGSSFKKAIFEENVQVGLVGWAQKVKQKRDLKAAASNGNEGSSQAGPGPDSGSGSVPAAGPGAGFAGIQLGRLTRNNAGDTNNEITPEHNN >Al_scaffold_0006_3738 pep chromosome:v.1.0:6:23637074:23637546:1 gene:Al_scaffold_0006_3738 transcript:Al_scaffold_0006_3738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3G6] MGIEFEDIFSMRLTEISWISLSSFSLSRVIGTFRPTDHRFMIHWKSTTWFRNIQPMSYDNFFKFASFEDIKSGSLDTNICVDLIGRVVAVGNRNEEGPPDNEWNEIFFDIENIDLPRKARIPALI >Al_scaffold_0006_3747 pep chromosome:v.1.0:6:23686820:23687573:-1 gene:Al_scaffold_0006_3747 transcript:Al_scaffold_0006_3747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M3H4] LTQPESWSTNAIYKLTTMFASSSKAGLFFKNFLLPREKEDIRTHKKLHFFLYQSLRKTLFNPKAFYLGIVLCVSAALVCLAELEFYGPTSFFMKVILEKRYAMAYRAVDAVTAHFLRIHKETKVMPVIWHQTLLAFVL >Al_scaffold_0006_3749 pep chromosome:v.1.0:6:23693646:23695460:1 gene:Al_scaffold_0006_3749 transcript:Al_scaffold_0006_3749 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02450) TAIR;Acc:AT4G02450] MSRHPEVKWAETTEKIFLTVVLADTKDAKVNLDPEGVFDFSAKVGPENHVYELKLELHDKVNVEESKINIGERSIFCIIEKAEPERWNKLLRVKKPPHYVKVDWDKWVDEDDEGSAGAADMDMGGMEGMGGMGGMGGMGGMGGMGGMGGMGGMGGMGGMEGMDFSVSLRNDFILDPCFVQKLMGGMGGMGGLEGLGGMGGMGGMGGMGGMGGMGGMEEFEDSDDEEETAKSGDKKDDAVKEEAPATEKAPAAEETTSVKEGK >Al_scaffold_0006_3768 pep chromosome:v.1.0:6:23793004:23795086:1 gene:Al_scaffold_0006_3768 transcript:Al_scaffold_0006_3768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7M425] MNNPNRESPIHIIFKLLPLIFFIIFLSTVVSSHSPSYTTHKTHRLTETKTIPELIIADLNLTILKVNLASSNFSDLQTRLGPNLTHCERCAFEDCLGLLDDTISDLKTAISKLRSSSFEFNDVSLLLSNAMTDQDTCLDGFSTSDNENNNDMMYELPENLKESILDISNDLSNSLDMLQMISGKNSTLESSEVDVEYPSWVSKNDKRLLEAPVQEITNFNLSVAIDGTGNFTTINAAVSAAPNKSDTRFIIYIKGGEYFENVELPKKKTMIMFIGDGIGKTVIKANRSRIDGWSTFQTATVGVKGKGFIAKDISFVNFAGLAKEQAVALRSGSDHSAFYRCEFDGYQDTLYVHSAKQFYRECDIYGTIDFIFGNAAVVFQNCSLYARKPNPEHKIAFTAQSRNQSDQPTGISIIHSRILAAPDLIPVKENFTAYLGRPWRKYSRTVIIKSFIDDLIHPAGWLEWKKDFALETLYYGEYMNEGPGANMTNRVTWPGFRRIENETEATQFTVGPFIDGSTWLNSTGIPFTLGF >Al_scaffold_0006_3773 pep chromosome:v.1.0:6:23832330:23833132:-1 gene:Al_scaffold_0006_3773 transcript:Al_scaffold_0006_3773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M430] MVLLVLELMNWRRKHKKRVALQRQAAVTVEAAEDNARRFESDVYDYQHNMGLLLLEKNELMSKYEEIKASAVSERESSLLKKEQELLVAEENIASKES >Al_scaffold_0006_3776 pep chromosome:v.1.0:6:23837055:23839259:-1 gene:Al_scaffold_0006_3776 transcript:Al_scaffold_0006_3776 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (MYND type) family protein / programmed cell death 2 C-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G02220) TAIR;Acc:AT4G02220] MRSFNRDSMDDFKGLRITQLDDDDDDETAVEPTNMDEFDDDDDEEDDEDYEPVMLGFVESPKFTWSNLRQLFPNLAGGVPAWLDPVNLPSGKSILCDLCEEPMQFVLQLYAPLTDKESAFHRTLFLFMCPSMSCLLRDQHEQWKRAPEKAMRSTKVFRCQLPRVNPFYSSEAPKHDGTDKPVGDGAPLCTWCGTWKGDKLCSGCKGARYCSQKHQALHWRLGHKTECQQLRTVIETSESGRVNNGVALTQKQKVASKSLWKEFVMINEDESEYDTEMSGDDEIAKPLVSKREVDDQMKSLMNDFEGDADKKTWVNFQQRVAKAPEQVLRYSRSSGAKPLWPIASGRVSKSELPNCKSCGGPRCFEFQVMPQLLFFFGGKNDRESLDWATIVVYTCENSCDSSLSYKEEFVWVQLYSQTT >Al_scaffold_0006_378 pep chromosome:v.1.0:6:1576218:1579284:-1 gene:Al_scaffold_0006_378 transcript:Al_scaffold_0006_378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXL4] MDSSAFRKTVWKNPFNFINTIKVPRGGLGDSYSHVKEVTNDLINSQIPSIKLHEKVTDVYYDYMQLSKGISQGSVEVVKDVLNRRPGAVDEWINPYETPLLKACACGKPEIVKELLRRMKPEQMLPKMSRHTSYHTPLTVVAVTGNMEIAKYLLDKNFGLLKMPDINGQLPAVVAIENGHKEMAWYFYVQTMRPLLLDQDGYHGTLLIINAIYYKMIDIALYFLSEETRYKMIDIALCFLCAKTRYLAVTKHLQIESTPIIVLASKPDLFPSGCRLGPLERIIYDCIQVKLQANPGWFYPKKDQQTTLMRKLLKCLSKWTGIDEVYQLKVMHLQAKKLLLVISEETRAMGLKERSETVGEALLFAVRYGNVDFLVEMIKNNSELLWSTKTSLSRTLFLLAVELRQEKVFSLLYGLDDRKYLLLVERDCDGNGMLHLAGYLSPPCKLSTVTGAALKMQRELQWFKEVEKIVPEIEKQRVNTSGQTPIEIFTKEHQTLRQEAEKCMKYTAMSCSLVATLIFTVTFAAVFTVPNYNSHGKPFHLRDRAFTTFVVSDLISCFAACTSVLIFLGIHTARYSFDDFLFSLPAKMIAGLSILFVSIGAMLIAFSSALFTMMDKEKWIVAPTILLACLPALLFVLLQYPLLKEMIFSTYGKGIFDRT >Al_scaffold_0006_3780 pep chromosome:v.1.0:6:23846373:23853269:-1 gene:Al_scaffold_0006_3780 transcript:Al_scaffold_0006_3780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M436] MDCEGVLMPLVHEHLMMPWNDLRKGDCCGLLEAISVGYYCKSCDFFVHKKCDPPFSSSKSTMDSEGVLRPLIHDHLMMPWNNDLRKGDCCGRFEATSDGYYCKGCHFFVHKTCGESPKYIEHPSHPAHTLQLLSFPL >Al_scaffold_0006_3792 pep chromosome:v.1.0:6:23913460:23918607:-1 gene:Al_scaffold_0006_3792 transcript:Al_scaffold_0006_3792 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT4G02110) TAIR;Acc:AT4G02110] MYSGVRFALVGFNPVHGNSLRSKLVSGGGVEVGQYSQSLTHLIVDKLVYDDPICVAARNSGKVVATGSWADQSFDTGVLLNANSLLFRPLRDLNGIPGSKSLVVCLTGYQGEKYELAKRIKRIKLVNHRWLEDCLKNWKLLPEVDYEISGYELDIMEASAKDSEDEAEDASVKRANNSPLGLRVGVVAAVEMCKPGGKDIPLVQTNLGAQEGSSLCNTSKDNWVTPKKTDRPFEAMVSTDPQQHNYQRESTFQDTSNYVSPVRVANKTPEQGISRMETDGSTSINRSIRRHSSLATYSRKTLQRSPETDTLGKESSGQNSSLRMDDKGLKASTAFNISASKSGSFMERTSLFEDLDKIDMLHGEEFPPMMPQAKFTDGSVSRKDSQKVHHNSETSIPQPPSLLLQELRPSSPNENLRPVMSISDPTESEEAGHKSPASELNTKLLSSNVVPVVDALSTAENIISNCARDEIPERSERSLTETMTGNVLLQEPRSGSPKQNLRVVPTLSDHTESREAAHKLDLSDSAARLFNSGVVPMEADIRTPENSTMKGALDEVPEISVTEPVMRRSSTSPGSGVIGMKDKQETEPPKKKAAPKKSLGTRGRKKNPINQKGSIYLSEPTPKDERNDCLNKGKVSAPVTGNSNQKEISSPVLNTEVVPEMAKHIDSETEAHQGIDSVDNKSLAPEERDHLVLDLMVNQDKLQAKTPEQADGEVEITVLEREFNDVPTEDPSEGVLQLEVDKNTSKRKREAAVGKDSLQSGKKGSSSTGKVGKSSVKKTKKSKKEHDTKANGTLTKDIGDKSADGKENLAFEHKSGKFSSGGDQSPVAGETLARNEAATKDPSDAAVQLEVDTNKGKRRKQATVEENCLQTPSVKKAKISIKEDGAKAKNTVMKDIWIHSAEVKENVAVDEKSGDVSSDGAQSLVAGKSVAKKEAAAKDPSNSAMQLEFDNNKCNHGKEGFVERSSLQSGKKGSSSRVEVGKSSVKKTKKSKKGSGAKATDTVMKDIGDNSAKEKENIAVDNKSRKVGSGGDQSPVSGKPLARKKVAKSAKTGTKADKESKQLRVNPLASRKVLQDQEHEPKFFIVSGPRSQRNEYQQIIKRLKGKCCRDSHQWSYQATHFIAPEIRRTEKFFAAAASGSWILKTDYVADSKEAGKLLQEEPYEWHSTGLSADGAINLESPRKWRLFREQTGQGALYGLRIVVYGDCTIPALDTLKRAVKAGDGTILATAPPYTRFLNQNTDFALISPGMPRDDVWIQEFIRHEIPCVLSDYLVEYVCKPGYALDKHVLYNTNSWAERSFNKMQRRADVCVYH >Al_scaffold_0006_3808 pep chromosome:v.1.0:6:24010511:24011428:1 gene:Al_scaffold_0006_3808 transcript:Al_scaffold_0006_3808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M465] MGKFEIKGWVVACVMLLLMSSQVLGKLSGTGSHGSGKNRRHKHGGGSVGVGAGAGGGASGGIGVGGGVGGGGSIGGGGGVGAGGGAGGGVGAGGGAGGGVGAGGGAGGGGNVGGRGKGHGGKGGGKSGGVGAGGGVGVGGGIGGGVGGGVGGGAGGSGSGGGGAGGGAGGGVGDGGGGVGGVIGGGVGGGVGGAVGGGGVGLGGGGGLVGGGGGGLGGGVGGGANVGVGVGAGGGAGGGVGAGGGAGGGAGGGGSVGNRRH >Al_scaffold_0006_3809 pep chromosome:v.1.0:6:24015717:24018989:1 gene:Al_scaffold_0006_3809 transcript:Al_scaffold_0006_3809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M466] MGQEHIEQVSDKICSFGGLAPGGESASTTVLYFDYNAPSATVLLQLRCCLAACAALLLVLPCCLCCFGHGVLLRLLRPRCCFSCGAASATRCCFGHTVLLRPHGAARCCFGRFGRPHGVAVSATLRPHGAAAATRCCHTVLLRPHGAARCCFGRFGRPHGAAASTTLRPHGAAAAHTVWLRAATRCCGYGAAACYGAAACYGAAACYGAAAAATVRCCGLLRCCCCGYGTVLRLRCCCGYGAAAATVLLRLRCCCGYGAAAATVLLRLRCCCGYGAAAATVLLRLRCCCGYGAAAATVLLRLRCCCGYGAAAATAVLRLRRCCGYGGAAAATAVLLRLRRCCCGYGAAAATVLLRLRCCCGYGAAAATVLLRLRCCCGYGAAAATVLLRLRCCCGYGAAAATVLLRLRCCCGYGAAAATVLLRLRCCCGYGAAAATVRLRLRCGCGYGAAAATVLLRLRCCCGYGAAAATVLLRLRCGLRCCCGYGAAAATVLLRLRCGYGAAAATVRCCCVLRLRCCCVLRLRCCGYGAAAAAATVLRLPTTVRRCGDRL >Al_scaffold_0006_381 pep chromosome:v.1.0:6:1600087:1603612:1 gene:Al_scaffold_0006_381 transcript:Al_scaffold_0006_381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXL7] MPQVGEVPGSSVKEWSDSKSQLLAEEVFFFQRRHKSSSMGVHPESGSSNVPVVERDPMKLWKEMKQNGWGGSKRNLDAVNTDASRSDSYPRIFKYSMPDCNYSMHYYDENNRVCSDGGRLLLRKCQFLVEEDASDLYSASLLTVKAATIASQWLELQDIKGRVSDGWLCLTNSNTILLQEESQLNSVGTADEFFLAANLESSGSPPKRSPPFLTLSHCNKSRVILRTMMILRKLVISGSQGELPMMRLILCQSCPSFATGITDDDLCETAFEILLGGAGASGSHSTIKREKKKEKSRSRLKRKLGRKSESVSQSRSSSGLVALLEMMRGRMEISEAMDIRTRQGLLDALAGKVGKRMDSLLSNMLAERLINNPVVGCGESGRRATDLKSLLLRIEGSVTSDTESVGSVSEFIRIGCQNIIEYMFLKNLAA >Al_scaffold_0006_3812 pep chromosome:v.1.0:6:24031303:24032547:-1 gene:Al_scaffold_0006_3812 transcript:Al_scaffold_0006_3812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M469] MARSRSISGYGIWKYLNHAYYLGRPRRLALLFIVFVSVSMLVRDRINLFREHEPQTKDGTDSFGGLGATMVDSLDTLYIMGLDEQFQKAREM >Al_scaffold_0006_382 pep chromosome:v.1.0:6:1603963:1606917:-1 gene:Al_scaffold_0006_382 transcript:Al_scaffold_0006_382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXL8] MDSSAFRKTVWKNPFNFINTIKVPRGGLGDSYSHVKEVTNDLINSQIPSIKLHEKVTDVYYDYMQLSKGISQGNVEDVKDFLNRSPGAVDEWINPYETPLLKACAYGRPEIVKVLLRRMKPEQMLPKMSHNTSYHTPLTVVAVTGNMEIAKYLLGKNFGLLKMPGMNGQLPAVVAIENGHKEMARYFYMKTMRSLLLDEDGYHGTLLIINAIYYKMIDIALCFLCAKTRYLAVTKHLQIESTPIIVLASKPDLFPSGCRLGPLERIIYDCIQVKLQANPGWFYPKKDQQTTLMRKLLKCLSKWTGIDEVYQLKVMHLQAKKLLLVISEETRAMGLKERSETVGEALLFAVRYGNVDFLVEMIKNNSELLWSTKTSLSRTLFLLAVELRQEKVFSLLYGLDDRKYLLLAERDCDGNGMLHLAGYLSPPCKLSTVTGAALKMQRELQWFKEVEKIVPEMEKQRVNTSGQTPIEIFTKEHQTLRQEAEKCMKYTAMSCSLVATLIFTVTFAAVFTVPNYNSHGKPFHLRDRAFTTFVVSDLISCFAACTSVLIFLGIHTARYSFDDFLFSLPAKMIAGLSILFVSIGAMLIAFSSALFTMMDKEKWIVAPTILLACLPALLFVLLQYPLLKEMIFSTYGKGIFGRNMKCWVG >Al_scaffold_0006_3820 pep chromosome:v.1.0:6:24062931:24063961:1 gene:Al_scaffold_0006_3820 transcript:Al_scaffold_0006_3820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M476] MAEEDFIYRISTEQEWEEFQKNGSSFGAEIDKSTCYYHLSKLDQVQLTLKNFFLNVKEDLYLLQVDPKKLGDGLIYEAVDEVNSFPHFYGPEKTFVPLPLDSVVKAEKLTFTNGNFTCSFLT >Al_scaffold_0006_3832 pep chromosome:v.1.0:6:24108430:24109258:-1 gene:Al_scaffold_0006_3832 transcript:Al_scaffold_0006_3832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 family protein [Source:Projected from Arabidopsis thaliana (AT4G01790) TAIR;Acc:AT4G01790] MRGVTPINPQKKIEADSNPVKESDYYEGERLTHLLDLIQRGIETFKLSNVNSLPEKIWLKKQIAIGINEVTRVLERMKSNTTDQQQNPRPPPVQLQVVIVVADCKPRTLTKHIPNLAASRNVPVLYIRDNKRASLRLGELVKLKTALAIGVKARGNDLNLILQQILTRDS >Al_scaffold_0006_3833 pep chromosome:v.1.0:6:24117292:24117537:-1 gene:Al_scaffold_0006_3833 transcript:Al_scaffold_0006_3833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M490] IKRRSIKTTTIEAATRIMDALAIVAIADVVATTITPASSCPVTPARQTLYSQWSYEPPQWTYPYPYYPSSTSYQNPVCSST >Al_scaffold_0006_3835 pep chromosome:v.1.0:6:24137792:24140842:-1 gene:Al_scaffold_0006_3835 transcript:Al_scaffold_0006_3835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M493] MKFLGETLVQVSLLALLYVAGGSTAAWQPTLDCQTKCGNLTIEYPFGTSPGCYHDESFLITCNQTGTEQKPFLRKGNIEVINISLNGELRILMNSSRACYNKTGTLIDYQDHHIQLTHFALSIKNKITAVGCDSYVYLNNMGVRDSSTGCLSVCNSTPPNDGLCSGDGCCQTPIPIGTSYFAVKPYSFNNHTDVFQFNPCSYAFLVEDGSFNFSASKDLVNLRNTTRFPVVVDWSIGDRKCDQIDNNNSSCRENSICVNSTSGSGYTCECKKGFEGNPYLGCQDIDECSKDKHTCAKQEICHNNIGSFHCKKRQELSIIVLEKHFERNGGIMLRQLLSADSSNEIKIFIEEEIKIATNGYHESRMLGKGGQGIVYKGILSDNSEVAIKKSLPRIGDPSNAAHQQIGTQVAEFINEVIILSRITDTKVVKLIGCCLETEVPFLVYEFVSGGTLSDNLHGSKSGFFLQWKDRLRIATEIATTLASLHSAQVPIIHRDVKPTNILLDEELSVKVADFGASRIQLQNCLTTLEHHLRPKVTLRSSKFQLKLLAITTHVL >Al_scaffold_0006_3840 pep chromosome:v.1.0:6:24177626:24178460:-1 gene:Al_scaffold_0006_3840 transcript:Al_scaffold_0006_3840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M499] MEQKVVGKYWSIFLFNSYCVQCKNLGWSMNKITTAGRFCRDSGGGKHYIRLLFVLSPQAVAVAATAAFNRLTLTLISIQNF >Al_scaffold_0006_3842 pep chromosome:v.1.0:6:24195243:24196440:1 gene:Al_scaffold_0006_3842 transcript:Al_scaffold_0006_3842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4A1] MSSNDVCHVEIGIRLLLKNFNFTRKVPTTVTTTTTTTSTTIVVVLTTTDVTITTTISPPPCHHTTATTTMPSPPYRYHHHHQ >Al_scaffold_0006_3848 pep chromosome:v.1.0:6:24224485:24225041:-1 gene:Al_scaffold_0006_3848 transcript:Al_scaffold_0006_3848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4T4] MPPTDMNRSRPRKRRTSKRNHCCALNPTTQTKEGFREELVDAPATMYHPIDKPQTRTQPTRNHTQTRELEPRSSLTTPLRKPKTPSKPSTQTNRSTPSTTKEKPTKAHPIKPNNPQPQKNQEDRTSTNNRLLSLATSQIHQHPATLAKENL >Al_scaffold_0006_3858 pep chromosome:v.1.0:6:24266805:24268890:1 gene:Al_scaffold_0006_3858 transcript:Al_scaffold_0006_3858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4U4] MSQQIQKLFPYVTKIVDDLAVGDSAITTESHKDSEQHDVNIDHSAVGDSAFINDTPELNLDSEQRVRVSNSTVGDSVNTTITPAPDPLNAPTVEDSVVVNADNKHGSKDQFDLHR >Al_scaffold_0006_3863 pep chromosome:v.1.0:6:24284972:24285295:1 gene:Al_scaffold_0006_3863 transcript:Al_scaffold_0006_3863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4U8] MRIFHPSVVLRSCPNHRETSGSIERNRFKTFLSNTISEEAPPLPTPNLMWSCLCSYFMSKIKRRRYYSTKRLERSDWILKKNRGIDGTKEKRTIPRKSEKQKAGQRR >Al_scaffold_0006_3869 pep chromosome:v.1.0:6:24314052:24314456:-1 gene:Al_scaffold_0006_3869 transcript:Al_scaffold_0006_3869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4V4] MGSLGISDPMEIGLRRLDSKGFMVDGEDGVAVLATVSLCGASFVSGQVFQFGAPEVIWLRFVWVLHLRVVVRHSGFRILVVSFVSFLVSSMAGGSNLKL >Al_scaffold_0006_3871 pep chromosome:v.1.0:6:24340875:24342212:-1 gene:Al_scaffold_0006_3871 transcript:Al_scaffold_0006_3871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4V7] MDKRVAVQPNHQHKQPSMNSHRVTHGYVKDSLQIRSPPVKKSLQLEASLEGHNQTTELEDSNGISTFLYHIHIANTFSYRSPSRGPNNLVEYS >Al_scaffold_0006_3878 pep chromosome:v.1.0:6:24388181:24390080:1 gene:Al_scaffold_0006_3878 transcript:Al_scaffold_0006_3878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4W4] MVRLFKSRHTTSHSFKIDNFSLLKKYGIEKVESSVFDLAGHKWTLSVYPNGHKSAKGTHVSIFLMNQVSVNVLLTYKLFVVSQLERKWHSKSKDQFDTNPEPSTEGFYEFITLADLKRNGYLIGVKFYEIEPANPGTAECFSLIEKPLNHKVTWMMSKFSSFNPGKVHQSNEFVVGTRKWRIEVHPRGYNEEKDKSFSVYLSAEGFVKNAPNTKTYARFKLRVLDQVSWNHAERAGTEWFDAEPEQSGFADFMPLGKLDEPYLVKDKLYVGVEFEVISTTNYC >Al_scaffold_0006_3882 pep chromosome:v.1.0:6:24403484:24405712:-1 gene:Al_scaffold_0006_3882 transcript:Al_scaffold_0006_3882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4W8] MTMSIFNPDSINNELSKQTSIFGLKLWVVIGILLGSLIVIALFFLSLCLTSRRRNRKPRHADFASAAVATPPISKEIQEIVRSPAQDHYHPTQPVAAEIQVDIGKIEHRVVFSDRVSSGESRGTVSASETASYSGSGCVGPEVSHLGWGRWYTLRELEAATNGLCEENVIGEGGYGIVYSGILTDGTKVAVKNLLNNRGQAEKEFRVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYDYVDNGNLEQWIHGDVGDKSPLTWDIRMNIILCMAKGLAYLHEGLEPKVVHRDIKSSNILLDRQWNAKVSDFGLAKLLFSESSYVTTRVMGTFGYVAPEYACTGMLTEKSDIYSFGILIMEIITGRNPVDYSRPQGEVNLVEWLKTMVGNRRSEEVVDPKIPEPPTSKALKRVLLVALRCVDPDANKRPKMGHIIHMLEAEDLFYRDERRATREHASRDYNQPQTEVSAAAAETSESDSSKDRR >Al_scaffold_0006_3886 pep chromosome:v.1.0:6:24424023:24425585:-1 gene:Al_scaffold_0006_3886 transcript:Al_scaffold_0006_3886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G01280) TAIR;Acc:AT4G01280] MVSVNPRPKGFPMFDPVNMSLPGSDGFGSNPIATIPATGRVSFSEDPTTKIRKPYTIKKSRENWTDQEHDKFLEALHLFDRDWKKIEAFVGSKTVVQIRSHAQKYFLKVQKSGANEHLPPPRPKRKASHPYPIKAPKKVAFTSHVLPSSSTLPLLEPGYLYSSDSQPLLGNQAVCASSSSSWNHESTNLLPKPVIEVEEPGVSATAPLPKNHCSEEDTRRVRAVTKPNGEESCEKPHRVMPNFAEVYSFIGSVFDPNTSGHLQRLKQMDPINMETVLLLMQNLSVNLTNPEFAEQISSYSAKALK >Al_scaffold_0006_3894 pep chromosome:v.1.0:6:24463184:24465122:1 gene:Al_scaffold_0006_3894 transcript:Al_scaffold_0006_3894 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylinositol phosphate kinase 10 [Source:Projected from Arabidopsis thaliana (AT4G01190) TAIR;Acc:AT4G01190] MELRATVENRIRYSTKHIKHLPPGTITEFDWKDYCPLGFGLIQELEGIDHDDYLLSICTDETIKKLSSGKIGNVFHISNDNRFLIKILRKSEIKVTLEMLPRYYRHINYHRSSLFSRIYGVHAVKPVGGVKTYFAVMSNMLHPTVFMNKLYDLKGSPKGRSNKKIEVRNTTVLKDIDLDFSFYVDPLARQRIIKQTKIDCELLEEEGIMDYSLLVGLQSKGSCQGSLDGLNPVYGSCAPPCSFKSASTKSMKTASSSPDRSSLTMYSCSPDRDIVECEKSMTIQSVTSNSSETSQINKKSIVAATISDLFHNSSNISFGMKIPAKARRVTRETGEEEWYDVVLYIGIVDTFQDYGMKKRIEHCYKSIQHNSNSISTVHPKIYSSRFQDFVSNIFVPHDDDLSL >Al_scaffold_0006_3898 pep chromosome:v.1.0:6:24479246:24481166:-1 gene:Al_scaffold_0006_3898 transcript:Al_scaffold_0006_3898 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G01130) TAIR;Acc:AT4G01130] MASDLNRRRSFSLLVLIIVMLYGHKADSKCDFEAIFNFGDSNSDTGGFWAAFPAQSGPWGMTYFKKPAGRASDGRLIIDFLAKSLGMPFLSPYLQSIGSDFRHGANFATLASTVLLPNTSLFVSGISPFSLAIQLNQMKQFKVNVDESHSLDRPGLKILPSKNVFGKSLYTFYIGQNDFTSNLASIGVERVKQYLPQVIGQIAGTIKEIYGIGGLTFLVLNLAPVGCYPAILTGYTHTVSDLDKFGCLIPVNKAVKYYNALLKKTLSETRTQLRNATVIYLDTHKILLDLFQHPNSYGMKHGIKACCGYGGRPYNFDQKLFCGNTKVIENFSATAKACRDPHNYVSWDGIHATEAANHHISTAILDGLISYPPFILNNLCPP >Al_scaffold_0006_3899 pep chromosome:v.1.0:6:24485827:24487893:1 gene:Al_scaffold_0006_3899 transcript:Al_scaffold_0006_3899 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-box binding factor 2 [Source:Projected from Arabidopsis thaliana (AT4G01120) TAIR;Acc:AT4G01120] MGSNEEGKPTNSDKPSQAAAPEQSNVHVYHHDWAAMQAYYGPRVGIPQYYNSNVAPGHAPPPYMWASPSPMMAPYGAPYPPFCPPGGVYAHPGVQMGSQLQGPVSQATPGVTTPLTMDAPTNSAGNSDHGFMKKLKEFDGLAMSISNNKVGSAEHSSSEHRSSQRYIESNVVLISIAPRMMALAMVVMYSSFLPQGEQSRRKIRRERSPSTGERPSSQTTPPVRGENEKADVTMGTPVMPTTMGFQNSAGMNGVPQPWNEKEVKREKRKQSNRESARRSRLRKQAETEQLSVKVDALVAENMTLRSKLGQLKNESEKLRLENEALLHQLKAQATGKTENLISRVDKNNSVSGSKNVQHQLLNASPITDPVAAS >Al_scaffold_0006_39 pep chromosome:v.1.0:6:163026:166808:1 gene:Al_scaffold_0006_39 transcript:Al_scaffold_0006_39 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Galactose oxidase/kelch, beta-propeller (InterPro:IPR011043), Kelch repeat type 1 (InterPro:IPR006652), Development/cell death domain (InterPro:IPR013989), Kelch related (InterPro:IPR013089), Kelch-type beta propeller (In /.../:IPR015915); BEST Arabidopsis thaliana protein match is: DCD (Development and Cell Death) domain protein (TAIR:AT3G11000.1); Has 16133 Blast hits to 7053 proteins in 482 species: Archae - 40; Bacteria - 1227; Metazoa - 10756; Fungi - 311; Plants - 1896; Viruses - 673; Other Eukaryotes - 1230 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G01660) TAIR;Acc:AT5G01660] MVLTGLPYNHIPYVQKIDTGLPLFLFNYSDRTLHGIFEAAGSGQLNIDPYGWTSDGSERTSYPAQVQISVRLQCEPLSEEKFKPAIADNYYSSHHFWFELDHFQTSKLTCLLTSFAVKPKPPMNTPNTRQIFRLISSSEKKENSDEVKPSENEPVGSLEVALSSGRESESSAAASYPGFSENHPDVQNPKQIEKDYVLEKLKDLSFGHDEHGDNSLTETVEQANIPPCKNLEDRDTLEEETCSEGKKDDSSLVSSRLPHTISQLMHEVKELRAYGLENSTKICYLEEKLDEAHKEIYRLRERCNMLESISGPLITKAGGSDMEIHSPDDSSLDPTEAILLLGGFDKDSETWLSSVQSYFPSRNVVKAHSSMSCIRSNASVAKLDGKIYVFGGDDGGRGWTNTAESYNQTDGQWSLCPPLNERKGSLGGATLDGKIFAIGGGNGMVSFSDVEMLDPDIGRWIRTSSMGQERFAVASVEHKGSIYAVGGFDGKEYLNTAERFDPREHSWMNIASMKSRRGCHSLVVLNEKLYAIGGFDGETMVSSVEIYEPRTGTWMTGEPMKDLRGYSAVAVVKDLIYVIGGYKGEEDDILDTVECFKEGEGWKNVPCSSIGRRCFLSAVAL >Al_scaffold_0006_3905 pep chromosome:v.1.0:6:24515455:24515832:1 gene:Al_scaffold_0006_3905 transcript:Al_scaffold_0006_3905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4Z4] MKTNRTRERTAEQAMKTRREVPSSHPSKIFTTNTGFRHKENKNQQYNKRKTFGPSHDSNGEKRRPPERLFATFTCQVF >Al_scaffold_0006_3907 pep chromosome:v.1.0:6:24520869:24521054:-1 gene:Al_scaffold_0006_3907 transcript:Al_scaffold_0006_3907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M4Z6] GTRNKETICMILYAKRSSEFEIVSTPVICGHGVKESGAMVISDSDITVIVKLIKIRSNVPD >Al_scaffold_0006_3908 pep chromosome:v.1.0:6:24522687:24524161:-1 gene:Al_scaffold_0006_3908 transcript:Al_scaffold_0006_3908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4Z7] MDQRDMTHMDTMHVYLLVDVWRRRCELVYGKFGPNSMNKQVSFHAPMRFRVCQWKLASLFTPSTKGAGLAPLPARVTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >Al_scaffold_0006_3925 pep chromosome:v.1.0:6:24585628:24586537:1 gene:Al_scaffold_0006_3925 transcript:Al_scaffold_0006_3925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M512] MALIDVVPLSLPPPSQPHKVSQQDISHVKVHQCMLYKRIKCYLDISQLVQSIADKYRVTFTDLPPIPTGICSSLLQQESSDVRACLLEKIIENELLLPFFLFDDFIKRISSPRRICRGIHHKEEYVACNNGTGACNIQIIKVTLPLVRHYH >Al_scaffold_0006_3927 pep chromosome:v.1.0:6:24597210:24601121:1 gene:Al_scaffold_0006_3927 transcript:Al_scaffold_0006_3927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M514] MFNNRQSRRRFRLGNLARLVQLRQSVSHQPPQQRPPRRTLQETLSQSPPQSPPFQPPFPSPIPSPSTLSPSFSESRENHGSPPHVLPEPPLSPLSPKSKEEPQSQPMPPLTSKHVKTHRNLLIQSPPKSPPESTESQQQLLASVPPPPLTPEAKTPLSPSSTLKSTEESQSQPSPPLKSIDETRFHPSPPTSSKSGAKTPPSSPSTLNANEEFQSQTSPPLLPSKSIDETISQSQPVSPPPPPEAKTPPSSLSTINTNENSQSRPFSPLLPSKFFNQTQSRSSPPSLSETGETQPPPEAKILPSPPSTLTPTEESQSQPPPPLLPSKSINETQLRSPSLSQASSLPDSGEFHPPLPPLEAKVPSPPPSTQNATEKSQPQLPPPLLPSKSIDETRLRSPLQSQASPPSSMLKAIKEVQPQTSPPPLPSKSIEETQFRSLSPSLSEPGGKPLPSPEAKIAPPPPSRLQATEEWQHQPPPPPLPSKSIDETRSQSPPISPSPPSKSTPEPKTIPSSPESEKQARSQTHSSPSASPLLSPKASENHQYQSPIPPPSLTEQRSPSPMKSPIPAPPPIKSQIIPSPKPLLPQIKPNKIKSPPPKLINNESHTSPDHKKDQNHNPEKPDANLMNKNPPKNEVQKTEITGKVNIKNRGRAIWSEKKTEPMVIMFINSNVQGFNTSLSLDSSSIDHEPE >Al_scaffold_0006_3930 pep chromosome:v.1.0:6:24620281:24621709:1 gene:Al_scaffold_0006_3930 transcript:Al_scaffold_0006_3930 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00870) TAIR;Acc:AT4G00870] MYNLTFSPSLSSSPLSFTQQTPAIVSSSPADLALQQKLRFVVETSPDRWAYIIFWHKMFDEPSNRSYLVWVDGHFCGNKNNKSQENYTTNSIECELMMDGGDDLELFYATSFYSEDGSPRKEIFDESLVWLTGLDELRFSNYERAKEAGFHGVHTLVSIPINNGIIELGSSDSIIQNRNFINRVQSIFGSGKTPEHTNQTGSDPKPAESDHSEIGNRQSGSERKRRRKLETMDVAVAAEEKHHPPVLSHVEAERQRREKLNHRFYALRAIVPKVSRMDKASLLSDAVSYIESLKSKIDDLETEIKKLKTKMTETDKLDNNSSNTSPFSVEYQINQKPSESNRVSDLEVQVKVVGYEAIIRVQTENVNHPTSALMSALMEMDCRVQHANASRLSQVVVQDVVVLVPEGLRSEDGLRTTLSRNLRSLSSV >Al_scaffold_0006_3931 pep chromosome:v.1.0:6:24626406:24626733:1 gene:Al_scaffold_0006_3931 transcript:Al_scaffold_0006_3931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1138) [Source:Projected from Arabidopsis thaliana (AT4G00860) TAIR;Acc:AT4G00860] MSRATYIIGALAGSAVVAYVCDKVISDNKLFGGTTPGTVSNKEWWAATEEKFQAWPRVAGPPVVMNPISRQNFIVKSRPE >Al_scaffold_0006_3941 pep chromosome:v.1.0:6:24673003:24674764:1 gene:Al_scaffold_0006_3941 transcript:Al_scaffold_0006_3941 gene_biotype:protein_coding transcript_biotype:protein_coding description:pseudo-response regulator 8 [Source:Projected from Arabidopsis thaliana (AT4G00760) TAIR;Acc:AT4G00760] MENTEESERFSKDICVLLLDSDATCLANLSEMIRKCGYRVVATTRAEDLPLIINNKDKKIDLVLADFRLIEMNKYELLEKIRLICEIPVVVSDAYVKDAIVECLCRGAKLCLEKPFKENDLKLLWQFTVRRQRDFLSQIDINPPEKNDSITNNQSLGDELKKNNEVETEDLDKFKDELRQGSKRKERADKDTGEHTEKKNGSDLGDQKKLKLISADALQTKTLEAVPNIEEANNERKEPTEIKKNGEGSEKKSPELVCMEEELQNWSAQPLIDLTASVENEFEDQDFLPLESDGESVGPHEIPLSPQESSNNNVGAQQQMPTHEALDEEVMQDGISLSDLEFDLQEEGQGSNKELFDKIFTDLAKELKP >Al_scaffold_0006_3959 pep chromosome:v.1.0:6:24766357:24767781:1 gene:Al_scaffold_0006_3959 transcript:Al_scaffold_0006_3959 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00560) TAIR;Acc:AT4G00560] MDKTKVVIVGGTGFLGQHLLQAFAGNNGGDLYDVAFTHHSSPLPRRLLDAFPHFPAFSVDLKSGLGFNSISQDFGQPDVVVNCAALSVPRACEQDPDSAMSINVPTSLVNWLSTFERNKTLLIHLSTDQVYEGVKSFYKEEDETVAVNVYGKSKVAAELLIKDKCQNFAILRSSIIVGPQTVSPLPKTLPIQWIDSSLKKGDTVEFFHDEFRCPIYVKDLVNITLKLIDRWVVSDEKQMQLVLNAGGPERLSRVQMAQVVAEVRGYDMSLIKHVSASSVDRGVVSPADISMDITKLIQTLEITPTSFKDGVRLTLESESHILP >Al_scaffold_0006_3960 pep chromosome:v.1.0:6:24768689:24769454:1 gene:Al_scaffold_0006_3960 transcript:Al_scaffold_0006_3960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M5M5] MMKKATGKEAKAVTLVYWDIKEFPVPPGFDARRVRPCINQLLETHGYSGPITIYAVGILTDVHVDILRALSSTGIILCYSPFGKTDIMSLMFKWMCNNSPPANLLGICDPDAFPPPEIGFNLFSPFPYSSPEQEDSISWINLILTVSGTLQEDKCSETVESATWSCLACKPLHGEGFETFTGHLSSQEHKDM >Al_scaffold_0006_3961 pep chromosome:v.1.0:6:24769629:24770538:1 gene:Al_scaffold_0006_3961 transcript:Al_scaffold_0006_3961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M5M6] YRDYLPVEDYLPLPRLRDEDENPPTIKATPEEAEAVTSVFWDINMFPVPPGFDARLVRPCISRLLESHGYSAPPTIYAVGKLTDVHDDILQTLFSTGITLYYAPHGSADMVLLMSQWISTNPPPANILGICDPRGFPLPLNGYNLFRPFSYSSPKQDSILWGSSLLAGVSTPPPPPDSGAFEVNTCSETDEWYCLLCDNVGGKSFKSFTKHLSSRSHSHE >Al_scaffold_0006_3968 pep chromosome:v.1.0:6:24787297:24791104:-1 gene:Al_scaffold_0006_3968 transcript:Al_scaffold_0006_3968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA thioesterase family protein [Source:Projected from Arabidopsis thaliana (AT4G00520) TAIR;Acc:AT4G00520] MNTESVVEFLGNVPLLQRLPSSSLKRIAEVVVFKRYERGDYVVRKNQDVDGVYFLLEGQAQVLRSAGEEDSQQFLLKRFDFFGPGIFGDVYSADVVAVSELTCLLFMSDHRALLETKSVSDSDNQPCLVEHILYLEPLDLNVFRGFTPPNAPTYGKVYGGQLVGQALAAASKTVENMKIVHNLHSYFLLVGEINIPIIFEVIRLRDGNNFATRRVDARQKGKTIFILFASFQRKQQGFIHQESIMPHTPAPEMLLPREEMLERRITDPLLPRDYRNKVAIEINASFPIDIRFCEPNYSTEQTKSPPRLKYWFRAKGKLSDADQALHRCVVAYASDLIFASISLNPHRREGMSVAALSLDHSMWFHRPLRADDWLLFVIVSPIASESRGFATGKMFNRKGELVVSLTQEAVLREAVTIKPSFGAKL >Al_scaffold_0006_3973 pep chromosome:v.1.0:6:24815697:24823918:-1 gene:Al_scaffold_0006_3973 transcript:Al_scaffold_0006_3973 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT4G00450) TAIR;Acc:AT4G00450] MQRYHPANCTSAVNNSAIGGASARDSGRADSSSIGNYSLNSRHVDRKKRPPPLTPYKLKCEKDGLNSRLGPPDFHPPTSNSPEENLTKEYVQFGYKETVDGLKESEEIILSQVHTFSKPVVHKCKEAVRKCLRAINESRALKRKVIKRFSVSNPFQFRFCAEFQAGQVYGVPLSGSLLCKPGFPEQRSCGEETKKKWIESLSQQHKRLRSLADNIPGYRRKTLFEVLIRNNVPLLRATWFIKVTYLNQVHCWARVRPSPAAISSGTPDKTQASRCEQWTKDVIEYLQYLLDELLSRNSSFTAQQTRDRSPQMLYTGSMQKNSPASASLYGEETSLHFKWWYMVRLLQWHHAEGLLFPNLIVDWVLKLLQLCEFPFCLLQEKEVFEILQLLLPIVYGVLESIVLSQTYVQSLVAIAVRFIQEPAPGGSDLVDNSRRAYTLSALIEMVRYLVLAAPDTLVASDCFPLPPSIAACGPNDVSYTSKGYEKLEKLRSNSAENSTQFQGRGVVSRFEFLSFDYTISTIQRSADDLAKIASAGYPQHNVAKAVQALDKALTDGDIRAAYSYLFEDLCNGAVDETWIADVSPCLRSSLRWIGAISTSFVCSVFFLIEWATCDFRDFRAGVPKDIKFSGRKDCSQVYLVIQLLKQKILGGEFAARKGKNSRNNLLGVSKPSSSVDAFESPGPLHDIIVCWIDQHEVHKGGAKRLQLLVFELIRSGIFNPIAYVRQLIVSGMIDVIQLAVDPERRMRHHRILKQLPGCFVHETLEEAQLFGGDKLSEAVRTYSNERRLLLRELLVEKGKYWNNIVLSDQKSKKHSTSLPSVVFPRPCNAMANSDGPRKHTKSRVDIGELKERISALLQFPGMSCGVKNPMQEEFQNSVKRSSGSVYSKMDQPEATPGCEDCRRAKRPKMNDEKSSCYQGNSPIASDEEDNWWIKKGSKTLESSLKVDPQIELTKQVPRGRQKMARKTQSLAQLQAARIEGSQGASTSHVCDNKVSCPHHGPGVEGENHKVVDVFRTSTPVDIVSVGNSLKHLQFVYKRSIAVWITTVVRQLVEEPQKSSARVGQFNRGAPVEEKSTIRWKLGADELSSILFLLDISLDLVSVGGRNLVTVPRNVENNMCEIGEAILVSSLRRYENILLSADLVPEAMTALMNRVASLMPSNGKISGSAALVYARYILKRYGSLPSVVEWHNNFKATCEKRLLSELDHTRSGNGEYGNPLGVPAGVDNPDDYIRKKISIGGTRPSRVGFNMREVVQRHVEEATHYLRKLTGTGTMKASLAEKNDDGYQVAQQIVVGLMDCIRQTGGAAQEGDPSLVSSAVSAIINSVGLSMARISDFSLGNIYQNHPSGVDSSNIARYILQIHITCLCLLKEALGERQSRVFEIALATESSTALAGAVAPGKGSRGQHQLSPESYDSNANNSTNDMPNGTGKMALSRGTKITAAVSALVIGSITRGVVTLERIVGLLRLKEYLDFVQFVRRTKSSSNGSARSMGASKAESPIEVYVHWFRLLVGNCKTVSEGLVLELVGESSVVAISRMQRMLPLKLVFPPAYSIIAFVLWRPFVSNSNSNSSVHEDTHRLYQSLTMAFHDVIKHLPFRDVCLRDTQGLYELIVADSTDAEFASVFESHGLDMHLKSVAFAPLRARLFFNSIIDCKVPSSGYSHEGVNEAKTRHQGNGTKLVDKLVSVLDSLQPAKFHWQWVELRLLLNEQALTEKLENHDMPLTDAIRSSCPTSEEPAASEYEKNFTQILLTRLLVRPDAVPLFSEVVHLFGRSVEDSMLKQAEWILAGQDVLFGRKTIRQKLIIVGESKGLPTKPQFWKPWGWCNNSTSDHITANKAGKKRKFEITSIEEGEVIEEGSGSKKVLLPRAVEENSPSVGYGITTERAFVQLVLPCIDQSSDESRSTFVNELVRQFSSIEQQLSSVTNRSTTNNKHMGTASSGSEISSSKGSNRKGLRGGSPSLARRSSANTTDTAPPPSPAALRASMSLRLQFLLRLLPVICGEPSFRNTRHALASTIVRLLGSRVVYEDCAVCSPRIEVSKAETESTIDPSSMADLSSEVLFDRLLFVLHGLLSNHQPKWLKPRPSSNESSKDFTLFDRDAVESLQNELSRMQLPDTIRWRIQAAMPILLPSLRCSLSCQPQSVPPTALTLVQPSGSAAAAGPNQRNSPAISKTGTAAVQGKLKQTMLAPPQQQEADNTDVVDPWTLLEDGTSSGLSSSNASNSSDMANLRAICWLRGAVRVRRTDLTYVGSVDDDS >Al_scaffold_0006_3985 pep chromosome:v.1.0:6:24914366:24916627:-1 gene:Al_scaffold_0006_3985 transcript:Al_scaffold_0006_3985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M5P9] SQQRATINAVAVNEDGVMVTGDSLTQSLLLLEEIKSFGKVREAVRNQGTGSLLGMVKAGMTDEAVWLYENMEERCRKLKENSLSFDVKIIDSVVKVFSATTRHDSYRPWQNLEVQECGGSFAISGKRILTCAHVVTILNPCTFIDVQRNNSTTLYKARVTKIAHECDLAILEVDNNEFWEGLSALSFADIPLVGEALTVVGFPEHESNVCESGLVTGIKFRQYTHSQTEHLAITVDANIISGHSGGPAITQGKVIGVAFQSIDFKVFKAHISVIPTYVVMQFLSSSEESQQLSSFSSLGLTYTLSNFSKGVLINRISSLSGAHKIMCPLDMMLAIDNVAIRNDGTPFRGEERIDFRYLVSLKKPGDSLLIKFLRSGDVHECDVTLKPVTPHLEVQKYYNRPKYFIFGGLVFVPFSKAYMDDIGYRLPADDPLFTTEIEAKELDVGELVMLSRVLRHDTNRYYEHLERRQVYKVNGVKVNSLKHLVELIEQCSMEYLTLDLQGGEVAELHYASAQEATSEIVELYRVFYSKSD >Al_scaffold_0006_3986 pep chromosome:v.1.0:6:24916799:24917833:-1 gene:Al_scaffold_0006_3986 transcript:Al_scaffold_0006_3986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M5Q0] AFAVSNRHTYMFSSGDDKQVKCWDLEQNKVIRSYHGHLHGVYCLALHQTVDVLLTRGRDSVCRVWDIRTKMKIFALLGHDKDVCSVVSRATDPQVITGSHDSTIRFWDLRYRTMTTLTHLKKAVREMALHLKNAFVSALADNTKKFRLSKGEFCHNML >Al_scaffold_0006_3995 pep chromosome:v.1.0:6:24956650:24956871:-1 gene:Al_scaffold_0006_3995 transcript:Al_scaffold_0006_3995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5R0] MVVVMLVTTVLTVLTMVMAMVAAVIMVVVMAVAVPIVVAVPIVVAMVVVVKLVVVLVVIIVSNGRSSGGGSRG >Al_scaffold_0006_4004 pep chromosome:v.1.0:6:24996013:24997664:1 gene:Al_scaffold_0006_4004 transcript:Al_scaffold_0006_4004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7M5R9] MVFGNEMCDETPHPSECKTLLIEHKPIRSTKQFLQVSVERTLDGAVKAKSDTYSLGPQFGSKQAWEDCMDLYEQTIHRLNQSVLCPKNACSRSDVQAWLSTALTNLDTCQEEMSELGVSSHSLESITIDVINTLAINKRTEPNGKVFGVSKVTMKIPSIGKKVDVVVAQDGSGDYKTIQEAVNGAGERPKGSPRYVIHVKQGIYEEYVNIGIKSNNIMIVGDGMGKTIITGDKSKGRGFSTFKSATFVAEGDGFVGRDITIRNTAGPENHQAVALRSDSDMSVFYRCSIEGYQDTLYVHSGRQFFRECDIYGTVDFIFGNAAAFFQNCLIFARNPPNGVNTITAQSRFNPNQTTGIVIHNSVVKGAPGVQLGGVKTYLGRPWRSYARTVVMGTHLDTLIEPKGWIDWGNVTALSTLYYGEYQNLGPGSGTENRVDWAGFHVISDINEARQFTLPKFIDAASWLPPTKVPFTINL >Al_scaffold_0006_402 pep chromosome:v.1.0:6:1691828:1697484:1 gene:Al_scaffold_0006_402 transcript:Al_scaffold_0006_402 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT5G04895) TAIR;Acc:AT5G04895] MRFTKRISLLLGQTTKIHHSRSLLGNPTLCRSYIVGPVSTSSPAFLISSRNGDGVTGLYDPICRRFIGHTAEQFSDDEYECEFEEHKASSSVANVDEWKWKLGILLANDSEQEIVSRDKRDRRDYEQISSLAKRMGLYSEIYGKVVVASKVPLPNYRPDLDDKRPQREVVLPLSLQRRVEGLLQEHLDRQQLSSGKANECVADSQPPKQTEELPDENSDSFLDGSVMEKVLQRRSMRMRNMQRTWQESPEGRTMLEFRKSLPSFKDKERLLQAIARNQVIVVSGETGCGKTTQLPQYILESEIESGRGAFCNIICTQPRRISAMAVSERVSAERGEPLGETVGFKVRLEGMRGKNTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLIIVLKELLPRRPDLRLILMSATLNAELFSNYYGGAPTIHIPGFTHPVKAHFLEDVLEITGYKLTSFNQVDDYGQEKTWKTQKQLMPRKRKNLITTLVEEALSKSNFESYNSRTRDSLSSWMPDCIGFNLIEAVLCHICRKERPGAVLVFLTGWDDIRSLSDQIKAHPLLGDPNRVLLLMCHGSMATAEQRLIFERAPPNIRKIVLATNMAEASITINDVVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRLLPGECYHLYPKCVYDAFAEYQLPELLRTPLNSLCLQIKSLQVESIAEFLSAALQAPESLAVQNAIGFLKMIGALDEKENLTDLGKLLSILPVDPKLGKMLIMGAIFRCFDPILTIVSGLSVRDPFLLPQDKKDLALSAKLRFSAKDYSDHMALVRAFEGWKDAEREGSAYEFCWRNFLSAQTLQAIHSLRKQFNYILKEAGLVHDDLTLNNKLSHNQSLVRAVICSGLFPGIASVVHRETSMSFKTMDDGQVSLYANSVNSRFPTIPYPWLVFGEKVKVNAVLIRDSTGVPDSSLILFGGALSTGVQVGHLKMLDGYIDFFMDPNLADSYVKLKEELDKLLQKKASLEDPSMDIHKEGKYLMLAVQELVAGDQCEGRFVFGRDTKRPSQQQIGENKHSKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPQRNKTLAEKDAAVEALAWLTHTSDNSTGHADYRPDVTDNMLKLLGGRRRRSKGK >Al_scaffold_0006_4023 pep chromosome:v.1.0:6:25089789:25090668:-1 gene:Al_scaffold_0006_4023 transcript:Al_scaffold_0006_4023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6C1] MSEVDNRSRKEVEERVEEVKKSLSMKMGYICLCSLTYIVAEVAHYGQADVEFRGQEEIFGEPSGVMEYEEDRSRPKRRRHRVP >Al_scaffold_0006_4026 pep chromosome:v.1.0:6:25098293:25098904:1 gene:Al_scaffold_0006_4026 transcript:Al_scaffold_0006_4026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M6C4] TTFSLIDENGELLIRANQGHSITAVESEKLLKPILSPEEAPVCVHGTYKKNLESILSSGLKRMNRLHIHFSCGLPTDGEVIKA >Al_scaffold_0006_4027 pep chromosome:v.1.0:6:25101200:25101409:-1 gene:Al_scaffold_0006_4027 transcript:Al_scaffold_0006_4027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M6C6] QNRSKPREHRNPNLQSDNPIEAVFPRSCRHSPEQDHRRARKTRSNGEDDTGPSPSRHRRAVKRRKKKPS >Al_scaffold_0006_412 pep chromosome:v.1.0:6:1736225:1738436:-1 gene:Al_scaffold_0006_412 transcript:Al_scaffold_0006_412 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04980) TAIR;Acc:AT5G04980] MSDPLYIFNRSQSEIVIESLLASSNLRSSMPTQQIQSLRVFVATWNVGGKSPHSGLNLDTLLHVHSEYDIYVLGFQEIVPLNAGNVLVLGDNEPAAKWLAMINQSLNKSSSSSSSGGRLGPKTPSFGAGSMFFAKPSLKKISESFRTECRRKLKICNCITFSEEIVKKYGRESCFRCPEGLVNQSGVISDDEEDEDDDDDDDEDEDEGGGKVASLVSNQMMMKYGLVASKQMVGIFLTVWMRKELIQHVSHLRISSVTRGIMGCLGNKGCIAVSLQLYKTSFCFICSHLASGEREGDERRRNSDVIEILKNTTFPRICRTSFTRVPDRITKHDRVIWLGDLNYRIALSYSETKTLLDKNAWDTLLNKDQLKIERDAGRVFKGWHEGKIFFAPTYKYSYNSDAYAGDTTKEKKNKRRTPAWCDRILWHGDGIRQLSYVRGESRFSDHRPVCSVFVVDVEVCEGKTGTRRQ >Al_scaffold_0006_417 pep chromosome:v.1.0:6:1752053:1753853:1 gene:Al_scaffold_0006_417 transcript:Al_scaffold_0006_417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LY86] MDFETLLLNNTVVVSRAHVDNDVFLQEAVARYKVFLYLIKGNRERSIKLFCVPTYDIDLIWHTHQLHAHSYCNDLTKMIEKGIAKLIEAGQMPLAAVVIMACSRTDYLERTDGSDQAVKIKALSYNQLTYMQHLDFEPVITERPGELIAYYKIARKDWFLLSLRPSSSITETLFNTGHYKWALDQLFYKHKFGRVTI >Al_scaffold_0006_418 pep chromosome:v.1.0:6:1761472:1763816:-1 gene:Al_scaffold_0006_418 transcript:Al_scaffold_0006_418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7LY88] MVPKRNNIPKLSDVGRIKVTGYDTGLPLDDVYTALAEHFSSCGEIWEIYIPLKFDETKTSLNSHGVMCLLGGQDAVEKALQLTGSNVGGWNVSVEAYPYPANANDRVIVIVEGYDTSLRKSQISRALVKLFSPYGTITRLSFFKKSSVTAIVHGKDVADTVTQLNGSYMRGRKLAVWVTAKPEIPIRLIRRRVNFSKPIPPLQDPSAMDAAIPKSRSESSMAPSESAKKKEKISEEATVEYKAISTSVEKQTPDPDELNVDDLCMGNPNGKKAGPGKRVTVHYTGKLHENGKIFDSTVGKSPYKFRLGVGKVIKGLDVGVNGMYVGGKRKLTIPPAFG >Al_scaffold_0006_420 pep chromosome:v.1.0:6:1781569:1784926:-1 gene:Al_scaffold_0006_420 transcript:Al_scaffold_0006_420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LY92] MFMIHAKNSSKSRHKRPRIVLSLTNLNVLCLTKCVEVRNGPAHHIYPGEGRPLITRDDQPYVHFISQVALGENQNNGTEPIQLYLIVGSAKRLIGTLSHERFPQLATAIVLERHFSLSHTWKNGSVFFSDDVIDVDGHDDYDDCDFEDSGEEEEEKLTAEPDSEEDDEDDSSDDEVDDSSEEETPKKPEASKKRSAEANSSKNPAPNKKAKFETPQKTDSKKPHVHVATPHPSKQAGKNSGGSSIGETSKQQQTPKSAGAFGCKSCTRTFTSEMGLQSHTKGQTQCSCLKRRRSSLMTTLYNIYSRTLMSFGT >Al_scaffold_0006_421 pep chromosome:v.1.0:6:1794462:1795248:-1 gene:Al_scaffold_0006_421 transcript:Al_scaffold_0006_421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7LY95] MAPSESAKKNEKISEEATVESKAFSSSVEKQTPDLDGLIVKELCMGNPNGKKAEPGKRVSVHYTGKLQGNGKIFDSTVGKSRYKFRLGAGKVIKGLDVGVNGMRVGGKRMLTIPPAMGYGAEGAGSIPPYSWLVFDVELLNVK >Al_scaffold_0006_426 pep chromosome:v.1.0:6:1826290:1826632:1 gene:Al_scaffold_0006_426 transcript:Al_scaffold_0006_426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYA1] MCCGTSLLDCSPYVIFRQFEGVNGVRSQDIQETTRLQKLAVVHEEDTDGRDVNGNGSRCFILWL >Al_scaffold_0006_427 pep chromosome:v.1.0:6:1829933:1831930:-1 gene:Al_scaffold_0006_427 transcript:Al_scaffold_0006_427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYA3] MDESADKGLILIGEGSIIPKLRRGYIYFLGEGAVDKALQLNGVDVGGWNVSVKAYPFPKDANNEVFIEVEGFDTSLHEILIESALISHFSSYGKLKDVMFFDGFVASSFHFFPTFSIAVAYLYGKDVADKVTELNGSNMGGRILDVRVTSKPGITLFHRYLRCGPSCVHRESDSSTTDAAIPKSSLIVEDKSSKKKKKKKSKLSDVSFKLKSQKKG >Al_scaffold_0006_434 pep chromosome:v.1.0:6:1863379:1864747:-1 gene:Al_scaffold_0006_434 transcript:Al_scaffold_0006_434 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagy-related gene 18E [Source:Projected from Arabidopsis thaliana (AT5G05150) TAIR;Acc:AT5G05150] MNSMVSTVRGIFTLSKSDTSNSPSDEAKSDLKVLSVAWNQEWSGFIVGTNRGFNVYSCKPMIKKSISREPHESGFKVAEMLFLSNLFALVGNGYNNSEYPPNKVFVWDDHRFCCLRELAFKSEVIAVKLTREHIVVVLKQNIYVYTFNNLKVYRLIETLMNPKGLCCVTHVESKAVLACPGFHPGQVQVHNLRRNVIKFIKAHDSAIACMTFTLDGSLLATASTKGTLIRIFNAVDGTLLQELRRGMERAEIYNVAISSNRKWVAASSEKGTLHVFRLRPDILSFNLASSSSFMKGILPKYLYENERSFAQFSLPASTKFIVGFGSENTVLLLGIDGSFRRCKFDQADGGQMVELEHKYFFSLQETGDTMGLM >Al_scaffold_0006_437 pep chromosome:v.1.0:6:1875623:1877020:1 gene:Al_scaffold_0006_437 transcript:Al_scaffold_0006_437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYB6] MDFTTVEVTKDSPHNSNSFVEISTDHNGSSPPVTPKSDTMDHDSDFSYSELDSETEAFYSSLNHHLVSPGAMDSHDLAAEKQMNYDDLMKKYVQCEEELRTTSLKLQESEQEIEKLKGETKKKESDVLLTENLCAELETAQGEIETRDIAIEAERRRVLQVQRQVVDLETELEVSRDCLDVSYAEISKLREMLCDCQQSFSIEITKLQTDIKHYEAEKMEMQRKEVELQAEINALKTDLATRGEHIEALNKDFDKHKLRYDMLMAEKDGVYAEVDNLKAEMRSRDIQIQQMEEQLNQLVYKQTELVSESRNAKNTVEQLKAVVKELENEAEMQSKAKKTVEELRATVWELEKQAELQRNAISEGEEEKREAIRQLCFSLDHYKSGYRQLLRFLSGNNQQHHATIVV >Al_scaffold_0006_452 pep chromosome:v.1.0:6:1932398:1932811:1 gene:Al_scaffold_0006_452 transcript:Al_scaffold_0006_452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYD1] MVDYWGIELKIGTRYGASVRKQIKKMEVSQHSKYFCEFCRKYAVKRKALGIWGCKY >Al_scaffold_0006_453 pep chromosome:v.1.0:6:1933002:1934430:-1 gene:Al_scaffold_0006_453 transcript:Al_scaffold_0006_453 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG-box (high mobility group) DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT5G05330) TAIR;Acc:AT5G05330] MAIRPRTRKRVQAVRRAADGSAFKKCEECGVMIAIGLFDMHECGEKRREVKRFKYIASGNVINISKPIGSFEDEPRSPFVFFLEDFREKYNGNLVDASRICFNVWKNMLPEDQKPFNARAMEVDLAHSRKLNEEAKSIDKADDEADSKTVGRYDKFYESYVHYEEEEDYDSSDHFENEFWEDDTLLDY >Al_scaffold_0006_462 pep chromosome:v.1.0:6:1970363:1972407:-1 gene:Al_scaffold_0006_462 transcript:Al_scaffold_0006_462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LYE2] MERESWRKGLKRKGRERIIPKFSDAGRIIVTGYDTGLPLDDVATALKNHFSRCGMITDVSIPLDSAKNYKILQRCGYIYFVGEGAVDKALQLNGSDMGGRNVSVEAYPYDEDANDSAGAMIYGKDVADKVTELNGSHMGGHKLAVRVTAKPRIPTVHRRQHRQRPPPTLP >Al_scaffold_0006_47 pep chromosome:v.1.0:6:193324:194417:1 gene:Al_scaffold_0006_47 transcript:Al_scaffold_0006_47 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma interferon responsive lysosomal thiol (GILT) reductase family protein [Source:Projected from Arabidopsis thaliana (AT5G01580) TAIR;Acc:AT5G01580] MASYQRLLRLAITSFTIFFCLLSLSSSQKVTLSLYYEALCPYCAEFIVNHLPKIFETGLISSIDLQLVPWGNAVIRPDGTILCQHGEAECALNAIHACAINAYPDVMKHFGYIYCTERLVLENKLEKWADCLEMVGLSRAAVDCYINGYGNQLEQRYAEETSELYPAHRFVPWVVVNNQPLQENYQNFVMYVCNAYGSNQVPEGCRILNSSLETLSRFNSSVEKLSNIHQVCYTNH >Al_scaffold_0006_486 pep chromosome:v.1.0:6:2076734:2077604:1 gene:Al_scaffold_0006_486 transcript:Al_scaffold_0006_486 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleoprotein-related [Source:Projected from Arabidopsis thaliana (AT5G05640) TAIR;Acc:AT5G05640] MEEALSSFDFKEVAASLSDAIAPTLTQHQSSGFSPHDLLTELFERMKTLPKAEVTNIFFRAIAVALLRGNIRKDKLERTSDTGKATIKRVAATLGIEIRDKCQKKLVLTNKTLTFSRMIALFPHLAFFPAQTHKLPIAMLHSGFAGLIPRESDDIEIIDLICICHSAFHALYKNQKRYTEAARKFGLIPDEDRIGFNFEWKASVTRSSFTIVEDRVKIVKDDAKWQELLKKTKSIVDASSSMRSQVAAVDSLDGV >Al_scaffold_0006_50 pep chromosome:v.1.0:6:200863:203323:1 gene:Al_scaffold_0006_50 transcript:Al_scaffold_0006_50 gene_biotype:protein_coding transcript_biotype:protein_coding description:lectin receptor kinase a4.1 [Source:Projected from Arabidopsis thaliana (AT5G01550) TAIR;Acc:AT5G01550] MTLVLFLLLTIPTRAQGTTTETPITEFIFRGFSGNQSNIVTAGAATIKPDGLLRLTDRNSNVTGTSFYHKAVRLLETNTSSTNATVRSFSTSFVFVIIPTSSSNGGFGFTFTLSPTPDRTGAESAQYLGLLNKGNDGNLTNHVFAVEFDTVQGFKDGADRTGNHIGLNFNSLTSDVQEPVVYYDNEDPDRKEDFPLQSGDPIRAILDYDGPTKTLNLTVYPANLKSRPVRPLISRPVPKLSQIVQEEMYVGFTAATGRNQSSAHYIMGWSFSSGGDLLTADTLDLLELPRPPPNTAKKRGYNSQVLALIVALSGVTLVLLALLFFFVMYKKRLQQGEILEDWEINHPHRLRYKDLYAATDGFQENRIIGTGGFGTVFRGNISSPLSDQIAVKKITPNSMQGVREFIAEIESLGRLRHKNLVNLQGWCKQKNDLLLIYDYIPNGSLDSLLYSRPRQSGAVLSWNARFQIAKGIVSGLLYLHEEWEKIVIHRDIKPSNVLIEEDMNPRLGDFGLARLYERGSQSKTTVVVGTIGYMAPELARNGRSSSASDVFAFGVLLLEIVSGRRPTDSGTFFLADWVMELHARGEILHAVDPRLGFGYDSVEARLALVVGLLCCHQRPTSRPSMRMVLRYLNGDEDVPEIDNDWGYSDSSRRDLGSNFEGYVSSDRVSSSVASFSVTRMSSSSVVSGR >Al_scaffold_0006_516 pep chromosome:v.1.0:6:2201016:2202220:-1 gene:Al_scaffold_0006_516 transcript:Al_scaffold_0006_516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZ26] MDIKKTKAASSSSSFDNLFGPKGSASASSASSCSTILDSIFPPPVARKKGSHTAPEVQGSITLATAKDERSSHEKRESSYYSSSIYYGGQQHYSPPRTDGSSTSPSHQPKETDDRTDTTTSTSRGNWWKGSLYY >Al_scaffold_0006_527 pep chromosome:v.1.0:6:2242305:2243013:-1 gene:Al_scaffold_0006_527 transcript:Al_scaffold_0006_527 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 33 [Source:Projected from Arabidopsis thaliana (AT5G06080) TAIR;Acc:AT5G06080] MAGHGSSCGACKFLRRKCNRDCVFSPYFSYEQASSHFAAVHKVFGASNVSKHLLHLPLHQRSVAAITISYEALSRMRDPVYGCVAHIFALHQQVVTLQEEIEFLGSQMTNFSYSTQNGSQLNNIPEFVNQMTVDTTNFVDESVLNNVDGRNCLDGFFTNSEEMLVNHQWLQNMDYYYYAPQN >Al_scaffold_0006_539 pep chromosome:v.1.0:6:2310240:2311015:1 gene:Al_scaffold_0006_539 transcript:Al_scaffold_0006_539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casparian strip membrane protein 4 [Source:UniProtKB/Swiss-Prot;Acc:D7LZ50] MKSDSIAVDVPAESSSAIKGKAPLLGLARDHTGSGGYKRGLSIFDFLLRLAAIVAALAAAATMGTSDETLPFFTQFLQFEASYDDLPTFQFFVVAIAIVTGYLVLSLPFSVVTIVRPLAVAPRLLLLVLDTAALALDTAAASAAAAIVYLAHNGNTNTNWLPICQQFGDFCQKTSGAVVSAFASVTFLAILVVISGVSLKRP >Al_scaffold_0006_566 pep chromosome:v.1.0:6:2422054:2422744:-1 gene:Al_scaffold_0006_566 transcript:Al_scaffold_0006_566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZQ4] MESKMTTSASDSKDPFFCLKWPWDSNKQPKSSSVCDFQGPWLFRSMQTIGSIALSSLTSFGQNPNFRPKKKPLSSCEQGEAEQMAFAAALASQKEATVLQFYSPKCRLCNSLLNFVLEIEKRNSNWLSITMADAENEKWFPELLHYDIKYVPCFVLLDKNGQALAKTGVPSSRAHVIAGISHLLKMKRPPSE >Al_scaffold_0006_597 pep chromosome:v.1.0:6:2554327:2561636:1 gene:Al_scaffold_0006_597 transcript:Al_scaffold_0006_597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G06740) TAIR;Acc:AT5G06740] MRFSLAWKLLFLILTIACKLETQVKCLEFNFPGFNVSNDLDLIRDNSYIVLGAIQVTPDVSGGPGGSIANQAGRALYNKPFRLWSKDKNATFNTTFVINISNKTDPGGEGLAFVLTPEKTAPQNSSGMWLGLVNERTNMTLESRIVSVEFDTRKSHPDDIDGNHVALNVNNINSVVQESLSGRGIKIDSGVDLTAHVRYDGKNLSVYVSRNLEVFEQRNLVFSRAIDLLAYLPETVYVGFTASTSNFTELNCVRSWRFEGSEIDGDGNMLWLWITIPIVFVVVIGAFLGALYLRSRSKAGETNPDIEAELDNCAANPQKFKLRELKRATGNFGAENKLGQGGFGMVFKGKWQGRDIAVKRVSEKSHQGKQEFIAEITTIGNLNHRNLVKLLGWCYERKEYLLVYEYMPNGSLDKYLFLENKSRSNLTWETRKNIITGLSQALEYLHNGCEKRILHRDIKASNVMLDSDFNAKLGDFGLARMIQQSEMTHHSTKEIAGTPGYMAPETFLNGRATVETDVYAFGVLMLEVVSGKKPCYVLVKENQSNYNNSIVNWLWELYRNETIMDAADPGMGSLFDKEEMKSVLLLGLACCHPNPNQRPSMKTVLKVLTGETSPPDVPTERPAFVWPAMPPSFSDIDYSLTGSQINSLTELTGR >Al_scaffold_0006_604 pep chromosome:v.1.0:6:2588474:2592227:1 gene:Al_scaffold_0006_604 transcript:Al_scaffold_0006_604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT5G06810) TAIR;Acc:AT5G06810] MKLLQNPSRFTSLLKWVSQLSLETHLKPPISGTEFLLRRTQNPRSFATVTGNEVSSEKLNVKRTRNGFRITPTIRKLAEEAMLDYFYSTRGLQYMVAESMSKNSPMFIDNLLKKVDCVTASDINQSITRYLRFHPVNEFEPFLESSGLKPTEYSHLVPCDKVFLEEEGFLLENHHVLCYSGVDPKKIGKIFKEAREVFGYETGVLASKIKAYEDLGFSRYFLSKLIVCSPRILIGNTNVELAKVLKTLKSMGFEFDWVMENLSDEGSCDWSSVHRVLRLFREICFDEEELCGLIRKYPRLVFENSGKWTVILVGFETKLGSSRRELCSLFQKFPLIQVEKCVSNLRQCFLFLKEIEMEDDEIHKVFRSHSWWLGSCRLKKTSSLLVFLKAGKTRVCQVIQESPEEMKKWTMGSKIQPLPATNVDIDSKLMKTQFLLDLGYKENSEEMESALKNFRGKRSELRERFNVLVSLGFTEKDVKDMVKACPTMLSQTCDILESKVNYLINELGYPHSTLVDFPSCLKFTLQRMKLRFAMFSWLQARGKVDRKIKVSTMLACSDKIFVIMSFMRNPRFKSLLNWVSQAFTETPFKPQVSGGNPRSFATQRALVDAEVSGEKWGLRTRNEIRKVAQVAMFDYFYQTRGLQFLVAESMSRNAPVFNDNLLKKLNGCDVDDDDDVVKAITRFLWFHPVNEFEPFLESLGLKPSEFSHLIPCDKMFLNEDAFLLENYHVFWNYGIGREKMGKIFKEAREVFGYETGVLASKIKAYEDLGFSKLFLSKLIVCSPSILIGNTNVGLAKIMEMLKAISFGVDWVTENLSEEVSYDWSSMHRCLSFLRDMCVDENELRELIRKRPKLIFEDSGEWTMILAGFEAKLGSSRSELSSLFQKFPQSQSIGKFVSNLRHCFLFLKDIDMEADEIGKIFRLHSSWLGVTRLKQTSTLLINLKGGKGRLCQVIQENPEEMKKWIMGLRVQPLPATGCKVDTKSKTMKTQFLLDLGYKENSEEMERALKNFRGKGSELRERFNVLVSFGFTEKDVKDMVKACPSILSQACDILESKVNYLINELGHPLLTLVTFPTCLKYTLQRMKLRFAMFSWLQDRGKADPKLAVSTILVCSDKFFATRFVNRHPDGAKHLEDLKKLFLCQQR >Al_scaffold_0006_610 pep chromosome:v.1.0:6:2615280:2615966:1 gene:Al_scaffold_0006_610 transcript:Al_scaffold_0006_610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZU8] MESKMTTSASTSKDPFFCLKWPWDSNKHPKSSSVCDFQGPWLFRSMQTVGSIALSSLTSFGQNSNFRPKKKPLSSCEQGEAEQMAFAAALASQKEATVLQFYSPKCRLCNSLLNFVLEVEKRNSNWLSITMADAENEKWFPELLHYDIKYVPCFVLLDKNGQALAKTGVPTSRAHVIAGISHLLKMKRPPSDSD >Al_scaffold_0006_612 pep chromosome:v.1.0:6:2623375:2624995:-1 gene:Al_scaffold_0006_612 transcript:Al_scaffold_0006_612 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 93, subfamily D, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G06900) TAIR;Acc:AT5G06900] MVDIQYFFIIIPLCLGITVLIQAITNRLRNKLPLPPSPTALPIIGHIHLLGPIAHQALHKLSIRYGPLMYLFIGSIPNLIVSSAEMANEILKSNELNFLNRPTMQNVDYLTYGSADFFSAPYGLRWKFMKRICMVELFSSRALERFVSVRSEELRKLLIRVLKKAEAEESVNLGEQLKELTSNIITRMMFREMQSDSEGDGKTEEVIKMVVELNELAGFFNVSETFWFLRRLDLQGLKKRLKNVRDKYDVIIERIMKEHESRNKKDTGARNMLDILLDIYEDKNAEVKLTRENIKAFIMNIYGGGTDTSAITVEWALAELINHPEIMKKAQQEIEQVVGNKRVVEESDLCNLSYIQAVVKETMRLHPGGPIFVRESDEECAVAGFRIPAKTRVIVNVWGIGRDSNQWEDPLEFRPERFEGIEWKVMSEKMMSFGAGRRSCPGEKMVFRFVPVVVAAIIQCFELKVKGSVEMNEGTGSSLPRATPLVCVPVAKEAIQSFSLLEPKVNFY >Al_scaffold_0006_614 pep chromosome:v.1.0:6:2628149:2629801:-1 gene:Al_scaffold_0006_614 transcript:Al_scaffold_0006_614 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 712, subfamily A, polypeptide 2 [Source:Projected from Arabidopsis thaliana (AT5G06905) TAIR;Acc:AT5G06905] MNLDMNYTSCSYLFFTFITIFLLHRLFSSSSRSGLPPGPLGLPILGHMHLLRSSLPRSLQSLAHTYGPLMTIRIGSLHVLVVSDSDTAKQILKTHDPDFASKFVFGPRQFNVYKGAEFFNAPYGPYWRFMKKLCMTKLFAGYQLDRFVDIREEETLALLTSLVERSSNGEACDLGLEFTALTTKILSKMVMGKRCRQNSDIPVEIRKIVSDIMACATRFGFMELFGPLRDLDLFGNGKKLRSSIWQYDELVEKILKEYENDKTNEEEEKDKDIVDILLDTYNDPKAELKLTMNQIKFFILELFMASLDTTSAALQWTMTELINHQDIFAKIRDEIKSVVGTSNRLIKELDLQKLPYLQAAIKETLRLHPVGPLLRRESNTDMKINGYDVKSGTKIFINAYGIMRDPTTYKDPDKFIPERFLVVEQDTERKMGYYQQYMLELKGQDVNYLAFGSGRRGCLGASHASLVLSLTIGSLVQCFNWTVKGDEDKIKIKLPTGFSASGTAGGSSLMCSPELCFDPFGYKTK >Al_scaffold_0006_63 pep chromosome:v.1.0:6:245183:245899:-1 gene:Al_scaffold_0006_63 transcript:Al_scaffold_0006_63 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M721] TWNPKAIRDFFEIFYSKEYDALFGFKVNQGNKKALKPSLFFTPHCEAKLDSNLLEANWKIDGLSKIALFGNSFYKYEEQVSSFDQEVIHATKRFIAAQSS >Al_scaffold_0006_655 pep chromosome:v.1.0:6:2820862:2825172:1 gene:Al_scaffold_0006_655 transcript:Al_scaffold_0006_655 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2-like 4 [Source:Projected from Arabidopsis thaliana (AT5G07290) TAIR;Acc:AT5G07290] MPSDILEPRGLPTPPHFHEDIRITPEKQFGFMKNNPMPEGGGDRCSTLPTSSWTSDSYQLSQQSSLSGALPSFIPNGRNDTHWESSLFSSSLSDLFSRKLRLPRSDKLAFMSANREEEPFESLEEMEAQTIGNLLPDEDDLFAEVVGEGVHKSRANGGDDLDDCDLFSSVGGMELDGDVFSSVGQRDGKRGSNVSIVGEYPQGEILSRILFVRNVDSIIEDCELRVLFKQYGDIRDLHTAGKIRGFIMVSYYDIRSAQNAARALHGRLLRGRKLDIRYSIPKENPKENSSEGALWVNNLDSSISNEELHRIFSSCGEIREVRRTMHENSQVYIEFFDVRKAEVALQGLNGLEVAGRQLKLAPTCPEGTSFSPQFAADDGEGGLPKMAFNNLSSAHMGRHFPGILASTSIDGGSIRVMHNSVGSPMNSFIERHQSLDVPIGLPPSARVISASKPVGLQEFGNPFDNSKTGIHSMPNLHPHFPEYLDNFASGSPYKSSSTFSEMVSDGQKANVRGVGVDGFNGGVIGSPINQGSHRGNLNLWSNSNTQQHNQSSGMMWPNSPSRVNGIPSQRIPPVAAFSRASPLMVNMASSPVNHHIGSAPVLNSPFWDRRQAYVAESPESSGFHLGSHGSLGFPGSSPSHPMEIGSHKVFSHVGGNRMDTNSKNAVLRSSRQMPHLFTGRSPMLSVSGSFDLPNERYRNLSHRRSESSSSNAEKKLYELDVDRILRGDDSRTTLMIKNIPNKYTSKMLLAAIDEYCKGTYDFLYLPIDFKNKCNVGYAFINLTEPENIVPFYKAFNGKKWEKFNSEKVASLAYGRIQGKSALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQEPFPMGSNIRSRPGKHRTSSIENYTNFSSSSENREEPGNGNDSM >Al_scaffold_0006_666 pep chromosome:v.1.0:6:2886210:2889573:1 gene:Al_scaffold_0006_666 transcript:Al_scaffold_0006_666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0I3] MVLLCFVLDLRNISPSLIGDLKQSFLKLGNLHAISSPVDSLTDRIGLCYISKDRISGNDQQLKFAYTPTGNFCLRDFHHAMNSLPLDSFVPEIDESGAISCRDLKLSSVLCDRALYSWGGRDIMRKVIVLSSCFPEDMDSEARNTLMAAADKCVSVEFLLFEKQGSYVSYTQEKINRFLRCLSDLDNCSFQTCIPDEKSLHGLEKRWLQELKDDTGESLQAQIIFKSNIVGSVNKIFCNLTAATNQIVDGFSPCRTCRCHGFPLLDSVKNTMENLKCSITNHELGKYDVIENSVKIGDRTVLFLPSIHSLQKLHPISSQVDFHVIERTNLTSLSEGLLLGTPYIVSPSTCHETEASSEEMDQPDLNTQIFQGLCGALYSMDQGLVCSSNCNINTMTAVEFHCYYVLQPSEKGPMLLRRLAGSEEVLPISNVSQFAESSIPREIEISVKGALLEIESTDYNPLIHNRDFHQKLNLIVKESLQFGSLHSNTKDATYEVSSVLSDSVVPTAQTFPDIVNPEMLKEVHIIDEEDKATASITKEWEQLVVTEEVLMKSPSPVITTIRQVQSPIQSNNKQADMKTSMILERLEAPRKIRGKVGSPSVVIHSPISPDVCVLTQKKPLIPFQTTQVSSSQLMKPSFQRLKRKPK >Al_scaffold_0006_668 pep chromosome:v.1.0:6:2894582:2899087:1 gene:Al_scaffold_0006_668 transcript:Al_scaffold_0006_668 gene_biotype:protein_coding transcript_biotype:protein_coding description:forkhead-associated domain-containing protein / FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G07400) TAIR;Acc:AT5G07400] MKRRHCEKVLIRIHNFGTPLISGSSGLPVDSLHIESDRPYTFGRSSSNGFCDFVFDHSSISRKHCQILFDSQSHKLYIFDGVIVLPSVSFSQVYDEFRRRLVDFEDLGNLKFSVSLNGVFVNRVRVRKAKIQEVSIGDEVLFVCGKEGLCYNHGRVGFRVQEIDFEGRDTSIISVSSGHSRGTFSSGKRSKRVFAPMENEINSPVSGVCPRKAVGVAERVNSLVSYCRHILSSDDPMSCLRVSIISDSGKECLSCCTSKMLSSKVSIVADNRGVKSAEKNHEIGHGLSGLRLSIERPSPNLHVDRRLGVSDLISEIEYDGSACISVSAKTRTMLPFDGVKENIPDISCIDKEKSHLCSLPAPPAPGKNFYLNRLQYIEQNSTGSQRVVSLPELLHPVESIQQIFIATLTSDILWFLTCCEIPSHLPVTIACHHAERCWSSSPDARSTAPLPNYPNVTMVFPPFPEEIAFGKDRKNRGIACHHPKLFILQREVSIRVIITSANLVARQWNDVTNTVWWQDFPRRADPDVLSLFGHCRRETNHGLKTDFCAQLAGFAASLLTDVPSQAHWIIEFTKYNFEHSACHLVASVPGIHSYKPSYLTESVCSNTNFNEEFLGSVEASVVGLSYLFRSANDSTGLQLKRLASYIRRARENSLGMLELVLRRNTNVPADANAVSVLVPNPDDDSRDDFVQLGFLPRDIAKWVSPLWDIGFFKFVGYVYRDEVLGAASSRSNEKVQLMLHGVSISDVSKLIQPNHVVALCSLIASVQRCSGIWRLQEVLGRYKWPESLESDFVYSASSIGGSATSGFQADFSSAAGKKALQHFDSQESDPEWGCWSNREEREAPSIKVIFPTIERVKNGHHGVLSSRRLLCFSEKTWQKLRYNNVLHDAVPNPQDRVGHPMHIKVARRLFTSTRGSRSSSFGWVYCGSHNFSAAAWGRTISRSSRNNQDESHNAIRSVKKLRVCNYELGIVFVFPPPHEEMDSCDRSKIDDIVLPFVVPAPKYGWSDRPATGLAMREALAEFREGLRSFCGESEAEEEVEEEDEDEDEAEGRVEFVAEEVKQEEKAYAEALWSQVESSSSLSS >Al_scaffold_0006_677 pep chromosome:v.1.0:6:2923464:2924472:1 gene:Al_scaffold_0006_677 transcript:Al_scaffold_0006_677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0J5] MYRSASWNRVTEDYSVPWSAPKGLWKGLDEDEPAPYDPIGQEVTKKEKSRTKFAENAVHIIPFVLLACALVLWFFSNPDVDVGVRGESIAARIEGLTIEGDIDNDSDGTQTGFLGAATDVGHSKNKLKRDVNKSNRRIQASRKVMKGFY >Al_scaffold_0006_698 pep chromosome:v.1.0:6:3012060:3013299:1 gene:Al_scaffold_0006_698 transcript:Al_scaffold_0006_698 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 76 [Source:Projected from Arabidopsis thaliana (AT5G07700) TAIR;Acc:AT5G07700] MSKRLCCIGEGLKKGAWTIEEDKKLISYIHDHGEGGWRDIPEKAGLKRCGKSCRLRWTNYLKPDIKRGEFSYEEEQIIIMLHASRGNKWSTIARHLPKRTDNEVKNYWNTHLKKRLIDNGIDPVTHKPLDSSNPGSAVPKKFDCQDKSIPDEHSVQWSSTTPASLPLSSTFNSVKPKISSGETQIESGFLRCKKRFERSSSTSRLLNKVAARASSIGNILSTSIEGTLSSPASSSCLPDSFSQSSEHMIDNKEDLSTSIDINIPEYDFPQFLEQFINDDDEAENTGGSNQDLLMSDVPSTFVDEDDIIGDITSWSTYLLDHPNFMYKSDQDSEEKNFL >Al_scaffold_0006_7 pep chromosome:v.1.0:6:23456:25455:-1 gene:Al_scaffold_0006_7 transcript:Al_scaffold_0006_7 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01960) TAIR;Acc:AT5G01960] METPGANHDSFRGSPRRNSILSASNIIQAPISTLLEYSGLFRTRPNPSQEAETLVTDDSSGISNGEVAIRIIGNGEQDAETDSNGLREPGGLGHTELLGSATQVDPIGGAPSEGASQAAPGESVAGDAATRDSPYQRYDIQQAARWIEQILPFSLLLLVVFIRQHLQGFFVAIWIAAVMFKSNDILKKQTALKGERHISALIGISVAFTAHVVGVYWWFRKDDLLYPLIMLPPKSIPPFWHAIFIIVVNDTLVRQASMIFKCFLLMYYKNSRGRNYRKQGQLLTLVEYLMLLYRSLLPTPVWYRFFLNKDYGSLFSSLMTGLYLTFKLTSVVEKVQSFFTALKALSRKEVHYGSYATTEQVNAAGDLCAICQEKMHTPILLRCKHMFCEDCVSEWFERERTCPLCRALVKPADLKSFGDGSTSLFFQIF >Al_scaffold_0006_702 pep chromosome:v.1.0:6:3021178:3027786:-1 gene:Al_scaffold_0006_702 transcript:Al_scaffold_0006_702 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin binding [Source:Projected from Arabidopsis thaliana (AT5G07740) TAIR;Acc:AT5G07740] MGEDEYKVYLGGIVAQLQDHFPDASFMVFNFREGEQRSQISDVLSQYDMTVMDYPRQYESCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLSGLLLYRKQYHGEQKTLEMVHKQAPKELLHLLSPLNPQPSQLRYLQYISRRNLGSDWPPSDTPLLLDCLILRDLPHFEGRKGCRPILRVYGQDPKARTNRSSILLFSTLKTKKHTRLYQQEECILVKLDIQCRVQGDVVLECIHLHDDLVSEEIVFRIMFHTAFVRANILMLQRDEMDILWDVKDQFPKEFKAEVLFSGADAVVPPITTSTISDDENDFDITSPEEFFEVEEIFSDVIDGPDHKRDSDSFVVVDTASDDSEGKEVWKGDVEPNAFLDCASDDSNHKHDMHAETSTDPVKDITVDDVQYRSDGKADSNIDSVKDIGIDDGDEQRKRRTMEAKENDSRTVETQCKGDEESNDLESMSQKTSTSLNKPISEKTQATLRKQVGANAKLAGDSLKPKSKQQETQGPNVRMANPNAVSRWIPSNKGSYKDSMHVAYPPTRINNAPASITTSLKDGKRATSPDGVIPKDAKTKYLRASVSSPDMRSRAPICSSPDSSPKEKPSSLPAASPHQAPPPLPSLTSEATSVLHSSQAVASPPPPPPPPPLPTYSHYQTSQLPRPPPPPPPPFSSERPNSGTVLPPPPPPQPPLPFSSERPNSGTVLPPSPLSPPWKSVYVSALATPAICSPSQLPTSSPPPPPPPPAYYSVGQKSSDSQTSQLPSPPPPPPPPPFASVRRNSETLLPPAPPPPPWKSVYALSFDTHEACSTSSSPPPPPPPPFSSLNTTKANGDHIPPPPPLPYTSVAPTPALKILPINGFSTSPSPPLPPSKTAPPSPPPFSNAYSVPPPPPSPPSYGSPPLPPSKTAPPPPPPPPFSNAYSVPPPPPPPPSYGSPPPPPPPPPSYGSPPPPPPPPLSYGSPPPPPPPPFSHVRSIPPPPPPPPGHGSPPPPPPPPFGKTSPPPPPPPPFRASGPPPPPPPPFRSGGPPPPPPPMGAPPPPPPPMYGTPPPPPPPMRGGAPPPPPPPMHGGAPPPPPPPGSRGPGAPPPPPPPGGRAPGPPPPPGPRPPGGGPPPPPMLGARGAAVDPRGAGRGRGLPRPGLGSAAQKKSSLKPLHWVKVTRALQGSLWDELQRHGESQTAPEFDVSEIETLFSATVQKPADKSGSRRKSVGAKPEKVQLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDESVLDVDQIENLIKFCPTKEEMELLKNYTGDKATLGKCEQYFLEVMKVPRVEAKLRVFSFKIQFGTQITEFKKSLNAVNSACEEVRNSQKLKEIMKKILYLGNTLNQGTARGAAVGFKLDSLLKLSDTRAANSKMTLMHYLCKVLASKASVLLDFPKDLESLESASKIQLKSLAEEMQAIIKGLEKLNQELTASESDGPVSEVFRKTLGDFISIAETEVATVSSLYSVVGRNADALAHYFGEDPNRCPFEQVTATLLNFIRLFKKAHEENVKQAELEKKKALKEAEMEKAKGVSLTKKPVDDS >Al_scaffold_0006_703 pep chromosome:v.1.0:6:3029898:3033793:1 gene:Al_scaffold_0006_703 transcript:Al_scaffold_0006_703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:D7M0M2] MSLVEVSGADAVVAPMRGRVPTPPPPPPKRRRPPPPPPPPPPMRRRVQPPPPPPPPPPPPPKGAGRVRCFLIPLGQNKSYQKRTSLRSLWVELEGQGEAQTAPEFDVSEIEALISAAAVQNPADISASRREAFEVKPDKLQMISGADAVVAPMRGRVQLPPPPPPPPPPMRRRVPLPPPPPPMPRETPLPPRPLICVGAPSPPPPPPLPLPAKKKSTPLLPRLLICVGSPPPPPPPLPLPAKQKSSLKRLHWVKLARVLPGSVWDELQRRQECRNIKDEQILCAIELDVSEIKTLFSLVAKPKPEKKPLAAVLAMDDESVLDVDQIEDLINLFPTKEDIELLKTYTDDKGTLGKWEQYVQELTKVPRLESKLRVFSFKIQFATQITELKKVLNAINSACEEVRTSEKLKEIMKTILCLGNILNQGTAKGSAVGFKLDSLLKLSDTRASNSNMTLMHYLCKQVLASKASDLLDFHKDLESLESASKIQLKSLAEEIQAIIKGLEKLNQELTASESDGPVSQVFRKLLKDFIIIAETQVETVSILYSVVGRNADALAHYFGEDPKHYPFDKVTATLLSFIRLFKKAHEENIKQAELEKKKAAKEKTKFI >Al_scaffold_0006_724 pep chromosome:v.1.0:6:3126717:3127137:1 gene:Al_scaffold_0006_724 transcript:Al_scaffold_0006_724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M0P5] GPCNVCGVIGFEDFIMTCFRCREVREHIYCAAVCLRSVPDMWLCQECRSSTRVLQISDHVNNSTNSGRKEISTPVLHHNTSNGGLRLHPPPHGLMLLAQSCPNK >Al_scaffold_0006_727 pep chromosome:v.1.0:6:3135068:3136683:-1 gene:Al_scaffold_0006_727 transcript:Al_scaffold_0006_727 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08030) TAIR;Acc:AT5G08030] MVFKYFLPLLLLSLLVANCASRPLYRLPSEEKHATKKSLQTSRPYNLAHRGSNGELPEETAPAYMRAIEEGADFIETDILSSKDGVLICHHDVNLDNTTDVADHKEFADRKRTYEVQGMNMTGFFTVDFTLKELKTLGAKQRYPFRDQQYNGKFPIITFDEYISIALDAPRVVGIYPEIKNPVFINQQVKWADGKKFEDKFVETLEKYGYKGSYMSEDWLKQPIFIQSFAATSLVYISSMTDSPKLFLIDDVTILTEDTNKTYAEITSDAYLDYIKPYVIGIGPWKDTIVPVNNNRLMTPTDLVARAHSRNLQVHPYTYRNENQFLHLEFNQDPYLEYDYWLNKIGVDGLFTDFTGSLHNYQELKSPLPQQQ >Al_scaffold_0006_73 pep chromosome:v.1.0:6:284448:285488:1 gene:Al_scaffold_0006_73 transcript:Al_scaffold_0006_73 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M732] MAGGGNFIARVISYVANEFIVNGLANSHAFQRFAVRTSKRIENLSKMAAENREKVAQHMEEFSKNMDSMKKP >Al_scaffold_0006_735 pep chromosome:v.1.0:6:3158870:3164138:-1 gene:Al_scaffold_0006_735 transcript:Al_scaffold_0006_735 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;ATP-dependent helicases;ATP binding;helicases;ATP-dependent helicases [Source:Projected from Arabidopsis thaliana (AT5G08110) TAIR;Acc:AT5G08110] MENERRSGGGDTVAIFVRSCNGESTTVQVPSDGTIRDIKKALKSSFPPASSSPSYHLWFKGEKLKLSTRVAEITINAGDILGLVPFIKKERPHTPKPDLSKPSLSSRTSNSPYSEKIGEYSNLKDENAKTAEDDCVGEKRKRDEEACPYGFFNDDLESECKDAFKGQNKEKLAEVLKSRNCLTSPRSTKCLMSWDSSSSLCSCPDWESLSRLALSGVRVGIQDVKNLSVICPKVITDDFEAVNYENAIVIADYPERDEKPGRKKTPLAKVFSAMKKRETSFKSDFWDSIKSLLNKNTGESGIAISLEGMLKFASEGRAVGGNEARQAGKGSCPTSGSRKSQTLCRETNSLLPSEMVEHLRNGIGSKGQVVHVEVINARKSAYVEMSDKLSETTKSALKRIGLNTLYSHQAEAISAALSGKNVSVATMTSSGKSLCYNVPVFEELCKDTNSCALYLFPTKALAQDQLRALSDLIKGFEASIQMGVYDGDTPYKDRTRLRSNARLELANLSELELVVKDGSPSSEKLFVLWNPSAPPAIKPEESSKVVSSSEAAADKPSGATAADTPSGPASEVSHLFAEMVQHGLRCIVFCPSRKLCELVLCLTREILAETAPHLVEAITSYRGGYIAEDRRKIESDLFGGKLCGIAATNALELGIDVGHIDVTLHLGFPGSIASLWQQAGRSGRRQKPSLAVYVAFLGPLDQYYMSFPDKLFGSSIECCHIDSQNKHVLSQHLACAALEHPLSLQYDEQHFGSGISGALAELRKEGFLSFDPSRDSSSRIWNYIGREKKPTKIVSIRAIETVRYRVMEKKSKDLLDEIEESKAFFHVYEGAIYMNQGRNYLGTSLDIKEKVALCELVDVDYYTRTRDYTDIKVTGGDTAYEKNQSNKPTPQSHACRVTTKWFGFLRIRRKNNEVIDDVELSLPSYTYESQAVWIHVPMSVKLAVETAKLPFRAGLHAACHALVNVVPVKVTCNYSDIAPECPNPQEQRYFPARILLYDRHPGGTGISAKIRPFFTELLKDAGELLKSCGKCPAETGCPKCVQTFGCRGYNELLHKKAAIMIIQDIKA >Al_scaffold_0006_74 pep chromosome:v.1.0:6:289453:290098:1 gene:Al_scaffold_0006_74 transcript:Al_scaffold_0006_74 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M734] MYVLDPATRWYRRLPEARFQALYCDTTNRVSEPLLGFGKDNIKGIYKLVWLYNSDCVDLDGQTNTCEVFSFNNNKETQVLSFDLHTETFKVMANIPVGDAPHERIAMCTLNDRLCLSEDKGDTQTIWSLNQANMTWHKTYSVQLSLVLKRNILTHGHRSHPFSTTGYCFMIAATTSPRWWYTIID >Al_scaffold_0006_747 pep chromosome:v.1.0:6:3238232:3239200:-1 gene:Al_scaffold_0006_747 transcript:Al_scaffold_0006_747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M198] MAKTEKRVPERLGSSSYFLGCFGFSPKVYSDKPMVTKEDGCKKKKRISRWFLCSKFRLKNGEIKPSPIEETEKPTWRVEDETDDKQKPLSVIRRTTDRKSIPVDDKAMNQETKETKPKDLRDSTPDRSKPIEPLGSFKKDTCPERISNSTRYLKSDLKPTRSRNGSRVKPFDPVIGISIIILTLMIMLVWGRLCAILCTSAWCYVLPRVRDAAALAKRKRNGSDVGDLNSQSYKRKVVLDGFLGRQNRVSLS >Al_scaffold_0006_748 pep chromosome:v.1.0:6:3240878:3242904:-1 gene:Al_scaffold_0006_748 transcript:Al_scaffold_0006_748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08250) TAIR;Acc:AT5G08250] MNPTSSSYNLTAAAVFVSSSCFGSDEPLVPRRLFFLRDVQILELFIALLVFVTIHSLRQKKHQGMPVWPFLGMLPSLISAFRSNIYEWLSEVLISQNGTFRFRGPWFSTLNCVVTCDPRNVEHLLKTRFSIYPKGSYFRETMRDLLGDGIFNTDEETWQQQRKTASVEFHSAKFRLLTSQSLHELVHNRLLPVLETSGNIDLQDILLRLTFDNVCMIAFGVDPGCLSPKLPEIPFAKAFEDATEATVVRFVMPKFVWKLMRSLNLGTEKKLKKSIKGVDDFAEEVIRRRKKQMSLEAEISKRPDLLTIFMGLRDQNGQKFSDKFLRDICVNFILAGRDTSSVALSWFFWLIEKNPEVEEKIMMGICKILEQRDDQGDAKKNMEYEPVFRPEEIKKMDYLQAALSETLRLYPSVPVDHKEVLEDDIFPDGTKLKKGEKVIYAIYAMGRMETIWGKDCREFKPERWLRDGRYMSESAYKFTAFNGGPRLCLGKDFAYYQMRYVAAAIIYRYRVRVDDKGGHKVEPKMALTMYMKHGLKVNMVKRSVSEIDHYYC >Al_scaffold_0006_754 pep chromosome:v.1.0:6:3257285:3262453:-1 gene:Al_scaffold_0006_754 transcript:Al_scaffold_0006_754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1A5] MAFSRIALLCQRFSRQQQQQRQLHRPFTTKLDNTRFLYPNQSKLAQNLIAIFTRQPFSPDDPELLKLAPELNTKVVETVLNEFKRWGLAYLFFNWASKQEGYRNDMYAYNAMASILSRARQNASLTALVGDILNSRCLMSPGALGFFIRCLGNAGLVEEASSVFDRVREMGLCVPNAYTYNCLLEAISKSNSSSVELVEARLKEMRDCGFHFDKFTLTPVLQVYCNNGKSERALSVFNEILSRGWLDEHISTILVVSFCKWGQVDKAFELIEMLEERHIRLNYKTFCVLIHGFVKESRIDKAFQLFEKMRRMGMNPDIALYDVLIGGLCKHKDLEMALSLYLEIKRSGIPPDRGILGKLLCSFSEESELSRITKVIIGDIDTKSVMLLYKSLLEGFIRNDLVHEAYNFIQNLMGNHESDGMSEIVKLLKDQNKAILPDSDSLSIVIDCLVKANKVNMAVTLLHDIVQNGLIPSLMMYNNIIEGMCKEGRSEESLKLLAEMKDAGVEPSQYTLNCIYGCLAERCDFAGALDLLKKMRFYGFEPWIKHTTCLVKKLCENGKAVDACKYIDDVAGEGFLRHMVSYTAAIEGLIRNEGVDRGLELFRDTCANGHCPDVIAYHVLIKALCKACRTTEADNLFNEMVSKGLKPSVATYNSMIDGWCKEGEIDRGLSCIVRMYEDEKNPDVITYTSLIHGLCASRRPSEAISRWNEMKGKDCYPNRITFMALIQGLCNCGWSSEALVYFREMEEKEMEPDSAVYLSLVSSFLSSENISAGFGIFREMVHKGRFPVSVDRNYLLAVDATSDVNYAYKLLSKLSNPPDYGWNFVIRGFSNSKNPEKSIRVYIQILRSGFSPDHMTYPFLLKSSSRLSNREIGGSLHCSVVKTGLEWDLFISNTLIHMYGSFRDKASARKLFDEMPYKNLVTWNSILDSYAKSGDVVSARLVFDEMTMRDVVTWSSMIDGYVKSGEYNEALEIFDQMMRMGSSKANEVTMVSVLCACAHLGELNRGKVVHRYILDVHLPLTVILQTSLIDMYAKCGSIGDAWGVFCGASVKKTDALMWNAMIGGLASHGFIRESLLLFHKMRESEIDPDEITFLCLLAACSHGGLVKEAWHFFTSLKESGAEPKSEHYACMVDVLSRAGLVKDAHDFISEMLIKPTGSVLGALFNGCINHGNLELAETVGKKLIELQPHNDGRYVGLANVYAINKQFGAARSMREAMEKKGVKKIAGHSIIKLNGTSHRFIAHDQTHFHSDKIYAVLQLIGTGMNLDVDYDDSEECHCFCS >Al_scaffold_0006_755 pep chromosome:v.1.0:6:3263901:3264630:-1 gene:Al_scaffold_0006_755 transcript:Al_scaffold_0006_755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1A6] MAGLKVFCFALLLVLTFSVDVEGYVVESGSLCCNSHPKFGKCNTNNDEQRCNSWCHNGCDNGRGGFCKSLPHGGQCHCRC >Al_scaffold_0006_756 pep chromosome:v.1.0:6:3265237:3268162:1 gene:Al_scaffold_0006_756 transcript:Al_scaffold_0006_756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1A7] MDEYAAKEMELMGHDADIRKRSCISFSVTGYDTSVAYYDLNRALRKHFASCGRIRQCCISRDPERGYLSSFAWMAIRGEKGTGGKVLDLNGSDFGGCKLFVEASPSQGPNIELSSEAAAVRSARSRLFRSIIVSVSGFDPWLPEHDLESSLRRHFSSCGEVTNISIHRGPGGIIKSPAFIFLLGEDAAEQALELSGSDVGGWKVAVKVLPLPPTINESLNQTSCFGISVTGYDTLLPEDDLKTALSKHFRSCGEVTDISIRRSSAFIYIMGEGAGDKATELSGSDMGGGWKVVAKVDSVPGEYHDEDPPMFIG >Al_scaffold_0006_776 pep chromosome:v.1.0:6:3344226:3344753:1 gene:Al_scaffold_0006_776 transcript:Al_scaffold_0006_776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1C8] MNNKGSQNVATCKPVTTFVQTDTNTFREIVQRLTGPSENNAAAATPEATVIKTAIQKRPTSKLHERRQCMRPKLEIVKPPLSFKPTGTTPSSKSGNTNLLTSPVGTPSSLFSNLSLIEGEKAEPDSCTTNIEEEEKAIKERRFYLHPSPRSKPGYTEPELLTLFPLASPNSSGKP >Al_scaffold_0006_780 pep chromosome:v.1.0:6:3381625:3388117:1 gene:Al_scaffold_0006_780 transcript:Al_scaffold_0006_780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1D2] MNTKRAYKLRILFSDFIFREFVAHSAAVNCLKIGRKSSKVLVTGGEDHKVNLWAIGKPNAILSLYGHSSGVDSVTFDASEGLLAAGAASGTTKLWDLEEAKDTNLKIWDIRKKGCIHTYKGHTRGVNVLRFTQDGRWTVSGGKDYVVKEFVAPSAAVKCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLYGHSSGIDSVTFDASEGLVAAGAASGTIKLWDLEEAKVVRTLTGHRSNCVSVNFHPFACDGTHSHELTTKQTSQEVDGKDSDLKDEGPVEWVSYWKPNVTNNLVDDFTRYPQHGVPPNIDPYLLVEPSTVNYYPTVFFNEFWLLRDKLILINETVSELPLNLEVSPISMTKWQLFQQIDQSFQIHRSYGSMLDVESDELKRVFLEGNPYLLGVTMLFRCFILYLTSLHSKNAIELPVTFPADIQFWNKNKSMEGLSAKMDGSVTRISFLMGGNAGSVPVSVRLAEKYIRQMSKINGQLILYAFNMVFSKKFLGGWMSYLVRDLLQQQGVPHALQPAIVESVIDDNLEGIHKKLGACAKTEAHGYSRRQPVIWTPTENQIFVKEIGNALEKDFDVDAMSNLLKSWRESKDKLAALCTVICNQFIFQKDPPSVFMYTKKMAYLDPLVKGRNGGMLDLLHALEKFLKTHPQPRGLEDLLLDGFITTWYLSEKAILEWYEEGSKRDGNNARLFESVRHLVPEEPDYDIWAEDICIIEGSETVQDFCAGAAPGNPRDAIRPFSLLKKSGGYERSNGLRVLKPSMSTEATEIPDIPSSIPNVSWRSPLKMAIINSGIDCLS >Al_scaffold_0006_781 pep chromosome:v.1.0:6:3394178:3395489:1 gene:Al_scaffold_0006_781 transcript:Al_scaffold_0006_781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M1D3] DFIKNLLSSASEKSMASDSIQASVPDEEFRSKLGNIIQRVQYLPGIQNVTRLSKEFTELSAFHQPKDFFFVQIMLVKNSQSAIFEPIFHDSYFDFLEKVNSPSLYTETVKTTCLICKAILEPEIQLHPMVNQQVLSHVTKNLGKWLGKLIVRTDCWSLLKTDLALEPFIVTAYERGLMSKSIPFVIEVLESCRRSPLCPSFETILSLLSEIYMMPNLQDGLLFSIEGLLEKFNVLEPLKPASTLQEKHRQMNLNVDFEERKIIVKPLKFGKYDDRAPRDS >Al_scaffold_0006_782 pep chromosome:v.1.0:6:3401126:3402643:1 gene:Al_scaffold_0006_782 transcript:Al_scaffold_0006_782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1D4] MESTAAIEKIKQIVFINPRLHPRLPLSKLEFAVSQAIETVVPEFLKMSVSHALQKTKAHVLMAYPLGTDDLRRNNAAHMMATSLAQRYANDFCNPCIPYETRCRIVDSIISENLESICVGIQEIARTEVVRDIEAQVRSWIHSNIAEEKIMAIKDNLKANISVSEMKNLLDSRFGFVGDKYEALLEAIFSGVEKGFAKVLQEKKSYLLAVMEPMSEGFLLQAIENYFKKRCSVSFKEELPMVFKCLVNDPSLVERDDLISHIKDLKDETEATTSIWQSVKNLLERRRQGVTVQGRITWIG >Al_scaffold_0006_787 pep chromosome:v.1.0:6:3427448:3431955:1 gene:Al_scaffold_0006_787 transcript:Al_scaffold_0006_787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1E1] MHDSEIFKFKDMMMSCTVQSLVLVPWFLVVVGLAGGEVSSETAMFPAMFVFGDSLVDNGNNNHLNSLARSNYLPYGIDFAGNQPTGRFSNGKTIVDFMGELLGLPEIPAFMDTVDGGVDILQGVNYASAAGGILEETGRHLGERFSMGRQVENFEKTLMEISRSMRRESVKEYMAKSLVVVSLGNNDYINNYLKPTLFLTSSIYDPTSFADLLLSNSTTHLLELYGKGFRKFVIAGVGPLGCIPDQLAARAAPPGECVEAVNEMAELFNNRLVSLVDRLNSDSKTASEAIFVYGNTYGAAVDILTNPFNYGFEVTDRGCCGVGRNRGEITCLPLAVPCAFRDRHVFWDAFHPTQAFNLIIALRAFNGSKSDCYPINLSQLSRL >Al_scaffold_0006_79 pep chromosome:v.1.0:6:324727:325745:1 gene:Al_scaffold_0006_79 transcript:Al_scaffold_0006_79 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEBP (phosphatidylethanolamine-binding protein) family protein [Source:Projected from Arabidopsis thaliana (AT5G01300) TAIR;Acc:AT5G01300] MSSEEFRLVSPTIDNDGNLPRKYTQGGQGAKKDLSPPLEWYNVPEGTKSLALVVEDIDAPDPSGPLVPWTVWVVVDIPPEMKGLPEGYSGTEEQTTGIREGNNDHKIPGWRGPLLPSHGHRFQFKLFALDDTPKIGHTVTKERLLIAIEGHVLGEAILTCVA >Al_scaffold_0006_790 pep chromosome:v.1.0:6:3445212:3447760:-1 gene:Al_scaffold_0006_790 transcript:Al_scaffold_0006_790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1E5] MVPLRQFVQNFRLLSGFGTDHRVFLDVVKACASVSELTSGRALHGCVFKLGHIACTEVSKSVLNMYAKCRRMDDCQKMFRQMDSVDPVVWNIVLTGLSVSCGRETMRFFKAMHFADEPKPSSVTFAILLPVCVRLGDSYNGKSMHSYIIKTGLEKDTLVGNALVSMYAKFGYIIPDAFTAFDDIADKDVVSWNAIIAGFSENKMMADAFRSFCLMLKEPTEPNYATIANVLPVCASMGKNIAYRSGRQIHSYVVQRSWLQTHVFVCNSLVSFYLRVGRIEEAASLFTRMGSKDLVSWNVVIAGYASNHEWLKALQLFHNLVQKGDVSLDPVTILSILPVCAQLTDLTCGKEIHSYILRHSYLLEDTSVGNALISFYARFGDTSAAYWAFSLMSMKDIISWNAILDAFADSPKQFQFLNLLHHLFNEAITLDSVTILSLLKFCTNVQGIGKVKEVHGYSVKAGLLHNEEEPKLGNALLDAYAKCGNVEYAHKIFQGLSERRTLVTYNSLLSGYVNSGSHDDAQMLFSEMSTTDLTTWSLMVRIYAESCFPNEAIGVFREIQARGMRPNTVTIMNLLPVCAQLASLHLVRQCHGYIIRGRLGDIRLKGTLLDVYAKCGSLKHAYSVFQSDARRDLVMFTAMVAGYAVHGRGKEALMIFSHMIDSNIKPDHDGLQIYDSIRAVHGMKPTMEQYACAVDLLARGGRLDDAYSFITQMPVEPNANIWGTLLRACTTYNRMDLGHSVANHLLQAESDETGNHVLISNMYAADAKWEGVMELRNLMKKKEMKKPAGCSWLEVDGKRDVFVSGDCSHPRRDSIFDLVNALYLQMKEPVVF >Al_scaffold_0006_823 pep chromosome:v.1.0:6:3666104:3667515:-1 gene:Al_scaffold_0006_823 transcript:Al_scaffold_0006_823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M212] GHLGFTFIPEIKFNFVPTDKFGYIRKSERPLLCYFIPDVIGVVQIVSPNNSIRRRNDNELIPKRDITVADETKKTVVVSLWNDLPTGIGQELLDMADKSLKAGDFQLGDRKVEDIISCIVDEPNKLKSEEGGVNEFQTKMKEVIWSPHLFRISVSQQEYNREKRQTTTVRGVSPIDFTAETRLLLQDISKNKTSW >Al_scaffold_0006_830 pep chromosome:v.1.0:6:3687767:3688723:-1 gene:Al_scaffold_0006_830 transcript:Al_scaffold_0006_830 gene_biotype:protein_coding transcript_biotype:protein_coding description:ssDNA-binding transcriptional regulator [Source:Projected from Arabidopsis thaliana (AT5G09250) TAIR;Acc:AT5G09250] MSSRGKRKDEDVRASDDESESHAPAKKVAKPADSSDESDDIVVCNLSKNRRVSVRNWNGKIWIDIREFYVKDGKTLPGKKGISLSVDQWNTLRNHAEDIEKALSDLS >Al_scaffold_0006_847 pep chromosome:v.1.0:6:3744455:3745643:1 gene:Al_scaffold_0006_847 transcript:Al_scaffold_0006_847 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G09430) TAIR;Acc:AT5G09430] MFDHLKLLRCFSFTASRDWLFRQSFANAGLRSVTTDLSHGNSIASTAMHCWIPKSPNRSKPNLLLVHGFGANAMWQYGEHLRAFTGRFNVYVPDLLFFGLSSTSEPNRSESFQARCLMRLMEAHGVQRMNIVGISYGGFVGYSLAAQFPEKVEKLVLCCAGVCLEEKDMEDGLFKVPNLEEATGILIPQTPEKLKELIRFSFVKPIKGVPSFFLWDFIDVMCTEFVEEKRDLIKSILKDRRLSDLPRIKQKSLIIWGEEDQIFPLELGYRLKRHIGESAEIVVIKNAGHAVNLEKSKEFVKHLKSFLIDSF >Al_scaffold_0006_849 pep chromosome:v.1.0:6:3760693:3761490:-1 gene:Al_scaffold_0006_849 transcript:Al_scaffold_0006_849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M238] MEPKPTGCLPRRIRPLPGSYKYYPDDTGSDALWKEHIREEVVQLGVDLSVSLAISMFLLCDDIHTMLWFCFKLFKYTLPPSNPVSERLLRVVHYVYSKDIKPKNRACRDGGNSVQWELVRTTWKDFTDGIIILSRLVLILRKKDCSFDDRLLSSAIAKYKQQVLKELEDKLMSAKDVSELNGFERETVASNVSDLWKSLFDEEAGESTPEVARSRILSDLFQPISGHSCHGEIPTLPVTYPYIL >Al_scaffold_0006_852 pep chromosome:v.1.0:6:3768996:3770304:-1 gene:Al_scaffold_0006_852 transcript:Al_scaffold_0006_852 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicarboxylate carrier 3 [Source:Projected from Arabidopsis thaliana (AT5G09470) TAIR;Acc:AT5G09470] MCFKPFLEGGIAAIIAGALTHPLDLIKVRMQLQGEHSVSLDQNPNPNLVLDHNILPVKPYRPVFALDSLIGSISLLPSSIHAPSSSTRSVMTPFAVGAHIVKTEGPAALFSGVSATILRQMLYSATRMGIYDFLKRRWTDRLTGNFPLVTKITAGLIAGAVGSVVGNPADVAMVRMQADGSLPLNRRRNYKSVVDALERIARQEGVSSLWRGSWLTVNRAMIVTASQLATYDHVKEILVAGGRGTPGGIGTNVAASFAAGIVAAVASNPIDVVKTRMMNADKENDGGPLDCAVKMVAEEGPMALYKGLVPTATRQGPFTMILFLTLEQVRGLLKDVKF >Al_scaffold_0006_854 pep chromosome:v.1.0:6:3772289:3773089:-1 gene:Al_scaffold_0006_854 transcript:Al_scaffold_0006_854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19 family protein [Source:Projected from Arabidopsis thaliana (AT5G09490) TAIR;Acc:AT5G09490] MAQVESEVSTAALAKKRTFKKFSFKGVDLDALLDMPTDDLVKLFPARIRRRMSRGLTRKPMALIKKLRKAKLEAPAGEKPEVVRTHLRNMVIMPEMIGSIIGVYNGKTFNQIEIKPEMIGHYLAEFSISYKPVKHGRPGQGATHSSRFIPLK >Al_scaffold_0006_879 pep chromosome:v.1.0:6:3851392:3852926:-1 gene:Al_scaffold_0006_879 transcript:Al_scaffold_0006_879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein [Source:Projected from Arabidopsis thaliana (AT5G09780) TAIR;Acc:AT5G09780] MASNPDFLWCKEERKQESFVKLLKHFDMSSENTRAIPYDFVRNFSDNELSGNMKIRVQWGNSWKVKISKNPRFYFMEKSGWETFVRDNALGDYEFLTFTHKGKMSFTVNIFNKDGKEMMQPPQSRAFLASSSRIKTEQDVKREEVLVSSDSSSRGPTTAAVSNGNGEGMYKRKLNFGKKKAEETQNSKRTERVVSRQRVYAGAPSSSVAEFNIFISKSYIKSLAIPTTFANDYMPKEKTMVKIHHPDGKKSWNVAFVVKKKGHIFSGGWKCLCREYPVVFGDTCKFTLIKPYELLLAVSRP >Al_scaffold_0006_88 pep chromosome:v.1.0:6:363792:366846:1 gene:Al_scaffold_0006_88 transcript:Al_scaffold_0006_88 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfoquinovosyldiacylglycerol 2 [Source:Projected from Arabidopsis thaliana (AT5G01220) TAIR;Acc:AT5G01220] MTLSSINLSVSPRLLPSTTIIINTCPSSSAATTCSPRCSFVHSPVSFGLSSQRRLPISLIRKKSKLRFCGEITREAVSVSGSNDMTITQVREDDESEIEAPLLDPESLSKPRRIALFVEPSPFAYVSGYKNRFQNFIRYLREMGDEVIVVTTHEGVPEEFYGAKVIGSRSFPCPYYQKVPLSLALSPRIISEIARFKPDIIHASSPGIMVFGALAIAKMLSVPIVMSYHTHVPVYIPRYTFSWLVKPMWSIIRFLHRAADLTLVPSAAIGKDLIAAGATAANQLRLWNKGVDSESFNPRFRSQEMRIRLSNGEPEKPLVIHVGRIGVEKSLELLKSVMDKLPEARIAFIGDGPYKEDLEKLFTGMPAVFTGMLQGDELSQAYASGDVFVMPSESETLGLVVLEAMASGLPVVAARAGGIPDIIPEDQEGKTGFLFNPGDVEDCVTKLRTLLHDRETREIIGKAAREETEKYDWRAATTKIRNEQYSAAIWFWRKKKVHVLGPINWLIKRLFPVPEVNV >Al_scaffold_0006_887 pep chromosome:v.1.0:6:3882595:3884347:-1 gene:Al_scaffold_0006_887 transcript:Al_scaffold_0006_887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor (TFIIS) family protein [Source:Projected from Arabidopsis thaliana (AT5G09850) TAIR;Acc:AT5G09850] MDLDDFRSVMDNAGVDVWTFIDTAILVASLDYGQELKRRRDNIVERLYATSMANKCRNCDFGGGGSVTEAAVGRVNGRVHEETEEEDEEAAAAAAAAEEVREKSVNVEDDDDFDPFAGLFDDEQKSILEIKEKLEDPDLSEESLVELLQNLEDMDITFQALQETDIGRHVNRVRKHPSNNVRRLAKQLVKKWKETVDEWVKFNQPGDLEPPSLIEQSISSNVADEDSPVQKALHNGNRQQVPDFGYSPVPQNGYSSSSKNSNITEPERKPRPVAAAAPPPRRESPSPAKPSRPSPSQQTIPRDKEHKEVDFDTARKRLQQNYRQAENAKKQRTIQVMDIHEIPKPKKGGFFPRKGGSSQGGRHW >Al_scaffold_0006_891 pep chromosome:v.1.0:6:3904464:3908061:-1 gene:Al_scaffold_0006_891 transcript:Al_scaffold_0006_891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor, CC1-like [Source:Projected from Arabidopsis thaliana (AT5G09880) TAIR;Acc:AT5G09880] MEFDEYEYLEKTVEEGDGSNKNKESSGNEKSERSYRKREGGERHDEEGGDEERGSSRSKKSRGDGEENGKRERHRSSRDKDRERDKVREGSRDKESDRERSSKERDRSDRDKTRDRERRERERRSSSRSRREEREREVVERGSRRHKDKKDEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQPFLGQPVMVKPSEAEKNLAQSNTTPGGTGPVDRKLYVGNLHFNMTELQLRQIFEAFGPVELVQLPLDPETGQCKGFGFIQFAQLEHSKAAQISLNGKLEIAGRTIKVSSVSDHIGTQDAAPKSADFDDDDGGGLALNAQSRALLMQKLDRSGIATSIVGSLGVPGLNGAAFNQPGMNPSFPTPVLPTTAIPSFVNEPVGQPSECLLLKNMFDPATETERDFDFEIREDVADECSKYGEVNHIYVDKNSAGFVYLRFQSVEAAVAAQRAMHMRWFAQKMISATFMPPHEYEAKAKA >Al_scaffold_0006_9 pep chromosome:v.1.0:6:31611:32807:1 gene:Al_scaffold_0006_9 transcript:Al_scaffold_0006_9 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor 2B family protein / eIF-2B family protein [Source:Projected from Arabidopsis thaliana (AT5G01940) TAIR;Acc:AT5G01940] MDLQQKQACWKVELEVLDNDSSWVSKFDPSKKKKKKRKPLIREDDIFFQKGGDFTEDNAPHCQSGRNFEPDYGYKELLSMVFNRLRDEDLEVSTEMPRTVMMPPRLLAQGTITLCLNFSDLCTTMHRKPDHVMKFLLAQMETKGSLNKQQRLEMKGLVSSKVFQAVFRKYIDAFVICICCKSPDTSLAEEDNGLFNLRCEMYKMTLINWSHLGLFLCSVV >Al_scaffold_0006_904 pep chromosome:v.1.0:6:3949617:3950580:1 gene:Al_scaffold_0006_904 transcript:Al_scaffold_0006_904 gene_biotype:protein_coding transcript_biotype:protein_coding description:elicitor peptide 7 precursor [Source:Projected from Arabidopsis thaliana (AT5G09978) TAIR;Acc:AT5G09978] MEGEGRREDGDNCSYLCIPFNFIRDIFRSFFWSLPTPPDNSPVKISQEEEEETDVVNVTSRSVVSGNVAARRSKQQTSSGKRGGTNKAPS >Al_scaffold_0006_91 pep chromosome:v.1.0:6:382697:384901:-1 gene:Al_scaffold_0006_91 transcript:Al_scaffold_0006_91 gene_biotype:protein_coding transcript_biotype:protein_coding description:laccase 10 [Source:Projected from Arabidopsis thaliana (AT5G01190) TAIR;Acc:AT5G01190] MEFPITRILVLFALLAFPACVHGAIRKYTFNVVTKQVTRLCSTKQIVTVNGKFPGPTIYANEDDTILVNVVNNVKYNVSIHWHGIRQLRTGWADGPAYITQCPIKPGHSYVYNFTVTGQRGTLWWHAHVLWLRATVHGAIVILPKPGLPYPFPKPHREEVIILGEWWKSDTETVVNEALKSGLAPNVSDAHVINGHPGLVPNCPSQGNFKLAVESGKTYMLRLINAAMNEELFFKIAGHRFTVVEVDAVYVKPFNTDTILIAPGQTTTALVSAARPSGQYLIAAAPFQDSAVVAVDNRTATATVHYSGTLSATPTKTTSPPPQNATSVANTFINSLRSLNSKTYPAKVPITVDHDLLFTVGLGVNRCHSCKAGNFSRVVAAINNITFKMPKTALLQAHYFNLTGIYTTDFPGKPRRFFDFTGKPPSNLATMKATKLYKLPYNSTVQVVLQDTGNVAPENHPIHLHGFNFFVVGLGTGNYNSKKDSKKFNLVDPVERNTVGVPSGGWAAIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVENGKGPNQSILPPPSDLPKC >Al_scaffold_0006_932 pep chromosome:v.1.0:6:4086090:4088439:-1 gene:Al_scaffold_0006_932 transcript:Al_scaffold_0006_932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT5G10250) TAIR;Acc:AT5G10250] MNSVSADQRKSFGDDADADPICNKSIIFPNRVVALANRFEKKDRMSNLKSLLICLFKSTTLPLKAHKFPLISKCGYMSSIELKPSTSENGYHLKLENFPGGADTFETVLKFCYNLPLDLSPLNVAPLRCASEYLYMTEEFEAGNLISKTEAFITFVVLSSWRDTFTVLRSCANLSPWVENLQIVRRCCDLLAWKACNDNNKPEDVVDSNDRCLYSDVATLGIDHFMRVITTMKARRAKPDIAGKIIMKYAENFLPIIDDDLEGIRGYGERMEESSSLGCQEHKETIESLVSVLPPQSGAVSCHFLLRMLKTAIVYSASPALISDLEKRVGMALEDANVCDLLIPNFKNEDQQKGARIFEYFLMHEQQQVLGKPSITKLLDNYLAEIAKDPCLPITKFQVLAEMLPENAWKCHDGLYRAIDMFLKVTHPSLSEHDRRRLCKTMNCEKLSLDACLHAAQNDRLPLRTIVQINTQVLFSEQRRRKKILKVVRINKCLEIMKSRHALKEELENVKKKMAELQNDYNELQKEYEKLSSKQKSSHNWGLRWQKVKKSFQIKHEDDETRDGARRRSSTGPRTSLRRRMSMS >Al_scaffold_0006_947 pep chromosome:v.1.0:6:4141846:4142677:-1 gene:Al_scaffold_0006_947 transcript:Al_scaffold_0006_947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M2W9] ERAGNGKGARFATLVSITLSLMVGLFFTVFIVFLHDQIGSIFLSSEAVLNSVDNLSVLLAFTVLLNSVQPVLSGVAVGSGWQSYVAYINLGCYYLIGLPFGLTMGCVFKFGVKGIWAGMIFGGTAIQTLILIIITTRCDWDNEAHKASVRIKKLLVSDARN >Al_scaffold_0006_97 pep chromosome:v.1.0:6:409639:411369:-1 gene:Al_scaffold_0006_97 transcript:Al_scaffold_0006_97 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF674) [Source:Projected from Arabidopsis thaliana (AT5G01120) TAIR;Acc:AT5G01120] MSDDLENSVINLKLLIDEEKNKVVFAEAGQDFVDILFSFSTLPMGTIVRLLEMHHKSKAIAVGCFNNIYGSVVSMGMKHFSTEACKQMLLYPGSLNQEKCQKIKLKIDDSEATKCFMCPMFVRSGQCSKGYSNFNTSRCSCGNLMDAVIQFQGEGGRGSVGKGVEGGVFVRSGNTSFIITDDLKVEVNSVGSTLKVLKDLGYADCDKLVEMILEVNLQEVATLLVCLFTSDTPLSDTFLKKKSSCGMKRIHKTPSPSLSEDREETKADQTITLNAYVRKEEGSILYVECGEDFVDLLFSFLAMPLESVWEISGRGIILGCIGNLCKSFKDLSVDSGREASAFKCVLPHYYKCQKQLLNEVVTTQQPPTYYRFVSFSVDHFREYCLSENSGKRLVYDWDKLVPVTSIDPKKPEGNDTAESSGFVKRGTKFMVTDDLIITPSNSTSTIGFLKEKQTRLDEVEIRVINMTKEEAIILLGASMVTSSALSTGLLAIESASTSDPQCRFFKKPKIESETET >Al_scaffold_0006_976 pep chromosome:v.1.0:6:4263236:4264367:1 gene:Al_scaffold_0006_976 transcript:Al_scaffold_0006_976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2Z5] MEAARTPPSSSSEDKSPSSKRPKVSDRRPYFFPIFSCHLELLLGDGGGASIENSEPPTSGEAKIAAEPPPPPVAKDNENGDGSGTRMGENQQICDADQEEPIDGHSIDVEASLDLMGTMEQDLQKEVGNADLVMIDDQEKDLEKETEMGTKEKDGDEEAKSEKPKKKKRSKKGNTPTKVDALVANNVVLWLY >Al_scaffold_0006_977 pep chromosome:v.1.0:6:4265989:4266980:-1 gene:Al_scaffold_0006_977 transcript:Al_scaffold_0006_977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2Z6] KISESEANKLVDEVVKSRKQELIRGTLRSKIGSARLSHKNAGLNRLDEGTKICDEIGVTPRKVLEFKNPKEAICEVAEKLVVGSHGKGALQRYGFSTSFGSIK >Al_scaffold_0006_983 pep chromosome:v.1.0:6:4283085:4283330:1 gene:Al_scaffold_0006_983 transcript:Al_scaffold_0006_983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M302] MGGGHANLTILIHSLAKNYLDLILIDTHHLFSETKIALAGDVAEKLKFKNNETDVDGSMSHDHEGLGSEFGEEEEVSERPE >Al_scaffold_0006_997 pep chromosome:v.1.0:6:4325279:4326836:-1 gene:Al_scaffold_0006_997 transcript:Al_scaffold_0006_997 gene_biotype:protein_coding transcript_biotype:protein_coding description:chorismate mutase 2 [Source:Projected from Arabidopsis thaliana (AT5G10870) TAIR;Acc:AT5G10870] MARVVESDSSSGCSNVLSLDSIRESLIRQEDTIVFSLIERAKFPLNAPAFEESRCLDSGNSSSLTEFFVREIETIQAKVGRYENPEENPFFLENIPHAVFPTHKYPPALHPKALSVNINKHIWDIYFKELLPLFVKPGDDGNYPSTAASDLACLQALSRRIHYGKFVAEVKFRDAPQDYERAIRAQDREALMKLLTFEKVEEMVKKRVQKKAETFGQEVKCITDSGDESKKKYKVDPLLVSRIYGEWLIPLTKLVEVEYLLRRLD >Al_scaffold_0006_998 pep chromosome:v.1.0:6:4327771:4328950:-1 gene:Al_scaffold_0006_998 transcript:Al_scaffold_0006_998 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin heavy chain-related [Source:Projected from Arabidopsis thaliana (AT5G10890) TAIR;Acc:AT5G10890] MAEARVRDDCDAVRIRTSSDGHHGVGQFSDSPSPTIHSELQRREFLFSIGLSCYLIHLIATGREEIHKIVELRNDLERFLECRDEELRRKQQEFVELRNDIDKFIEFHNDELRRKQQEFRFRKQEQTETSAYSATSDVVDGPESSTDHYYSPQIMETSMSVGGEGSLSHYVYKRGDDSGGEMDQLEAELEAEFELLQIGQDHEVSSEDAEGLRLGHVCPGLVEEQQGVCPYELERRLHELMETRQQEEIKELEIALDDAKQRLHLKEREASWWKDTAYIVSERIPEPSRITYSSRTHPYPLSR >Al_scaffold_0006_999 pep chromosome:v.1.0:6:4330381:4333171:-1 gene:Al_scaffold_0006_999 transcript:Al_scaffold_0006_999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G10900) TAIR;Acc:AT5G10900] MPPPEISKRVLDAKLEACKFAFLKLSAVKTTRMKNYKQLRTLLMLKEISRRGADRDFLKDPENSVTRILCSVLKQVVSNSDRSLKSLRGFQYETLDDQEKQQVTRMIASVQGMGSRKYEPESVDHLEDMTEPVEMEIYLGNGDDSVGGDFGDIVLEPISWPLESQLNLEWVETLMGLINQFTWKNSVSEFPLILPHSVAFSLVECASQILDKEANCVKIDCCSENSRVIVVGDLHGQLHDLLKIFDQSGRPSQNQCFVFNGNYIGRGSWSLEVFLVLLAWKILMPENVILLRGSSETRISAEELDFLKEICDRYGEHGPMLYSKCIDCFKMLPLASVISNSVYTTHGGLFQSSRVQEDSVNPSLWLGSLDELDKIERRQAGENDDENITLNHVLWSCPWMADGLSESNYKGLLWGADCTETFLQQSNLKMIIRSHEGPDARADREDMGNMLSGYSIDHEVESGKLCTVFSASMFSQGSRNYENEGAYAVLEPHNFTEPRFVSYTVENVPRLHHQIISDGSSTQQQQMWENRTGHGFASLGISNPPSWTVPLPNDPSQILQLREPPQVFEGLPLPDTIQEPHKSNYNYLFRLISALKQEIQTRV >Al_scaffold_0007_1012 pep chromosome:v.1.0:7:4564775:4567504:1 gene:Al_scaffold_0007_1012 transcript:Al_scaffold_0007_1012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosol aminopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT4G30910) TAIR;Acc:AT4G30910] MAVTLVTSFTSSSSRFHFRSFSSSPSSLSSCFVRFQSPSRLKVAFAVTPLYCSSKAMSHTIAHATLGLTQANSVDHPKISFSGKEIDVTEWKGDILAVGVMEKDMTKDANSKFENPILKKLDAHLGGLLADVSSEEDFSGKPGQYTVLRLRGLGSKRVGLIGLGKSASSPSAFQSLGEAVAAAAKASQASSVAVVLASSESVSNESKLSSASAIASGTVLGLFEDSRYKSESKKPSLKSVDIIGFGTGPELEEKLKYAEHVSYGVIFGKELVNSPANVLTPAVLAEEASNLASMYSDVMTANILNEEQCKELKMGSYLAVAAASANPPHFIHLIYKPSSGPVKTKLALVGKGLTFDSGGYNIKIGPDMIIELMKIDVGGSAAVLGVAKAIGQIKPPGVEVHFIVAACENMISGTGMRPGDVITASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIVDLATLTGAIIVALGPSMAGMYTASDELAKEVTAASERSGEKLWRMPMEERYWEMMKSSVADMVNLGGHAGDSITAALFLKQFVSENVEWMHIDMAGPVWNEKKKAATGFGVATLVEWVQNNSS >Al_scaffold_0007_1050 pep chromosome:v.1.0:7:4721178:4723999:1 gene:Al_scaffold_0007_1050 transcript:Al_scaffold_0007_1050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G30520) TAIR;Acc:AT4G30520] MVVVTKKTMMIQLHLLYLLCFLCFSTPTLSSEPRNPEVEALISIKNDLHDPHGALNNWDEFSVDPCSWAMITCSPDYLVIGLGAPSQSLSGSLSGSIGNLTNLRQVSLQNNNISGKIPPEIAFLPKLQTLDLSNNRFSGDIPVSVEQLSSLQYLRLNNNSLSGPFPASLSQIPHLSFLDLSYNNLSGPVPKFPARTFNVAGNPLICRSSPPEICSGSINASPLSVSLSSSSGRRSNRLAIALGASLGFVVILVLALGSFLWYRKKQRRLLILNLNDKQEEGLQGLGNLRSFTFRELHVSTDGFSSKNILGAGGFGNVYRGKLGDGTMVAVKRLKDINGTSGDSQFRMELEMISLAVHKNLLRLIGYCATSGERLLVYPYMPNGSVASKLKSKPALDWNMRKRIAIGAARGLLYLHEQCDPKIIHRDVKAANILLDECFEAVVGDFGLAKLLNHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGMRALEFGKTVSQKGAMLEWVRKLHEEMKVEELVDRELGTNYDKIEVGEMLQVALLCTQYLPAHRPKMSEVVLMLEGDGLAERWAASHNHSHFYHANISFKTISSLSTTSVSRLDAHCNDPAYQMFGSSAFDDDDDHQPLDSFAMELSGPR >Al_scaffold_0007_1056 pep chromosome:v.1.0:7:4742137:4742364:1 gene:Al_scaffold_0007_1056 transcript:Al_scaffold_0007_1056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MBV7] HYHTTITTTTTTIIAATATTTNIITVTTITTLYHRHYHRYHHDHHHNHYYNSCHHHIYHHHNLHNHHQRHSHNHC >Al_scaffold_0007_1061 pep chromosome:v.1.0:7:4758120:4759981:-1 gene:Al_scaffold_0007_1061 transcript:Al_scaffold_0007_1061 gene_biotype:protein_coding transcript_biotype:protein_coding description:nodulin MtN21 /EamA-like transporter family protein [Source:Projected from Arabidopsis thaliana (AT4G30420) TAIR;Acc:AT4G30420] MAMTMIQLCYAGVTLFARATLVHGLSPRVFILYRQAFATIFIFPFLYLSRRKSKIAISSLDLKSFSLIFLVSLIGITINQNLYLEGLYLTSSSMGSAVGNIIPAITFLISFLAGYEKLNIRDIRGLAKIAGTILCVAGAISMTLLRGPKILNSESALPIDKSVLGNLKDQNTWLIGCLFLFSSTLCWSFWLILQVPISAYYPDNLSLSAWMCLFGTIQCAVVTFFLEKDPNAWILHSYSEFATCLYAGIGASALSFTVQAWAIAKRGPVFSALFNPLCTVIVTILAALFFQEEIYTGSLLGGLGVIMGLYTVLWGKAKDVMINQDQRDNDQNSEVKIHIEDSSNTIICNRDLKNPLLSNTNQQKKSKHITN >Al_scaffold_0007_1069 pep chromosome:v.1.0:7:4802816:4805471:1 gene:Al_scaffold_0007_1069 transcript:Al_scaffold_0007_1069 gene_biotype:protein_coding transcript_biotype:protein_coding description:diacylglycerol kinase 7 [Source:Projected from Arabidopsis thaliana (AT4G30340) TAIR;Acc:AT4G30340] MEETPRSVGEASTTNFVAARPSAKTDAVTMRGCGFANLALVGIDREELRGRLAMPEYLRLAMRDCIKRKDSTEIPDHLLLPGGAAAEMAPHAPMVVFINPKSGGRHGPVLKERLQQLMTEEQVFDLTEVKPHEFVRYGLGCLETLALKGDECARECREKMRIMVAGGDGTVGWVLGCLGELHKDGKSHIPPVGVIPLGTGNDLSRSFGWGGSFPFAWRSAMKRTLHRATLGSVSRLDSWKIVVSMPSGEVVDPPYSLKPTIEETALDQALDADGDGDVPPKAKSYEGVFYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPVTNKIIYSSYSCTQGWFCTPCVNNPGLRGLRNIMKIHIKKANCSEWEEINVPKSVRSIVVLNLYNYGSGRHPWGNLRPKYLEKQRGFVEAHCDDGLIEIFGLKQGWHASFVMAQIISAKHIAQAAAIRFELRGGDWKNAFLQMDGEPWKQPMKSDYSTFVEIKKVPFQSLMINGE >Al_scaffold_0007_1084 pep chromosome:v.1.0:7:4866826:4867808:1 gene:Al_scaffold_0007_1084 transcript:Al_scaffold_0007_1084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MC73] MSNSQQDQEKNPYLPWSPQETRTLIDLLLDGLASGWRNSSDEVWNNYIKAHPSYKKFRDETFEEFDDLKMIFGDNIATDGNAIGLGDDFSMDVEEGHETPLPFVDSNLRGPSENLPVRKKRRSNTNNVGEPTTHSEDSEEVHTEMNSLTTVTHKLFNLIQERETRQQKESEQREAEKKKNNVWEAIKEVPNLEQTVRYDAVKLIHQLGMKEVFVSMSIDELYGWIMRNVMEC >Al_scaffold_0007_1087 pep chromosome:v.1.0:7:4893902:4894198:-1 gene:Al_scaffold_0007_1087 transcript:Al_scaffold_0007_1087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MC77] MTKRVKQRDDPERMEESILKEFVEQNLYFLSGSRSREPLSINIAMFEHPLLKMRLGDIIEGTNHFSKKNIIGDGGFGTNYKACLPGGKTIAVNGNLPIL >Al_scaffold_0007_109 pep chromosome:v.1.0:7:447845:448188:1 gene:Al_scaffold_0007_109 transcript:Al_scaffold_0007_109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGC4] MAKSSCTLVALLCLYFLLLSSTNLKAVEAGVCQRYSGSWEGVCIFSSNCNTQCIERESAKYGACHRDDNGLACFCYFDC >Al_scaffold_0007_1091 pep chromosome:v.1.0:7:4928891:4930458:-1 gene:Al_scaffold_0007_1091 transcript:Al_scaffold_0007_1091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase family protein [Source:Projected from Arabidopsis thaliana (AT4G30170) TAIR;Acc:AT4G30170] MEKYTAQTIFSIFSLLLLLSSCVSAQLRTGFYQNSCPNVEGIVRNAVRQKFQQTFVTAPATLRLFFHDCFVRGCDASIMIASPSERDHPDDMSLAGDGFDTVVKAKQAVDSNPNCRNKVSCADILALATREVVVLTGGPSYPVELGRRDGRISTQSSVQNQLPQPGFNLNQLNGMFSRHGLSQTDMIALSGAHTIGFAHCGKFTKRIYNFSPSRRIDPTINSGYVIQLKQMCPIGVDVRIAINMDPTSPRTFDNAYFKNLQQGKGLFSSDQILFTDQRSRSTVNTFANSEGAFRQAFITAITKLGRVGVLTGNAGEIRRDCSRAN >Al_scaffold_0007_1096 pep chromosome:v.1.0:7:4960589:4963639:1 gene:Al_scaffold_0007_1096 transcript:Al_scaffold_0007_1096 gene_biotype:protein_coding transcript_biotype:protein_coding description:heavy metal atpase 3 [Source:Projected from Arabidopsis thaliana (AT4G30120) TAIR;Acc:AT4G30120] MAEGEEAKKKNLQTSYFDVVGICCSSEVSIVGDVLRPLDGVKEFSVIVPSRTVIVVHDTFLISPLQIVKALNQARLEASVRPYGDTSLKSQWPSPFAIVSGVFLAVSFFKYFYNLLEWLAIAAVVAGIFPILAKAVASVTRFRLDINGLTLIAVIATLCMQDFTEAATIVFLFSVADWLESSAAHKASTVMSSLMSLAPRKAVIADTGLEVDVDEVRINTIVSVKAGESIPIDGVVVDGSCDVDEKTLTGESFPVSKQRESTVLAATINLNGYIKVKTTALARDCVVAKMTKLVEEAQKSQTKTQRFIDKCSRYYTPAVVVLAACFAVIPALLKVQNLSHWFHLALVVLVSGCPCGLILSTPVATFCALTKAATSGFLIKTGDCLETLAKIKIVAFDKTGTITKAEFMVSDFRSLSHNINLHNLLYWVSSIESKSSHPMAAALIDYARLIFVEPKPDIVENFQNFPGEGVYGRIDGQDIYIGNKRISQRAGCLTVPEMEANMKRGKTIGYIYIGAKLSGSFTLLDGCRYGVAQALKELKSLGIKTAMLTGDNRDAAMSTQEQIENALDIVHSELLPQDKARIIDEFKIQGPTMMVGDGLNDAPALAKADIGISMGISGSALATETGDIILMSNDIRKIPKGMRLAKRSHKKVIENVVLSVSIKGAIMVLGFVGYPLVWAAVLADAGTCLLVILNSMMLLRDEREAVSTCYRASSSSPVKLEEDEAEDLEVGLLQKSEETSKKSCSSGCCSGTKDNQQ >Al_scaffold_0007_1097 pep chromosome:v.1.0:7:4972046:4976495:1 gene:Al_scaffold_0007_1097 transcript:Al_scaffold_0007_1097 gene_biotype:protein_coding transcript_biotype:protein_coding description:heavy metal atpase 2 [Source:Projected from Arabidopsis thaliana (AT4G30110) TAIR;Acc:AT4G30110] MASKKMTKSYFDVLGICCTSEVPLIENILKSMDGVKEYSVIVPSRTVIVVHDTLILSQFQIVKALNQARLEANVRVTGETNFRNKWPSPFAMVSGLLLLLSFFKYLYSPFRWLAVAAVVAGIYPILAKAVASLARFRIDINILVIITVGATIGMRDYTEAGVVVFLFTIAEWLQSRASYKASAVMQSLMSLAPQKAMIAETGEEVQVDELKINTVIAVKAGETIPIDGVVVDGNCEVDEKTLTGEAFPVPKLRDSTVWAGTINLNGYITVKTTALAEDCVVAKMAKLVEEAQNSKTETQRFIDKCSMYYTPAIILISVCFAAIPFALKVHNLKHWIHLALVVLVSACPCGLILSTPVATFCALTKAATSGLLIKGADYLETLAKIKVVAFDKTGTITRGEFIVMDFQSLSEDISLHSLLYWVSSAESKSSHPMAAALVDYAKSVSVEPKPEAVEDYQNFPGEGIYGKIDGKEVYIGNKRIASRSGCSSVPDVDVDTKGGKTIGYVYVGKTLSGVFNLSDACRSGVAQAMKELKALGIKTAMLTGDNQAAAMHAQEQLGNAMDIVRAELLPEGKSEIIKEFMREEGSTAMVGDGLNDAPALATADIGISMGVSGSAIATETGNIILMSNDIRRIPQAIKLARRAKRKVVENVVTSITMKGAILALAFAGHPLIWAAVLADVGTCLLVILNSMLLLSDNHKTGNKCYRESSSSSIVEKLEGDAAGDMEAGLLPKISDKHCKSGCCGTKTQVKVMQPAKSSSDHSHSGCCEKKQKDNVTVVKKSSCAEPVDLVQGHDSGCCGNKSQQPNQHEVQQSCHNKPSGLDIGTGSQHEGSSTVVNLEGDEQEEVKVSVKGCCSSPADLAVTSLKVKSDGHSKSSCCESSKRDKEGASSQVKTKKACKSNCSSREKSHHGSSCCSSYAKECCSHHHHHTRANGIGSLKEIVIE >Al_scaffold_0007_1101 pep chromosome:v.1.0:7:4998278:4998967:1 gene:Al_scaffold_0007_1101 transcript:Al_scaffold_0007_1101 gene_biotype:protein_coding transcript_biotype:protein_coding description:low-molecular-weight cysteine-rich 19 [Source:Projected from Arabidopsis thaliana (AT4G30074) TAIR;Acc:AT4G30074] MVSIIFSIMLASVENKVKANTCTEGLGNCQQCDERCKANHGPAAKGGCDPKFQLCTCYYPCGQGPSPPQPKKCYGGAGVCSDKCGPQCCNQNCAQKYNQGTGFCDSIGHTYLCKCQYNC >Al_scaffold_0007_1103 pep chromosome:v.1.0:7:5005537:5006075:-1 gene:Al_scaffold_0007_1103 transcript:Al_scaffold_0007_1103 gene_biotype:protein_coding transcript_biotype:protein_coding description:low-molecular-weight cysteine-rich 61 [Source:Projected from Arabidopsis thaliana (AT4G30064) TAIR;Acc:AT4G30064] MAKTASSLVLPIIFLVMFALVAQNMGCMAVLGSCGVISDCSMACKSKFGSNARGYCDRDGGSGTCVCVYPCPADKSHTL >Al_scaffold_0007_1111 pep chromosome:v.1.0:7:5042146:5042577:1 gene:Al_scaffold_0007_1111 transcript:Al_scaffold_0007_1111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MCF6] HLDPEYYMTRQMNEKSDVYSFGVVLLEVITGKPAISRSRTESVHLCDQVGSMLANGDIKCIVNQRLGDRFEVGSAWKITEIALASASESSEQRPTMSQVVMELKQSVFGRM >Al_scaffold_0007_1118 pep chromosome:v.1.0:7:5079487:5081459:1 gene:Al_scaffold_0007_1118 transcript:Al_scaffold_0007_1118 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex protein 5 [Source:Projected from Arabidopsis thaliana (AT4G29910) TAIR;Acc:AT4G29910] MPKEESSKVTRRSTRSSASATVENPEPIESHPPTIDDLTFGDESITLDAVLSDFPGRRSQIFDFIRLMGPLDCPTLPIMIYGGASTGKTSVVLQVLRHLNRPFVYSSCRTCYNPRILFESILNQLLLHRKCSSNGYSSAKRCDKPSDFVNLVREALSNVIKTLESASGTSRLNKPDEKPMGKMVYLILDNVDLIKDWDKGSMILQFLFSLDSVLKMPQLGIVLISGLPPDVYYSNMGYTDPIPLLFPEYSEEDLRQIFLRNQANPKLYSAFLDAVLRSFCRVTRRVEELSTTFSSLFRKYCEPLDDLGISPNEDMKRRLYSHLKPFIAPCLNDIFRVSTHPHDGETRGERRQKVSYSSENREELKILDFHMSTSAKYLLIAAFLASRNPATLDASMFDSTGGMDNRKRKRKASEKSMEKKEIAEQEAVMKGPGSFPLERLMAIFQCIASVGDSSFGEENEGEEEEDATGYDRENNNLMSDILLQVSSLCDANFLIKSGSCPLEGSIRYRSMVSEDLALKVAKSLSFPLAKYLYRR >Al_scaffold_0007_1121 pep chromosome:v.1.0:7:5101972:5104021:-1 gene:Al_scaffold_0007_1121 transcript:Al_scaffold_0007_1121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCG6] MVTTLRASVPEFPSPSLKTTRGYFNSHGDFGVSVSQFGRRRFLIPSRVFAVSDINKLVTEFDPKIPLERASTPPSSWYTDPQFYSFELDRVFYGGWQAVGYSDQIKESRDFFTGRLGDVEYVVCRDDNGKIHAFHNVCSHHASILASGNGKKSCFVCPYHGWTYSLSGSLVKATRMTGIENFALNEMGLKPLKVAVWGHFVLLKVTQATSRDGEVETDGLVASEWLGTSVGRLSQGGVDSPLSYICRREYTIDCNWKVFCDNYLDGGYHVPYAHKGLMSGLDLETYSTTIFEKVSIQECGGGSKVGEDGFDRLGSQALYAFVYPNFMINRYGPWMDTNLVRPLGPRKCKVVFDYFLDPSLKDDEAFIKRSLEESDRVQMEDVVLCESVQRGLESQAYDKGRYALVEKPMHHFHCLLHHNLKL >Al_scaffold_0007_1135 pep chromosome:v.1.0:7:5142795:5143901:-1 gene:Al_scaffold_0007_1135 transcript:Al_scaffold_0007_1135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCH7] MAERLLQSMSRVAGRCNPDCVKANNEQEDYDASQSAALVAVNLISSARFMLKLDTEFTEYSAQFLMDNAGKEDDPGEMDQQRCQVTTENCLRYLAEHVWTKREDRQRDMDQQRCELTVKDCLELAFKKGLPRREHWAHLGCTFKAPPFACQIPRVPVKGEVVEAKTYDEAFKLLMHQPVGAKLHLFSPQIDRVGEGIYDGPATGNETCYVGLRDVLIASVEEFEGDTVAIVKICYKKKLSFIKVSLTRMFLSAPHNGDESKFIGPTGLLVDFIVPRLSIN >Al_scaffold_0007_1139 pep chromosome:v.1.0:7:5157139:5157437:-1 gene:Al_scaffold_0007_1139 transcript:Al_scaffold_0007_1139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCI1] MKVPLKAFLMGVRVSMTSKVSSSRLFDERKLSSLKRASLLMKSRALKLNPDGITVLGVAVGIRHALDPPLPQEYYGNAYIDSLRRANQY >Al_scaffold_0007_1141 pep chromosome:v.1.0:7:5161651:5164570:-1 gene:Al_scaffold_0007_1141 transcript:Al_scaffold_0007_1141 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytokinin oxidase 4 [Source:Projected from Arabidopsis thaliana (AT4G29740) TAIR;Acc:AT4G29740] MTSNLGSSIITLITLFISLTPTLIKSDEGIDVFLPISLNLTVLTDPFSISAASHDFGNITDENPGAVLCPSSTTEVARLLRFANGGFSYNRDSTRPTPTFKVAARGQGHSLRGQASAPGGVVVNMTCLAKAAKPAAVVISADGTYADVAAGTMWVDVLKAAVERGVSPVSWTDFLYLSVGGTLSNAGIGGQTFRHGPQISNVHELDVITGKGEMMTCSPKLNPELFYGVLGGLGQFGIITRARIALDHAPTRVKWSRILYSDFSTFKRDQERLISMTNDRGGVDFLEGQLMMSNGFVDTSFFPLSDQTRVASLVNDHRIIYVLEVAKYYDRTTLPIIDQVIDMLTRTLGFAPGFMFVQDVPYFDFLNRVRNEEDKLRSLGLWEVPHPWLNIFVPGSRILDFHDGVINGLLLNQTSTTGVTLFYPTNRNKWNNRMSTMTPDEDVFYVIGLLQSAGGSQNWQELENLNDKVIQFCENSGIKIKEYLMHYTRKEDWVKHFGPKWDDFLRKKIMFDPKRLLSPGQDIFN >Al_scaffold_0007_1153 pep chromosome:v.1.0:7:5216422:5219549:1 gene:Al_scaffold_0007_1153 transcript:Al_scaffold_0007_1153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCJ6] MTTSLRSLLFWLCFIISVNSPFPTESDRRVDIVVSIVRQLGYPEEFVKSWQGNDPCQWFGINCLEGIITSITFISLNMSGTISPRFADLTSLQVIDLSHNGLTGTIPPELTKLNLRTLDLSYNRLHGTLPQFRNIVPNIEGNSDIETNRVLVPSPTRNKNKLVVLALLIGIVVGLVVAVGGAFAVYLLKKRKQLNRLPEPNETVIVESESSVIPLQLLRDATEDFDEKNIIGKGGFGSVYRGKLQNGNFEIAVKRMEKLIGGKGKEQFESEVSVLTKVHHRNLVVLHGYCIEGNERLLVYRYMPQGTLSRHLFHWKDEGLKPLEWTTRLTIALDVARGLEYLHSLARQSQSYIHRDLKPSNILLGDDMRARVSDFGLARSTAEGSESIRTKSVLGTYGYMAPEYAAQIFVNAVTGRITTKADVYSFGVILMELVTGKEALDEKRSDAEQHIPTKLAIHCCAKELTQRPEMRYVVSTLTSLTGTGQWKPSEIEEDNDRDDILEYLKRWKEQEMEGTSSIVVAVLDAHNSVTSAMKSGVKWVDMHNDVDGMMVQRLGAFSCLMD >Al_scaffold_0007_117 pep chromosome:v.1.0:7:471720:472944:-1 gene:Al_scaffold_0007_117 transcript:Al_scaffold_0007_117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MED2] MPPKKGLKRKRLTKATVEPGSTEEPSPTVEPSTTTTVEPGSTEEPSPTVEPSTTTTVEPGSTEEPSPTAIEGEQQVPETLSPVLEESDKNEEENSKKNEEEESGEEESEEEEKEEEKEEEGNEEGEESSDDDGSRSLGGESSSDENDNEEDEIAPENQPENAMDLNLDLSC >Al_scaffold_0007_1181 pep chromosome:v.1.0:7:5305171:5305769:1 gene:Al_scaffold_0007_1181 transcript:Al_scaffold_0007_1181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S30 family protein [Source:Projected from Arabidopsis thaliana (AT4G29390) TAIR;Acc:AT4G29390] MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRLQHNRRFVTAVVGFGKKRGPNSSEK >Al_scaffold_0007_1193 pep chromosome:v.1.0:7:5354161:5355666:1 gene:Al_scaffold_0007_1193 transcript:Al_scaffold_0007_1193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCN8] MTFSRSSSISFFILALLGVLINPAFSSRVSSFIKLPSSVESRSSDVSYCEGWRLAAETNNAGTWRVVPSQCENYVKNYINGGQFDKDYDVLASYAIAYAKTINLSGKDKDAWVFDIDETLLSNLEYYKAHGYGSEPYDNTLFNDWVVKGKAPGFDASLRLYKALKKLGFTIILLTGRDESQRSITEKNLRDAGYFGWNRLLLRGHEDQGKAATQYKSEQRAKVVKEGYTIHGSAGDQWSDLHGFAVATRSFKVPNPMYYIA >Al_scaffold_0007_1194 pep chromosome:v.1.0:7:5357483:5358628:1 gene:Al_scaffold_0007_1194 transcript:Al_scaffold_0007_1194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCN9] MTFSRSSSITFFILALFAVLINPAISSRASSFTKPPLSSIASYCESWRLAAETNNAGTWKVVPSQCENYVKNYISEGQFDKDYDLVASYAIAYAKTVKLGRDGKDAWVFDIDETLLSNIEYYKAHGYGSQPYDNTLFNEWVVKGTAPGFDASLRLYKALKKLGFTIILLTGRDESQRSITEKNLRDAGYFGWNRLLLRGKNDQGKAATLYKSEQRSKVVKEGYTIHGNTGDQWSDLQGFAVAARSFKVPNPMYYIP >Al_scaffold_0007_1205 pep chromosome:v.1.0:7:5407367:5407659:1 gene:Al_scaffold_0007_1205 transcript:Al_scaffold_0007_1205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MD44] FFYLIYCFLFVFYFLFFFYFFQFIYIFHLYFLFFFFNFRFLVLYLFVFYFLFFILFFSIYIYFSFVFFVFFFNFRFLDL >Al_scaffold_0007_1217 pep chromosome:v.1.0:7:5486374:5486797:1 gene:Al_scaffold_0007_1217 transcript:Al_scaffold_0007_1217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like (DEFL) family protein [Source:Projected from Arabidopsis thaliana (AT4G29033) TAIR;Acc:AT4G29033] MTSSSKCIFFAFLCLAALLTPYLAEAEDRSKLIPIGPCSKIPNCNQTCIESQFLGGKCIKWYPDSTKETCACLVKPSITPV >Al_scaffold_0007_1229 pep chromosome:v.1.0:7:5523402:5524939:1 gene:Al_scaffold_0007_1229 transcript:Al_scaffold_0007_1229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MD69] MTDFAILIPKIGDEPPQRKRKSEFPGSSSSAAPIHKVECDSWDDEDYIDPAVEKEYWRQVLESDGFDVDRFALPDGGIYPYIFRDKYDYPYDIALFSRLGLHCYNLQKGTNLRLIAINKYNDEMLGRLFQYYITLEAMDTSNNSLCNFQTCVCQDFTPKDSSFMVQTELSRLKVPAGPRTTFIGPQRRWKDDAVDDSYKGKLPSWLTEQELSNKRGQFYELQESDWQGNEWLHMYAEFALYSKFFAYGNDLRHFLPLEMKKIIVQTQENREASPHMILKANNAIFYISFKGNGDPSGTPMEYQAIVRRTMDGMPGHICLEVDCLAYKSC >Al_scaffold_0007_1230 pep chromosome:v.1.0:7:5525429:5527115:-1 gene:Al_scaffold_0007_1230 transcript:Al_scaffold_0007_1230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MD70] MSDQGPPTIRCKFSIPTEVYDFFESVRKYIPGPILGMIISSKPDDFICLVEKTHDTCWAIVVAELISALRFILKYDATYTDYSAQYLVDYADQSKGLMANHSRKGHYCYTHSIAKGLEFVKHGGIPLAKHCKYVGCRKLTAYRPPKDHSHVRIASVQRRSSVDEALPHLEFHPIGASLAIFQPDYLTIKEGIYRGPMSKGSIYKGLHAVSIYGIDEENGETIVLVKSSHGEDVGRDGYFRVSLDIMMIEVPWEGQEAHPNFKRPTKLLTGFCFPREQELK >Al_scaffold_0007_1246 pep chromosome:v.1.0:7:5618577:5623010:-1 gene:Al_scaffold_0007_1246 transcript:Al_scaffold_0007_1246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MD91] MGRKKVTNRGGRKKKKDSEEPEFLGTINPPQPDHNLQHNQRLEGVQPLEEQDQHPTEEQPVEAQPLEEQDQHPSEEQPVEAQPLEEQAQHPSEEQPVEDQPGVNDGTDEHDHFSMIIDAVSDIRSIYFPKFKV >Al_scaffold_0007_1247 pep chromosome:v.1.0:7:5632768:5642264:-1 gene:Al_scaffold_0007_1247 transcript:Al_scaffold_0007_1247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin family protein with Dil domain [Source:Projected from Arabidopsis thaliana (AT4G28710) TAIR;Acc:AT4G28710] MACTTVNVGSCVWVEDPEVAWIDGEVVEVKGNDIKVKCSSGKTVAIKVSNAYPKDVEAPASGVDDMTRLAYLHEPGVLQNMKSRFDVNEIYTYTGNILIAVNPFRRLPHLYNNHMMQQYKGAALGELSPHPFAVADAAYRQMKNQGISQSILVSGESGAGKTETTKLLMQYLADMGGRAVSEGRTVEKKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDIKKWKLADPRKFHYLNQSQCIELERMDDAKEYRETRKAMDVVGINSEEQEAIFRVVAAILHLGNVEFGKGKEADSSAPKDDTSNYHLTTAAELFMCDEQALEDSLCKRVIVTRGETITKWLDPESAALSRDALAKTVYSRLFDWIVNKINNSIGQDPDSKYLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQDEYNKEEIDWSYIEFVDNQDILDLIEKKAGGIISLLNEACMFPRSTHETFAEKMYQTFKDHKHFSKPKLSRTDFTICHYAGDVTYQTEQFLEKNKDYVVAEHQALLNASRCAFVACLFPLLAEDANNKSKFSSIASRFKQQLMTLLETLSTTEPHYIRCVKPNNLLKPLIFENQNVLQQLRCGGVMEAIRISCAGFPTRKQFEEFLERFSVLAPEVLDKSTDDVAACKKLLEKVALQGYQIGKTKVFLRAGQMADLDARRNEVLGRAASSIQRKFRSYLSRKTFVMLRKVATNMQAVCRGQLSRLIFEALRREAAVLEIQRDIRMHLARKSYKELYFAAVSIQLGVRGMASRHKLRFQRQDKAAIMIQSHCRKFLAQLHYQRLKKAAITTQSAWRARLARKELRKLKTAAKETGALEAAKSKLEKQVEELTWKLQLEKRMRTDMEESKTQENAKLRSALEEMQLQFKETKALHLQEMEAAKRTAETVPVLQEVPVVDTELVEKLTSENEKLKSLVSSLDQKIDETEKKFEERNKISEERLKQAIEAETTIVNLKTAVHELREKILDVESENKILRQKSLIQTSGNLPPTPVKENIGALINCVVNNIGFNQGKPVAAFTIYKCLLHWKSFEAERTSVFDRLVQMIGSAIKDEDDNDHLAYWLSNTSTLLFMIQQSLKPGDTPQQKPPVSTSLFGRMAMGFRSAPSSAETSAAAEAAAAAVIRPVVAKDPALLFKQQLTAYVEKIFGMIRDNLKNELQTLLSLCIQAPRTSTGRSLRSFRSSKTLGKNSPLDHWNAINDGLHAILSTLKENFVPPVLIQNIFIQTFSFINVQLFNSLLLRRECCTFSNGEFYAGSSWDELKHIRQAVGFMVIHKKYRISYDDIAHDLCPILSVQQLYRICTLYWDDSYNTRSVSQDVIANMRVLMTEDSNDADSSAFLLDEDSSIPFSADDLSSSMQEKDFAEMKPAEELEENPAFSFLL >Al_scaffold_0007_1253 pep chromosome:v.1.0:7:5661435:5661602:-1 gene:Al_scaffold_0007_1253 transcript:Al_scaffold_0007_1253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MD98] VVLTSYYIDDMHFNLAVILEKKLQKVIIVQCFKLVVNTEQFTSTVTMKSVTVNRE >Al_scaffold_0007_1254 pep chromosome:v.1.0:7:5662075:5664699:-1 gene:Al_scaffold_0007_1254 transcript:Al_scaffold_0007_1254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein with domain of unknown function (DUF26) [Source:Projected from Arabidopsis thaliana (AT4G28670) TAIR;Acc:AT4G28670] MAATLISSLAVLLPLTLLAPSISMKISRIDVIGWICNNGTVSHEEAYRRSYQINLDAIRDDMRHVKFGTHEHGDPPQRMYVLSQCVSDLSSDECSLCWSRATDLLSQCFPATGGWFHLDGCFVRADNYSFYQEPVSHQDTKICASDKEKSAELKGLVKEVTKSIVETAPYSQGFSVAKMGTRGLTVYGLGICWRTLDDELCKLCLADGALSVSSCVPSKEGFALNAGCYLRYSNYTFYNERGLLSMNLTKENFVHIFVLSMVGVLAIAAGFWCGKCIYIRTSPKKKLKGTKIKKSHLFGHLRIEKESESICNDSHLMSFEYSTLKKATNNFNESCKLGVGGYGEVFKGTLSDGREIAIKRLHITGKKPRDEIHNEIDVISRCQHKNLVRLLGCCFTNMNSFIVYEFLANTSLDQVLFNPEKKKELDWKKRRTIILGTAEGLEYLHETCKIIHRDIKASNILLDLKYKPKISDFGLAKFYPEGGKDIPAPSPSPSSIAGTLGYMAPEYISKGRLSNKIDAYSFGVLVLEITSGFRNNKFRSDNSLETLVTQVWKCFASDKMEEMIDKDMGEETDKREVKRVLQIGLLCTQESPQLRPTMSKVIQMVSSTDIVLPTPTKPPFLHDSM >Al_scaffold_0007_1273 pep chromosome:v.1.0:7:5744574:5745779:-1 gene:Al_scaffold_0007_1273 transcript:Al_scaffold_0007_1273 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 73 [Source:Projected from Arabidopsis thaliana (AT4G28500) TAIR;Acc:AT4G28500] MTWCNDRSDVQTVERIIPSPPAPESPVASLPVSCHKTCPSCGHNFKFHEQAGIHDLPGLPAGVKFDPTDQEVLEHLEGKIRDDAKKLHPLIDEFIRTIDGENGICYTHPEKLPGVNKDGTVRHFFHRPSKAYTTGTRKRRKVHTDSDVGGETRWHKTGKTRPVLAGGRVRGYKKILVLYTNYGKQKKPEKTNWVMHQYHLGTSEEEKEGELVVSKVFYQTQPRQCGGSAAATAKDRPYLHGLGGGGGRHLHYHLHHNNGNGKSNSGGGSAGAGEYYHNIPALISFNQTGIQNHLVHDSQPFIP >Al_scaffold_0007_1275 pep chromosome:v.1.0:7:5753191:5753905:1 gene:Al_scaffold_0007_1275 transcript:Al_scaffold_0007_1275 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF679 domain membrane protein 7 [Source:Projected from Arabidopsis thaliana (AT4G28485) TAIR;Acc:AT4G28485] MEETKQSLIASLPAAPRKPKSKVERVVRKTFKGTAHLSNLLPTGSVMSFQIMCPVLTHQGQCPTITSRWLTCFLVSLCAISCFLFSFTDSIRDPNGKVRYGLATWSGLCVIDGSITLTEEEREKYKLKILDFVHAIMSMLVFFAVSMFDQNVTRCLFPVPSEETKEILTSLPFIIGIICGAFFLAFPTRRHGIGSPLTKE >Al_scaffold_0007_128 pep chromosome:v.1.0:7:505267:509235:1 gene:Al_scaffold_0007_128 transcript:Al_scaffold_0007_128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoside-diphosphate reductase [Source:UniProtKB/TrEMBL;Acc:D7M8I7] MYVVKRDGKQETVHFDKITARLKKLSYGLSIDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYASLAARIAVSNLHKNTKKSFSETVRDMYSHVNERSGLASPLIAADVYEIIMKHATRLDSEIIYDRDFEYDYFGFKTLERSYLLKVQGKVVERPQHMLMRVSVGIHKEDIDSAIKTYHLMSQRWFTHASPTLFNSGTPRAQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSIHNIRATGSYIRGTNGASNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFDFLELRKNHGKEEHRARDLFYGLWIPDLFMERVQTDGQWSLFCPNEAPGLADCWGAEFERLYTQYENQGKAKKVVQAQQLWYEILTSQVETGTPYMLFKDSCNSKSNQQNLGTIKSSNLCTEILEYTSPTETAVCNLASIALPRFVRENDIPLDSHPSKIVGSLDSKNRYFDFDKLAEVTATVTVNLNKIIDVNHYPVETAKTSNMRHRPIGIGVQGLADAFILLGMPFDSPESQQLNKDIFETIYYHALKSSSEIATKEGTYETYQGSPVSKGILQPDMWNVIPSDRWDWAALRDMISKNGIRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTDMGLWSPTLKNKIIHENGSIVNVKEIPDDLKAIYRTVWEIKQRTVVDMAADRGCFIDQSQSLNIHMEKPNFAKLTSLHFYAWKKGLKTGMYYLRSRSAADAIKFTVDTAMLKEKPNVTEDEEATEEDNETKMGTDGLLFNKP >Al_scaffold_0007_1284 pep chromosome:v.1.0:7:5787710:5788146:-1 gene:Al_scaffold_0007_1284 transcript:Al_scaffold_0007_1284 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; BEST Arabidopsis thaliana protein match is: Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily /.../n (TAIR:AT4G28395.1); Has 46 Blast hits to 46 proteins in 4 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 46; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G28397) TAIR;Acc:AT4G28397] MHRALLLMTLITSAVSMSEEEHCRDMFESFIKGISLEPSPQYCRGVSRFNNVLKLTSPITLQGLTKKKRKGKIGGRCDCPELDVIWKIRCKPCAHSPDSNVTESESQHVLM >Al_scaffold_0007_130 pep chromosome:v.1.0:7:512465:514703:1 gene:Al_scaffold_0007_130 transcript:Al_scaffold_0007_130 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 27 [Source:Projected from Arabidopsis thaliana (AT4G39370) TAIR;Acc:AT4G39370] MVSRRGSSDTKAIVCIFTDRIRFSNNWVSHLSFAGLLGAAGFVFAQQHGLFPNLNNLKLFSGKEKDAGDDSVLVPGLQNLGNNCFLNVILQALASCKDFRSFLQWVLEDARDSVAGELEEQLPLTYALSALLQELCTVGSRRSVSSPRKVMVALTDYATNFNLTSQQDAAEALLHLISSLQEEIVVCYRPSQSCNLSDILFSRNLRMLAPSEGLLGLMELKRWHKHLRGPFDGILGSTLMCRTCSSQISLEFQFFHTLPLSPLLHNGGYNIMFGCTLENCLNKFLNAEKVENYFCYRCWHGAALKYLSVIGAAEIDIEMVRSCGGEDQCDCKTSHHLQRMPWSNSYSHILKQLIIARLPKLLCIQVQRASFNMFEEFKLSGHIAFPLVLDLSLFTPTSIGLNIEERIEMSSEYQKPEASRKHGMYRLVTVVEHFGRTGSGHYTVYRSVRVPSQEEEEDCEELCWFSISDSEVCRVSESDVLGAEASLLFYERL >Al_scaffold_0007_1325 pep chromosome:v.1.0:7:5919120:5920690:-1 gene:Al_scaffold_0007_1325 transcript:Al_scaffold_0007_1325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MDP0] MESINRTRVIAAYENMISDELRALLNSRPPKDVCCRARARRVAILKSRRIKARTRPPETGLVLPIYEDDYVHFLATDSDSDHGDREDQTDDDNAMDYDFVEHLDNADECIMEQEGEKGPGKQIKKSIIKTLKDMLDANNPHVIAFRSARDRLAQCEDTSGFKLILKNHRDSDGRVQNIPTTDEVAGLIVGDINPKPRDVVLQANSGGFQRISELHPSYLPLQYPLLFPYGEDGFRLGIDIGFIDKGGLKRTKVTSIWSISINFDFDFILREYKDKIVQI >Al_scaffold_0007_1336 pep chromosome:v.1.0:7:5972797:5973523:-1 gene:Al_scaffold_0007_1336 transcript:Al_scaffold_0007_1336 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYR1-like 10 [Source:Projected from Arabidopsis thaliana (AT4G27920) TAIR;Acc:AT4G27920] MNGEETKKVESENIKRHHRHELVENQCSSTLVKHIKAPLHLVWSLVRRFDEPQKYKPFISRCVVEGKKLEIGTIREVDLKSGLPATKSTEILEFLDDNEHILGIRIVGGDHRLKNYSSTISLHSETIRGRIGTLVIESFVVDVPEGNTKEETCFFVEALIQCNLNSLADVSERLEAESMERV >Al_scaffold_0007_1340 pep chromosome:v.1.0:7:5989559:5990918:-1 gene:Al_scaffold_0007_1340 transcript:Al_scaffold_0007_1340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein with RING/U-box and TRAF-like domains [Source:Projected from Arabidopsis thaliana (AT4G27880) TAIR;Acc:AT4G27880] METDSMECVSSTGNEIHQNGNGHQSYQFSSTKTHGGAAAAAVVTNIVGPTATAPATSVYELLECPVCTYSMYPPIHQCHNGHTLCSTCKVRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYNLGCPEIFPYYSKLKHESLCNFRPYSCPYAGSECGIVGDIPFLVAHLRDDHKVDMHAGSTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMGPVYMAFLRFMGDEEDARSYSYSLEVGGSGRKLTWEGTPRSIRDSHRKVRDSNDGLIIQRNMALFFSGGDRKELKLRVTGKIWKEQHSPDSGLSIPNLSSS >Al_scaffold_0007_1343 pep chromosome:v.1.0:7:6025601:6028446:-1 gene:Al_scaffold_0007_1343 transcript:Al_scaffold_0007_1343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MDR0] MAQVTPNPHEDDVDSTHSSNSADSDDDVNPPSVEGLTVLDDSTESPPQEQDTGTEREGTNNNESQVIHEVARSPNHALDEIKKQQTHNLYCPKCKSNITTDAVLFVKNQETDSYKTEPYVLWVPLVIPAIKFPTFDLSLSFLAGPWKKLKTLVANCLPSLNSPRLRFLLVAVLLLLSVIVLWSSHPPSPPPLPPPPSPSPVPVPPPPGPPSPSPSPEPPSPSPVPVPPPPGPPSPSPSPEPPSPSPIIHFLSMKYLSVFSLLFLAILTLPWPSISPIINNLIAAIKTCITFCLNSLILCWNDDSKLCFPSCFLILDSPLNQESNPVVEPPQPPVVIDEATRIRISVPNPKELWKYIVVQVQNLVPRELDILKSIVYGGLIESITSLGVVSSAIASGASTLNVISLGLASLSSGLFIIVHNVSRSVSSLFFFLPAKGFKTLRQGTLQSCGCSRCIFGLRDLTLLCQKAYAFRMGRVKTVAEYTGMAIGASALSFIASQIASDIFEKLGFHELASEYRKG >Al_scaffold_0007_135 pep chromosome:v.1.0:7:557950:560350:1 gene:Al_scaffold_0007_135 transcript:Al_scaffold_0007_135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8J6] MEKLVNEGPTLLQLHKWEPSQLQLKLSEFREAFISPSRQLLLLLSYHSQALLLPLVAGRSIGSEVSVSCHSEEPNSPTFSGGSDPPSGSGFGSGEPGFVDNFSSSCNSFPFIFDAKSVAWGSCGDTYNRHKDPLFRELLFVSGNHGVTVHAFCCTKDSSDRAKDKPNGELRHGEWVEWGPSRLNQKSEHERVSSFDGAKQWMQSFLIDLETTEIEGIRQSRFPEKSAFPASAEVVSFSILNTDLPFSNLLFQDNSILQKDNMPEDGNVNDNNFLVASDPAALDEKSRADMPINNASVSSLYRCIKVFSSDSHSLIGFVMELSDCASTPTSNENERSKGKRIVFVAKLFSWGIEWASLVKFGESSIGPTNEWADFRLSDKFVICLSVSGLIFLYDVNSGDFISHGDILQTCSRGLHSSSDMQEPTAELDQRSNFQNRVPSMSKTCIVGSADRRKFRKLIVASHTPLIAAVDENGLVYVSCVDDFVSKEYHMSVEPIPDLGHFGLGSLVGWKIGSMDVGQKKVHHPSSSGSRGEDAFSRCDPSFSASEISISDPCLERKHNNFDRRAGYSGSWLSGFSVQPKTNLLRLENFRRDSHVMRKMFLSTEKLGLDDNICFSPLGFTHFSRKYTNKDDRSCKIFHYSLQTHMTTRDDSYLNYNVNKDSIQGAEENFIGESVGCSFQGFLYLVTCSGLSVFLPSISITSNYPTVEAIEYLQPLQTTVMGYQERDDLRAGESRFPWQVEVIDRVILFEGPEVADHVCLENGTKHYSRCS >Al_scaffold_0007_1381 pep chromosome:v.1.0:7:6169053:6172998:-1 gene:Al_scaffold_0007_1381 transcript:Al_scaffold_0007_1381 gene_biotype:protein_coding transcript_biotype:protein_coding description:trehalose-6-phosphatase synthase S4 [Source:Projected from Arabidopsis thaliana (AT4G27550) TAIR;Acc:AT4G27550] MARPRLLVVSMSLPVTAKRTGEESWSFTMSPGGLVSALLGLKEFETKWIGWPGVDVHDAIGKKTLSITLAEKGCIPVFLEEVCDQYYNGYCNNILWPIFHYLGTPPEYRNDATITYQSQYDAYKKANQIFFDVVKEHYEEGDVVWCHDYHVMLLPQYLKEYNSKMKVGWFLHTPFPSSEMYKTLPSRSDLLRSVLTADLVGFHTYDFARHFLNACMCILGVEATSEGVVDQGKVTRVAVFPIGIEPERFINTSELSEVVQYMKKFKNDFGGRKLILGVDRLDTIKGIPQKYQAFEKFLEENEDWRGKVMLLQIAVPTRNGIGEYQKIKDQCHYHVGRINGRFGSISAVPIIHLDCSIDFNQLCALYAITDVLLVTSLRDGMNLVSSEFIACQKEEKGVLILSEFAGAGQSLGAGALLVNPWNIKEVSTAIGEALNMSHEEKERKHKINFQYVKTHSTQQWADDFMKMTLTNLFCSKLIEITTNAELRAGKAPLELPEHDVIQQYSKSNNRLLILGFYGTLTQPMKNQERRGDGMNLELHPQVKERLKELCSDPKTTVVVLSRSEKSILDKNFGEYNMWLAAENGMFLRHTSGEWVTRIPEHMNFEWIDGVKHVFKYFTERTPGSYLETSETSLVWNYEYADAEFGRAQARDMLQHLWAGPISNASVDVVRGDQSVEVHAVGVTKGSAMERILGGIVHNKSMTAPIDYVLCIGCFLGKDEDVYTFFEPEVTKKTKPPPSSDSDSPKKVSSTIVDLKGENYFSVAIGQTHTKARYFLDSSDDVVQLIHKLCTHNNA >Al_scaffold_0007_1387 pep chromosome:v.1.0:7:6191320:6191487:1 gene:Al_scaffold_0007_1387 transcript:Al_scaffold_0007_1387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M664] MALPITELNAVKPFKTQWKIQVKIVHSWTQYTQYSGETVEMILADTSVIYDLSIV >Al_scaffold_0007_139 pep chromosome:v.1.0:7:574221:576703:-1 gene:Al_scaffold_0007_139 transcript:Al_scaffold_0007_139 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine carrier 1 [Source:Projected from Arabidopsis thaliana (AT4G39460) TAIR;Acc:AT4G39460] MAPLTLSVDVKSSSATSPDVFKRVMQSPQLKMSKGFFASVNTQEDKPFDFFRTLFEGFIAGGTAGVVVETALYPIDTIKTRLQAARGGGKIVLKGLYSGLAGNIAGVLPASALFVGVYEPTKQKLLKTFPDHLSAVAHLTAGAIGGLAASLIRVPTEVVKQRMQTGQFTSAPNAVRLIASKEGFRGLYAGYRSFLLRDLPFDAIQFCIYEQLCLGYKKAARRDLSDPENALIGAFAGALTGAVTTPLDVIKTRLMVQGSAKQYQGIVDCVQTIVREEGAPALLKGIGPRVLWIGIGGSIFFGVLESTKRTLAQRRPNTVKETKEE >Al_scaffold_0007_1403 pep chromosome:v.1.0:7:6246818:6247332:-1 gene:Al_scaffold_0007_1403 transcript:Al_scaffold_0007_1403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein [Source:Projected from Arabidopsis thaliana (AT4G27360) TAIR;Acc:AT4G27360] MLEGKAVMGETDMKQTMKEDALSLASKALDCFDVTEPTQIARFIKKEFDSSYGSGWQCIVGTHFGSFVTHCSGCFIHFSVGNLTILLFRGSVGEPAPRTDGLANLDSIVK >Al_scaffold_0007_1408 pep chromosome:v.1.0:7:6270254:6271124:1 gene:Al_scaffold_0007_1408 transcript:Al_scaffold_0007_1408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G27320) TAIR;Acc:AT4G27320] MNPDSDYPHLPNIKIHHPSSPRHSHHHSSSTPSAATPTPTAGARRKIGVAVDLSEESAFAVRWAVDHYIRPGDAVVILHVSPTSVLFGADWGPLPLQTPPPPSAATDPGAQPKPSQEDFDAFTSSKVADLAKPLKEAGFPHKIHIVKDHDMRERLCLETERLNLSAVIMGSRGFGAEKRGSDGKLGSVSDYCVHHCVCPVVVVRYPDDRDGPAPPGNVGATREAIVTVKSRRDDDDDDDEDDEAKIAAAASVHHEHIKEYVRLV >Al_scaffold_0007_1412 pep chromosome:v.1.0:7:6297387:6300282:-1 gene:Al_scaffold_0007_1412 transcript:Al_scaffold_0007_1412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MEH4] MEATIVLPLLLISLFSTILVAQATDILAANQTLKDGDTIVSQGGSFEVGFFSPGGSRNRYLGIWYKKISLQTVVWVANRDSPLYDLSGTLKISGNGSLCIFNGQNYLIWSSSSSPSSQKTSVRNPIVQILDTSNLVVRNSGDDQDYIWQSLDYPGDMFLPGMKYGINFVTGINRFLTSWRSLDDPSTGNYTNKMDPNGVPQFFLKKNSVDYFRTGPWNGLRFTGMPNLKPNPIYRYEFVFTEEEVYYTYKLENPSVLTRMQLNPNGALQRYTWVDSLQSWNFYLSAMMDSCDLYKLCGSYGSCNINESPACRCLKGFVAKSPEAWVAGDWSEGCVRRVKLDCGKGEDDFLKIPKLKLPDTRTSWYDKNMDLSECKKVCLRNCTCSAYSPFDIRDGGKGCILWFGDLIDIREYNENGQDLYVRLASSEIETVQRESLRVSSRKQEEEDLELPFLDLDTISEATSGFSDVNKLGQGGFGPVYKGTLACGQEIAVKKLSRTSRQGIEEFKNEIKLIAKLQHRNLVKILGYCVEEDERMLIYEYQPNKSLDSFIFDKERRRELDWPKRVEIIKGIARGMLYLHEDSRLRIIHRDLKASNVLLDSDMNAKISDFGLARTLGGDETEANTTRVVGTYGYMSPEYQIDGYFSLKSDVFSFGVLVLEIVTGRRNRGFRNEEHKLNLLGHAWRQFLEDKAYELIDEAVNESCTDISEVLRVIHIGLLCVQQDPKDRPNMSVVVLMLSSDMLLLDPRQPGFFNERNLLFSDTVSINLEIPSNNLQTMSVIEPR >Al_scaffold_0007_1415 pep chromosome:v.1.0:7:6328331:6329980:1 gene:Al_scaffold_0007_1415 transcript:Al_scaffold_0007_1415 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G27250) TAIR;Acc:AT4G27250] MELQGVESKATTYCVTGASGYIGSWLVKSLLEGGYTVHATLRDLGKSQYFQSKWKENERLRLFQADLQDDGSFDEAFKGCDGVFHVAASMEFDISSDHVNLESYVQSKVIDPAVKGVRNVLGSCLKSKSVKRVVFTSSISTLTAKDDNERMTSFVDETCKAHVDHVIKTQASGWIYVLSKLVSEEEAFRYAKERGMDLVSVITTTVSGPFLTPNVPSSVQVLLSPITGDSKLFPILSAVNKRMGSIALVHIEDICRAHLFLMEQTKAKGQYICCVDNIDMHELMLHHFSKKYLCKVLKVNEDDKDRQCMKPIISSKKLRELGFEYKYGIEEIVDQTIDASIKFKFPTMNHKLKH >Al_scaffold_0007_1416 pep chromosome:v.1.0:7:6331189:6332631:-1 gene:Al_scaffold_0007_1416 transcript:Al_scaffold_0007_1416 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT4G27240) TAIR;Acc:AT4G27240] MEASSTRSEKKKKKTIQRENTDIQKIKKLPSVWFSLKKSLPCKSDVSDVHIPRSRKELTPISTKRTTTTSGGGVGGRSGCSRSIANLKDVIHGNQRHLEKPLCSSPRSIGSSEFLNPITHDVIFSNSTCELKITAAGATEFVGTLTPGTPVNYSSSRRSQASRKASSLDKEGLGFHQSRRERDRDSAINGDNSSVSCHKCGEKFSKLEAAEAHHLTKHAVTELMEGDSSRKIVEIICRTSWLKTENQGGRIDRILKVHNMQKTLARFEEYRDTVKIRASKLQKKHPRCIADGNELLRFHGTTVACALGINGSTSLCSSEKCCVCRIIRNGFSAKREMNNGIGVFTASTSERAFESIVIDDGGGSDRKALIVCRVIAGRVHRPVENLEEMGGLLNGFDSLAGKVGLYTNVEELYLLNSRALLPCFVLICKR >Al_scaffold_0007_1421 pep chromosome:v.1.0:7:6353874:6355692:-1 gene:Al_scaffold_0007_1421 transcript:Al_scaffold_0007_1421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MEI4] MSQPQQHSSFQMIEGSMVGGGGMRGKRGRVMTKAMDKAAAQRQKRMIKNRESAARSRERKQAYQVELETLAAKLEEGNEKLLKEIEESTKERFKKLMDVLIPFSKLSSKKWRTNSSSSAHLSLSASSSPTLPSTALSSNYDASNPIGPRQKCQREFQKEQHLRACQRLMRKQMRQGHGGGRRHREPAETLATPEVLQRASPEDPDCVCPTLKQAAKAVRLQGQQHQPMKVRKIYQTAKNLPNICKIPQVDVCPFQIPSFPSYY >Al_scaffold_0007_1470 pep chromosome:v.1.0:7:6551545:6553008:1 gene:Al_scaffold_0007_1470 transcript:Al_scaffold_0007_1470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MF68] MASALSAFLDLVQFDKLEEELETDLLAFLAGVDIFATNDHYHLCSPIIIMYFHPRRVSQLTRNQCGAQDIQPDASATHETNLGPPPIRIPLKELCIIKLTAMFEVRYGNSFRRALMMRVLTNPLFEFLFFRRVSLSPQLESMDPTEIRFNIFYVLVKAYERVLLPSKELRKRNVARMEAVLDLFFYLLQLKKKLDGEVEAAMIDLHAFVFGVDCFSHIGVAAFSAIMPPPERFSVMMKPDMLVQLPLGFQRFSDQYQCLGDYLSLPPPIHQPLSFRVPPTGISLKQLLIIKLTVQFEVRFGSVFFYDLMERVMMNPWFNFLLHYDSLYTFYEGLGAAYSSVLKRSKKLKKSDACRKTVLEDFFNLVQLERLEEEAAMIDLHAFVFGVDCLAHMENVQYSAVMSEPEHPSVMINRQTHTQPPLRIPCPVQRQGVVPLRRRRTYKFLFGLGRITLKQLGVLKFTAISYVCGALWSAFLSGSDEESGWDI >Al_scaffold_0007_15 pep chromosome:v.1.0:7:52237:54164:-1 gene:Al_scaffold_0007_15 transcript:Al_scaffold_0007_15 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium-dependent protein kinase 26 [Source:Projected from Arabidopsis thaliana (AT4G38230) TAIR;Acc:AT4G38230] MKHSGGNQACYVLGQKTPSIRDLYSLGHKLGQGQFGTTYMCREISTGREYACKSITKRKLISKEDVEDVRREIQIMHHLAGYKNIVTIKGAYEDPLYVHIVMELCSGGELFDRIIQRGHYSERKAAELIKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFEDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILVSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPLISDSAKDLIRGMLCSRPSERLTAHQVLRHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKQMALRVIAESLSEEEIAGLKEMFKAMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMEAADIDKSGTIDYGEFIAATIHLNKLEREEHLLSAFRYFDKDGSGYITIDELQHACAEQGMSDVFLEDVIKEVDQDNDGRIDYGEFVAMMQKGIVGRTMRKSINMSLRNNAVSQ >Al_scaffold_0007_1500 pep chromosome:v.1.0:7:6678299:6681642:1 gene:Al_scaffold_0007_1500 transcript:Al_scaffold_0007_1500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MF98] MESSQSLEHVLSMQGGEDDASYVKNCYGPAAALALSKPMLTSAINSIKLTEGYSSHLKIADLGCAIGDNTFSTVDTVVEVLRQKLAVIDGGTEPETEFEVFFSDLPSNDFNTLFRSLDEKVNGSSRKYFGAGVPGSFYKRLFPKGELHVVVAMTALQWLSQIPEKVMEKGSKSWNKGRVWIEGAEKEVVEAYAEQSDKDLVEFLKCRKEEIVVGGVLFMLMGGRPSGSMSQISDPDSSLKHPFTTLMDQAWQDLVDEVLIEEEKRDSFNIPVYFRTTEEIAAAIDRCGGFKVEKMENLKVADHMNGKQEELMKDPDLYGRDRANYAQTGLKPTVQAYLGPDLTRKLFKQYAIRAAADKEILNKNCFYHMIAVSAVRVMRGRGPRSGFASSCGGDGSTLTLNQHQKNDVGPSVTPENTPFGGGSPRTLEEMILQLEVEEDIVRRARLRESYYGTYDNCDDHDDVNDDQLYHQPVRMSCVNSSDILRSARNALNQYPRFSLDGKDAMYRSSFRRQLGTSADMTIQGGRRSHCGDQRTSKRSSQMSLETKRLPRTVAGESVVWCKTGVVAKLMGLEMIPVPVKGKTGKDKLGTLLKRERLRRRERTLDINGRTGPTTEASCSSGGFNITRPIGAVGSPSRVGGWPTVRLP >Al_scaffold_0007_1505 pep chromosome:v.1.0:7:6700398:6704094:-1 gene:Al_scaffold_0007_1505 transcript:Al_scaffold_0007_1505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT4G26330) TAIR;Acc:AT4G26330] MIKVLFSSRSIISSANIWRCCCSKEDGKQSMLYSYNNGFSGFSAKLNASQATSLAKLDQVITVFKSKSLKLHTTRSWDFLGLAVDYPRRTPPPQLAYGSDIVVGIFDTGLLFFPNSSFFREPPEAKSIPSSWKGNCVGGEEFNPSVHCNRKLIGARFYLRGFEETYGPIDFTRDPEYRSPRDYLGHGTHTASTAVGSVVRNVSGFSGLGRGTARGGAPSARLAVFKTCWGKDLEGVCTEADILAAFDDAIHNGVNVISASFGYSPPLSPFFESSADIGAFHAAERGISVVFSGGNDGPDPGVVQNVAPWAVSVAASTVDRSFPTRIVIDGSFTLTGQSLISQEITGTLALATTYFNGGVCKWENWLKKLANGTIILCFSTLGPVQFIEEAQAAAIRANALALIFAASPTRQLAEEVDMIPTVRVDILHGTMIRNYLARLPTVPILKIGPSKTVIGETTAPSVAYFSSRGPSSLSPDILKPDITAPGIGILAAWPHKTPPTLLPGDHRSIEWNFQSGTSMSCPHVAGIMALLQSAHPDWSPSAIRSAIMTTAYTRDTTYDLILSGGSMKSTDPFDIGAGHINPLKAMDPGLVYTTRTEEYVLFMCNIGYTDQQIKSMVLHPEPSTTCLPSHLYRTNADFNYPSITIPSLRFTRTIKRTLSNVGPNKNTVYFVDIIRPMGVEVVIWPRILVFSKCQQEHSYYVTFKPTEIYSGRYVFGEIMWTDGLHRVRSPLVVFLSNARPSASS >Al_scaffold_0007_1510 pep chromosome:v.1.0:7:6717469:6718458:1 gene:Al_scaffold_0007_1510 transcript:Al_scaffold_0007_1510 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G26280) TAIR;Acc:AT4G26280] MEKWMNLMRDEDLTEETKTLISSLPSEKAYLGRNLCKYQGSWYYYNFLQGVLNFQRGFKPQDTDAIVASYPKCGTLWLKALTVALVERSKNPSSDDPTSHPLLSNNPHNLLTSSSPRLFSTHTPFNTLQVALKDSPCKVLYICRDAKDSLVSRWHIVCRSLNKEEDRTILESMFESFCSGVCLFGPFWDHILSYWKASLEKPKQVLFMRYDEIKTDPHGQIKKLAEFLGCPFSKEEERNGSIDKILEMCSLPNLSSLDVNKTGKSINGIEYKNHFRKGIVGDWKNHLTPEMGNKIDMIMKEKLKDSGLEF >Al_scaffold_0007_153 pep chromosome:v.1.0:7:618981:619997:1 gene:Al_scaffold_0007_153 transcript:Al_scaffold_0007_153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M8L3] MAYFHDVSILRPCITGWHIRVKVLRMITVCINPRNALRLVLVDDKGFKIDCWINSEYAKHYAEFLKEDRWFSFTAFRVLENSDRVRLTNHHFRMSVFGTTVVLPADPPSTEPRDSFTQFSSIIDGTVDESVLIDLIGVLSDVGELVNVGTNPSDLTGFRLSFRIRGPCGNAIEGLVSGRSAMEFRKHYDLCVSKPLVCIMRLWKVDRYFDGPKNVRIVNQGLISKVLPYPDVPEAAEFCTMS >Al_scaffold_0007_1532 pep chromosome:v.1.0:7:6824750:6825075:1 gene:Al_scaffold_0007_1532 transcript:Al_scaffold_0007_1532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MFD5] MNNKFGRKKPTGTPSLAWSTVVVVASLLAGASVVHNIYKPDLRLPQIESDEGDKEESANKG >Al_scaffold_0007_1533 pep chromosome:v.1.0:7:6825707:6828726:-1 gene:Al_scaffold_0007_1533 transcript:Al_scaffold_0007_1533 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant intracellular ras group-related LRR 8 [Source:Projected from Arabidopsis thaliana (AT4G26050) TAIR;Acc:AT4G26050] MMGYEQMNQMTMTTTAMMKNFNKRGPINTPHKKMTRRSVSAIDGGAAAAAATAGEGERRRNLKTLDLSGMSLASLSASSINLASISKLDLSNNNIQKIPESLVARMLNLWALDLQSNQLKTLPNSIGCLSKLKFLNVSGNYLQFLPKTIEDCRSLEELNANFNELTRLPDAIGFELKNLTKLSVNSNKLVLLPNSVSYLTSLRVLDARLNRLSSLPEDLENLVNLQVLNVSQNFQHLTTLPYSVGLLISLVELDVSYNGITVLPDSLGCLRRIQKLSVEGNPLISPPFEVVEQGLEALKQYMSEKMTESYKKTPAKKKSWGIGKLVKYGLSSSPGRGTGRGDGREGFINVSDYRQIDGIASPRHVSLFNPRRLLSPLSAYFSPPRY >Al_scaffold_0007_1534 pep chromosome:v.1.0:7:6834906:6835556:-1 gene:Al_scaffold_0007_1534 transcript:Al_scaffold_0007_1534 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein [Source:Projected from Arabidopsis thaliana (AT4G26030) TAIR;Acc:AT4G26030] MVSPFSMPCTAQISGFVNFSQVSITHTITNRYHAIIPTNNMVIVQNDNDHVKRVMPSYPPILNSTVHPPNGFDNHYETFTPKPIDFFCQPLDRFSSSPKHLHEQYVHKDGRPVKYIHKPADVLEEIHDYIDYEKDGGWIYSLPYEKDSSFICLKCNRVFDTSQILAAHTKLVHSKNETNDGGKKRLKVNHQEVHGKSHKINKDQTGGQSCRRKLRQ >Al_scaffold_0007_154 pep chromosome:v.1.0:7:623238:624306:1 gene:Al_scaffold_0007_154 transcript:Al_scaffold_0007_154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8L5] MSQNSSHDITSDVDQPDVCVDFADLGPGMVTTRVKVRIIRRFVCPAYGARFVEFVLEDPKGQKIHAVLGGDVAQRFSSILIEGNCIILSDFVVRMALGRFRPSSHRFRLGSNALTSVNLIRPFSQSDNFHFAKFSDIKEGHLNPCFCVDLIGRLLVTFDFRRSESNRVNHRFFFQMKDRSGVRLIFRLPDVFVDSFMNERKKWIGDFQILIVRFAKLEVVEGNVTATTACTCTQFFFDHECPEFLVMRRFFTRK >Al_scaffold_0007_1553 pep chromosome:v.1.0:7:6939063:6941589:-1 gene:Al_scaffold_0007_1553 transcript:Al_scaffold_0007_1553 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 4A [Source:Projected from Arabidopsis thaliana (AT4G25860) TAIR;Acc:AT4G25860] MRRHLVLAKPFSLEDEKDSELTASSVIRKILSLIKTVRPGSDLTNFQLPPQLILPRSRLQCYGEMIYSFGGQDLLGECSRRNLPVERLKSVVTWNISTLRPVVVGMSPYNPVLGETHHVSNGYINVLTEQVVHHPPVSALHATHEKEKIDVTWCQYFTPKFRGAYVDVEVNGKRVMKLLHRKETYEMDQPRLIMRFLPAPGAHWAGKVKIKCPETDLEAELHLISDSFIERFRGNNNRSIKGKIFESSSGNQLYNIYGHWDRTVMAKNLKTGEVEVIYNAKENITGLNPPTVKNLQEVMESESTMVWSEVSERILKKDWERAREAKILVEEKQREALKQRETSGESWVPKHFSVVKNGKDWDCSPPQPTVPRAPLVITEK >Al_scaffold_0007_1558 pep chromosome:v.1.0:7:6955148:6956243:-1 gene:Al_scaffold_0007_1558 transcript:Al_scaffold_0007_1558 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 2C1 [Source:UniProtKB/Swiss-Prot;Acc:D7MFW5] MVRLRETEVILRLCIVFFILLSSCLIGLDSQTKEIAYIHKKVSFRYLLALEAELYINVVVAAYNLVQIGLGWYNVEQKTSNPKWFSYLLDQTAAYVVFAGTSAAAQHSLLVVTGSRELQWMKWCYKFTRFCFQMGSAIILNYIAAALMVLLSSISAFNLFRLYSPKRFFSFKSSS >Al_scaffold_0007_1560 pep chromosome:v.1.0:7:6960432:6960801:-1 gene:Al_scaffold_0007_1560 transcript:Al_scaffold_0007_1560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MFW7] MYFFFILIFLSFCNFFLIFLFIVFFLFFVFFFNLDIYFSFVFFVFFFSIFVFWFYIFLFFIFYFLFYFFQFIYIFHLYFLFFFSIFVFWFYNFFLYFFIFYLI >Al_scaffold_0007_157 pep chromosome:v.1.0:7:628173:629379:1 gene:Al_scaffold_0007_157 transcript:Al_scaffold_0007_157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ74] MDEHVDDLKPIKLCWSIQVKVLNKWRERSEAGYRTLRMILCDKRGSMIEAKINSELITEYDSEFKDGDWISLHHFELDLVTGDMRTTRHAYRINFLPSTILNLIPDKDDLHYTLYPSSFLDVLDDKLIRTYLINLIGYVVDIEDIKYSDPSKRSAGYAMTSFKIKDANNYVLPCVAIGDLAEHFHDEWKRTRSSRVVCVLRWWGVYKVSGHMVIQSVGRCSRLDLDPDMPEVADFRVKSSASTGSSRYRA >Al_scaffold_0007_1598 pep chromosome:v.1.0:7:7146323:7146667:1 gene:Al_scaffold_0007_1598 transcript:Al_scaffold_0007_1598 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptidoglycan-binding LysM domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G25433) TAIR;Acc:AT4G25433] MMRVTVSRSHTVASYCLVALLILTLAGSVKNSHGGGRRENFRAAGRGRNCEVWRGKQMMERPCEELYVVGEGDTLHSISEKCGDPFIVERNPHIHDPDDVFPGLLIKLHINLPQ >Al_scaffold_0007_1599 pep chromosome:v.1.0:7:7148411:7150090:-1 gene:Al_scaffold_0007_1599 transcript:Al_scaffold_0007_1599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MG06] MGRECSKCKKKSNEANGCVTALYYFFHFHQFYFPSRHHHHQPSSVDSNSRTPKGLVAPRNSLDLTEESPLSTNYKLENESLNIHVGRKNSTLRALLVDTYSNNCSSPRTKSPNVVARLMGLDLLPDNLDLNRSSKYSVRGHRLSGNGSGTRSLPASPRISSDSENCRLTLQLNREKNKHEEFGRRRLKELKHDEQSPRPKHNGRQIKESVTTRKFGMDITNLLENKRAGAAAQNRIEHSRFSQKENTTSTNPTIVLRQDHISQQPKKVTLSKDFKDNLNRANEQPLRPGNGWKKTESEAKVSPHPTPPNNRNKQRSTPSKSSDCCDLIEKKQCKKISVASSAFSATERPRKQIKRAEEQERKSDATICSGQMYKYEKKLPQEPPSSKFYDSTTITVTLANVRDTKKDISGTKKLEEEEEWVVAEIERQIVDALVQETVETTSFTGLNARAVRFERTFGYIKTASAF >Al_scaffold_0007_160 pep chromosome:v.1.0:7:645840:646894:1 gene:Al_scaffold_0007_160 transcript:Al_scaffold_0007_160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8M2] MSSRFTSSSIERYNDFSRLNPAIVGWHVHVKVLRRFHTDDYISKGGLGLLLVDDKGNQIEALICSPLTSHYSTFIEEDEFYTIMNFRVVENSGFTKLTRSDFKIMFYDGTIVKEASSFSQDDYIVATPFGAIFNGYHDTSYLITLVGRVVESSDLTNVTDEPSVIGGYRYSFTIEDQEKKTLKCCAYGGVAIECHDRFKNVIGTDVTCVLRWWKVYQLLDGWRHVRIYSHPPYSKILVQSDADNVEHS >Al_scaffold_0007_161 pep chromosome:v.1.0:7:647302:648351:1 gene:Al_scaffold_0007_161 transcript:Al_scaffold_0007_161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M8M3] MESFRCHGWPFDVMTYNRNMFTISSLNPLIHEWSTCVKILHVWHELDDVSNALNLILMDNQGTKIRAVIRESLVTRFSPLLIEGLWMILRKFSLIPDVDLVRTTPHRFKIQFSPDTCVDYLNYLACDYDFFNFARFRDIRTDLVGKVDNVNDIQLVQMVGSSKDISVVYFDLIDTEHTRLSIRLTGRFHRQWRQWKVNTDDVVICNIRFAKIVATSNRMWHCTNIGCSKIMVDAPLPGVVELKEWWADYCGSPRTG >Al_scaffold_0007_162 pep chromosome:v.1.0:7:652819:653816:1 gene:Al_scaffold_0007_162 transcript:Al_scaffold_0007_162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M8M4] MGSTMIPFTSDSCDGGYFRIASLNPNMDEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLC >Al_scaffold_0007_1642 pep chromosome:v.1.0:7:7331893:7333062:1 gene:Al_scaffold_0007_1642 transcript:Al_scaffold_0007_1642 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G25020) TAIR;Acc:AT4G25020] MKLSFSLPPKSKSRVRLNSDRKDGVGAGNLKEFVTEFDPSETLTYSKPKYVIPPIEDRRRPYKKNIDLPLPSASGLQFEAEITSGDGHVSDNITYGLNLRQKVDDEKPEPVEKLLLKSMRKDLESLPDAPELEDFESFPVDGFGEALLAGYGWKPGQGIGLKAKEDVKIVEYKKWSGNEGFGFNMDKAKKIIDDKLGSGIHEEVKVGFNKIDNVEKDRVVSKRSRDTEGESRTEVRACKQNYRGQTREKVSWLRSHIRVRIISKDVRGGRLYLKKAEVTDVVGPTSCDVAMDETQELVQGIDQELLETALPRRGGSVLVLSGRHKGVYGKLVEKDLDKETGVVCDADSQQMLHVKLDQVAEYIGDPADIGY >Al_scaffold_0007_165 pep chromosome:v.1.0:7:668760:669253:1 gene:Al_scaffold_0007_165 transcript:Al_scaffold_0007_165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8N2] MYHRLVWLVALIVLLFVGSSNIASARIPYQSFMTKKEERVWDKMMIREIKIDVGGSNSRHARQGNAPNPGAK >Al_scaffold_0007_1668 pep chromosome:v.1.0:7:7418727:7421556:-1 gene:Al_scaffold_0007_1668 transcript:Al_scaffold_0007_1668 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:Projected from Arabidopsis thaliana (AT4G24790) TAIR;Acc:AT4G24790] MGETRRHSVDVPITRTLVALRRVRSLRDPCTTSMSKFASLLDNVKWETGSNNGISLQFVEHGDDACKAAAADATVGLIPFGSYSIMEELESGCDLHKLSSKVLNVEGDACSRSSQRSCNGDLSVKGRELACNVPSISHVEEAASGGRYRTNHYSTKLASSVGEYGSRLGSPMTSTNHSYYGDEDVDFDSQSNRGCGITYCWSRTPRYRGSNQSSDVEEYPLLPGNGNGESDVVTPSHEVLSRSLSQKFRPKSFDELVGQEVVVKCLLSTILRGRITSVYLFHGPRGTGKTSTSKIFAAALNCLSQAAHSRPCGLCSECKSYFSGRGRDVMETDSGKLNRPSYLRSLIKNASLPPVTSRFKVFIIDECQLLCQETWGTLLNSLDNFSQHSVFILVTSELEKLPRNVLSRSQKYHFSKVCDADISTKLAKICVEEGIDFDQGAVDFIASKSDGSLRDAEIMLDQLSLLGKRITTSLAYKLIGVVSDDELLDLLDLALSSDTSNTVIRARELMRSKIDPMQLISQLANVIMDIIAGNSQESSSATRLRFLTRHTSEEEMQKLRNALKILSDAEKHLRASKNQTTWLTVALLQLSNTDSSSFATDENGRNQISKDVELSSTSSDCPGDVIKSETEERQKKNCKETVETVWKTVTDLCCSDSLKRFLWKRGRLTSLTVDKGVAIAELEFYTPQHVARAEKSWKLIADSFQSVLGCNVEIRMNLVISACSPPKSAKAAASLFFGLFSCSRRMLHKSYLTSRTDSDCTSEKPAVTNSLRSCQGNVLRARSVRSSANASSRMSCSSDQGDANSAMCTPHMPPGEKR >Al_scaffold_0007_1675 pep chromosome:v.1.0:7:7455038:7455950:1 gene:Al_scaffold_0007_1675 transcript:Al_scaffold_0007_1675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGN5] MNIHTLLFVSVLIFSLTESSRGRNKDRLFTELQNSIEVTAKPVKDSGVLEGGKDMVTITWKLKSSTKVDMDAAFKTIQIKLCYAPISQVDRPWRKSDNKLSKDNSCPHEIVSKPYDMTPQSLDWTVERDIPTGTYFVRAYGIDGDGHEVAYGQSTDEGRTTNLFSVQASGHHVALDIASIFFSVFSVVSVFVVFVMEKRKAKFEQRR >Al_scaffold_0007_1685 pep chromosome:v.1.0:7:7522547:7522850:1 gene:Al_scaffold_0007_1685 transcript:Al_scaffold_0007_1685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGP8] MKNLETESSNSIIGGLADEVTVVNGHTYQGVLGVYFEATTGKAYPKSEDIRCDQFDVAFQAVELWIADRLVLPIET >Al_scaffold_0007_1686 pep chromosome:v.1.0:7:7528270:7534992:1 gene:Al_scaffold_0007_1686 transcript:Al_scaffold_0007_1686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase activation protein (RhoGAP) with PH domain [Source:Projected from Arabidopsis thaliana (AT4G24580) TAIR;Acc:AT4G24580] MANKNAESSSQPPPPPPQVQPNQQQQQPIANEQEQEPHGDTCSIPPAQSGNTDSRSRAGNTVFKSGPLSISSKGIGWTSWKKRWFILTRTSLVFFRSDPSAVQQKGSEVNLTLGGIDLNNSGSVVVKADKKLLTVLFPDGRDGRAFTLKADTMEDLHEWKAALENALTQAPSASHVMGQNGIFRNDHADPAVGVDEKKDETPTKSTVLGRPVLLALEDVDGAPSFLEKALRFVENHGVRIEGILRQAADVDDVEHRIREYEKGKNEFSPEEDAHVIADCLKYFLRELPSSPVPASCCNALLEACRTDRGNRVNAMRAAICESFPEPNRRLLQRILMMMQTVASNKTVNRMNTNAVAACMAPLLLRPLLAGDCEIENDFDVGGDGSMQLLQAAAAANHAQAIVITLLEEYESIFGEGSLSPGLYSDSEESGSGTEEGSDDEEYDDDEDDGTQGSDDYTDEEEDLENESNGSYSESAASEDKYADSIDPDDHKARPEPKSPKGSKEPKKLLSGSRLSSLPRHDDGKKDEDIVVKGADNTEVKDVVEVSTTEDKDSSTSDVASDTQKPSKLSDAPGGSKRHWGRTPGKKNLSMESIDFSAEVDEDNADIERLESTKLELQNRITEEVKSNAVLQASLERRKKALYGRRQALEQDVGRLQEQLQQERDRKLALETGLNMSKGNQPIPETIDENLKKDLQDVAQAEADIANLEHKVDDLENRLGQHDGKASGSTHGASKDSRKMPEHSAKMKEKQKDTEAASTHISERSTSKTGNILQDGQGAARENETEKQHDSRSKSSQHETSRGSSKLVGMSKRSGTKGEGSTTTTSALSKLTMRLNFLKERRSQIANELQNMDKGKTLGQSSPSSGQNRVSEETEKGSGPNQDSDSSKLQSPHILDRGRSENGGGDRGRGSSGGSHPNTTPRTFSR >Al_scaffold_0007_1691 pep chromosome:v.1.0:7:7559588:7561913:1 gene:Al_scaffold_0007_1691 transcript:Al_scaffold_0007_1691 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G24530) TAIR;Acc:AT4G24530] MLQMKQPLQNLNQSQRTALAGVFVLLFPILFPNLFRPLGRASPSLFSEWNAPRARHLRLLQGALDRQISIRQQVELWSPLADQGWKPCTESYRGVPLPEKSQGFLQVFLDGGLNQQRMGICDAVAVAKIMNVTLVIPRLEVNPVWQDSSSFTDIFDLGHFISVLKDEVRIVRELPIQYAWSTRDYYATGIRATRIKTAPVHASAEWYLENVLPVIQSYGIAAVAPFSHRLAFDNLPESIQRLRCKVNFEALNFVPHIRELGDALVHRLRYPPSSSQTSGTMDQTDRINTIVKAGAGKFAVLHLRFDKDMAAHSGCDFEGGKAEKLALAKYRQVIWQGRVLNSQFTDEELRNKGRCPLTPEEIGLLLSALGFSNNTRLYLASHQVYGGEARISTLRKLFPGIENKKSLASAEELADVQGKASLMAAVDYYVSMKSDIFISASPGNMHNALLAHRAYLNLKTIRPNMILLGQVFVNKSLEWSEFEGAVVNGHRNRQGQLRLRKQKQSIYTYPAPDCMCKAA >Al_scaffold_0007_171 pep chromosome:v.1.0:7:683349:685699:1 gene:Al_scaffold_0007_171 transcript:Al_scaffold_0007_171 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-glutamyl transpeptidase 2 [Source:Projected from Arabidopsis thaliana (AT4G39650) TAIR;Acc:AT4G39650] MNLAMLLVRTATVALLLIAFLQNANAEKNQQSIVAYHGAVATDDGRCSEIGTNVLRQGGNAIDASVAAALCLGVVSPASSGVGGGAFIVIKLANGEEIAYDSRETAPLSASENMYGGNPEQKKKGALSVAVPGEVAGLFTAWTKHGKLPWKQLVEPAEKLAAEGFEISKYLYMQMNATRSDILADKGLSELFVSNGELKKPGTIFQNPKLAFTLSQIAEYGPKAFYNGTVGANLVSDIQKSGGIITLKDLQNYNVKVKEPLSADILGYRLLGMPPPSSGGPAMMLILNILAQYGIPYGVHGALGVHRLVEALKHAFAVRMNLGDPDFVPDVTKVVSDMLSPKFAQDLKSKINDEKTFGPKFYGGKWNQIKDHGTSHLSIIDSERNAVSMTTTINGYFGAIMLSPSTGIVLNNEMDDFSIPMKSGGGPDVPPPAPANFIRPGKRPLSSMTPTIVLKDGKVKAALGASGGMFIIAGTTEVYLNHFFLNMDPLSSVVAPRIYHQLIPNKASYENWTTVYNDHFEIPEETRLVLEKKGHVLMPIAGGTISQFIVEESDGSSGGMSKLVAVSDPRKGGFPSGY >Al_scaffold_0007_1713 pep chromosome:v.1.0:7:7660574:7662079:1 gene:Al_scaffold_0007_1713 transcript:Al_scaffold_0007_1713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8V4] MILARCGGDEAATTVAFGAICGSSLMAAKKIIEYSNDSEKDNRKLGEASEHQREGRSVIGLNRNEDPRTDGYRGRDNIGIWRGKKKRFAGGCNEDPVIATEIRWWLGRDPMAVVTKILSQSTRAK >Al_scaffold_0007_1720 pep chromosome:v.1.0:7:7683518:7685844:1 gene:Al_scaffold_0007_1720 transcript:Al_scaffold_0007_1720 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyl hydrolase 9A3 [Source:Projected from Arabidopsis thaliana (AT4G24260) TAIR;Acc:AT4G24260] MYGRDPWGGPLEINAADSLTDDDRSRNLQDLDRATPSRPLDETQQSWLLGPKVLKKKKYVDLGCILVSRKIFLWTLGIIVVTALLSGFITLIVKTLPHHKPKKPPLDNYTIALPIALKFFNAQQSGKLPKNNNSVKWRGDSCLQDGKGDPGQYYKDLVGGYYDAGDSIKFNFPMSYAMTMLSWSVIEYSAKYEAAGELDHVKELIKWGTDYFLKTFNSSADIIYEMVEQVGSGVSGGGSVLHNDHYCWMRPEDIHYKRIVYQCYSSCSDLAAEMAAALASASIVLKDNRLYSEKLVHGAKTLYKFATFNKNRYSTPGKESSKFYNSSMYEDELLWGGAWLYYATGNVTYLEGVTSHYMAKKAGAFGNSPYYGVFSWDNKLPGAQLLLTRMRLFLSPGYPYEELLSAFHNQTGRVMCSYLPYYKKFNRTSGGLIQLNHGAPQPLQYVANAAFLAALFSDYLDAADTPGWYCGPNFYTTELLRDFSRSQIDYILGKNPRKMSYVVGYGQRYPKQVHHRGASIPKNKRETCTGGLKWKKSKKGNPNTIDGAMVAGPDKHDGFHDVRSNYNYTEPTLAGNAGLVAALVALSGEKPVGGIDKNTMFSAVPPLPMATPPPPAPWTP >Al_scaffold_0007_1741 pep chromosome:v.1.0:7:7759068:7761154:-1 gene:Al_scaffold_0007_1741 transcript:Al_scaffold_0007_1741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8Y1] MEKEKVGDFKAQPRIETLYDYVDSLDTEEYRLTNRRSKNQKVGRFYPSACVRNVSGEGTTPGDENGYQCARVLSQKVPSTAGSCLRKLED >Al_scaffold_0007_1742 pep chromosome:v.1.0:7:7764821:7766324:-1 gene:Al_scaffold_0007_1742 transcript:Al_scaffold_0007_1742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT4G24060) TAIR;Acc:AT4G24060] MDTAQWPQEIVVKPLEEIVTNTRPKPQPQPLQPQQPSTAGGERKARPEKDQAVNCPRCNSTNTKFCYYNNYSLTQPRYFCKGCRRYWTEGGSLRNIPVGGGSRKNKRSHSSSSDISNNNHSDSAQPATKKHLSDHHHHLMSMSQQGLTGQNPKFLETTQQDLNLGFSPHGMIRTNFTDLIHNIGNNSNNTNKSNNNNNPLLVSSCSAMATSSLDLIRNNSNNGNSSNSSFMGFPVHNQDPASGGFSMQDHYKPCNTNTTLLGFSLDHHQNNGFHGGFQGGEEGGEGGDDVNGRHLFPFEDLKLPVSSSSATINVDINEHQKRGSGSDAAATSGGYWTGMLSGGSWC >Al_scaffold_0007_1748 pep chromosome:v.1.0:7:7804374:7807933:-1 gene:Al_scaffold_0007_1748 transcript:Al_scaffold_0007_1748 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase like G1 [Source:Projected from Arabidopsis thaliana (AT4G24010) TAIR;Acc:AT4G24010] MERHRKHSVGGTTLHTCHPCRRAIPYRIYAVIHTCGIIALMYHHVHSLLTANNTLITCLLLLSDIVLAFMWATTTSLRLNPVHRTEYPEKYAAKPEDFPKLDVFICTADPYKEPPMMVVNTALSVMAYEYPSDKISVYVSDDGGSSLTLFALVEAAKFSKHWLPFCKKNNIEDRSPEVYFSSKSHSQSDEAENLKMMYKDMKSRVEHVVESGKVETSFITCDQFRGVFDLWTDKFTRHDHPTIIQVLQNSETDMDTTKKYIMPNLIYVSREKSKVSPHHFKAGALNTLLRVSGVMTNSPIILTLDCDMYSNDPTTPVRALCYLTDPEIKSGLGYVQFPQKFLEIGKNDIYACENKRLFNINMVGFDGLMGPTHVGTGCFFNRRAFYGPPSKLILPEIDELRPYRIADKSIKAQDVLALTHNVAGCIYEYNTNWGSNIGFRYGSLVEDYYTGYMFHCEGWRSIFCNPKKAAFYGDSSKCLVDVVGQQIRWAVGLLEILFSKKSPIFYGFKSLGLLMGLGYCNSPFRPFWSIPVTVYGLLPQLALIYGVSVFPKASDPWFCLYIFLFFGAYAQDLLDFLLEGGTCRKWWNDQRMLMIKGLSSFFFGFIEFILKTLNLSTPKFNITSKANDDDEQRKRYEQEIFDFGTSSSMFLPLTTVAIVNLLAFVCGLYGILFCGGELVLELMLVSFAVVNCLPIYEAMVLRKDDGNLPKRISFLAGNLTVVLIVSSYFVLK >Al_scaffold_0007_1752 pep chromosome:v.1.0:7:7825956:7829180:-1 gene:Al_scaffold_0007_1752 transcript:Al_scaffold_0007_1752 gene_biotype:protein_coding transcript_biotype:protein_coding description:auxin response factor 9 [Source:Projected from Arabidopsis thaliana (AT4G23980) TAIR;Acc:AT4G23980] MGGGEYLYDELWKLCSGPLVDVPQAQERVYYFPQGHMEQLEASTQQVDLNTMKPLFVLPPKILCNVMNVSLQAEKDTDEVYAQITLIPVGTEVDGPTSPDPSPPELQRPKVHSFSKVLTASDTSTHGGFSVLRKHATECLPPLDMTQQTPTQELVAEDVHGYQWKFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDTFVFLRGEKGELRVGVRRANRQQSSMPSSVISSHSMHLGVLATARHATQTKTMFIVYYKPRTSQFIISLNKYLEAMSNKFSVGMRFKMRFEGEDSPERRYSGTVIGVNDCSPHWKDSKWRCLEVHWDEPASISRPNKVSPWEIEPFVTSENVPKSVMLKNKRPRQVSEVSALDVGGITASNLWSSVLTQSHEFAQSCITSQWSSPQQCHRDANEDAKKSDWINNSYSVSNVSKDSTLNDQMVSPVEQKKPETTTNYRLFGIDLMSSSLAASEEKTAPMRPINISKPTMDSHSDPKSEISKVSEEKKQEPAEGSPKEVQSKQSSSTRSRTKVQMQGVPVGRAVDLTALKGYNELIDDIEKLFDIKGELQSRNQWEIVFTDDEGDMMLVGDDPWPEFCNMVKRIFIWSKEEVKKMTPGNQLRMLLTEVETTLTTASKTDNHTN >Al_scaffold_0007_1753 pep chromosome:v.1.0:7:7837267:7838227:-1 gene:Al_scaffold_0007_1753 transcript:Al_scaffold_0007_1753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8Z3] MVVSKLGNDKVGMSMIEQLFPEVTSYALRYLDYSSLCQLSMTSSSMRKAANNDDLWRSLYYQRKQMVEPRLMAYYAVTKQVMDVNYEFFSILDSRSLPRMTSFWLNSDYVKCFNGIGELFSGFDAVMQRWEFCFDNWEIGFPLEALEVRTRILDSVAWGTMIALHHIIGGPFNVTNVFELHNGRWLMVHHHSSIIPTNGVENP >Al_scaffold_0007_178 pep chromosome:v.1.0:7:711287:711958:1 gene:Al_scaffold_0007_178 transcript:Al_scaffold_0007_178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39700) TAIR;Acc:AT4G39700] MGVGGTLEYISELIGNGGSHSYGKRKKKKLFQTVELKVRMDCDGCVLKIKNSLSSLKGVKTVEVNKKQQKVTVSGYADASKVLKKAKATGKKAEIWPYVPYNLVAQPYIAQAYDKKAPPGYVRKVDPNVTTGTMAVYYDDPSYTSLFSDDNPNACSIM >Al_scaffold_0007_1801 pep chromosome:v.1.0:7:8049375:8061073:1 gene:Al_scaffold_0007_1801 transcript:Al_scaffold_0007_1801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS-LRR class) family [Source:Projected from Arabidopsis thaliana (AT4G23510) TAIR;Acc:AT4G23510] MGITHYNKDQVFISFRGKDERYGFLTHLKQKLIDGNVNVFTDDNVTGQPLQNLFGHIRKSRIVIVIFSKNYAESDWCLDELVEIKKCFETEALKAVIPIFHKVKVSSVKKQSGKFGEKFLALQNSLLAEEVNKKKIKRINSRIKRWKKALKIVTEIAGLTHDKNSPELAFVEKVVEKININLANIAAEEGRNYSTLETSETSLGRQPNQIIYNVNQLNINIQLHRSKSLEALRLSYNPEDDNKSRKPQVFISFRGKAQRKTLVSFIKSKLEEISEINVFMDEYEIRGRPITTLFERIRESSIALIIFSDKYPESRWCLDELVEIKKQMDTGSIVPFPIFYKVKAESVKYQTGHFRNVLLKTEDDVRKKVDRRNIRSILETEDMIWGWRQALVSVGGRMGFSYNHKCDNDFVNDIVVQVKKMLADLSPTPRNGLKIIERPQEEVTSLLQALNLKKSDLEDLIHTNGVVSQGTDRLVFLDLISLQNPILAQRLIELVQAGRILLVLLGSLEYYNNGFDFKRLFLPKKSQQLPGNTAVAESNDNLRNREVSYTDAVLTCFSFLCNILNRSEMKIDPPARRVFISLGEKHLGKFLVNSLKEELESNQILVYAEDETKSRIKESGVAVVVFSNKYPKSEKCLDELVEIKKLMDAGKIDPLPVFYSLKVEPVKKLKGCFLNRLLKIENEVRKNIKTRDDKSILDTEAKIWGWRDALSSIASRPGLSYELSTDDVFVSDIVTKVNELFASRERKKTEAETTVVKSLDDDLFYSRTSFLQAIDRDNADFESFTDIPHGLVLLRLKGHTNLVFLKLSSHENLLLKTGFILVDCCLWILTKPLTISYDDDDRNSSVSSTSCSIVAASPIFQSLHVSLRIDLNRQKDELD >Al_scaffold_0007_1813 pep chromosome:v.1.0:7:8109630:8109887:1 gene:Al_scaffold_0007_1813 transcript:Al_scaffold_0007_1813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MDT9] MWNRTMHFMAIIHIELVHRQNQNQELNITQENLELKLSLRSWKFKHKHVIQSGKRKTLKEEEEEEEEEEEEEEEEEEEEEEEYLR >Al_scaffold_0007_1816 pep chromosome:v.1.0:7:8115703:8117510:1 gene:Al_scaffold_0007_1816 transcript:Al_scaffold_0007_1816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of Unknown Function (DUF239) [Source:Projected from Arabidopsis thaliana (AT4G23380) TAIR;Acc:AT4G23380] MDSSNNHLVLVILLTIALVLGVKAAHLSRAIPSEEEKNEMERQLKAINKPPIKSFKTEQGEIFDCIDIHKQLAFDHHLLRNHSIQLKPTSVPKWITCHNNSQKIGPLELQLKGISCPHGTVIVKRTTIQDLIYSQHLKSIGFNIPRHVLRDGNNIDLTGHHFATADYEYDNIAGVQGNINLWDPQVSHDQVSLATMAIAGGPIIEELSSISVGWMVNSLLYKDHIHLYTYWTADGYNKTGCYDIRCPGFVQVSKRIPLGVLLQPVSIYNGTQKEMDLSLHQVITSRVSRVNVGYWPQSLFIASGLVKGADLASWGGQVYSPTTEKSPIMGSGHFPKEGFGKAAFVNNIHILNGKGEALIPQIYTIKTHESTPNCYKAKYVHDDDEPWIRAVYYGGPGGCIGK >Al_scaffold_0007_1820 pep chromosome:v.1.0:7:8132723:8146432:-1 gene:Al_scaffold_0007_1820 transcript:Al_scaffold_0007_1820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MDU6] SRSWIEAREAGAAALRERPLDSGSLRLGVGKLPLLDRISKIGGKLSSAPSLPEIATLKLLQQVSALMFLTSVVPFFDFSAVETISADAVRNNFVDMKVDHIKGVVIFGNLSNESDGLKEPLAIFAETMDKSRAMLFPAPSKASKVGDVVPNLEETVEKEHKILLSRKSIIEKRKEEQERQQLEMELEEEQRKLTLLKLTEEAEQKRLATELKKRRRHRIHRGIEEKVTKQTVMEKAMSEKRKEDQEMEKKLQKLAKTMDYLERAKREEASPMIELHISNDKERLKSDLKEKKGWPECWIIVTSLRQAEFERIRKEKEEHLGQIIQARKQESDIERKRIYHLKSEEKKASGRRGSS >Al_scaffold_0007_1824 pep chromosome:v.1.0:7:8170174:8175364:1 gene:Al_scaffold_0007_1824 transcript:Al_scaffold_0007_1824 gene_biotype:protein_coding transcript_biotype:protein_coding description:cysteine-rich RLK (RECEPTOR-like protein kinase) 15 [Source:Projected from Arabidopsis thaliana (AT4G23230) TAIR;Acc:AT4G23230] MATEKVMVKEEEKDQEDQAASWRHDEEELSSRSIHEMEEVVQKQTTSTTSISATATATTTIATVATIATIIRIIGVGVVTGTRWKYTYPNISSRNASYSTGFQNATAGKAPDRVTGLFLCRGDFSPEVCRSCVAFAANDTFNRCPDKREAVFYYEECILRYSDKNILSTVTYDGGLIMTNPNNISSNQRDSFVASVSSTMNQAAVVTANSSRKFYTLETVLTPVQTLYGLLQCTPDLTRQDCLSCLQSSINSIHLYAVGGRFVYPSCNARYELYSFYNGTAIGTPLGPLPSPPPLPSPAPAPAPAPSLHGKGGNSNVLVVAIVVPITVVALLLIAGYCFLAKRAKKTSDTEPTFDGNDITTVESLQLDYKMIRAATNKFSENNKIGQGGFGEVYKGTFLNGTEVAVKRLSKSSGQGHTEFKNEVVVVAKLQHKSLVRLLGFSLEGEERILVYEYVPNKSLDYFIFGQFQLLFCLNDCFAILKLGRYKSLDLTLNHGYAHTDPAKQGQLDWTERHKIIEGIARGILYLHQDSRLTIIHRDLKASNILLDADMNPKIADFGMARIFEMDQSQENTSRIVGTFGYMSPEYAINGQFSVKSDVYSFGVLVLEIISGKKNKSFYETDGAHNLVSYAWSLWSKGTALDLVDLIIIDNCQKSEVARCIHICLLCVQEDPEERPIMSTIFMMLTNDTVTLPVPQQPGFFVQS >Al_scaffold_0007_1825 pep chromosome:v.1.0:7:8176671:8178608:1 gene:Al_scaffold_0007_1825 transcript:Al_scaffold_0007_1825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MDV1] MELKNLLPIFWFLLIGFTVVFAPECGKTGVFVPNGRYDKNRGLLLSSLASNVSAQSGYFNTSIGQGPDRRVYALGMCIQGAEPEVCYNCIDFASNLLLDSCPNQTEGLAWSEKRVVCMVRYSNIYFFGSLTIEPHFPTPSQEDIRSNLAEFDKAWEVLTSAATNKFSESNIIGRGGFGEVFKAVLNGTEVAIKRLSKSSNKVHMSSRTRNLVRLLGFCLEGEEKILVYEFVPNKSLDYFLFDPMKQGELDWTKRYNIILGITRGILYLHQDSRLTIIHRDLKASNILLDANMNPKIADFGMARIFGIDQSGANTNRIAGTRYMPITLVMAYVLTLQESFLRCGYMPPEYVMHGQFSTKSDVYSFGVLVLEIICGQNNRFVHQSDTTAENLVTYAWRLWRNGSPLELVDPTISENCETEEVISCIHIALLCVQHSPTDRPSLSTINMMLTNNSYVLPDPQQPGFFFPNKSNKERDGLESSQSTNRSSSQTINDVTITDLEPR >Al_scaffold_0007_1826 pep chromosome:v.1.0:7:8181655:8182621:1 gene:Al_scaffold_0007_1826 transcript:Al_scaffold_0007_1826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MDV2] MADVLMNLWNLSTRFNFFLLLQTAYSRINLIENPTAFLCEKYTSLPSPQLSQILNIEASNHLNVFCISPSKPDDPEDKVSLEDEDDPELSLLVELLANKISNAKSN >Al_scaffold_0007_1827 pep chromosome:v.1.0:7:8195293:8197164:1 gene:Al_scaffold_0007_1827 transcript:Al_scaffold_0007_1827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MDV3] MWIIISFAAVGKHMALVSGRAVTCDGMSIHSMAFLTIRLHPHFLLKKSNLFTPPRLHASRVCGEWAVLDEICFHQIDGSVGNLVTYVWRLWNNKSFLELVDPAMGESYDKDEVIRCIHISLLCVQENPVDRPTMSTLFQMLTNTFLTLPVPQSPGVFFRVRSEPNSLAERLDPGPSNTMSFAYSIDDASITSVNLR >Al_scaffold_0007_1828 pep chromosome:v.1.0:7:8198043:8198282:1 gene:Al_scaffold_0007_1828 transcript:Al_scaffold_0007_1828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MDV4] INIFIFSNFYFFFRFVFFISFYIFFNFFFIFFIFFVIYKIFNLIFFFILYFFSFYIFFFNFYFLFCFIFFFVIYIIFLI >Al_scaffold_0007_1831 pep chromosome:v.1.0:7:8213416:8216117:1 gene:Al_scaffold_0007_1831 transcript:Al_scaffold_0007_1831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MDV7] MKLKNLLPVLCFFLLSFPVLSAQRCGKTGFSILDDTFDTNRRLLLSSLASNASDQGGFYSASVGQGSERVYAEGLCIPGAEPKDCSNCLNHSSNSVIERCRNQTEGLIWSDDVILCMIRFSNRLFSGSLEIEPSYDLIVNGDIQVNLTEFDRSWEDLTSHMIVEATSSSSQRKYYAAEAVSLTSFQNIYLLMQCTPFISLRDCNTCLTQSVTDYKSCCYGKKGGNVNRPSCYFRWEIYPFSQAFNNTLSHPAPLPPSRTPSGGHRTNTNKRGIIVGITVVLALVISVLLALGYALCRRRKASQEFATETADDIATSGSLQFEFKAIQAATSNFQKSNKLGHGGFGEVYKGTFPNGIEVAVKRLSKTSGQGEHEFKNEVLLVAKLQHRNLVRLLGFSVEGEEMILVYEFVHNKSLDYFLFDPVKRGQLDWRKRYNIIEGITRGILYLHQDSRLTIIHRDLKASNILLDADMNPKIADFGMARNFRVDQTEDNTGRVVGTFGYMPPEYVANGQFSMKSDVYSFGVLILEIIVCKKSSSFHQIDSSVGNLVTYVWRLWNNGLSLELIDPAIGENYDKDEVIRCIHIGLLCVQENPADRPTMSNVFQMLTNNSITLPVPQTPGFVFTVRSEPNPLAERYQPRSSTAISFACSIDDASITSVNPR >Al_scaffold_0007_1833 pep chromosome:v.1.0:7:8226168:8228714:1 gene:Al_scaffold_0007_1833 transcript:Al_scaffold_0007_1833 gene_biotype:protein_coding transcript_biotype:protein_coding description:cysteine-rich RLK (RECEPTOR-like protein kinase) 11 [Source:Projected from Arabidopsis thaliana (AT4G23190) TAIR;Acc:AT4G23190] MNQRRTLFSILCFFLISFGVASVSAQTCTTDKGTFRPNSTYDVNRRLILSSLPSNVTDQDGLYYNGSIGQEPNRVYAVGMCIPGSTSDDCSDCIKKASDEFLNNCPNQTEAYSWPGEPTLCYVRYSNTSFSGSADLDPRVLLFNTGVIRSNLTEFTAIWEGLMGRMIATASTAKSTPSSSNNHYSAEVAALTTFEDMYALMQCTPDLSIRDCENCLQRSAGDYQSCCSQNRGGVVMRPSCFLRWDLYTYSNAFDNITVASPPPEPPVTVPQGPQPAGDQANTTDNDSKGISAGVVVAIIVPTVIVILILLVLGFVLFRRRKSYQRTEIESESDISTTDSLVYDFKTIEAATNKFSTSNKLGEGGFGAVYMGKLSNGTEVAVKRLSKKSGQGTREFRNEAVLVSKLQHRNLVRLLGFCLEREEQILIYEFVHNKSLDYFLFDPEKQSQLDWTRRYKIIGGIARGILYLHQDSRLKIIHRDLKASNILLDADMNPKIADFGLATIFGMDQTQGNTNRIAGTYAYMSPEYAMHGQYSMKSDIYSFGVLVLEIISGKKNSGVYQMDETSTAGNLVTYASRLWMNKSPLELVDPTFGRNYQSNEVTRCIHIALLCVQENPEDRPMLSTIILMLTSNTITLPVPRLPGFFPRSRQLELVSEGPESDQSTSKSFPLLVRKK >Al_scaffold_0007_1835 pep chromosome:v.1.0:7:8239110:8241618:1 gene:Al_scaffold_0007_1835 transcript:Al_scaffold_0007_1835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MDW1] MSAYTSLNSLFLLTFFFASLRASAHLQDLTYVGHSCSKTTSTNITYFSNLQTLLTSLSSNSAYFSLGSHSLTKGQNASMVFGLYLCRGDLSPEDCGDCVVNASKDVRSRCLRGKEFLIQYDECMLGYTDRNIFMDAVTTRSTPTIITWNTQNIKADLDRFNDTVFSLINKSAVEAANSKSKKFAVIKSNFTSSLTVYSSVQCIPDLSSGECLSCLQQSINEIFFTKVGGRVLVPSCNLRYEIYPFYDETSVKTLSPLPPVSAPQLPIKIPHPVLAPPLPAGKRGNSTRVILEICVPAAFSVLLFVAVFTFRLTKRVKKTNDTAVADEDGDDISTAGSLQFCFKVVEAATNKFSICNKLGQGGFGQVYKGTLPNGVQVAVKRLSKTSGQGEKEFKNEVVVVAKLQHRNLVKLLGFCLEREEKILVYEFVSNKSLDYFLFDSKKQSQLDWTTRYKIIGGISRGILYLHQDSRLTIIHRDLKAGNILLDADMNPKIADFGMARIFEMDQTEANTRRVVGTYGYMSPEYAMYGQFSMKSDVYSFGVLVLEIISGRKNSSLYQMDACLGNLVTYTWRLWTNETPLELVDSSFRTNYQRNEIIRCIHIALLCVQEDTEDRPTMSMIVQMLTTSSISLAAPRPPGFFFRSKHEEAGPSTNKSSLCSIDDASITMLTPR >Al_scaffold_0007_1836 pep chromosome:v.1.0:7:8243589:8246533:-1 gene:Al_scaffold_0007_1836 transcript:Al_scaffold_0007_1836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MDW2] MSSFFSFTFLFLFSFLTSFRASAQDPFYLNHNCPNTTTYTSNSTYSTNLKTLLSSLSSRNASYSTGFQNATVGQTPDRVTGLFLCRGDLSPEVCRNCVAFSVNESLTRCPNQREAVFYYEECILRYSHKNILSTVTYEGEHIMRNPNNISSIQNQRDQYELYAFYNETAIGTPPPPPPPPMRFPGSTPPLTSPSLPGKSRNSTVLVVAIVVPIIVVVRLFIAGYCFFAKRAKKTYGTAPALDDIYCAREDDKTTSESLQLDYGAIQAATNDYSEDNKIGRGGFGDVYKGTFSNGTEVAVKRLSKTSEQGDAEFKTEVAVVANLRHKNLVRLLGFSIEGEERILVYEYVANKSLDNFLFDPAKKSQLYWTQRYQIIGGIARGILYLHQDSRLTIIHRDLKVSNILLDADMNPKIADFGMARIFEMDQTQQNTLRIVGTYGYMSPEYAMRGQFSMKSDVYSFGVLVLEIISGRKNNSFNETDDAQDLVTHAWRLWRNGTALDLVDPIIVDNCQNSEVVRCIHIGVLCVQEDPVKHPAMSTISVMLTNNTVTLPAPQQPGFFVKSRPGNTPLDSDKSTTSKSFPVSFDETSISDLYPRLLVEYGIHLHA >Al_scaffold_0007_1838 pep chromosome:v.1.0:7:8253125:8255704:-1 gene:Al_scaffold_0007_1838 transcript:Al_scaffold_0007_1838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MDW4] MPLYAAFILLFLFSLLTSFKASAQKPTFTYDHCPNTTTYSRNSTYFTNLKTLLSSLSSPNASYSTGFQNAKVGQAPDRVTGLFLCRGDLSPEVCRNCIAFSVNETLTRCPNQREAVFYYEECMLRYSHKNILSTLIYHGGFIMTNPNNISSQLEDRFGDFVSSTMNQAAEEAVNNSRKFYTIRANWTALRSLHVLVQCTPDLRRQDCLACLQYSINGMPLYRIGGRLLWPSCNSRYELYPFYNESVIEAPPPLESLVSTPPMASPSLPGTGRNSNVLVVAIVVPIIVAVLLFIAGYCFLAKRKKKSFDTAPASEGGDDITTADSLQLDYRTIQSATNDFAESNKIGRGGFGEVYKGTFSNGKEVAVKRLSKNSRQGEAEFKTEVVVVAKLQHRNLVRLLGFSLQGEERLLVYEYMSNKSLDYLLFGQLHLLLCFNDSLTILELWVADLRINYNQWICTDPTKQIQLNWTLRYKIIGGIARGILYLHQDSQLTIIHRDLKASNILLDADINPKIADFGMARIFGLDQTQDNTSRIVGTYGYMAPEYAMHGQFSMKSDVYSFGVLVLEIISGRKNSSFEESDGAQDLLTHAWRLWNNRTALDLVDPLIVDNCQNSEVVRCIHIGLLCVQEDPVKRPTISTVFMMLTSNTVTLPVPRQPGFFIQSRPVKDPPDSDQSTTTKSIPASIDDESITDLYPR >Al_scaffold_0007_184 pep chromosome:v.1.0:7:739979:742121:-1 gene:Al_scaffold_0007_184 transcript:Al_scaffold_0007_184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39770) TAIR;Acc:AT4G39770] MVRFIEENTKLVEKETGNKSNNDVTTTKKKVLQDLSINNGGGLINSWVDSMRACSPTHLKSLLKQSSWLTEHPSALDMFEEILHLSEGKQIVMFLDYDGTLSPIVDDPDRAFMSKKMRRTVRKLANCFPTAIVSGRCREKVYNFVKLTELYYAGSHGMDIKGPEQGSKFEEVRFDSKSLLCQPATEFLPMIDEVYKKLVEKTKFTPGAQVENNKFCVSVHFRRVDENNWSDLANQVRSVMKDYPKLRLTQGRKVLEVRPIIKWDKGKALEFLLESLGYANCTDVFPLYIGDDRTDEDAFKILRERRQGLGILVSKFPKETSASYSLQEPDEVMEFLQRLVEWKQLRSGA >Al_scaffold_0007_1849 pep chromosome:v.1.0:7:8321904:8325575:-1 gene:Al_scaffold_0007_1849 transcript:Al_scaffold_0007_1849 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAS domain-containing protein tyrosine kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G23050) TAIR;Acc:AT4G23050] MAGNNSESSLYQVLVEWCQRMETSQARLREDVDDLLLQDESRTGKESAAETEAEAADSWDNPTATWERAVSGFYFADSAYRTLMDSMGHAIHVTSAASGEITFWSRSAENLYHWYAEEVVGYRTIDVLVTEEYRNSLTGIRNRVCRGETWTGQFPFQKKTGELFMALVTKSPVYENGELVGVVTVSSDATLFNRMHPLSNEHQQQARSNNRHESNLRKHQWHLPRPQIAVASQVPVVPQYSSTVISNLKASKLLPQRNGDDSFNANQNSRSRDENVPVVASSTFEKYGSLADKFLGKLQRKISGSQGNEDNEPILGNCINKSACGSGASSKASNAVTCTAFRDNGNGKPKRAEIRFSDVYGNGADGLIHNGDRFEYIGNLGQGKPPRGLESGLVSGMRGTKMSDLTGEIEDAWNTRPCDDPLPILGVNIGRRQSPANQGNNRLVTDSSCDIRWEDLQLGEEVGRGSFAAVHRGVWNGSDVAIKVYFEGDYNVMTLTECKKEINIMKKLRHPNVLLFMGAVCTEEKSAIIMEYMPRGSLFKILHNTNQPLDKKRRLRMALDVARGMNYLHRRNPPIVHRDLKSSNLLVDRNWNVKVGDFGLSKWKNATFLSTKSGKGTPQWMAPEVLRSEPSNEKCDVFSFGVILWELMTTLIPWDRLNSIQVVGVVGFMDRRLDLPEGLNPRIASIIQDCWQTDPAKRPSFEELISQMMSLFRKPGSGAQEDDD >Al_scaffold_0007_1851 pep chromosome:v.1.0:7:8332102:8333720:-1 gene:Al_scaffold_0007_1851 transcript:Al_scaffold_0007_1851 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT4G23030) TAIR;Acc:AT4G23030] MAAPLLIIKNQTDHRQDPNSNPTHLSSSIQEAKSIAKISLPLILTGLLLYSRSMISMLFLGRLNDLSALSGGSLALGFANITGYSLLSGLSIGMEPICVQAFGAKRFKLLGLALQRTTLLLLLCSLPISLLWLNIKNILLFFGQDEEISNQAEIFILFSLPDLILQSFLHPIRIYLRSQSITLPLTYSAFFAVLLHIPINYLLVSSLGLGLKGVALGAIWTNVNLLGFLIIYIVFSGVYEKTWGGFSLDCFKGWRSLMKLAIPSCVSVCLEWWWYEIMILLCGLLLNPQATVASMGILIQTTALIYIFPSSLSISVSTRVGNELGANQPDKARIAARTGLGLSLGLGLIAMFFAFMVRNCWARLFTDEEEIVKLTSMVLPIIGLCELGNCPQTTVCGVLRGSARPKLGANINLCCFYFVGMPVAIWLSFFSGFDFKGLWLGLFAAQGSCLVSMLVVLARTDWEVEVHRAKELMTRSCDGDEDEGNTTPFLLDSLDIEERGNI >Al_scaffold_0007_186 pep chromosome:v.1.0:7:757330:759622:-1 gene:Al_scaffold_0007_186 transcript:Al_scaffold_0007_186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630 and DUF632) [Source:Projected from Arabidopsis thaliana (AT4G39790) TAIR;Acc:AT4G39790] MGHSILEKMGCSNSKTSMKKKNEPLHLCKERKRFVKQAMDSRCALAAAHVSYIRSLRNIGACLRQYAEAETADELSPSLTATEPEKSPSHNSSYRDDSVDSPLSHYSNPNPNPKPLFNLSYMKTETANSTVTFTINPLSDGEDDLEETMPAFSPPPPRPRRPETSSWDYFDTCDDFDSFRFVGLSEQTEIDSDAAVIGLEKISQGNVAKSGSETLQDSSFKTKQRKQSSEDDDEAGNGEREDPSEFITHRAKDFVSSMKDIEHKFFRASESGREVSRMLEANKIRVGFADMTGKGSSIAFLAALKQACCRGKSYSPVSQEPLSHQVTKVIVWKRTSSSRSSTSRNPLIQTTKEDHDDESGSDFIEEFCMISGSHSSSLDRLYAWERKLYDEVKASEMIRKEYDRKCEQLRNQFAKDHSAKSMDKTRAAAKDLHSRIRVAIQSVDSISKRIERIRDDELQPQLLEFLQGLIRMWKAMLECHHSQYITISLAYHCRNSSKTAPEIALKRRILSELLEETECFGLSFVDLVNSMTCYVEAVNGWLHNCVLLPQERSSLRNRRPWSPRRVLAPPIFVLCRDWSAGMKTLPSDELSGSIKGFSLDMEMLGEEKSGSVLVSDLSSVHSSLAKLLERLKKFSEASLKMYEDVKMKSEAARVAYTNNNF >Al_scaffold_0007_1861 pep chromosome:v.1.0:7:8395910:8397786:1 gene:Al_scaffold_0007_1861 transcript:Al_scaffold_0007_1861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G22940) TAIR;Acc:AT4G22940] MGCIISSRKKKPATKGLPEIRPRFHTLPSERRIAEDDAPKVSELLKMSHDKLGSKELKREESVLVVNVHPRSSELAASGWPPWLISVAGEALVGLIPGRESNFEKQEQIGGGTFSKVFKARDLLRNKTVALKRIRFDLNNSESIKCIAREIIILRKLDHPNVIKLEGLMLVDHDSSTLYLIFEYMEHDLLGLSSLLGVQFTEPQVKCYMRQLLRGLDHCHTNHVLHRDIKSSNLLINGNGVLKLADFGLATFFDPHNSVPLTTHVATLWYRPPELLLGASHYDVGIDLWSTGCVIGELYAGKPILLGKNETDQLHKIFQLCGSPSEDYWTKLKLQLSTPLRPMFPYGSHIAERFKQFPTSVTSLLETLLSIDPDFRGTAASALKSKYFKTEPLPCDPSCLPKYPPSKEINIRMRDKTRKQASQIRRTGETQAVQPILADPSLTKPVQVSLLFRFLESFIPRAETVHLQSFMRKTRLPVYQIFLLGKCKHLRT >Al_scaffold_0007_187 pep chromosome:v.1.0:7:767872:768445:1 gene:Al_scaffold_0007_187 transcript:Al_scaffold_0007_187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF581) [Source:Projected from Arabidopsis thaliana (AT4G39795) TAIR;Acc:AT4G39795] MLIGSRPRPQMQRTASITRITIEVDDDQTAGQDSDVAMTVVDGGDNYDQRFLGMLSPVNHRRNERKDGGRSSPSSLSSFLGNCGFCKRRLAPGRDIYMYKGDAAFCSIECREQQMEHDEDKTRNRVVLSPSN >Al_scaffold_0007_1871 pep chromosome:v.1.0:7:8432343:8432905:-1 gene:Al_scaffold_0007_1871 transcript:Al_scaffold_0007_1871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MDZ9] MDLCFAKSVSQFSDGIEDRVVVGSLDLNQCRWLPDEIAGTKPEGIGVDFARAYLSNVCVAKELHRNGVGYKLIDKSKRVGITDMYVHVMVANEAAQRLYMKSGIEQETAEQRGKLDTSIDHNGSSSGSAYLLLPWS >Al_scaffold_0007_1877 pep chromosome:v.1.0:7:8465021:8465422:1 gene:Al_scaffold_0007_1877 transcript:Al_scaffold_0007_1877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ME05] MGLSWYVKRLRVDEDGDVAVEFLEEGEKQINSEDDHNCIKTMPKLQIKHKTKPAKVRGLVVSSDGKLQQCIEHQGRLLIV >Al_scaffold_0007_1888 pep chromosome:v.1.0:7:8501978:8502543:-1 gene:Al_scaffold_0007_1888 transcript:Al_scaffold_0007_1888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ME12] MAKKVEIYGGFGEEFDDGVYDSVRKVCVGVEEKSCFKMLKFKTNKATYQVLGAETEGYEYVGTSFVLGETDHKIVGFHGNSLYGGLVQIGVYVSPINNA >Al_scaffold_0007_189 pep chromosome:v.1.0:7:774866:779600:-1 gene:Al_scaffold_0007_189 transcript:Al_scaffold_0007_189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39810) TAIR;Acc:AT4G39810] MEVQTFPTEIVFFDLETTVPNKVGQYFHILEFGAIIVCPRKLEELESFTTLIQPKDLSVVSIRSSRSDGITRAKVTNAPSFEDVAEKIYGLLNGRIWAGHNIRRFDCIRIKEAFAEIGKAAPEPSGIIDSLGLLSDKFGKRAGNMKMASLAAYFGLGVQKHRSLDDVRMNLEVLKHCATVLFLESTLPNQLEGKWQSSSKIMTRSRSNYQIAQRAMPYSKGSLGKVS >Al_scaffold_0007_1895 pep chromosome:v.1.0:7:8536710:8537464:-1 gene:Al_scaffold_0007_1895 transcript:Al_scaffold_0007_1895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ME20] MAYIYQISAVVFLAVAIAPLLADPQSPMFPDMTPECATVMPDLLEKCFATGSVTPTEDCCTDLKSATSTQVTCLCDNQGRTQDILLPGSATGDNYIANPAVANITGPYSKAITTKCGVFDKFSCDGTSKGGEEKKGGSSSSNGKGNGTSQGNGGTTNKVAALMAMFGLVASLVFVMF >Al_scaffold_0007_1916 pep chromosome:v.1.0:7:8645220:8646657:-1 gene:Al_scaffold_0007_1916 transcript:Al_scaffold_0007_1916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7ME42] MAGLRAKTLMFVLVAALATLDRTFVEADFSKNMIVTWGKDHIGMTGGNLRLVLDKSAGSAIRSKVAHLFGSVEMLIKLVPGDSAGTVVAYYLSSTGSAHDEIDFEFLGNSTGQPYTIHTNIYVQGKGNREQQFRPWFNPTNGFHNYTIHWNPSEVVWFVDGTPIRVFRNYEKEGIAYPNKQGMKVFASLWNADDWATQGGRVKTNWTLAPFAAEGRRYKARACLWRGSISIKQCADPTVPSNWWTSPSFSQLTELQLTKMQKIRDGFMIYDYCKDTNRFQGVMPPECSKMQF >Al_scaffold_0007_1936 pep chromosome:v.1.0:7:8727105:8727484:-1 gene:Al_scaffold_0007_1936 transcript:Al_scaffold_0007_1936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7ME61] RSAGSLRLSTVGSIAVVVCLSILLISLTEVDGWGKCDYRKGLCNTSATSSTCDEPCKVLDSKYHGGECLNVGGGQGICWCCRDYDAKSGAEKDSM >Al_scaffold_0007_1942 pep chromosome:v.1.0:7:8759478:8759959:1 gene:Al_scaffold_0007_1942 transcript:Al_scaffold_0007_1942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ME66] MVVTVAWCSCCGGVRLLAGGAVRGWFLRWLLARSSSPLCCSRLLCDSSLTVRCGSSRVCGGLDLLRCGFGLFRLIPRRRFRLVALLNPGEMSPVASRSGLVNRDGFSGHL >Al_scaffold_0007_1947 pep chromosome:v.1.0:7:8775716:8782272:-1 gene:Al_scaffold_0007_1947 transcript:Al_scaffold_0007_1947 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein with a domain of unknown function (DUF295) [Source:Projected from Arabidopsis thaliana (AT4G22170) TAIR;Acc:AT4G22170] MEKNYNPNSWSELPLDLLNLVFERLSFANFQRAKSVCSSWYSASRQFVPKHHIHWLILFPRENNNNNKNSCTLFNPEEKEKLYKTQDFDLGEEFAKCECRATYGSWLLMQDPLFNLYIVNLFTNERINLLPVELLWEGYELGVSGRKGRMKSRGGYVRSPVFWIDEKTKDYVVLWGLRDWCVFYSKKGDTSWNQIPQTPDCSRILYKDHKLYFLGYFHSFKIFDLSGEIPQQIFDSSVWIDHFSLRGRDVCTTTLVVTLTGKVLKVEKMRDKSFKVFEVYSSRRRIHSLGDESIFLDQGITVPANDTDGFIKNSIYFSNCYKKHTKDMFIFNLETHKTEPLHTFDSSSFQFSRAQWFVPSFTHR >Al_scaffold_0007_1958 pep chromosome:v.1.0:7:8867152:8867939:-1 gene:Al_scaffold_0007_1958 transcript:Al_scaffold_0007_1958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ME95] MATHSRFLYVLLLLSCIVFALLGGSCGDNVENNNDDDDCSSRRGGGGGGNGSGYGSGSGYGSGTGKGSGSGGGGGGGGGGGGGGSGGGSGSGSGRGEGYGMGGGAGTGNGGGGVGFGMGIGFGIGIGGGTGGGTTYQTNLTGDKNSP >Al_scaffold_0007_1962 pep chromosome:v.1.0:7:8885508:8886512:-1 gene:Al_scaffold_0007_1962 transcript:Al_scaffold_0007_1962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21980) TAIR;Acc:AT4G21980] MIFACLKFAETNRIVMAKSSFKISNPLEARMSESSRIREKYPDRIPVIVEKAGQSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLGAEKAIFVFVKNTLPPTAALMSAIYEEHKDEDGFLYMTYSGENTFGFFTVA >Al_scaffold_0007_1965 pep chromosome:v.1.0:7:8898551:8901592:1 gene:Al_scaffold_0007_1965 transcript:Al_scaffold_0007_1965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MEA2] MDSSFFLTIVIAAICFFVILRKFRSEQENKEINSPSLSPPSSLSYKWKHDVFPSFRGVDVRRDFLSHIQKEFQRKGITPFIDNEIKRGESIGPELIHAIRGSKIAIILLSRNYASSKWCLDELVEIMKCREELGQSVMVIFYKVDPYDVKTLAGEFGKVFSKTCAGNTKEDIKRWRQALGKVATIAGYHSSNWDNEAAMIERLAIDVSNELISSAPSSDFDGFVGMKAHLENIEPFLRLDSDEVKMIGICGPSGIGKSTIARFIFSKYSHEFELSVFMENIKRRYPRPCYDEYSMKLQLQKEFLSQIMNQEDIKIHHLGVAKDSRIIITTQDKRLLNAHGINHIYEVGYSPEEEALQIFCMYAFGQMSPYDGFENLAWEVTKLSGKLPLGLRVMGSYFRGMSKHEWEKELPRLRTRLDGKIESILKFSYDALREEDKDLVLCIAWFINNEWIEKVEEHLPKNFVEVSQGLHVLAEKSLMSISFGYIWMHNLVARLGRKIVRKESIHEPGHVLVDVGETCQVLNNGTMTTHQVFLEICPQNQQEYLLSACSGQRHCERFPPSTLQIPSLVISTYKSL >Al_scaffold_0007_1970 pep chromosome:v.1.0:7:8989825:8992198:1 gene:Al_scaffold_0007_1970 transcript:Al_scaffold_0007_1970 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteinaceous RNase P 3 [Source:Projected from Arabidopsis thaliana (AT4G21900) TAIR;Acc:AT4G21900] MAGTDHRRSRHHDESPSKPNKKQKGSRNPEKSLLINLHSCSKRKDLSAALALYDAAITSSDIRLNQQHFQSLLYLCSAFISDPSLQTLAIDRGFQIFDRMVSSGISPNESSVTAVARLAAAKGDGDYAFKLVKDIVVVGGVSVPRLRTYAPALLCFCESLEAEKGYEVEEHMDASGIMLEEAEISALLKVSAATGRENKVYRYLHKLRECIGCVSEETSKTIEEWFYGVKASEISDKTIGCDIELLRAAVSKNGGGWHGLGWVGEGKWIVKKGNVSPAGKCLSCGEHLACVDTNEVETENFVNSLVALAMERKAKMNSCEPMADFSEFQEWLEKHGDYEAILDGANIGLYQQNFADGGFSLPQLEAVVKELYNKSGNKKQPLVLLHKKRVNALLGNPNHRNLVEEWIDNNVLYATPPGSNDDWYWLYAAAKLKCLLVTNDEMRDHIFELLSNSFFEKWKERHQVRFTFVKGCLKLEMPPPFSVVIQESEKGSWHIPIKSQDNEESSRSWMCITRQSL >Al_scaffold_0007_1972 pep chromosome:v.1.0:7:9010635:9013912:1 gene:Al_scaffold_0007_1972 transcript:Al_scaffold_0007_1972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MEM7] MAERAILGFLQNNEQIPDSGLFSAEHNLDHDQVKNVIRRLQGFRYIDAQDITRTTWVLTEEGNKYAAQGSPEVQLFLAVPEEGSISVKKLGESIDRFAWKYAKENKWLELGEKQVSRKVQHVDDIVQALLLQIQEGKEIDEDSIDFLKRRKNLIKQDKWNGYSSIKKGPNYSPERKKVSTDLTRENLQNWKELEFKEYNFNAKGAPVEAGLLHPLLKVRKQFKDIFVQMGFEEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLKAPCTTRTLPEDYVERVKCVHESGGYGSRGYNCDWKREEANKNLLRTHTTAVSSRMLYALAQKPFVPKKYFSIDRVFRNEAVDRTHLAEFHQMEGLICDRGLTLGDLIGVLKDFFSRLAAVQASVQPLHRTMEIFSYHEKLKKWVEIGNSGMFRPEMLQPMGLPEDVRVIAWGLGLERPTMILYGIDNIRDLFGHKVDLGLIKRNPICRIGI >Al_scaffold_0007_1981 pep chromosome:v.1.0:7:9047352:9049147:1 gene:Al_scaffold_0007_1981 transcript:Al_scaffold_0007_1981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MEN7] MNDAKEALLLTNRCKGLENVFPSQNRTPWLYKERLCFGGFNDLTDLEATCVVALFHLESGLDVGVPFLHVEEIFNIAPWIQDVFQTRITPCVWIFFHPPPKMYKTFQNEDIKWKAQPVRMLKLKGSVEEVKLTTFKLKISKLPWNLYLFQYKEDSSLYCLTLGGSNERPLTRKPKSLHSYSQIKNLSL >Al_scaffold_0007_1992 pep chromosome:v.1.0:7:9101512:9106100:-1 gene:Al_scaffold_0007_1992 transcript:Al_scaffold_0007_1992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MEP8] MTVKTMPASDLAFTNLAYCSPSDLHSFAVPGSTDLFLANVGESISDGSIALNSIQRRHARVSAGDNVYVTRFIPPENFDLTVLTVDLEFVKRGTKNEQIDATLLSTQLKKKFINQVLTVGQRVTFEYHGTNYILTVNRAVVLADENQTNGIERGMIGNDTYFVFEASNASGIKIINQREAATSKIFKEKEFNLQSLGIGGLNAEFVDIFRRAFASRIFPPHLNYRLGAKHVRGMLLFGPPGTGKTLIARQTGKMLNGNEPKIVNGPEVLSKFVGETEKNVRDLFADAENDQRTLGDDSELHVIIFDEIDAICKSRGSSRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDMLDEALLRPGRLEVHIEIGLPDDAGRFEILQIHTKMMKENSFLAPDVNLQELVQYHMLNLNKHLSMEDLTKPVDEENIKVTMEDFLQALREVKPAFGASSKDLQNCRPKGFLDCGDKQNFIYERVMFLVDQVANDRSPPVTCLLEGPSGSGKTALAATVGMDSNFPYVKIVSAETLACLQESTKSAHIAKVFEDAYKSPMSIVILDNIERLLEYSEIGPRFSNTISQILLIYLNRLPPEGCKLLVLGTTSELRFLKAVGLHKAFSVTYSVPLLRTDDAKKVLKQLNVFSEDDIEEASKALNDIPIKQLYFLIEMAAPRDGRSKESIYTRKEKLNITHFFDCLQEVTGN >Al_scaffold_0007_2000 pep chromosome:v.1.0:7:9135681:9136295:1 gene:Al_scaffold_0007_2000 transcript:Al_scaffold_0007_2000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MEQ5] MAKLRANRVVLHNPASRSSNWKRKAKQSRNHSSVMISSTVGGHQQKFGGPNQKKQLTIMWKMCADDLAKHFKESVLLKLPKNYQSN >Al_scaffold_0007_2039 pep chromosome:v.1.0:7:9344851:9348332:-1 gene:Al_scaffold_0007_2039 transcript:Al_scaffold_0007_2039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MEU5] MRREVPNKHHYYSFSFVFLFFFLILFPDFSISTNTLSATESLTISSNKTIVSLGDVFELGFFTILGDSWYLGIWYKKIPEKTYVWVANRDNPISTSTGILKISNANLVLLNHFDTPVWSTNLTAEVKSPVVAELLDNGNFVLRDSKTNGSDEFLWQSFDFPTDTLLPQMKLGLDHKKRLNKFLRSWKSSFDMSSGDYLFKIETLGLPEFFIWMSDFRVFRSGPWNGIRFSGMLEMQKWDDIIYNLTENKEEVAFTFRPTDHNLYSRLTINYAGLLQQFTWDPIYKEWNMLWSTSTDNACETYNPCGPYAYCDMSTSPMCNCVEGFKPRNPQEWALGDVRGRCQRTTPLNCGRDGFTQLRKIKLPDTTAAIVDKRIGFKDCKERCAKTCNCTAFANTDIRNGGSGCVIWIGRFVDIRNYAADGQDLYVRVAAANIGDRKHISGQIIGLIVGVSLLLLVSFIMYWFWKKKQKQARATAAPNVYRERTQHLTNGVVISSGRHLFGENKTEELELPLTEFEAVVMATDNFSDSNILGQGGFGVVYMGRLPDGQEIAVKRLSMVSLQGVNEFKNEVKLIARLQHINLVRLFSCCIYADEKILIYEYLENGSLDSHLFKKVQSSKLNWQKRFNIINGIARGLLYLHQDSRFKIIHRDLKASNVLLDKDMTPKISDFGMARIFEREETEASTKKVVGTYGYMSPEYAMDGIFSVKSDVFSFGVLVLEIVSGKRNRGFYNSNQDNNLLSYTWDHWKEGKWLEIADPIIVGTSSSSSTFRPHEVLRCLQIGLLCVQERAEDRPKMSSVVFMLGNEKGEIPQPKPPGYCIGRSFLETDSSSSTQRNESSTINQFTVSVINAR >Al_scaffold_0007_205 pep chromosome:v.1.0:7:841191:842281:1 gene:Al_scaffold_0007_205 transcript:Al_scaffold_0007_205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M976] MEKAYLAERIEQIQRFKQNKSGSSRSDDSTRGSVLNWDTRFDIAKGIVRGLVYLHQDSRFRIIHLDLKPSNILVDKDMVPKISDFGMARILGGDETEAHVTTVTGTFGYIAPEYRSDGVLSVKSDVFSFGIMLLEIISGKRNIDFLHLNDGSPLLSYMWNHWSQGNGLEIVDHTIKDSSSSQQILRCVQIGLMCVQELPEDRPTMSSVGLMLGRETEAISQPKSPVENGSSSRGQQESESGTVPEMTLLIEGR >Al_scaffold_0007_2057 pep chromosome:v.1.0:7:9430712:9434329:-1 gene:Al_scaffold_0007_2057 transcript:Al_scaffold_0007_2057 gene_biotype:protein_coding transcript_biotype:protein_coding description:gibberellin 2-oxidase 8 [Source:Projected from Arabidopsis thaliana (AT4G21200) TAIR;Acc:AT4G21200] MDPPFNEIYKTLLYNQITNTERDVPEIPFSFSVTAVFEEVELPVIDVSRLIHGAEKERENCKEEIARASREWGFFQVINHGISMDVLEKMRQEQIRVFREPFDKKSKSEKFSAGSYRWGTPSATCLRQLSWSEAFHVPMTDISNNKDFTTLSSTMEKFASEAEALAYMLAEVLAEKSGQKSSFFKENCVRNTCYLRMNRYPPCPKPSEVYGLMPHTDSDFLTILYQDQVGGLQLIKDDRWIAVKPNSRALIINIGDLFQAWSNDMYKSVEHRVMTNPTVERFSTAYFMCPSYDAVIECSSDCPAYRNFSFREFRQQVQEDVKKLGFKVGLPRFLNHLY >Al_scaffold_0007_2058 pep chromosome:v.1.0:7:9435110:9442269:-1 gene:Al_scaffold_0007_2058 transcript:Al_scaffold_0007_2058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MFE2] IVNKKKMNINSSLKKKKKKKKKKRKKKKKKNINKFKTLNEKKNEKKKKKKEKKKKKKKKKKKNN >Al_scaffold_0007_2061 pep chromosome:v.1.0:7:9449750:9451507:1 gene:Al_scaffold_0007_2061 transcript:Al_scaffold_0007_2061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21170) TAIR;Acc:AT4G21170] MVLLHTSVGFFKRFSTSATPSTSSASDWKTQQTLFRLATEISSILLQRRNWISHLQYVKSKLPRSTLTSPIFLQIIRETRKCPKTTLDFFDFAKTHLRFEPDLKSHCRVIEVATESGLLERAETLLRPLVETHSVSLVVGSMHRWFEGDVSLSISLSLVIECYALKGCYQNGLEVFGFMRRLRLSPSQSAYNSLLGSLVKENQFRVALCLYSAMILCEHGRSKSVVKLMETGVESCKIYTNLVECYSRNGEFDATFSLIHEMDGKKLELSFSSYGCVLDNACRLGDAELIDKVLGSMVEKKFLTLGDSALNDQMIERLCDMGKTFASEMLFRKACNGETVRLRESTYGCMLKALSRKERTKEAVDVYRMICRKGINVLDESCYNEFANALCRDDNSSEEGEELLVDVIKRGKEDGNPQRSFLIRLWKWRSGKLEKALELHEKIKKMKGSLDVNAYNAVLDRLMMRQKEMVEEAVGVFEYMKEMKSVNSKSFTIMIQGLCRVKEMKKAMRSHDEMLRLDMKPDLVSYKRLILGFK >Al_scaffold_0007_2074 pep chromosome:v.1.0:7:9495109:9499581:-1 gene:Al_scaffold_0007_2074 transcript:Al_scaffold_0007_2074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G21060) TAIR;Acc:AT4G21060] MATSRLARFVSEVAPPQFVTVIRRHRAAKQKLDTIKEEENKEDCFNDGMMMMMKTSHHHTLSIFRSCRDLATTVAFRVLLFAGFSGFYLVFLAFKFPHFIQMVAMLSGDTGLDGALTDTGLDVSLSGSLRNDMLNRKLEDEENQSGPSTTQKVSPEEKISRSKPIQPLQFRYGRISGEVMRRRNRTIHMSPFERMAEEAWLLGSKAWEDVDKFEVDKIEESSSIFEGKVESCPSQISMNGDDLNKANRIMLLPCGLAAGSSITILGTPQYAHKESVPQRSRLTRDDGMVMVSQFMVELQGLKTGDGEYPPKILHLNPRIKGDWNHRPVIEHNTCYRMQWGVAQRCDGTPSKKDTDMLVDGFRRCEKWTQNDIIDMVDSKESKTTSWFKRFIGREQKPEVTWSFPFAEGRVFVLTLRAGIDGFHINVGGRHVSSFPYRPGFTIEDATGLAITGDVDIRSVHATSLSTSHPSFSPQKAIEFSSEWKARPLPGTPFRLFMGVLSATNHFSERMAVRKTWMQHPSIKSSDVVARFFVALNPRKEVNAMLKKEAEYFGDIVILPFMDRYELVVLKTIAICEFGVQNVTAPYIMKCDDDTFIRVDSILKQIDGVSPEKSLYMGNLNLRHRPLRTGKWAVTWEEWPEAVYPPYANGPGYIISSNIAKYIVSQNSRHKLRLFKMEDVSMGLWVEKFNASIQPVEYSHSWKFCQYGCTLNYYTAHYQSPSQMMCLWDNLLKGRAQCCNFR >Al_scaffold_0007_2078 pep chromosome:v.1.0:7:9519450:9520570:1 gene:Al_scaffold_0007_2078 transcript:Al_scaffold_0007_2078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIIE, beta subunit [Source:Projected from Arabidopsis thaliana (AT4G21010) TAIR;Acc:AT4G21010] MALQEQLNKFNKQQEKCQSTLSSIIASSRTGPSRSSVPAATTSQKPNAVRGKFSENTKQLQHINNIRNSAVGAQMKLVIDLLLKPSYTAEQINEACYVDMTANKAVFNSLRKNPKVHYDGRSRFSYKATHDIKDKKQLLSFVNKSSNVIDVVDLKDAYPNVMEDLQALKASGDIFWLPSNTGSKEGIVYPNDLKYPKIDDELKALFRDIDIPSDMLDVEKELLKIGLKPATNIAERKAAEQLHGVSNKPKDKKKKKKQEISKRTKLTNSHIPELFQSLNASSSGN >Al_scaffold_0007_2086 pep chromosome:v.1.0:7:9545216:9549192:1 gene:Al_scaffold_0007_2086 transcript:Al_scaffold_0007_2086 gene_biotype:protein_coding transcript_biotype:protein_coding description:double-stranded RNA-binding domain (DsRBD)-containing protein [Source:Projected from Arabidopsis thaliana (AT4G20920) TAIR;Acc:AT4G20920] MAGEEKQTLTPKEIIHQKFGDKAIYRIEEVHVSSNECLYRCHLQLPEFSVVSNVFKRKKDSEQSAAELALEKLGIQSQDDDDDDITVDEAWNDIVERIKYIFSDEFLSADHPLGGHLRAALQRDGERCGSLPVSVIATFDAKINSRCKVIDPSVDSDPILLMSYVMKAAAKLPDYIVVSPHVDSLRRKKPYSPAIIKALATHVKSIKVEAVHIQCTVGGEEVVKPVTLDISSGRYYLDIIAEKLGLKDGSQVMISRTIGKTSSGYECRVYAAMPKLKSFDNSWKAREKRPINESLHLEKSRNAKASFVCGLDVHGDAIVASVGYPWRICCGMSPIGIYKLSREAIIAAQLPFSFTTKSTWRGPFPREILCMFCRQQQLAEPIFTLSTAPVKPMSCILRSYQKLKDSESDDSEYQYVSKGKEEIPESGTGYRCEVKILSKSQDLVLDCTSKKFYEKENHAIQNASLDALSWLSRLFDEGDVDPLQTCYTSEHLDMVFQQRILMKEAVPRGHFRNIDERNEYEDQIRIQSITKGSLVSICYSVYLDVDADFSSDGKSRKELIESNEEIEFEVGNGSMNPHLESVVTQLAVGQYARFLTDAPAEDLFVTAATGTQRDRSDIAGFEYCVRLLGVKGPTEKQIEADFFKPSLSKQRLEYVVKHIKESSASTLVDFGCGSGSLLASLLDYPTSLQTIAGVDISQKGLVRAAKVIEHMEEDQACQFGKTVLSLFRPKLLIVSTPNIEYNKNFHKSAPPNHLKNRSMSQLPKFRNQDHKFEWTRKQFKQWASKLAKRHNYSVYFSGVGESGKADPGFASQIAVFRRKSLSNVVEKVSEGSMQPYKVIWEWSRGNGDKKTNLS >Al_scaffold_0007_2100 pep chromosome:v.1.0:7:9619152:9619718:1 gene:Al_scaffold_0007_2100 transcript:Al_scaffold_0007_2100 gene_biotype:protein_coding transcript_biotype:protein_coding description:calmodulin like 42 [Source:Projected from Arabidopsis thaliana (AT4G20780) TAIR;Acc:AT4G20780] MECNNEKKKVSRQSSSFRLRSPSLNALRLQRIFDLFDKNGDGFITVEELSQALSRLGLNADLSDLKSTVESYIQPGNTGLNFDDFSSLHKTLDDSFFGGACGEENEDSSSSAEDESDLAEAFKVFDENGDGFISARELQAVLKKLGLPEGGEMERVEKMIVSVDRNQDGRVDFFEFKNMMRTVVIPSS >Al_scaffold_0007_2101 pep chromosome:v.1.0:7:9619943:9622267:1 gene:Al_scaffold_0007_2101 transcript:Al_scaffold_0007_2101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20770) TAIR;Acc:AT4G20770] MRSGGNKYLASLLRCYRDERTGLNSDTYLCNRLLDLYIEFGDGDYARKLFDEMSLRDVYSWNAFLTFRCKVGDLEEACEVFDGMPERDVVSWNNMISVLVRKGFEEKALDVYGRMVGDGFLPSRFTLASVLSACSKVQDGVIGMRCHGVAVKTGLDKNIFVGNALLSMYAKCGFMVDYGVRVFESLSEPNEVSFTTVISGLARENKVLEAVHMFRLMCEKGVQVDCVCLSNILSISVPREGCDSLSEIYGNVLGKQIHSLALRRGFVGELHLNNSLLEIYAKNKDMNGAELIFTEMPEVNVVSWNIMIAGFGQEYRSDKSIEYLKRMRDSGFEPNEVTCISILGACFRSGDVETGRRIFNTIPQPSVSAWNAMLSGYSNYEHYEEAINNFRQMQFQNLKPDRTTLSVILSSCARLRFLEGGKQIHGVAIRTETSEDSHIVSGLIAVYSECEKMEICECIFDDCINELDIACWNSMISGLRRNTLDTKALMLFRRMHQTSVLLPNETTYAIVLGSCSRLCSLVHGRQFHGQVVKSGYVSDSFVETAVTDMYCKCGEIESARQFFDTVSRKNTVIWNEMIHGYAHNGRGDEAVDLYREMISAGEKPDGITFVSVLTACSHSGLVDTGLEILSSMQRDHGIEPELDHYICIVDCLGRAGRLEDAETLAEATPYKSSSVLWEILLSSCRVYGDVSLARRVAEKLMHLDPQNSAAYVLLSNTYSSVRQWDDAAALQELMNKNRVHKTPGHSWITYGNDLDSGFRK >Al_scaffold_0007_2104 pep chromosome:v.1.0:7:9630096:9631968:1 gene:Al_scaffold_0007_2104 transcript:Al_scaffold_0007_2104 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20460) TAIR;Acc:AT4G20460] MEYLEPKRKSNVMGKLILVVSLTALCIIMLKHAPSFTSPTAFSRSEEGVTHVLVTGGAGYIGSHAALRLLKDSYRVTILDNLSRGNLGAVKVLQGLFPEAGRLQFIYADLGDAKAVDKIFSENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVVLEAVARHKVKKLIYSSTCATYGEPDKMPIVEVTPQVPINPYGKAKKMAEDMILDFSKNSDMAVMILRYFNVIGSDPEGRLGEAPKPELREHGRISGACFDAARGVIPGLQVKGTDYKTGDGTCVRDYIDVTDLVDAHVKALEKAKPRNVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDFLPRRPGDYAEVYSDPAKILRDLNWSARYTNLQESLEVAWKWQKTHPHGYASS >Al_scaffold_0007_2109 pep chromosome:v.1.0:7:9651442:9652917:1 gene:Al_scaffold_0007_2109 transcript:Al_scaffold_0007_2109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MFJ4] MEDLNKDISFDKDIIRGNLLICSYSIRFVLGLSTIKQALAVTKNLSAKGVVFYMDPYVLGFQINPTPMDMPGIIIPSSEDSKVLLKYYNSSLVRDGTTKEIVRFGAVAAIAGGQNANFSNRAPKIMYYSARGPDPEDSLFNDADILKPNLVAPGNSIWGAWSSAATESTEFEGESFAMMSGTSMAAPHVAGVAALVKQKFRKFSPSSIASALSTTSVLFDNKGEAIMAQRAYANPDQTLSPATPFDMGNGFVNATAALDPGLIFDTSFEDHMSFLCGINGSAPVVFNYTGKNCLLSNATISGSDLNLPSITVSRLNNTRTVQRLLTNIAGNETYTVSLIPPFDVLVKVSPTQFSIASGETKLLSVILTAKKNSSIASFGRVKLFGTAGHVVHIPMSVTVKIASNQ >Al_scaffold_0007_2111 pep chromosome:v.1.0:7:9654135:9656659:1 gene:Al_scaffold_0007_2111 transcript:Al_scaffold_0007_2111 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MSSDPDKMMSKADKMTKLTLTRWSADWRGATELYEQAANGFRASNKYEKAKVALEKASKGQEMQASPWDAAKHMESAAALAQKLSIWNEVADFYRKASELYVECGRAQPASDALGKAARALEDVKPDDAIQLYTDACEILEEDGRDQMAFDLYRACANVYIKLEKFTDAATFFLRLGVAADKCDATNSQCKAYLSAIILYLYAHDLQQAEKCYNDCSQIDAFLKSDQCRSASRLLTAYNEGDIEEIKKVASSSTVSNLDHMIIKLARKLPTGDVTAIQMNTNDDLDEDDLT >Al_scaffold_0007_2115 pep chromosome:v.1.0:7:9667758:9670235:1 gene:Al_scaffold_0007_2115 transcript:Al_scaffold_0007_2115 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEBP (phosphatidylethanolamine-binding protein) family protein [Source:Projected from Arabidopsis thaliana (AT4G20370) TAIR;Acc:AT4G20370] MSLSRRDPLVVGSVVGDVLDPFTRLVSLRVTYGQREVTNGLDLRPSQVLNKPTVDIGGDDFRNFYTLVMVDPDVPSPSNPHLREYLHWLVTDIPATTGTSFGNEVVCYESPRPPSGIHRIVLVLFRQLGRQTVYAPGWRQQFNTREFAEIYNLGLPVAASFFNCQRENGCGGRRT >Al_scaffold_0007_212 pep chromosome:v.1.0:7:863255:866556:-1 gene:Al_scaffold_0007_212 transcript:Al_scaffold_0007_212 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G40000) TAIR;Acc:AT4G40000] EQRIVKAEEWDSFMEFLHKPLPAAFRVNSVTILQCAAPGSKTFQLLEIIHESSEPGSLPNGMVVANDVDYKRCNLLIHQTKRTCTTNLMVTNNEGQHFPSCNTKRTLSVASEINPHPIDQLLFDRVLCDVPCSGDGTLRKAPDIWRRNSGSGNGLHSLQVVLAMRLSLLKVGGRMIYSTCSMNPIEDEAVVAEILRRCGCSVELVDVSDKLPELIRRPGLTKWKVHDRGGWYRSYKDVPKSQRDGVLRSMFPSGKSDKDSTGGGNSYEEMAYISSEESAVEVCDLPLERCMRILPHDQNTGGFFIAVLHKVSPLPFQEKLNHRRNSFTRNNINSSEKSSYEAVSDTVVTKPEEGAEEIVLEATVSENGFKPPEKESTCDEGIVELAQKLDDMGGKREVPSMQGKWKGLYPVVFLRDETVIDGIKTFYGIKDETFPLYGHLVTRNSDISSHGNVKRIYYVSKAVKDVLELNFAVGKPLKISSVGLKMFEKQSAKECEANCCSFRITSEGLPVILPHMTKQILYATMADFKNLLQHKSIKFLDFIHPQIGEKAAKLALGSCVMVLVDDTQLGSEPVKLKTSTIAIGCWKGKASLTVMVTTVDCHQLIERLSDRSKEVEIAENCLKSAETA >Al_scaffold_0007_2126 pep chromosome:v.1.0:7:9722691:9723610:-1 gene:Al_scaffold_0007_2126 transcript:Al_scaffold_0007_2126 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 11 [Source:Projected from Arabidopsis thaliana (AT4G20280) TAIR;Acc:AT4G20280] MKHSKDPFEAAIEEEQEESPPESPVGGGGGAGGGDGSEEGRIEIDQTQEEDERPVDVRRPMKKAKTSVVVTEAKNKDEDDEEEEENMEVELTKYPTSSDPAKMAKMQTILSQFTEDQMSRYESFRRSALQRPQMKKLLIGVTGSQKIGMPMIIVVCGIAKMFVGELVETARVVMAERKESGPIRPCHIRESYRRLKLEGKVPKRSVPRLFR >Al_scaffold_0007_2132 pep chromosome:v.1.0:7:9759414:9760492:1 gene:Al_scaffold_0007_2132 transcript:Al_scaffold_0007_2132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MG33] MPLSATMVGALLGLGTQMYSNALRKLPYMRHPWEHVVGMGLGAVFANQLVKWDVKLKEDLDVMLAKARAANERRYFDEDRD >Al_scaffold_0007_2159 pep chromosome:v.1.0:7:9893687:9894423:1 gene:Al_scaffold_0007_2159 transcript:Al_scaffold_0007_2159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MG59] MYKVDDVLSYFGVENNDDKDNDPPEELTNYQAIAAKHEENARIAMSFPVNSHLCPNLNDFNHWTGAYHKIHVNWFHYEKGYRWMKRSEKDIYNQWLTNNPLGAIYTFD >Al_scaffold_0007_2161 pep chromosome:v.1.0:7:9897857:9898613:1 gene:Al_scaffold_0007_2161 transcript:Al_scaffold_0007_2161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MG61] MVLLLDSEGGKKKPVPSSGLGKSSTGSPYGKSKAAVAGETGSASGTKTVVEEDNGPPKELSNKGEYRKQRAEDNRRRNSILPNPYTCLDFGKEWSDEDAVEYGNWLRFERAYRRHLTHAEMDYLQTFGVDEENKALGTDSNVFPRKTLFSFHLCFH >Al_scaffold_0007_2166 pep chromosome:v.1.0:7:9921012:9921293:1 gene:Al_scaffold_0007_2166 transcript:Al_scaffold_0007_2166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MG68] MASSSILSIGLLPQDQVFINFRGDELRTSFVRRLTKAWKENGVNVFMDEHLNKGTPITKLFKKIEESRIALAIFSKRYSESKWCLDELVKINE >Al_scaffold_0007_2168 pep chromosome:v.1.0:7:9925206:9927814:1 gene:Al_scaffold_0007_2168 transcript:Al_scaffold_0007_2168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MG70] MLRSRRSRSRHGAQACAVMSAVLLLASVSLLYTRLSLFSSHSPIHLRSGSSEDAVLFPDSLLVSDSDVETTGGGGRGSTTSTEDRIDEHDDAIEDDGGSNEEDENQDAEQEQEVDLNRNKGGASSSSSSSSGFYFDHNKDDGRHGEIKRGERKTLDDDKKIERKEQTNTKFEGKLDEVTEHYFAYPAIKDEKSQQDESFKKILNESLTFHFWNSVTSSLIPEPESLVALISSDHESSDLSLPPSPWVSFLANGKTYEH >Al_scaffold_0007_2174 pep chromosome:v.1.0:7:9981597:9981968:-1 gene:Al_scaffold_0007_2174 transcript:Al_scaffold_0007_2174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MG74] VGIVFCGRQALGGHNVIWGLFEALKVHNAKSTLLGFLCSEGLFGQNTLEITDDILQISKNQGSCLNPKMQNLVHCLY >Al_scaffold_0007_2175 pep chromosome:v.1.0:7:9982077:9991217:1 gene:Al_scaffold_0007_2175 transcript:Al_scaffold_0007_2175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19890) TAIR;Acc:AT4G19890] MEARYYAYPAIEDEKSQQDESFKKILNESLTFHFWNSITSSLIPESESLVARLISSDHESSDVSPPSSSPSSPPSQSLVKSVCSLVYNSYLRQNHVIQSPHRVNLDFDANSLTHEQAITVVASLASESGSMVALCFFYWAVGFEKFRHFMRLYLVTADSLIANGNLQKAHEVMRCMLRNFSEIGRLNEAVGMVMDMQNQGLSPSSITMNCVLEIAIESGLIDYAENVFDEMSVRGVCPDSSSFKLMVIGCFRDGKIQEADRWLSGMIQRGFIPDNATCTLILSALCENGLVNRAIWYFRKMIDLGFKPNLINFTSLIDGLCKKGSIKQAFEMLEEMVRNGWKPNVYTHTALIDGLCKRGWTEKAFRLFLKLVRSDIYKPNVHTYTSMIGGYCKEDKLNRAEMLFSRMKEQGLFPNVNTYTTLINGHCKAGNFDRAYELMNLMDDEGFRPNIYTYNAVIDSLCKKSRAPEAYELLNKAFSCGLEADGVTYTILIQEQCKQSDIKQALAFFCRMNKTGFEADMRLNNILIAAFCRQKKMKESERLFQLVVSLGLVPTKETYTSMISGYCKEGDFDLALKYFHNMKRHGCVPDSFTYGSLISGLCKKSMVDEACKLYEAMIDRGLSPPEVTRVTLAYEYCKRNDSASAMIVLEPLDKKLWIRTVRTLVRKLCSEKKVGVAALFFQKLLEKDSSADRVTLAAFTTACSESGKNNLVADLTERISRGVG >Al_scaffold_0007_2194 pep chromosome:v.1.0:7:10100309:10102313:1 gene:Al_scaffold_0007_2194 transcript:Al_scaffold_0007_2194 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPR1-like protein 4 [Source:Projected from Arabidopsis thaliana (AT4G19660) TAIR;Acc:AT4G19660] MAATAMEPSSSISFTSSHLSNPSPVVTTYQSAAYLEELSSNLEQLLTNPDCDYTDAEIIIEDEAHPVSVHRCVLAARSKFFLDLFKKDKDSSAKSEKKPKYRMKDLLPYGNVGREAFLHFLNYIYTGRLKSFPIEVSTCVDSVCAHDSCKPAIDFAVELMYASFVFQIPDLVSSFQRKLCSYVGKSLVENVLPILLVAFHCDLTQLLDQCIERVARSDLDRFCIEKELPFEVSEKIKQLRVKSVNIPEEVDKSLERTGKVLKALDSDDVELVKLLLTESDITLDQANGLHYAVAYSDPKVVTQVLDLNMADVNFRNSRGYTVLHIAAMRREPTIIIPLIQKGAHASDFTFDGRSAVNICRRLTRPKDYHSKTSRKEPSKDRLCIDILEREIRRNPLVSGDTPTCSHSMPEDLQMRLLYLEKRVGLAQLFFPAEANVAMDVANVEGTSECTGLLTPPPSNGTTGNLGKVDLNETPYVQTKRMLTRMEALMKTVETGRRYFPSCSEVLDKHMDEYMDEDIPDMSHPEKGTVKERRQKRMRYNELKNDVKKAYSKDKEAKIARSCLSSSSPASSLREALENTT >Al_scaffold_0007_2200 pep chromosome:v.1.0:7:10127881:10131992:-1 gene:Al_scaffold_0007_2200 transcript:Al_scaffold_0007_2200 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleotide binding;nucleic acid binding;RNA binding [Source:Projected from Arabidopsis thaliana (AT4G19610) TAIR;Acc:AT4G19610] MRSNDGKSRQFAFIGFRSAQEAQEAIKYFNKSYLDTSRIFVEIAHKVGDENAPRRWSRLSHKKEEEANENSKKSSTEVLNNGNAKGGKKKKAEVDDPEFQEFLEVHDRSKSKIWSNDMSIPPAPEETGKEKVLVKKADEPMIFNGAEPNNAKRSSDTEKTKKSKVVAASDDVSDMEYFKSRIKKNLSDSDSDNESEDSSEDEASDDDGKAEADGHDADIRNFPIDGDVEAGGVDKDDDGDTMEVEADGKVAQELKADSDDVLDTGRLFVRNLPYTATEEELMEHFSKFGEISEVHLVLDKETKRSRGIAYILYPIPECAARAMEELDNSSFQGRLLHVLPAKHRETSDKQVNDTSNLPKTFKQKREEQRKASEAGGNTKAWNSLFMRPDTILENIVRVYGVSKSELLDREAEDPAVRLALGETKVIAETKEALAKAGVNVTSLEEFATRNGDENNRSKHILLVKNLPFASTEKELAQMFGKFGSLDKIILPPTKTMALVVFLEPAEARAALKGMSYKRYKDAPLYLEWAPGNILEPKTLPDNNEEKSDVEENGVRRVNLEQQVEIDPDVTESNVLHVKNLSFKTTDDGLKKHLTKLVKQGKILSVKIIKHVKNGKNLSRGYGFVEFDSVETATNVYRDLQGTVLDGHALILRFCENKRSDKAGKDSGKDKPSTKLHVKNVAFEATKKELKQLFSPFGQIKSMRLPKRNIEQYAGFAFVEFVTKQEALNAKKALSSTHFYGRHLVLEWANDDNSMEAIRKRSAAKLDEENDNAKKRKSSKAVDEDKNEV >Al_scaffold_0007_2205 pep chromosome:v.1.0:7:10146998:10147358:-1 gene:Al_scaffold_0007_2205 transcript:Al_scaffold_0007_2205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGQ5] MERGESMESGGTHYLLDKEFVATEIIPEMVDGRPVYSFSAYFQSTRESSSDAYSSSSKTSASDSENQAQDRESREEIVHIIAARGNANEA >Al_scaffold_0007_221 pep chromosome:v.1.0:7:904192:905192:1 gene:Al_scaffold_0007_221 transcript:Al_scaffold_0007_221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9J0] MTRVECFNRHCWIIFFHVAIILQSKANAQSFSPRPPDLQPGGHTPSKTTVFTVLVALFFLTGLLSVYIRHCTRSNPDSSTRYFRRRANDNFSRRGGLDNAVVESFPVFAYSTVKESKIGSKDLECAICLNELEDHETVRLLPICNHLFHIDCIDAWLYSHATCPVCRSNLTAKSEKSCEEDDGVPIAAMRDHVVIDIETVAQSKKIAKPHLGAQRGTNGSRNQAEQPQGTEPSRPEQKGVLGRYAYGYGG >Al_scaffold_0007_2211 pep chromosome:v.1.0:7:10175853:10179957:-1 gene:Al_scaffold_0007_2211 transcript:Al_scaffold_0007_2211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS-LRR class) [Source:Projected from Arabidopsis thaliana (AT4G19510) TAIR;Acc:AT4G19510] MASPSSSLSLDPCKRQFDVFVSFRGADTRNSFTSYLVQFLQRKGIDTFFDGKLRRGKDISVVFDRIEQSKMSIVVFSENYANSTWCLEELWKIIQCREKFGHGVLPVFYKVRKSDVENQKGTFGVPFLSPKESFKGDGQKVGAWKEALKIASNILGYVLPEERPESEFVEKIAKETFRMLNDLSPCELSGFPGIESRSKELEELLMFDNKNCIRTIGVLGMTGIGKTTVADSVYKRNYRQFDGYCFLEDIENESKRHGLHHLHQKLLCKLLDEENVDIRAHGRLKDFLRNKKLFIVLDNVTEENQIEVLIGEQEMYRKGSRIVITTRDKKLLQNNADAIYVVPRLNDREAMELFCLDAFSDKLYPTEEFLDLSNNFVYYAKGHPLALKLLGSGLRQKERTYWVEKWERLMVMPDKEIQKVLKMSYEALDDEQKSIFLDIACFFRSEKADLVSSILKSDHVMRELEDKCLVTKSYNRLEMHDLMHAMGKEIGYESSIKRAGKRSRLWNHKDIRNVLEQKTGTECVRGIFFNMSNVERIKLSPDVFMRMSNLKFLKFHNSHCSQWCDNDHKIQFSKELDHFPDELVYLHWQGYPYEYLPSEFNPEELVDLSLRYSYIKQLWEDDKKTENLRWVDLSQSKDLRSLSGLSKAKNLERLDLEGCTSLVLLGSSIEKMNKLIYLNLRDCTSLESLPEGINLKSLKTLILSGCSNLQEFQIISDNIESLYLEGSAIEQVVEHIESLRNLILLNLKNCRRLKYLPNDLYKLKSLQELILSGCSALESLPPIKEEMECLEILLMDGTSIKQTPETICLSNLKMFSFCGSSIEDSTGLHYVDAHGCVSLEKVAEPVTLPLVTDRMHTTFIFTNCFKLNRAEQEAIVAQAQLKSQLLARTSLQHNNKGLVLEPLVAVCFPGSEIPSWFSHQRMGSLIETDLLPHWCNIFEWREKSNEGTRCHPTSASFEFYLTDETERKLECCKVIRCGMSLLYAPDENDRSFQGIRVTDTVERTSSELLVTIRGQSHSRIEERRNGKVRDEILDMSVSYMIGGSGS >Al_scaffold_0007_2212 pep chromosome:v.1.0:7:10181718:10185917:-1 gene:Al_scaffold_0007_2212 transcript:Al_scaffold_0007_2212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGR4] MARMEKSTDGRRRDMTSVRRLERRDEAKNIDYDAEFSSRSCSLVIGREEGEMDRIFKSLGVSGPEDLSISFDSWEACKTRDFELDKHKVRSEACHGGVTVDLMDPDTTELKKTDSIDASTSVRKSWARGSSLSSKTDLVDRIKQDIVVRLLNLRSVDANEKYEVLRKIFKLPGVSSVIVDDSEDIIVTGTVDPITIRKELKQHRPLLVLSRPMRSDESDEEGGSEQLDGELLSSMEEVYSDETLSELWTGAPSASSNSWDSDSGNDMTILASKITTTALNNDWDSFREFLNNRLAPLTISCAKDVIDFLRMRQTSGMEAVEALAGYLKAMCEAHGIRPMDNPFRSLAVTSYLKSASEMTREKECILVFSCNENHDVDETSFIEAISKELHKREVTPLMYNLLSRENLDEKMLYRSSVGIMILSHSYACSRQALDHLVEIMEHGKARNLVIIPIYFKATLSDICGLEGRFEPIYLQYMDSAQLSRVQKWKAAMAEIASIDGHEWEKEKQVLLAEEVVRDACLNLYSKNSKNLISILAFLNHSQPSGVEIVGLWGMAGIGKTSIAREIFGILAPKYDFCYFLQDFYLMSQKKGLRQMRDDFFSKVFREEKLSISAYDIKPSFMRDWFHKKTILLVLDDVSDARDAEAVVGGFGWFSQGHRIILTSRRKQVLVQCKVTESYKIQKLCEFESLRLCKQYLNEESGVILELMSCSSGIPLALKVLGFSLSKQHINNLKEHLHSLRKNPPTQIQEAFRRCFDGLDENEKNIFLDLACFFSGEDIDHVVKLLDACGFFTYLGICDLIDESLISLLDNRIEIPIPFQDIGRFIVHEEDEDPCERSRLWDSNDIADVLRNNSGTEAIEGIFLDASDLTCELSPTVFGKMYNLRLLKFYCSTSENECKLNLPQGLDTLPDELRLLHWENYPLEYLPHKFNPENLVEIHMPYSNMEKLWEGKKNLEKLKNIKLSHSRKLTDILMLSEALNLEHIDLEGCTSLIDVSTSIRHLGKLVSLNMKDCSRLQTLPSMVNLTSLKRLNFSGCSELDEIQDFAPNLEELYLAGTAIREIPLSIENLTELVTLDLENCRRLQKLPMGISSLKSIVELKLSGCTSLQSFPKLKALDRGIILV >Al_scaffold_0007_222 pep chromosome:v.1.0:7:907702:908082:1 gene:Al_scaffold_0007_222 transcript:Al_scaffold_0007_222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9J1] MKNLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCGGALDRRSSCFANRNLKNYDLLLVTIFTSSARFRSRFTTVS >Al_scaffold_0007_2220 pep chromosome:v.1.0:7:10227102:10227362:-1 gene:Al_scaffold_0007_2220 transcript:Al_scaffold_0007_2220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGS2] MRQNMDDNEKRIQLRKEAHSLIDQIGNHLSKLQDVVANATDIATLTLVLNTIEMCNSEAAKILSTPPPGDQGDT >Al_scaffold_0007_2221 pep chromosome:v.1.0:7:10232314:10232517:-1 gene:Al_scaffold_0007_2221 transcript:Al_scaffold_0007_2221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGS3] MDPNHQRQQLKNVTFGLLNDIGINLSKLYDLADMANNTGPIMQIENVMENCENHAASILNLPPRILR >Al_scaffold_0007_2222 pep chromosome:v.1.0:7:10234369:10234524:-1 gene:Al_scaffold_0007_2222 transcript:Al_scaffold_0007_2222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGS5] MANNTGSITQIENVMENCENHATSILALPPSNPPPENKEEDARSDTDEELN >Al_scaffold_0007_2229 pep chromosome:v.1.0:7:10263862:10265372:1 gene:Al_scaffold_0007_2229 transcript:Al_scaffold_0007_2229 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 3006 [Source:Projected from Arabidopsis thaliana (AT4G19350) TAIR;Acc:AT4G19350] MEKPGHETDIPGSRRTNLKKSFKSSLRCLLTACSKQDFLDIFSKFSGAEQEVLFQFYTQVVVNLHQTIEDEFDEQCHEAQVGPILDTVEQLVQEQSLDPLFSDKTDVMAIANDLTTAKKNEIQKLTGLLQRAEEQNRQKEARISLLKKQTQDFSGTTDRVEKLKARFSGYFEGKDKLPPI >Al_scaffold_0007_2231 pep chromosome:v.1.0:7:10281949:10282625:1 gene:Al_scaffold_0007_2231 transcript:Al_scaffold_0007_2231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGT4] MIWRVYKFDFEEANSICAPIKVKGCGDGHEAIDDDDDDAAASSHMELDSAVTNDDGDVRVSESEDRSKMVS >Al_scaffold_0007_2233 pep chromosome:v.1.0:7:10315494:10315941:1 gene:Al_scaffold_0007_2233 transcript:Al_scaffold_0007_2233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGT7] MAVSEITGDLNPANSRYLPSQLRQKIENHSQKSLWTPYVADPEVGDSPRAFEDELYVSQADPEELPITTASNSETHQNATKFRHIRRKRRTTEL >Al_scaffold_0007_2248 pep chromosome:v.1.0:7:10394677:10397043:-1 gene:Al_scaffold_0007_2248 transcript:Al_scaffold_0007_2248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF594) [Source:Projected from Arabidopsis thaliana (AT4G19090) TAIR;Acc:AT4G19090] MVEVIPKQIKDIWDSWNIRGMIILSLSLQTILIFLSPSRKRTSNKFLILFVWSSYLLADLSANFAVSLIAKNQGKAPKPDDPPQNKKLLALWAPFLLLHLGGPDTITAFSLEDNALWNRHFLGLIFQALAGVYVVVQSLPNVLWVMILLLFISGTSKYLERTIALYLASSDKFRGSMLQASNLGFENNEQTKNLDTDSIIASEMYMKENRGQPKPLKLMFPDRRLTHLEILQYAFLFFNNFKSLMVNNIFSSELRDESKAFFSSLHDDEALSIIEVELDFIYEGLYTKGAVLHSWLGLVSRLLSLGSLLSALGIFHYRHNKIKEFQKGDIVITYTLFLVGIALDFISIQVFMVSDWTTAILAKLKDDPDEMYSRKDSILNWMFSLKTPKWKRQTCRAGHQQKALKRPFLLRRWKESINMLNFITYSMNADTEKIHNPTDRTCRHLWKTCVFPFSYAISIIHTWFGHITRWFRDLHQNILPHVIILPPGENQVARFVIATFKTLVEFWYQVPYIFAFLGTRFINFMGIKDLLHEIRLVRSVHNEPLTKNLWCFINLELKSQNLYSLENTKTKSTARRGWASSDTQIQIADNEMLLHYIRDVDYDHSLLIWHIATELCYQEEASTNENCDKYEYQTDREISKILSDYMMYLLIMQPKLMSEVAGIGKIRFRDTLAEAERYFKKMGIINSRSVKLASEKILSVDTSIEARDVKGNHSKSVLFEVSSVAKELQKMEKDFGKDKWKTLSKVWLEFLFHAASHCDGTTRLELLSKGGEFINFVWLLMAHFGLGD >Al_scaffold_0007_2249 pep chromosome:v.1.0:7:10397820:10401029:-1 gene:Al_scaffold_0007_2249 transcript:Al_scaffold_0007_2249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MGV2] MGSPGLHQEMNDMRLCEKIAKTLFGRGGGLQRVVLAGEAGTGKTWLAKMVSEHATKEGMCYFTLWLHLNKRFDDVMSLYENIAFQIEVYDRDEMEGKQAEDLLTALQEKISAVLRTKKRSLIHASSAVRMPYLLLILDDEGYKTSEDTVMKDLGLESFFSKQNLDLKILITRRQRDKNTTRSEIEFHATDESHAILENLTRHNLQESLIKDEAKGLLESLERSWKIDEPLIPRVVQKSNNLPAAIAMLSKSLKCITHQKNFKTLSPKQEKVLKDVLFPPALPLSDYGSKSTVESYRYNPILHLAYQLLETDDTLKNAIVDCFWHSLDFFKHCGCINYNELITQWILEGYFDPVRSVKKAYKDGHDILLELINRGMLKIQEGYFVVPEVAMNQLTDLRHHGFLGRSRLRFATVYGGDKNKSLGKVNQIDDMIKAVQANKRENIFTILVSGNRLRRETPKEYFEQPQMKDLEVLGLFSPTMGYLIRSLRELKKLRVLVIRNYDLLQSMEDLKDLRRLEVLEVFGASSVETISDDFFAAMSELQSLNLSGLRIKSSPSSISQLKYLHSLILRDCLVLEDLPDMQHLDRLEVLDVHGACKLRTCFGMKGKASRNRTFSRLQQLQLLDFSESKLKRLPIFHDPAAAANLHSLTRLSLRKCSNLVKLPNLRPLSSLQILDLSCGTSLVEVAAVCFEQKEELKMLNFSGTKLTKLPSTISGLYSHRQLLLRDNSNLEALPNIKGLTSLEVFDVSGCTNLHKIEGSFEEMLYLREVNLSGTRIETLPELPVKHSLCCPKLVVLADSRSLVRDNWSQVKEAITKEISESLSSPGTVNRIRDISKRESGRLREKQLHEAWAFDGPATKGDHREHFYKGRIYKLIYMNAVPFFDTKSHQKVLEIQGSHGIDQDKETLAKAEFVAFVDKSTESLSSIFNDLKSVRSCWLEMCGDIHKLFSGVDEERLRNLETMSIKNFRLMDSICSSSFKNLKKLSLDCCPSIKTLFPAFEPPTSLEVLKIKFCNKLEKVFEKQVEVRNLHTLCLLELPMLSVIGATLPNLEIYKKDKCPKLNTSEENLISR >Al_scaffold_0007_2258 pep chromosome:v.1.0:7:10450512:10452663:-1 gene:Al_scaffold_0007_2258 transcript:Al_scaffold_0007_2258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGW2] MATRFLISRPGTVSSSRFLLSNRLSSFLDSFTSRESNSSKPFLKTFSSVPSSGIEQDVAASLNQDTRIPATVITGFLGSGKTTLLNHILTSNHGKRIAVIENEFGEVDIDGSLVASHSSSSDDIIMVNNGCLCCTVRGDLVKMLLDLVKNKRDKFDHIVIETTGLAKPGPVIETFNSDELLPRYIKLDGVVTLVDSKHAMRHLNEVKPRFVVNEAVEQIAYADRIVLNKIDLVSEPSELEHLTKRIKQINCMAPIKHTKFGDVDMDFVLGVGGYDLERIDSEVNGDGLSCAEDHDHHHHQCSHGKHKEHQHDHVHDSAVTSVSIVSEGKLDLDEVDDWLERLIEEKGDDLYRMKGVLSIDSSDQRYIFQGVHSMLDGCPGKSWGPEEKRINKLVFIGRNLDETILRKGFKGCLI >Al_scaffold_0007_2265 pep chromosome:v.1.0:7:10473581:10475884:1 gene:Al_scaffold_0007_2265 transcript:Al_scaffold_0007_2265 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucan endotransglucosylase/hydrolase 29 [Source:Projected from Arabidopsis thaliana (AT4G18990) TAIR;Acc:AT4G18990] MRDSIYFFWINNRLIVIIMMVMIISCRCVLGLENINPIFFDEGLSHLFGEGNLIRSPDDRSVRLLLDKYTGSGFISSSMYQHGFFSSLIKLPGAYTAGIVVAFYTSNGDVFVKNHDELDIEFLGNLEGKPWRFQTNMYGNGSTNRGREERYRLWFDPSKEFHRYSILWTPTKIIFWVDDVPIREIIRKEEMEGDYPQKPMSLYATIWDASSWATSGGKFGVDYTYSPFVSEFKDIALDGCNVSDSLPGEINNNNIGNYNNINCSVSDQFLMTNDYSTISPKQAAAMRRFRERYMYYSYCYDTIRYSVPPPECVIVTAEKNRFRDTGRLKFGGSHPKVHKARKRRRRNRSTPVVSADL >Al_scaffold_0007_2273 pep chromosome:v.1.0:7:10517171:10518568:-1 gene:Al_scaffold_0007_2273 transcript:Al_scaffold_0007_2273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1264) [Source:Projected from Arabidopsis thaliana (AT4G18920) TAIR;Acc:AT4G18920] MASSDKVPVACPASSGDGKEPMGDPTKTTTAMLDKGTAMMQSMKPIRQMSLHVCSFACYSHDPGRQIEVHIYGQRVNQDFLQCAVYDSNSSKAHLIGIEYIVSEKLFESLPPEEQKLWHSHDYEVHDFRYIFRYIQMALLVTPRVPELVAKPELKNLAKSYGKFWCTWQIDRGDRLPLGVPSLMVSPQDVNLGRIKPELVKKRDEEHGISTESLKPSRDGISGPEKKNLVADYWVRFRKGFALDVVETDMKRTAPFP >Al_scaffold_0007_2278 pep chromosome:v.1.0:7:10546409:10546648:1 gene:Al_scaffold_0007_2278 transcript:Al_scaffold_0007_2278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9D9] MVDVDRLQQIRALLDNIMNGLEANELLPQPPDPMDHDPELVAPMDDPVDPVADPAGPEAPAPAPDEIVIDDHDVPPRSN >Al_scaffold_0007_2285 pep chromosome:v.1.0:7:10565457:10568023:-1 gene:Al_scaffold_0007_2285 transcript:Al_scaffold_0007_2285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9E3] MCPNFLKSFGWAPRDPVETPSFSLPEPLHLLLWARVRDRTDITAEEPVEKYPSTGASNGSDVAVSVPTEASSDAAVKDPVEASASSELDRTEDPVDGLKGTEAVEEMEEVADKEEGEDGSGKEQGSGKEQGTKNYKTRNQKRKHCGPTIETGKKRSSRDSKFKEEPYTADGKGQKGKGQKAGPTEHNATGPTET >Al_scaffold_0007_2288 pep chromosome:v.1.0:7:10575035:10576130:-1 gene:Al_scaffold_0007_2288 transcript:Al_scaffold_0007_2288 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F/DP family winged-helix DNA-binding domain [Source:Projected from Arabidopsis thaliana (AT4G18870) TAIR;Acc:AT4G18870] MLNLNENEGSSTSISNFITTTYDMVDDLSSDSIISWSQSGKSFIIWNPEEFYNNFLQRFCFQGDNINSFFSYLNSHGFRKIDSGNWEFANDNFVRGQPHLINNTISCVIEGRVLYDQSMDMFKVRKLFERQVKEVEDQLPPHNSYPTSKRPFPTKLYEMVDDPSSDAIISWSQSGRSFIIWNPKEFCKDLLRRFSNTLHIPLFFHKLQRFSFKKIDPKKWEFANDNFVRGQCHLVEIIISNEKEKIDQLLKRYDRQKKLGEARELFKLQIEEMKKTKEVKEQEVRLQHHIGLCKL >Al_scaffold_0007_2289 pep chromosome:v.1.0:7:10576824:10577836:-1 gene:Al_scaffold_0007_2289 transcript:Al_scaffold_0007_2289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9E5] MESKKKQLYENLRDLKNAVQVRMDNKTTTAAELDASAEKLLKNNQCILDHSQPREANEPLVVTCGEAECKGASNPFFPKLIDCCMKFLLQKHNFALHFRSFIHRLIWLKALDFDSSSAVSDMGFAESETGSSTRVLGKSASWIFIFFREGQEVEVCGVFFILTERSVTQVT >Al_scaffold_0007_230 pep chromosome:v.1.0:7:938578:941502:-1 gene:Al_scaffold_0007_230 transcript:Al_scaffold_0007_230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9K1] MTMTRSVPHGNHFYTSFFFFVFQLVVLIPSIASYDSTFSPTRPLRITENETIVSPEGIFELGFFKPATRFQERDRWYLGIWYKRFTTRVVWVANRDDPLSSSIGTLKVDNSNIILLDQSGGVAWTTSLTKNMINNQLLVAKLLDNGNFVLRFSNSSSYLWQSFDFPTDTLLPGMKLGWDRRTNHTKSLISWNSSDDPSSGRYVYKIDTLKPSQGLIIFGDDLPVSRPGPSYRKLFNITETDNEITHSLGISTENVSLLTLSFLGSLELMAWTGEWNVVWHFPRNLCDSYGACGQNSYCNIVNEKTKCNCIQGFQGDQQHAWDLLDSEKRCLRKTQLSCDSKAEFKQLKKMDFPDTKTSIVDTTVGSEECRKSCLTNCNCTAFANTEWGCVRWTSDLIDLRSYNTEGVDLYIKLATADLGVNKKTIIGSIVGGCLLLVLSFIILCLWIRRKKRARAIAAANVSQERNRDLTINTTEDWGSKHMDFDVISTATNHFSELNKLGKGGFGIVYKIKRNEYGRLCDGQEIAVKRLSKMSPIGVEGFTVEAKLIALVQHVNVIRLIGFCSNADEKILVYEFLENSSLDTYLFDLKPSNILLGKDMVPKISDFGMARILGGDETEAHVTTVTGTFGYIAPEYRSDGVLSVKSDVFSFGVMLLEIISGKRNIDFLHLNDGSTLLSYMWNHWSQGNGLEIVDPAIKDSSSSSQQILRCVQIGLMCVQELPEDRPTMSSVGLMLGRETEAIPQPKSPVETGSSSGGQQESESGTVPEITLFIEGR >Al_scaffold_0007_2320 pep chromosome:v.1.0:7:10739595:10741403:-1 gene:Al_scaffold_0007_2320 transcript:Al_scaffold_0007_2320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCQ8] MHNITDKMQNATSTSTCQKRPNHSISFLAMAVGIKQKELVNKVIQKGSRCDDNSTNPPCKWCVEMMAPVFSREAWRCSWYMIQNDLIHAWGLDTQLGYCAQGDRKKNVGVVDVEYIIHYGLPTLGVVETASSSSRNETDPKSTESLESRKVDYRPEVRMKSSVDMKTFVERWNKAVRDDRCWVDPY >Al_scaffold_0007_233 pep chromosome:v.1.0:7:950388:951271:-1 gene:Al_scaffold_0007_233 transcript:Al_scaffold_0007_233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9K5] MVSLHHLEQGMRPISRCYNPTVYSTTIGGNFLAGAATSSKLFSRGFSVTKPKSKSESKEVAAKKHSDAERRRRLRINSQFATLRTILPNLVKQDKASVLGETVRYFNELKKMVQDIPTTPSLEDSMRLGHCNNNRDLARVVFSCSDRDGLMSEVAESMKAVKAKAVRAEIMTVGGRTKCALFVHGVNGNEGLVKLKKSLKPVVNGKSSSEAKNNNIGGSLLTQQQ >Al_scaffold_0007_2337 pep chromosome:v.1.0:7:10827294:10827958:1 gene:Al_scaffold_0007_2337 transcript:Al_scaffold_0007_2337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCS5] MVEDKHPREVRTSGEMTPDNTGNIPQREEEAHGDITPAIRSILETDCSEEAKLGTKMDRTLSEKSGKKLKRTSHDFLRIGLISTN >Al_scaffold_0007_2343 pep chromosome:v.1.0:7:10864981:10865589:-1 gene:Al_scaffold_0007_2343 transcript:Al_scaffold_0007_2343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MCT2] EWITKANHVLKERLQCIKISFVVSVTNPRFTNGAQCYLFLVYWKLRIRLLGHRKPHSLAVFFVSARIAIQKVVHELRDQYQYQCTTVPPLDSGSVLKLN >Al_scaffold_0007_2365 pep chromosome:v.1.0:7:10988828:10990092:-1 gene:Al_scaffold_0007_2365 transcript:Al_scaffold_0007_2365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCV4] MDRSQELYANGDQNLEANLIRHEETESSSVPQTTNYKRWLRVSIYVIFVLFCQPLATILGRLYYENGGNSTYVVTLLQLIGFPVLVLFRFFSRIRQPKSTDTNFSQSPSFTILASVYLCTGLLVSAYAYLSAVGLLYLPVSTFSLILASQLAFTAFFSYFLNSQKFTPFIVNSLFLLTVSSALLVVNTDSENSATVSRVQYVIGFICTIGASAGIGLLLSLIQLLFRKVFTDHTSSAVMDLAIYQSLVASCVVLIGLFASGEWETLPSEMRNYKLGKVSYILTLSSAAISWQVYTLGLVGLIFESSSVFSNSITAVGLPIVPVVAVIVFHDKMDASNIFSIILAIWGFLSFVYQHYLDEKKLKTSHTSAVEDLHLPVEEGHTNIQTYDHAYII >Al_scaffold_0007_2366 pep chromosome:v.1.0:7:10990797:10992097:-1 gene:Al_scaffold_0007_2366 transcript:Al_scaffold_0007_2366 gene_biotype:protein_coding transcript_biotype:protein_coding description:purine permease 8 [Source:Projected from Arabidopsis thaliana (AT4G18195) TAIR;Acc:AT4G18195] MERTQELYVNDDQNIEANLTGQEEMNTTMKIESSSVPQSKNYKKWLRIFIYVFFVLACQALSTILGRVYYENGGKSTWMGTLVQLIGFPVLFLFRFFSRIKNSKSTDADYRKFSSYTILGSVYIVTGLLVSANSYMSSVGLLYLPVSTFSLILASQLAFTAFFSYFLNSQKFTPFIVNSLFLLTISSALLVVNTDSETTAKVSRVKYVIGIICTIGASAGIGLLLSLVQLILRKVLKKQTFSTVTDLVAYQSLVASCVVLIGLFASGEWKTLTSEMENYQLGKAPYVLTLASIAISWQVYTIGVVGLIFESSSVFSNSITAVGLPIVPVVAVIVFHDTMNASKIFSIVLAIWGFISFVYQHYLDEKKLKTSHTSSVGDPHLPVEEGHTNIQSV >Al_scaffold_0007_2367 pep chromosome:v.1.0:7:10997376:10998589:-1 gene:Al_scaffold_0007_2367 transcript:Al_scaffold_0007_2367 gene_biotype:protein_coding transcript_biotype:protein_coding description:purine permease 6 [Source:Projected from Arabidopsis thaliana (AT4G18190) TAIR;Acc:AT4G18190] MELESETQELHLRVNGEPEGKVSTEERSHNYSWRLRVSLYVTLLLAGETIATLLGRLYYDKGGKSTWLETLVQLVGFPLTLPCYYYIKPEPSKNKTITKKPTPSFLTLSLVYIGLGLLVAGHSVMYSFGLLYLPVSTFSLISASQLAFNAVFSYFLNSQKITPFILNSLVLLTISSTLLVIQHEPESSNSSSSKSNYVIGYICAIGGSAGYSLVLSLTDYAFEKILKKYTFKAILDMATYPSLVATCIVVVGLFGSGGWKMLSTEMQEFQLGKNSYLLITIGSTISWQAFSIGSVGLILEVSSLFSNVISTICLPVVPVLAVVFFRDEMSRIKLIAMFLAIWGFVSYAYQHYVDDRKPEEEQELPQHEEKEEM >Al_scaffold_0007_2373 pep chromosome:v.1.0:7:11028628:11032378:-1 gene:Al_scaffold_0007_2373 transcript:Al_scaffold_0007_2373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCW1] MGGRGGGGVSNANGGVPASSRKVVQGLKEIVNCSELEIYAMLVECDMDPDEAVNRLLSQDTFHEVKSKREKKKETKDPADSWTRNVPNRGARSGNDSYNTSRGGGNKYNSNETGNVHHGVPANRRENGARNHWAGSSVSSGVLGRQPPSNSDPPSSEVKKAPTGPSDAVTSSSLPSPAYQSAWASANPGQRTMAEIVKMGRPNQQKNVALPRSSEAQESGSKAPLKDEWPSIEKQDVFYPSSSVLKPSAESKISADQFSESQHLDETHLDDHHHETKTYPIESPPDMDHNPPASVSSRNLVDDDSRDSSVYDDENNKAERYSYEENGAEDVSASVATGFQQLTIDNEEEQEALPRDDKPAVIIPNHLQVHTSECSHLMFGSFGSGIGSGQASGLNDKLDEPLEAQDDSSFRHPDTNFYGEEEEQLRNAATNEQVSYQIDSSTRNYHSATDSETEAVQHEPPQEEGHQYKFSSSADYRFENSQQLNSPSETNPQMQNLDTYPNVMQQGYTSSLPNTLLPSGIQDGRESDLHYLPFTTKYNTAAPSSLSGPTNSMAEALRAASISSQNAMPSAGQQAAALAQHLALNPYSHQPGMPLGHYGNLISYPFMAQSYNPYMPSAFQQAFPTGNHQSLAAMLPQYKTQATAPPVPPPSAYGFGGGAASSNNFPLNPTSAANSYEDVLSSQFNDGNHLASSLQQQNENSAAWHQGQQPNSRVVPGSGYYSFPGHQNQQPPGFRQAQQLQQQQQPSQQQQQQQHYGGHGYVSPYHSQAAMSLEHLHHQHQQQQNARDASKQTQQQLWPNNY >Al_scaffold_0007_2375 pep chromosome:v.1.0:7:11037479:11037784:-1 gene:Al_scaffold_0007_2375 transcript:Al_scaffold_0007_2375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MCW3] MTQRQLQAQTMHFPNLNAFQFPKVRRSMRRIKHVLREREREQLKNRIQGDRSSEMKRMVN >Al_scaffold_0007_2381 pep chromosome:v.1.0:7:11058063:11059218:-1 gene:Al_scaffold_0007_2381 transcript:Al_scaffold_0007_2381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCX0] MDSRSQGESESDERIEITDSSHNHDKSSSSNSSSSSSSSSSDDESREVKKIDDNKETGDAVSEVVTVSSVPIQPVPIAGDAPFMGCTANAIVENTGLMDSAVPSDPNSGELVEISHVDTIISNEADENSLPKPSEIAAEVSLASDEIRQASSGATDSDVKENEGKTSPPLPESNGVPEGNGESDVVISHEEEAPVLIPTHGIAQRTSWFSCCGLFDVATVSSR >Al_scaffold_0007_2384 pep chromosome:v.1.0:7:11071992:11073464:-1 gene:Al_scaffold_0007_2384 transcript:Al_scaffold_0007_2384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCX3] MIFSSLLISSSSLPLPMYSVTFLVLRSLSAPPSEPPSPPSPPEPPDPPDPQIHPSSGEDYAQPLLLPHFTGLPRVHSEYKLPSPPPSRLVPPIITVFVPRRSSRRCCRVPITTRSPKVNLRLIRYIIWNGLGYYSRFVSTPLLWLSDYQFRVQAPSIVPTAILPSVPPGSLVVVIYYLALAGNSWDWLGLVQPCVSSSDMYVAFPCAPNVVDTSWAGFVMICVCTKIQTGSLSNGQPWPSWALSIYMTSEGMVYVTLCCGVHRPSKSLLLVPNYLSMRIHQFQVPQYEDVYKFDQNFDRMAVMSPEGRHFGRQSLEIIVSGFVLDLISAGPRRSVGILCVVIGLCAGLGFTEENICVIKSQLIQPPSQKIEVFLNFSDVAWLQCGLGWSFKDPLNGKIQHGSFSRPFVSFVLVAEALALKTAITAALALGVSKLACISDCQELVLLPNTGGHANELDGIVADCNLFRSMFMFMYVLFVSRAENCGADAVA >Al_scaffold_0007_2386 pep chromosome:v.1.0:7:11078302:11079252:1 gene:Al_scaffold_0007_2386 transcript:Al_scaffold_0007_2386 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-protein homologue 1 [Source:Projected from Arabidopsis thaliana (AT4G16295) TAIR;Acc:AT4G16295] MNCIKKFLLTICFSLALTCQDHVLAGGTTTRDIIVPKISEWQVTVLNGLTTGETLFIHCKSKEDDLGEISLKFRDRFFWNFGENMLHSTLFWCYMHKDDGHMNVNVFWDDVILFHRCGWKNCIWTAKTDGLYLWNSASGQDVLSEKWKVGW >Al_scaffold_0007_2395 pep chromosome:v.1.0:7:11144756:11145959:1 gene:Al_scaffold_0007_2395 transcript:Al_scaffold_0007_2395 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 71 [Source:Projected from Arabidopsis thaliana (AT4G17980) TAIR;Acc:AT4G17980] MGSSCLPPGFRFHPTDEELIGYYLSRKVEGLEIELEVIPVIDLYKFDPCELPDKSFLPNRDLEWFFFCPRDKKYANGSRTNRATKAGYWKATGKDRKITCKSSHVITGYRKTLVFYEGRAPLGDRTNWFMHEYRLCDDDHSQKSPNVKGAFVLCRVVKKNELKTNLKSLKNKNEQDIGSGYSSLTNSPCRDETSQFQSFKPSSTENDSSSIWISPDFILDSSKDYPQIQEVASEYFPNYNFPVTTANHHVEFPVGSMLVLS >Al_scaffold_0007_2417 pep chromosome:v.1.0:7:11291945:11294231:1 gene:Al_scaffold_0007_2417 transcript:Al_scaffold_0007_2417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MDE7] MSETEDNNEAFLGEEADGDGTTESSAGRRRKPTTERSSSDVPKPKKAKKKQAHRAEVWQHYIEKEDLVGVARCRYCSQQIGCDTKLHGTSSMKSHLLRCKFYKAHQDLGTQKVLAGSKTVADDANLPP >Al_scaffold_0007_2420 pep chromosome:v.1.0:7:11309815:11311290:1 gene:Al_scaffold_0007_2420 transcript:Al_scaffold_0007_2420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXQ1] MDQRDMTHMDTMHVYLLVDVWRRRCELVYGKFGPNSMNKQVPFHALMHFRVCQWKLASLFTPSTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >Al_scaffold_0007_2426 pep chromosome:v.1.0:7:11329422:11329819:1 gene:Al_scaffold_0007_2426 transcript:Al_scaffold_0007_2426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9G4] MEKSALTFVGILLFSTCTPIRARTGYVSCKTNSDCVKLKCPTPFGTPKCMNGGCECPLEELVTLPDDTNCGVAACSDYCKAKGEHAYACISNHCYCHKPPM >Al_scaffold_0007_2431 pep chromosome:v.1.0:7:11347485:11350206:1 gene:Al_scaffold_0007_2431 transcript:Al_scaffold_0007_2431 gene_biotype:protein_coding transcript_biotype:protein_coding description:SBP (S-ribonuclease binding protein) family protein [Source:Projected from Arabidopsis thaliana (AT4G17680) TAIR;Acc:AT4G17680] MEVSSISDSLMAVQAQHPSSFFFINSNGQEANDCSLQHQDTHFTNFINAGVDSRKRSRKDYSSVTTMAPMNPPPPKPSQVIDLTELMQKTPNVVSTGLRLSHDQSQNQQHFYSSLPGDVTGKIKRQRDELDRFIQTQGEELRRTLAENRERRYVELLCAAEEIVGRKVREKEAELEKATRLHAELEARVAHLAEEARNWQLRAATREAEVSSLQAHLQQAIASRRDTAAKQSTFGDDDGDAEEAEDAESVYVDPERIELFGPSCRICRQNLATVMALPCRHLALCEGCDGGTLRACPICLAVTNTGVEILYS >Al_scaffold_0007_2433 pep chromosome:v.1.0:7:11359881:11361444:-1 gene:Al_scaffold_0007_2433 transcript:Al_scaffold_0007_2433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17660) TAIR;Acc:AT4G17660] MNCMFVFKSKKPKSRRNLEKDYKNIRGREFLQKSAPELTTRRTSLSFNLPTPRSLPSPTSIKDLYTEREQNQNQNLMVFSYKELSDATCEFSRKLKIGEGGFGSVYKATIDNPTGGDSHSSPLTVAIKKLNRQSLQGHKQWLAEVHLLGVVNHPNVVRLLGYCSEDRERLLVYELMSNRSLEDHLFTRKTLTLSWKQRLEIMLGAAQGLAYLHEIQVIYRDFKSSNVLLNEEFHPKLSDFGLAREGPEGDNTHVTTARIGTDGYAAPEYVITGHLKTHCDVYSFGVVLYEIITGRRTLERMKPLAEQKLLEWVREYPVDSKRFKKIIDPKLCNKYHLPMVRRVAKLADHCVNKIDKKRPTMAFVVESLTKIIEEVTSEDMGTSDSVGKSTS >Al_scaffold_0007_2443 pep chromosome:v.1.0:7:11407931:11409411:1 gene:Al_scaffold_0007_2443 transcript:Al_scaffold_0007_2443 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein with a domain of unknown function (DUF295) [Source:Projected from Arabidopsis thaliana (AT4G17565) TAIR;Acc:AT4G17565] MWSELCPDLLRSIFEELSLTNLNRAKLVCRSWNSASRGCVPKRNQIPWLILFPQKNENNSSNHYVLFVPDDKDKVYKTRDLGVDFAQSCCLATHGSWLLMYDHLQNLYILNPLTLERVDLPHSASIFPNKLNPNFRCNRSACLWIDDIAKDYLVVWLIDYKSYFAKKGDDTWLPAGLFWNDRIIYNHKDHKVFQYSSSYVCVWDFASDIPHVDKHHLPVRSTDHQIGDDNRFNLVSHQIVTSLSGEVVLVKIMHHYNLPVWRFRVYELNLLTETLIKIDSLGDAAIILDMSITVVAKYIPGIKKNSIYFSGLDHPTTDPKLKFVYDLTTHTMEPLPQCVFSSMLFSDSRWFLPGFKG >Al_scaffold_0007_2446 pep chromosome:v.1.0:7:11419130:11421151:1 gene:Al_scaffold_0007_2446 transcript:Al_scaffold_0007_2446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MBX2] MAMNSKRKTPPGIALLRRVRGRNWSPKTFRYAILLITFIAYACYHASRKPSSIVKSVLHPDSSTKPPQEHNSNKVYPWPMGNVFVKREIDEVLLHRNSKGWEPFNGKDGTSRLGEIDVAFLACYSIGMYVAGHLGDSLDLRLFLTWGMIGTIGGEYVSVKTAGNLSTLFDVGGIVGGILCGYISDKFKARATTAAAFMYAAIPAMLVYHSYGGVSQTVNILLMMVAGLFVNGPYALITTAVSADLGTHKSLQGDSRALATVTAIIDGTGSAGAALGPLLTGFLSTLGWEAVFYMLVVGALCAGLLLTRLVIAEIREKLGYVDEVPASEPLLTDRR >Al_scaffold_0007_2456 pep chromosome:v.1.0:7:11456312:11458367:1 gene:Al_scaffold_0007_2456 transcript:Al_scaffold_0007_2456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNK5] MNELMKTCLFIKFINKLFTSIAEHCADAEVIYKFLEVNEIDKTTVVSGDDLFVHQSISSEKFRSLTVDEAVEFKVEVDNASRLKTVEVSGPEGEDVMVEIIKEDMVVMVLALGVVNQVIWREIVLKVVEDTVVVEVVEGTLI >Al_scaffold_0007_246 pep chromosome:v.1.0:7:1000265:1001520:-1 gene:Al_scaffold_0007_246 transcript:Al_scaffold_0007_246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9L9] SYQDQKGREDEDERIRRKRKENNLLIRAVQKIFTQKAHVGEAGTAPKNTN >Al_scaffold_0007_2463 pep chromosome:v.1.0:7:11501693:11502665:-1 gene:Al_scaffold_0007_2463 transcript:Al_scaffold_0007_2463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MBZ0] MRLNTVQGMMKVWRKCVEFLDKSPNLSCAQRNGALMVSAECRLGCCTMSNSLIHVKAPSHRAGTNVGFYTSKLWFYNLHQQHTNIYLDYHELQITNSHTIQTQVETDDRSISIQRTAKLDQITPHWLIQQMNPIWKQES >Al_scaffold_0007_2465 pep chromosome:v.1.0:7:11505045:11505516:1 gene:Al_scaffold_0007_2465 transcript:Al_scaffold_0007_2465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MBZ2] MNIVLGNPWTQQEERSISRNYVTTTQFAHKDFIRQFKMRSTLFDMVPYEVTEVMMESHELQAENDSRLETQMHGTDSVPEPSILEPMSVNP >Al_scaffold_0007_2474 pep chromosome:v.1.0:7:11543516:11545290:-1 gene:Al_scaffold_0007_2474 transcript:Al_scaffold_0007_2474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) with plant pleckstrin homology-like region [Source:Projected from Arabidopsis thaliana (AT4G17350) TAIR;Acc:AT4G17350] MESGFYSDWKDTSSSSLFGSDNMEEELEDGVLRAEELFKSIPQPQTPKEPMEFLSRSWSLSTSEISKALALKHRQQQEQFCVGQNSPPVLFPDAAADPLVAGKIMNSVGTRRAGTLSKWFHHHKEHSSSSTTINLKKKDKVRVENAHVHSAVSIAALAAGLASVTSASSCKGSGSKMALALASATELLASHCVEMAERAGADRARVTSTVRSSVDIHSPGDLMTLTAAAATALRGEAALKARQPKEARKNAAITPFERSFSDSHWHANFQFRLEEPNLPFEGELMQCARNGVQRTKRVCVYINKKSQVMIKLKSKHVGGAFSKKIKCVVYGVCDEKSAWPYRKERENSEEVYFGLKTGQGLLEFKCKNKIHKQRWVDGVQSLLRQVNCFEAAKCSLGSLSLTGHT >Al_scaffold_0007_2479 pep chromosome:v.1.0:7:11568041:11569416:1 gene:Al_scaffold_0007_2479 transcript:Al_scaffold_0007_2479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:Projected from Arabidopsis thaliana (AT4G17280) TAIR;Acc:AT4G17280] MMKFLNQILCLSLVLSISMSSTLSFAQTCSNYKFSSNNVFDSCNDLPFLDSFLHYTYESSTGSLHIAYRHTKLTSGKWVAWAVNPTSTGMVGAQAIVAYPQTDGTVRVYTSPIRSYQTSLQEGDLSFNVSGLSATYENNEIVVLASLKLSQDLGNGGTINTVWQDGSMSGNSLLPHPTSGNNVRSLSTLNLVSGVSAAAGGAGGSSKLRKRNIHGILNGVSWGIMMPIGAIIARYLRVAKSADPAWFYIHVFCQASAYIIGVAGWATGLKLGGDSPGIQYSTHRAIGIALFSLATVQVFAMFLRPKPEHKHRLYWNIYHHTIGYTIIILGVVNVFKGLGILSPKKQWKNAYIGIIVALAIVATVLEAFTWYVVIKRRKLEAKTAQHGASNGSRSQYA >Al_scaffold_0007_2488 pep chromosome:v.1.0:7:11612310:11613783:-1 gene:Al_scaffold_0007_2488 transcript:Al_scaffold_0007_2488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MC16] MDQRDMTHMDTMHVYLLVDVWRGRCELVYGKFGPNSMNKQKDEVPPDFVEVSFHALMRFWVCQWKLASLFTPSTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >Al_scaffold_0007_2501 pep chromosome:v.1.0:7:11672321:11674500:-1 gene:Al_scaffold_0007_2501 transcript:Al_scaffold_0007_2501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 K4-specific methyltransferase SET7/9 family protein [Source:Projected from Arabidopsis thaliana (AT4G17080) TAIR;Acc:AT4G17080] MHLKNSTVAERRYIGKETAAFLSSSSDLDPKPKIKHHHRPLQVPEILTPDIGAGAGFSVYNNQVQAQSRHLTVEIPDSSSQNLTSKRPVVVQSSNPFNVDDFRRQRASLGSISSYCPSYLLSFFSSSLPSIPSATNQKLLRHVLRVRLICFHLRFLLLLSVPPLYIFFLLISFRFFLLFVFSIIAFSFVLSISLKFALPHLPSIRLIIARLLSLKLSPTRSSSSSQESTKHVVWSIGSKPVTEKKTNSGSWVQKYSSGDVYEGEFHRGKCSGSGVYYYSMKGKYEGDWIDGKYDGYGVETWAKGSRYRGQYRQGMRHGTGIYRFYTGDVYAGEWSNGQSHGCGVYTSEDGSRFVGEFKWGVKHGLGHYHFRNGDTYAGEYFADRMHGFGVYQFGNGHRYEGAWHEGRRQGLGMYTFRNGETQAGHWEDGVLSCPTEQTTRPDSSFSISHSKVLDTVQQARKAAEKAREVVKVEERVNRAVMVANRAANAARVAATKAVQTQTFHCSGGDDPL >Al_scaffold_0007_2502 pep chromosome:v.1.0:7:11679615:11682229:1 gene:Al_scaffold_0007_2502 transcript:Al_scaffold_0007_2502 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptidyl-prolyl cis-trans isomerases [Source:Projected from Arabidopsis thaliana (AT4G17070) TAIR;Acc:AT4G17070] MPRRLNDGEPGRFTATALLLIGLISCVIVYAVFSTILRPQDPTLVDSAVRFTEESRDHDAVEDGGGGCCRGIDNLELWGPAVKWGTDFKFNSSDGCCKACKVMCSGNDGPCLCDSWVFCGNKDACGSKFGECWLKKQKDVLMPDRQAGGEKVMWTSGLIFGQGQGIVAFETEHGVIHVKLHPECAPHSVYYILSLLTLRHCAGCQFHRAESRGSYWDSEGNHVKNAPFGPPYAMIQGILQAEGNMFTPIPIEHCPTISRGSVAWVGSGPEFFISLVNHHEWKQSYTVFGSVLPEDMDVVETIASLPTRADVWNSVNVSVLEKPVSLTVRRMKTGQDQEETGS >Al_scaffold_0007_2507 pep chromosome:v.1.0:7:11696856:11697174:1 gene:Al_scaffold_0007_2507 transcript:Al_scaffold_0007_2507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MC34] FFFVVFLFYFLVMYFFFILIFLSFCNFFSMGSCFFFFFQFIYIFHLYFLFFFFNFRFWFYIFLFFIFYFLFYFFSIYIYFSFVFF >Al_scaffold_0007_2515 pep chromosome:v.1.0:7:11732700:11738203:1 gene:Al_scaffold_0007_2515 transcript:Al_scaffold_0007_2515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCA5] MAASSSSSGSRRYDVFPSFSGEDVRKTFLSHLLKALDGKSINTFMDHGIERSRTIAPELISAIREARISIVIFSKNYASSTWCLNELVEIHKCCKDLDQMVIPVFYYIDPSEVRKQIGEFGDVFKKTCEDKPEDQKQRWVQALTDISNIAGEDLRNGPDEAHMVEKIVNDVSNKLLPPPKGFGDFVGIEDHIEEIKSILCLESKVARMVGIWGQSGIGKSTIGRALFSQLSSQFHHRAFVTYKSTSGDVSGMKLSWEKELLSKILGQKDINMEHFGVVEQRLKHKKVLILLDDVDNLEFLKTLVGKTEWFGPGSRMIVITQDRQLLKAHDIDLLYEVKLPSQGLALKMLCRSAFGKDSPPDDLKELAVEVAKLTGNLPLGLSILGSSLKGRDKDEWMEMMPRLRNGLNGDIMKTLRVSYDRLDKEDQDMFLHIACLFNGFRVSSVDDLCKDNVGLTTLVDKSLMRITPKGYIEMHNLLEKLGREIDRAECNGNLRKRRFLTNFEDIEEVLTEKTGTKTAVGIRLYTDYGEKRLLSIDEKSFKGMDNLQYLSVFNCSINIKLPRGLFFLPYKLRLLEWENFPLKSLPSTFKAKYLVELIMVDSKLEKLWEGTQPLGRLKKMNMCGSKYLKEIPDLSKAINLEKLDLYGCSSLVTLPSSIQNAIKLRKLNCSGELLIDSKPLEGMRNLQYLSVLNWSNMDLPQGIVHFPHKLISLRWYEFPLKCLPSNFKAEYLVELIMVNSKLEKLWERNQPLGSLKTMNLSNSKYLKEIPDLSNAINLEEVELSGCSSLVALPSSIQNAIKLNYLDMSECRKLESFPTHLNLKSLEYLDLTGCLNLRNFPAIQMGNLYGFPLDSIFEIEVKDCFWNKNLPGLNYLDCLMGCMPCKFSPEYLVSLDVRGNKLEKLWEGVQSLGSLEWMNLSECENLTEIPDLSKATNLKRFYLNGCKSLVTLPSTIENLQNLLGLEMKGCTRLEVLPTDVNLSSLDILDLSGCSSLRSFPLISWNIKWLYLDNTAIVEVPCCIENFSRLTVLMMYCCQSLKNIHPNIFRLTSLMLVDFTDCRGVIMALSDATVVATMEDHISCVPLHENIEYTCERFWDELYDDYSDDDYFEFHHEDLPCKLTLNDVEFKFSCSKMIKECGVQLLNVYPSPDGSAGSSETEYNQPTRRSKKRMRMTSGTSEDYINLTGDQIVAGTGLAALNLDLSLGQGEASSVSSYPSLEGEALCVDYMITEEQAEQIPILYPVSGN >Al_scaffold_0007_2536 pep chromosome:v.1.0:7:11880977:11881530:-1 gene:Al_scaffold_0007_2536 transcript:Al_scaffold_0007_2536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCC4] MKKKPLSPTENNVPESNPPKRNRGNEPYTRLRNISQFNREIIRNGSVPLSTAFGSAFRDITNLPDPQVSPIENSADANTSSSAKQNSKNQGSLRGKMSKPCSPYTRPNPLLTPSGLSAMTNTSQSLFSRTPTTPIMPPPSVTPKRIGG >Al_scaffold_0007_2541 pep chromosome:v.1.0:7:11904356:11906764:1 gene:Al_scaffold_0007_2541 transcript:Al_scaffold_0007_2541 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylserine decarboxylase 1 [Source:Projected from Arabidopsis thaliana (AT4G16700) TAIR;Acc:AT4G16700] MKPRFSHNAYFLARYSHLRRFHHSHRRTFSSFLNNIRSNYYGTSASSLGGSSGAGGGGGGTGDSKGNAFLLPGATMATILMLGALHARRLYEDKKIEEKRERGIELEFHPDVKASFLGILPLRSISRAWGSIMSLEIPVWMRPYAYKAWARAFHSNLEEAALPLKEYASLQDFFVRSLKEGCRPIDPDPCCLVSPVDGTILRFGELKGSRGMIEQVKGHSYSVPALLGNNSLLPMEPEGKDESEEEAVGDKSHKSWLRVSLASPKLRENISASPMKGLYYCVIYLRPGDYHRIHSPVDWNAMVRRHFAGRLFPVNERATRTIRNLYVENERVVLEGIWKEGFMALAAVGATSIGSIELFIEPELRTNKPKKKLFPTEPPEERVYDPEGLGLKLEKGKEVGVFNMGSTVVLVFQAPTANSPEGSSSSSDYRFCVKQGDRVRVGQALGRWNEE >Al_scaffold_0007_2542 pep chromosome:v.1.0:7:11907351:11908344:-1 gene:Al_scaffold_0007_2542 transcript:Al_scaffold_0007_2542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCC9] MPFKTVIEVEPPSLLRYLIGAAVMMIGVVLPVGYMMFRNKRVPFSSSYSKQTNKVLI >Al_scaffold_0007_2544 pep chromosome:v.1.0:7:11913351:11913701:1 gene:Al_scaffold_0007_2544 transcript:Al_scaffold_0007_2544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MCD1] KKAILAGFFPHSAKLQKNGSYRRVKEPQTVYVHPNSGLFGASPSKWLVYHELVLTTKEYMRHTTEMKPEWLIEIAPHYYELKDIEDTRPKKKQRRSERASTSKVDTNKTTPDQPHD >Al_scaffold_0007_2556 pep chromosome:v.1.0:7:11996402:12000255:-1 gene:Al_scaffold_0007_2556 transcript:Al_scaffold_0007_2556 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein arginine methyltransferase 7 [Source:Projected from Arabidopsis thaliana (AT4G16570) TAIR;Acc:AT4G16570] MSSLFSLLLPKTFISFRCHSVTRLRSSVAARTMSSQSSQRVFQLRQDPLTGNSEWIVIEDNDQPGTSTDGLLATTSYLDMLNDSRRNRAYRLAIEKTITEPCHVLDIGAGTGLLSMMAVRAMRGREEESGDSKGMVTACESYLPMVKLMRKVLHKNGMTKNINLINKRSDELKVGSDIASRADVLVSEILDSELLGEGLIPSLQHAHDMLLVDNPKTVPYRATTYCQLVESTFLCNMHDLRNNEAKTYDGFRLVPPGLESLFGIKSQQYSMHVDAIEKDIKLLSEPVKIFEFDFWKRPESNGELDVHIEAITAGSVHAIISWWVLQLDSEGTIFYSTAPRWIDSNSEIGVRDWCDHWKQCVWFTPGAGVSISKGEKVHLHAAHTCTNILYNLKKTQSLTHEMTYFPLSTGDLHLTLSPERVAIYGDSIYRQSLFEATRKALQGKSYPQCLVIDDSLLLPLVALHISNRSRVLSLSPGLQENAARYFEVIADSNGFSKDRFEYFREGKANLAKAYPSKIDLLIGEPYYSGLESGLPWQNLRFWKDRTLLDSVLSEDAVVMPYKGVLRGCAMYLPDLWKSRCCLRNVEGFDHALVNTTLGGCGDLPSGKDSPCLPFFIWQCGETKKLSKEFTVMEFDFSKPIRGPCSGEVQIEFIKPGVCHGIALWMDWVMDEENSTVISTGPDEKYWKQGVKLLGKPVTVRLKGPSSSIGIQASLDLSSNSDLIITHTIS >Al_scaffold_0007_2561 pep chromosome:v.1.0:7:12014662:12015607:-1 gene:Al_scaffold_0007_2561 transcript:Al_scaffold_0007_2561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9S5] MSSLFSLLLPKTFISFRCHSVTRLRSSVAARTMSSQSSQRVFQLRQDPLTGNSEWIVIEDNDQPGTSTDGLLATTSYLDMLNDSRRNRAYRLAIEKTITEPCHVLDIGAGTGLLSMMAVRAMRGEEEESGDSKGMVTACESYLPMVKLMRKVLHKNGMTKNINLINKRSDELKVGSDIASRADVLVAPENFGTSVRMVELIDVGISGEAKFFWIDPATSIPSIIPQAAL >Al_scaffold_0007_2564 pep chromosome:v.1.0:7:12026368:12041406:1 gene:Al_scaffold_0007_2564 transcript:Al_scaffold_0007_2564 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperone [Source:Projected from Arabidopsis thaliana (AT4G16550) TAIR;Acc:AT4G16550] MPITHIAPAPHPRDGYYSTNNPYQVNGPKGFTEFKYLEETHDLFVRLDFPGIKKESVIILLEPLKKAVIVTGDAPKESKHDSSHRKYGTATGLICDCCEISNIQCFVGDGVVRLILSKKKINLRVPNFCSCKIINLQMFFFFSFFFLSFLSEVQECLLMLLLTSFVAITQKKLAFVDLYSIVPDIFHGFVLIPIVAVAGGHPLAHLRGLNREGCRGTDPFDPAFTGPTILPHPSVLEGSTSAYETKQLSNGGLYLRIDMPGVPSGRFMVAVDGDGVVTIMGRAPATMHDTNGYYTMNNPYQANGPKGFTEFKYLEETHDLFVRLDFPGVKKEKGFYAINNQFLATGPKGFMEFKMLENEDMFVRIDFPGVPKDGVRVFLDQSKKAVCIFAEAPKEHKYDYSERNYGTSTGLVCKCCEVSGFTSLMCDGVLRLILTKSNITPQRSSCISFLAGPDFREDLRGDGPHKFPHGTDPNADPKLTGRILMPHPCVNYGSEMAYESKQLQNGGLYVRVDMPGVPKENFTVAVMNRRVKVAGEAPAVSHDSSGRFYSGDVAMLSTPFDIPIRKIKIIAKNGVIRLIIPPV >Al_scaffold_0007_2566 pep chromosome:v.1.0:7:12044182:12045225:-1 gene:Al_scaffold_0007_2566 transcript:Al_scaffold_0007_2566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9T0] MGGHGGLNILPQKRWNVYNFDNREKVRKDEEAAAREEQIKREEARKRDAESRLEVLRNVRGLAPLKRASPEAEKGKDEAVVVAAAVVKSTAVVVESVEPEEPKTGHINLFEGIRIFDPIEIPKNDKPAEEEDQRRKKMRKEAAATARASAKDAAARAGDPDEEKYRLGYGVAGKGVKRPWYLEKRNGDDDCVRGEDDDGGYRGDEAKKKKMSGKKSLKELREERLKRERVEKERERALFMKQSQRDGGGDQITRMTKKMKEDLMQRSKGCFTF >Al_scaffold_0007_2581 pep chromosome:v.1.0:7:12101138:12102824:1 gene:Al_scaffold_0007_2581 transcript:Al_scaffold_0007_2581 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16490) TAIR;Acc:AT4G16490] MVSVEEPLSHSNSNRFPLTTDFYGSSSPSAARLHRQAGRSMRTVRSNFYQSGDQSCSFVGSIGDKSEYASEFLSDSVIDMRLGELALKNSNSLNSNASSMKEEAFLDISQAFSDFSACSSDISGELQRLACLPSPDSERNESGGDNEAAQDPELEREPCLGFLQRENFSTEIIECISPEDLQPTVKLCIDGLRSTSVAIKRSAAAKLRLLAKNRADNRVLIGESGAIQALIPLLRCNDPWTQEHAVTALLNLSLHDQNKAVIAAGGAIKSLVWVLKTGTETSKQNAACALLSLALLEENKGSIGACGAIPPLVSLLLNGSCRGKKDALTTLYKLCTLQQNKERAVTAGAVKPLVDLVAEEGTGMAEKAMVVLSSLAAIDEGKEAIVEEGGIAALVEAIEDGSVKGKEFAILTLLQLCSDSVRNRGLLVREGAIPPLVGLSQSGSVSVRAKRKAERLLGYLREPRKEGSSSSP >Al_scaffold_0007_2583 pep chromosome:v.1.0:7:12107441:12109103:1 gene:Al_scaffold_0007_2583 transcript:Al_scaffold_0007_2583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16470) TAIR;Acc:AT4G16470] MKTLISSSLSHKSHSQILCFSLNKLAMIYSQKLLQTEASQSSASGPMFSGNATTILRRMLAERRIGSFQVENQRKKEKLDKTLKGLCVTGRLKEAVGLLWRSRLQVEPETYAVLLQECKQRKEYTKGKRIHAQMIVVGYAPNEYLKVKLLILYALDLIPWNAMISGYVQKGLEQEGLYIYYDMRQNGIVPDQYTFASVFRVCSALASLEHGKRAHAVMIKRHIKSNIIVDSALVDMYFKCSSFSDGHKVFDQLSTRNVVTWTSLMSGYGYHGKVSEVLKCFEKMKEEGCRPNPVTFLVVLTACNHGGLVDKGWEHFNSMKRDYGIEPEGQHYAAMVDTLGRAGRLQEAYEFVMKSPCKEHAPVWGSLLGACRIHGNVKLLELAATKFLELDPTNGGNYVVFANGYASCGFRDAASKVRRRMENAGVKKDPGYSQIELQGEVHRFMKDDTSHKLSVKIYKKVHEMTSFFMDIDYYPDDLESSCPV >Al_scaffold_0007_2589 pep chromosome:v.1.0:7:12130509:12132835:1 gene:Al_scaffold_0007_2589 transcript:Al_scaffold_0007_2589 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferredoxin hydrogenases [Source:Projected from Arabidopsis thaliana (AT4G16440) TAIR;Acc:AT4G16440] MSEKFSPTLRLGDLNDFIAPSQACVISLKDSKPIVKKSDRPQVVIAPKQQLEPVKISLKDCLACSGCITSAETVMLEKQSLDEFLSALSKGKDVIVSISPQSRASLAVHYEISPLQVFKKLTTFLKSLGVKAVFDTSCSRDLVLIEACNEFVSRYKQANSDDGENSQSPLPVLSSACPGWICYAEKQLGSYVLPYVSSVKSPQQAIGAAIKHHLCQALGLRLQEVYHVTAMPCYDKKLEAARDDFVFEDGAQDNGSLKLTEVDSVLTTGEILDLIKLKGVDFKDLEESPLDRMLTNVTEEGHLYGVAGSSGGYAETIFRHAAKALFGQTIEGPLEFKTLRNSDFREVTLQLEGKTVLKFALCYGFQNLQNIVRRVKTRKCDYHYVEIMACPAGCLNGGGQIKPKTGQSQKELINSLEATYMNDTTLNTDPYQNPTAKRLYEEWLKEPGSNEAKKFLHTQYHPVVKSVTSQLNNW >Al_scaffold_0007_2598 pep chromosome:v.1.0:7:12159194:12160750:-1 gene:Al_scaffold_0007_2598 transcript:Al_scaffold_0007_2598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9W1] MRSLTRNQKQGDQKPLPPRATPPREFTWKISCLDRPSTNPHRSHLLELIFLKRNTRDRSAVITGDRSKPSLIGNINRKTIQATGFRFHLVTWIKVLDSICAILKMIGILH >Al_scaffold_0007_2619 pep chromosome:v.1.0:7:12288881:12289992:1 gene:Al_scaffold_0007_2619 transcript:Al_scaffold_0007_2619 gene_biotype:protein_coding transcript_biotype:protein_coding description:enoyl-CoA hydratase/isomerase A [Source:Projected from Arabidopsis thaliana (AT4G16210) TAIR;Acc:AT4G16210] MDQTISEYLIQVKKESGGIAIITINRPKSLNSLTRAMMVDLAKAFKDMDSDESVQVVIFTGSGRSFCSGVDLTAAESVFKGDVKDPETDPVVQMERLRKPIIGAISGFAITAGFELALACDILVASRGAKFMDTHARFGIFPSWGLSQKLSRIIGANKAREVSLTSMPLTADVAGKLGFVNHVVEEGEALKKAREIAEAIIKNEQGMVLRIKSVINDGLKLDLGHALTLEKERAHAYYSGMTKEQFKKMQEFIAGRGSKKPTSKL >Al_scaffold_0007_2622 pep chromosome:v.1.0:7:12295818:12300724:1 gene:Al_scaffold_0007_2622 transcript:Al_scaffold_0007_2622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9Y7] MELRSDSRSTSFSSLLFVVFLSVLSGLVSRTESAQQPFRREPGHPHWHHSAFLDVRESVRSDVRRMLHSRAEVPFQVPLEVNIVLVGLNGDGGYRYSVDPQKLGEFLRASFSTHRPSCQETGEPLDIEHRVVYNIFPSGQPELIALEKAVKEAMVPAGTALEVATDFGRHLPAFDVEATKVESAFNQLYSYIFDIDVGAGSAATADKPIPSAIFVVNFDKVRMDPRNTEIDLDSLMFAKLPELSDVDKEKQEADYIYRYRYNGGGASQVWLGSGRYVVIDLSAGPCTYGKIETEEGSVSPRTVPRIRNIVLPGNVSPVGHQSTHDIFSGQLAALVATTIEHVIAPDVRFETVDLATRVLVPIIVLQNHNRYNIMERGQNYSINIEEIESEVKKMIHHGQEVVIVGGAHPLHRHEKLAIAVSKAMRGHSLQETKKDGRFHVHTKTYLDGAILKEEMERSTDVLAAGLLDVSDPGLSNKYFLRQSWDDESEGSGDSIVKHKPLWSSYSSKLQKGKKKNVVKKKGDLYRTYGTRVIPVFILSLADVDPMLMMEDESLVWATSDVVIVLQHLNEKIPLSYVSETERQHAVPSQVQRHILAGIASALGGVSAPYEKTSHAHERPITNWLWAAGCHPFGPFSNVSLISQMLQDVALRNTIYARVDSALRKIRETSEAVQNFASEYLKTPLGEPVKDKKNKTKTELWVEKFYKKTTTLPEPFPHELVERLEKYLDTVEEQLVDLSSLLYDHKLYDAHLNSSEILQTTMFTQQYVEHVLETERENMRCCKIEYKYTVGVKSYQTLVYGGILVAGFLVYFLVIFFSSPPSR >Al_scaffold_0007_2624 pep chromosome:v.1.0:7:12339284:12340303:1 gene:Al_scaffold_0007_2624 transcript:Al_scaffold_0007_2624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9Y9] MMRELYLETDSYHEYIGSRYTSEEEIPKTAAAVEVSVVADLKRSNPRIHKVTDPPSSDESMSDPRFSDEEMFYPEATIYCDPRLPDGSIYGPNFDSYMGT >Al_scaffold_0007_2634 pep chromosome:v.1.0:7:12391201:12391704:-1 gene:Al_scaffold_0007_2634 transcript:Al_scaffold_0007_2634 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT4G16140) TAIR;Acc:AT4G16140] METLRTFHLFLLLLFFFFFTFTTTLTSPSQIADCTMCTSCDNPCQPNPSPPPPSNPSPPPPAPTTTACPPPPSSGGGGPYYYYPPPSQSGSYRPPPSSSGGGYYYPPPKSGGNYPFTPPPNPIVPYFPFYYYNPPPQSVMSGSDAKIRFSYGVSFLLLVFSLCFGCF >Al_scaffold_0007_2640 pep chromosome:v.1.0:7:12432537:12434570:-1 gene:Al_scaffold_0007_2640 transcript:Al_scaffold_0007_2640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MA84] MAEGVILFGIEKLWDLVNRESEQFQGVHEQVSELKRQLGRLRSLLKDADAKKHESERVRNFLEDVKDIVYDAEDIIETFLLKERSRKEKGIKKRVTRLASVLVDHRKIVSDTKRITKRISDVIEGMQSFGILQIIDGGRSLSLQDRQREVRQTYPNNSESDLVGVDQSVEDLVGQLVGNDNIQVVSISGMGGIGKTTLARQVFHHDIVRRHFDGFAWICVSQQFTQKYVWQRILQELRPDDGEILQMDEFTRQGKLFQLLETDRYLIVLDDIWKAEDWDRIKEVFPQKREYRVDDELEVMGKEMVSHCGGLPLAVKVLGGLLATKHTVSEWKRVYENIGPHIVGESGLNFNTVYRVLSLSYEDLPMGLKHCFLYLAHFPEDYKIDVETLFNYWAAEGLIMIYRSTIQDNAEGYLEELVRRNMVIAERSYLTSRIESCHMHDIMRGVCLSKAEEENFLQIVQGPTSTSTSTINAQSPRRLAVHSSNAFEMIRHENFKKVRSLLFFKASCDTISFRLWNFTVSQGVGSF >Al_scaffold_0007_2641 pep chromosome:v.1.0:7:12435688:12443217:-1 gene:Al_scaffold_0007_2641 transcript:Al_scaffold_0007_2641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MA85] MSSLSRELVFLILQFLDEEKFKESVHKLEQESGYFFNLKYFEEKALLGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRNDRAKAVEILAKDLKVFATFNEELYKEITQLLTLENFRENDQLSKYGDTKSARGIMLGELRKLIEANPLFREKLALPTFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCSPQNGARAPTPVLPVGAVAKPSTYAPLGVHGGPFQPTSAPAPNANALAGWMANPNPSSSVPGGVVASSPFPMQPNQVAMLKHPRPPSNSLGMMDYQSADHEQLMKRLRSGPTGDEVTYPAHSQPTASLDDLPRNVVSTMRQGSAVTSMDFHPSHHTLLTVGCSSGEFTLWEVGSREKLFTEPFKIWNMASCSVIFQGSIVKDPSVSVSRVSWSPDGNFIGVAFSKHLIHIYSYQGSELRQHLEMDAHVGCVHDLAFAHPNKQLCIVTCGEDKLIKVWDLSGKKLYTFEGHEAPVYSICPHQKENIQFIFSTALDGKIKAWLYDNVGSRVDYDAPGQWCTTMLYSADGSRLFSCGTSKEGDSFLVEWNESEGAIKRTYLGFRKKSTGSVQFDTTRNRFMAVGEDNQVKFWDMDNTNILTTVEADGGLPSLPRLRFNKEGNLLAVSTADNGFKILANGDGLRTLRVMEARSFEASRASTDMKVSSSAMVTNIGPSIGKMEQMDIGSPARPTPIPNGVDAMSRTMENPRNVESVEKSKPSELMEIVDPAQCRQVTMPDSKDSVSKVARLLYTNSGVGILALGTNGVQRLWKWSRSEQNPTGKATASVTPQHWQPNSGLLMTNDVPENPEGTVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTKLKGHQKHITGLAFSATLNILVSSGADAQLFFWSADSWEKKKSSAIQLPAGKSPVGDTRVQFHNDQVHLLVSHDTQIAIYDGSKMECIQKWVPQESLSSPITCASYSCNSHLVYASFADGSIGVFDAEYLRLRCRIGPSAYMPQPTSNSAPIMPLVITAHPQEPNQIAVGLSDGSVKVLETPEPFRRWGVVEKAGTENGRPSSASAANNSGSDQIQR >Al_scaffold_0007_2648 pep chromosome:v.1.0:7:12490572:12491281:-1 gene:Al_scaffold_0007_2648 transcript:Al_scaffold_0007_2648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MA94] AALQAQADTKEWLRATAIDQNDVFTLQEDSGSRWTRPPSPFVKCNYDASFNPHTHQVTGGWVIRDTEGKALSWGSARLRTVRSPLEAEGEALLFALQQSWSRAPLKWSTGGDDNFE >Al_scaffold_0007_2653 pep chromosome:v.1.0:7:12510360:12513161:1 gene:Al_scaffold_0007_2653 transcript:Al_scaffold_0007_2653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7MAA0] MKKYVLLGLTALLMAMVICVEANDGSSPSRKMEEIHRESKLMITKTAVTIICSSTDYKQDCTTSLATVRSPDPRNLIRSAFDLAIVSIRSGIDRGMIDLKSRADADVHTRNALNTCRELMDDAIDDLRKTRDKFRGFLFTRLSDFVEDLCVWLSGSITYQQTCIDGFEGIDSEAAMMMERVMRKGQRLTSNGLAIAANLDKLLKAFRIPFPFLRSRRDRLGILGSGSSRDQSVGSSQDPPPNVDSSDNSPKTMESSENRPLDSSENRPSDSSNNRPLDSSENRPKESSENSPQKLTSSENQPLDSSDKLPQKSTSSENQPLDSLESNPQKSTSTENRPLDPTQNSDSSIIRPLDPLRKLNPLNKLDSSKDRHLSEEGEFPPWVTRHSRRLLARGPRNNGIRADVVVAKDGSGKCKTIAQALAMVPMKNTKKFVIHIKQGVYKEKVEVTKKMLHVMFVGDGPTKTIITGDIAFLPNQVGTYRTASVAVNGDYFMAKDIGFENTAGAARHQAVALRVSADFAVFFNCHMNGYQDTLYVHTHRQFYRNCRVSGTIDFVFGDAKAVFQNCEFVIRRPMEHQQCIVTAQGRKDRRETTGIVIHNSRITGDASYLPVKAKNRAFLGRPWKEFSRTIIMNTEIDDVIDPEGWLKWNETFALNTLFYTEYRNRGRGSGQGRRVRWRGIKRISDRVAREFAPGNFLRGNTWIPQTRIPYNAN >Al_scaffold_0007_2658 pep chromosome:v.1.0:7:12546021:12546538:-1 gene:Al_scaffold_0007_2658 transcript:Al_scaffold_0007_2658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAA5] MKADIKRKPSAKKVIMISFDALRVIGRTLKKTSWDFGFDPCDMASTGGRWRNSDAVKGSEDSITCDGSYHVPCYEHVITAFSWLKSYLFLLIW >Al_scaffold_0007_2668 pep chromosome:v.1.0:7:12593972:12598481:1 gene:Al_scaffold_0007_2668 transcript:Al_scaffold_0007_2668 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQMQQTNAAAATALYDNAGPTNEAGDAVMARWLQSAGLQHLASPVASTGIDQRHLPNLLMQGYGAQTAEEKQRLFKLMRNLNFNGESTSEPYTPTGQTSAALPSSDGFYSPEFRGDFGAGLLDLHAMDDTELLSEHMISEPFEPSPFMPSVNQAFEEEFNVPANRKQLQEQDAEPSSSFSRSEKESSGRENNVAKIKVVVRKRPLNKKETAKKEDDIVTVSNNSLTVHETKLKVDLTAYMERHEFCFDAVLDEYVSNDEVYRATIEPIIPTIFQRTKATCFAYGQTGSGKTFTMKPLPIRAVQDLMRMLNQPVYRDQRLKLWLSYFEIYGGKLYDLLSERRKLCMREDGRQQVCIVGLQEYEVSDVQIVKDFIEKGNASRSTGSTGANEESSRSHAILQLVVKKHVEVKETRRSNNDSNESRGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQLHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNEGSCEHTLNTLRYADRVKSLSKSGNSKKDQTFNLLPPANKDVSLASSQLASNDVEDVFEPPQEPNVQETGRRVEKDSYSTSGIEFKQPTHYLSSYPVNFREESGIPSISMDKGRPDTSNSFGGSTSQRIHSSSYSQDSSDQEEKVKKVSPPRRKVSREEKPERTQNWSKRDVVSASDVPATTNSRQHNIASXXXXXXXQYETTASRQYETTEPSLDENIDALLEEEEALITAHRKEIEDTMEIVREEMKLLAEVDRPGSMIDNYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >Al_scaffold_0007_2670 pep chromosome:v.1.0:7:12602893:12603539:1 gene:Al_scaffold_0007_2670 transcript:Al_scaffold_0007_2670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37140) TAIR;Acc:AT2G37140] MKSEKAQADPKRDCHIMCKFKIYVRSNFCYTKWEQEDVVPTFDEYLEIGGVEVTMYSDMSRGFDVNAIEYYIKQYKLTKEETIIQLHKMVRDLDKTMTRRY >Al_scaffold_0007_2674 pep chromosome:v.1.0:7:12622042:12625528:-1 gene:Al_scaffold_0007_2674 transcript:Al_scaffold_0007_2674 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G15840) TAIR;Acc:AT4G15840] MLQGPWKEASSPVVNLLVDDKNVNGDAISLDDDNAFRVLAAASFLDLQDLCAICTDFIISELRTSNFLAYQVFAESQDYGIHGERVRNACWGYLCQSGAVELREMLPKLSAQTLCALLTSDELWVPSEEKRFELALYAFISNGALSNSEHSNKYSRFERETSFPLDSAISKGKSVMDDFSFRSLDCKLGHLDIEDDLRDASDDVIVPLAEGVIDFQRGVSGSNLVFQQSPNSQTSFGRTCTSVVDKTEGSGVAIEGPSEEAYHLSNDSWLSGADSRNSPTLGSSSDGFVVSEWGNCGVSALTWGGRVVGTRQGTGSVKGKYGFTEEEYSAFVNTFEGGSLLYCNMSFEILLNARKELEELGFPCKAVNDGLWLQMLLSQRVQEVAASTCKRCCLISVACACKQGFGVSHGATFNNYYCQDNVQNNMMGDMENVYVAESSQGEGIGIFKPVRISVRGQHIDGLAGIGCEATFVPPPAWPPTPFVYSRVPINRNGQQSIASDGSEGRIDQIGEISKDGLTALVGLSQGTSGVGNNLRGDQTEGGRGSGATVGMSEPKESSVGTEWENASCTISLDTRTPLCHFPPFRFGVEFEDVHRLANGHVEHSPEFFYAGSLWKISIQAFNDEDPQGRRTIGLFLHRRKAEIVDSVRKVHVYIDPRDKVTARYQLICPSKREVMLFGSFKQRGTLLPKAPKGWGWRTALLFDELSELLQNGALRVAAVVQLV >Al_scaffold_0007_2678 pep chromosome:v.1.0:7:12637663:12638968:1 gene:Al_scaffold_0007_2678 transcript:Al_scaffold_0007_2678 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock factor binding protein [Source:Projected from Arabidopsis thaliana (AT4G15802) TAIR;Acc:AT4G15802] MDGHDSEDTKQSTADMTAFVQNLLQQMVVFFGFYVFLGLGIVTSIVDDMGGRINELEQSINDLRAEMGVEGTPPPASKSGDEPKTPASST >Al_scaffold_0007_2680 pep chromosome:v.1.0:7:12641671:12643297:-1 gene:Al_scaffold_0007_2680 transcript:Al_scaffold_0007_2680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAC6] MAEQTSDSTAPLSVSSPTAPKKDNTNPVDSKLTELNESRAELLNRIQNLKQDLQSWRGKLDTQVKVYREELSGLKKTLNLEVEQLREEFKDLKTTLNQQQDDVSASLKSLGLQDSKEQMEKRSEVTEEKVEALSTDDNAKAAEH >Al_scaffold_0007_2683 pep chromosome:v.1.0:7:12651369:12652656:1 gene:Al_scaffold_0007_2683 transcript:Al_scaffold_0007_2683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAC9] MMRRWRRKKLPRLKSIEEVVTTLQQASQYPLDRIDLSARKLKLLPEEFENIQGLLVLNLYNNQLRVGSIGAFPTHMGQQSSSDVPKKRDEESIDIKGTKNETGFSCSSLWFGNPPIWTSKFKLWGNCTTRNKDSS >Al_scaffold_0007_2688 pep chromosome:v.1.0:7:12675878:12677353:1 gene:Al_scaffold_0007_2688 transcript:Al_scaffold_0007_2688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAD3] MTNLTLELNINSASDLENVNHITKMNVYAITTLRGDKKLKKQKVKTAVDHSGGSNPTWNHAVKFSINEKLALEGRLTLAVRLFSKRLLGDKEIGGIEVPLLDLLRSHTPSTNGHGNSKETMNFVTYQVRTPSETMKGSLTLSYRFIGATVYQQAPTWATPSQQGYGPYGYMLPPPPIGYGYGVPPQQPTRNEARLQNGDTLFSAISLINVISSHGYHRVPTWAPPSQYGYGPYGYMPSPPPPLPGYRYGGLPPQQPTRNEARLQYIDAVVDLVTDI >Al_scaffold_0007_269 pep chromosome:v.1.0:7:1134058:1136210:1 gene:Al_scaffold_0007_269 transcript:Al_scaffold_0007_269 gene_biotype:protein_coding transcript_biotype:protein_coding description:growth-regulating factor 2 [Source:Projected from Arabidopsis thaliana (AT4G37740) TAIR;Acc:AT4G37740] MDIGVHVLGSVTSNENESLGLKELRGTKQDRSGFNGEDCLQRSLKLARTTTTKEEEENLSSSSAAAYCKTMSFQQGIPLMRSASPLSSDSRRQEQMLSFSDKPEALDFSKYVGLDNSSNNKSSPSPFLHQIPPPSYFRSSGGYGSGGMMMNMSMQGNFTGVKGPFTLTQWAELEHQALIYKYITANVPVPSSLLISIKKSFYPYGSLPPSSFGWGTFHLGFAGSNMDPEPGRCRRTDGKKWRCSRDAVPDQKYCERHINRGRHRSRKPVEVQSGQNQTAAAASKAVATPQQPVITGNTNRSNARASSNRSLAIGSQYINPSTDSLPNNRGVSIYPSTVSLQPKESPVIQQKHRNNNNNNNPFEFGHISSDSLLNPNSAKTYGSSYLDFSSNQDKHSVNHNHNSWPEELKSDWTQLSMSIPIASSSPSSAHNNNNTGQDTTTLSPLRLSRELDLSIQTEETTLEPAVKKVNTWIPISWGNSLGGPLGEVLNSTTNSPTLGSSPTGVLQKSTFCSLSNNSSVSSPIAENNNRNNGDYFHYTT >Al_scaffold_0007_2693 pep chromosome:v.1.0:7:12696818:12697262:-1 gene:Al_scaffold_0007_2693 transcript:Al_scaffold_0007_2693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAD8] MKVAAIFLASCVLFSLIPTHLSHEEPTVAPTEELMFAPMKEPKYCRSRQVFDGSCTDRGSPRMTCFLDFLGARSASEMPKNCNCTPQPNNKRLCECSVICTDCCIHN >Al_scaffold_0007_2694 pep chromosome:v.1.0:7:12698266:12698666:-1 gene:Al_scaffold_0007_2694 transcript:Al_scaffold_0007_2694 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCR-like 11 [Source:Projected from Arabidopsis thaliana (AT4G15733) TAIR;Acc:AT4G15733] MKAVAILLVSCLLFSLLSTNLAKELEWCPSKDVFNGSCTDRGSPSYTCFLDLLGSKSASAMPKNCKCTPLPHNHRQCDCFVICGSN >Al_scaffold_0007_2697 pep chromosome:v.1.0:7:12715057:12715251:-1 gene:Al_scaffold_0007_2697 transcript:Al_scaffold_0007_2697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MAE2] GTEIEFVEHVSANTTPCAGIMYYITFWAKAVSSPDLEPKRYQAKVRKFGDDIYVDMVRLRPTQD >Al_scaffold_0007_270 pep chromosome:v.1.0:7:1137734:1139439:-1 gene:Al_scaffold_0007_270 transcript:Al_scaffold_0007_270 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic leucine-zipper 7 [Source:Projected from Arabidopsis thaliana (AT4G37730) TAIR;Acc:AT4G37730] MCCLYSIIYGRTSYFTNMTKLPLWFANKYQAPTMQFFPFPLLISLISLLEKILAIMLSTVPAFSFSEPGLVNQFSGFQTGFTPWEWGCSDLFSVDQMFLEPAVPSPCDGESDTGSVKINSGSHDMKTGSDVSCAGFVKTNSSFDDANRSNGLPCSQADEPDSDDSKQLTAISNFGSGEHNRNRKKLIQPEMTDERKRKRMESNRESAKRSRMRKQSHIDNLRDQVNRLDLENRELGNRLQLVLYQLQRVNSDNNRLVTEQEILRLRLSEMRRILIIRQLQQRQQWELHNRRMIMTEQNHPHL >Al_scaffold_0007_2701 pep chromosome:v.1.0:7:12725084:12725712:1 gene:Al_scaffold_0007_2701 transcript:Al_scaffold_0007_2701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAE6] MNEKGDSSTSLSFITTTYVMVDDLSSYSIISWSQSGKSFIIWNPEEFSSNLLQRFFKTNSLDLFFFNLEIHCFRKIDSRKWDFANDNFVRDQPHLINNIISFMIEERDQLDRKMDMIKAERLFTMQVKEVEDQLQPNRCYPNEQHSFLTKAYEMVDDPSSDKIVSWSQSGKSFIIWNP >Al_scaffold_0007_2713 pep chromosome:v.1.0:7:12774992:12775350:-1 gene:Al_scaffold_0007_2713 transcript:Al_scaffold_0007_2713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAF8] MLFVQENVSIVDHWLVNGTHYAKTSEEWLKRMDKEIVAIKEIMEVTYGKEEAVKWMVYWRTFFIAVAELFGYNNGEEWMVAHFLFKKK >Al_scaffold_0007_2735 pep chromosome:v.1.0:7:12921839:12923590:-1 gene:Al_scaffold_0007_2735 transcript:Al_scaffold_0007_2735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence/dehydration-associated protein-related [Source:Projected from Arabidopsis thaliana (AT4G15450) TAIR;Acc:AT4G15450] MSFFRSKTSRTETQQPSSYGQQQDAMYSSYQTVSDEVLLQIHGCRAHLINGSEAVELAAGDFELVQVLDNNVALAMVVRIGRDLQWPVIKDEPVVKLDSRDYLFTLPVKDGEPLSYGVTFFPIDENDVVFVNSIELLDDFLRENSCFSSSSSSSSLSVNNGIDWKEFAPRIEDYNNVVAKAIAGGTGHIIRGMFKCSNAYTNQVHKGGEIMITKAEKKSGASSKRNAITNKNQINKNLQRVRKLSRATEKLSKTMLNGVGVVSGSMMGPVVKSKPGKAFFSMVPGEVLLASLDALNKLLDAAEAAERQSLSATSKATTRMVSERLGDSAGEATKDVLGTVGHAAGTAWNVFNIRKAFSPSSSLTSGILKNASRK >Al_scaffold_0007_2742 pep chromosome:v.1.0:7:13010833:13017999:1 gene:Al_scaffold_0007_2742 transcript:Al_scaffold_0007_2742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAI5] MQRGERGILFPNLKERNWSRQRVWFDCGFRRLMMKSRCWIMRLELDLEDDSCVYNWFYDHKPLLKTNMINGPSDKRWNLSLPIMATLHRLAGQLLSDLVDRNYFYLFDMPSFFTAKALNMCIPGGPKFEPLYRDMEKYDEEWNEFNDINKVITRSRLRTEGRIAFPYLYNNRPRKVKLCAYHTPMVMFIKTEDPDLPAFYYDPLINPISNTFHDRKVCDDDEDDFVLPNGVEPLLQETQLYTDTTTDGISLLFAPRPFNMRSGKTRRAEDIPLVSEWFKEHCPTSHPVKVRVSYQKLLKCYVLNDLHCRPPKPQKKKHLFRSLAGTKFFQSTELDWVEAGLQVCRQGYNMLNLLIHRKGLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTRGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLGRQFEGRHSKGMAKTVTKQRVESHFDLELRSAIMHDIVDAMPEGTKQNKVRTIEQHLSEAWRCWKANIPWKVPGLPLPVENLILRYVKHKADWWTNITHFNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQYNYLKDGSPVTPDEAVATYRTTQEWLEARRFSPIPFPPLSYKHETKLLILALERLKESCGGERLNQQQREELGLIEQAYDNPHETLARIKRHLFTQRQFKEVGIEFMDLYSYVIPVYEIDPLEKITDAYLDQYLWYEADKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTSDGQCVVMLQTKLEKLFEKVDFTLLNKLLRLIVDPSIADYVTAKNNVDLSYKDMRHTNSYGLIRGLQFSSFVTQFYGLVLDLLLLGLTRANEIAGPPQKPNEFMTYWDTKVETRHPIRLYSRYVDKVHIMLKFTHEEVRDLIQRYLREHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSIFWEMKNRLPRSLTTFEWENSFVSVYSKDNPNLLFSMCGFEIRILPKARMSQEAFSNIGDGVWNLQNEQTKEKTAVAFLRVDEEQIKVFENRVRQILMSSGSATFTKITNKWNTALIGLMTYFREATLHTPELIDLLVKGESKIQTRIKIGLNSKMPSRFPPVVFYTPKELGGLGMLSMSHILIPESDRRYSRQTDVGVTHFRSGMSQEEDLKIPNLYRYIQPWESEFIDSQRVWAEYALKRQESQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLRQNSFWWTHQRHDGKLWNLNNYRTDVIQALGGVESILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHMWQMIHESVVMDLCQVLDQHMDEMGIDIVQKETIHPRKSYKMNSSCADILMFGASKWPMSKPSLVTDSNDMFDQKASKKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNVYSAFGNWFPDSKSLIAKAMNKIIKSNPTLYGLRERIRKGLQLYSSEPTEPNLSSQNYGEIFSNQIIWFIDDTNVYRVTMHKTFEGNLTTKPINGAAFIFNPRTGQLFLKVMHTSVWAGQKRLGQLAKWKTAEEVAALVRSFPVEEQPKQVIVTRKGMLDPIQTTMKDFPNIVIKGSELQLPFQACLKIEKFCDLILKATEPQMNLFNIYDDWLTSISSYTAFSRLILILRALHVNNEKAKMLLKPDKSVVTETHHIWPSLTDDQWMKVEVALKDLILFDYAKKNNLNISALTQSEIRDIILGAEITPPSQQRQQIAEINKQAKEVRQLSAVTTRTTNVHGDELIVTTISPYEQSAFGSKTDWRVRAISATNLHLRVNHIYVNSYDVNETGYTYIMPKNILKRFIRIADLRTQIAGYMYGISPLDNPQVKEIRCVVMVPQRGSHQQVHLPSSLPEHDFLKDFEPLGWMHTQPNELPQLSPQDVTSHARFLENNKQWDREKCIILTCSFTPGSCSLTSYKLTETGYEWGRLNKDSGSNPQGYLPTHYDKVQMLLSDRFLGFYMVPDNGPWNYNFMGVKHTESMKYSVKLGNPKEYYDNEHRPTHYLEFSNMEEDRNFSERDREDCYA >Al_scaffold_0007_275 pep chromosome:v.1.0:7:1152985:1153945:1 gene:Al_scaffold_0007_275 transcript:Al_scaffold_0007_275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MA16] MEAKSKKAGASRLCCLCFKRQFFFLLFLPRISCFWVLAKILSCTIYRECFYEVFEEETHQVIVQNECYIAFYSFDGFFIFLFQYGLPRQIVSYKDMYGWTMDDIVKMIGSKNNCTFLWCIPSTALLKVDKLVAGHNADDIAETVLLNILRGDIALSRCTSITTGEDGPIPRCKPFKYTTKKD >Al_scaffold_0007_2755 pep chromosome:v.1.0:7:13144620:13145554:1 gene:Al_scaffold_0007_2755 transcript:Al_scaffold_0007_2755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MAJ8] VHLRLLDPPAFVGSTPSLGLEAIFQQRRWSTGEIEVLFNKQSPLIGMFRRKIKFRQRLAYFWALMCIRSIPELVYCLLPAYSLLHNSALFPKLLGISKIGFIVAKKTMPDKPTKGEDDGPKSDLRNFEFDSSHHFIPGTFILFVNLAALAIFFVGQQRSSCSHEGGGSGLAEACGCILVVMLFFPFLKGLFEHGKYGIPLCTLSKAAFLTVLFVVFSLGK >Al_scaffold_0007_2765 pep chromosome:v.1.0:7:13193062:13201341:-1 gene:Al_scaffold_0007_2765 transcript:Al_scaffold_0007_2765 gene_biotype:protein_coding transcript_biotype:protein_coding description:pleiotropic drug resistance 2 [Source:Projected from Arabidopsis thaliana (AT4G15230) TAIR;Acc:AT4G15230] MKAISVEGLKNNLQTDYILKILGLDICADTRVGDATRPGISGGQKRRLTTGELVVGPATTLFMDEISNGLDSSTTFQIVSCLQQMAHIAEATILISLLQPAPETFELFDDVILMGEGKIIYHAPRADICRFFEDCGFKCPERKGVADFLQEVMSRKDQEQYWCHKGKPYSYISVDSFINKFKESNLGLLLKEELSKPFDKSQTRKDGRFQVICHGQSFHTNKVTMVSLMIDQLKHLPSIIKGGLLSSSQRPEEATETLRKLKEGIIKSWMRHTAYQNAILNRSHNFRPSYMRLKASMRFSELKAECILAMTATATTMTLEAYETDMISKYLCDNNINSKVVATVAFGMGLDKGDVGALVFNALVTMTVFLQAGATTDSPHGNYLMGSLFTALFRLLADGLPELTLTISRLGVFCKHKDLYFYPAWAYAIPSIILKIPLSVLDSFIWTLLTYYVIGYSPEVKRFFLHFLILSTFNLSCVLMFRAIAAIFHTIVASTITGAISILVLSLFGGFIIPKSSMPAWLGWGFWLSPLSYAEIGLSANEFFSPRWSKLISGNTTAGEQMLDIRGLNFGRHSYWTAFGALVGFVLFFNALYVLALTYQNNPKRSRAMVSHGKYSQRIEEDFKPCPEITSRAKTGKVILPFKPLTVTFQNVQYYIETPQGKTWQLLSDVTGALKPGVLTSLMGVSGAGKTTLLDVLSGRKTRGIIKGEIKVGGYPKFDIHSLNITVEESLKYSAWLRLPYNIDSKTKNELVKEVLETVELENIKDSMVGLPGISGLSTEQRRRLTIAVELVSNPSIIFMDEPTTGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIDIFETFDELILMKNGGQFVYYGPPGQHSSKVIEYFESIPGVPKIQKNCNPATWMLEITCKSAQDKLGIDFAQLYKDSTLYKNNQQDLISIFGSMYTLVIFPGMNNCGAVINFVAAERNVFYRERFARMYSSWAYSFSQVLVEVPYSLLQSVLCTIIVYPMIGYHMSVYKMFWSLYSIFCSLLIFNYCGMLMVALTPNVHMAVTLRSSFFSMLNLFAGFVIPKQKIPKWWIWMYYLSPTSWVLEGLLSSQYGDVDKEITVFGEKKRVSAFLEDYFGYKHDSLVIVAFVLIAYPIIVATLFAFFMTKLNFQKK >Al_scaffold_0007_2767 pep chromosome:v.1.0:7:13223483:13226124:1 gene:Al_scaffold_0007_2767 transcript:Al_scaffold_0007_2767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAW5] MSFLFVIVSVIKFMMLGTNKVMTCFLQEKYGYGGAVGVDKRSTSELQQQGNEAIEGPRRLNLNGGVRIQEPLNVTPLRTAVPSQADDTEKRKATASEKGKGHVTEAAVLKTAHANGLACPPAMEDYYTDPDGPLCLTPRVPIAGRITVVKEIWVI >Al_scaffold_0007_2770 pep chromosome:v.1.0:7:13239700:13242562:1 gene:Al_scaffold_0007_2770 transcript:Al_scaffold_0007_2770 gene_biotype:protein_coding transcript_biotype:protein_coding description:formin 3 [Source:Projected from Arabidopsis thaliana (AT4G15200) TAIR;Acc:AT4G15200] MGRLRLAFLAVSLVVFVCVSEEIFSRDGANLFRFSVYRNSIAEQTWIHQNPRRKLISYPKKFSVSAPNLASGPAPGFAPGPGPSFAPGPAPNPRSYDLVAPASSPNEPPAETPDESSPGPSEEKPSVVAPSQSVPGPPPPPREKKDDVLMKLIIAVASTAVLTFVLVALMFLCCFKRNRNNAVGPRDGPRDEGPLLRLSTGSTENSPTVASTSRKMFSATSSKKRSFLSRVSFKRNGHEFSTAESSSAGLPPLKLPPGRSAAPPPPAPAPPPQPPPPPPPKPQPPPPPKIARPPPAPPKGVAPKRQGHTSSGDASDVDSETGAPKTKLKPFFWDKMANPDQKMVWHEISAGSFQFNEEAMETLFGYNDGNKNKNGQRSTDSSSRESPVQYIQIIDTRKAQNLSILLRALNVTTEEVVDAIKEGNELPVELLQTLLKMAPTSEEELKLRLYSGDLHLLGPAERFLKILVDIPFAFKRIESLLFMISLQEEVSGLKEALATLEVACKKLRNSRLFLKLLEAVLKTGNRMNVGTFRGDAQAFKLDTLLKLSDVKGTDGKTTLLHFVVLEIIRSEGVRALRLQSRSFSSVKTDDSVADSSPQSVERYRSTGLQVVTGLTTELEDVKRAAIIDADGLAATLANLSGSLTNAREFLKTMDEESDFERALAGFIERADADIKWLKEEEERIMVLVKSSADYFHGKSAKNEGLRLFAIVRDFLIMLEKVCREVKETTKTKNHSGKKETDTMSSDSNQPSPDIRQRLFPAIAERRIDSSDDSDDEEDSSPS >Al_scaffold_0007_2777 pep chromosome:v.1.0:7:13278505:13279089:1 gene:Al_scaffold_0007_2777 transcript:Al_scaffold_0007_2777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAX3] MVNQRYLQEEEEEEEKENYPLITTKVVEYLQPVMCRELLCKFPDNSAFGFDYTQSSLWSPLLPRNYASPSDLDSDSCVCRNLKLGEFQVGKKKKMKMSMKKKNKKKSKLLKLDITSMKNDDDSPKIGCFPIHTKGWNGVLKAASKHFKKPKKKRDSVADAKLLDFKC >Al_scaffold_0007_2784 pep chromosome:v.1.0:7:13360382:13360800:-1 gene:Al_scaffold_0007_2784 transcript:Al_scaffold_0007_2784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAY2] MGHYATVWDQKEASEIIKDWNGVDQVLLRNPHGASAKISLHGGQVISWRNEQGEELLFTSNKAIFKPPKSMRGGIQICYPQV >Al_scaffold_0007_2789 pep chromosome:v.1.0:7:13396390:13409476:1 gene:Al_scaffold_0007_2789 transcript:Al_scaffold_0007_2789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAY8] MEVRGPVIWMFLMCCIFGNIIVSHHNDFVEAKSFSKSEDLEIEKRLKTINKPAVKIIKTIDGERYGCVDFFKQPAFDHPSMKNHTYHYKMRPIWKGMRERKTNNTGFGYLWENGVGCPIGTVPIQRVTKDDLLRFDSFGDNHKPRGSWNTTTYDPNSPLHPDQHHYAVARTFAPDKRFNGATMELCITAPAVKPTQFSASRLHIQLGSDFIQTGFTVNPELYKDSQPRTYVYANSGGKSCYNSNCDVGMILVRQDFPLGLALKPVSVRGAKKSEYGIFGLIKDEKNGNWWLQFGDAAEEIGFWPSSRFHQSSGNLVEWGGEVYSASLPSPEMGYGYFLAHDMVYDAYIKRISVLDGFNNIDRRVEYTEKYVDNTHGYQVMDDYKNSKYPKAGHIIFFGGPGNI >Al_scaffold_0007_2790 pep chromosome:v.1.0:7:13409630:13410527:1 gene:Al_scaffold_0007_2790 transcript:Al_scaffold_0007_2790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAY9] MALTYQDTKTKTDAFLEGKFSESKTSLARETDALYLPQDFTSSNGLNRKGSKSPRQIISSYSPSEKQFVTFTLAPVDVDCVFRCNSQGRMELTSLGYPTVQNETMNTKTTPE >Al_scaffold_0007_2791 pep chromosome:v.1.0:7:13412477:13414336:1 gene:Al_scaffold_0007_2791 transcript:Al_scaffold_0007_2791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAZ0] MEVRGPVMWMFLMSCIFGHIITSHNNVFVEAKSFSKFEDLEIEKRLKSINKPAVKIIKTIDGERYGCVDFFKQPAFDHPSMQNHTYHYKMRPIWKGMRERRTNNTGFGYLWENGVGCPIGTVPIQRVTKDDLLRLDSFGDNHKPRGSWNTTTDNSNSVLHNDKHHYAVARSLDPTQRFNGATMELCITAPKVKPAQFSASRLHIQIGKDFIQTGFTVNPALYKDSQPRTFVYTNSGGKSCYNSNCNVGMLLVRQDFPLGTALLPVSIRGAKISHYGIFGLIKDEKNGNWWLQFGDNAEEVGFWPSSRFHQSSGNYVEWGGEVYSAYLPSPEMGYGHYPVEDMRYDAYIKRITVLDGFNYIDRRVAYLEEFADNDRGYTVIDDYSTHKYPKAGHIIFFGGPGNI >Al_scaffold_0007_2792 pep chromosome:v.1.0:7:13416586:13419536:1 gene:Al_scaffold_0007_2792 transcript:Al_scaffold_0007_2792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAZ1] MASLGLMLCLFSVLLLSLCQIPTAIEDERKASHFCFVCSVEHSLVRSYGRSFNGFAAKLTESERDKLMGMEGVVSVFPNTVYKLLTTRSYEFMGLGDKSNHVPKVESNIIVGVIDGGIWPESKSFSDEGIGPIPKKWKGTCAGGTNFTCNRKVIGARHYVQNSARDKEPHGSHTASTAAGNKVKGVSVNGVVKGTARGAVPLGRIAIYRVCEPAGCNADGMLAAFDDAIADGVDVITISIGGGVTKVDIDPIAIGSFHAMLKGIVTTAAVGNDGSKPGKASNLAPWIISVAAGSTDRKFVTNVVNGEGKTIPGRSINDFDLKGKKYPLAYGKTASSNCTEELARGCASGCLNTVKGKIVVCDVPNNVMEQKAGGAVGTILHVTDVDTPGLGPIAVATLDDSNYEAFRSYVLSSPNPQGTILKSGTVKDNDAPIVASFSSRGPNTLFSDILKPDITAPGVNILAAYTPLAQTALPGQSVDYYFMTGTSMACPHVAGVAAYVKTLRPDWSASAVKSAIMTTAWAMNVSKNADAEFAYGSGYVNPSVAVEPGLVYEIAKEDYLNMLCSLDYSSKGISTLAGGSFTCSEQSKLTMRNLNYPAMTAKVSGSSSSDITFSRTVTNVGEKGSTYKQNCLGIQNSASRLSQRLSISSHRGRRSLSLLQSLARVLLVFLVLCRRLLFGRMDYAM >Al_scaffold_0007_2794 pep chromosome:v.1.0:7:13424327:13426539:-1 gene:Al_scaffold_0007_2794 transcript:Al_scaffold_0007_2794 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Folate-sensitive fragile site protein Fra10Ac1 (InterPro:IPR019129); Has 8455 Blast hits to 5700 proteins in 376 species: Archae - 6; Bacteria - 264; Metazoa - 3820; Fungi - 744; Plants - 645; Viruses - 76; Other Eukaryot /.../900 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G15030) TAIR;Acc:AT4G15030] MTSSAAIRSDIYDREERKQQYQAHIRGLNAYERHKKFLKDYVRFYGKDKPAEVKLPVKTDQDTLREGYRFIRSEEDDFNPSWEQRLVKRYYDKLFKEYCIADMSRYKTGKMGLRWRTEKEVMTGKGQFLCGSKHCDEKEGLASYEVNFSYHEAGEDKQALVKLVACKRCAEKLYYKKRKEGERSESKEKRKQKRKRSQSHSEDDTDEEDRRKGKTGKSKLEGGDREGKDDENFDEYMEGMFPGKG >Al_scaffold_0007_2796 pep chromosome:v.1.0:7:13430246:13430458:-1 gene:Al_scaffold_0007_2796 transcript:Al_scaffold_0007_2796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MAZ4] VINQKKLPNLFLRTLRRAGSISPSLQSSILLRFPPPFVGNLHLRLIPASSSPEPKQFYRNFITFSETDSS >Al_scaffold_0007_2801 pep chromosome:v.1.0:7:13454819:13456874:-1 gene:Al_scaffold_0007_2801 transcript:Al_scaffold_0007_2801 gene_biotype:protein_coding transcript_biotype:protein_coding description:membrane-associated progesterone binding protein 4 [Source:Projected from Arabidopsis thaliana (AT4G14965) TAIR;Acc:AT4G14965] MIPARSFLLSPFVGVTLIAVLVAFYFRTSLKSPQHQIQKRLFSAEELALYNGTDATLPILLGILGSVFDVTKGKSHYGSGGGYNHFAGRDASRAFVSGNFTGDGLTDSLQGLSSSEVKSIVDWRGFYSRTYSPVGKLVGRFYDSQGNPTKHLKGAEAKASRGAQLMEKQKTEEAKQPSCNSRWSQDEGGEVWCDVGVPRLVQRPLEIAITGSMSKRCACFEEDQLDQSGLEIYKDCEPHAKTCRV >Al_scaffold_0007_2804 pep chromosome:v.1.0:7:13479062:13481307:-1 gene:Al_scaffold_0007_2804 transcript:Al_scaffold_0007_2804 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family [Source:Projected from Arabidopsis thaliana (AT4G14950) TAIR;Acc:AT4G14950] MGSAAVASSSSDVAISALREKHEKEVENLTLTTQPLNTLKLFVEATIQYIKRSISYLVAHGGWFILITTLLVASGGLLVTVDGPHGKHVEEVLEYVRYGLWWIALGVASSIGLGSGLHTFVLYLGPHIALFTLKATLCGRVDLKSAPYDTIQLKRVPSWLDKSCSEFGPPLMISAAGSRVPLTSILPQVQLEAILWGIGTALGELPPYFISRAASISGSTVDGMEELDGSSSEDSGFMATYLNRIKRWLLTHSQHLNFFTVLVLASVPNPLFDLAGIMCGQFGIPFWEFFLATLIGKAIIKTHIQTIFIICVCNNQLLDWMENELIWILSHVPGLASMLPGLTAKLHAMKEKYIDAPSPVPSHIKVVKKWDFSFASIWNGIVWLMLLNFFVKIVTATAQRHLKKKQEKEMAALTHSD >Al_scaffold_0007_2822 pep chromosome:v.1.0:7:13563831:13564458:-1 gene:Al_scaffold_0007_2822 transcript:Al_scaffold_0007_2822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14815) TAIR;Acc:AT4G14815] MKPRMCLMLFIALMAVMSTVSAQSSCTNVLISMAPCLGYITQNTSTPSQQCCSQLAHVVRYSSECLCEVLDGGGSQLGINVNETQALALPKACHVETPPASRCNSGSSVNSHTEHGNESKTVPGEKSSSNGSIKFSFPLLAILFTASYIIIFAKY >Al_scaffold_0007_2825 pep chromosome:v.1.0:7:13569351:13572919:1 gene:Al_scaffold_0007_2825 transcript:Al_scaffold_0007_2825 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase, mitochondrial (SUV3) [Source:Projected from Arabidopsis thaliana (AT4G14790) TAIR;Acc:AT4G14790] MAYSVLRLRKVSALGISRVLQADQGSLWRFYFEPEFGEFLRLGVLTRNYRKNSGSPKFDFTGTGTTSKFDFTDLTCPHTWYPIARKKKRKVILHVGPTNSGKTYSALKHLEQSSSGVYCGPLRLLAWEVAKRLNKANVPCDLITGQEKDLVEGATHKAVTVEMADVTSVYDCAIIDEIQMVGCTQRGFAFTRALLGIAADELHLCGDPAVVPLVEDILKVTGDDVEVRTYERLSPLVPLKVHVSSVSSIKTGDCLVTFSRKDIYAYKKIIERAGNHLCSVVYGSLPPETRTAQATRFNDETNDFDVLVASDAIGMGLNLNISRIIFSTLQKFDGSETRDLTVSEIKQIAGRAGRFRSKFPIGEVTCLHKEDLPLLHSSLKSPSPLLERAGLFPTFDLLSRYSQAHPKHGLYQILEHFVENAKLSSNYFISNVEDMMKVAAIVDELPLGLQEKYLFVVSPVDINDEISGQGLAQFAHNFSKAGVVRLREILAADRVKVPKTPTELKELESIHKVLDLYVWLSLRLEDSFPDREVAASQKSICNILIEQFLEGNRLNSPTRVSRYLRKQKF >Al_scaffold_0007_2829 pep chromosome:v.1.0:7:13623448:13625588:-1 gene:Al_scaffold_0007_2829 transcript:Al_scaffold_0007_2829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MBC4] MNGTISELDKNMRNIMDALESKFESLVTKRLSDVDVKEMKESKPEFATSNNNEDDEANSKSPSWVVEENPTSHDGLPIQRVVKKVYTVRNKKKKEGELSDDLILFEKKEGTKAERKNYQKAALKLEATKTAVKGCSKPALAVAVTKAAKKGGSKAVVKEVAAKNLGFKASKIGGEKATVKDEAAKKDGSKAANKSATMAGNKMKKKSITQEDDVLDVTDQVKDDALKMVSSSEDTFSDPGQQHTNKVLNATLIAMVEKIKNLDEGIIVGRRVPQLAGSQKYPYVGNSIVKRIITDGEPSSSIPDHLKPASDEKVHQLFDFLEEDEEDDLIQTSNPDTRFYWQILTLREQWPHEKYGWLKNYHMGAAMAMFCKRLMREPSAYPNQRITFLDQYMLRELAKDYKHFSKGQMIPAMMSAMVPEEIRKKSNARLEVKRITKKVPLNKDPGDCAIYTIKYIECLALGTSFDGLCNENMKAIRIKLAAELYDEVRETARPYELDMCREKAKSLNSKTLSSFFYFISCHLGFVGSSCFFVSQT >Al_scaffold_0007_2831 pep chromosome:v.1.0:7:13632724:13636529:1 gene:Al_scaffold_0007_2831 transcript:Al_scaffold_0007_2831 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 702, subfamily A, polypeptide 3 [Source:Projected from Arabidopsis thaliana (AT4G15310) TAIR;Acc:AT4G15310] MLDFYWTFPVIVSLIVGVVKLCHWIYRWKTPTCKGKLPPGTMGFPIIGETFEFMTPYDFHLVISPFLKKKISRYGSKMFSTSLFGAKVIISIDPEVNMEMAKANSQLGATESLRRLFGENSDFFQRKDIHKYVRNLTSRFVGPENLKNRLIQDIDCLTRNYFEIENGAMSTSFDVKEAATQMVVDLIVKKVIGGMESEAVKELGLCWTAFRPNWFNFSYNFPGTTVYRFVMARKRAAKLLKGLIQKKKESKQGLGDFLDILFDEMEKDGAVLDIDRAVNLIFTFFILSQETTPAIVAATVKLVADNPDVMEELKREHEAVVQNRVDKEAGITWEEYKSMTFTHMVIKESLRFTSAQPTVHRIPTEDVQIGVHFDEKKYDDPLKFNPWRWKGQDLHGILSKDYMPFGAGSTLCVGSEFAKFIIAIFLHHLSRFRWSLDPKTRVLRRYMLMFPTGCKVEITKEHEGTK >Al_scaffold_0007_2842 pep chromosome:v.1.0:7:13725281:13727720:1 gene:Al_scaffold_0007_2842 transcript:Al_scaffold_0007_2842 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY domain/Divergent CCT motif family protein [Source:Projected from Arabidopsis thaliana (AT4G14720) TAIR;Acc:AT4G14720] MDVGVSPAKSILEKPLKLLTEEDISQLTREDCRKFLKEKGMRRPSWNKSQAIQQVLSLKALYEPGDDSGAGILRKILVSQPSNPPRVSTTLIEPSNELEACGKILEDDGSCHRRDSPRSAEFSGNSGQFVADKDGHKPVSPSRSPAETSAPVGQMTIFYSGKVNVYDGVPPKKARSIMHFAANPIDLPENGIFASSRMISKPMSKEKMVEPPQYGLEKTAASRDSDVEGQANRKVSLQRYLEKRKDRRFSKTKKAPGVASSSLEMFLNRQPRMNAAYSQNLSGTGLCESPENQTKSPNLSVDLNSDLNSEGMNKHLLNGSNDLP >Al_scaffold_0007_2852 pep chromosome:v.1.0:7:13779652:13779927:1 gene:Al_scaffold_0007_2852 transcript:Al_scaffold_0007_2852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGY2] MVLKLNFKRNVWEEKKDLGGLTVFASFPSSFARAGLSTEQRNKICLSYRDKYGRYDVSYSVGDEKSSYPPPETVLSKSIVWVEPPHNYVNL >Al_scaffold_0007_2855 pep chromosome:v.1.0:7:13823992:13824324:1 gene:Al_scaffold_0007_2855 transcript:Al_scaffold_0007_2855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGY7] MDQLTQTHTVITTESPGTPPNRAATSRTTSYKSLEAEKLRLSSDLKDPIIEIKGEIYELMIGVRQIDAKTSTRTRITSVEAPPVQLIEETTRIKELRAYRVLQRVIEMNA >Al_scaffold_0007_2861 pep chromosome:v.1.0:7:13908834:13909597:-1 gene:Al_scaffold_0007_2861 transcript:Al_scaffold_0007_2861 gene_biotype:protein_coding transcript_biotype:protein_coding description:germin-like protein 9 [Source:Projected from Arabidopsis thaliana (AT4G14630) TAIR;Acc:AT4G14630] MAMKSLSFLAALSLLALTFPLVFASDPSPLQDFCVGVNTPVDGVFVNGKFCKDPRIVSADDFFFSGLNRAGNTNNAVGSNVTTVNVNNLGGLNTLGISLVRIDYAPNGQNPPHTHPRATEILVVQQGTLLVGFISSNQDGNRLFAKTLNVGDVFVFPEGLIHFQFNLGGIPAVAIASLSSQNAGVITIANTIFGSKPDIDPNVLARAFQMDPNAVRNLQARF >Al_scaffold_0007_2862 pep chromosome:v.1.0:7:13920532:13921695:1 gene:Al_scaffold_0007_2862 transcript:Al_scaffold_0007_2862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF506) [Source:Projected from Arabidopsis thaliana (AT4G14620) TAIR;Acc:AT4G14620] MMKIQPINNDFPANRVESSTKPVLKSRLKRLLDRPFTRISNSEKSLIAGDGVVAGTEFEPSLAKMVQNYMEENNDKQTKNGGRNNHRCNCFNVNNDISDDELDIFDYDHFKSLIQCGSFVEKNLLVEASKIIEKNKSVKRKDELRKIVVDELLFLGYDSSICKSKWDKSRSIPAGEYEYIDVIVNGERFLIDVDFRSEFEIARQTSCYKELLQSLPLIFVGKSDRIRQIVSMVSEAAKQSLKKKDMHFPPWRKADYMRAKWLSSYTRDSGKKPMVTITATAVAEPELIFEEKFLSPPLKSSSSITSGGKDDDDVAEPVKREAKVVTGLALLFKENP >Al_scaffold_0007_2897 pep chromosome:v.1.0:7:14143781:14144500:-1 gene:Al_scaffold_0007_2897 transcript:Al_scaffold_0007_2897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MH27] MVKLIIFLVVFILLFATQFSNAEGLESLVEAPAIHKMKREGSLRKEECPMACEFRCSVTSHKKSCLFFCNKCCNKCLCVPSGTYGHKEECACYDKWRTKKGGPKCP >Al_scaffold_0007_2907 pep chromosome:v.1.0:7:14184453:14184644:-1 gene:Al_scaffold_0007_2907 transcript:Al_scaffold_0007_2907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MH36] MNNIMANEYGFGMGSVLAIVVVALMLLILPLMMGPVTAPSPLLILMFPVVLLFVFLYLHFTSK >Al_scaffold_0007_2909 pep chromosome:v.1.0:7:14189227:14190538:1 gene:Al_scaffold_0007_2909 transcript:Al_scaffold_0007_2909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein [Source:Projected from Arabidopsis thaliana (AT4G14305) TAIR;Acc:AT4G14305] MSDLAKDAWRKYLIQLQAHPLRTKAITAGVLTGCSDAIAQKISGVKRIQFRRLLLLMLYGFAYGGPFGHFFHKLMDTIFKGKKGNSTVAKKVLLEQLTSSPWNNFLFMSYYGLVVEGRPWKLVKQKVGKDYPTIQLTAWKFWPIVGWVNYQYVPLQFRVLFSSFVASCWSIFLNLKARSPAIKNA >Al_scaffold_0007_2912 pep chromosome:v.1.0:7:14201636:14205689:1 gene:Al_scaffold_0007_2912 transcript:Al_scaffold_0007_2912 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14290) TAIR;Acc:AT4G14290] MEQLVNFIIRPPRAEYNPEHDLLEQEFLLKGRWYQRKDLEVKNIRGDILQCSHYMPVERPEDRPLPCVIYCHGNSGCRADASEAAIVLLPSNITIFTLDFSGSGLSGGEYVTLGWNEKDDLKAVVEYLRTDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAAMVLDSPFSDLVDLMMELVDTYKFRLPKFTIKFAIQYMRRAVQKKANFNITDLNTIKVAKSCFVPVLFGHAIDDDFIQPHHSERIYEAYIGDKNIIKFEGDHNSPRPPFYFDSINIFFHNVLQPPEVVKPTFFDPMDDYFSKGSWNTMQELSSPQSSAQKSLVAGSTSDAINEVRLKRPMSRTEVPSNDPSNQSSSETKEKENNEVSSSSSSDMISFDLSNGDPYPPHLAVALDDDQFVEFHVDELADFPSNAEEEERMLMEAVMKSLKDMEVETHQNKEPSNTNTENTEGNAASSTTEQELAHSETAPAPGPTPCNHDARSSSEASVPFKTSDSLPGPVNVFVPGASPKTSQNKNDVIDLSARTKATVTVVGRSSTSGNVLDGLLRRWDLNFFKGR >Al_scaffold_0007_2919 pep chromosome:v.1.0:7:14240875:14266837:1 gene:Al_scaffold_0007_2919 transcript:Al_scaffold_0007_2919 gene_biotype:protein_coding transcript_biotype:protein_coding description:structural constituent of ribosome [Source:Projected from Arabidopsis thaliana (AT4G14250) TAIR;Acc:AT4G14250] MESATSANQLQLISSFLDITVGQSVEIALQFLKATNWHLEDAINLFLIARRNPNPEQVPLPLPSMMDTLYDSSMRHNTSVAVSPEDIWDSTSEESESYSRLSSLYRPPPSLFFHGSFEDAKATSSREDLWLLVYDHTNEGQKISTFYKIDFAPPVVLLIDPVTGQKMRMWSGMIEPQGFLEDLMKYMDAGPHEHVASLSNKRIKTEKISFPSNNADDQVDMATFWGDDIEEEKTVAKDEKEENLVVAPSWGQEFEDIKTLSDHEEETCLSSDLFEFPVLTEEPKEDCDRSIVCSLCVRFPDGRRKQRKFLKSEPIQLLWSFCYSHMEESEKKAFRLVQAIPGASKTLDYGANVTFDHSGLANSMISDADSTTSGTSDDADSEESESRLSSSFPPPLYILQEVNLQSRTELASHTLNRDVWAKDAVSRNIESSCIVWQVYDDTNEGQKVSSFYKIESAPPVVFVINPITGQKMRMWSGVIEPDSFVEDLMMFRDAGPHENIASLTRNRRTETTETCSLSNNIYYETPPPSWGEEFEKEDTCSSRNNNNQVVAPWEQEFEDQDRGETWSSRSDTDDFVPPSIADEYEDSDEVKEEEETCLVFPVLAEEPNGDCDRSFVCSLCVRFPDGRRKQRRFLKSERIQLLWSFCYSLMDESEKRSFKLVQAIPGASKTLDYEADTTFDQSGLANSMISVTWE >Al_scaffold_0007_2922 pep chromosome:v.1.0:7:14277344:14278099:1 gene:Al_scaffold_0007_2922 transcript:Al_scaffold_0007_2922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MH52] MEIIRVKKIEKARIMKKKKKIPNLTINRLLVSIKVVGSTGPIRFVVNEDETVTNVIDITLRCYARQGRLPLFSSHSTSFFLLYSPYSPNQPLNPCTRIGSTGSMNFIMCKNSEAENLEVSLDRTGKIIGKWRAWLKNSLALMLPLLKQISHGQSTTSTKSCLFYS >Al_scaffold_0007_293 pep chromosome:v.1.0:7:1269325:1271328:-1 gene:Al_scaffold_0007_293 transcript:Al_scaffold_0007_293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37480) TAIR;Acc:AT4G37480] MTILAGLIRRRFSRLVPVTIPFQLNYGFVRSQSTRLLSGEAESNRNEFPVENAYVILNVSETSSIAEIKASFRRLAKETHPDLIESKKDPSNSIRFVQILAAYEILSDSAKRAHYDRYLLSRRMVMTKQCRQGYMIHRYKTRLTLSQEMEVVEWLKWYREAIHDIVLEKRVANGTGYLDELEEDFYSAIRAAYFGPDVESVELLPDCFEAEERSVCDTREVLHLVSGRDLFGMVCLVDNFLELSSACSKKLALSSFMDSDLGQALEKGNYDTMSDERIGLQISHIQSSRSKNHVLDAYKDIQLHVSGRVVATAIRIPPKGYFEKKQIEGDHDLIHVFLNSDERSKHETETLPGNESGARLLVGTISGLGTSPDEGSCNVYDGNGAKTHVIMKHRTFLVCDSYDLAFLFMYLLQITTYAVERNYHQASFGCLSLVVVCMMSEDGMLKLMGKIKRAGRSWHKDFGMDWKRGVDWMGKLIIHMKRKQLKIFYWL >Al_scaffold_0007_2937 pep chromosome:v.1.0:7:14369016:14370376:-1 gene:Al_scaffold_0007_2937 transcript:Al_scaffold_0007_2937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MH70] MAESDHILGKKMRFSSSSVRYTTSQNLMASKLRTQSNRSSVRFASSVSHIVTDLRHHHASSLTGKLETKNPRSCSRVSVKNLCLRRVFSPSSISNDWDFHMKGENQTKELNVESPQTTPPESEMFTGEPSGSINQEINGVSGKKVGTNLGSKVIRQPEKIFKNPGSVSYRRMLPYLMEAADGTRDADANDLKNQRGESMATKNRLLETEPVWQCTNNFVKPSAQSLHGNISPFKTMTSSNGTKISLCSKRKLFKVPGSVNYRRMLSYLKENSEGNPVTPETSNRTEETAPATETKGASSEARDLNTCSNDVEAPLSDLEERTRSSNFC >Al_scaffold_0007_2939 pep chromosome:v.1.0:7:14373338:14374021:-1 gene:Al_scaffold_0007_2939 transcript:Al_scaffold_0007_2939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MH72] IRDGVDPVRIIPWIHNVLERGVSDLYLHLNLESEFLLPSKVYLSKTLVRLKIYFGQYPTIDVEDVHLPKLKTLYIESSHFEKHGIGLTKFLSGCSMLEDLVLEDISWFFWDFASVSVPTLKRLTFCWEERYDFPKSVSIDTPNLVYLKFTDTVAGKYPKVNFDSLVEAHIDLHLLKPLLIQYHQGYGENDMVGNATDFIMRLCNVKTLYLSANTLQVCFHYSHLSFF >Al_scaffold_0007_2953 pep chromosome:v.1.0:7:14476211:14479427:-1 gene:Al_scaffold_0007_2953 transcript:Al_scaffold_0007_2953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MH87] MELQGKKEIEVVPIFYGVNPSDVRNQRGNFALERYQGLEMADTVLGWREALTRIANRKGKDSTQCEDEATMIEDIVRRISSRLLSMLPIDFGDIVGMKTHVEGLSPLLNMDANDEVRMIEIWGMGGIGKTTIAKYIYEQYKHRFSPHFCFIPNVRKISSKHGLLYLQEKLISNILGEEHVKLWSVEQGAHCIKSRLGHLKVFIVLDDVDDVNQLYALAKEAKWFGLGSRIIVTTRDKSLLNNFCGVRIFVYDVKCMDNDNAIKLFEQVAFEGGHPPSHVYKDLSNRVSRLAQGLPLALEAFGFYLHGKSLMEWKDGLKSFEEAPYENIMSILKISYDNLDELGKTAFLHVACLFNGDPVLRVTTLLDCGRFGIRDLVEKSLIDISTDGCIAMHGLVEQTGRHIVCQESGNRPAKQRILWHPDDIYRVLANYAGTRKIEGVALDVCVLPYSFHIEWNALEPMYNLKFLKIYKHSKGSESRIRRNLEENPIVSRKLRLLHWDAYSYTTLPSKVSPDCLVELNLCYSKLTSLWSGVPRLLHLRRLDLTGCEDLKELPDLHEAVCLEELILEGCISLQRIPKSIWGLSRVKKLDVSNCDGLKNLRIILRESESTVFQSSISGMCLHVRLIHMEVLDPTPYEFEGISIPNLSINGEIKIKLELLEGYAEHLCFLSEQEIPHELMMLENQTPKLMSSPYNFKSLDIMRFICSERSNLFKCYSFSDFPWLRDLNLINLNIEEIPDDIHHMMVLEKLDLSGNGFRVLPTTMILLTNLKHLTLCNCCRLETLPDLYQLETLTLSDCTNLQALVNLSDAQQDQSRYCLVELWLDNCKNVQSLSDQLTRFKSLTYLDISRHDFETVPTSIKDLPLLVTLCLNYCKKLKSLKEVLPLSLKYLYAHGCKSLDAFIEYHVHHRDLSPCLQWKQDSSQITRFPAGRRSEEVTIFCYQFFYALAKFFLFPFIGTDMCLLPGF >Al_scaffold_0007_2962 pep chromosome:v.1.0:7:14533032:14539265:-1 gene:Al_scaffold_0007_2962 transcript:Al_scaffold_0007_2962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MH96] MAIQKFSYFLLLLLMVFALILPSIISVEVIPCIPGSKCTNDMTCNELCRFKGFNKGGFCQKYVHKTTGQCCCHPGFESQEYSTTGDTNVVITN >Al_scaffold_0007_2968 pep chromosome:v.1.0:7:14587901:14588421:1 gene:Al_scaffold_0007_2968 transcript:Al_scaffold_0007_2968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHA6] MNFVVVKSMRPPINIGGRLYGQGSSSQAVGSQRPLSQTANAESSEQREEIAPVQYDIRVLHPSRRNGAKWFKNNTEVSTRVRKIIEECFQGPWYSWKKVPQFYRDA >Al_scaffold_0007_2969 pep chromosome:v.1.0:7:14596573:14597694:1 gene:Al_scaffold_0007_2969 transcript:Al_scaffold_0007_2969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHA7] MDVARIKLLRNKRLVVVKQMRRDIAVLLQSGQDATARIRTEVNRSDYDNVIGALPYLKVNRKAT >Al_scaffold_0007_2972 pep chromosome:v.1.0:7:14609428:14609823:1 gene:Al_scaffold_0007_2972 transcript:Al_scaffold_0007_2972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHB0] MSWVWLLAGLVFVAAILLIFVMVAILVIRPKFPDFSIHNAELSSFNLDLDLKKIKLKSENGAAQVFFNNHLISKQFVEPFNVTTQEKKLETLTFISNVMRLSACKRQCVASSTASASEQQA >Al_scaffold_0007_2977 pep chromosome:v.1.0:7:14674453:14683067:-1 gene:Al_scaffold_0007_2977 transcript:Al_scaffold_0007_2977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHB6] MLHLQVTSLKRGMWDSIYDNLQMTSLSDTTNTGSGNQVGEINPSENSELSKTQHVMPPKHSNTVEEIIRRLSLYFEHDLSGEKHIGIFRKLQTCEVLLAEQFQVQDFESLGWGGFFTFLEKHMLLLPTQLQRFLSRELLEEFPLEVHVNENLLTLLLSQASEFSGGNVISRQTVNRLLAEQFPSINFKVVGRNSEENFTEIIGKEKSCSKCVLFSATLSGAENSLTSKYLEESLTVGNDTEARGSTLNAVASKEVLDVLLRVPLLSDLNSWCHWDLRYAPQFGPLLGCLNEINSKDLLCLVTRDGKIIRTDPSATADSFLEAALQGSAYCTAAQLLSLISLNGRTHLPFSLLKCYAKRAFEVFFDNHSEEMELNNRNSLVQMHRPEQLSTSLDKMIVVGEKTKAAKSDYAASKFLLDCLGYLPGEFRSLVVDILLPGLRSVVKDAPTRVLSACKQTEQRIMLHDAGLLLGIVEWISDYHMFCSSCSPNSSIVESASSNLDSVAGSVQKELEDPIQTEQRCMIVSEKACQDKKEPHESCHTFGDSGTLCDSVGEAFTQTAPEFHDNPASVIDSIRRDEFGLDLTSSGSEMSMLQKQHARLGRALQCLSQELYSQDSHFILELVQNADDNKYPAHVEPTLTFILQKTGIVVLNNECGFMPENIRALCDVGQSTKKGSGGYIGKKGIGFKSVFRVSDAPEIHSNGFHFKFDISEGQIGYILPTVVPPQDIESLSSMLSGRALHLKDAGWNTCITLPFRSIDSEGTTVNHIEPMFSDLHPSLLLFLHRLQCIVYRNVLEDSLLVMRKEVVSNNIVKVSCGENSMIWFVASEKLKAANLRDDVQTTEISIGFTLDMLEDGTYRSCMIQEPVFAFLPLRTYGLKFIIQGDFILTSSREDVDEDSPWNQWLLSEFPGLFVDALRSFCSLPSFTHNLGKAVSSYMQLVPLAGEVHGFFSSLPRSIISRLRTTNCLLLEGDGEEWVPPCKVLRNWNEKIRVLLKDGLLQEHLALGFLDKDIVLSDSLSRALSIEDYGPKTLVQILSSLSHKNGCLQSMGFTWLSSILTELYILFRSSGHGNVELGIDKTLIDDLHRIPFIPLSNGKFTALDEGAVWLHHDTTGLDLGDVFEAFPVLYGNLRTIDHSLLLASSVDEKSSVDDLVNMLCAIGVQKLSAHEIIKVHILPAFEARSTDTVEGLMVDYLCFVMTHLRSSCHICHNERNYIISELRSKALVLSNYGLKQLGEGSIHFGEEFGNQVNMKKLTKNLDISWHVVDGTYLKHPASKFYACGLKEWREFFQEIGIADFVQVVQVEKTIAEFYSVSRCEKYDINLLSPELTVKDWESPELVNLLSLLHKSNGRKGCKYLMEVLDRLWDECYHEKTTVNYNSGTHGVIRSSESSFMRVICDSQWIVSSMDSELHLAKGLYHDCDDVQSILGMNAPYAVPKVTSVKLLSDIGFKTKVCLDDALEILEAWVHCGDNFKSSISQITRFYKYMWNEMADSKQKITEKLHTIPSVFVPHGNGSRQNDMVSGIFLSLDDVYWNDSAGVLDEIKEISSQISSVVEPLRRKSLCNIYPVLHDFFVNGCGVPETPSFQEYLKILGQFAHNVSPSCAAKAVFKIFLKWSDDLNSGKSSEDVVHFKERLSELEYTVLPTENDKWVSLHSSFGLVCWCDDEKLKKRFKKKDNIEFINFGENDDEEQEVLQTKVSGLMHSLGIPSISEVVKREAKYEGLQDNTVTVSLVNWALPYAQRYIFTLHHEKYTQTKKTVHSQVKRLQVFVVDKLCYRNVIPQHGISSNREFKCSALLQDKALYTTPCLDSHSMFMELSRLFFNGVPDLHLANFLHLIKTMAESGLSEEQMDSFILNSQKVHQIPDGEKIWSLKSAVKAKKKAGIRLSWLPSSSKTGRGSSKTNTDDSKQELASSSSKEYVTEALEEKIPTETDTNLVSGYDNCAGTSSRASEPNPLHSMHMISGSTSGNQAAIHLNPNLPHEWNNSFTANFSDRDQLHTGTPWAAQAQQTGRKGEEIAYRYFVAKYGNEALVRWVNDQSETGLPYDLLIENRGGKKEYVEVKATVSTRKDYFNLTVREWQFASEKGENYIIAHVLLGNSNAILTQHKNPVKLCQEGHLRLLILMPNQRNEVNVSF >Al_scaffold_0007_2978 pep chromosome:v.1.0:7:14684830:14687427:-1 gene:Al_scaffold_0007_2978 transcript:Al_scaffold_0007_2978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHB7] MQGNHDGSWPLNASTNSGVRGSRRGNANININTVPGGGYLHQTNPVFPNFNQPIGYSLPQFPANFYRPNFPDFSLGNPNFQPHQNLNFHHQQIPHQFGSAANLFLQNHTQSSFSFPPQSLPNNDISISQNHGAFESSSLKRRRQEEEDVVVREVPKSNFVSGESANNSFSVSLPIPIATDDSGVSRVHGEKSSAKPKRKVEVLRIDKAVNKTRKSVVAAGESVSSTRVSRAVLEELQADSWRSLGVQMQDVPSLRQLMAIEGKINAFIHCFVGARRIVTLHDLEVAICRNEFVDSFDDLELGPLLQHPLVLLYFPSISSSTGPVQITSEEIISFLDSYLHTYMTEDVKLDEFLNFVASQKSVTSKEKLGVRIQSLRMYVSFILDAKRQEGETLKVLLTELHQKYQIPSSKKQRQDKPLTVSERADSFALHHKDYCGKHIRFDSSSSDDNDSCYEVRNKNSSDHFNTCPYPSVAEEMKRLGGSNKKRKGEKRNHEKSDSSKLLRKSPSKLQGHAKQEIPRLTDDSDAKKVFSVDEADFTLSEGDLRLFISTWKDTCKELSISTFVEKMLSFYNLGGSEGRAQIKRAKAMSSFPFVGLLNVAVSGHTTFV >Al_scaffold_0007_2983 pep chromosome:v.1.0:7:14720071:14721490:-1 gene:Al_scaffold_0007_2983 transcript:Al_scaffold_0007_2983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHC2] MGERTNVNNLDHADDECRICLESLQVDNRTRTPVKLRCGHLYHLDCIGSAFNERNKMLCPTCKRVEQGNWRFARSSPSQGFNAPSVQPVMGGLPVPVLRGLMFPSAGRQLGSRVPNQGFNVPFVQPLMNGPAPPVCRGSFLSSAGRQHRRTPSQDFDISVVRPLVEGLPAADPGDPMLTSVERELGRVLPDPHRSTSSPWSNQSRRGPMTSVERQLGRVLPTPPMSTSSARSNVSVSESSLDLTRQQQIARNISRTIAAKEPRKHRTMYGYDIRSSGGEGGSYSSGSGSSSGGESGRYSRGSSGGESGRYSRGSGSSSGGESGSYSRGSGSSSSGEGGSYSAEEEEIVWKGLQQLKGR >Al_scaffold_0007_2985 pep chromosome:v.1.0:7:14728934:14729331:-1 gene:Al_scaffold_0007_2985 transcript:Al_scaffold_0007_2985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHC4] MMITEDIKNVEERKRIFKAFVDGVVKELYLPAHSNVVKFLRWKDLANTSLSVVLPESYDLHFFTGEHVGKIVMLEKEFLFEWDEVEIDSKKYYHIIQMKKKEEEEEEELKKA >Al_scaffold_0007_2987 pep chromosome:v.1.0:7:14745827:14747957:-1 gene:Al_scaffold_0007_2987 transcript:Al_scaffold_0007_2987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHC8] MASSSLPLSLPFPLRSRSSPTRSLPFRCSPLFFPLPSSIVCFSTQNPSGYDREEVRWLREEQRWIREEQRWLREEQRWIRERESLLQEISNLQLKIQALESRNSQLGTSVPDTISNIAALLQGLKEKNRISESGLSATPMVLESTREQIVEEVVEVEEEEKRVVIAEEKVMVSEPVKKKKKRRTLKVGSEGDDVQALQEALLKLGFYSGEEDMEFSSFSSGTASAVKTWQASLGVREDGIMTAELLQRLFMDEDVETDKDEASTMKKEEASNGSVFSSVTQVPEKKQSIIKDQSNREDDVTQNRVYLLGENRWEDPSRLIGRNKPVDSSKSTITKTRCITCRGEGRLMCLECDGTGEPNIEPQFMEWVGEDTKCPYCEGLGYTVCDVCDGKKNL >Al_scaffold_0007_2988 pep chromosome:v.1.0:7:14760634:14762831:-1 gene:Al_scaffold_0007_2988 transcript:Al_scaffold_0007_2988 gene_biotype:protein_coding transcript_biotype:protein_coding description:pinoresinol reductase 2 [Source:Projected from Arabidopsis thaliana (AT4G13660) TAIR;Acc:AT4G13660] MKETNFGEKTRVLVVGGTGSLGRRIVTACLAEGHETYVLQRPESGIDLEKMQLLYSFKRLGARLVEGSFSDHQSLVSAVKQVDVVVSAMSGVHFRTHNIPVQLKLVKAIKEAGNVKRFLPSEFGMDPSRMGHAMPPGSETFDQKMEVRNAIEAAGIPHTYIVGACFAAYFGGNLSQLGTLLPPKKTVDIYGDGNVKVVYVDEDDMAKYAAKTLNDPRTMNKTVYVRPTDNILTHMELVQIWEKLSGKELEKNYISANDFLADIEDKEIPHQAGVGHFYHIYYEGCLTDHEVGDDEEASKLYPEVKYTRMDEYLKIFV >Al_scaffold_0007_2989 pep chromosome:v.1.0:7:14771923:14772463:-1 gene:Al_scaffold_0007_2989 transcript:Al_scaffold_0007_2989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHD1] MAQIVLDQIGSCMPFKKRSTQTKWRTYTDNKEKRGTACANQTVRDHEILGAELRMRSHVHFEKKDCQKSPSLCFHRIVSCQAGQWRWRRYRDDKVSYKSVILPFARLMLHFSLFTTCDKSILVNTKM >Al_scaffold_0007_2992 pep chromosome:v.1.0:7:14794482:14796504:1 gene:Al_scaffold_0007_2992 transcript:Al_scaffold_0007_2992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13640) TAIR;Acc:AT4G13640] MYSAIHSSLPLDGNLGEYSDGTNLPIDACLVLTTDPKPRLRWTSELHERFVDAVTQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKFRLGRQSCKESIDNSKDVSCVAESQDTGSSSTSSLRMAAQEQNESYQVTEALRAQMEVQRRLHEQLEYAQVQRRLQLRIEAQGKYLQSILEKACKAIEEQAVAFAGLEAAREELSELAIKVSISNGCQGTTNTFDTTKMTLPSLSELAVAIEHKNNCSAESSLTSSTVGSPVSAGLMKKRQRGVFGNGDSVVVGHEVGWVMPSSSIG >Al_scaffold_0007_2994 pep chromosome:v.1.0:7:14800896:14802026:-1 gene:Al_scaffold_0007_2994 transcript:Al_scaffold_0007_2994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHD7] MSQLSPCTITRFEEELDVYDDLKDLNPEMITKKIKVKIVRRFVCPALRDRLVEFILADSKFREVKQGRLDPNYCVDLIGRLVRTIDFRASDSLGVNHRFVPNGRQKEQSMLQQLKGVRNSYSIIFVPRLRSCGYSLAGNNKCEFI >Al_scaffold_0007_3002 pep chromosome:v.1.0:7:14870977:14872273:1 gene:Al_scaffold_0007_3002 transcript:Al_scaffold_0007_3002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHE6] MAITRGGGNLRRSNRQAGRGVTPVVVKKISKKSKKKEVREVISLESDDQVVEEPMSTAVGGEEQSSVRDVEKPMSEGEKEDGQVEMDEAAAGEEDEPVMAEGEKEDEELEVDSDKEQRGVDGENDQEPAEGGEQSQPAGGGEPSQPAEE >Al_scaffold_0007_3007 pep chromosome:v.1.0:7:14949875:14950481:-1 gene:Al_scaffold_0007_3007 transcript:Al_scaffold_0007_3007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHF4] MFYMGKELEDEKSLAAQNVQPNSLVHLIRSKVHMWPWAQRLPGENKSLRPHGALKKKSDLSTKDGHVFLMEYYEERLLMLNNAGIGANLCTYYQKSSPEDQRGNWLHNQSDTLGNVMILEPGDKCPFLGEIHVGCSQSFVETNMYKAPIFPQ >Al_scaffold_0007_3014 pep chromosome:v.1.0:7:14988717:14994639:1 gene:Al_scaffold_0007_3014 transcript:Al_scaffold_0007_3014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHG1] NPKISFRRCPTSDSDSDKPIVFTKQMRMEGTDSPAVKSGKKRASEGTTSKDIKRAKKVSGDNDNKKPHLHRLWTEEDEISDRRNMIDFKAETGTSPHDDLNKFFDIAKKSISFDVSKSQFGDKIRGLKKKYFGKRKKKSVESDHDKKCLGLVKSIWGSEDKEVVILGGDSEWSNWFEKSFLVRVIVSLGMDECFVKWKWSKVPVEIKKKIEEKMKMVEGKEFQLLSLKIDVLKEVTSLIAETN >Al_scaffold_0007_3015 pep chromosome:v.1.0:7:15001860:15002914:-1 gene:Al_scaffold_0007_3015 transcript:Al_scaffold_0007_3015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHG2] MSAAVVAEDEAGVMTVCVTLFPRFVEDEEAKPGDASLKMGVTTGGSGVTGGELSGTEIGTGEDRVAENSSYLYKQEFLRLWNL >Al_scaffold_0007_3017 pep chromosome:v.1.0:7:15007296:15007562:1 gene:Al_scaffold_0007_3017 transcript:Al_scaffold_0007_3017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHG3] FPLGRIHRQLKTKVSPHGRVGATADVYTASILEYLTTKVLDLAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIH >Al_scaffold_0007_3018 pep chromosome:v.1.0:7:15020046:15020378:1 gene:Al_scaffold_0007_3018 transcript:Al_scaffold_0007_3018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:D7MHG4] MSSSLSPVIAKYKCLRVILFTFRSLLAFPANSRTYAVRNSRIKAVYTAAIPPSRPCADTLKTRVSAHGRVDATADVYTASILEYLTTKVLELAGRASKDLKVKRITPRHL >Al_scaffold_0007_3019 pep chromosome:v.1.0:7:15024721:15025359:1 gene:Al_scaffold_0007_3019 transcript:Al_scaffold_0007_3019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHG5] MDDSEEFSEVPNLSKWFSSYVYESPMLDTSDCLEFLEESKGTKEMELVSSHAKDMSQLQVEFSEGIMNLVVEDSDIDEDCSIWGKPKRKGSSIFRKPKRKEETTTTYEAELTSLKNRVQYLENEVRILHNLINNFLGKSSI >Al_scaffold_0007_3020 pep chromosome:v.1.0:7:15029748:15030149:1 gene:Al_scaffold_0007_3020 transcript:Al_scaffold_0007_3020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:D7MHG6] MCRYYGLELHFPVVRIHRQLKTRVSAYGRVGATSDVYTASILEYLTTKVLELAGNASKDLEVKRITPRHLQLAIRGDEELDTLIKGTIPGVGVIPHIH >Al_scaffold_0007_3021 pep chromosome:v.1.0:7:15032440:15033737:-1 gene:Al_scaffold_0007_3021 transcript:Al_scaffold_0007_3021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHG7] MWLSLTVKLSSTYLPLQPVSSFCFVPAVTCGTHTVLDHIISNSLIIVCRGSLGGCEGNQGSDKALIIEPSQKAITDFFFRITFNTQSGFWNLFNRQEPSRPHRGNNFSDPLLKIDEAESKGEEIKRKPNTQIRCNVRSAFGIYDESRQTQQTLSGKIDISHARMHCVNGTEFY >Al_scaffold_0007_3022 pep chromosome:v.1.0:7:15042204:15042669:1 gene:Al_scaffold_0007_3022 transcript:Al_scaffold_0007_3022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:D7MHG8] MDVRDYTSSTNCSFDDYVKLLISCDRQIQMPKSNPLHFQIIARVSSQLKNLCNTLKTRVSAHGRVRATADVYTASILEYITTKVLELAGNTSKDLEVKRITPRHLQLAIRGDEELDTLIKGTIAGVGVIPHIH >Al_scaffold_0007_3024 pep chromosome:v.1.0:7:15082907:15083433:1 gene:Al_scaffold_0007_3024 transcript:Al_scaffold_0007_3024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHG9] MAEEPRMEVSEPRGPASTQEVLVITRMTGPNDKSRNNYIFRKARESPTEVVLKAQADVTEWITTIQ >Al_scaffold_0007_3029 pep chromosome:v.1.0:7:15118828:15120798:-1 gene:Al_scaffold_0007_3029 transcript:Al_scaffold_0007_3029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHH1] MVSEINSTDSIYSVDFDSFIFNIWLAKSRVLFETNPLKRLGDLLERKGLLTQRSRPSKQTKAKRISNGSNCRYAESCVLFDTNSLKPLGDLLEREGLLTQRVLNLLLYRICDQSGQTLRALSRKRERSNTLST >Al_scaffold_0007_3030 pep chromosome:v.1.0:7:15122115:15123668:1 gene:Al_scaffold_0007_3030 transcript:Al_scaffold_0007_3030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHH2] MTVYRFIPSLIRAFFYVEIITSPYYLLTHYTQTQVGVGKAELGMLIHRKMNASIKDRLFDSTFPLPNAEVTALKTVLSHNFGCITAGMCIRMSRTRSYSKLRR >Al_scaffold_0007_3031 pep chromosome:v.1.0:7:15126826:15127092:1 gene:Al_scaffold_0007_3031 transcript:Al_scaffold_0007_3031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHH3] FPVGRIHRQVKTIVSAHGRVGATTDVYTASILEYLTTKVLELAGNASKDLKLKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHTQ >Al_scaffold_0007_3035 pep chromosome:v.1.0:7:15183320:15184248:1 gene:Al_scaffold_0007_3035 transcript:Al_scaffold_0007_3035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHH9] MVRINPIVFSGNTVLVVDESNKSKSGDNKDEGGNSGLEKIEDGAVGKLEPAERLFGSVIQEAKEGFGEKDPHVAPACNNLVGLGGLFSAFIVPWIIVSYQHLEYSPRTNCSIFSFLYVRDRYIESRKNSTKQSPYTLYLEVVSILEDFYGPEDVR >Al_scaffold_0007_3036 pep chromosome:v.1.0:7:15185750:15186611:-1 gene:Al_scaffold_0007_3036 transcript:Al_scaffold_0007_3036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHI0] MVSHHSEAKNNEQESLILSFSDLCMLHTDRESSIRPGFSTGSEGIRKLFAAVSFHQFSKILEACRRKKPVFGDAMLWSTVKLQHFKATMVGKFMKNPSWMYKKEALHGEHCCFKNFTLDREHFCLRSWFGYPLHTGWTFLLWKKGFDPSKF >Al_scaffold_0007_3038 pep chromosome:v.1.0:7:15201060:15202704:-1 gene:Al_scaffold_0007_3038 transcript:Al_scaffold_0007_3038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHI2] MKQNPSARRYSVNQIPRCTLVALVVIGSVATLDLPLYGKKESISGFKEPHGLHVIVNCIAPQLKEQRTSPIIYEMRRKIMMFERKEMNLKK >Al_scaffold_0007_3042 pep chromosome:v.1.0:7:15220775:15228503:1 gene:Al_scaffold_0007_3042 transcript:Al_scaffold_0007_3042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHI6] SDIQEETCARTEEQSDKACPNIDGDIGVDGDSREGDECGPSRDGEIDVDGDNKEDDECGPRISVSETNNEACKKSSESKKYCRKKRYVRERKETNKRKQGDNSYNDIPTRKQPQRKKCKNTDKVAADLGTRTCDQELGDKADNDVPLKTSQQDNKKTEEEFIIVSDDEEEVEIPINNQENKQTETREESCSPPDEDSHENVNDEKVAQEEGATDEVPHANEENDPQCSNVNDKVSQKKEKQHEEMRNMDGAPQGTQESDSQRSNVNDKVAQTKEAQHNKMGHSEEPSQESDSQQSKVNDKEEEARLKKEHSDRKLSEIQQKEIDDRVILWAKNKNFIFMMSSLHQIIWSNSSWETVHHFNLVNNDNEIGLAKRKALLALHPDKQHGASAEQKYLATRLFSVIKQQWDIYIRKKQV >Al_scaffold_0007_3043 pep chromosome:v.1.0:7:15233364:15233591:1 gene:Al_scaffold_0007_3043 transcript:Al_scaffold_0007_3043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHI7] IGMSHRFLITESIDPRRLNSIELDGSKMKISTDLRSHHLLFVGCEGDERRRDFCSSFPSFLFFNLVPQLVNLVTH >Al_scaffold_0007_3048 pep chromosome:v.1.0:7:15272650:15273757:-1 gene:Al_scaffold_0007_3048 transcript:Al_scaffold_0007_3048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazoleglycerol-phosphate dehydratase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHJ3] QLASHGLFHVHVRATGGLHIDDHHTNEDVALAIGTALLKALGERKGINRFGNFTAPLDEALIRVSLIYLVDHILATNLEIPTQRVGTYDTQLVEHFFQSLVNTSGMTLHTRQLAGKNSHHIIEATFKAFARALRQATESDPRRGGTIPSKGVLSRS >Al_scaffold_0007_3049 pep chromosome:v.1.0:7:15274050:15276608:-1 gene:Al_scaffold_0007_3049 transcript:Al_scaffold_0007_3049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHJ4] MGEDIPFFDFNRVGVFFHFVAINVERNTLIQKRVQRFRIDTSVARNEIDANVYSRVFEILNGKIWMVYNKNLSDLKNLFNANFHACPIAKYEIDTTKWFAENFDGRIDNMSLEGVSDYFKLDVEKYEKQSSDKVERDCHLHIQMFPHGDWHCPNCTCKFCRAVVEECSQTLFEGVKKYVGVKHELEARFSWSLVHRECTDSDFILRWTPSYCGKQFQAGHSSLTVMDECFLPIIDRRSGGKYCTKCPLQFHGNRLAEMQFIGTRHVYRHQGMCRRLFSVVESTLQNLKVELLVIPATADLSHVWISKFGFKYVEDSLKKELRSMNLLAFPGIDVLQKELLAPRHAKSAADT >Al_scaffold_0007_305 pep chromosome:v.1.0:7:1343851:1344527:-1 gene:Al_scaffold_0007_305 transcript:Al_scaffold_0007_305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MAN1] KFKDALTGAVTTPLDVIKTRLMVQGSAKQYQRIVDCVQTIVREEGVPALLKGIGPRVLWIGIGGSIFFGVLESTKRTLAQRRPNTVKETK >Al_scaffold_0007_3052 pep chromosome:v.1.0:7:15316381:15318231:1 gene:Al_scaffold_0007_3052 transcript:Al_scaffold_0007_3052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHJ7] MSYIWSSSDNPKPSDPMCQYMVSLVSKRKEKTKPQALVGTDNTAQISVIDIHLKKTEELTPEEPKMKHQEIDGPTVERDLSALGNETRQVLEGMMKNMYSLSGAMGALGLTQLIVGATILYATRSDPMKEMTIQSCIALVQTDSQTNLVQIQAQPKFPIGRN >Al_scaffold_0007_3053 pep chromosome:v.1.0:7:15323476:15324219:-1 gene:Al_scaffold_0007_3053 transcript:Al_scaffold_0007_3053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHJ8] MEKKKEGMGSIDQDLSFRKIMKDVELFGSSHMTWKDKKALENKKVTALGGKPQKNHGLPLSVARVQMKKQKEREEKMLEQNMILGRFGGQFGSGSTRKPAERKRTLEERVLKSTVGHFKGGVLDVRHMLHSGSSRTNDTYFKMKKHSKSENREGGGKKKNKGKKKGGGKKKGK >Al_scaffold_0007_3054 pep chromosome:v.1.0:7:15325485:15325967:-1 gene:Al_scaffold_0007_3054 transcript:Al_scaffold_0007_3054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHJ9] PISRKKAKPATNNVEKTKNRLLKRQQFKQLREMELQQEQQLCQFDRLDMKKIMSLLEDSSSSNNSDGGGAGDVFYAPHQIIHSSKPFGYNPNSLEEQLQGILTPVNIPETNTMNQDNAIWDGFWNMDVVDGHGGNLDVVAATAACGPRKPYFHNLVIPFC >Al_scaffold_0007_3056 pep chromosome:v.1.0:7:15334228:15335152:1 gene:Al_scaffold_0007_3056 transcript:Al_scaffold_0007_3056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazoleglycerol-phosphate dehydratase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHK1] MTFSKQLASHGLFHVHVRATGGLHIDDHHTNEDVALAIGTALLKALGDRKGINRFGNFTAPLDEALIRVSLIYLVDHILATNLEIPTQRVGTYDTQLVEHFFQSLVNTSGMTLHTRQLAGKNSHHIIEATFKAFARALRQATESDPRRGGTIP >Al_scaffold_0007_3059 pep chromosome:v.1.0:7:17685338:17685875:1 gene:Al_scaffold_0007_3059 transcript:Al_scaffold_0007_3059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHK5] MDRRVNSCSRTVDEPGMGVSEPRGPPSTQEVLVVTRMTGPNDVYILLHGIRSNVLFHDP >Al_scaffold_0007_3062 pep chromosome:v.1.0:7:17697809:17697979:1 gene:Al_scaffold_0007_3062 transcript:Al_scaffold_0007_3062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHK8] MLPLCLLDTSIRRKAMVLASADANGRTLCTTVSQGQDPLFRHRQYFPICLCLCFSL >Al_scaffold_0007_3065 pep chromosome:v.1.0:7:17710388:17712797:-1 gene:Al_scaffold_0007_3065 transcript:Al_scaffold_0007_3065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHL1] MANSMFSSSAKPHFLKPILPGFKTYILIPKAFYSKYLEGRQEGNAAELRSDASEITWNIKIDGRRMTKGWEEFAVGHNLQVGDILVFRHEGNLLFHVTPFGLSCCEILYSQNDEKVVKDKTGKVTRYKTVKKTAKNECSSVDTDFVVPVTASNQRLDSFYLPRGFTTSSGLSKLCNEIILMDEKSRPSTLKLCYHKSRKPPVLRIYPLERDEDNIGNHSKKIKQEVEHETVKEETNVESGKLKRDGLLKKDPQKVCSSSHDTIFVVPGTASNQRNDSFVRSP >Al_scaffold_0007_3067 pep chromosome:v.1.0:7:17724660:17727413:-1 gene:Al_scaffold_0007_3067 transcript:Al_scaffold_0007_3067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHL3] MEALMAAITNITTQIMTMQADLGTCKTRLDQWEQPNQNAPPPLLPRRNVQPAAPRDPRQEEEPPDLQQPQQQQFQPPSPPDPIQAANDIMQRNQAYRNRFRDDERNLSPEDKGETIFLGEHVMDKGETIFHDLFILDLNLLTISWNDRATLEDEQVEPPDYLDHSSPGRAHHTICRMKLSSSTTRHLGATKTAPLPFSCLKAKERKLSFYGEALAFSARNVSFPFNLDPSSILRDPKRPKQEKALEESYNLTAKTREASTLMPNG >Al_scaffold_0007_3068 pep chromosome:v.1.0:7:17730543:17731979:-1 gene:Al_scaffold_0007_3068 transcript:Al_scaffold_0007_3068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHL4] MDKGKTIFHDLFLLDLNLPTISWNDRATLEDEQAEPPDYLDHSSPGRAHHTICRMKLSSSSLGFLRGIALESLSLTHVIVESVTNRSSRSRAASLESTGRVKHLLPDAPPPVTMEALMAAITNITTQIMTMQADLETCTTRLDQWEQPNQNAPPPLLPRRNVQPAAPRDPRQEEEPPDLQ >Al_scaffold_0007_3069 pep chromosome:v.1.0:7:17736109:17736879:1 gene:Al_scaffold_0007_3069 transcript:Al_scaffold_0007_3069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHL5] MDLYDGIYGVRILDVYDNDEEQTMAVLRIAMNECIAGLKRDLHKEMEKLRQYWKDEAAVWRKTMKNSNGPANVEMVNDLQVSTKPQPLVTSSLKRSTTSPRHGRARTLPIRRHHHTSLKRHRYGRKRKTTRTGKKRKKVSKAEKRKKQQGKKPITEVLTSKSW >Al_scaffold_0007_3072 pep chromosome:v.1.0:7:17789188:17789544:1 gene:Al_scaffold_0007_3072 transcript:Al_scaffold_0007_3072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHL8] MVQAPRTRSGTKCLREQFNKSIERLIMLIEQEKLDGSSLTKDIIRKTSIEPQINPNVSKAQNLENEQENIYIAQRTSQETEIIKRKACVQLKTQTTSFLQVLQGIKKYALFIISKTIQ >Al_scaffold_0007_3075 pep chromosome:v.1.0:7:17799257:17800564:-1 gene:Al_scaffold_0007_3075 transcript:Al_scaffold_0007_3075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHM1] MVSLFTSVLLSLCLFSSHILSNVNAKPKLGFTTDLIHRDSPKSPFYNPAETPSQRIRNAIHRSFNRVSHFTDLSEMDASLNSPQTDITPCGGEYLMNLSLGTPPSPIMAVADTGSNLIWTQCKPCDDCYTQVDPLFDPKASSTYKDVSCSSSQCTALENQASCSTEDKTCSYLVSYADGSYTMGKFAVDTLTLGSTDNRPVQLKNIIIGCGQNNAVTFRNKSSGVVGLGGGAVSLIKQLGDSIDGKFSYCLVPENDQTSKINFGTNAVVSGPGTVSTPLVVKSRDTFYYLTLKSISVGSKNMQTPDSNIKGNMVIDSGTTLTLLPVKYYIEIENAVASLINADKSKDERIGSSLCYNATADLNIPVITMHFEGADVKLYPYNSFFKVTEDLVCLAFGMSFYRNGIYGNVAQKNFLVGYDTASKTMSFKPTDCAKM >Al_scaffold_0007_3076 pep chromosome:v.1.0:7:17801633:17802955:-1 gene:Al_scaffold_0007_3076 transcript:Al_scaffold_0007_3076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT5G33340) TAIR;Acc:AT5G33340] MASLFSSVLLSLCILSSPFLSNANAKSKLGFTADLIHRDSPKSPFYNPTETSSQRLRNAIHRSVSRVFHFTDISQKDASDNAPQIDLTSNSGEYLMNISLGTPPFPIMAIADTGSDLLWTQCKPCDDCYTQVDPLFDPKASSTYKDVSCSSSQCTALENQASCSTEDNTCSYSTSYGDRSYTKGNIAVDTLTLGSTDTRPVQLKNIIIGCGHNNAGTFNKKGSGIVGLGGGAVSLITQLGDSIDGKFSYCLVPLTSENDRTSKINFGTNAVVSGTGVVSTPLIAKSQETFYYLTLKSISVGSKEVQYPGSDSGSGEGNIIIDSGTTLTLLPTEFYSELEDAVASSIDAEKKQDPQTGLSLCYSATGDLKVPAITMHFDGADVNLKPSNCFVQISEDLVCFAFRGSPSFSIYGNVAQMNFLVGYDTVSKTVSFKPTDCAKM >Al_scaffold_0007_3083 pep chromosome:v.1.0:7:17871918:17874981:1 gene:Al_scaffold_0007_3083 transcript:Al_scaffold_0007_3083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHM9] MATCSQKSVNKVFAAPLLGKRKPDDNLETQTILKKHKETSEEKETTKDVAEQMELLETKPNLISVKEAAVRKKTLFVGNIPCKTKIPNIIDFFKNVGEIVRVQLILSLKGTHVGCGFVEFASSNEAEKALQKKNGEYLGDHKIVLEEATKGAKYLPPKYCLDHKVWCKDYLRREGGLPTEEEETPLDSVEEVLFVANLSPQTKLLHVINFFENVGKVVSVRLIVNSEGKHVGYGFVEFASADKAKKAMETKNGTTLQRCFGKGFKYLHLDYEDYLRRQKLLLEEDETPPDSVEAVAAIKKTLFVTHLFHQTVISHIINFFKDVGEVVHVRLIVNHKGKNVGCGFVQFASIDEAKKALRKKCGKNFLGRDIFLDVAEIAPYPLRPRYNLAEKLWYEDYLRQESLLIEEDDLETKPNLKKQKVPTMGEFCGNKITFSEDSEDSEGYEVYEGED >Al_scaffold_0007_3084 pep chromosome:v.1.0:7:17883683:17885293:1 gene:Al_scaffold_0007_3084 transcript:Al_scaffold_0007_3084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHN0] MADAGSDLEVLDEPLSFRSALSICRIGKREDNSKRDRLLSRDPLPLNRFVGVTNFLEGLWWVDIYYLRLISGCRWILIRFHSAIHVVFADYQVISVSTDASNIGLDLKILEILGFCFKWINLGEEEIQFISGLCYKINLVLRLVEIWFYLVLMRRDRFSDGELYKKGHYALLMSHTPCHRHNYSQQGTDQDSDGDDMECEDTIENTNAGNPHVADAVYGVTGRKKGRIRLQGVSSNKRIAQLLTSPRRRQVQKGVEYVADDKTPRYQDMAKGTVGGGKPPKLKIDR >Al_scaffold_0007_3087 pep chromosome:v.1.0:7:17908677:17909827:1 gene:Al_scaffold_0007_3087 transcript:Al_scaffold_0007_3087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHN2] MGVSSSADIGATGSISGGENASGLLESVLGNILTELNDAPSLPTMATWQCAAGRKKRKRDGSVSEDLGVHASGVRHQAEDVSQQDPDEPPAHAKKVVPEIALRSPDALEQDHTHLPSLVVPDFDVAAAAGINVVPDSDLRSTDGSEVNRLHSEKTVGDKEVNTASAACIEEDLGDESMPPQNEGMLPKENLLNPVESSPDTSVPPTATTNEQLMVDDSKFGDIEAHSSS >Al_scaffold_0007_3091 pep chromosome:v.1.0:7:17941760:17942345:-1 gene:Al_scaffold_0007_3091 transcript:Al_scaffold_0007_3091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHN6] MSDRRLAFIAKKRGRCDPKIQACARGSREVETRGGEAKDSAQIEIVGEKSGAVTKRERERVVWLRFCEEQVMKMSDRRLAFIAKKRGRCDPKIQARARGSREVETRGGEAKDSAQIEIVGEKSGAVTRARFRERNIRICLLIMQV >Al_scaffold_0007_3092 pep chromosome:v.1.0:7:17948350:17949242:-1 gene:Al_scaffold_0007_3092 transcript:Al_scaffold_0007_3092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHN7] STTIPSRLQHHRLLDKIGYPSKYKRRGEDGAIRKSRLVREDLGKLRREVERRKTRPRSRSWEKNPEMLRELDFEKGIFEYAF >Al_scaffold_0007_3100 pep chromosome:v.1.0:7:18003900:18004517:1 gene:Al_scaffold_0007_3100 transcript:Al_scaffold_0007_3100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHP5] MVIPSFLSLKNSSSFSLHILAAALGFSSFLYLIFHGPNRDGSKPLTVSVVVIGLGDPIFWFPPTASMIGSKARSAQVWVPLTGSSLLDISNHSDFNGPASLAEVPPFRHSWFSKVFLLKTLNHQVIQVDRSLSRLWYVPLSTT >Al_scaffold_0007_3101 pep chromosome:v.1.0:7:18052949:18053574:-1 gene:Al_scaffold_0007_3101 transcript:Al_scaffold_0007_3101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHP6] MGRKKIKLNRLESLKERSSKYSKRKKGLFKKAEEVAMLCDCEIILIVVSPTDKPTIFHTRSKSFSKIYERYCMLSLQEREERCDL >Al_scaffold_0007_3102 pep chromosome:v.1.0:7:18057360:18062130:-1 gene:Al_scaffold_0007_3102 transcript:Al_scaffold_0007_3102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fcf2 pre-rRNA processing protein [Source:Projected from Arabidopsis thaliana (AT1G54770) TAIR;Acc:AT1G54770] MVETKQLIGLKWEPKLLGLSLRPENWFDMPAPTLTPVLKRDLQFLKLRTVMDPSVSRSKLAEMYFQASFRTIGSVIEPAEELYGRLTKKNIKASLAEELVSDPKTSRYRSCEINNIRHLVPSTIIQKFEAESVNRQKSKD >Al_scaffold_0007_3104 pep chromosome:v.1.0:7:18070607:18072583:1 gene:Al_scaffold_0007_3104 transcript:Al_scaffold_0007_3104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endomembrane protein 70 protein family [Source:Projected from Arabidopsis thaliana (AT5G35160) TAIR;Acc:AT5G35160] MRSMDRFGIWVLAILSVIQSSFGFYLPGSYPHKYEVGDYLNVKDSAENLGELLMGDRIENSPYRFKMFKNESEIFLCQTDKLSADSFKLLKKRIDEMYQVNPMLDNLPAIRYTKKDGYVLRWTGYPVGIKVQDVYYVFNHLKFKVLVHKYEEAANVARVMGTGDAAEVIPTVGKKDSDVPGYMVVGFEVVPCSFAHNGESTKKLKMYERYTTPIKCDSSSVSMSVKEGQSIVFSYEVSFEESDIKWPSRWDAYLKMEGSKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPSNASLLCVMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYMILGIAAGYVSVRLWRTIGCGDHRGWMSVAWKAACFFPGIAFLILTTLNFLLWGSHSTGAIPFSLFVILLLLWFCISVPLTLIGGYFGAKAPHIEFPVRTNQIPREIPAQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFVVLILLVVVCAEVSLVLTYMHLCVEDYKWWWKSFFASGSVAIYIFIYSINYLVFDLKSLSGPVSATLYLGYSLFMVLAIMLATGTVGFLSSFWFVHYLFSSVKLD >Al_scaffold_0007_3106 pep chromosome:v.1.0:7:18091645:18093907:-1 gene:Al_scaffold_0007_3106 transcript:Al_scaffold_0007_3106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHQ1] MARTKNATVVPTEHEADPVLGEEEEELPREDPGKEPEDYEEAEQNSMMLVTVLWRKSQENAVVGGVRVSRRKVAPKKVSKKGLVEQLQKQLEDGFRRINKKFDGFDKRLKCVESDVKSLKEASGKANELDKRGEEKYSELEEDEIEESGGEDKENASELEEDENGEDGEKDKEFEENDNGEDGEKDKELEENENDEDGEKDKELEENENGDNGEKEKEGDLEGNDLDGDNEKEGDLERNDLDGDKEKEKEESSEKRQQVKKKYERKRTRKTSAEEQEEEVQVGKKAKVTKKKVGVMVRSPIMTRNKKK >Al_scaffold_0007_3107 pep chromosome:v.1.0:7:18094792:18095550:1 gene:Al_scaffold_0007_3107 transcript:Al_scaffold_0007_3107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHQ2] MAAMTEKEAMVDPFLVEALQNPRHRLTILSMEIDIQKFFQNPEQLQLEFLPFPTSYMRFAAHRVAQHYVI >Al_scaffold_0007_3123 pep chromosome:v.1.0:7:18300720:18302354:1 gene:Al_scaffold_0007_3123 transcript:Al_scaffold_0007_3123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHS3] MGRFSFLLAAVKGLRKKKKKKKVRSKADDFERRRRAMVEPDSKPISFDDIPEDLLMKILAKLQAKHVTRLMGVSQLWYSLIASRYFTKLFLESPASAKRPRMLMSLVDKADKRQYKFLTSSSTHDDLSDTSVCVLDQVLNMPGLGGDFVNPLRGLICVRLRRSLRIFNLTTRQRVTLPVIRSRLVTEANDNIWNSFLHDSVHDEYKVLSTVWEVSEDEGRVVRSEHQVLVLGPGASWRNTQGRIYPHRPYSSGIPLNDVDVLYYAAWIDKNVSVVISFDSASEDFNMIELPIEAGIIWHATCASLMNYGGKLAVFECSRLPSNGSVDLWVMEDVGKWSKKTLVLPLSLMNFVHGDEFSMWKKKNRMINGRRRCSNSPMINGRRRGWSS >Al_scaffold_0007_3126 pep chromosome:v.1.0:7:18318255:18318838:1 gene:Al_scaffold_0007_3126 transcript:Al_scaffold_0007_3126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHS6] RYVKSNHTKARTCGYQPRNKLNKWADSIMKFISVADLTGRDPYRLPTTVPADALTWSLKQFVLTNDDFHRTSLGIHQQHDHLESFDRARNHHYRPQFEDDRDNPPGERDASYRPVTHQNQVLLKNSTQDRQLELGQGIHSKTNHEEALTLMVPIMIQILHSSLIILDVHSLKKNT >Al_scaffold_0007_3130 pep chromosome:v.1.0:7:18377619:18378945:-1 gene:Al_scaffold_0007_3130 transcript:Al_scaffold_0007_3130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHS9] MPTNDASNDGLAELVYTLKTTLENGFNDMYEKMMDLSKNKPSGDSSRSLDENGKENEGGEKVMEKEMEKEGAEDDEMELELEKESDGDGGFKESGIGEKEKEMEKEDDVDGGLKESEIREKEKEMEKEDDVDGGLKEAEVREGVEIEKEGEVDVGIKEGEVREGVEIEKEGDVDGGTKEGEEFVFIQVVEDLIRSL >Al_scaffold_0007_3138 pep chromosome:v.1.0:7:18446158:18450905:-1 gene:Al_scaffold_0007_3138 transcript:Al_scaffold_0007_3138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitate hydratase [Source:UniProtKB/TrEMBL;Acc:D7MHT7] MYLARAASSSSSRSLLFSFRSSFPSSSFRSPPVSSHLLRRSFVTSLPRLSHSKPFPLRIGSQIRAVSPVLDRLQRTFSSMASEHPYKGIFTTLPKPGGGEFGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTKEDVEKIIDWENTAPKLVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTKGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFNLSGKLRNGVTATDLVLTVTQILRKHGVVGKFVEFYGDGMSELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIEAYLRANNMFVDYSEPQQERVYSSYLELNLDSVEPCISGPKRPHDRVPLKEMKADWHSCLDSNVGFKGFAIPKEAQEKVVKFSFNGQPAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKACDLGLQVKPWTKTSLAPGSGVVTKYLLKSGLQKYLNQQGFNIVGYGCTTCIGNSGEIDESVGAAITENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFETEPIGKDKNGKDVFLRDIWPTTEEIAQVVQSSVLPDMFRATYESITKGNPMWNQLSVPENTLYSWDPKSTYVHEPPYFKDMTMDPPGPSSVKDAYCLLNLGDSITTDHISPAGSIHKDSPAAKYLLERGVDRKDFNSYGSRRGNDEIMARGTFANIRLVNKLLNGEVGPKTVHIPTGEKLSVFDAAMRYKAAGEATIILAGAEYGSGSSRDWAAKGPMLQGVKAVISKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGKERYTIHLPTDISEIRPGQDVTVTTDTGKSFTCTVRFDTEVELAYFNHGGILPYVIRNLSKQ >Al_scaffold_0007_3139 pep chromosome:v.1.0:7:18453304:18455788:1 gene:Al_scaffold_0007_3139 transcript:Al_scaffold_0007_3139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHT8] MVHITPRSINNYMDFIDYDEIKYAGTQEKEHVTGKPCLITKGGCPRMFIDENFSDINRDCYICFFTAGNKETASTDNDNVSDEDGDSN >Al_scaffold_0007_3147 pep chromosome:v.1.0:7:18489456:18490206:-1 gene:Al_scaffold_0007_3147 transcript:Al_scaffold_0007_3147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHU0] MNTKTLIVWFLLLAAVVVAVAAETSVDDKKQGEKQVEIPTVEGKNDDAKDTLNQGDVGVEATVEKEEENSYEVDQGGGGWKGGGGRGGGGWKGGGGRGGGGWKGGGGRGGGGWKGGGGRGGGGWKGGGGRGGGGGGGAELDTVEIDSASDDDVQNYGGGWGGGGRGGGWGGGGRGGGGWGGGGRGGGGWGGGGRGGGGWGGGGRGGGGGRGGGWKGGGGGGGW >Al_scaffold_0007_3163 pep chromosome:v.1.0:7:18634208:18634586:1 gene:Al_scaffold_0007_3163 transcript:Al_scaffold_0007_3163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHV1] MDSLNVTGGWLIRDHSGFTKSLASASLSQAASPLEAETRSLLAASQHTWERGFSDVIFEGDCESGSEGNNPFIRENVMQDGYNWRIYGQKLVKGNELTRSYYKCTQPN >Al_scaffold_0007_3167 pep chromosome:v.1.0:7:18676255:18677053:-1 gene:Al_scaffold_0007_3167 transcript:Al_scaffold_0007_3167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHV5] MDVPKKIDKRKSFSGSGVVEATGTGKSRRRLLFSKREERAFLGLERGEKIERGRGRVDRGKRPKESDLSEPPVARGSVDQAENLETEIERGRGRVSRGKRPAESDSSEPPLTCFSTS >Al_scaffold_0007_3168 pep chromosome:v.1.0:7:18677765:18678793:1 gene:Al_scaffold_0007_3168 transcript:Al_scaffold_0007_3168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHV6] MPSFPASQLSHISPNVFISLGAGDVSCSPEAARRFIYRQTVSERSCKSGGSAIGLDPLRGLRGKGREKDLSVPLSFLLRLMMKSSPNAVRGDIKRLNVFEMGETEEQKWGKPSKEEN >Al_scaffold_0007_3172 pep chromosome:v.1.0:7:18749273:18754319:-1 gene:Al_scaffold_0007_3172 transcript:Al_scaffold_0007_3172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G35770) TAIR;Acc:AT5G35770] MSTSSSSSNNGDSDAGGSGGVFEAPSPSRPRRGANDVWPEPFLESLAVQVAVNASSSSGLLASAPALANVFRVCTTWQAVSRSDHLWQLLSRQVWARTHLMHDTWRDEFIYRHRTARNFRTRTHTYFTLQFDPSDVDEPDSLSCRCLTLSDLYLAAGFADGTVRLFLLNSRLHVRTLRPPLRDRFGRFSRAVSGIFISDSRLTFATMDGDIHVAEIDGVGHTRTAYAGDIVNDGALVDFTGCGRWWVGLFAGVPGRAFHIWDCNSEETTFVGGSLTDPEAVMGWHTLTELTTSLGRLRISGNETAVACTRWRIMVIDLRNQGVIIGEDEEQRRGLIVTGFDANDEAYLRMDSRGNARVRRVNTLQTVCEFRVSGAAQRRVMGCVNRLHALMCAGGIMRVWEVERGEYLYSIRERVGEVDAIVADDRHVAVASSSSTAQSIIHLWDFGAL >Al_scaffold_0007_3175 pep chromosome:v.1.0:7:18772479:18773017:-1 gene:Al_scaffold_0007_3175 transcript:Al_scaffold_0007_3175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHW3] MSMQDLVSPQEIGFRNMANRSYASLQIGIGHGEGTSTQGSIEAEMFESEIPLQQNTYRLASILARNCSTEAG >Al_scaffold_0007_3181 pep chromosome:v.1.0:7:18815986:18816669:1 gene:Al_scaffold_0007_3181 transcript:Al_scaffold_0007_3181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHW7] MVKVDRNITVNLGTIKADKQFFLELIDPQTWLSEELSKFIISISSGEEIEEFVKPYALMVPYLIKAFASPAEQDELNDAGYKIRRCGEIPQNKKSGDCGVYALTYIECLALDVDMKIGPCDANIKDIQQKLATGMFMEANHWEDL >Al_scaffold_0007_3187 pep chromosome:v.1.0:7:18871868:18872053:-1 gene:Al_scaffold_0007_3187 transcript:Al_scaffold_0007_3187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHX3] DSAKDMSRASPPRSELIGGWLAFCLCIKLSTYSSQVRRLRSFFSRYQMGSCKVSLPAQYSA >Al_scaffold_0007_3188 pep chromosome:v.1.0:7:18872889:18874434:-1 gene:Al_scaffold_0007_3188 transcript:Al_scaffold_0007_3188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHX4] MALSAQPSSKTPERDTNRKQIGPTKPIYQRRDIEFLNQCIALISSFDYSLENSEDPFYGSSDEEHSSEARVLDNENKLRLVKFHTAGYRDGIVAGKEAIAQQGYNFGYKESVLDAYKFGIVRGVSSALAFLPDELREKLIDEQETRDNFQKLHSCVHALSPEVAMKRFYETLTTKQREGKSGDQGSDNGSGLGVNAATTDLGSYLTDLSSLLDKSPKIDIKLDT >Al_scaffold_0007_3192 pep chromosome:v.1.0:7:18918285:18918778:-1 gene:Al_scaffold_0007_3192 transcript:Al_scaffold_0007_3192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHX8] MELFVLYRRPHVYASRVPGGAGRGSQQVYAARVPGAAGRGKARKLVGGVSKEPKGLYARDMERLNHNTN >Al_scaffold_0007_3202 pep chromosome:v.1.0:7:19054159:19054536:-1 gene:Al_scaffold_0007_3202 transcript:Al_scaffold_0007_3202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHY6] MEVSSGSMSSFGSNSGVRKLCECGLLAKLYKEGGNAHCKFSRWLDEEVIGWPKRVLVEAQSVIKEKTEKIEELNATILELRGDLERQNLEISSINTEDEKILIELGL >Al_scaffold_0007_3203 pep chromosome:v.1.0:7:19058264:19058856:1 gene:Al_scaffold_0007_3203 transcript:Al_scaffold_0007_3203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHY7] MECPVVPRVPAAGLLAYVMVGLPTRTRPPECLLFVVLDEAKPIHTIYDRRRKDPHLFNSAWASLIEDWRGYMPAVESLGRDPESGLSVPASPVAGA >Al_scaffold_0007_3205 pep chromosome:v.1.0:7:19123567:19124868:-1 gene:Al_scaffold_0007_3205 transcript:Al_scaffold_0007_3205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHY8] ESLDMVVVERELSQNLYQYTREIPSCSCIEVTWSKLIKILANLSSTIDLCRLSSVSLSCPSSADIWIKIEWLGFMLFGCRTGFLVRMRANCVRRLALCFLVMAMLSLGGASSEYAYSNGHKNPEEVPDQWAPHVSQKINLAVRGSGHDYKWLSSDTRILVVSFYGVIQAKRPGIATLKAVSTFDSHNFDEVMYTIIVFVVLAM >Al_scaffold_0007_3208 pep chromosome:v.1.0:7:19170719:19173881:1 gene:Al_scaffold_0007_3208 transcript:Al_scaffold_0007_3208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHZ3] MDSKNTSRRSRHSRPLEEPVRIFKLTDDVYDERRSKRYHDKGGDKGKAKQVEGDSTTSNATQDDEDDDFMLTYLVPQRVGATLRRLSRQQPSRRELPMKFIGQLMKGDVDYREFIDKIKLTPTLLIDYSTIRALDLDDDVIWMFDTLGLCHFMESLRREVYEEETRQFLATASLAFPRTNSPLARDGILYITINGNHFNISIPYLGRALGFDYQDAIDFGPKLHGDIWQRIGKGPFSIGTTKSALISHPTIRCIHKLLATNIFAHTAHNNLKLRKISEVESNLVKNKLNT >Al_scaffold_0007_321 pep chromosome:v.1.0:7:1427623:1428499:-1 gene:Al_scaffold_0007_321 transcript:Al_scaffold_0007_321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAP7] MADDLKSLAAKAKLVRAQLRSHGQQVEQINNPRQREILNTDLEEISSMSARRSASSRSLRKTASISSTSGLSSIICQKSINLEILMQTWNDIEKDSNEDPEVEDMEAVFLKDLEEADLLADIDELHEEQPNEELNGDVDLDDANLGDVDELPVGPLGDGFPAEIDIENEDEPTDLGVEMRMNP >Al_scaffold_0007_3211 pep chromosome:v.1.0:7:19203161:19206631:1 gene:Al_scaffold_0007_3211 transcript:Al_scaffold_0007_3211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHZ5] MARVRDPKDITVEEPGEKYPSSGASNGYDVAVSVLTEASSDAAVKVLIEASDASELDRTEDPVEGLKGTEAVEEMEEVADKEEKEEVRNSEDVDGEVEVSNAIGGECEAEVSISIDVDEEERHQDDARGERGSADEECFKYGNPTSKYMKSLRFLTQKGHSQEAIDCLGESADHSIFGHYAYGLFLICCGAVEDGPSAMDQLNFALNFSGNGAFEILGEVFSRGSRCGFISYQNRKKTCEGKGFRFGWGVPRILFLKEVCGFRGFRSAKGWSDCVVLVVLMVDLDSSNLDLVSWFSVSDFAWEERRISFQIRSIQKDLWSFSVKPFQKWGDHVIYLGLEVEELRIGGLQLPGWVLLLLDLKIVGGMNVIGAADQVGFDEIDTPFVRFWFSVYRGFCSKLVAGIGSIQEAFPIWFYGGSEGVVRWLLEHSQSWRWAMGQRFPQGNGLWASNLFGSEMFYHPFLMFDLFRRSSVSYTVFNSHNFLDRERNISVEISDQKGKNCSRLEKLISGGFSLDEYGSNVEGISEEAQKGDADYKLGVYKPDSRSGGFQICWPAGGYGSSSRRKISSKTFIGLMRNYGWKGEWWIQ >Al_scaffold_0007_3220 pep chromosome:v.1.0:7:19317709:19322961:-1 gene:Al_scaffold_0007_3220 transcript:Al_scaffold_0007_3220 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G36210) TAIR;Acc:AT5G36210] MSSSSPDNAQTPLTTAPYGSWKSPITADIVSGASKRLGGTTVDSRGRLVLLESRPNESGRGVLVLQGETPIDITPKDFAVRTLTQEYGGGAFQISSDDTLVFSNYKDQRLYKQHITDNGSSPKPITPDYGAPAVTYADGVFDSRFNRYVTVREDGRQDRSNPITTIVEVNLSGETLEEPKVLVSGNDFYAFPRLDPKCERLAWIEWSHPNMPWDKAELWVGYISEGGKIDKRVCVAGCDPKYVESPTEPKWSPRGELFFVTDRKNGFWIIHKWIESTNEVVSVYPLDGEFAKPLWVFGTNSYEIIECSEEKNIIACSYRQKGKSYLGIVDDSQGSCSLLDIPLTDFDSITLGNQCLYVEGASAVLPPSVARVTLDQHKMKALSSEIVWSSSPDVLKYEAFFSVPELIEFPTEVPGQNAYAYFYPPTNPFYNASMEEKPPLLVKSHGGPTAESRGSLNLNIQYWTSRGWAFVDVNYGGSTGYGREYRERLLRRWGIVDVDDCCGCAKYLVSSGKADVKRLCISGGSAGGYTTLAALAFRDVFKAGASLYGVADLKMLKEEGHKFESRYIDNLVGDKKDFYERSPINFVDKFSCPIILFQGLEDKVVTPDQSRKIYKALKEKGLPVALVEYEGEQHGFRKAENIKYTLEQQMVFFARVVGGFKVADDITPLRIDNFDP >Al_scaffold_0007_3221 pep chromosome:v.1.0:7:19323566:19325798:-1 gene:Al_scaffold_0007_3221 transcript:Al_scaffold_0007_3221 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome p450 81d1 [Source:Projected from Arabidopsis thaliana (AT5G36220) TAIR;Acc:AT5G36220] MEENYIGVVLYSILSLIFLITSLKFLKSKKQNLPPSPPGWLPVIGHLRLLKPPIHRTLRSFSESLDRDGGGGVMSLRLGSRLVYVVSSHRVAAEECFGKNDVVLANRPQVIIGKHVGYNNTNMIAAPYGDHWRNLRRICTIEIFSTHRLNCFLYVRTDEVRRLISRLFRVAGTEKTVVEMKPMLMDLTFNNIMRMMTGKRYYGEETTDEEEAKRVRKLVADVGANTSSGNAVDYVPILRLFSSYEKRVKKLGEKTDKFLQGLIDDKRGQQETGTTMIDHLLVLQKSDTEYYTDEIIKGIILIMVIAGTNTSAVTLEWALSNLLNHPDVIRKARTEIDNQVGLDRLMEESDLSELPYLKNIVLETLRLHPATPLLVPHMASEDCKIGSYDMPRGTTLLVNAWAIHRDPNTWDDPDSFKPERFDKEEEAQKLMAFGLGRRACPGSGLAQRIVGLALGSLIQCFEWERVGNEEVDMKEGVGNTVPKAIPLQAVCKARPFLHKILS >Al_scaffold_0007_3223 pep chromosome:v.1.0:7:19337022:19337854:-1 gene:Al_scaffold_0007_3223 transcript:Al_scaffold_0007_3223 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT5G36240) TAIR;Acc:AT5G36240] MPRHNDEAAEVCLRCGEFGHDMTLCKYEYSQEDLKDIKCYVCKSLGHLCCIEPSHSPSWTVSCYRCGQLGHTGLASCFICEGEGHFEHQCPNSSSVCFPENSSEEGCFEHQGPNSSSVCFQEIRREEGYLSSECPNSSGISSTLQGRKTRRLCYECKGKGHIARDCPNSSQDKYGI >Al_scaffold_0007_3225 pep chromosome:v.1.0:7:19343605:19346842:-1 gene:Al_scaffold_0007_3225 transcript:Al_scaffold_0007_3225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT5G36260) TAIR;Acc:AT5G36260] MVTMDLIRISRIVAVVLMVVIQVVSGNFVFNVTHKFAGKEKQLSELKSHDSFRHARMLANIDLPLGGDSRADSIGLYFTKIKLGSPPKEYYVQVDTGSDILWVNCAPCPKCPVKTDLGIPLSLYDSKASSTSKNVGCEDAFCSFIMQSETCGAKKPCSYHVVYGDGSTSDGDFVKDNITLDQVTGNLRTAPLAQEVVFGCGKNQSGQLGQTESAVDGIMGFGQSNTSVISQLAAGGSVKRIFSHCLDNMNGGGIFAIGEVESPVVKTTPLVPNQVHYNVILKGMDVDGEPIDLPPSLASTNGDGGTIIDSGTTLAYLPQNLYNSLIEKITAKQQVKLHMVQETFACFSFTSNTDKAFPVVNLHFEDSLKLSVYPHDYLFSLREDMYCFGWQSGGMTTQDGADVILLGDLVLSNKLVVYDLENEVIGWADHNCSSSIKVKDGSGAAYSLGADNLISASSVMNGTLVTLLSILIWVFHSFTS >Al_scaffold_0007_3226 pep chromosome:v.1.0:7:19348938:19352500:1 gene:Al_scaffold_0007_3226 transcript:Al_scaffold_0007_3226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI10] MIELPTGDFYLQFPKKTIKEVAEMFDTQGSLLLTYSGEPSETTTPSSKRSSDSSLSPADNSSTTKKLCLESINVVKIKQEKGVKTNEDKVDGVKLECVAVKQHEENTDEDKVNLDKLKGSDGNKIDATIPNRHYHWNFLAVLKPGLWYRMSDFEVVRPDEKKTKYSCFPVEIKCNADTTMWPITLECPYSFFDFVFPQTVEFAQEEDKEFVTEEQEYEVVDIQQCFVFLELCFHHDFMEKQRAGDDNVMMEVVAENQ >Al_scaffold_0007_3227 pep chromosome:v.1.0:7:19353137:19354828:1 gene:Al_scaffold_0007_3227 transcript:Al_scaffold_0007_3227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI11] MKKRNSLVDKGLTDATPSSKRKCSTPKSRVISNLQQPNSSEKQTSVPVKSIFGRVFTDITNLTPVVLEESLCPREKNLSVTDTGSLDENSYLTPVCVRQSLSSQEPYSLCSVPITASIQSSQCQGYINSTDIPIKQSTSSLASKDFLSSIRSEAIKSTLADENVQNLSSVRISQSYAQLGADTFLSDIRREATKNTIIQSNSGTQTLKSAKKRTPNRVLGDISNKPDRRRRHEPLGSSVLYSAQNKENYTPNFEYSEQQPKQRKSKKTPNLEDCRVNLFDSTPFEEEEISDLEQEYDVSSQEDMGSDSSSGYETELSVETDEVIHVQNKRGTCSKGRKGISLKRRKYTKGASNHIKRKTGYY >Al_scaffold_0007_3233 pep chromosome:v.1.0:7:19421040:19423405:1 gene:Al_scaffold_0007_3233 transcript:Al_scaffold_0007_3233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI17] MSITIRYRCIKFLDLRCHLPSENRQRVEMMQEHCLDTHSHQECIGSQLAVEEIPETTVMTVVVDLRCHLPSENRQRVEMMQEHCLDTHSHQECIGSQLAVEEIPETTVMTVVVGVVAAVALDVVIF >Al_scaffold_0007_3234 pep chromosome:v.1.0:7:19430779:19437872:-1 gene:Al_scaffold_0007_3234 transcript:Al_scaffold_0007_3234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MI18] MDKTWISLPRLIIVAIFVMVWGYEYEGTVRPLKRMIPPSHELDLTQLGAFDSARHGRMLQSHVHGAFSFPVERGTNPISRIYYTTLQIGTPPREFNVVIDTGSDVLWVSCISCVGCPLQNVTFFDPGASSSAVKLACSDKRCFSDLHKKSGCSPLEYKVEYSDGSFTSGYYISDLISFETVMSSNLTVKSSAPFVFGCSNLHAGLISLPETSIHGIVGLGKGRLLVVSQLSSQRLAPEVFSLCLSGGQEGGGVIILGENRLPNTVYTPLVRSQTHYNVNLKTFAVND >Al_scaffold_0007_3242 pep chromosome:v.1.0:7:19499307:19500919:1 gene:Al_scaffold_0007_3242 transcript:Al_scaffold_0007_3242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MI26] LYLKRSRPPPSNPTELPRTSSQTNLFSRGNSIGRVRVSSRAVPLAKPSDSPYYIGLERVKTDPYDRIKNTDGIIQLGLAESTLCFDLLQRWMSENLMESMMQSDDGKFDISSIAMYKPIEGLLELRSPRISYMHGSSTKLVSCLIIALRRAKRRNMERLVLACGGEAVNSVDDLTPDSLCWAEKAKDKHLKCHQDLKSRIQRKQKRSKPILVIIIRFWQEKEEGESRSLSG >Al_scaffold_0007_3246 pep chromosome:v.1.0:7:19540845:19541129:1 gene:Al_scaffold_0007_3246 transcript:Al_scaffold_0007_3246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI32] MASNLIPFKGFPTLEMIAKLKYNINTLNSEIETLIVTTHPVAISLLSETFLPPCKGGGQGQADEKEL >Al_scaffold_0007_3255 pep chromosome:v.1.0:7:19594378:19598586:1 gene:Al_scaffold_0007_3255 transcript:Al_scaffold_0007_3255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI39] VEAKKSLKKKNKKTKDKYKKRKENLFTQKVKKKKKKKKKKKKVKKKKKKKKKKKKTRNSNREKYKKNKTNYNNKKFVLKYKTSLKKIFFY >Al_scaffold_0007_3258 pep chromosome:v.1.0:7:19612078:19612503:1 gene:Al_scaffold_0007_3258 transcript:Al_scaffold_0007_3258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MI43] GRDFTATGWLIRDANGHLISSGCAKLQKSYLALQAEALGFLYALQVVWIQGHRCVYFEGDNMELVKLINTMGDHVKLGTLLYDIRQWMRKLPLVSLAHVNREKNAAADVLSNRVSSINSLYQTFTIPPAWLVNYLYYPFTI >Al_scaffold_0007_3259 pep chromosome:v.1.0:7:19618225:19619020:-1 gene:Al_scaffold_0007_3259 transcript:Al_scaffold_0007_3259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI44] MVAQKGQILSKGEESLRNRFPSPVCLCSSPFLPLSCLFFIVDKEGSRTSGWCRPCFSGGGVMCDSARGVGFGSVDGGGSRQADRRLFTMCWGDLRLFFSDLGWLARVLAVVVVLSRRPNRYRAGFRLSRLKQVQSRLVVRCAGAGGGGLDPRSFLLLGRGQEGGGSGCLRLGSVREGS >Al_scaffold_0007_3260 pep chromosome:v.1.0:7:19621576:19622531:1 gene:Al_scaffold_0007_3260 transcript:Al_scaffold_0007_3260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI45] MANPKHNQKNGFPAKSHHKKSIAGEDPDTDDCFSHGFEGPTSSLFVTPTSRGAAKYAVDHHLYDPPLLRYINCISGRIHRFLHSVDLPLQ >Al_scaffold_0007_3261 pep chromosome:v.1.0:7:19633252:19633852:-1 gene:Al_scaffold_0007_3261 transcript:Al_scaffold_0007_3261 gene_biotype:protein_coding transcript_biotype:protein_coding description:thionin 2.2 [Source:Projected from Arabidopsis thaliana (AT5G36910) TAIR;Acc:AT5G36910] MEGKTVISSLLIMRLVLTKIQVEAKKLCCPNQTARSKYLLCIRRPSPEHCLALSTCKIISGNICPPGYTNDIHENSGDAVNEYCELGCASSVCGALTTLQNSDASKALSEAVELCAKACSTVCTGGSTAAIKSA >Al_scaffold_0007_3262 pep chromosome:v.1.0:7:19636774:19639440:1 gene:Al_scaffold_0007_3262 transcript:Al_scaffold_0007_3262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI47] MAPVVEKVREEEEEEEGLRRDYPPLTQLFQSGDDSPRGSGSYPFRASGSTQVRGSGSVQGRGSVGSIHRLASRSNQPPAPVQPPAPVQPPASTQPAASNRQIPSRQQRPSPQPRASVSHHSSLAQNSHEESEDEEAEGESEEDVLRDSTLPEDVLADLHATLFIPGREKYTTVISPNLEPETTCLLGPLVYRLWMEVHETYLKNKAAKLAALQENDEQADGTSRRSELSQEEDDEIFLQSTVTNDRGEYFGVGSLGVYINGKRKYPGSSSSFTTLQSQLEDANRKIEEQAALQAEREAEALRVAAEIKHLAMVKKYLSETDPKSLFAYKLVITIKLATIYSSKISILSR >Al_scaffold_0007_3263 pep chromosome:v.1.0:7:19650016:19650715:-1 gene:Al_scaffold_0007_3263 transcript:Al_scaffold_0007_3263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI48] MAMKKTSHVLLLSLLLCLMFVIGLVEASIPGGDMGPEIYTPPSGSCGAPIAKYDSQVLLTKRPPPCRRPRLENTEDVTYTTRP >Al_scaffold_0007_3270 pep chromosome:v.1.0:7:19691962:19693770:1 gene:Al_scaffold_0007_3270 transcript:Al_scaffold_0007_3270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI54] MTTSSSWEMQLMETAKEELEILQSQYPNRFAYLKSDLQSFISHLREDHAPPRPSSSSSSSSVVLTQESSNCKNKQKHKKRKSVMDNFGDQASSSTIGKIHKNNNKIGQRVVTERKNRVEMVLERAQLCLQKIRDVKASLC >Al_scaffold_0007_3271 pep chromosome:v.1.0:7:19700955:19701363:1 gene:Al_scaffold_0007_3271 transcript:Al_scaffold_0007_3271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI56] MSSGRENFAEVMIEIGIQNNDRELHLDDMKANGAANRDYIKQIRDNIADKLI >Al_scaffold_0007_3274 pep chromosome:v.1.0:7:19719592:19721281:1 gene:Al_scaffold_0007_3274 transcript:Al_scaffold_0007_3274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MI63] MFFQWIKEASPEELSSKNYNMMLRILGVNGLVDEFWGLVDVMKKKGHGLSANVRDKVGEKFQKDGLESDLVRLRKLFASDCLDNSAENVCDRVCKIVMKEECGDDVEKRVRDLNVEFKSDLVKMIVESLDVEPRKALLFFRWIDESGLFKHDEKTYNAMAK >Al_scaffold_0007_3275 pep chromosome:v.1.0:7:19728133:19729249:1 gene:Al_scaffold_0007_3275 transcript:Al_scaffold_0007_3275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI64] MGVTWYCDGSKEERITYGGLNFSCYMKSARHVVSYANIKNDLEERLMMKSRNNDDYSTMIDDYYELSAYVHLLKVQNQDLSPKRKASERATFSDTYKIPFRNVKMFS >Al_scaffold_0007_3277 pep chromosome:v.1.0:7:19809005:19810344:1 gene:Al_scaffold_0007_3277 transcript:Al_scaffold_0007_3277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MI67] MNALSWMLDAKLNAQYKKIIKGVSDWFIANTLISAENREDVLAENGKEVSAEKRKGKKLLVPQSNPLHISVGDDDFDTLFPLRPPSRRSSRIGKAAHRVPQAPDEGLGLINSVEGIASYYNRFVSGDCSGSRSGDGLASHVDVEENDLVNCQSLKEGSKVSIKDGNNGNTAVNNNDDNLEGDHAIGDPVDNVRALEEITASVGNQQATQEVDSESATVVSSESGVSVNYDSSRVDEDVFEFSTL >Al_scaffold_0007_328 pep chromosome:v.1.0:7:1480529:1483331:1 gene:Al_scaffold_0007_328 transcript:Al_scaffold_0007_328 gene_biotype:protein_coding transcript_biotype:protein_coding description:nicotinate phosphoribosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT4G36940) TAIR;Acc:AT4G36940] MEKKENGLDVKQSGRVINGPTNPMVTPLLNDLYQFTMAYAYWKAGKQSERSVFDLYFRKNPFGGEYTIFAGLEECIKFLANFNLTDEEIDFVRDSLPGCEEAFCDYLRGLDCSDIEVYAISEGSVVFPKVPLLRIEGPVAVVQLLETPFLNLINYASLVATNAARHRFVAGKSKLLLEFGARRAQGPDGAISASKYCYLGGFDATSNVAAGRLFGIPLRGTHSHAFVSSFMSLDEIVDKVLRSSDGKSTCEDFICLVQTCLTRIQNSSSLQGIFSETNQSELAAFISYALAFPNSFLALVDTYDVMKSGIPNFCAVAVALNELGYKAVGIRLDSGDLAYLSAEVRKFFCAIERDLKVPDFGKMIITASNDLNEETVDALNKQGHEVDAFGIGTNLVTCYAQAALGCVFKLVEINNQPRIKLSEDVTKVSIPCKKRTYRLFGKEGYPLVDIMTGENEPPPKVGERLLCRHPFNESKRAYVVPQRVEELLKCYWRGNADEAREELEPLKELRNRCIKQLENMRPDHMRRLNPTPYKV >Al_scaffold_0007_3284 pep chromosome:v.1.0:7:19883002:19888908:-1 gene:Al_scaffold_0007_3284 transcript:Al_scaffold_0007_3284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI74] MLKEFKGKGIDSFIDNDIERTKSIGPELIEAIRGSKIAIVLLSRNYASSSWCLNELMEIMNCREDLGQIVMTIFYDVDPTDVKKQTGDFGKAFKKTCKGAMIEKIATDVSNVLNNATPSRDFDAFIGMGVHIANLGLLLRLDLDEVRMVGIWGPSGIGKTSIARSMFNQISSSFQLSTIMVNIKGCYPNPCLDEYRAQLQLQNQMLSQIINQKDIKISHLGVAQERLKDKKVFLVLDDVDRLGQLVALANIEWFGRGSRIIIITEDLRVLNAYGINHIYKVDFPSIDEAIEIFCMYAFGQKQPYHGFALRGMSKYEWKITLPRLKTCLDGEIESILKFGYDVLCDEDKELFLYIACFFNSGPIYKLEELLKNYLDVGKGLRILAEKSLIHTLVGAGFVKMHDLLVQFGKEISRKQFNHGFGKCQILVDARDICEVLSDDTTDGRRIIGINLDLSQIEENFNISEKAVKKLSNLRFLNIYSSDLPHPDRLHTMQGLNCQYFRKLISLRWMHFQKTSLPSTFNSEFLVELTMHDSKLQKLWEGTKPLRNIKWMVLSNSKNLKELPDLSTATNLETLILENCSSLMELPSSIGKLSNLDYLCLGGCSSLLELPSFTKNVTGLVDLDLRGCSSLVEIPSSIGHAINLRILDLSKCSSLVGLPSFVGNAINLRNVYLKGCSNLVELPSSIVDLINLEKLDLSGCSSLVELPCIRNAVNLQMLDLSDCSSLVKLPSFVGNATKLEKLNLTNCSNLLELPSIDNATNLQELLLENCSRLMKLPSTLRNAINLQLINLKNCSNVVKIPAIENVTNLNLLDLSGCSSLVEIPPSIGTVTSLHKLYLNRCSSLVELPSSIGNITSLQELNLQDCSNLLALPFSIGNLHKLQELHLSFFFFVKQLHLSRCSKLEVLPININLESLKVLDLIFCTRLKIFPEISTNIVYLNLVGTTIEEVPLSIRSWPRLDIFCMSYFENLNEFPHALDIITCLHLSGDIQEVATWVKGISRLDQILLYGCKRLVSLPQLPDILSDLDTENCASLEKLDCSFHNSEIRLNFANCFKLNKEARDLIIQTSTSKYAILPGREVSSSFTYRAAGDSVTVKLNEGPLPTSLRFKVCVLIIYKGDEKAGDTNTKHGEFFIFYLQNGNIGYKYLDPLVTGHQYIFEVEAEVTSSEFDFYFAIGREEWKIVECGVCELCESLHVDGGCEVDREACGARKITGSLLFELVA >Al_scaffold_0007_3289 pep chromosome:v.1.0:7:19937555:19938674:-1 gene:Al_scaffold_0007_3289 transcript:Al_scaffold_0007_3289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI79] MTKDSSMADAIYIKGVAVTKYKRSAVKVSEEWPSKYSKFLVQLDDGLELSITDKRRLAKVRLLANPTSVSPISELHPNALLEPMTVEEFAASLAKKKITIKPLLLDQV >Al_scaffold_0007_3290 pep chromosome:v.1.0:7:19943377:19957141:-1 gene:Al_scaffold_0007_3290 transcript:Al_scaffold_0007_3290 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37140) TAIR;Acc:AT5G37140] MKTIPDRFRSVDEYLQCFVPHLLEETRTELFSSFKSLSKAPVFQICSVETKEASGSSSNKFFYDIKISNALGTIGANYQPKCGDLIALTKERPRRIDVLNPLLLAYVSSDYDLIISVHSSRSISYHELNHQLEETSLQFGVFLMNLTTNTRIWNALHNEAANSTLIKSVLQENTLATEQYVCCANGADGSDRVSDIIRSAKLNSSQEAAILSCLKTRNCIHKHSVKLIWGPPGTGKTKTVATLLFCLLKLKCKTVVCAPTNTAIVEVTSRPMSLFKETSSPEHSTYGLGNIVLSGNRARMGIKENDVLLDVFLDERIGILANLFSPTSGWKQRLESLINFLENTEAKYEHYVDLLKEVETMREEAEKKAKAVKILTFGEFVKKTFDGFSEELEKNIVDLYTHLPKSFVSSEQVESMVAARQVLQRVRYFLQENFSRYDLKKGGFKFDCFKRISADCVQTLRLLPQRFEISDLLENKDTKTFCLQNADIIFCTASGAADMNPVRTGSIDLLVVDEAAQLKECESVAALQLSGLRHAVLIGDELQLPAMMWQICEKAKFGSSLFERLVLLGHNKHFLNVQYRMHPSISRFPNKEFYGGKIKDAANVQESIYQKRFLQGNMFGSFSFINVGLGEEEFGDGHSPKNMVEVAVISEIISSLFKVSSERRIKMSVGVVSPYKGQVRAIQERTKNKYSSLSGELFTLNVRSVDGFQGGEEDVIIISTVRSNGNGKVGFLNNRQRANVALTRARHCLWVVGNETTLALSGSIWAKLISESRTRGCFYDATDEKNLRDSMSDALLEDVSSSFGSLSIRNGYGKRNAW >Al_scaffold_0007_3295 pep chromosome:v.1.0:7:19977975:19978613:-1 gene:Al_scaffold_0007_3295 transcript:Al_scaffold_0007_3295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI87] MVEENVGSDGCNFQSTRDGFEDRQEKMMGRIDRIHRIQEAKVTPTNPTSGKQTRVFAFKNPNLVTCVEKKSKSNENEAKFHT >Al_scaffold_0007_3298 pep chromosome:v.1.0:7:19995038:19999355:-1 gene:Al_scaffold_0007_3298 transcript:Al_scaffold_0007_3298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI89] MSYVMPKSYTMQCLVLLSALLLSSQLLSVQSQEGKALFSSCQTVRGNFTVNSIFEVNLKSLISSLSSLPPTEDGFYNVSFGETDNEKVNSLVLCRGDVKPIECIGCIIRAGQEIRERCPNEKEAIIWYDNCMFRYSNRTILNTMETSPGYSFATDFDFPGEKGAWENMLTTLLEGLMSRAAAGGERKKFAVSKKSGPSLQTLYGLMQCTPEISERDCIDCLTWNIGRIPILCNTKMGCRQATVSCNLRYATSRFYDLTAEEQPRALPPAPLPSPPPPSNERVSDGKGFAIDDVKGVVIIVGGVVLLIVSCVVIVLTLKKKKHNRQNERREDSLKFEFSAIRSATNNFSPLNELGKGGFGKVYKGILNGKEVAVKRLSENTKQGEIQFKNEVLSMANLSHRNLVRLVGFSAENNERALIYEFLPNKSLDNFIFDKALGWATRYGIIVGVARGILYLHQDSHARIIHRDLKPGNVLLDKDMTPKIADFGLAKLFDESQISQRFTENIMGTEGYIAPEFRNEGRISFKTDVYSFGVLVLEILSGKSIWNSKMGENGEDLITYAKRMYKKKPLKPEDSNLDKRDKEDILTCISIGLGCVEYNPSDRPEMRNVVQMLEKTNRSQAASEQRTTKGRKSRVNV >Al_scaffold_0007_3299 pep chromosome:v.1.0:7:20001429:20006151:1 gene:Al_scaffold_0007_3299 transcript:Al_scaffold_0007_3299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI90] MVDNDGESKGSKDEYYKQVKQKQEAKRVAKAEIYSREVSGGRDGIHRIDPGNATRILKTRERITGISTYEKKVNSCKGHVRDIY >Al_scaffold_0007_3305 pep chromosome:v.1.0:7:20054918:20055314:1 gene:Al_scaffold_0007_3305 transcript:Al_scaffold_0007_3305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI97] MTITLDQVALVLGLDNDGDPIVGSKVGDEVAMDMCGRLLGKLPSAANKEVNCSRVKLNWLKRTFSECPEDASFHVVKLLFLLLRTDGDKVSVEVMESVKDTFTNSLTIGGWQEVADNSG >Al_scaffold_0007_3307 pep chromosome:v.1.0:7:20065496:20067688:1 gene:Al_scaffold_0007_3307 transcript:Al_scaffold_0007_3307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI99] MYICEISGSDDDDGLGCTGNLFRSRKGLSCDHGFVGGCEDTLNKLRPMDPKTEISGVSKSSGGAFWIHKLSFRNCIGARRSDSRRIEFHHWKRNLRISDEIRVFFLRSLKKQFLASRLVGFLRFRRWTFHGAGGYPKRFKSRREKISELLFSCRVNRCIRCDHLELTIPGDDEEGEANLGCGVAGDDGVTRPISVLLESLRKLQLMSLNLDILKVLRFFFQSTEIGKAVNGVGSRNSKLLMQTQEVKSSRTLTRSECGNQRVLVVFLSREVTVLILLCSWQKLKALDADAKFQFAKRKLQASYQQHENGRFRLGH >Al_scaffold_0007_3308 pep chromosome:v.1.0:7:20084469:20085467:-1 gene:Al_scaffold_0007_3308 transcript:Al_scaffold_0007_3308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIA2] MSTMVKSEEAKLENDNPKIVEQVKLSNGFEDQKSSPLPNEETKEETSRGETSGEKPGKEKGVVQDTLQDRISSVATKKRNTPIWFRLVASNQDNTIAPLQQISSSSIRVKNSNMQLSFIKKYLVKKLDLKSENEVELYLENEPLDSSIMLPDLWQYWISTKKDVDVRNVKVGSSGADYIMAINYGRKSGNVI >Al_scaffold_0007_3311 pep chromosome:v.1.0:7:20095915:20096145:-1 gene:Al_scaffold_0007_3311 transcript:Al_scaffold_0007_3311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MIA5] CSNRFASLSTKMQLVLHLVNLHAPDIRRGLSEIHNSICALCDLHKGQESRSHGSNAQVSLNSFHQLKKETSILPEV >Al_scaffold_0007_3313 pep chromosome:v.1.0:7:20101204:20101819:-1 gene:Al_scaffold_0007_3313 transcript:Al_scaffold_0007_3313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIA7] MAEAAEPMTDNVEMPLFCYINTCLVSSISKLRSIIQHIDFRLGQLHVTDPRFPRYRVSVKRVVCRDFMPFDKHVKNILRNVLGFQDHRQPKPIYHVPDFLLEVHPLKYILENRRTRFRNIMVISSDYDFFYTTKNLMNGDYRVFLARFETSDPEFDDYVD >Al_scaffold_0007_3320 pep chromosome:v.1.0:7:20155139:20156140:1 gene:Al_scaffold_0007_3320 transcript:Al_scaffold_0007_3320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIB4] MKWDVKELCAEYKKECKIGHYKSYEYKNGCQGGGLARDVDIQRGCFTAVRHKYVPVGEGVMVSYFNWDDKKRGTQTLSYSQDSSRGLQNSVVLTSGTVNALEDAHKKRGNEFSGASSSRCGWLVFDRGNKNRISGDVMNIVFSVLDLKKCFLQGGCSENKKRGNAFRVALQDGLLKNSITFSWPKLPKLSSCYTLDLIQYKTKLKFGFKVLLSTFERVSKKLKDCKTHEASRAKVKLSQRAFPRIVATDSTFNFFPP >Al_scaffold_0007_3321 pep chromosome:v.1.0:7:20188683:20192344:1 gene:Al_scaffold_0007_3321 transcript:Al_scaffold_0007_3321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIB5] MATCSRKSAAKVNLLGKRKPEEKFKPILKKHKEKFEHKEAAVTKKKLFVDNLSPEIKMSNIIDFFKNVGRVVRVQLILDLKNKLAGSGFVEFASANEAEKVALEEKNGEFLLNRKIFIEAPKTAPRPKYCIDHKVWYEDYLRQESLPIDDDETPHDFVEQVLFVTNLSPQTKISDIMHFFKNVGEVVSVRLIVNHVGKHVGYGFVEFASANIAKMALEEMHGEYLLDHMIFLHVAKAAPYPPRPKYNLAEMLCYEDYLRRGSLLIEEEEDVSVEGPDESPKPFVEAVAIRKKTLFIANLCHKTKPSHIINFFKDVGEVVHIRLIVDHKGKLVGYGFVVFASANEAKKALEKKNGEYLHDRKISLGEATIAPYPTPKYCIDHKVWNEDYLRREKLLAEEDEAVEGLDKTPVFVEDLGVRKKTLFVANLYHKTRLSHIISFFKDVGEVVCVRLIVDHMSERLGCGFVEFASSYEAKKALETKISKALMGQFIFLDVAEIPLCPLRPKYNLAEKLWYEDYLNQESLDDDLETKPNLKKVPLMGLFCGKKVTISDDGDEDGDLY >Al_scaffold_0007_3322 pep chromosome:v.1.0:7:20221009:20221510:1 gene:Al_scaffold_0007_3322 transcript:Al_scaffold_0007_3322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIB7] AVCQFVTNVNMSNGRVPLPTNRPNGTACPGTMPSTSTSTPPSQTQTVVVENPMSVDESGKLVTNVVVGVTTDKK >Al_scaffold_0007_3323 pep chromosome:v.1.0:7:20229364:20230575:1 gene:Al_scaffold_0007_3323 transcript:Al_scaffold_0007_3323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIB9] MLKSVFRRDFETQRLQLRLREELRQKKFLVHEKDEIIKIATKAKREVETKNKKFLVHEKDEIMWEKRKVQSKVLVEKTRKEILVSASHEELAMIVDHLFMRQEYKSARVLYDLCVSCNHGLHSQRYFVGIGTIITQHKAGKGRDPSGDKPQ >Al_scaffold_0007_3328 pep chromosome:v.1.0:7:20280135:20280622:-1 gene:Al_scaffold_0007_3328 transcript:Al_scaffold_0007_3328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIC4] MFGYARLNTLMLSQSCVLDYEDRTSILVYSNDIPGVKNLKLKTSGFAVQSFGLNISLLTVHMVHSETLLVGLVGLVGLVGLVGLVGLVGLVGLIGQLGLMGPYNNVSFLFVECDKYLYLALFTKLG >Al_scaffold_0007_3337 pep chromosome:v.1.0:7:20385240:20386632:-1 gene:Al_scaffold_0007_3337 transcript:Al_scaffold_0007_3337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MID3] MANNSSLEASPSQPPLFLEVFCEVSGKEYRFTSGTKAKFAVSVINKKLGSLKPRVVFIEAVKDGEEPISFGDDACLVNYGHGWKLKTVVDSDFPGTEKNNLQQHFPSVISMGFDSKDSKYTKDSRPEIGDQSLKYIGRIFFAFVLMFILGGLFTVALENLPRLILLFKNSSM >Al_scaffold_0007_3350 pep chromosome:v.1.0:7:20496089:20496800:-1 gene:Al_scaffold_0007_3350 transcript:Al_scaffold_0007_3350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIE4] MDSHFGVETPVEKKLEDVMPIATGHEKRSLKLNWRVEESSNTATERKEGGRDVVVAESEELRIEESSNTVEESETEAENEEKTELTIEEDDDDWEGIERSELEKAFAAAGKLLLPLKGHVERHSPWPSWSLLVPNGTILFDVSTFLTLQLSF >Al_scaffold_0007_3352 pep chromosome:v.1.0:7:20530291:20531470:-1 gene:Al_scaffold_0007_3352 transcript:Al_scaffold_0007_3352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIE6] MTLRLERPEGVLRPDLVVIEIEFRLVYVGVRSEPKWLGFYRIYLVMEDSERCPNGFPVILSGSLVLVWVFNWLIYEIGTPFVRFSFSVYRGFCSKLDAGSGSNREASPIWFYGGLEGVDGWSVEQSKSWRWAIGQRFPQGNGLWFSNLLVFEMIYHPFLMIDLFQRSLVSYKVSYSHNFVGRERNISVEISDQKGKHCSRLEKLILGGFSSEDEYGLNVEDILEEIQKGDTDYKIMEKYYWLYAISELWYLVGKKGLAINVCFTSRSIANQVNEGQNLIYHDMVKGFTDGRNPPILKVDK >Al_scaffold_0007_3355 pep chromosome:v.1.0:7:20546113:20547957:-1 gene:Al_scaffold_0007_3355 transcript:Al_scaffold_0007_3355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of unknown function (DUF577) [Source:Projected from Arabidopsis thaliana (AT5G37650) TAIR;Acc:AT5G37650] MKAREILATPSHEGLTMIIDQLFTRKQSEEYKTARTLYDFFVSNFPNCLTLKLLKIYLHSSDEVLRLRSICYLSETLPGLTNRNFKLSLIALHEIKPLLISCLTRQNPRKCDTNFLRVIVSFVAENVMSSYNGRWEELSEYILLLVNQDPVRAFNYFIELPLLYGDFINRFLEKLREEVYKVLLHPEKDKEEEWVLALTSAVKMGIEISDSETRFDLRREILHNVMKSAFDVMWMGMEREFLIRGLQYIDKYLAKEAKSCKWSSKQCGFVAAFAYGIAGVGTNTKEDAKKIFVMVTNMDKYVPNPALKLEHFSVDNQDLGVESDRELYYYFWQRTPMEVLSFFATSGSDYRSREIAIKRLYDSLCDHTSSLFEIDVAEIRDLQPLLITCLKEEGMPENIYKILGQVVFHVAQETFTYEKDPWFDLWDYIGSESKAEFKKAVYIFQCLTMRLEYKDIVVPAITNLLPEIHRNLTPPKELLVDNSSWVLAFTGAYCSAIHLLEVTSHAGFVKEIAHKMIDSVKELVERGMEVGLVRRAFRDLESIVEKQWDWYMTREYRFLKGLLWRLYAIKGMKMESKYVLWRINVNIERSVDKNLKVLPKSEFDWLNLPEPLEN >Al_scaffold_0007_3356 pep chromosome:v.1.0:7:20549280:20549792:1 gene:Al_scaffold_0007_3356 transcript:Al_scaffold_0007_3356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MIF1] FASLFYDPAGSSSVSAVATSEDCSHFYCNDCVSKYIAAKLQDNILSIKCLVSGCESSGRLELDKCRQILAREVFDQWGDALSEEVLMRSKKFYCPYKDCSALLFIDESEVKMKDSECPHCHRMVCVECGTKWHPEITCEEFQKLAENERGRDDILLATMAKKKKWKRCPS >Al_scaffold_0007_3357 pep chromosome:v.1.0:7:20553780:20556335:1 gene:Al_scaffold_0007_3357 transcript:Al_scaffold_0007_3357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (CC-NBS-LRR class) family [Source:Projected from Arabidopsis thaliana (AT1G15890) TAIR;Acc:AT1G15890] MGGCVSLQIACDQTLSRTCGCLFGDGNYIHLMEANLDALQKTMQELDERRDDLLRRVSIEEDQGLQRLAQVQGWFSRVEDIGSQVNDLLKEKSAETKRLCLFGYCSSKCISSCEYGKKVSKKLKEVKELLSKGVFEVVAEKVPAAKVEKKQIQTTIGLDSILEKAWNSLINSERTTFGLYGMGGVGKTTLLALINNKFVQMVDGFDVVIWVVVSKDLQNGGIQNQILGRLRLDKEWKQETEKEKASSIYNILTRKKFVLLLDDLWSEVDLNEIGVPPPTRDNGSKIVFTTRSKEVCKDMKADDEMKVECLSRDEAWVLFRNIVGETPLKCHQDIPTLARKVAEKCCGLPLALNVIGKAMACKEDVHEWRHAINVLNSSSHEFPGMEEKILSILKFSYDGLGDEKVKLCFLYCSLFPEDYELKKEELIEYWICEGFINGNIDEDGSNNQGHAIIGSLIRAHLLMDGQFTTMVKMHDVLREMALWISSNFGKQEKKLCVKSGAQLCNIPKDINWEIVRRISLMSNQIAEISCCPNCPNLLTLLLRNNSLVDISGESFRFMPVLVVLDLSKNHSLYGLREEISCLSSLQYLNLSSTWIKSLPVGLKGLSKLIRLDLEFTFGLESIAGIGTSLPNLQVLKLFHSRVGIDTRLMEELQLLQDLKILTANVEDASILESIQGVEGLASSIRGLCLRNMFEEVVILNTVALGGLRRLAVQNSKILEINIDWENKEREELLCTSSLGFKHLSTVSVYSLEGSKNLTWLLFAQNLRYLTVSDSSCIEEIINWEQGIYISNVCPDILVPLGKLESLEVTNLYALKRICSNPPALPNLRQFVVERCPNLPKAATEFLRYEQE >Al_scaffold_0007_3358 pep chromosome:v.1.0:7:20559350:20563502:-1 gene:Al_scaffold_0007_3358 transcript:Al_scaffold_0007_3358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid cyclases/Protein prenyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G32030) TAIR;Acc:AT3G32030] MEAAKTVFGLGTLSDLHQPPMFLKTSHSLFSRPSLSLKPMKPNFFCVKANTKSSTSDDLESGRPLTHFAPTFWGDYFLSLSVDDSEFDKIEREIESVMKPNVRDKLISSHNSNKDKIRLIHLLISLGISHYIETEIEMILNQTFKELDGIISEEDDLETISIMFEVFRLYQHKISCDTFVRFKGKDGRFKESLVADVRGMLQLYQAAHLGTPSEDIMEEAKSFTRNQLESLVTSTTIPPHLSSHIRNALYRARYHNMEILVAREYISFYDQEEGHDLTLLKFAKLNFNYCRLLYIQELKTLTKWWKDLDIPTKLPYVRDRIVESYFPAVGIHFEPRFSLGRIIAAKIIIVLVALNDTCDSYATFPQAKSLIDSLQRWDLEGIDELQSYSRIVVRLILETMGEIFFLVSETMGEIEREMMPRGRSACVKQTIEETKNLGRSYLALSKWASEGHVPTFDEYMEVGLVTAGMDDFALYSFIAMEDCDEKQLYEWFNSKSKIFQALCYMYRVNNDIITYEREMSKGEVVNGVNSYMNQHGVTKEKAVEELSKMARDNYKIVMEELLTTTDVPRQVLVRCLNIARLIDVFCKDGVDEFSNSHGKLKDLITSLFIYHIPV >Al_scaffold_0007_3360 pep chromosome:v.1.0:7:20567139:20568349:-1 gene:Al_scaffold_0007_3360 transcript:Al_scaffold_0007_3360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIF5] MCSSMELLPNHVVELILQRLPVKPLSRFKSVSKTWKSTIESQRFQQEQLSRRMQSQDPDVLFVPFEDFDYPRTRVKLGSSIVTTINKIPLSYYSQGHVFDFSTNAWRYVVPASPYRILYHNPVYLDGSLYWLTEEETNVLILRFDLHTETFQVISKAPFDHLPNPDKINMCILDNRLCVSELSWLQQVIWSFDSGTWKKLCSLDFTKSMSWFRDPCDPALPIAILEKKKLLLQETSLQGHEQDKAKDLDLGFRNCCHNEILN >Al_scaffold_0007_3361 pep chromosome:v.1.0:7:20572307:20573687:1 gene:Al_scaffold_0007_3361 transcript:Al_scaffold_0007_3361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIF6] MNPYTQSAGFMDLLTSKQENPSLHHSPFESDSPSIVTQIEEGYVHSREKNLKKTKHMETRPHGSQVEEQRQRLRMEKADRAERIKRIMQIKQN >Al_scaffold_0007_3366 pep chromosome:v.1.0:7:20621866:20623649:-1 gene:Al_scaffold_0007_3366 transcript:Al_scaffold_0007_3366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIG1] MYDSFPAGEYNIRSSTPVDVMAGVEDIVSFYNSNNVGGDGNNVAGVPPNLDNVMNENEKTMPPPGKPADNVNGLLPELSSSLPDSSMQGDDMETSYPRESESGSETTRGSQEEAIRPLHSDLPPTTDADPGDTNLPASSSPTLPVLPDGSGAIVGASDSVLVMVNNSAEALEHRAHEQANSSPLESTSAVEEEKDSDQANNNPAKSTTVGEEQKDSDEDFTTPPPSTQSRSNVKVIL >Al_scaffold_0007_337 pep chromosome:v.1.0:7:1523028:1523621:-1 gene:Al_scaffold_0007_337 transcript:Al_scaffold_0007_337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MB05] LWKIYRERERERERERERERERERERERERERERERERERGVLYKSTNEMKSSTKHKTLRQ >Al_scaffold_0007_3370 pep chromosome:v.1.0:7:20658702:20659239:-1 gene:Al_scaffold_0007_3370 transcript:Al_scaffold_0007_3370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIG7] MKGKNKKLLVSVNVLGSVGPIRFLANEDDEVSNVINTTLKAYARQGRIPVLGFDVDNFIFYSINAGFNTLNPQEKIGSMDETNFLLCKKEPNPQEKVERRGESKAWIGHGWKTRFLRSLLR >Al_scaffold_0007_3376 pep chromosome:v.1.0:7:20690134:20692320:-1 gene:Al_scaffold_0007_3376 transcript:Al_scaffold_0007_3376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIH1] MSMGSDTTWVGKKPIRRIGGLSDALSIASDLGFAVAPPPSQEELQSLASSNGERGDDLIRVLRELSAVQRKIADLQVELQGRKDDKNVAHLTHVSEMQKKIETLSRITKILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTASVSDFQWSQNFKEPPSVWGEMLRPIPVALASCTRFFEAMSAMRESFATLQKLRVGNSVVSVPTTPGGNEMTHRDLDCMTPPQGRTESSFDDLAVHNTRRQNNDQNEEEEEEEDGNNNSNRRISWPPSVKKSSV >Al_scaffold_0007_3393 pep chromosome:v.1.0:7:20820782:20822592:1 gene:Al_scaffold_0007_3393 transcript:Al_scaffold_0007_3393 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOD26-like intrinsic protein 4;2 [Source:Projected from Arabidopsis thaliana (AT5G37820) TAIR;Acc:AT5G37820] MTSHCEEIEAEQISRIEKGNGKDSQGGIETAICTSPSIVCLTQKLIAEMIGTYFIIFSGCGVVVVNVLYGGTITFPGICVTWGLIVMVMIYSTGHISGAHFNPAVTVTFAVFRRFPWFQVPLYIGAQLTGSLLASLTLRLMFNVTPKAFFGTSPTDSSGQALVAEIIISFLLMFVISGVATDSRATGELAGIAVGMTIILNVFVAGPISGASMNPARSLGPAIVMGRYKGIWVYIVGPFVGIFAGGFVYNFMRFTDKPLRELTKSASFLRSVAQKNNASKSEG >Al_scaffold_0007_3394 pep chromosome:v.1.0:7:20827695:20828918:-1 gene:Al_scaffold_0007_3394 transcript:Al_scaffold_0007_3394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MII5] MNAESNHELGAGPLVLVGKATTDGTFNARAKATVTEQVILKANATLTTALVSLEYMGLSSRTQLQLGTNYGLGGAIFYRHNSEIVYCMPQRASPHLSLRLSCRENIDSDSVACGLVESGMRTGKIILSAKMVDLKKRDVVFECGYL >Al_scaffold_0007_3395 pep chromosome:v.1.0:7:20837164:20841055:-1 gene:Al_scaffold_0007_3395 transcript:Al_scaffold_0007_3395 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxoprolinase 1 [Source:Projected from Arabidopsis thaliana (AT5G37830) TAIR;Acc:AT5G37830] MGTVIEEKLRFCIDRGGTFTDVYAEIPGHSDGHVLKLLSVDPLNYDDAPVEGIRRILEEYTGKKIPRTSKIPTDKIQWIRMGTTVATNALLERKGERIALCVTKGFKDLLQIGNQARPDIFDLTVAKPSNLYEDVIEVDERVVLGLDGDDDDDNLIKGVSGEFVRVVKPFDGDGLKPLLKGLLDRGISCLAVVLMHSYTYPKHEIAVEKLALEMGFRHVSLSSALTPMVRAVPRGLTATVDAYLTPVIKEYLSGFISKFDDGLGKVNVLFMQSDGGLAPESRFSGHKAVLSGPAGGVVGYSQTLFGLETEKPLIGFDMGGTSTDVSRYDGSYEQVIETQIAGTIIQAPQLDINTVAAGGGSKLKFQFGAFRVGPDSVGAHPGPVCYRKGGELSVTDANLVLGFVIPDYFPSIFGPNEDQPLDVAATREAFEKLSGQINSYRKSQDPSAKDMTVEAIAMGFVSVANETMCRPIRQLTEMKGHETKNHALACFGGAGPQHACAIARSLGMKEVLVHRYCGILSAYGMGLADVIEDAQEPYSAVYGPESLSEAFRRETLLLGEVREKLQEQGFDDGNISTETYLNLRYDGTDTAIMVKGKKTGDGSAFDYAAEFLKLFEQEYGFKLQNRNLLICDVRVRGIGVTSILKPQAVEAAPGTPKVERHYKVYFEGGWHDTPLFKLENLGFGHEIPGPAIIMNGNSTVIVEPQCKAIITKYGNIKIEVESAMSSVKLAENVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFSPDGGLVANAPHVPVHLGAMSSTVRWQLKHWGENLNEGDVLVTNHPCAGGSHLPDITVITPVFDNGKLVFFVASRGHHAEVGGITPGSMPPFSKAIWEEGAAIKAFKVVEKGVFQEEGIVKLLQFPTSDETTAKIPGTRRIQDNLSDLQAQIAANQRGISLIKELIEQYGLGTVQAYMKYVQLNAEEAVREMLKSVAIRVSSETPNSRVGNSVTIEEEDYMDDGSIIHLKLTIDADKGEAFFDFTGTSPEVYGNWNAPEAVTSAAVIYCLRCLVNVDIPLNQGCLAPVEIWIPAGSFLSPSEKAAVVGGNVLTSQRVTDVVLTAFQACACSQGCMNNLTFGDDTFGYYETIGGGCGAGPTWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHKFGLRENSGGNGLHKGGDGLVREIEFRKPVVVSILSERRVHSPRGLNGGQNGVRGANYLITKDKRRIYLGGKNTVHVEAGEILQILTPGGGGFGSKV >Al_scaffold_0007_3400 pep chromosome:v.1.0:7:20858994:20861897:-1 gene:Al_scaffold_0007_3400 transcript:Al_scaffold_0007_3400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIJ4] MKDYDERTIWTCDHLPLMTSYNKGKMQHSVWKAEFIDSNLEASASCSSGVVPDAVFPKRVAEYGKPKEMIKHCGGLPLAVKVLGGLLAAQHTSRQWKMISENIKAHIVEGRISSNDDDSSTVNHVLSLSYEGLPSHLKHCLLYLASFPEDHKIKIDRLSYVWAAEGITNPRHYDGASIRHNADLNIKELVKRNMVISERDVFISRFEYCQLHDLMREICLLKAKEESFIKIVSDPASRSRRLVVFCTDTFNGERDIENSKLRSLLFILVSYRFAMRSDFMMLPLLRVLDLSRAKFEGGKLPSSIGKLIHLKYLSLYMAYVTHLPSSLRNLKSLLYFNLEIYNPRGDAHYVPNVFKEMLELRYFSLPRRTTSRTKLELGNLIKLETLKNFSTENSNVTDLHCMRRLRSLQILISGEEWRMETLSSTLSKLGHLERLLIKSPKNSVHLKHPKLIYRPMLPDVQHFPSHLTTISLNGSRLEEDPMPILEKLLQLKWVSLWENAYVGRRMVCSSGGFPQLHGLSISILEEWEEWIVEEGSMPQLHTVFFDINKENSAPVHTPRIEYCKKLKELPDGLRFITSLQELSILTNEREIQKKNEREFQKKMKNE >Al_scaffold_0007_3404 pep chromosome:v.1.0:7:20913385:20914530:1 gene:Al_scaffold_0007_3404 transcript:Al_scaffold_0007_3404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIJ7] MGSTMIHFTSDSCDGGYFDIASLNPNMGEWSVSMKILNCWSVSRGSGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPIPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVFGKIVNVRELIFVPSVEHSQGRYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHCSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0007_3409 pep chromosome:v.1.0:7:20968180:20969394:1 gene:Al_scaffold_0007_3409 transcript:Al_scaffold_0007_3409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIK8] MARCAPRHKKNLNKSRRRGTVYDASALREPWQKRRCAMRALYCVVAQQKESEIFISDTIADHPSQEMDPGRKHGTPDPTNRNKWTCNHCFKTTNGGISRHKQDLVGGFRNATKCKECPEHVREEIKAFMMRKAELKAAVQMTPPPPEFEDYDDGGEEKDYEPKNKAGPSQRKKPRHKGPLDRFIISPPPDLLNARKERKGIFGACDKEWLYL >Al_scaffold_0007_3410 pep chromosome:v.1.0:7:20970462:20971475:1 gene:Al_scaffold_0007_3410 transcript:Al_scaffold_0007_3410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MIK9] MDGGILVVLGPDGPMPQTKEHILLTRQVGISSLVCFLNKVDVVDDPKLLELVEMELRGTNDEIGRQAILKLMDAVDEYIPDPVRVLDKAFLMPIEDVFSIQGTIKVGEEVEILGLREAGDHVGLLLRGLKRADIQRGM >Al_scaffold_0007_3414 pep chromosome:v.1.0:7:21030907:21031293:1 gene:Al_scaffold_0007_3414 transcript:Al_scaffold_0007_3414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIL3] MVRISVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSR >Al_scaffold_0007_3418 pep chromosome:v.1.0:7:21044265:21044474:1 gene:Al_scaffold_0007_3418 transcript:Al_scaffold_0007_3418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M6C6] QNRSKPREHRNPNLQSDNPIEAVFPRSCRHSPEQDHRRARKTRSNGEDDTGPSPSRHRRAVKRRKKKPS >Al_scaffold_0007_3419 pep chromosome:v.1.0:7:21050008:21050373:-1 gene:Al_scaffold_0007_3419 transcript:Al_scaffold_0007_3419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIM0] MGQRALPRVQTVLETELEALRWAVLTMSRFNYRRVIFESDSQHLHYEEVQIVFTRREGNKVADRIARESLSLLNYDPKLYSLMPDWVKNLVVIDSV >Al_scaffold_0007_3425 pep chromosome:v.1.0:7:21082639:21083593:1 gene:Al_scaffold_0007_3425 transcript:Al_scaffold_0007_3425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MIM5] MAESKVVVPRVCAKNDHRVEWTLVKKDEVVAAKKKSVETRKLIFKRAEQYAREYAEKDLNLDSSCNFVKPSPTMEILKSFHPRCK >Al_scaffold_0007_3434 pep chromosome:v.1.0:7:21118170:21119601:1 gene:Al_scaffold_0007_3434 transcript:Al_scaffold_0007_3434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MIN4] ARLNRLSMAIICLRVLQLADEDYKDVGILQLTHPACTHHEGTSRKNKGRFGKEKNRQSAKVCYNCGNLGHIARNCKNDGHIVGRIVPDVTCFRCGGKGHYATSCPEPHKLPPPSDRPVSMPPPKRQALNAMDAPIASSGNKDPITG >Al_scaffold_0007_3447 pep chromosome:v.1.0:7:21177487:21178629:-1 gene:Al_scaffold_0007_3447 transcript:Al_scaffold_0007_3447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIP6] MASLSCLSICGLSSSASFSPKPRLVSSPPSPLPLTYPLRNPKKLCRKKIKRVICRAEFSQDAPLVTAIGACILSSFVFPAAKRVNDEEEEEEEENSAIVSTDMRLAAMGIISFIPYFNWLSWVFAWLDTGKSRYAVYALVYLLPYLSSNLSISPEESWLPISSIVLGIIHVQLEASIANGDVETLSFFRNTSDEDFSSKKRIHFDKHSKEKDTDTES >Al_scaffold_0007_3452 pep chromosome:v.1.0:7:21193563:21193920:1 gene:Al_scaffold_0007_3452 transcript:Al_scaffold_0007_3452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MIQ1] FSSPKNQALKAAGNQVSVTLCEPVKKKDEGRCSVHREETQETCNRRPTQCLVLDMARLF >Al_scaffold_0007_3453 pep chromosome:v.1.0:7:21200334:21203140:-1 gene:Al_scaffold_0007_3453 transcript:Al_scaffold_0007_3453 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G41900) TAIR;Acc:AT5G41900] MGIARWLNRTVGFFVFALLDIADFLLCYTYKTLDYFLESERKPCYCSSPPEAQAKTEKIIVSERGGYSKVVSLTRSKIHLDEISDTLYSRGPSLLTRLSKLVRSVKCFNYKGLIMRGNVVESCDHGESKKKIRKAKKRLMTLNSTVVEKSSTAPRWSDCHCSFCTSWLTSPNKDSLFVKVQQPKDNKKARDNVVFIHGFVSSSAFWTETLFPNFSDSAKSNYRFIAVDLLGYGRSPKPNDSLYTLREHLEMIEKSVISQFKLKTFHIVAHSLGCILALALAVKHPGAIKSLTLLAPPYYKVPKGVQPAQYVMREVARKEVWPPMQFGASVLSWYEHLGRTIGLVLIKNHHLIEFVTRLLTLNRIRTYLIEGFLCHTHNGSFHTLHNIIFGSGAKLESYLDHVRDHVDCDVAIFHGGKDELIPVECSYSVKSKVPRATVHVIPDKDHITIVVGRQKDFARELELIWQRSQST >Al_scaffold_0007_3455 pep chromosome:v.1.0:7:21211045:21213737:-1 gene:Al_scaffold_0007_3455 transcript:Al_scaffold_0007_3455 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primase [Source:UniProtKB/TrEMBL;Acc:D7MIQ4] MTREEIENNGEDMMIDDPKPKIENDFNVHYLRIYYDGKHPGCDEYYFGRREFSFTLENDVYLRYKSFKNASVMEDAIKSNFPYKIDIGAVYSVDPDKRHAYAHSGTNLFTPVERELVFDIDITDYDDVRYCCSGADVCSKCWPLMTVAIKVIDTSLREDFGFKHILWVFSGRRGVHCWVCDAKARRLTNEQRSAVAEYFRVYKGNENNAKKVDLMGHSLHPFLAYGRSYVDFLKNFFEGELQANQSLFSSEEKYEKILGMITDEDIQADLRGKWENSARSSLSEEATSRLRWEQLKKRLQSKKNKALSLRTCVEEIVFTFTYPRIDLEVSKQMNHLLKAPFCVHPKTGRVCVPIDPNNCDEFDPLAVPTLSQLIEEINSGGLRMDVDDDGMFSTTIIVIVLD >Al_scaffold_0007_3456 pep chromosome:v.1.0:7:21215901:21216172:-1 gene:Al_scaffold_0007_3456 transcript:Al_scaffold_0007_3456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIQ5] MALLITELNAVKPFKTQWKIQVKIVHSWTQYTQYSGETVEMILADTSVIYDLSINNEAGAFCNKVLKL >Al_scaffold_0007_3459 pep chromosome:v.1.0:7:21225193:21227002:1 gene:Al_scaffold_0007_3459 transcript:Al_scaffold_0007_3459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIQ8] MGSKKKDFGGCRDIISDLPDAVICHILSFLPTKEAASTTVLAKRWKPLLRCVPSLEFDDSLCFHPPMTYEERSTNARSFMRFVDGVALQGNAKINRFHFKGEDIIDQMWVLEMIPNVLKRGVSDLDLDISSIWDSFDSMFYHLPPKIFVSETLVRLKLKFVQGVNISVEDSNGLTGTFNKLLSGCHALEELLLYNLMWDKSLEPGPFFVNVSIPTLKRLTFRRFEEFDEAEDFNKSVSLSIFENPNLVYIEYIDSIADRYQQGMHHIYSDRCEDEDGCLCRHPTGFGGEVVARTCLSSSPVKVLKILNFGEICDDHEDVEVDDEDEEFVYCLREQIEHVEHFIEKMPNLEQVILHYYTSNDEDVMMKVFKKLEKLPRVASANCKIQLISDNLSSNASD >Al_scaffold_0007_3461 pep chromosome:v.1.0:7:21232934:21234492:1 gene:Al_scaffold_0007_3461 transcript:Al_scaffold_0007_3461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIQ9] MEAKTPLKRFHVKCKDVVDQYWVLEWIPKVLKRGVLDIDLHIPSSRGFCENSSFYPLPSKIFESKTLVRLKIQFQDGVSIHVKRGVSLPKLKTLHLDYFRIDTSTFNKLLSACHALEELVLVNMMWGDSLEHEACPVTVSIPTLKRLKLCRSEDFYEAEFHEYEDYDEENINAGVSLSFDNPNLVYFEYSDAIVDRFKQVRFDSLVEANLRLRKTTDDQTKTDKINVTKLLMGIRNVKILYLSNDTLEGLHHKYTDRCGDKDGCLCKYENHWGAKLDVHTCLSSSPEQIEHVKHFLETMPDLEQVILYYNTPKDEDVMKVFKKLEKLPGVASANCNVQIKAKNLSLSSTSTKRGTLL >Al_scaffold_0007_3462 pep chromosome:v.1.0:7:21239658:21241168:1 gene:Al_scaffold_0007_3462 transcript:Al_scaffold_0007_3462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIR0] MRKGSESFMLFVDGVLALQAEENAPLNRFHVKCQDVDQNWVLEWIPKVLKRGVLDIDLHMPYSPRHFRPNSVFYPLPSEIFTSKKLVRLKIHFEDAVKIDVEGDVSLPKLKTLHLDYVKLDTRMFHKLLSGCHALEELLLFNLIWEESSKPEPCLVTVSVPTLKILKFSRFENFSKVTDFKPIVLLSFDFPKLVYLEYLDTIADMYQQVSFDSLVEAKLGLCKNPKQIKDDKNNVRKLFMGICNVKTLHLTADGLRGLHHRYRKRYERYFGDENGRCMLKRMDNMRVKKDIDVCLSSSPVKVIKILNFGEEDTEFEENVADKIMQVKQFLETMPELEQVMLYYNTPEVEDVMKVFKELQKLPRVASAKCEIQIISDNLNLSMIKGTNL >Al_scaffold_0007_3467 pep chromosome:v.1.0:7:21269813:21273265:-1 gene:Al_scaffold_0007_3467 transcript:Al_scaffold_0007_3467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIR6] MNSAEEENKSLSLKVSEISDEIQQAQKTIQKLISELGEMKEKYKEKESEHSSLVELHNTHERDSSSHVKELEEQVEASKKLVAELNQSLNNAEEEKKLLSQKIAELSNEIKEAQNTIQELISESGQLKESHSVKERDLFSLRDIHETHQRESSSRVSELEAQLESLEQRISELSVGLKDAEKENKAISSKNLETMDKLEQAQNTIQELRDELGELKDRHKEKESELSSLVEVHEAYQRDSTSRVEELVAMVKSADQQVADMKQSLENAEEEKKLLSQRISEISNEIQEAQKTIEEHLSESEQLKESHGEKERELTGLRDIHETHQRESSTRLSELETQLTLSEQRVVDLSASLNAAEEEKKSMSSEILEITDELKQAQSKVHELMTELAESKDTHIQKESELSSLVEESSTQLRDLEVQLESSEHRVSELSESLKAAEEESKTMSTKISETSDELERAQIMVQELTADSSKLKEQLAEKEGELLLLTEKDSKSQVQIKELEATVATLELELESLRARITDLETEIASKTTVVEQLEAQNREMVARISELEKTMDERGTELSALTQKLEDNEKQSSSSIESLTAEIDGLRAELDSMSVEASSEIMALTEQINNLKHELDSLHVQKSQTEAELESEKQEKSELSNQVTNVQKALVEQEAAYNTLKEEHNQINELFKESETTLNKLTDDYKEAQRLLEERTKEVTSRDSAIGVHEETMESLRNELEMKGDEIETLMEKISNIEVKLRLSNQKLRVTEQVLTEKEEAFRKEEAKHLEEQALLEKNLTVTHETYRGMIKEIADKVNITVNGFESMSEKLKEKQGRYEKTVMEASKILWTATNWVIERNHEKEKMKKEIEKKDEEIKKLGGKVREDEKEKEMMKETLMGLGEEKREAIRQLCVWIDHHRSRCEYLEEVLSKTVVARGQRRVSQRA >Al_scaffold_0007_3474 pep chromosome:v.1.0:7:21305401:21338529:-1 gene:Al_scaffold_0007_3474 transcript:Al_scaffold_0007_3474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIS2] MASSSSLSCIKRHQVFSSFHGPDVRRGFLSHLHNHFASKGITTFNDEKIDRGQTIGPELVQAIRESRVSVVLLSKKYASSSWCLDELLEILKCNEAQGQIVMTIFYDVDPSDVKKQRGEFGKAFEKTCEGKTEEVKQRWIEALAHVATIAGEHSLNWYVSMNFSAFMFLKKVFVNFDPPTAFCFAFARANEAEMIQKIATDVLNKLNLTPSRDFDGMVGLEAHLAKLKSMLCLESDEVKMIGIWGPAGIGKSTIARALDNQLSSSFQLKCFMGNLKGSLKSIVGVDEHDSKLWLQNQLMSKILNQENMKIHHLGAIKERLHDQRVLIILDDVDDLKILEVLAEELSWFGFGSRIIVTTEDKKILKAHGINDIYHVNFPSKEDALEILCLSAFKQSSVPDGFEEVAKKVANLCGKLPLGLCVVGKSLRGQRKHVWELQLSRIEASLDRKIEDILRIGFDRLSKKNQSLFLHIACFFNNEVADDVTTLLSDSNLDVGNGLETLADKSLVRKSTSGHIVMHHLLQQLGRQIVHEQSDEPGKRQFLFEADEICDVLSTETGTGSVIGISFDTSNIGEVSVGKGAFEGMRNLRFLRIFRRWFGGEGTLQIPEDLDYLPLLRLLHWEFYPRTSLPRRFQPERLMELHMPYSKIKKLWGGIQSLPNLKIIDLMFSRQLKEIPNLSNATNLEELTLEGCGSLVELPSSIKNLQKLKILDVGFCCMLQVIPSNINLASLKILTMNGCSRLRTFPEISSNIKVLNLGDTDIEDVPPSVAGCLSRLDRLNICSSSLKRLTHVPLFITDLILNGSDIETIPDCVIGLTRLEWLSVKRCTKLESIPGLPPSLKVLDANDCVSLKRVRFSFHTPTNVLQFSNCLKLDKESRRGIIQKSIYDYVCLPGKNIPADFTHKATGRSITIPLAPGTLSASSRFKASILILPVEYAGLRTISCSIRSKGGVTVHSYEFEYLSLSFRSKHLFIFHGDLFPQGNKCHEVDVTMSEIIFEFSFNVGNAKISECGVQIMTEEAEGSSIRELDNYETESSSSEVDSHETESSSNGYEVGDGDGDYDAEGFKFSEDENIKTSKQTGFRSWLRKFFCLEEKKMNTTEGILMESHETKPVFCTLLHHLRKVLSSR >Al_scaffold_0007_3486 pep chromosome:v.1.0:7:21398661:21400458:-1 gene:Al_scaffold_0007_3486 transcript:Al_scaffold_0007_3486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIT2] MKSKKKDFGGGRDIISELPDELICHILSFLPTKEAASTSVLAKRWKPLLRYVPSLDFGNSLCFPPAKTYEEKRTNARSFMRFVDGVLALQGNARINRFHFKGEDIIDEMWVLDWIQNVVKRHVSDIRLYVSSFWDGFDSSFYPLPREIFVSQTLVTLIINFEGGVNISVEGAVSLPKLKTLHLHYFKIEMSTFNKLLSGCHALEELMLLNLVWDKSSEPEPCFATSLSIPTLKRLEYCRYERDDEAKDKVSLSFDSPNVVYLEYFDCVADRYQQVSFDSLVEARLGLRLTYDQGIHHIYSYRCEDEDGCLCRYPTDFGGGSSRSCLPIIKSGQGSKDIELWDQIEHVEHFIEKMPNLEQVILHYYTSNDEDLMMKVFKKLENLPRVASANCKIQLISDNLSLSSNASD >Al_scaffold_0007_3490 pep chromosome:v.1.0:7:21427959:21429632:1 gene:Al_scaffold_0007_3490 transcript:Al_scaffold_0007_3490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF567) [Source:Projected from Arabidopsis thaliana (AT5G41590) TAIR;Acc:AT5G41590] MFPFLKHRSRSVHGEDAPSSTESSVTVAATDSGGACTTLTVWRKSLLVSCEGFTVIDSNGDLIYRVDNYARTRPEELILMDKDGNSLLLMHRTKKITLVDSWGIYEAKDTNGDAKVPKCPISWYMRKNLKMNILSTKSDILAYVYSGSFDKKNSYIIKGSYRCKSCKIVHVPLNKTVVEIKRKEARTKGVRFGSDVFDLVVNPGFDTGLAMALVLLLDQMFS >Al_scaffold_0007_3494 pep chromosome:v.1.0:7:21441195:21444707:1 gene:Al_scaffold_0007_3494 transcript:Al_scaffold_0007_3494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS-LRR class) family [Source:Projected from Arabidopsis thaliana (AT5G41550) TAIR;Acc:AT5G41550] MASSSSSSSFHIRRYHVFPSFHGEDVRRGFLSHLHYHFASKGIMTFNDQKIERGHTIGPELVRAIRESRVSIVVLSKRYASSSWCLDELLEILKCKEDDGQIVLTIFYQVDPSDVRKQRGDFGSAFEITCQGKPEEVKLRWSNALAHVATIAGEHSLHWPNETEMIQKIATDVSNKLNLTPLRDFDGMVGLEAHLTKLHSLLWLGCDDAKPKMIGIWGLAGIGKTTIARALFNRLSSSFQLNCFMDNLKGSFKSVMDVDDYYSKLSLQTQLLSKILNQEDMKTYDLGAIKEWLQDQRVLIILDDVDDLEQLEALAKELSWFGSGSRIIVTTEDNKILKAHGIQDIYHVDYPSEKEALEILCRSAFKQSSVPYGFEELANKVAAFCGKLPLALCVVGSSLHGETKYEWELQLSRIKASLDGKIETILKVGYDRLSEKDQSLFLHIACFFNNEVVLLLADKSLVHISTDGRIVMHHYLLQKLGRQIVLERQFLIEAAEIRDVLTNKTGTGSVIGISFDTSKIGKVSVSKGAFEGMCNLQFLRIYSSLFGGEGTLQIPKSMKYLPENLKLLHWEHYPRKSRLPLRFQPERLVELHMPHSNLEGGIKPLPNLKSIDLSFSSRLKEIPNLSNATNLETLTLVRCTSLTELPFSISNLHKLSKLKMRVCEKLRVIPTNINLASLEEVDMNYCSQLSSFPDISSNIKTLGVGNTKIEDVPPSVAGCWSRLDCLEIGSRSLNRLTHAPHSITWLDLSNSNIKRIPDCVISLPHLKELIVENCQKLVTIPALPPSLKSLNANECVSLERVCFYFHNPTKILTFYNCLKLDEEARRGITQQSIHDYICLPGKKIPAEFTQKATGKSITIPLATGTLSASSRFKACFLISPTMGYQGYLYISCSLRSKGGVTDYNCNSVRLSDMAPRSEHLFIFDDLFGQRYRWHKVDVTMSEIILEFSSIDKIIECGVQIMTEEAEGSSSSELDNFETEISRSQVDNYETESSRLAAAAKVEMVMETMKQKVSSSLKLKISKPVNIGFRIWVRKLGLKEKKMNTKEQNSRGVS >Al_scaffold_0007_3495 pep chromosome:v.1.0:7:21445645:21446719:1 gene:Al_scaffold_0007_3495 transcript:Al_scaffold_0007_3495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIU2] MASFVFNNYSVKNGEEDEACNFNNNAALTSLNPSQGTCKYPSRFMKDGLVILSSSISVSLIRANLVLQPYPVLYEKPGKEDEGDFVAQIKFTVLLMPNGSDRITSHTLQELDTKTSEDPEIIGWLALGIKKKKAKKAGEKREVSTEAEPMDASSDAQE >Al_scaffold_0007_3496 pep chromosome:v.1.0:7:21448572:21454901:1 gene:Al_scaffold_0007_3496 transcript:Al_scaffold_0007_3496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIU3] MASSSFHIRRYHVFPSFHGPDVRRGFLSHLHNHFTSKGITTFKDQEIERGQTIGPELVQAIRESRISVVVLSKSYGSSSWCLDELVEILRCKEDQGQIVMTIFYEIDTSDVRKQSGDFGRDFKRTCEGKTEEVKQRWIQALAHVATIAGEHLLNWDNEAAMVQKFATDVSNKLNLTLSRDFDGMVGMETHLRKLNSLLCLECDEVKMIGIWGPAGIGKTTIARTLFNQLSTSFRFICFMGNLKGKYKSVVGMDDYDSKLCLQNQLLSKILGQRDMRVHNLGAIKEWLQDQRVLIILDDVDDIEKLEALAKEPSWFGSGSRIIVTTEDKKILKAHWVDRFYLVDFPSEEEALEILCLSAFKQSTVRDGFMELANKIVEFCGYLPLGLSVVGSSLRGESKHEWELQLSRIGTSLDRKIEDVLRVGYDKLSKKDQSLFLHIACFFNSKKFDHVTTLLADSNLDVSNGLKTLVEKSLISICWWIEMHRLLEQLGRQIVIEQSDEPGKRQFLVEAEEIRDVLENETGTGSVIGISFDMSKNVKLSISKRAFEGMRNLKFLRFYKADFCPGNVSLRILEDIDYLPRLRLLDWYAYPGKRLPPTFQPEYLIELHMKFSKLEKLWEGIQPLKNLKEIDLSFSYKLKEIPDLSNASKLKILTLSYCTSLVKLPSSISNLQKLKKLNVSSCEKLKVIPTNINLASLEEVDMSFCSLLRSFPDISRNIKKLNVVSTQIEKGSPSSFRRLSCLEELFIGGRSLERLTHVPVSLKKLDISHSGIEKIPDCVLGLQQLQSLIVESCTKLVSLTSLPPSLVSLNAKNCVSLERVCCSFQDPIKDLRFYNCLKLDEEARRAIIHQRGDWDVCLPGKEVPAEFTHKAIGNSITTPLVGARSRFEACLLLPPTKVHAYLVITCCIRSKGGVQINEFVCGPWPSPQWPEFVTEHLLIFRGELYKEKRSPEVDGTMSEILFEFSCDGSQQVMECGVHILRDEAASSSEVGNTNNEDDWSLWSCGNFPSKEEALLTIFCLSAFTQSSPQDGFEELTKKVAELCGNLPLGLYVVDLSLRGESKHEWKLQLSRIETTLDSKIEDVLTISMEEAEDSSSSSKMDNFETESSSNRVDYYESGGNSNHYTDGDGNRDYKAEASKVSQVESTKTSKTYRMLELVYEACQSRESLICGTISAASLQEQSRQAKGSRRLMIGPAIRESSVSILVLPKKYASSRWCLDELVEIVKCKEGLGLIVMTVFQWISTAWCNCKELTLEKNVKSLLCRWLEFFWRPAGIGATTIFDYKCLMGKLQGSYKIIVGVDVYDSNFAVRGSLLKNHFCLVRMSRRNQSANEQSQRNSGKESRLPLKEIDFGSTEIFDLPPRKNARLLSAVYLEDLETMMFEEISSVLANNRIFYIVDMEYYIGINTQEKMQYSELRDRKLWGGIQLLKSQQRDLGSSSSKKNTASTHAENSFVTAL >Al_scaffold_0007_3497 pep chromosome:v.1.0:7:21455690:21457763:1 gene:Al_scaffold_0007_3497 transcript:Al_scaffold_0007_3497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIU4] MASSATHVRRYHVFPSFHGPDVRRTFLSHLQHHFASKGITVFKDQEIKRGQTIGLELKQAIRESRVSIVVLSKKYASSSWCLDELVEILKCREACGKIVMTIFYEIDPFHVRKQIGDFGRAFRETCFSKTKKVRLKWSKALTDVANIAGEHSLRWEDEAKMIEKIAADVSNKLNATPSKDFDGMVGMEAHLRKVNAYLHLECDGVKMIGIQGPAGIGKTTIARALFNQLSANFQLKCFIENLKGSYGSDVIDDYGSKLCLQNQLLSKILNEKDMTIDHLGAIKERLLDQKVLIVLDDVDDLEQLDVLAKEPSWFGLGSRIFVTTEDRQILNAHWVNYIYHVGYPSEEEALEILCLSAFQKNSPLVGFEELAKKITNFCGSLPLGLRVVGSSLRRESRHEWERQLSKLETSLDRKIENVLRVGYCKLSKKDQSLFLHIALFFNNETVDHVTTMLADSNLDISNGMKTLADKSLVHISTIGWIKMHRLLQQLGRQLVHEQSDDPGKRQFLVEAEEIRDVLANETGTGSVIGISFDMSKISEFSITGRAFEGMRNLRFLRIYGRYFSKDVTLGISEDMEYLPRLKLLHWDSYPRKRLPQTFRPECLIELRMQFSKREKLWGGIQVGI >Al_scaffold_0007_3498 pep chromosome:v.1.0:7:21458333:21458881:-1 gene:Al_scaffold_0007_3498 transcript:Al_scaffold_0007_3498 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: DNA-binding storekeeper protein-related (TAIR:AT5G14280.1); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukar /.../- 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G41530) TAIR;Acc:AT5G41530] MVSEEKDSSSDDSYSGGFEFRRRKKRRHEEEAFKMPTIIISDSDTETEQAFEESIDDQTKNEDELVSYKNNLEINKGEKEKSEEDDLCVLQDALEAATSFQGLSQYQKNLMFQNLKNLGAETIKEFIDEWKALSVEELHLNIKKETLFAKFAKAGV >Al_scaffold_0007_3502 pep chromosome:v.1.0:7:21466873:21467267:1 gene:Al_scaffold_0007_3502 transcript:Al_scaffold_0007_3502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MIU9] MLSPVVEADEEENEEEESGNEEEENEEEENEEGQGNGEDGGETSGSSTTLLDSSSDESIEDEIANGNRVKNAAEMNRETLRYLGKTGRTGNTIVCDIHVELGNTGNTR >Al_scaffold_0007_3510 pep chromosome:v.1.0:7:21561601:21566013:-1 gene:Al_scaffold_0007_3510 transcript:Al_scaffold_0007_3510 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of xeroderma pigmentosum complementation group B 1 [Source:Projected from Arabidopsis thaliana (AT5G41370) TAIR;Acc:AT5G41370] MGNGIKLGERGRPNKKIKYGDKDDQKMKNIQNAEDYYDEDDEDSRDGELTLSLYGEGEEKKRDFTKLELKPDHVNRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIISVLNKLSKTKLPKEMIEFIHASTANYGKVKLVLKKNRYFIESPFPEVLKRLLSDDVINRARFSSEPYYGGDGFTIGKTSGELEAGPGELLNEAELAAAAEEKETHSFEIDPAQVENVKQRCLPNALNYPMLEEYDFRNDNVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAAARIKKSCLCLATNAVSVDQWAFQFKLWSTIRDDQICRFTSDSKERFRGNAGVVVTTYNMVAFSGKRSEESEKIIEEMRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTEYAMKLRKPMIYGATSHIERTKILEAFKTSKDVNTVFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKLEDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDAGSSLSYHSQEEQLSLLGKVLNAGDDLVGLEQLEEDTDGMALQKARRSMGSMSLMSGAKGMVYMEYNSGRHKSGQQFKKPKDPTKRHNLFKKRYV >Al_scaffold_0007_3511 pep chromosome:v.1.0:7:21569385:21570054:1 gene:Al_scaffold_0007_3511 transcript:Al_scaffold_0007_3511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MIW3] YALQQVEEIYNFSQDDLLTEDIYIYILDTHAEVFVWVGQCLDPKEKQTVFEIGREYIDLAGSLEGLSPNVPLYKMNEGNEPCFFTTYFCWDPTKAIAQGNSFQKKAALLFGTHHVVEVLHLCIFSFVN >Al_scaffold_0007_3518 pep chromosome:v.1.0:7:21626262:21626414:-1 gene:Al_scaffold_0007_3518 transcript:Al_scaffold_0007_3518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIX0] MGLGDSYDYGINSPLDYSSEEEDMGLVDSYDYGINSPLDSTETFSLKFSG >Al_scaffold_0007_3533 pep chromosome:v.1.0:7:21764320:21765955:1 gene:Al_scaffold_0007_3533 transcript:Al_scaffold_0007_3533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MIY5] KLSYFGRILQYQETGVIIFEVNKIDIETPRAGPMKSGPHFCSGDRHRDTQSNTKKLKLSQTPFSFCTTQTRNIALKSDPESRPMLSSPLKRKHDDDYEISGLRRRKLPKTRGTFVPSSSASLKMTWSKEDEISILSGILDYQEESQSSYNQKYDAFYDYMREYMESDFSKKQLMDKVKKLKKRFRENQARSRREEVFKLSKIIWAKKETEHAYEENKDQTKEDVPCAERERASNANTEINNGEKKKIEEDGVDDLCVLLGALEASTSFQSLNENLQKFLLQNMKNVGANQRKELTDEWKTLLAEDMELDVKKLTFSAKLVNVGFSA >Al_scaffold_0007_3534 pep chromosome:v.1.0:7:21767763:21768937:1 gene:Al_scaffold_0007_3534 transcript:Al_scaffold_0007_3534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MIY6] LMSKVDRLKNRRFRANQARATDGNIPYFTNTHDEQVFKLSKILWGTEKETNFAYEEDQIKEDVSCAVQEESLKIDDGEKDKCEEDGMDDLRLLQEALVLAASFQSLGNNQRKSLLQNLRNVGATQRKELKDDWKALLAEEMQLDIKKQSFYAKLMHRTSRQHAEEDAEQKLHEKTNDVSNSSMTKLIKERNQVYVGLMKLDIYLYVKALIRGLQEGYTLSMKDYFGKT >Al_scaffold_0007_3535 pep chromosome:v.1.0:7:21769994:21770928:1 gene:Al_scaffold_0007_3535 transcript:Al_scaffold_0007_3535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIY7] MKRQLQGSDSSDYSNSDCFKIRYTRKHEDDDISGSEAMLRSRKKLTTTTLLEPSSSGPCKNWTKTEELLILEGLVDYQNETKLSYTSDWNAVYDRIRDSMGSDFSKKQLANKILKLKLRFGDNLAKSIAGKRLSFTNTDDEQVFKLSKIIWGKNITTNSASNEIMDQTKERVSDDGEKEKCEDLNVLQEALEVAASFLRLGNYQQKWLLRNLKNLGATQRKELTDEWNALVAEDMQLCIKKQSFYAKLVKEGFSV >Al_scaffold_0007_3541 pep chromosome:v.1.0:7:21791971:21792807:-1 gene:Al_scaffold_0007_3541 transcript:Al_scaffold_0007_3541 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 95 [Source:Projected from Arabidopsis thaliana (AT5G41090) TAIR;Acc:AT5G41090] MGPTYRDMPLPIGMRFRPSDLEMAVYFLRNKALGLTIKARTIPEECHDIFSIHPRDLPGYGSEEHWYFYCQKTKNQVTTTKSYHLWIPTGEETDVLDPKKNGELVGIKRSFAFIENEEEEEQESDNNNNGLSDEEEKPPQYNWFLDEISLPLTVLDTDWVLCHIFNEKVKPECVNLLPAIIESESESDPSEVEDEEEDETVLPPPPASP >Al_scaffold_0007_3549 pep chromosome:v.1.0:7:21817814:21818620:-1 gene:Al_scaffold_0007_3549 transcript:Al_scaffold_0007_3549 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA directed RNA polymerase, 7 kDa subunit [Source:Projected from Arabidopsis thaliana (AT5G41010) TAIR;Acc:AT5G41010] MEPAQPEPVTYVCGDCGQENTLKPGDVIQCRECGYRILYKKRTRRVVQYEAR >Al_scaffold_0007_3558 pep chromosome:v.1.0:7:21848238:21851832:-1 gene:Al_scaffold_0007_3558 transcript:Al_scaffold_0007_3558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJ12] MAPSSSSSLDFKRYHVFSSFHGPDVRNGFLSHLHNHFESKGITTFNDQEIERGHTIGPELVQAIRESRVSIVVLSEKYASSGWCLDELVEILKCKEASGQAVLTIFYKVDPSDVRKQRGDFGNTFKKTCEGKTEEVKQRWIKALTDVATIAGEHSLNWANEAEMIQKIATDVSNKLNVTPSRDFEGMVGLEAHLTKLDSLLCLECNDVKMIGIWGPAGIGKTTIARALFNQLSTGFRHSCFMGNIDVNNYDSKLRLHNMLLSKILNQKDMKIHHLGAIKEWLHNQRVLIVLDDVDDLEQLEVLAKESFWFGPRSRIIVTLKDKKILKAHGINDIYHVDYPSKKEALEIFCLSAFKQSSPQDGFEEFARKVVELCGNLPLALCVVGSSFYGESEDEWRLQLYGIEINLDRKVEDVLRVGYDKLLEKHQSLFLHIACFFNHESVDYVSTMLADSTLDVENGLKNLAAKSLVHISTHGRIRMHCLLQQLGRHVVVQQSGEQGKRQFLVEAKEIRDVLANKTGTGSVIGISFDMSKIGEFSISKRAFERMCNLKFLKFYNGNVSLLEDMKYLPRLRLLHWDSYPRKSLPLTFQPECLVELHMRYSKLEMLWGGIQPLANLKKIDLGYSFNLKEIPNLSKATNLETLKLIGCESLVVLPSSIRNLHKLEMLDASGCSKLQVIPTNIDLASLEEVKMDNCSRLRSFPDISRNIEYLSVAGTKIKEFPASIVGYWSRLDILQIGSRSLKRLTHVPQSVKSLDLSNSDIKMIPDYVIGLPHLGYLNVDNCRKLVSIQGHFPSLASLSAEHCISLKSVCCSFHRPISNLMFHNCLKLDNASKRGIVQLSGYKSICLPGKEIPAEFTHQTRGNSITISLAPGGKEVFSVFSRFKACLLLSPIKNFAFNKINCILRSREGVKINCTTQSIYTFVCGRSLSEHLLMFCGDLFPEENGCLMDVTPNEIQFEFSSSDDNVMACGVKILAEDGQSSSGIEVGYSETEGNRNHRIDGEAKALKVSQVNNIKNSKHTGDWSWLRKLLLGKKKMKRNKTELSLTPVSGSSDDTQGILMRYHHPETVQLSKEENTTDLSRLLCIVSLVFSVLLIFHCLFLQMQ >Al_scaffold_0007_3559 pep chromosome:v.1.0:7:21852783:21856381:-1 gene:Al_scaffold_0007_3559 transcript:Al_scaffold_0007_3559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS-LRR class) family [Source:Projected from Arabidopsis thaliana (AT5G40910) TAIR;Acc:AT5G40910] MASSSSSSPSDFKRYHVFSSFHGPDVRSGFLSHLHNHFESKGITTFNDQEIERGHTIGPELVQAIRESRVSIVVLSEKYASSGWCLDELVEILKCKEASGQAVMTIFYKVDPSDVRKQRGDFGYTFKKTCEGKTEEVKQRWIKALNDAATIAGENSLNWANEAEMIQKIATDVSNKLNVTPSRDFEGMVGLEAHLTKLDSFLCLESDDVKMIGIWGPAGIGKTTLARALFNQLSTRFRRSCFMGTIDVNDYDSKLCLQNKLLSKILNQKDMRVHHLGAIKEWLHDQRVLIVLDDVDDLEQLEVLAKETSWFGPGSRIIVTLKDKKILKAHGINDIYHVDYPSEKEAFEIFCLSAFKQSSPQDGFEELARKVVELCGNLPLALRVVGSSFYGESEDEWRIQLYGIETNLDRKIENVLRVGYDKLSERHQSLFLHIACFFNHKSVDYVTTMLADSVLDVENGLNTLAAKSLVSTNGWITMHCLLQQLGRQVVLQQGDPGKRQFLVEAKEIRDVLANETGTESVIGISFDISKIEALSISKRAFNRMRNLKFLNFYNGNISLLEDMEYLPRLRLLHWGSYPRKSLPLAFKPECLVELYMGSSKLEKLWGGIQPLTNLKKINLGYSSNLKEIPNLSKATNLKTLTLTGCESLVEIPSSILNLQKLEMLYASGCSKLQVIPTNINLASLEEVNMSNCSRLRSFPDMSSNIKRLYVAGTMIKEFPASIVGQWCRLDFLQIGSRSFKRLTHVPESVTHLDLRNSDIKMIPDCIIGLSHLVSLLVENCTKLVSIQGHSPSLVTLFADHCISLQSVCCSFHGPISKSMFYNCLKLDKESKRGIIQQSGNKSICLPGKEIPAEFTHQTSGNLITISLAPGCEEAFSAFSRFKACLLLSPIKDFAFNKINCILRSREGVKINCTTESIYPFVSGGSLSEHLFIFCGDLFPEENRGLMDVTPNEILFDFSSSDVEIMECGVKIFLSSGIEVGYSETGGNRNHHIDGEAEAFKVAQVENSKHTGHWSWLRKLRLGKNNKTELSSRAVSGSSDDTRGISMRYLEHEAVELTKDESITDLFRLLCIGSLAVCVFFSVYFGQPLVVSVLLLFYCLFLQLQ >Al_scaffold_0007_3567 pep chromosome:v.1.0:7:21913675:21914688:-1 gene:Al_scaffold_0007_3567 transcript:Al_scaffold_0007_3567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJ20] MSRPHPSSQEMYEEDDDAKHESSVSTEVEIAADRFVNRSHDRIFSFSPCSAYVTADSESDPKAEKVINFGHWEGNMNLYPATAFPITCLLCRCHMCFVLSSFSW >Al_scaffold_0007_3570 pep chromosome:v.1.0:7:21930709:21934525:1 gene:Al_scaffold_0007_3570 transcript:Al_scaffold_0007_3570 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G10845) TAIR;Acc:AT3G10845] MASSSKEVNLFGKRNPDDDDLETKPVLKKHKEEKEKTTEGLADSLQHKSDQANLISLKEEETVEGLDDTPDFVEALEKKNGEYLLHRKIILNVAKIASSLPLPKYEDCLRRGEDETPPDFAEEVLFVANLSPQTKILDIFDFCKDVGEVVSARLIANHEGKHVGCAFVEFLSANEANKVLEKKNGEYLHNHKIFLMKGHGETPDFAEHVRLIVNHNGKHVGWGFVEFASNDEAEKALEKKNGEYLQDGKIFLEAAKIAPFPPPKYEDYLRQESLQIDEDGAVEGLAETPYFVEVREARKKTLFVANLPPQTNIPKIMYFFKDVGEVVRVRLIVDHRGKHVGCGYFEFASANEAEKALEQKNGKSLRYHHIFLDVAEIAPYPLQPKYNLVEKLWYEDNLLREPNLKQQKEKSDGFCGKKTTFSSGDDC >Al_scaffold_0007_3574 pep chromosome:v.1.0:7:21945708:21946397:1 gene:Al_scaffold_0007_3574 transcript:Al_scaffold_0007_3574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJ27] MNLVEFSAGSENLHTSAATSWMSSYYSKVQHTSASLTINENYDPDVQADTETFLNRIVPEIWDHCSREAAICSNNILRLVFSMM >Al_scaffold_0007_3577 pep chromosome:v.1.0:7:21966789:21967282:1 gene:Al_scaffold_0007_3577 transcript:Al_scaffold_0007_3577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJ30] MARHKEITYTDERIMKFDRSGGELYDESKGRRVVQQANKGRSNTKTSNTEKNGEP >Al_scaffold_0007_3586 pep chromosome:v.1.0:7:22032578:22032763:-1 gene:Al_scaffold_0007_3586 transcript:Al_scaffold_0007_3586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MJ40] GHLKSEVFPLSFKQPNDIPPLCLSTKLEILEWKEYRGTSEENQVLRYILANSKCLRRVETL >Al_scaffold_0007_3587 pep chromosome:v.1.0:7:22033029:22034395:-1 gene:Al_scaffold_0007_3587 transcript:Al_scaffold_0007_3587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJ41] MEAQVLLDVSSPVCLPSLKRLHLVFVVYKDEDSVVRLLSSCPILEELYVVRRHNQDNVTKFSVKVPSLETLTYCNVKPKVVGGEDVEDIGGSLVIDSENLKEFAIADTSTNSCSIENKPSPW >Al_scaffold_0007_3599 pep chromosome:v.1.0:7:22071030:22071513:1 gene:Al_scaffold_0007_3599 transcript:Al_scaffold_0007_3599 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1-interacting protein 4 (RIN4) family protein [Source:Projected from Arabidopsis thaliana (AT5G40645) TAIR;Acc:AT5G40645] MASNNQQRQQQDRPLPKFGEWDVNDPASAEGFTVIFAKARDDKKTNASGRATSQRRDNNNKSQDEPTKKRFCCF >Al_scaffold_0007_3630 pep chromosome:v.1.0:7:22261028:22262547:1 gene:Al_scaffold_0007_3630 transcript:Al_scaffold_0007_3630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MJ80] DVTVIPESRLQTGDSSFQTSVREDDVPSNLQASCHPTDIGVLLDSPFTYHRVEGLPQNTRTGDCGPFVMKLIEMHSHNFLVADMGHISDATVDIFRMDYAIRVYEEFIGKIGL >Al_scaffold_0007_3632 pep chromosome:v.1.0:7:22265656:22266165:-1 gene:Al_scaffold_0007_3632 transcript:Al_scaffold_0007_3632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJ82] MKCFDAGNATALYVEGLRRLVKYGPSIESLELLHRAERPIVYAAFAYGIFAICAGRYEEGRTAMHILALNISWLDEMVEIGEAVMAQIADLEPPLSGTCNSIKHLNVEA >Al_scaffold_0007_3642 pep chromosome:v.1.0:7:22331886:22335101:-1 gene:Al_scaffold_0007_3642 transcript:Al_scaffold_0007_3642 gene_biotype:protein_coding transcript_biotype:protein_coding description:cysteine-rich RLK (RECEPTOR-like protein kinase) 42 [Source:Projected from Arabidopsis thaliana (AT5G40380) TAIR;Acc:AT5G40380] MPCLTKTIPFHYVIIVYSFFFLPFLSSSDDDLRTTVSGLFCGGRSKSSTDPNYIPTFVEDMHSLSLKLTTRRFATESLNSTPSIYALIQCHDDLSPSDCQLCYAIARTRLPRCLPSSSARIFLDGCFLRYETYEFYDESVSDTSDSFSCSNDTVLDPLFGFRVSETAVRVAVRKGGFGVAGESGVHALAQCWESLGKEDCRVCLEKAIKEVKRCVSRREGRAMNTGCYLRYSDHKFYNGDGHHNFHVFFNKGVIVAIVLTTSAFVMLILLATYVIMIKVSKTKQEQRNLGLVSRKFNNSKTKFKYETLEKATDYFSPKKILGQGGNGTVFLGILPNGKNVAVKRLVFNTREWVEEFFNEVNLISGIQHKNLVKLLGCSIEGPESLLVYEYVPNKSLDQFLFDETQSKVLNWSQRLNIILGTAEGLAYLHGGSPVRIIHRDIKTSNVLLDDQLNPKIADFGLARCFGLDKTHLSTGIAGTLGYMAPEYVVRGQLTEKADVYSFGVLVLEIACGTRNNAFEPMTGHLLQRVWNLYTLNRLVEPLDPCLKDEFLQVQGSEDEACKVLRVGLLCTQASPWLRPSMEEVIRMLTERDYPFPSPTNPPFLRISSLTTDLEGSSTISHSTNSTTTFNTMVKTDQASYTSSESSTTRTI >Al_scaffold_0007_3666 pep chromosome:v.1.0:7:22507300:22508315:1 gene:Al_scaffold_0007_3666 transcript:Al_scaffold_0007_3666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJA9] MAGIDLPSDLVIDILARVPVKNLVRLLLRSKNFIKAQMTYAPRKILAIQDNGDSPPRSILFEQENNGKPKMTLKDADLTYRDDSLVDFDVIGHCDGVFCIWLQDRTLAVWNPLLRQIRKVSSKSTKPITSHDLIGTLAGNKIFWQVNNNPENVAESETILSFDLSSEKFEYKSVPRDIKGLIRGLVAVRGCLGFVGMYLFQTMHKIVVWTASNEKSWSKFTVKECFHDGFLGPQRNEVALLGTHKNKDEWKVKYLGHEAMEIFTYNLGGW >Al_scaffold_0007_3667 pep chromosome:v.1.0:7:22511493:22512929:-1 gene:Al_scaffold_0007_3667 transcript:Al_scaffold_0007_3667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJB0] MVRSAGDPNSPFQSLGKFMRDSTTVSVHASMSASESVSRSGQAHSMGKEENKTRKPINIIDLDDSDTVEDNNEKMDSSLYFSIVLCKRLSRNNESTLIQVTIPQYRAYRFRDDLHEEKVYNISEFQVDASYGKYN >Al_scaffold_0007_3672 pep chromosome:v.1.0:7:22534712:22535485:1 gene:Al_scaffold_0007_3672 transcript:Al_scaffold_0007_3672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJB6] MKSSAFGDMNDNHVGIDINGLKSENASSAGYYEDDGTFKDITLVNRKQIQAWIEYDSSRKQLDVTLHPINVPKPKIPLLSLTKDLSPYLLESISLLLEFIKGGNNNNNQASFTYVHVTKTSRDLMMRRSTRQKGRARRT >Al_scaffold_0007_368 pep chromosome:v.1.0:7:1664195:1667775:-1 gene:Al_scaffold_0007_368 transcript:Al_scaffold_0007_368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MBD6] MASQSLMNCRTSSSSLALRTRVPKDIGEATIDPEPGDLTTSRRFLHKISMNGIDMTSKSLMQKLREMDVNKDRIRLDGLPSKTRSASKDLHSRIRVAIQSVDSISKLVERFRDDELEPQLLEFLSSKVQIG >Al_scaffold_0007_3687 pep chromosome:v.1.0:7:22650109:22653288:1 gene:Al_scaffold_0007_3687 transcript:Al_scaffold_0007_3687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJD0] MTNEVWAQFTNRHPGLSMPLLELFIDVMTSKLQSSSNDYSTQNSNCFVPQMNLAPPHWRESRGKFVDNLYHLIENIFSTKKEDGNYVYIGDCITWDFMRKDEITANNVSNGNINYIRSQYKDVESYNLALGAADVAWRRGSGYISLTINFKVNNLKSSHKFQLQKTSLFLFTKEPFEEFQKDFLKYKGFDILEVFLNFIFCHQSCGMSKMRRMCCIGNNATENIIWTPDLDVTFYTMYLQECKINNPINKTQFIQTFAEQTGHELSWKTFKRRLFYLTSLYTSIRKSCTPDPRTGMPTMTNEAWAQITKRHPELLNPSSKPLVDLFIQRLTSRIQSNSIDVAPVSSDFDPYMMGLMMLYM >Al_scaffold_0007_3689 pep chromosome:v.1.0:7:22675355:22675721:-1 gene:Al_scaffold_0007_3689 transcript:Al_scaffold_0007_3689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MJD2] LQNTDLKQEQRRTKMLRRLVLKSYGSLKPDRLVIVNAFRCSEEGLFCCLRKVEREYKTILKRTLQSICVLTVVPNSTASVIIQI >Al_scaffold_0007_3695 pep chromosome:v.1.0:7:22718278:22719033:1 gene:Al_scaffold_0007_3695 transcript:Al_scaffold_0007_3695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJD6] MMLVRIFKKVPRKAAGIPRELSSSKASSLAAIGGMIETEAIPVVEKYHKVMVARSGQLVYVQISFSDLTVLSQYMFYSFHVFAVSNPGNKE >Al_scaffold_0007_371 pep chromosome:v.1.0:7:1679796:1681745:-1 gene:Al_scaffold_0007_371 transcript:Al_scaffold_0007_371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G36790) TAIR;Acc:AT4G36790] MGRARTRTGFISVAFKFIRSLFPRNHRTNSMKIKTGTFLGVSISLILINLAAIMERADENLLPSVYKEVSEAFNAGPSDLGYLTFVRNFVQGLASPLAGVLVITYDRPIVLAIGTFCWALSTAAVGASSYFIQVALWRAVNGFGLAIVIPALQSFIADSYRDGARGAGFGLLNLIGTIGGIGGGVVATVMAGSEFWGIPGWRCAFIMMATLSAVIGLLVFLFVVDPRKNIEREELMVHKMNSTSVWNDSWAAAKSVVKVSTFQIIVAQGIIGSFPWTAMVFFTMWFELIGFDHNQTAALLGVFATGGAIGTLMGGIIADKMSRIYPNSGRVMCAQFSAFMGIPFSIILLKVIPQNTSSYTIFSITLFLMGLTITWCGSAVNAPMFAEVVPPRHRTMIYAFDRAFEGSFSSFAAPLVGILSEKMFGYDSRGIDPLKGSSVREADALSKGLLSMMAVPFGLCCLCYTPLHFVFQKDRENAKIASSKETEMI >Al_scaffold_0007_3712 pep chromosome:v.1.0:7:22799487:22802005:1 gene:Al_scaffold_0007_3712 transcript:Al_scaffold_0007_3712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G39910) TAIR;Acc:AT5G39910] MANSAMFSTFILSLTLFHIFQLVQSHYSLYHESKSFNVLNYGAIGDGFSDDSKAFKDAWEDTCNYIGSQSTMEIPEGYTFLLQPIEFHGPCKSKKIILSISGNLIAPESPYEWECKKDHCHKWIEFGHINGLHINGHGTLACLKRPRGVVISHSSNVHISNIMVKDSPNFQMSLEDSKWVIVKQLTITADGDSPNTDGIHIQRSQNVIVYESNIRTGDDCISIGDGSKYVNISRISCGPGHGISIGSLGRYGTKETVENVVVRDCTFRETTNGVRIKTWQGGRGHVRNVLFERIKLHGATRPIIIDQFYCPHSQCKNHTRAVEIKNVMYNHIHGTSIKKPFVQLLCSKSVPCRGIFMNDINIRNENEEEEEKYHKSLSHNDHPSAECINVKGESNGVMKPKLGCLEFKRH >Al_scaffold_0007_3714 pep chromosome:v.1.0:7:22805499:22806964:-1 gene:Al_scaffold_0007_3714 transcript:Al_scaffold_0007_3714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1637) [Source:Projected from Arabidopsis thaliana (AT5G39890) TAIR;Acc:AT5G39890] MRTGTVVSGRVSKDLSKTNPNGNIPLVTKSIASENRSSSRKKIQRRSQKTLICPVQKLFDTCKKVFANGKSGTVPSQENIEMLRAVLDVITPEDVGVSPKMPFFRSKVTGSSPLVTYLHIYACHRFSICIFCLPPSGVIPLHNHPEMTVFSKLLFGTVHIKSYDWVADSPQPSSDTRLAKVKVDSDFTAPCDTSILYPADGGNMHCFTAKTACAVLDVLGPPYSDPAGRHCTYYFDYPFSSFSVDGVAVAEEEKEGYAWLKEREEEPEDLTVSAMMYSGPTIKE >Al_scaffold_0007_3719 pep chromosome:v.1.0:7:22853499:22857736:1 gene:Al_scaffold_0007_3719 transcript:Al_scaffold_0007_3719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJG2] MRIVLFLFVLFHKGFSVYNSRISSSAAFDISIQNKISSPKSILELGFFKPAPSSSVGDRWYLGMWYRKLPNEVVWVANRDNPLSKPIGTLKIFNNNLHLFDHTSNSVWSTQVTGQSLKSDLTAELLDNGNLVLRYSNENETSGFLWQSFDFPTDTLLPNMKVGWDKNSGLNRILQSWKGINDPSTGDYTYKVEIREPPESYIRKKGKPTVRSGPWNSMSDADTHGKLRYGTYDLTVRDEEISYSFTISNDSFFSILRLDHNGVLNRSTWIPTSGELKWIGYLLPDDPCYEYNKCGPNGLCDINTSPICNCIKGFQAKHQEAWELRDTEEGCVRKTQSKCNGDQFLKLQTMKLPDTVVSIVDMKLGLKECKKKCLATCNCTAYANANMENGGSGCVIWVGELLDLRKYKNAGQDLYVRLRMEAIDIGDEGKNNTKIIFIIVGVVILLLLSFIIMVCVWKRKKRPPTKAITAPIGELHCEEMTLETVVVATQGFSDSNKIGQGGFGIVYKGRLLGGQEIAVKRLLKMSTQGIDEFKNELSLNASVQHVNLVQLLGYCFEGGEMILIYEYLENSSLDKFIFDKSQSSKLTWEKRVQIINGISRGLLYLHQDSRRPMVHRDLKPSNILLDQDMIPKISDFGMSKLFDKRTTAANTTKIVGTFGYMSPEYAEDGTYSTKSDVFSFGVVLLEIIFGVKNRDFYIYSENEESLLTYIWRNWKEGKGLDSIDQVILDSSTFQPHQVKRCIQIGLLCVQERAEDRPTMLLVSVMFASDTMEIDPPGPPGYLVRRSHLETGSSSRKKLNEESWTVAEVTYSAIEPR >Al_scaffold_0007_3720 pep chromosome:v.1.0:7:22867850:22869066:-1 gene:Al_scaffold_0007_3720 transcript:Al_scaffold_0007_3720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJG3] MPPLTRVDDLTPYDRNRVICVKVLFFWFENFGRPDQKLEMILADLEETKIEATITGGPFDHDNITGLREDTWYFLSNFLVLYLPELMSNTSNMFRIWFYRPTKMTSTYERSPSKCIEPERFSRIDPDRNSDCYTLNLDTVGFIVYIDRLIRIPYVSGQYERGRGCNCVYFVLKNHRGLRLRYCTIGDLANYFMRVWVNREYGHSYLRDPVFCVLRFWHVEEYKGKPCLMNRVGCSRFYLDPEFDELEEIKERVHSPPLMLGPLRIQDVPIWIIE >Al_scaffold_0007_3721 pep chromosome:v.1.0:7:22892944:22895685:1 gene:Al_scaffold_0007_3721 transcript:Al_scaffold_0007_3721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJG4] MLRESRDATRVPRRCERASSAMLRESQLGDAARVPRCCKSAAMLQECRDAARVSRRCVRASSAMLRESQLGDAAREPAQRRCNSAAMLRECRDATREPARRCCESASSAMLQESQIGDAAREPARRCCERASSAMLQESQLSDAARVPRSCERASSAMLRESQLGDAAREPARRCCKRKLRRCESATTLRESQLGDTAREPARRCCVRVTILRECTVRRVRFDLVSTRCFFGDAAAWPWEIVAWFSGGKRGSARWICSGSAVSCLGRVVSLVRFVKNLRLVEEGVVVQLLVVQRPRGEPWFGECELAGQRRGISGSSWRGISGRPIITNCITNGNVFPILRIDSTGVLNRSTQIPTSEELKRIGYLLPYLDVLIDLLNVLIHIEVMEELEGRTRGRHIIDQVKRCIQISLLLSCVFIQERAEDRPPGYLVRRSHLETGSSS >Al_scaffold_0007_3728 pep chromosome:v.1.0:7:22929026:22930018:-1 gene:Al_scaffold_0007_3728 transcript:Al_scaffold_0007_3728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJH2] MEATDVKARCIHCSGLTSCKTKDGVTTSAMGKHMRICKMMPRELPDGRDSKHPSGRLVDANEDYEARLKEEPVIAFSGEEGNGRYLDLHEYINSKFGERVEYRDKLKLSRQYRKYMEALLEYLVYFFQRTEPLQDLDRILSSRCKILTGYFLAVARS >Al_scaffold_0007_3730 pep chromosome:v.1.0:7:22936820:22937512:-1 gene:Al_scaffold_0007_3730 transcript:Al_scaffold_0007_3730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MJH4] VSDSPVKNSQEAYMMEMRASRLVQAADSLLKLVSELKQIAIFSGFASLNDYVEQRIEEFDQEAEKTNRLLARIAVDELESHHYSSAQRLTLI >Al_scaffold_0007_3732 pep chromosome:v.1.0:7:22941536:22941861:1 gene:Al_scaffold_0007_3732 transcript:Al_scaffold_0007_3732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJH7] MCKIKQVTLRGDKKSSNSSLRHVDSGCARGEESDVADRGKRDGGDGDDNSGGGDDSNNGGGVSDGGGRHGGVATLALSSSIYWFEFLILVSWI >Al_scaffold_0007_3733 pep chromosome:v.1.0:7:22949946:22951140:1 gene:Al_scaffold_0007_3733 transcript:Al_scaffold_0007_3733 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 94 [Source:Projected from Arabidopsis thaliana (AT5G39820) TAIR;Acc:AT5G39820] MDDEESNIVERYDDVVLPGFRFHPTDEELVSFYLKRKVLHKSLPFELIKKVDIYKYDPWDLPKLAAMGEKEWYFYCPRDRKYRNSTRPNRVTGGGFWKATGTDRPIYSLDSTRCIGLKKSLVFYRGRAAKGVKTDWMMHEFRLPSLSDSHHSTYPNYNNKKQHLNNNNNSKELPSNDAWAICRIFKKTNAVSSQRSIPQSWVYPTIPDTNQYNSHSHNNTATLLASSDGLSHISTRQNLIPSPVNEPTSFTESAAAYFASQMLGVPYNTTRNSGTGDALFLSNNENNYFNNLTGALTHELPNVRAMVMEETMVSEMSATSYSTNN >Al_scaffold_0007_3736 pep chromosome:v.1.0:7:22956056:22958302:1 gene:Al_scaffold_0007_3736 transcript:Al_scaffold_0007_3736 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase-related [Source:Projected from Arabidopsis thaliana (AT5G39790) TAIR;Acc:AT5G39790] MGCVPRIGFGCSSENLTLSWNLRAWNLGRLTTISHFRKLPYPLVASTRNHYKNSLLLKRFLVGVGTEESSLSEDSLDESLSRPLTSDELKSLLIDTERSKLVKKLSEANQQNRFLKRQLKTQEDEITNIKSELALMELELQALVKLAEEIANLGIPEGSRKISGKYIQSHLLSRLDAVQKKLKEQIKDVEAAQSKEVHVFWIGMAESVQVMGSFDGWSQCEDLSPEYTALFTKFSTTLFLRPGRYEMKFLVDGEWQISPEFPTSGEGMMENNVLVVE >Al_scaffold_0007_3741 pep chromosome:v.1.0:7:23008758:23010908:1 gene:Al_scaffold_0007_3741 transcript:Al_scaffold_0007_3741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJI6] MTIRSLPSSSSSSSYSLASTSLSNRLETIFKKASELCTLCDIEACVIYYGPDGELKTWPKEREKVRDIALRYSQLNEALRRKKRVNLYDFLNKKKEKGLKNPNKKRKTSLKKVNELKYPISDHYSPDQISKLIQSLELNVSKVQERLRFVESQKQNETKPDHQGLASTSLNQQTQSLNPSQFSLFIYNHGDNTLSQIPVSASNFNQDFSALLQESEFKNQLVKQELCGYDQNMCMSNITNNSFQHPCVSNKEHYSAVQESVNNYELNQLMQKEFYGCDQKLSNINSNKFQHPCVSNTQHNSAVQESVDNPWLNQLMQHELYGFDQNLCSSDITNSNVPNPGLSNTVSYELGSDFLDSCGNMVAKPSEKSLKIMRNFSEQYGRRSAQGPTSVLIKV >Al_scaffold_0007_3753 pep chromosome:v.1.0:7:23074407:23076176:-1 gene:Al_scaffold_0007_3753 transcript:Al_scaffold_0007_3753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport v-SNARE family protein [Source:Projected from Arabidopsis thaliana (AT5G39630) TAIR;Acc:AT5G39630] MSNVFHEYDRQYRELSVNLSKKCYLAFSLKGGEKKEKLSEITSDLENAEKLIWKMDHAASNLPPNIKSILLEKLRESKSSLKRFKSDIKRNTSENLNVTTREEVLEAEKADSADQRSRLMKSTEGLARTREIIKQSRRKMMETENIGISILENLQSQKESLQRSQSMLHEIDDNVKECQSIVTTIKIKEFFTMAAAPIVMFALILVKLAK >Al_scaffold_0007_3754 pep chromosome:v.1.0:7:23076922:23078097:1 gene:Al_scaffold_0007_3754 transcript:Al_scaffold_0007_3754 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase homolog G1 [Source:Projected from Arabidopsis thaliana (AT5G39620) TAIR;Acc:AT5G39620] MKKKQYQKQYRKLFRKRFREQSRRLLSCAFRSTMSSRQKRTKLKIILLGNSGVGKTSLTKRYIGEEFKRLHMNTVGMDFTNKELCVDKEQVTLQIWDTAGQERFHSITSGFYRDANCCVLVYDVNILESFESLDIWHAQFVEEADPITPDKFRIPFVLMGNKTDVKGRTSPVVEKEKAVQWCETKGEIAYFETSAKEKSNVDEAFMEVARKALLYVRRTTKM >Al_scaffold_0007_3760 pep chromosome:v.1.0:7:23106534:23108340:-1 gene:Al_scaffold_0007_3760 transcript:Al_scaffold_0007_3760 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cytosol, nucleus; EXPRESSED IN: 25 plant structures; EXPRESSED DURING: 14 growth stages; BEST Arabidopsis thaliana protein match is: glycine-rich protein /.../AT3G29075.1); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G39570) TAIR;Acc:AT5G39570] MPYYTRDEDDVDDFDEFDPTPYSGGYDITVIYGRPIPPSDETCYPLSSGVDDDFEYERPEFTQIHEPSAYGDEALNTEYSSYSRPKPRPAFRPDSGGGGHVQGERPNPGYGSGSGYGRKPESEYGSGYGGQTETESGYGGQTEVEYGRRPEQSYGSGYGGRTETESEYGSGGGGRTEVEYGRRPESGYGGRSETEYERKPSYGRSEEQEEGYRKPSYGRSEEQEEGYRKPSYGRSEEQEEGYRKPSYGRSEEEEEGYRKPSYGRSEEEEGSYRKPSYGRSEDQVESYIKPSYGRSEEQEEGSYRKPSYGRSEEQEEGSYRKPSYGRGNDDDDDEQRRNRSGSGDDEEGSYGRKKYGDNDSDEDEEKKKHRYKHHHQRRRDEDDD >Al_scaffold_0007_3772 pep chromosome:v.1.0:7:23196775:23199051:1 gene:Al_scaffold_0007_3772 transcript:Al_scaffold_0007_3772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT5G39430) TAIR;Acc:AT5G39430] MGTCMSTHSRRIRPRRKGRRRFPKHISRVSDIISHPNIRRLSDVGIQTSFDISQNDAWFDSSSLFSDSDDDFISLHEADNVWLEGGVMGNIPNGQVVQFETSSCIVDGNGNYEEYHESYLKIDGGNKIEKFMSNGLYKDTNSLSIITGNNKNKQLDHTYRSFKVLKEIDPNPQEKTLKSNLSRLMPTVSFNDKTLNSPTSQKRKSAVYQTSFKRRSCDGEEVTEHRSSKRLLYRPKAGYTIPCYANEKQQSSGSWCEIPPSNLKLRGETYFKDKRKYPAPNQCPYTPIGVDLFVCPKKIDHIAQHIELPNIKAEVKFPALLIVNIQLPTYPAAMFLGDSNGEGMSIVLYFKLRENFEKEISQQYQDSIKKLVEDEMEKVKGFAKDNIVPFRERLKIVAGLVNPEELSLSSTERKLIQAYNEKPVLSRPQHNFFKGPNYFEIDLDVHRFSYLSRKGLEAFRDRLKNGTLDLGLTIQAQKQEELPEKVLCCLRLSKIDFVDNGQIPTLLIPEEGESLV >Al_scaffold_0007_3777 pep chromosome:v.1.0:7:23227692:23231492:-1 gene:Al_scaffold_0007_3777 transcript:Al_scaffold_0007_3777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJM6] MRIVLFLFVLFHKGFSVYNSRISSSAAFDISIQNKISSPKSILELGFFKPAPSSSVGDRWYLGMWYRKLPNEVVWVANRDNPLSKPIGTLKIFSNNLHLFDHTSNSVWSTNVTGQSLKSDLTAELLDNGNLVLRYSSNNETSGFLWQSFDFPTDTLLPDMKLGWDKKSGLNRILKSWKSINDPSTGDYTYKVEIREPPESYIREKGEPSLRIGPWNSVSDINVIGKLTHGTENITMKSEEISYSFSVTNGNVFSILRMDHSGILNRSTWIPTSGELKRIGYLLPEVDDICHVYNMCGPNGLCDINTSPICNCIKGFQARHQEAWELGDKKEGCVRKTQSKCNGDQFLKLQTMKLPDTVVSIVDMKLGLKECKKKCLATCNCTAYANANMENGGSGCVIWVGELLDLRKYKNAGQDLYVRLRMEAIDIGELHCEEMTLETVVVATQGFSDSNKIGQGGFGIVYKGRLLGGQEIAVKRLLKMSTQGIDEFKNELSLNASVQHVNLVQLLGYCFEGGEMILIYEYLENSSLDKFIFDKSQSSKLTWEKRVQIINGISRGLLYLHQDSRRPMVHRDLKPSNILLDQDMIPKISDFGMSKLFDKRTTAANTTKIVGTFGYMSPEYAEDGTYSTKSDVFSFGVVLLEIIFGVKNRDFYIYSENEESLLTYIWRNWKEGKGLDSIDQVILDSSTFQPHQVKRCIQIGLLCVQERAEDRPTMLLVSVMFASDTMEIDPPGPPGYLVRRSHLETGSSSRKELNEESWTVAEATYSAIEPR >Al_scaffold_0007_3780 pep chromosome:v.1.0:7:23240685:23241238:-1 gene:Al_scaffold_0007_3780 transcript:Al_scaffold_0007_3780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJM9] MKKKPLSPTENDVPESNPPKRNRGNEPYTRLRNISQFNLPILRNGSVPLSTAFGSAFRDITNLPDPQVSPIENSVDANTSSSAKQNSKNQGSLRGKILVPCSPYTRPNPLLTPSGLSAMTNTSQSLFSRTPTTPIMPPPSVTPKRIGG >Al_scaffold_0007_3785 pep chromosome:v.1.0:7:23276822:23277893:-1 gene:Al_scaffold_0007_3785 transcript:Al_scaffold_0007_3785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJN5] MNSSSYLQASRVMVLGAKSPVDRRRRSLERVSKELSRGNYETALSLVKQLKSKHGCLSAFGSAKLLPKKLDMSSKSDLWSLIDSVSRSIESVYVEEDSVRISKEEETKTSPEEDWFAVVQHESGHFLVGYLLGVLPRYYEIPTLEAVRQNVSSVTGRVEFVGFEFLKQVGAANQLMKDDRDSRMNLSDTQGNISSKVRFSQTYIQ >Al_scaffold_0007_3790 pep chromosome:v.1.0:7:23295253:23299059:-1 gene:Al_scaffold_0007_3790 transcript:Al_scaffold_0007_3790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJN8] MRIVLFLFVLFHKGFSVYNSRISSSAAFDISIQNKISSPKSILELGFFKPAPSSSVGDRWYLGMWYRKLPNEVVWVANRDNPLSKPIGTLKIFSNNLHLFDHTSNSVWSTNVTGQSLKSDLTAELLDNGNLVLRYSSNNETSGFLWQSFDFPTDTLLPDMKLGWDKKSGLNRILKSWKSINDPSTGDYTYKVEIREPPESYIREKGEPSLRIGPWNSVSDINVIGKLTHGTENITMKSEEISYSFSVTNGNVFSILRMDHSGILNRSTWIPTSGELKWIGYLLPEKYDMCHVYNMCGPNGLCDINTSPICNCIKGFQGRHQEAWELGDKKEGCVRKTQSKCNGDQFLKLQTMKLPDTVVSIVDMKLGLKECKKKCLATCNCTAYANANMENGGSGCVIWVGELLDLRKYKNAGQDLYVRLRMEAIDIGELHCEEMTLETVVVATQGFSDSNKIGQGGFGIVYKGRLLGGQEIAVKRLLKMSTQGIDEFKNELSLNASVQHVNLVQLLGYCFEGGEMILIYEYLENSSLDKFIFDKSQSSKLTWEKRVQIINGISRGLLYLHQDSRRPMVHRDLKPSNILLDQDMIPKISDFGMSKLFDKRTTAANTTKIVGTFGYMSPEYAEDGTYSTKSDVFSFGVVLLEIIFGVKNRDFYIYSENEESLLTYIWRNWKEGKGLDSIDQVILDSSTFQPHQVKRCIQIGLLCVQERAEDRPTMLLVSVMFASDTMEIDPPGPPGYLVRRSHLETGSSSRKKLNEESWTVAEVTYSAIEPR >Al_scaffold_0007_3791 pep chromosome:v.1.0:7:23299750:23300334:1 gene:Al_scaffold_0007_3791 transcript:Al_scaffold_0007_3791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJN9] MARIANGVGIVGLLIIFMLIWGGMPKGNAKHYDPYCRKIPSVLAAPGNCFKKNGNALCKESCVNEKFLHGACLHLPKPQSKLTCHCWLKDAKFCP >Al_scaffold_0007_3799 pep chromosome:v.1.0:7:23342696:23343695:-1 gene:Al_scaffold_0007_3799 transcript:Al_scaffold_0007_3799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJP6] MALPITELNAVKPFKTQWKIQVKIVHSWTQYTQYSGETVEMVLADTSGTLIHATVKKQQVNKFQRLITTGEWRTAENFTVAKSTGKTK >Al_scaffold_0007_3811 pep chromosome:v.1.0:7:23393399:23394130:1 gene:Al_scaffold_0007_3811 transcript:Al_scaffold_0007_3811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJQ9] MDQETKPGYEPDRIPHSIFATSITPKQEWSIQSNESLFSIHMGDHSFSKMYKSGELTNFEYTASPYISYNNNNIDNKNTLTDPGTKEVNITVVETGPKPGNRDGLINVPKPDPRQQIPISPTKSYHSETSNNSAASFAFPTLGEYQQERKTSLNMKSESGKRELSRLDSKTGLYQDASKQGGAGGWLSCFLCFSEKN >Al_scaffold_0007_3813 pep chromosome:v.1.0:7:23397267:23398029:1 gene:Al_scaffold_0007_3813 transcript:Al_scaffold_0007_3813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJR1] MKVSHSLISIISLVLVIPFSNAYDPSPLQDFCVAVGNLNNVFVNGKFCKDPKQAKAEDFFYSGLNKAGSTNNNVKSNVTTVNVDQIPGLNTMGISLVRIDYAPYGQNPPHTHPRATEILVLVEGTLYVGFVSSNQDNNRLFAKILHPGDVFVFPIGMIHFQVNIGKTPAVAFAGLSSQNAGVITIANTVFGSKPPIYPEVLAQAFQLDVNVVKDLEAKFASKN >Al_scaffold_0007_3814 pep chromosome:v.1.0:7:23403888:23404669:1 gene:Al_scaffold_0007_3814 transcript:Al_scaffold_0007_3814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJR3] MRVPMSLVLITISALVISFAEASDPSPLQDFCVAIGDLKNGVFVNGKFCKDPKQAKAEDFFYSGLNQAGTTNNRVKSNVTTVNVDQIPGLNTLGISLVRIDYAPYGQNPPHTHPRATEILVLVEGTLYVGFVSSNQDNNRLFAKVLNPGDVFVFPIGMIHFQVNIGKTPAVAFAGLSSQNAGVITIADTVFGSTPPINPDILAQAFQLDVNVVKDLEAKFKN >Al_scaffold_0007_3815 pep chromosome:v.1.0:7:23412646:23413427:1 gene:Al_scaffold_0007_3815 transcript:Al_scaffold_0007_3815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJR4] MRVPMSLVLITISALVISFGEASDPSPLQDFCVAISDLKNGVFVNGKFCKDPKQAKAEDFFYSGLNQAGTTNNRVKSNVTTVNVDQIPGLNTLGISLVRIDYAPYGQNPPHTHPRATEILVLVEGTLYVGFVSSNQDNNRLFTKVLNPGDVFVFPIGMIHFQVNIGKTPAVAFAGLSSQNAGVITIADTVFGSTPPINPDILAQAFQLDVNVVKDLEAKFKN >Al_scaffold_0007_3816 pep chromosome:v.1.0:7:23416575:23417356:1 gene:Al_scaffold_0007_3816 transcript:Al_scaffold_0007_3816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJR5] MRVPMSLVLITISALVISFAEASDPSPLQDFCVAIGDLKNGVFVNGKFCKDPKQAKAEDFFFAGLNKAGNTTNRVKSNVTTVNVDQIPGLNTLGISLVRIDYAPYGQNPPHTHPRATEILVLVEGTLYVGFVSSNQDNNRLFAKVLNPGDVFVFPIGMIHFQVNIGKTPAVAFAGLSSQNAGVIMIADTVFGSTPPINPDILAQAFQLDVNVVKDLEAKFKN >Al_scaffold_0007_3817 pep chromosome:v.1.0:7:23421601:23422214:1 gene:Al_scaffold_0007_3817 transcript:Al_scaffold_0007_3817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJR6] MRFSKSLVLITLSALVHFKTSAWPLVTSKMVVIFVNGKFCKYPKQAKAKDFFYSGLNQAGTTNNRVKSNVTTVNVDQIPGLNTLGISLVRIDYAPYGQNPPHTHPRATEILVLVEGTLYVGFVSSNQDNNRLFAKVLNPGDVFVFPIGMIYFQVNIGKTPQWPLLD >Al_scaffold_0007_3823 pep chromosome:v.1.0:7:23473771:23475157:-1 gene:Al_scaffold_0007_3823 transcript:Al_scaffold_0007_3823 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein [Source:Projected from Arabidopsis thaliana (AT5G39080) TAIR;Acc:AT5G39080] MDSSLNIIDVAQVTPSNSDSAESLTLPLTFFDLIYFKLRAVERVIFYRLTDATRPFFDSVIEPLDLKPSIVYSQNDAVSFTVAETNAEFSRLSGNKQFPSTELYPLVPELLSSDNSASVVSFQMEKRQPCFSNLGHTHVKHQDSSLPQDLIPNYNRTVIKSPTDSENKVLDEWHSLAKMLAGGKEPENPKSLKLKPSFEIGPDVVRYTLELTREDIQTLRERLKREFSSSSPSPSKDLRLSTFVIVYSYALVCLIRARGGEPNRPVGYAFSVDCRSLINPPTPSYFGNCISGCLRMMLKAKTFMGEEGLLAAAKMVSDSIEEWDESLAWKISDFLAFATLPPGTQIILASGSTRFGVYGLDFGWGRPDKVVIVSISQGNGISMADSRDQNGGVEIGFSLKKQEMDTLIDLLQYDLKN >Al_scaffold_0007_3827 pep chromosome:v.1.0:7:23504099:23506535:-1 gene:Al_scaffold_0007_3827 transcript:Al_scaffold_0007_3827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJS4] MICYVLFLSSVLVSVTDGVMAAFVPTDVFLFNCGDTSNNVDNNGRNWTAEDRKILSSNLVNASYTSEASYQKTGVSRIPYMKARIFRSEFTYSFPVTPGWKFLRLYFYPTQYKSGFDAVNSFVSVKVSGFTLLRNFNADITVQASITESSSLIKEFIIPVYQTLNLTFTPSENSLAFVNRVEIVSMPDRFYSKGGFDDVVRNVSINVGGQMVNNVDDSGMFRRWLPDDSFGNSGSIVNVPDVKITYTEKTPAYVAPYDVYATSRSMGYSTNLIFKLTGMFLTVDAGYNYLVRLHFCETLPQVTKPGQRVFSIFVKDKMAKKEMDVVRLSGGPRIPMYLDFSVYVGFESGMRQPDLRLDLHAFKDTNLTDAILSGVEILKLNDSDGNLPRPNPKLIESKDSRPNHSNVTPPIKGKPHVLVIILIAVGSVIGLATSIVIIMLLIRRKKNKKENKLILESSSISNGEEAGKQTQTFDSTTI >Al_scaffold_0007_3832 pep chromosome:v.1.0:7:23533461:23534806:-1 gene:Al_scaffold_0007_3832 transcript:Al_scaffold_0007_3832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJS8] MYNYTRDNREASKWPPKNLYENSSSDAEYTSLSSADASDPSPLQYFCICVNTPANGVFVNEKFCKDPKLATAYDFFFTGLNRPRTVTNAVGFNVAAVNVNQIQGLNTILADRITIGFESKRRKKNTV >Al_scaffold_0007_3833 pep chromosome:v.1.0:7:23535032:23535800:-1 gene:Al_scaffold_0007_3833 transcript:Al_scaffold_0007_3833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJS9] MAMKSLSFLAVLSLLALTLPLAIASDPSQLQDFCVSANTSANGVFVNGKFCKDPKLVTADDFFFSGLQNARPVTSPVGSTVTAVNVNNLLGLNTLGISLVRIDYAVNGQNPPHTHPRATEILVVEQGTLLVGFVTSNPDNRLFTKVLNEGDVFVFPEGLIHFQANIGKGPAVAFAALSSQNPGVITIANTVFGANPAINPTILAKAFQLNPRTVVDLQNKFKK >Al_scaffold_0007_3836 pep chromosome:v.1.0:7:23549085:23549852:-1 gene:Al_scaffold_0007_3836 transcript:Al_scaffold_0007_3836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJT2] MAMKSLPFLVVLSLLAITLPLAIASDPSPLQDFCVSANTSADGVFVNGKFCKDPKLVTADDFFFSGLQNARPVTSPVGSTVTAVNVNNLLGLNTLGISLVRIDYAVNGQNPPHTHPRATEILVVEQGTLLVGFVTSNPDNRLFTKVLNEGDVFVFPEGLIHFQANIGKEPAVAFAALSSQNPGVITIANTVFGSNPAINPSILARAFQLDPRIVVDLQNKFKK >Al_scaffold_0007_3837 pep chromosome:v.1.0:7:23560721:23561932:-1 gene:Al_scaffold_0007_3837 transcript:Al_scaffold_0007_3837 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G38910) TAIR;Acc:AT5G38910] MKSLSFLAILSLLAITLSLTKASDPSPLQDFCVGVDTPAYGVFVNGKFCKDPKLVTVDDFFISGINKPRTPNPKTGSIVTPVNVNQIPGLNTLGISIVRIDYAINGQNPPHTHPRASEILYVAYGTLLVGFVTSNTDNRLFTKVLYEGDVFVFPEGLIHFQANVGKYPAVAFAGLSSQNPGVITIADTVFGSNPPIDPNVLATAFQLDATTVMELQTKFKK >Al_scaffold_0007_3844 pep chromosome:v.1.0:7:23595043:23596840:-1 gene:Al_scaffold_0007_3844 transcript:Al_scaffold_0007_3844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJU1] MASSRTWRYSVFPSFHGQDIHPSDVRKQTGDFGRAFKKSCASKTKEERQRWIQALIVVGNIAGEYLLNWENEAHMIEKIAKDTSEKLNATPSRDFDGIVGLKVHIKELSSLLYLDCDQVRMVGLCSPIGIGGSLNHGLDEYGLKLDLQERLLSKILNQKGMRIHHLGAIRDRLHDQKVLIILDGVNDLEELYALANQTIWFGPGSRIIVVIEDKEILQKHGINNVYHVDFPSREEALEIFCRCAFRQSSPPYGLLKLAERVTELCGNLPLGLRVIGSYLRGKTKDDILTAKTVSPPRSEPNHMLVELDMQYSLLQKLWGGTQVGYHHKLSTNLDGKEDS >Al_scaffold_0007_3856 pep chromosome:v.1.0:7:23652672:23653813:-1 gene:Al_scaffold_0007_3856 transcript:Al_scaffold_0007_3856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJV6] MWLVVVPLSILVMSHLVCGCGFVETAERLRTPVTVILVSPLCLVLVLVSDTHRKSLIGELGKAACAVWLDFFFLAMVGAGLVLCRRIKICDFLFLEARFSGVAWRSSGYRWWSFFLLHLHLSPIAHGLVEEPLPHDVEESVIEGIETYAVETSVHGPPLKGFRFFGFLFCSLFSFHSHLSSFVAHFGGTSESCITLSDTPFDKRHTLSR >Al_scaffold_0007_3860 pep chromosome:v.1.0:7:23684985:23686795:1 gene:Al_scaffold_0007_3860 transcript:Al_scaffold_0007_3860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJW0] MIRPFLPRKFPFIPATDVAGEVVEVGSGVKNLKAGDKVVGIVSYLTGGGLAEYVVVSEKLTIKRPQEVGAAEAAALPVPGLTALYVLTHHAGLKLDGTDKQANILVTAASGGVGHYAVQLAKLGNAHVTATCGARNIDFVKSLGADEVLDYKTPEGATLKSPSGKKYDVVIHCANSIPFSTFELNLSENGKVIDITPRPSAIWTYAVKNLTMSKKQFVPLFLIQKLAENLKYLVNLVKEGKVKTVIDSKYSLSKAEDAWPAKSIDGHATGKIIVEP >Al_scaffold_0007_3873 pep chromosome:v.1.0:7:23807968:23808201:-1 gene:Al_scaffold_0007_3873 transcript:Al_scaffold_0007_3873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJX2] MVESVVEKIEGDVGSLEKGLHELEAIKERAQRCEKEIVYLKDVVSLCEKEVQELRSFKNMVVCGGLVMAIVYYVFFA >Al_scaffold_0007_3874 pep chromosome:v.1.0:7:23809311:23810146:1 gene:Al_scaffold_0007_3874 transcript:Al_scaffold_0007_3874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJX3] MEWELPEARDTPSTQVERLEENQVTSVPPLSVQATEDYETMEPERPEVTDTPSTQFEDYETTDTPSTQVTTVPPRSVQVTTVPPRSVQVTTVPPRSVQATEDYETVVRELPEVERLEENQVTNVTQSDQASKGRGKRIKKPNVLFQDFVLLTPGVTVKKVTRKKVTRRT >Al_scaffold_0007_3878 pep chromosome:v.1.0:7:23892198:23892887:1 gene:Al_scaffold_0007_3878 transcript:Al_scaffold_0007_3878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJX4] MAPKGKSSRGRGGGATTRRGAAGGGQTSRQEATGGGQTSRQEAAGGGVTTRRVAAGGGQALPQQAVGGGETSRPVRPGGRVRTFVGHRPPVTASGVGTSSNASNPPSASQSATQSQVSRPSLNSSRQNPPPRQTPPLNRQTPPPQPQHQPPSPQTQPLPEHDANNQVLPENEDLVEEEIDDVGQEDDKENPNPGEDYQEMLDRLLALPGREHLPRLSVHPIPDVETFWY >Al_scaffold_0007_3889 pep chromosome:v.1.0:7:23958088:23959224:1 gene:Al_scaffold_0007_3889 transcript:Al_scaffold_0007_3889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJY4] MIPFTSDPCDGGYFRIASLNPNMDVVGKIVNVRELVFVPSVEHSQGGYFELYFDLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTRSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0007_389 pep chromosome:v.1.0:7:1758539:1760671:1 gene:Al_scaffold_0007_389 transcript:Al_scaffold_0007_389 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G36610) TAIR;Acc:AT4G36610] MVNFVEVQKPLLYGLMKMAGVVPYTLEIEPGTKINFWVPKETLKKKSCTGKPTKPDKPKKPAVLLIHGFAGEGIVTWQFQVGALSKKYSVYIPDLLFFGGSYSDNSDRSPAFQADCLVKGLRILGVDKFVPVGFSYGGMVAFKIAEAYPDMVRAIVVSGSIPTMTDTINEASLNRLGFSSSTDLLLPTSVKGLKALFTIAVHKPMWFPKRLFKDYIEVMFNNRKERAELLEAVVVSNKEAQIPHFPRKIHFLWGESDQIFDLELARDMKEQLGENATIESIKKAGHLVQLERPCVYNRRLKKFLASIHSEDKYLQ >Al_scaffold_0007_3898 pep chromosome:v.1.0:7:24003907:24004365:-1 gene:Al_scaffold_0007_3898 transcript:Al_scaffold_0007_3898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJZ1] MHVDSMKRARVSSVYEGKEGNRSRKGEETRRDQSKHARMTAKARMNVHKESMKSLPKHKKSMMIMKLMRKKDEMQNDQSNVIASVVEKEKEEKEKGAVENIKEKMEMLSTKCVEEWPYKLTYEEEWTWFAFAFGWEWWQRYDAVMSGESWFN >Al_scaffold_0007_3903 pep chromosome:v.1.0:7:24026277:24027131:1 gene:Al_scaffold_0007_3903 transcript:Al_scaffold_0007_3903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJZ2] MSMQYVGYVLIISSLLLRHILDHLRFDISNRLNKEVSLQRHLQKQRAQQYIVVEVSPDTLFAALTKNEIEIDKAEVLGGAFEPKAESEVLDGVEAEIFGRS >Al_scaffold_0007_3906 pep chromosome:v.1.0:7:24036518:24039260:-1 gene:Al_scaffold_0007_3906 transcript:Al_scaffold_0007_3906 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: membrane; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF6, transmembra /.../terPro:IPR000620); BEST Arabidopsis thaliana protein match is: Cation efflux family protein (TAIR:AT2G04620.1); Has 123 Blast hits to 121 proteins in 39 species: Archae - 0; Bacteria - 0; Metazoa - 69; Fungi - 0; Plants - 45; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G38380) TAIR;Acc:AT5G38380] MMSPKQISDDRGSSHFRNTPFQIIHLIGNFFRIWSVYSMYRYLNQTGAPVVFFLFCCLVPSSIIFLILQKPWKGRALSNQQIVPSLINGVITALYFILWGKGLKSCGPLRAILSEYSGAVLGVLSGVLYGRRGHVWKKVGGLIAMLVALFFLSQGWATSSLSPFYILLRNTETKEEEVQTEQALGMMGMMIPVFAGILSALRRVIARRVSLKNQQKKRLHAITITSATCFLFPVAMWDLIIGSSSGKTSELPFSAWAFLSTIVFGIILIFYVDNIAEERLHMVFSSPRHLMVAGACIIVMELAYEMDFSLPGFIVCCLVLGFGIFEATSLERSKKDSSLKSEDPSNGILGNNDFDTSPILPI >Al_scaffold_0007_3909 pep chromosome:v.1.0:7:24067308:24067842:1 gene:Al_scaffold_0007_3909 transcript:Al_scaffold_0007_3909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJZ6] MASSSLTSFPCLSSLTIKPMAALTNPPRTKTPYELKKGQNRLFHKLPSGLKMEVIEQRRSKSERENPPLVFVHGSYHAAWCWAEHWLPFFSSSGFDSYAVSLLGQGESDEPLGTVAGTLQVFETCNVHFLYWIVT >Al_scaffold_0007_3913 pep chromosome:v.1.0:7:24074465:24117335:-1 gene:Al_scaffold_0007_3913 transcript:Al_scaffold_0007_3913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK00] MTEKIATDVSDMLNRYSPSRDFDGLIGMGAHMKEMESLLCLDSDEVRMIGIWGPSGIGKTTIARVLYSQFSENFELSIFMENIKELMYTRPVCSDEYSAKIQLQKQFLSQIINHKDMELPHLGVAQDRLNDKRVLIVLDSIDQSIQLDAIAKETRWFGHGSRIIITTQDQRLLKAHGINHIYKVEFPSAYEAYQIFCMYAFGQNFPKDGFEELAWQVTKLLGNLPLGLRVMGSHFRGMSRHEWVNALPRLKIRLDASIQSILKFSYDALCEEDKDLFLHIACLFNDEEMVRVEDYLASSFLDVRQGLHLLAEKSLIAIEIFSTNHTRIKMHNLLVQLGRDIVRHKPGHQSIREPGKRQFLVDARDICEVLTDNTGSRNVIGILFELYNLSGELNISERAFEGLSNLKFLRFHGPYDGEGKQLYLPQGLNNLPRKLRLIEWSCFPMKCLPSNFCTKYLVHIDMWNSKLQNMWQGNQVLGNLKRMDLWESKHLKELPDLSTATNLEKLTLFGCSSLAELPSSLGNLQKLRMLNLRGCSKLEALPTNINLESLDDLDLADCLLIKSFPEISTNIKDLMLTYTAIKEVPSTIKSWSHLRNLEMSYNDNLKEFPHALDIITKLYFNDTEIQEIPLWVKKISRLQTLVLEGCKRLVTIPQLSDSLSNVTAINCQSLERLDFSFHNHPKILLWFINCFKLNNEAREFIQTSCTFAFLPGREVPANFTYRANGSSIMVNLNQRRPLSTTLRFKACVLLDKKVDNDKEEAAARVTVVFLSIREKGKIGVTVSWRPGYPFHVPPILREHLLIFEFEADVTCNELLFSYDIIGECGAVIKECGVLQL >Al_scaffold_0007_3914 pep chromosome:v.1.0:7:24117491:24118141:-1 gene:Al_scaffold_0007_3914 transcript:Al_scaffold_0007_3914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toll-Interleukin-Resistance (TIR) domain family protein [Source:Projected from Arabidopsis thaliana (AT5G38344) TAIR;Acc:AT5G38344] MDSAFLSSFCVAAITFFTILGTIFFMFYKKVKSHQENKTIASSSSSLSISSTSSSLSRKRKHDVFPSFHGADVRKTFLAHILKEFKGKGISPFIDNDIERSKSIGPELIEAIRGSKIAIVLLSRNYASSSWCLNELVEIMKCREELGQTVMTIFYDVDPTDVKKQTGDFGKVFKKTCKGKTKEDIKRWQNVLESVATIAGEHSRNWLAFLIYTCPG >Al_scaffold_0007_3916 pep chromosome:v.1.0:7:24125054:24128559:-1 gene:Al_scaffold_0007_3916 transcript:Al_scaffold_0007_3916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK04] MDSSFLSSFCVAAITFFTLLGTIFFMFYRKVKSHQENKTIASSSSSTLSISSTPSSLSRNRKHDVFPSFHGADVRKTFLAHILKEFKGKGIVPFIDNDIERSKSIGPELIEAIKGSKIAIVLLSRNYASSSWCLNELVEIMNCREELGQTVMTIFYDVDPTDVKKQTGDFGKVFKKTCKGKTKEDIKRWQNVLEAVATIAGEHSRNWDNEAAMTKKIATDVSNMLNRYSPSRDFDGFIGMGAHMNEMESLLCLDSDEVRMIGIWGPSGIGKTTIARVLYSQFSESFELSIFMENIKELMYTRPVCSDEYSAKIQLQQQFLSQIINHKDMELPHLGVAQDRLNDKRVLIVLDSIDQSIQLDAIAKETRWFGHGSRIIITTQDQRLLKAHGINHIYKVEFPSAYEAYQIFCMYAFGQNFPKDGFEELAWQVTKLLGNLPLGLRVMGSHFRGMPRHEWVNALPRLKIRLDASIQSILKFSYDALCDEDKDLFLHIACLFNNEEMVKVEDYLALSFLDVRQGLHLLAEKSLIAIEILSTNHTSIKVHNLLVQLGRDIVRHKPGHQCIREPGKRQFLVDARDICEVLTDNTGSRNVIGILLEVENLSGQLNISERGFEGMSNHKFLRFHGPYEGENDKLYLPQGLNNLPRKLRIIEWFRFPMKCLPSNFCTKYLVQLHMWNSKLQNMWQGNQESRRSDLPPLGNLKRMDLRESKHLKELPDLSTATNLEELILYGCSSLPELPSSIGSLQKLQVLLLRGCSKLEALPTNINLESLDYLDLADCLLIKSFPEISTNIKRLNLMKTAVKEVPSTIKSWSPLRKLEMSYNDNLKEFPHALDIITKLYFNDTKIQEIPLWVQKISRLQTLVLEGCKRLVTIPQLSDSLSKVAAINCQSLERLDFSFHNHPEIFLWFINCFKLNNEAREFIQTSSSTLAFLPGREVPANITYRRANGSSIMVNLNQRLASCWIKKLTMTRRRPPLRKQLYFLE >Al_scaffold_0007_3919 pep chromosome:v.1.0:7:24142367:24150920:-1 gene:Al_scaffold_0007_3919 transcript:Al_scaffold_0007_3919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK07] MARRFINAVFLTTTVQRRRRRGAEESTESKRVNVVRCRSTHTDSERKKSIQRSLRQQRYQFYSNFLEFILGFEILSSSWLSCWLHLNKLRIVEENKVCSLLRGLKLFIKEGDEAERKRRKRFQILEHWRKEESNIGRKSLFLDIHNVGYFSILFSWVAQLVSYKGDSDSTRGFKYLALDWHDCSQYFLAFAIKKSRTGDPLETKYPKVKSHQENKTIASSSSSSLSLSSTPSSLSRNRKHDVFPSFHGADVRKTFLAHILKEFKGKGIVPFIDNDIERSKSIGPELVEAIRGSKIAIVLLSRNYASSSWCLNELVEIMKCREELGQTVMTIFYDVDPTDVKKQTGDFGKVFKKTCKGKTKEDIKRWQNVLEAVATIAGEHSCNWDNEAAMTEKIATDVSNMLNRYSPSRDFDGFIGMGAHMNEMESLLCLDSDEVRMIGIWGPSGIGKTTIARVLYSQFSENFELSIFMENIKELMYTRPVCSDEYSAKIQLQQQFLSQIINHKDMELPHLGVAQDRLNDKRVLIVLDSIDQSIQLDAIAKETRWFGHGSRIIITTQDQRLLKAHGINHIYKVEFPSAYEAYQIFCMYAFGQNFPKDGFEELAWQVTKLLGNLPLGLRVMGSHFRGMSRHEWVNALPRLKIRLDASIQSILKFSYDALCDEDKDLFLHIACLFNDEEMVRVEDYLASSFLDVRQGLHLLAEKSLIALKILSADYTRIKMHNLLVQLGRDIVRHKPGHQCIREPGKRQFLVDARDIREVLTDNTDSRNVIGILLEVRNLSGELNINERAFEGLSNLKFLRFRGLYDGENNKLYLPQGLNNLPQKLRILEWSCFQMKCLPSNFCTKYLVHIDMWNSKLQNLWQGNQPLGNLKRMYLAESKHLKELPNLSTATNLEKLTLFGCSSLAELPSSLGNLQKLQALSLRGCLNLEALPTNINLESLDYLDLTDCLLIKSFPEISTNIKRLYLMKTAVKEVPSTIKSWSHLRKLEMSYNDNLKEFPHAFDIITKLYFNDVKIQEIPLWVKKISRLQTLVLEGCKRLVTLPQLSDSLSQIYVENCESLERLDFSFHNHPERSATLVNCFKLNKEAREFIQTNSTFALLPAREVPANFTYRANGSIIMVNLNQRPLSTTLRFKACVLLDKKVDNDKEEAAARKTVVFLRIREKGKIGIYVSWEYHNRYPFHVPPILREHLLIFESEADVTSNELLFSFDIEGNEAVIKECGVLQL >Al_scaffold_0007_3920 pep chromosome:v.1.0:7:24152551:24153089:1 gene:Al_scaffold_0007_3920 transcript:Al_scaffold_0007_3920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK08] MSKENQMIPESSNRTRVSPYPLRSSRTKKYKAHESFIQSEWEDVRGEVFETTKGTSTASRFMNAKPRSCPIDDCNFSGTYSQLDKHLKKSIAVSSPPKVDPQRQCRWEQNGETCGIR >Al_scaffold_0007_3922 pep chromosome:v.1.0:7:24156862:24160601:-1 gene:Al_scaffold_0007_3922 transcript:Al_scaffold_0007_3922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK10] MDSSFLSSFCVAAITFFTLLGTIYFMFYRKVKSHQENKTIASSPSSLSLSSTPSSLSRNRKHDVFPSFHGADVRKNFLAHILKEFKGKGIVPFIDNDIERSKSIGPELIEAIKGSKIAIVLLSRNYASSSWCLNELVEIMNCREELGQTVMTIFYDVDPTDVKKQTGDFGKVFKKTCKGKTKEDIKRWQNVLEAVATIAGEHSCNWDNEAAMTEKIATDVSNMLNRYSPSRDFDGFIGMGAHMNEMESLLCLDSDEVRMIGIWGPSGIGKTTIARVLYSQFSENFELSIFMENIKELMYTRPVCSDEYSAKIQLQQQFLSQIINHKDMELPHLGVAQDRLNDKRVLIVLDSIDQSIQLDAIAKETRWFGHGSRIIITTQDQRLLKAHGINHIYKVEFPSAYEAYQIFCMYAFGQNFPKDGFEELAWQVTKLLGNLPLGLRVMGSHFRGMSRHEWVNALPRLKIRLDASIQSILKFSYDALCDEDKDLFLHIACLFNNDGMVKDYLALSFLDVRQGLHLLAEKSLIALEIFSADYTHIKMHNLLVQLGRDIVRHKPGHQSICAPGKRQFLVDARDICEVLTDNTGSRNVIGILFEVYTLSGELNISERAFEGMSNLKFLRFHGPYDGQSDKLYLPQGLNNLPRKLRILEWSHFPMKCLPSNFCTKYLVQLCMGYSKLQNLWQGNQVSKRSDLPVLGNLKRMDLWESKHLKELPDLSTATNLEKLTLFGCSSLAELPSSLGNLQKLRMLNLRGCSKLEALPTNINLESLDDLDLADCLLIKSFPEISTNIKDLMLTYTAIKEVPSTIKSWSHLRNLEMSYNDNLKEFPHALDIITKLYFNDTEIQEIPLWVKKISRLQTLVLEGCKRLVTIPQLSDSLSNVTAINCQSLERLDFSFHNHPKILLWFINCFKLNNEAREFIQTSCTFAFLPGREVPANFTYRANGSSIMVNLNQRRPLSTTLRFKACVLLDKKVDNDKEEAAARVTVVFLSIREKGKIGVTVSWRPGYPFHVPPILREHLLIFEFEADVTCNELLFSYDIIGECGAVIKECGVLQL >Al_scaffold_0007_3927 pep chromosome:v.1.0:7:24181979:24183926:-1 gene:Al_scaffold_0007_3927 transcript:Al_scaffold_0007_3927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G38290) TAIR;Acc:AT5G38290] MFCSSSPPITSLLYPKAQKKPNSKRFSSLRASLPVSDNKLKVEYTPWLIVGLGNPGFKYYGTRHNIGFEMIDHIARATEISMNTIQSKALVGIGSVGEVPILLVKPQAYMNFSGESVGPLAAYYQIPLRHILMIYDDMGLPNGVLRLQPKGGHSQHNGLKNVTEHLNGCRGYPRLSIGIGNPPGNMDMKAFLLQKFSPLERKQIDAGLEQGVEGVKTLVEEGFSDSISRFNLGQKYKFHTV >Al_scaffold_0007_3929 pep chromosome:v.1.0:7:24196687:24197928:-1 gene:Al_scaffold_0007_3929 transcript:Al_scaffold_0007_3929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MK18] DEVVNQEGGKILAEFPNYSIELGKLGSDECIRVDLKTPLSENRDTKQEQQMEQHNNQSETHIETTIAIQAVPDLAKDQHFMSIKHNSLLYLESYFHNQLNGPYKQIITLSST >Al_scaffold_0007_3930 pep chromosome:v.1.0:7:24198237:24201298:1 gene:Al_scaffold_0007_3930 transcript:Al_scaffold_0007_3930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK19] MVARFPLMFLLVSHLFVLGVMSRNFTIENKCAYTVWPGFLTMTTAVSLPTTGFSLKKGETRVINVPSSWSGRLWGRSLCSTSSTGNFSCATGDCGSGKIECSGGTAALPTTLIDFTLDGSKGQDFYDVSVVDGYNLPLVVVPQGSGRGRTCSSVGCVVNLNKTCPLELKVMGSSDKEHPIACMNACEKFRLPEFCCYGEYGTPEKCQPTLYSKNFKNDCPLAYSYAYDNENSTVRCSNSPNYVITFCPNDISSASQPSKETNGGTKQKSSWKLKLILGVSAALTMMIIIVVLIIVRAKNVRNSDWNDQNVEAVAISFGSDTLLTSEDALQHGSRSS >Al_scaffold_0007_3934 pep chromosome:v.1.0:7:24235714:24235919:-1 gene:Al_scaffold_0007_3934 transcript:Al_scaffold_0007_3934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK22] MLRLLNKRIRFRILSGDGHEAIDDDDDDAATSSHMELDSAVTNDDGDVRVYESERSE >Al_scaffold_0007_3938 pep chromosome:v.1.0:7:24267433:24268213:1 gene:Al_scaffold_0007_3938 transcript:Al_scaffold_0007_3938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK27] MRVPMFLVLITISALVISFAEANDPSPLQDFCVAIGDLKNGVFVNGKFCKDPKQAKAEDFFFQGLNKAGNTTNRVKSNVTTVNVDQIPGLNTLGISLVRIDYAPYGQNPPHTHPRATEILVLVEGTLYVGFVSSNQDNNRLFAKVLNPGDVFVFPIGMIHFQVNIGKTPAVAFAGLNSQNAGVITIADTVFGSTPPINPDILAQAFQLDVNVVKDLEAKFKN >Al_scaffold_0007_3942 pep chromosome:v.1.0:7:24293848:24294588:-1 gene:Al_scaffold_0007_3942 transcript:Al_scaffold_0007_3942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK31] MWDEDDFEGLLEEEKSTESPAISDNASVFKFHASGRRYCHGQLATMELKSRHFEKDTKRAHVSLHRFSPAFKGVWEEVNGNKDVRKMNDHGGKRLFRYN >Al_scaffold_0007_3945 pep chromosome:v.1.0:7:24331121:24332428:1 gene:Al_scaffold_0007_3945 transcript:Al_scaffold_0007_3945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK34] MKNTTNLSFSVFFFLFCLISVTSDLEADRRALIALRDGVHGRPLLWNLSAPPCTWGGVQCDSGRVTALRLPGVGLSGPLPIAIGNLTKLETLSFRFNALNGPLPPDFANLTLLRYLYLQGNAFSGEIPSFLFTLPNIIRINLAQNNFSGRIPDNVNSATRKEL >Al_scaffold_0007_395 pep chromosome:v.1.0:7:1791797:1793026:-1 gene:Al_scaffold_0007_395 transcript:Al_scaffold_0007_395 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR enhanced expression 2 [Source:Projected from Arabidopsis thaliana (AT4G36540) TAIR;Acc:AT4G36540] MDLSVLDRLKWLQQQQMVSPEFLQILGSDGREELKRVESYLGNNNDELQSFRHFPEFGPDYDTTDGCISRTSSFQMEPVKNSGHNRAISLQNKRKPEGKTEKREKKKIKAEDETESSMKGKTNMSNTETSSEIQKPDYIHVRARRGEATDRHSLAERARREKISKKMKCLQDIVPGCNKVTGKAGMLDEIINYVQSLQQQVEFLSMKLSVINPELECHIDDLSAKQFQAYFTGLPEADSKQSIMADFRSFPLHQQGSIDYSVLNGTVTISLCSKDQTSSSWETHSQCLYNNSRTDSVSNFFSLK >Al_scaffold_0007_3958 pep chromosome:v.1.0:7:24412125:24412702:-1 gene:Al_scaffold_0007_3958 transcript:Al_scaffold_0007_3958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK50] MRSFNTSDRLRKFQRRLQPRSMLRLLLKRPRSESSSEDDSSSDEDSDDDSEDGKAAATKAASSSDSSDEDSDEESEDTTECLHGAIAMEKMRW >Al_scaffold_0007_3965 pep chromosome:v.1.0:7:24463692:24464173:-1 gene:Al_scaffold_0007_3965 transcript:Al_scaffold_0007_3965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK56] MSQAFLNFQVPPSFSIPKTLIHSHSLKTLKNPKLNSRKFLLQCSVSSSDGPKTLRTCKNCKTQFDPLLNNPRACRFHTAHYGGETKRKFESVYTGGTMDTPNSGKVLQYWHCCGSEDPFDSGCTASPHTTYDD >Al_scaffold_0007_3973 pep chromosome:v.1.0:7:24530530:24531089:1 gene:Al_scaffold_0007_3973 transcript:Al_scaffold_0007_3973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK64] MSNLFYQSHEENEGVKRERKEVREERERGKKRGGERERREGERERREGRGERERRREEQRERDKRETWRERREREIERD >Al_scaffold_0007_3978 pep chromosome:v.1.0:7:24583066:24583534:1 gene:Al_scaffold_0007_3978 transcript:Al_scaffold_0007_3978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK70] MKSSNPVDHRWQSGRSLKPFFAIRNGRLLLRYQQATNLLLSLSEKNPGKLYYKCHPCGFFKWWHRSLQTRVKVRYDKDRVLNEGASSSASTSASASSSSTSSLSLLGEVFCSVGEMMKRLSLEQEQS >Al_scaffold_0007_3982 pep chromosome:v.1.0:7:24599952:24601570:-1 gene:Al_scaffold_0007_3982 transcript:Al_scaffold_0007_3982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK77] MANPSWTNEEMNHHNSYSDNLTTPSESSCYSSESSSVVTRYSKIAACFENKTIRDVVVRSRWIHKKKENAKRRKEDHNGLGRARVDNKCFNHHKCFNHLNMPTENLDLFSKIRENINSLLKNLNENVSETWKQMPPLPEKLNDELFCDLYKAIERSSH >Al_scaffold_0007_3990 pep chromosome:v.1.0:7:24644434:24645342:1 gene:Al_scaffold_0007_3990 transcript:Al_scaffold_0007_3990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK88] MPPTRRKSLPIRSPKEDMKKRDRDSKRHEREEEESSRPMRCSSGAKPMTRNRATQHMRSPLNPEKISETLTKAETSAASGGPGKKRAYTVMNDNTPPVRSKPARVSKAKVVNETIFSEDDALVILQEIYSFYKTSGDPKENFKKDMSSSGDLSGFCNHLKPKIMRKPSIAQVKNKIYNLRNSLANVSNFVVTAEGGLDMVVIHKRFQLWKQIWGAGGLLDEQDPHDEDELFKNDLCLNCLGLY >Al_scaffold_0007_40 pep chromosome:v.1.0:7:133809:135002:1 gene:Al_scaffold_0007_40 transcript:Al_scaffold_0007_40 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MEZ7] MAYLPEHQPSKLQTPLLPMFILLLLREAPQRESAISNDLVELPLFPLTLVLFPGATIPLQIFEFRYRVMMQTLVQSDLRFGVVYSDAVSGSAAGIGCVGEIVKHERLVDDRFFLICKGQERFRVTDLVRTKPYLVAKVTWLEDRPSGEENLDELANEVEVLMIEVIRLSNRLNGKPDKESQDLRKNQFPTPFSFFVGSTFEGAPMEQQ >Al_scaffold_0007_400 pep chromosome:v.1.0:7:1812043:1813488:-1 gene:Al_scaffold_0007_400 transcript:Al_scaffold_0007_400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MBH4] ETCNGIVPVLRVFNATASYVDQGGGKRKGIHLTINPFFFPFQEEHRARDLFYGLWIPYLFMERVQTDGQWSLFCSNEAPGLADCCGAEFEKLYTQYENVGKAKKVVQAQQQLWYEILTSQVKTGTPYMLFKVRNKAINKICIPLMSSNLCTEIVEYTSPAETAVCNLASIVLPRFCKGESRGQYLTTWFILLTKTYYHALKSSSEIATKEGAYETYQGSPGVVQPATWSVIPSDRWDWAALMDMISKNVIRNSLLVALMPTASTSQILGNNECFEPYTSNVFSRRVLCNKF >Al_scaffold_0007_405 pep chromosome:v.1.0:7:1835630:1838427:1 gene:Al_scaffold_0007_405 transcript:Al_scaffold_0007_405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MBH9] MQIPSRDHSFILFLFISAFVIGAASVPVPDSNCYALDNSSRLVEFSSWIGHPFEYDGKEFDLVVRFCKDVETRGQMGYVDFGRFDPLSYFVSSSGNFDFVQGFYHGDLSNCEQSYDKLGRTAQVNIICGNCSDGRCKGGLGCICSVTQDSTCRVTVDLAIPCEKPGPRVFKGFTVGLHPRSWEIIYNGMTQFGFDKPRREFSFKTEQTHLTLYMTAIASLSTLVGKPIIKVFPENGLDVKIAGSSLTGNHPTTLSPSTLVLDWNCEKSRRTPYEVNVTIPVDGYDHVQFFLTKLCEYNQGNEGGSAKGWAIFGVFSCVLLVASTLFCCGGFIYKTRVERVRGIDALPGMSLLSGLLETVSGSGQSYSRTEDINNAFANEVSWDRSSASSTPATTQRPSERTYGAI >Al_scaffold_0007_414 pep chromosome:v.1.0:7:1887760:1891359:1 gene:Al_scaffold_0007_414 transcript:Al_scaffold_0007_414 gene_biotype:protein_coding transcript_biotype:protein_coding description:compromised recognition of TCV 1 [Source:Projected from Arabidopsis thaliana (AT4G36290) TAIR;Acc:AT4G36290] MAKNTVADVVILDSDSDDDDGGGIGMGRSLTSLMENQQVSNADAATVAPRETLECRSFWKAGENFVIPSGVTPTAPGMVEHARVHPKFLHSNATSHKWAFGAIAELLDNAVDEVQNGATFVKIDKINIVKDNTPALVFQDNGGGMDPNGIRKCMSLGYSSKKSNTTIGQYGNGFKTSTMRLGADAIVFSRSTRGGKSTQSIGLLSYTFLRKTGQDDVIVPMIDFDISSVRPQPIIYGSPGDWSTNLNILLKWSPFSTMDEILQQFEDIGTHGTKVIIYNLWLNDEGIYELSFDDDDEDIRLRDENAQDGKRLYAKTLELRSHISYRYRHSLRAYISMLYLKKFKNFKIILRGIPVEQFNIADEFRHPETIMYKPQAAAMEYAATGIKVGFIKEAPKLPICGFNVYHKNRLIRPFWKVVLEGSTRGNGVVGVLEANFIEPAHDKQDFERSSLFLRLEGRLKRIISDYWQSHCHVFGYQTGQIPADRSKRIAIPDQPPTVSTFNPSPLPSDKISQGGPIIREINLSNASSSRTVAVAAPHLRNSTGLRSNFQPVQLNPQPAAADTGNNIVGKSADEIREENIQLFMRCEEYIKKENEIEQTVKSLEKELEEVKSKCAQLALLVDAKKKEMQQV >Al_scaffold_0007_420 pep chromosome:v.1.0:7:1929605:1929964:1 gene:Al_scaffold_0007_420 transcript:Al_scaffold_0007_420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MBN4] MGSETHFNITNVNIGASPSAEEGGECQESNHLMTRKGSSLILINKYIKLLTPNLSEEDQAAFKKSI >Al_scaffold_0007_426 pep chromosome:v.1.0:7:1972252:1976181:-1 gene:Al_scaffold_0007_426 transcript:Al_scaffold_0007_426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS-LRR class) family [Source:Projected from Arabidopsis thaliana (AT4G36150) TAIR;Acc:AT4G36150] MLASATFSVELPPQHQVFMNFRGKQLRKGFVSHLEKALKRDGINAFIDEDETRGNDLSILFSRIDESRIALAIFSSMYTESNWCLDELVKIKECVDLGKLVVIPIFYKVETDDVKNLKGVFGDKFWELVKTCNGEKLDKWKEALKVVTNKMGFTLGEMSNEGEYVEKIVRQVIEVLSNVSTDLKREVPIDDPSAGEGETPEAAPDSLPHLFGINTRLQQLEEKLDFECKSTLIIGVVGMPGIGKTTLTSMLYENWQGGFLSRAFLHDVSQMSKRYTKRQMRNILMTELLKEVDLKQKVADMSPKSLKAHLLSMKSLIVLDNVSDKKQIKDLLEEDDWIKIGSRIIFTTSDISVIEGMVDDTYEVQRLTGRDSFDYFSHFAFNFKLPTPEGNFINLSRLFVDYAKGNPLVLKILGVELSGKKEKYWTDKLRELAESPIKKLQDVLRISYDGLGQLQKDVFLDVACFFRSGDDYYVRCLVESCDTEPIDGVSEIKDLASKFLINISGGRMEMHDLLYTFGKELGSQSQGLRRLWNHILIVGALKKRAGADSVRGIFLDMFELKKELPLEKCTFTEMRNLRYLKFYSSRCHQEGEADCKINFPEGVEFSLDEVRYLYWLKFPLEKLPKDFNPKNLTDLNLPYSEIEEVWEGLKDTPKLKWVDLSHSSKLCNLTGLLNAKSLQRLNLEGCTSLEELPSEMKSLENLVFLNMRGCTSLRVLPHMNLISMKTLILTNCSSLEEFQVISDNIETLYLDGTAIVQLPPNMVKLQRLIVLNLKDCKMLRAVPQCLGRLKALQELVLSGCSTLKTFPVPIENMKCLQILLLDGTEIKEIPKILQYNSSKVEDLRELRRGVKGLSSLRRLCLSRNGMISNLQIDISQLYHLKWLDLKYCKNLTSISLLPPNLEILDAHGCEKLKTVASPMALPKLMEQVRSKFIFTNCNKLEQVAKNSITLYAQRKCQLDALRCYKEGTVSEALLITCFPGSEVPSWFNHQTFGSKLKLKFPPHWCDNGLSTLVLCAVVKFPRDEINRFSIDCTCEFKNEVETCIRFSCTLGGGWIESRKIDSDHVFIGYTSSSHITKHLEGSLKSQEHHKYVPTEASIEFTVRHGAGEIVNCGLSLVYEEPNHVVVEGNCNGTSSRREVSVGESILSFAAGYLSNVLRFMWLGVVFFMVYGFARFFVR >Al_scaffold_0007_427 pep chromosome:v.1.0:7:1985558:1989059:1 gene:Al_scaffold_0007_427 transcript:Al_scaffold_0007_427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MBP2] MDFRRKCIDAFVNCNEIPDVIDRVSASVVIFSKSCFSSTSCLDKLVRILQCQRKTGQLVVPVFYGISPSNLVVQEHESADRVREWSSALQELKALPAHQYREECSEWELVEEIVKDVCEKFFPTQQIGINTRVMEIEQLLCKQPWGIRRIGIWGMPGIGKTTLAKTVFDQISGGYEASCFIKNFDMAFHEKGLHRLLEEHFGKILKELPRESRNITRSSLPGEKLRKIRTFVVLDDVHNSLVAESFLGGFHWFGPGSLIIITSRDKQVFRHFQINHVYEVQSLNENEALQLFSQCAFGKHIREQNLLELSKEVIDYANGNPLALRCYGRELKGKKLSEIETTFLKLKLRTPNEIHDLFKSSYEALNDNEKNIFLDIACFFEGENVDYVIQLLEGCGFFPHVGIGVLVEKCLMTISENRVKMHRIIQDFGREISNGQTVQIERCRRLWEPRTIRFLLEDAKLETYGDPKATYTHALGTEDIEGIFLDISNLIFDVKPGAFENMLSLRYLKIFCSSYETYFGLRLPKGLESLPYELRLLHWVNYPLQSLPQEFDPCHLVELNLSYSQLHKLWGGTKNLEMLKMVRLCHSQQLNEINDIGKAQNIELIDLQGCSKLQSFPAMGQLQHLRVVNLSGCTEIRSFPEVSPNIEELHLQGTGIRELPISTVNLSPHVKLNRELSNFLTEFPGVSDALNHERLPSVVEAVLSYHHLGKLVCLNMKDCVHLRSLPQMADLESLKVLNLSGCSELDDIQGFPRNLKELYIGGTAVKKLPQLPQSLEVLNAHGCVSLKAIPFGFNHLPRYYTFSGCSALSPQVITKFLAKALADVEGIAREFKQELNESLAFSFSVPSPATKKPTLNLPAGSSATMRLDPSSISTLLGFVIFIEVAISDDYDEAIGFGVRCVRRWKDKEGVSRSLEKTFHCWTPGEGFHKFQKDHLFVFCDLNLHAFSGKGEDPDIFAGLVVFDFFPVNNQEKLLDGSCTVKSCGVYLTRRRPALKSID >Al_scaffold_0007_433 pep chromosome:v.1.0:7:2016904:2017279:-1 gene:Al_scaffold_0007_433 transcript:Al_scaffold_0007_433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MBP6] MALNLRQKQTECLTRMLNPSGNASKEVSYKILIYDEFCKNILAPLFHVKDLLKQRVTLRLLIDKDRKVDKDQIDIDLSDSFLFFQI >Al_scaffold_0007_445 pep chromosome:v.1.0:7:2072276:2075296:1 gene:Al_scaffold_0007_445 transcript:Al_scaffold_0007_445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence/dehydration-associated protein-related [Source:Projected from Arabidopsis thaliana (AT4G35985) TAIR;Acc:AT4G35985] MECSATRPKLYPTVDTSTTVAPLPNSSSSSCTNNNLYPSIDVNDLVNNIFPDPTASDSASAPPMATEEVILTIHGAMLHLIDKSYSVELACGDLEILRLVQGDITVAVFARVADEIQWPLTKDEPAVKVDESHYFFSLRPVKESGSSDHSINEAENEMLNYGLTIASKGQEPLLEKLDKILADYSSFTAEENQKEENVLDLTAAKETSPEELKGKRKKMVEKQCTAYWTTLAPNVEDYSGVAAKLIAAGSGQLIKGILWCGDLTMDRLMWGNDFMKKKLSKAEKERQVSPGTLKRLKRVKKMTKMTEKVANGVLSGVVKVSGFFSSSVINSKAGQKLFGLLPGEMVLATLDGFNKVCDAVEVAGRNVMKTSSNVATEIVDHKYGAKTAQATNEGLSAAGHAIGTAWTVFKIRQALNPKSAMKPSSLAKTAIKTAAKEKKKGKKSSKLLGIQADYGFFNVDEKKQAAADVLFSYSKFAMACIGNQTRPTDMRLHLMKEISGLPTSLKRRESSRAATSPDPVGESSSSGTARLDKTDSFRAL >Al_scaffold_0007_449 pep chromosome:v.1.0:7:2085777:2086995:-1 gene:Al_scaffold_0007_449 transcript:Al_scaffold_0007_449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MBR2] MGKVSPKDLDSKTSVRKKKLKGSGNKYLKPGALVQLCYSKASAAKSCNDLGKKRVPVFDTKHARNKKMAAEHLNSPKSPLMLSPVNVVKRSTLVRPMKFDDSNNSCKKSPLMLSPMGIVMQNTLVRTPKTPQADPCNSESQLESLPMDLLVKIVCHLHHDQLKAVFHVSQRIRMATILARQYHFNYTTPDRSRQEMLSVMTPMPINRWPFRRGDGNPTMVSSPHTPKAPKHAPRPPFRTKLAEMKQITAVLFQDQTPFPSRCIVPSVLQRPTLFKPMAPKHPRVLFYEDELCQAVAQNNLT >Al_scaffold_0007_453 pep chromosome:v.1.0:7:2101423:2102604:-1 gene:Al_scaffold_0007_453 transcript:Al_scaffold_0007_453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper (bZIP) transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G35900) TAIR;Acc:AT4G35900] MLSSAKHQRNHRVSATNKNQTLNRVSSISSSSPSSSSSTSSSSPLPSQDSQGQKRSLITMEEVWKDINLASIHHLNRHSPHPQHNHEPRFRSHNHQNQNPNSIFQDFLNGSLNQEPAPTSLTTGSAPNGDSTAVTALCSSPFPPPATVLSLNSGAGFEFLDNQDPLVTSNSNRHSHHHLSNVQSFNTPFEALVPSTCFGKKRGQESNEGSGNRRHKRMIKNRESAARSRARKQAYTNELELEVAHLQAENARLKRQQDQLRMAAAIQQPKKNTLQRSSTAPF >Al_scaffold_0007_458 pep chromosome:v.1.0:7:2144315:2145449:1 gene:Al_scaffold_0007_458 transcript:Al_scaffold_0007_458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L476] MIPFTSDSCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKQRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENVME >Al_scaffold_0007_459 pep chromosome:v.1.0:7:2147247:2149471:-1 gene:Al_scaffold_0007_459 transcript:Al_scaffold_0007_459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MBS1] MEQIEVRLVGFPSQPCPILITASHRRFSHSLLFSQKILEFVEQSKGWSAIDSSRKSAEDVMFSISEEELYNIPTADYAHRTRCYLHSEDFENGLKLFEDYMSADKIPAMEFYTTPIEGPMTGHTDNGMKIAQDTLVMFIGSSCTEKRIDEVKMNERNFFLDPRTGSNLLLKAAGEKTGGYIVANMIWDLMLVRNILPTLAAVEAYYKGLKEREIPEDDPIEFKE >Al_scaffold_0007_466 pep chromosome:v.1.0:7:2169751:2171008:-1 gene:Al_scaffold_0007_466 transcript:Al_scaffold_0007_466 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35820) TAIR;Acc:AT4G35820] MSKSTSLSTILYLRQRLHGLKIYETSDLMQHINTFDALVGEQVAASLRFPSERWLEVIAKEPRAFVYHNFLALFFKFCKTNEECEHLISLAKPSMARSKVRNAITGLGEESSSRTSSGTFLRKGHDKIVKEIEKRISEFTFIPEENGEALQVIHYEVGQKFEPHFDGFQRIATVLMYLSDVDKGGETVFPEAKGIKSKKGVSVRPKKGDALLFWSMRPDGSQDPSSKHGKRERDIEIRCLSLNLF >Al_scaffold_0007_496 pep chromosome:v.1.0:7:2274706:2274891:1 gene:Al_scaffold_0007_496 transcript:Al_scaffold_0007_496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCF2] MIMCSLFASFFNCFAPKSDSQISSTDESNLKVLSSKKPKSISPRAPIIVSYFPAGSNLSRL >Al_scaffold_0007_498 pep chromosome:v.1.0:7:2279539:2280429:1 gene:Al_scaffold_0007_498 transcript:Al_scaffold_0007_498 gene_biotype:protein_coding transcript_biotype:protein_coding description:high mobility group B5 [Source:Projected from Arabidopsis thaliana (AT4G35570) TAIR;Acc:AT4G35570] MKDNQTEVESRSTDDRLKVRGNKGGKKTKDPNKPKKPPSPFFVFLDDFRREFNLANPDNKSVASVGKAAGKKWKSMTEEDKAPFVAKAKSKKTEYAATMQQYNMELANGTKTAGDDEKQEEKADD >Al_scaffold_0007_509 pep chromosome:v.1.0:7:2332683:2334650:-1 gene:Al_scaffold_0007_509 transcript:Al_scaffold_0007_509 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant intracellular ras group-related LRR 4 [Source:Projected from Arabidopsis thaliana (AT4G35470) TAIR;Acc:AT4G35470] MDLIQMDKRLDSTEQVVEEIMRIHRSLPPRPGIDEVEAAKGLIDNVEKEDQACLEAIAKQRKSSEVPGELLMVLQEMKKGYVQFRSKEQKREASKLLDLESIHALFDDFIQRASNCIASPSSNGSVSSRPPLAPATTTTAVRSDSQSSLNFSEKAPVRPKDMVSRDDSFVVTKAKPSLYSDGFAAPRKPPQILDSTLTAGNDGEKLSLIKLASLIEVSAKKATPEINLQNKLTDQVEWLPDSLGKLSSLTSLDLSENHIVVLPNTIGGLSSLTKLDLHSNRIGQLPESIGELLNLVYLNLGSNQLSLLPSAFSRLVRLEELDLSCNNLPILPESIGSLVSLKKLDVETNDIEEIPYSIGGCSSLKELRADYNKLKALPEAIGKITTLEILSVRYNNIRQLPTTMSSLANLKELDVSFNELESVPESLCFATTLVKLNIGNNFADMISLPRSIGNLEMLEELDISNNQIRVLPDSFKMLTKLRVFRAQENPLQVPPRDIAEKGPQAVVQYMNDLVETRNAKSLMVKPKKSWVQMCFFSKSNKRKQSSMEIV >Al_scaffold_0007_512 pep chromosome:v.1.0:7:2342102:2345088:1 gene:Al_scaffold_0007_512 transcript:Al_scaffold_0007_512 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloride channel E [Source:Projected from Arabidopsis thaliana (AT4G35440) TAIR;Acc:AT4G35440] MAATLPLCAALRSPVSSRRFSPIHKTNAHLQYNVFLSPLTSPLFGSVAIRGRIFPRLPAAKQEIDQQDEVGFDQQPSQELAIASACLVGVLTGVSVVLFNNCVHLLRDFSWDGIPDRGASWLREAPIGSNWLRVILVPTIGGLVVSVLNQLRESAGESTVDSDSSLDRVKAVLRPFLKTVAACVTLGTGNSLGPEGPSVEIGASIAKGVNSLFNKSPQTGFSLLAAGSAAGISSGFNAAVAGCFFAVESVLWPSSSTDSSTSLPNTTSMVILSAVTASVVSEIGLGSEPAFKVPDYDFRSPGELPLYLLLGALCGLVSLALSRCTSSMTSAVDSLNKDVGVPKAVFPVVGGLTVGIIALVYPEVLYWGFQNVDILLEKRPFVKGLSADLLLQLVAVKIAATAWCRASGLVGGYYAPSLFIGGAAGMAYGKFIGLALAQNPEINLSILEVASPQAYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGMSSWITSGQSKRQETRETKETRKRKNQEAVQSLTSSDDGLSTNNLCEVESSLCLDDSLNQAEELPKSIFVSEAMRTRFATVMMSTSLEEALTRMLIEKQSCALIVDPDNIFLGILTLSDIQEFSKARKEGNKRPKDIFVNDICSRSGGKCKVPWTVTPDMDLLAAQTIMNKHEISHVAVVSGSIDAHRIHPVGVLDRECITLTRRALATRMFLQNSLYP >Al_scaffold_0007_514 pep chromosome:v.1.0:7:2347622:2348885:-1 gene:Al_scaffold_0007_514 transcript:Al_scaffold_0007_514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MD07] MSILIMDNYIYILISRQGKVRLTKWYSPYAQKERSKHTSLILHAMYRLYVNSVELFCNFVEWRGYKVVYKRYASLYFCMCIDQEDNELEVLDIIHHYAEILWELQESSKKTVARIISAQDQLVEVAKEETTSISNIIAQATK >Al_scaffold_0007_516 pep chromosome:v.1.0:7:2360091:2361405:-1 gene:Al_scaffold_0007_516 transcript:Al_scaffold_0007_516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MD09] MGWIFKDPQKVVIQQGSSSRSFVASALVAEALAMKAAITAALALGVSRLACFSDCQVLVCLLAADGQANEIDGILEDIRRFGNLNNYMYPEDDDDVSENNKVGIGKRPLEVVGEIRQTKSLKLMGFSITYDSDSSYYSLSGGEVQADATIGDGSSSR >Al_scaffold_0007_517 pep chromosome:v.1.0:7:2363144:2363831:-1 gene:Al_scaffold_0007_517 transcript:Al_scaffold_0007_517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MD10] KRTFDNLPPPPLLDDKEEHCRDPDDIQISTILQLFGNKRYEIEKKLIVAFSYMRLLLHTETLSPEDSFDGDEGDDYTTETDARKTMAKRGIVKLTTS >Al_scaffold_0007_526 pep chromosome:v.1.0:7:2395589:2395899:1 gene:Al_scaffold_0007_526 transcript:Al_scaffold_0007_526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MD20] MGTMRKALYNVGFWIRETGQALDRLGCRLQGKKHFREHRTNYNGYYERRKI >Al_scaffold_0007_532 pep chromosome:v.1.0:7:2433537:2436364:1 gene:Al_scaffold_0007_532 transcript:Al_scaffold_0007_532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630 and DUF632) [Source:Projected from Arabidopsis thaliana (AT4G35240) TAIR;Acc:AT4G35240] MGCTSSKLDDLPAVALCRERCAFLEAAIHQRYALAESHVAYTHSLRGIGHSLHLFINHHHRFVASGGATGADSPRLNLPPQRKGDLDDGDGATNSPKKTKLASSHHNHAHSGSGSDSGHLEFDSDSEDDEEEDDLDLDSLHHHSPQHHHHLGNFPIPESAPMGGYMEQPGYITRYPNPEMMGHLPPPYSDGGGSYMHMNYMKNKSMPPSVVYEQRPTSPQRVYIGESSSSYPYPPQNPYFGYSNPVPGDYGSSSSTTAAATKPPPPPPSPPRSNGWDFLNPFDTYYPPYTPSRDSRELREEEGIPDLEDDDSHYEVVKEVHGKPKFAGGGGNQPNPAAVHMMREESPSPPLDKSGASTSGGGDIGDASAYQSRPSVSVEKQGMEYEVHVVEKKVVEDEERRSNATATRGGGGGGGGGGPRAVPEVAKEIENQFVKAAESGSEIAKLLEVGKHPYGRKHVSSSSAAAVVPPTYADIEEELASRSRNLSSTLHKLHLWEKKLYHEVKAEEKLRLAHEKKLRKLKRLDERGAEAIKVDKTRRLVRDMSTKIRIAIQVVDKISVTINKIRDEDLWPQLNALIQGLTRMWTSMLECHQSQCQAIREAQGLGPIRASKKLGDEHLEATSLLGHELINWILGFSSWVSAQKGYVKELNKWLMKCLLYEPEETPDGIVPFSPGRIGAPPIFVICNQWSQALDRISEKEVIEAMRSFTTSVLQLWEQDRLDTMMTGHGDSEKKVRNMDREEQRIQREIQALEKKMILVAPGDGNSLSISGNIVYQSDTSSDSLQGSLQRIFEAMERFTAESMRAYEDLLKRTEEETAPRELEEEEE >Al_scaffold_0007_549 pep chromosome:v.1.0:7:2529310:2529865:1 gene:Al_scaffold_0007_549 transcript:Al_scaffold_0007_549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35060) TAIR;Acc:AT4G35060] MGVLDHVSEYFDCSHGSKRHKSLQTVDVRVLIDCEGCERKVRRALEGMRGVRDVTIEPNAQKVTVVGYVEPNKVVARIIHRTGKRAELYPFVPYDVVAHPYASGVYDNRAPTGYVRNTEYDPHVSRLARASSTEVRYTTAFSDENASACVVM >Al_scaffold_0007_581 pep chromosome:v.1.0:7:2660250:2661207:1 gene:Al_scaffold_0007_581 transcript:Al_scaffold_0007_581 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:D7L7L0] MSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Al_scaffold_0007_594 pep chromosome:v.1.0:7:2721381:2724581:-1 gene:Al_scaffold_0007_594 transcript:Al_scaffold_0007_594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein [Source:Projected from Arabidopsis thaliana (AT4G34580) TAIR;Acc:AT4G34580] MAETKPEIEMSEEERKIVKMCSLKKKAINASNRFKNSFKKKGRRSSSRVMSVPIEDDIDAEDLQALDAFRQALVLDELLPSKLDDLHMMLRFLRARKFDIEKAKQMWSDMIQWRKDFGADTIIEDFEFEEIDEVMKHYPQGYHGVDKEGRPVYIERLGQIDANKLLQVTTMDRYVKYHVKEFEKTFKVKFPSCSVAANKHIDQSTTILDVQGVGLKNFSKSARELLQRLCKIDNENYPETLNRMFIINAGSGFRLLWSTVKSFLDPKTTAKIHVLGNKYHSKLLEVIDASELPEFFGGACTCEDKGGCMRSDKGPWNDPEVLKIAINREAKCSPISEDEHKHVDQGRSTSASESLERIKKKTDGDNVYEKQITTIDKSMNMAWPAKTQKAENFPISKGLECYVRKGAPNKGDGLLVGGVMAFVMGIVAMVRLSKDVPRKLTEAALYGNSVCYEESTKSKQNQGQFAAPVSSSEYMLMVKRMAELEDKCMFLDLKPAHVESEKEEKLQAALNRVQVLEQELTETKKALEEALVSQKEILAYIEKKKKKKKLFFGF >Al_scaffold_0007_595 pep chromosome:v.1.0:7:2726070:2729088:1 gene:Al_scaffold_0007_595 transcript:Al_scaffold_0007_595 gene_biotype:protein_coding transcript_biotype:protein_coding description:thymidylate synthase 2 [Source:Projected from Arabidopsis thaliana (AT4G34570) TAIR;Acc:AT4G34570] MRCLQNSAKILPLAFKSALLPLSQRWFCKFSPKPSSLANIFKVSVSTMANTLNGNVIMPSKPQSTYQVVVAATKEMGIGKDGKLPWNLPTDLKFFKDLTLTTSDSAKKNAVVMGRKTWESIPTKYRPLSGRLNVVLTRSSGVDIANTENVVTCSSIDSALDLLAAPPFSLSIEKVFVIGGGDILREALNGPSCEAIHLTEIDTSIDCDTFIPAVDTSAYQPWCSSFPICENGLRFSFTTYVRVKSSSACESSDVSDGSKVLQVDWKKFSSFLPKMIFDRHEEFLYLNLVKEIISNGNLKGDRTGTGTLSKFGCQMKFNLRRNFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQEKGIRIWDGNASRAYLDGIGLTEREEGDLGPVYGFQWRHFGAKYTDMHADYTGQGFDQLLDVIHKIKNNPDDRRIIMSAWNPSDLKLMALPPCHMFAQFYVADGELSCQMYQRSADMGLGVPFNIASYSLLTCILAHVCDLVPGDFIHVIGDAHVYKNHVRPLQEQLENPPKPFPVLKIKPEKKDIDSFVSDDFELIGYDPHKKIDMKMAV >Al_scaffold_0007_600 pep chromosome:v.1.0:7:2740867:2742091:1 gene:Al_scaffold_0007_600 transcript:Al_scaffold_0007_600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MED2] MPPKKGLKRKRLTKATVEPGSTEEPSPTVEPSTTTTVEPGSTEEPSPTVEPSTTTTVEPGSTEEPSPTAIEGEQQVPETLSPVLEESDKNEEENSKKNEEEESGEEESEEEEKEEEKEEEGNEEGEESSDDDGSRSLGGESSSDENDNEEDEIAPENQPENAMDLNLDLSC >Al_scaffold_0007_610 pep chromosome:v.1.0:7:2782160:2784933:-1 gene:Al_scaffold_0007_610 transcript:Al_scaffold_0007_610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MF13] MADSPVDSPPAPETSNGTPPSNGTSPSNESSPPTPPSSPPPSSKSAPPPDSSASSSPPPAPPTQETSPPPSSSSSPPVVANPPPQTPENPSPPAPEGFTPVTPPAPPQTPSNQSPPLERPTPPSPGANDDRNRTNGNNRDGSTPSPPLSGNRTSGDGSSPSPPRSISPPRNSGDSDSSSGNHPQANIGLIIGVLVGAGLLLLLLVCICICCNKKKKKKSPQVNHMHYYNNNPYGAPSGNGGYYKATPQDHVVNMAGQGGGNWGPQQPVSGPHSDTSNLTGRTATPSPQAATLGHNQSTFTYDELSIATEGFAQSNLLGQGGFGYVHKGVLPGGKEVAVKSLKLGSGQGEREFQAEVDIISRVHHRHLVSLVGYCISGGQRLLVYEFIPNNTLEFHLHGKGRPVLDWPTRVKIALGSARGLAYLHEDCHPRIIHRDIKAANILLDFSFETKVADFGLAKLSQDNYTHVSTRVMGTFGYLAPEYASSGKLSDKSDVFSFGVMLLELITGRPPLDLTGEMEDSLVDWARPLCLKAAQDGDYSQLADPRLELNYNHQEMVQMASCAAAAIRHSARRRPKMSQIVRALEGDMSMEDLSEGTRPGQSTYLSPGSVSSEYDASSYSADMKKFKKLALENKEYQSSEYGGTSEYGLNPSASSSEEMNRGSMKRNPQL >Al_scaffold_0007_616 pep chromosome:v.1.0:7:2803993:2804315:1 gene:Al_scaffold_0007_616 transcript:Al_scaffold_0007_616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MF19] VVRIRLFITKVTGKRKSQLRIPSFVVKDYNLRFNNSIILIDSIGKLKRKAAKWRDNRISIKSFGSIINRNYAQTYLQDLY >Al_scaffold_0007_64 pep chromosome:v.1.0:7:215159:219217:-1 gene:Al_scaffold_0007_64 transcript:Al_scaffold_0007_64 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MFN1] MFKPPSEKSKEALNSDVVKIKEHQLVIKPQLKDKALRISSHLNLDEIQSYILVERSMEQEYGTTDSVAQELAQEFIDMFSTLLSSAFVLTLLLSFCFLEDTASVLHTAPVPTKVYKAYSHTCIICTVYAPSEESTIKEEAVKLISDGLERRQSSVLEDLFSSCFPQNMGILSGSYNFRKLAVSVEAQHSACRVQIQLLMILIETLDMENLLQMVHDGVPFRSGTCVFSIVDVQEMDATISSLNTFEVKEAGPLVLAWAVFLCLISSLPGKEESPFLMDIDHVSYVHQAFEAASLSYFLEILQSNLLNDFDGPISGHRSVVRTFISAFIASYEINLQLEDGTLELILDILCKVYQGEESLCSQFWDRKSFVDGPIRCLLFDLESEFPFRSAEFIRLLSSLSEGSWPAECVFNFLDKSVGFSTLFDITSDSPADEASQLVETSRPLHIPGLEGLVIPSNTRGRILRVIGENTVLVRWEYSLSGVIVLIIRLANGLYIGNNREAFVTLELLRRMVTFNKAVCVSLLNISHFFYVHESYVNGKMESDVRVVDIICNSVRSLTFDSDGAAVMAMAIDILARLLRW >Al_scaffold_0007_652 pep chromosome:v.1.0:7:2946509:2948048:1 gene:Al_scaffold_0007_652 transcript:Al_scaffold_0007_652 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 73B3 [Source:Projected from Arabidopsis thaliana (AT4G34131) TAIR;Acc:AT4G34131] MGSNHHRKLHVMFFPFMAYGHLIPTLDMAKLFSSRGAKSTILTTPLNSKILQKPIDTFKNLNPSLEIDIQIFDFPCVELGLPEGCENVDFFTSNNNDDRQYLTLKFFLSTRFFKDQLEKLLETTRPDCLIADMFFPWATEAAEKLNVPRLVFHGTGYFSLCSEYCIRVHNPQNRVASSCEPFVIPDLPGNIVITKEQIADRDEESEMGKFMIEVKESDVKSSGVIVNSFYELEPDYANFYKSVVVKRAWHIGPLSVYNRGFEEKAERGKKASIDEVECLKWLDSKKPDSVIYISFGSVACFKNEQLFEIAAGLETSGANFIWVVRKNTGNDKEEWLPEGFEERVKGKGMIIRGWAPQVLILDHQATGGFVTHCGWNSLLEGVAAGLPMVTWPVGAEQFYNEKLVTQVLRTGVSVGAKKHVRTTGDFISREKVDKAVREVLVGEEADERRERAKKLAEMAKAAVEEGGSSFNELNNFIEEFTS >Al_scaffold_0007_654 pep chromosome:v.1.0:7:2951934:2955127:-1 gene:Al_scaffold_0007_654 transcript:Al_scaffold_0007_654 gene_biotype:protein_coding transcript_biotype:protein_coding description:poly(A) binding protein 2 [Source:Projected from Arabidopsis thaliana (AT4G34110) TAIR;Acc:AT4G34110] MAQVQLQGQTPNGSTVAVTSAPVTSGGATAAGFGTTSLYVGDLDVNVTDSQLFDAFSQMGPVVSVRVCRDLATRRSLGYGYVNFTNPQDAARAIQELNYIPLYGKPIRVMYSHRDPSVRRSGAGNIFIKNLDESIDHKALHDTFSVFGNIVSCKVAVDSSGQSKGYGFVQYANEESAQKAIEKLNGMLLNDKQVYVGPFLRRQERDSTANKTKFTNVYVKNLAESTTDDDLKNAFGEYGKITSAVVMKDGDGKSKGFGFVNFENADDAARAVESLNGHKFDDKEWYVGRAQKKSERETELRVRYEQNLKEAADKFQSSNLYVKNLDPSISDEKLKEIFSPFGTVTSCKVMRDPNGTSKGSGFVAFSTPEEATEAMSQLSGKMIESKPLYVAIAQRKEDRRAQFSQVRPVAMQPSVGPRMPVYPPGGPGIGQQMFYGQGPPTMIPPQPGFGYQQQLVPGMRPGGGPGPNFFMPMVQQQQQRPGGGRRPGGIQHPQQQNPMMQQQMHPRGRMFRYPQGRGGSGEVPPYDMGNNMPLPIGALASHLANASPEQQRTMLGESLYPLVEQLEAESAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMDVLRSVAAGGAAEQLASLNLS >Al_scaffold_0007_681 pep chromosome:v.1.0:7:3067523:3073778:-1 gene:Al_scaffold_0007_681 transcript:Al_scaffold_0007_681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGE3] KKKIDKKKKKKKKKKKKKKKKKKKKRKDNNNNKTKYKKNKRTNTRKKKKKKKKKKKKKKRQKEYMTTIVYRQQVTLTLLKGYKT >Al_scaffold_0007_683 pep chromosome:v.1.0:7:3080857:3082698:1 gene:Al_scaffold_0007_683 transcript:Al_scaffold_0007_683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33870) TAIR;Acc:AT4G33870] MRFLGDYKIALLTCSVIALSIYFAIKGVEFRFANDSPGNRNGGKVSEKSLFEDEFMYMSIAEDIDRSHLHYDYYRESCPTAEKIIAKASRDIYNVTPSVAPSLIRLLFHDCFIEGCDASVLLDADEAHTSEKDASPNLSLKGFDVIDAIKSELENVCPGVVSCADLLVLAAREAVLVAGGPFYPLETGRKDSAAAFREIAEQQLPAPDATLSEILERFSVRGFNERETVSLFGAHSIGITHCTFFKNRLYNFSATGKPDPELNPGFLQELKTKCPFSVSASSPSASPGTGLLPRLMQKKGLLFSDQQLMGSEVTEMWVRAYASDPLLFRREFAMSMMKLSSNHVLTGPLGQVRTSCSKALPRN >Al_scaffold_0007_684 pep chromosome:v.1.0:7:3083576:3083854:1 gene:Al_scaffold_0007_684 transcript:Al_scaffold_0007_684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MGE7] FSSRTISSANIIKRGSPMIYVDNEVSRCSLQQLHRKIDRNINHLFLVIDLKTLCCDYILSQSRSILCQVRRCILTAKENTTTTKDAKAGKRI >Al_scaffold_0007_692 pep chromosome:v.1.0:7:3113731:3118309:1 gene:Al_scaffold_0007_692 transcript:Al_scaffold_0007_692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jojoba acyl CoA reductase-related male sterility protein [Source:Projected from Arabidopsis thaliana (AT4G33790) TAIR;Acc:AT4G33790] MSTETEVVSVLKYLDNKSILVIGAAGFLANIFVEKILRVAPNVKKLYLLLRASNGKSATQRFNDEILRKDLFKVVKEKYGPNLNQLISEKVTIIDGDICLEDLGLHDFDLAHEMIHQVDAIVNLAATTKFDERYDVALGINTLGALNVLNFAKRCAKVKILVHVSTAYVCGEKSGLIMETPYRMGETLNGTTGLDINHEKKFVQEKLDQLRASGASPETVTQAMKDLGLRRAKVYGWPNTYVFTKAMGEMMVGTKRENLSLVLLRPSIITSTFKEPFPGWTEGIRTIDSLAVGYGKGKLTCFLCDLNAISDVLPADMVVNSILVSMAAQAGKQEEIIYHVGSSLRNPMKNAKFPELAYRYFSTNPWINKEGKVVRVGNIEILSSMRSFHRYMTIRYLISLKGLELVNMVLCKLLEKEFKYFHRKINFIYRLVDLYQPYLFFYGIFDDTNTEKLQKMVSKTGVESEMFYFDPEVIDWDDYFLNTHVIGLLKYVF >Al_scaffold_0007_694 pep chromosome:v.1.0:7:3124080:3124662:1 gene:Al_scaffold_0007_694 transcript:Al_scaffold_0007_694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGF7] MNCGIEESVNKVRRPLKSNNSRKLPTSETERRYRETKGEEESEHHQRRNKIRNRRRESQDSSEREQVEDDNSVQDLDIEAMKIEMESTKESLARAHEGCYKR >Al_scaffold_0007_70 pep chromosome:v.1.0:7:245345:246156:1 gene:Al_scaffold_0007_70 transcript:Al_scaffold_0007_70 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 family protein [Source:Projected from Arabidopsis thaliana (AT2G10450) TAIR;Acc:AT2G10450] MLPASSTAETMLTIDERRETELKICDSDSGFIEGSSKFLLQYKPRKCYLQAHKGDKIKVCYQDEAGDEIKEAEPKVLCKCHSCFTVLSKLKHS >Al_scaffold_0007_701 pep chromosome:v.1.0:7:3151609:3152609:-1 gene:Al_scaffold_0007_701 transcript:Al_scaffold_0007_701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MGG5] VDLMSSKVCGIGLLNIKAQHLFYPFERDKFRCIPKIKLYRNSFFGCQANDLSFTDIVKQYSNSWLNGEATPKYWKDDVIAGIVSGFATSIITVFIVRILRHVKSWFPRACCSVKSQFSKVNLLVQVKRCMLASCLFLFIFLISLLLCRQCPVS >Al_scaffold_0007_725 pep chromosome:v.1.0:7:3224142:3231313:-1 gene:Al_scaffold_0007_725 transcript:Al_scaffold_0007_725 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-type ATP-ase 1 [Source:Projected from Arabidopsis thaliana (AT4G33520) TAIR;Acc:AT4G33520] MESTLSAFSTVAATAMARSSGGPSLPLLTISKALNRHFSGARHLHPLLLARCSPAVRRLGGFHGSSLTSSNSALRSLGAAVLPVIRHRLQCLSISSPSFRSISSGGGSGFGGYNGGSGGAGGGGSESGDSKSKLGAGTNDGVSVPSSDIIILDVGGMTCGGCSASVKKILESQPQVASASVNLTTETAIVWPVPEAKSVPDWQKSLGETLANHLTNCGFQSTPRDLVTENFFKVFETKTKDKQARLKESGRELAVSWALCAVCLVGHLTHFLGVNAPWIHAIHSTGFHVSLCLITLLGPGRKLVLDGIKSLLKGSPNMNTLVGLGALSSFSVSSLAAMIPKLGWKTFFEEPVMLIAFVLLGRNLEQRAKIKATSDMTGLLSVLPSKARLLLDGDLQNSTVEVPCNSLSVGDLVVILPGDRVPADGVVKSGRSTIDESSFTGEPLPVTKESGSQVAAGSINLNGTLTVEVHRSGGETAVGDIIRLVEEAQSREAPVQQLVDKVAGRFTYGVMALSAATFTFWNLFGAHVLPSALHNGSPMSLALQLSCSVLVVACPCALGLATPTAMLVGTSLGARRGLLLRGGDILEKFSSVDTVVFDKTGTLTKGHPVVTEVIIPENPRHNLNDTWSEVEVLMLAAAVESNTTHPVGKAIVKAARACNCQTMKAEDGTFTEEPGSGAVAIVNNKRVTVGTLEWVQRHGATGNSTLALEEHELNNQSVVYIGVDNTLAAVIRFEDKVREDAAQVVENLTRQGIDVYMLSGDKRNAANYVASVVGINQERVIAGVKPAEKKTFINELQKNKKIVAMVGDGINDAAALASSDVGVAMGGGAGAASEVSPVVLMGNRLTQLLDAMELSRQTMKTVKQNLWWAFGYNIVGIPIAAGVLLPLTGTMLTPSMAGALMGVSSLGVMTNSLLLRYRFFSNRNDKNFKREPKEGTKQPHENTRWKESS >Al_scaffold_0007_743 pep chromosome:v.1.0:7:3291146:3292692:1 gene:Al_scaffold_0007_743 transcript:Al_scaffold_0007_743 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33360) TAIR;Acc:AT4G33360] MGPKMPNIKTNMKILVTGSTGYLGARLCHALLRRGHSVRALVRRTSDISDLPPEVELAYGDVTDYRSLTDACSGCDIVFHAAALVEPWLPDPSRFVSVNVGGLKNVLEAVKETKTVQKIIYTSSFFALGSTDGSVANEDQVHSERFFCTEYERSKATADKIALNAASEGVPIILLYPGVIYGPGKLTTGNMVAKLLIERFNGRLPGYIGSGNDRYSFSHVDDVVEGHFAAMEKGRLGERYLLTGENASFKLVFDMAALITGTKKPNFSIPLWAINAYGWLSVLISRVTGKLPLISPPTVKVLRHQWAYSCDKAKLELGYNPRSLKEGLEEMLPWLKSLGVIQY >Al_scaffold_0007_744 pep chromosome:v.1.0:7:3293204:3293674:-1 gene:Al_scaffold_0007_744 transcript:Al_scaffold_0007_744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8S1] MRNITTTTRTMLLLVITILMGIAYHGEAIACPQVNMYLAQCLPYLKAGGNPTPMCCNGLNSLKAAAPAKSDRQVACNCLKSVANTIPGINDDYAKQLPAKCGVNIGVPFSKTVDCNSIN >Al_scaffold_0007_749 pep chromosome:v.1.0:7:3308115:3310005:1 gene:Al_scaffold_0007_749 transcript:Al_scaffold_0007_749 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein [Source:Projected from Arabidopsis thaliana (AT4G33280) TAIR;Acc:AT4G33280] MEESCEDCMKWEEELYWTHFQTLHFTQLLLPGFHYRLAIPQKFSTHCKRKLPQIVTLKSPSGATYSVRVEEDDEKTLAFGFGWDKFVKDHSLEENDLLVFKFHGLSEFEVLVFDGQTLCEKPTSYFVRKCGHAEKTKVSQTGYEQEEHINPDIDTASAQLPVISPTSTVRVSERKYHPLSSFKKMRGEISNDNLDQKTDVEMISAGSNNRALSLAKGAISPDGFLVFMRRSHVLSKCFLTIPYKWCVKNMLMARQEVVMQVDQRKWDMKFNFFGARGSGGISTGWKKFVQDNNLREGDVCVFEPANSVTKPLHLNVYIFCGEETERSKNVDSVHTISSE >Al_scaffold_0007_755 pep chromosome:v.1.0:7:3334275:3337838:-1 gene:Al_scaffold_0007_755 transcript:Al_scaffold_0007_755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7M985] MSCLKYFLIFLILGLCVSSEENLQYEYLKVPASEFVSSINTIVVVIRQVSSILSQFADFSGGRRLQNAVSDCLDLLDFSSEELSWSASASENPKGKGNGTGDVGSDTRTWLSAALSNQDTCMEGFQGTSGLVKSLVAGSLDQLYSMLRELLPLVQPEQKPKPISKPGPIAKGPKAPPGRKLRDTDDDESLQFPDWVRSEDRKLLETNGRSYDVCVALDGTCNFTKIMDAIKEAPDYSSTRFVIYIKKGLYLENVEIKKKKWNIVMIGDGIDVTVISGNRSFIDGWTTFRSATFAVSGRGFLARDITFQNTAGPEKHQAVALRSDSDLSVFYRCAMRGYQDTLYTHTMRQFYRECTITGTVDFIFGDGTVVFQNCQILAKRGLPNQKNTITAQGRKDVNQPSGFSIQFSNISADADLVPYLNTTRTYLGRPWKLYSRTVFIRNNMSDVVRPEGWLEWNADFALDTLFYGEFMNYGPGSGLSSRVKWPGYHVFNNSEQANNFTVSQFIKGNLWLPSTGVTFSDGLNI >Al_scaffold_0007_756 pep chromosome:v.1.0:7:3340878:3346107:1 gene:Al_scaffold_0007_756 transcript:Al_scaffold_0007_756 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT4G33210) TAIR;Acc:AT4G33210] MRIWCFSCFTDEDEDEEDENGGRVKKQSLATAMENNNGDGDFVNFGENERAPRVPRWRLRLCAEEGEAAGAELERLWTSEIRLHQLVQGESSNAVAAAEEDSTMEEADHDSYHKRAKVYSGLAECRSVSGVSSDAGNSVSSVERNVSFGIAPSSRSDTDMFCQNFILNYSRKDGKKDDGDDNGSSDAEDFEVHIDLTDDLLHMVFSFLNHVDLCRSAMVCRQWRVASAHEDFWKVLNFENIRISMEQFENMCSRYPNATEVNVYGAPAVNALAMKAATTLRNLEVLTIGKGHISESFFQALGECNMLRSVTVSDAILGNGAQEIHLSHDRLRELKITKCRVMRLSIRCPQLRSLSLKRSNMSQAMLNCPLLQLLDIASCHKLLDAAIRSAATSCPQLESLDVSNCSCVSDETLREIAQACANLHILNASYCPNISLESVHLPMLTVLKLHSCEGITSASMTWIANSPALEVLELDNCNLLTSVSLHLSRLQSISLVHCRKFTELNLQSTMLSSITVSNCPALRRITITSNSLRRLALQKQENLTTLVLQCHSLQEVDLSDCESLSNSVCKIFSDDGGCPMLKSLILDNCESLTAVRFCNSSLASLSLVGCRAVTSLELKCPRIEQICLDGCDHLETAFFQPVALRSLNLGICPKLSVLNIEAPYMVSLELKGCGVLSEASIFCPLLTSLDASFCSQLRDDCLSATTASCPLIESLVLMSCPSIGSDGLSSLNGLPNLTVLDLSYTFLMNLEPVFKSCVQLKVLKLQACKYLTDSSLEPLYKEGALPALEELDLSYGTLCQTAIDDLLACCTHLTHLSLNGCVNMHDLDWGSTSVHLFDYFGVYSSSENTQEPAETANRLLQNLNCVGCPNIRKVLIPPAARFYHLSTLNLSLSVNLKEVDLSCSNLVLLNLSNCCSLEVLKLGCPRLASLFLQSCNMDEAGVEAAISGCSSLETLDLRFCPKISSVSMTKFRTVCPSLKRVFSSPNLLQD >Al_scaffold_0007_76 pep chromosome:v.1.0:7:271755:273852:-1 gene:Al_scaffold_0007_76 transcript:Al_scaffold_0007_76 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper (bZIP) transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G38900) TAIR;Acc:AT4G38900] MGDTEKCSNSDMIQRLHSSFGTSSSSIPKNPISQLDLNPNFIRSSAPLFSKPFSDSGKRIGVPPSHPNLIPPTSPFSQIPTTRQPASLNFNPGSATHSRSMSQPNSFFSFDSLPPLSPSPFRDSLSAQPDHDVSMEDRDSGGFNSNHSLPPSPFTRCNSTSSSSLRVGESLPPRKSHRRSNSDIPSGFNSMIVQNSLPLIPPRPLERSISGGECADWSKSSPFVKKESSCEREGVGEREAMDDLFSAYMNLENIDVLNSSEADDSKNGNENRDDMDSSRASGTKTNGSDTEGESSSVNESANNNSNLNSSGEKRESVKRRAAGGDIAPTTRHYRSVSVDSCFMEKLSFGDESLKPPPSPGTMSRKVSPTNSVDGNSGAAFNIEFKNGEFTAAEMKKIMANDKLAEMAMSDPKRVKRNDLLFRILANRQSAARSKERKMRYIVELEHKVQTLQTEATTLSAQLTLLQRDMIGFTNQNNELKFRLQAMEQQARLRDALNEALNGEVQRLKLAIGETSQNESERSKMQSLNAEMFQQLNISQLRQQPQQMQQQSHQQNHQNGTMATKSESNE >Al_scaffold_0007_764 pep chromosome:v.1.0:7:3386766:3389278:-1 gene:Al_scaffold_0007_764 transcript:Al_scaffold_0007_764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33140) TAIR;Acc:AT4G33140] MLKRHSNAILFRIASFFDSHHKTLLMSSFTDPLFLRSLHTPRSIANTFHGDGDFVHRLNPIPIYHNHNNKSRFNGLFKVKGGGVKPNGFTLTSCCFDSHKKPDQKARALTQHRRLLHDLGSGPSAGTTDLADGRGAYLRTRFQGNDKIVVAVDIDEVLGNFVSALNRFIADRYLSNHSVSEYHVYEFFKIWNCSRNEADLRVHEFFKTSYFKKGIHPLPGAHKTLHKLSKYCDMSVVTSRQNAIKEHTLEWIEIHFPGLFKQIHFGNHFALHGESRPKSEICRSFGAEILIDDNPRYAEECANIGMKVLLFDYENSYPWSKTESVDRHPLVTRVHNWEEVEQQILSLAVSKC >Al_scaffold_0007_771 pep chromosome:v.1.0:7:3414088:3414580:1 gene:Al_scaffold_0007_771 transcript:Al_scaffold_0007_771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9A1] MRERFLLRQLCRSEQGLCSVRGGVDLAADVVEDRVEDAVEGFVDPQGSAVVPVEATMAEVGGEKVFGSARLTRSLFSYGLAEPPPFGSAVFGAHRSGSSAPARSNRRDLVWGGRG >Al_scaffold_0007_777 pep chromosome:v.1.0:7:3451442:3454012:-1 gene:Al_scaffold_0007_777 transcript:Al_scaffold_0007_777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9A6] MLVSDRELEEAGRKLLDPPSSVDEILSLLDKVEHLMSTIEQSPPCPTMWKLYPLIGALVGPKHFQHSDADVKVAVAASISRITFITAPDLTYDDDQMKRISILETVYDVKLSKSDALLIEMFQHLLNDHHSGKVFSSMENIMTLVVEESEDILPELLSPILHYVKKDDKEALSALKQNEVAANKKEIIIYGGSLFLKTNGYPSCSVLFALIPFCHPVSSSELPRPKGSGTISSINEKGRETVPPRRETVAEAGVQTEERRSRELVKEEDTA >Al_scaffold_0007_78 pep chromosome:v.1.0:7:279302:281830:1 gene:Al_scaffold_0007_78 transcript:Al_scaffold_0007_78 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MFP9] MEKDLRVEMVSVCESEQAASDDRVLQDNFLSKNKHEIGQDLSDFNCGLAHVFLQHTSASLTINENYDPDVQADTETFLNRIVPEIWDHCSREAAICSNNILRKSESLRK >Al_scaffold_0007_787 pep chromosome:v.1.0:7:3503801:3506339:-1 gene:Al_scaffold_0007_787 transcript:Al_scaffold_0007_787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32990) TAIR;Acc:AT4G32990] MKLMDKNLGLVEVQKLEGHTDRVWNVAWNPAADGVLASCSADKTVRIWEQSSLTRSWTCKAVLEGTHTRTVKSLAWSPSAKLLATASFDGTTCVWENFATDSESVSVLQVHESEVKSVSWNASGSLLATCGRDKSVWIWEVLPENEFDCAAVLTGHSEDVKMVLWHPTMDVLFSCSYDNTVKIWWSEDEYGDYNCVQTLGESNNGHSSTVWSISFNAAGDKMVTCSDDLTVKIWKTDISRMQSGEGYVPWTHVCTLSGFHDRTIYSVHWSRDGVIACGAGDDTIQLFVDSNSDSVDRPSYKLLLKKEKAHEKDVNSVQWAPDKESRLLASGSDDKMVKIWKLASEP >Al_scaffold_0007_79 pep chromosome:v.1.0:7:287551:288083:-1 gene:Al_scaffold_0007_79 transcript:Al_scaffold_0007_79 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MFQ0] MSKKTAPNNENIEFYSTPPKRWRRNEAINTSRSIPRSNTPVSNGSVPLRSAFNTVLQDITNLSSTPVIPTVMSEDPPTVRSALKKTIVRGTPRGSHLTSVSPISILSTSVSTPLGLRDMTLGTGQTPLMPPPSETRPRLG >Al_scaffold_0007_811 pep chromosome:v.1.0:7:3639734:3640395:-1 gene:Al_scaffold_0007_811 transcript:Al_scaffold_0007_811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9P3] MTFVSRGEELLKRTRKGDLHWKQVSFNINSNWQVVLKMKSKHVGGTFTKTKKCVVNGVCRDIPEWAHRGRAEKMVERRAYFGVKTVERVIEFECGNKREKQMWIEGIQQLLNSLEIGSSVHWKH >Al_scaffold_0007_818 pep chromosome:v.1.0:7:3672976:3673457:-1 gene:Al_scaffold_0007_818 transcript:Al_scaffold_0007_818 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCR-like 25 [Source:Projected from Arabidopsis thaliana (AT4G32714) TAIR;Acc:AT4G32714] VSYVLVFLVLCSCKDSTKNELCNRIEDIDGNCDFQGEKGCLKFMTNKYKKERHVSCKCTNLYMLHKTKRFCDCKHRCSK >Al_scaffold_0007_820 pep chromosome:v.1.0:7:3680849:3692337:-1 gene:Al_scaffold_0007_820 transcript:Al_scaffold_0007_820 gene_biotype:protein_coding transcript_biotype:protein_coding description:helicases;ATP-dependent helicases;nucleic acid binding;ATP binding;DNA-directed DNA polymerases;DNA binding [Source:Projected from Arabidopsis thaliana (AT4G32700) TAIR;Acc:AT4G32700] MDSDSSKSRIDQFYVSKKRKHQSPNLKSGRNEKNVKVTGERSPGDKGTLDNYLKASLDDKSTTNSGLQARQEAFTRKLDLEVSASSVGENINPCLPKPVTIATFKECLDQNGSRDLHKEGVAAEAHATDGLHCANQKDNSELRDFATSFLSLYCSGLQSVVGSPPHQKANELKRRSSSSSLAQDIQSSHKRRCESENIPSLDDLANPLGSKPESLARNGDNRDKSVSDHTKKMPSNEYVEIPMGLRKCSKAPESSAHLTEFHTPGSAIKSCPVGTPKSGSGSSMFSPGDSFWNEAIQVADGLTIPIENSGSVKVKDGDQHGTILSCSNKTDKCNEMLKRSLDLDESRVNDKDAIGFSKVLEKHGRDFNKEVSPLPVKNLELLFQDKNINGGLREQCASFDQNNITLGSSRISESAFVDNKGCETLDIVNSQADKGLIGKMYPEPEGKKVLLCEENRGVRSVSMISNMRKPVDSSESEESHTPSSSHRNYDGLILSTWLPSEVCSVYNKKGISKLYPWQVECLQVDGVLQKRNLVYCASTSAGKSFVAEVLMLRRVITTGKMALLVLPYVSICAEKAEHLEVLLEPLGKHVRSYYGNQGGGTLPKGTSVAVCTIEKANSLINRLLEEGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGSSESSSGESSGNSSGKADPAHGLQIVGMSATMPNVGAVADWLQAALYQTEFRPVPLEEYIKVGSTIYNKKMEVVRTIPKAADMGGKDPDHIVELCNEVVQEGNSVLIFCSSRKGCESTARHISKLIKKVPIDVDGKNSEFMDIRSAIDALRRSPSGVDPVLEETLPSGVAYHHAGLTVEEREIVETCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPMIGRDFIDGTRYKQMSGRAGRTGIDTKGESVLICKPGELKRIMALLNETCPPLQSCLSEDKNGMTHAILEVVAGGIVQTAKDIHRYVRCTLLNSTKPFQDVVKSAQDSLRWLCHRKFLEWNEETKLYTTTPLGRGSFGSSLCPEESLIVLDDLLRAREGLVMASDLHLVYLVTPINVGVEPNWELYYERFMELSPLEQSVGNRVGVVEPFLMRMAHGATVRTINKPQDVKKNLRGEYDNRHGSTSMKMLSDEQMLRVCKRFFVALILSKLVQEASVTEVCEAFKVARGMVQALQENAGRFSSMVSVFCERLGWHDLEGLVAKFQNRVSFGVRAEIVELTSIPYIKGSRARALYKAGLRTSQAIAEASIPEIVKALFESSAWAAEGTGQRRIHLGLAKKIKNGARKIVLEKAEEARAAAFSAFKSLGLDVHELSKPLPLAPASSPNGQETTERDISRGSVGPDGLQQSIKVHMECENFDMDNHREKPSEVLGATLGVSSEVNMTSRLPNFGPIGTTVGTNGPSAVSILSSDTLPIPVYDNREIKTVDNVEQHLTRNANKDGTGEKGPITAGNISGGFDSFLELWESAGEFFFDLHYNKLQDLNSRISYEIHGIAICWNSSPVYYVNLNKDLPNLECVEKQKLIEDAVVGKNEVLATHNMFDVIKSRWNKISKIMGNVNTRKFTWNLKVQIQVLKSPAISIQRCNRLNLAEGIRDLELVDGSWLMMPPLRTSHTIDMSIVTWILWPDEERHSNPNIDKEVKKRLSPEAAEAANRSGRWRNQIRRVAHNGCCRRVAQTRALCSALWKILVSEELLEALTTTEMPLVNVLADMELWGIGVDIEGCLRARNILRDKLRSLEKKAFELAGMTFSLHNPADIANVLFGQLKLPIPENQSKGKLHPSTDKHCLDLLRNEHPVVPIIKEHRTLAKLLNCTLGSICSLAKLRLSTQRYTLHGRWLQTSTATGRLSIEEPNLQSVEHEVEFILDKNGKDVNSDADSYKINARDFFVPTQENWLLLTADYSQIELRLMAHFSRDSSLISQLRQPEGDVFTMIAAKWTGKAEGSVSPHDRDQTKRLIYGILYGMGANRLAEQLECSSDEAKEKIRSFKSSFPAVTSWLNETISFCQEKGYIQTLKGRRRFLSKIKFGNAKEKSKAQRQAVNSMCQGSAADIIKIAMINIYSAIAEDVDTTASSSSSETRFHMLKGRCRILLQVHDELVLEVDPSYVKVAAMLLQTSMENAVSLLVPLHVKLKVGKTWGSLEPFQAD >Al_scaffold_0007_838 pep chromosome:v.1.0:7:3781853:3782633:-1 gene:Al_scaffold_0007_838 transcript:Al_scaffold_0007_838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Powdery mildew resistance protein, RPW8 domain [Source:Projected from Arabidopsis thaliana (AT3G26470) TAIR;Acc:AT3G26470] MAVTDYFAGEIATELLKQLFLISARAGRYKNTADNLSTLIENIQPTIKEIQYSGVELPAHRQAQIRILFESLEKGKKLMDKFLTCNRWNMIRQLYLMKKMEKLERTLSDFFRASILTHILADLHLLRANSDERSHEHVTSTKGCDYGLGSIQYQHLQPNLDMDMRVTILETEFRTFSNNVTNNMLAMKRAQDVLLRANGIDPETLLPMVSRPAASMNPPALADTT >Al_scaffold_0007_839 pep chromosome:v.1.0:7:3785079:3786992:-1 gene:Al_scaffold_0007_839 transcript:Al_scaffold_0007_839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MA34] VAYFYAQEMKIDLPVVVFTPRNKSFPVRETVLLHCGFFNANGGFWILDKDKRFMQTCEVVVSTCAFGGGDKIFMNLLECLRHQVTRFAMLRFGMKLLLQYKKQRGHKINENDHIGKWRIVIVKDMPFTDQRLTFFFQVSY >Al_scaffold_0007_841 pep chromosome:v.1.0:7:3793402:3796477:-1 gene:Al_scaffold_0007_841 transcript:Al_scaffold_0007_841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MA36] MGDLLLLRVIGIVFALSSLVSSEELLVVGETKELLVTPSLVVKVNGSSRLKQDLNTLCERIRVHGFPRFKHVDKYAHSLKLMVHVLTGGNTSTTHVCFHRDLSLGIGMCPDDQWEKVSNGSWFQTMSLFDHKILDVRISSSSKVTMKVSTVEEWFMIRIVFLILGTLLLSSANSLSRSVAFYYTCVLTVGSILGVLVLLFQGLKRLPTGLGSSALFLYSSVVVVFFVLSLTPPTTFYPTVGLGGVCFRYVPELFHSMLILMELDEGIPESVALGLWLHLHFVGLSLGLFTVKRFVLDKDGSIDVSTSNFVSWSVWTSAAVLIFQSSMDHLLGGGALISIIVMTSMLKKSTRLMTFLGRVHEIMMNLLQGILDAAGQIVPVSICEYWRRFCEYTGNFSFEPQTEGRWEEGVLVYYMRGRVQKTFTSHQENISDAVMLENADSMSIIHQSREESLQPQRYSETLAEACASDPRDTTIQPSPTSSAQNPSVVDLRASQAFIPVVSFVNAITPKHIGAAIDASEHARMFTALAIALVVILSHLGFSSLGNIVSFRPVFLLLLTDATIVLGRFLLSHRGDSSTASGTVMSGQGIVDQVGNALETVMMMKKIMDALLMDFSLYAVILICGLLVTQSIFP >Al_scaffold_0007_844 pep chromosome:v.1.0:7:3806119:3809060:1 gene:Al_scaffold_0007_844 transcript:Al_scaffold_0007_844 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCO3- transporter family [Source:Projected from Arabidopsis thaliana (AT4G32510) TAIR;Acc:AT4G32510] MEGVKFPFGGIINDFNGRRKCYKQDWLAAFNSGVRYHHVSLLISILAPTLYIFIASALPVIAFGEQLSRETGDRSLGIAESLASTAICGIIHSIFGGQPLLIVGVAEPTIIMYTYLHSFSKGRPELGQKLYLAWAGWVCVWTAILLMLLAMLNACNIISRFTRIAGELFGMLITVLFIQEAVKVLMGNSMGLIGEFLVPKSDDPSLEAYQFQWRYTNGLLAVIFSFGLLYTALKSRRARSWKYGFRWIRGFIGDYGTLLMLVLWSAFSYTVPRNLPEGVPRRLELPLPWSSESLYHWTVVKDMAKVPPLYILAAFIPAIMIAGLYFFDHCVSAQMAQQKEFNLKNPSAYHYDIFILGIMTLICGLLGLPPSNGVIPQSPMHTKSLAVLKKQLMRKKMVQKAKECMREKASNSEIYGRMQDVFIEMETSPKATSVVKELENLKEAVMKADDGGGETKGKKFDPEVHIEDHLPVRVNEQRVSNLLQSILVGLLILAVPVLRMIPTSVLWGYFTYMAVDSLPGNQFWERLQLLFITPGRRFKVLEGLHASFVEIVPYKSIVMFTLFQLLYFLICYGVTWIPVGGILFPLPFFILIALRQYILPRLFDPSHLQVLDSSEYEEMVGAPQRNSSFGFNGELREAHNIPLSVVENSEDEFYDAEILDEITTSRGELKHRTLSVKEDRSQMVKIYNHS >Al_scaffold_0007_846 pep chromosome:v.1.0:7:3815984:3816738:1 gene:Al_scaffold_0007_846 transcript:Al_scaffold_0007_846 gene_biotype:protein_coding transcript_biotype:protein_coding description:early nodulin-like protein 4 [Source:Projected from Arabidopsis thaliana (AT4G32490) TAIR;Acc:AT4G32490] MVMIKMFDVYLMIVMLMSLGFTMGLSNGHKFDVGGRDGWVLTPSEDYSHWSHRNRFQVNDTLYFKYVKGKDSVLNVSEKEYKTCNTTHPLASLSGGDSLFLLSRSGPFFFVSGNSGNCLKGQKLAVTVMSTGHHSHTPRHPSPSPSPSASPVHQALSSPAPTPVHQALSLPAPTPGVDPSDSEVLAPAPGSVAAVRNLAGSVDPGVVSLGLVHVIMISSMI >Al_scaffold_0007_857 pep chromosome:v.1.0:7:3863822:3865535:-1 gene:Al_scaffold_0007_857 transcript:Al_scaffold_0007_857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32380) TAIR;Acc:AT4G32380] MAKDWGSKISECWLCFEKVTGLVLTGSGVLNSHGENWWSSVGFPSRPEAVRFFGCQNLLYKGLTQINSPRNHISIFGCTNATLSNLHLIAPANSPNTDGIDISHSQNIHVLSSTINTGDDCVAIKGASYDINITYVTCGPGHGISIGSLGQGGASEVVQNVNVRHCTFSGTQNGARIKTWPGGRGFVKNILYENITLINANFPIIIDQQYHQSAGATAVKVSDVTFKSFKGTSADATAIKLDCDPTTGCDNIVMDHINIVSSSPRTPLTSYCKFAHVISRVVSIRIDCDFRNEDSQPASSHPQPSAPSAVSPKPHTQPPLSFPFYTNFNIFL >Al_scaffold_0007_858 pep chromosome:v.1.0:7:3869185:3871696:-1 gene:Al_scaffold_0007_858 transcript:Al_scaffold_0007_858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32375) TAIR;Acc:AT4G32375] MVQMAFKGAWKALCEANGKGKTTSLVINANETYIIQPQLFQGPCASRNLHIQIDGKLEAPKMVKEWGNNGSKCWLCFTNVTGLVLNGSGILHPHGEAWWSSIEHSHRPRTIGFNGSSNIIYNGLTQMNSPKNHISILNCTNVTLSNFHLIAPKDSPNTDGIDIALSNNIRIFNSSIQTGDDCVAINGGSYDINITHVACGPGHGISIGSLGRGGENDTVQNVKVRHCSFNGTKNGARIKTWTGGQGIAKNILYENITLTDANYPIIIDQHYCNGGHNCTKEATTAVKVSNVTFRYFKGTCANNIAIKLDCDEVTGCQDIVMEHINITSSSTNMPLTAYCQFADIVSHFVSMHIKCGFNEEPLVPVRPPRHVEPPTPPTKPPTMFPKPLAPAKPPVYYAKPPVYYAKPPAPNAQPLAPNAQPPMLSFLSYFI >Al_scaffold_0007_859 pep chromosome:v.1.0:7:3872798:3874806:-1 gene:Al_scaffold_0007_859 transcript:Al_scaffold_0007_859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32370) TAIR;Acc:AT4G32370] MKDFKTLFSVIIIISYYLEYGEGQKILSIKDFILKTNHTIIDYSQAFHEAWKGLCEDETPNGSALVIRKNETYTLQPLIFRGPCVSSKIHIQIDGKLEGPRKPIYWKNTEKKSWLGFRDVEGLVINGSGVLNPHGEAWWKSVSHSKRPTTISFESCTDIIYNGLTQINSPKNHISIYGCTNATLSNLDISAPEDSPNTDGINICLSHKIQILDSSIQTGDDCVAITGGRGGSSDINITGVACGPGHGISIGSLGKDDETDDIVENVIVRSCSFTGTQNGARIKTWQGGQGLAKNILYENITLIDAGYPIIINQHYFDKSNYLKYLLGI >Al_scaffold_0007_890 pep chromosome:v.1.0:7:4018370:4018835:1 gene:Al_scaffold_0007_890 transcript:Al_scaffold_0007_890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,3-N-Acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G32100) TAIR;Acc:AT4G32100] MATNAWYGDDFYSLKSLFVRQWKTGKMVNNKPEWEVKVLNSSPCYFTDTKLSCVGFQSDTPIDSKVISKSGDTCLLANGDAIHDFSFKYVWDTSFDLKVIDGQIACS >Al_scaffold_0007_903 pep chromosome:v.1.0:7:4067946:4074522:-1 gene:Al_scaffold_0007_903 transcript:Al_scaffold_0007_903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAT2] MFCRNVSVRRKKKSGSVPVYLNVYDLTPMNVYGYWLGIGIYHSGLEVHGVEYGYGAHEHSSSGIFEVEPKKCPGFTFRKSILVGETEMRAKEVRTFMEKLSEEYQGNKYHLITRNCNHFCNHVCLKLTQNSIPSWVNRLARLGFLCNCVLPACLNEAKVKRVGKDGKLLEGESKKKRKKKKARSRSGPLSSSSSNSRLDNNPTHNRSKSTGNPPLSSSPSCPMRPRVLCVASGAQDQKPPSHINNASFCSEVQCHVLCFAYERMNQNEGDALVDSIKAKLDFLSSLSTKCCIYKVPNKLRRLNPDAYTPRLVSFGPLHRGKEELQAMEDHKYRYLQSFIPRTNSSLEDLVRVARTWEQNARSCYAEDVKLNSDEFVMMLVVDGSFLVELLLRSHYPRLRGENDRIFGNSMIITDVCRDMILIENQLPFFVLKEIFLLLFIYYQQGTPSITQLAQRHFSYFLSRIDDEKFISEPEHFVDLLRSCYLPQLPIRLEYTTLKVDNAPEATELHTAGVRFKPAESTSCLLDISFADGVLKIPTIVVDDLTESLYRNIIVYEQCHCSNKNFLHYTTLLGCFIKSPTDADLLIRSGIIVNHLGNSVDVSKLFNSISKEVIYDRRFYFSTLSENLQAYCNTPWNRWKAILRRDYFHNPWSVASVFAALLLLLLTFIQAVCSILAL >Al_scaffold_0007_907 pep chromosome:v.1.0:7:4087846:4090342:1 gene:Al_scaffold_0007_907 transcript:Al_scaffold_0007_907 gene_biotype:protein_coding transcript_biotype:protein_coding description:response regulator 10 [Source:Projected from Arabidopsis thaliana (AT4G31920) TAIR;Acc:AT4G31920] MTLEQEIEVLDQFPVGMRVLAVDDDQTCLRILQTLLHRCQYHVTTTNQAQTALELLRENKNKFDLVISDVDMPDMDGFKLLELVGLEMDLPVIMLSAHSDPKYVMKGVKHGACDYLLKPVRIEELKNIWQHVVRKSKLKKNKSSVSNGAANSDKANRKRKEQYEEEEEEERGNENDDPTAQKKPRVLWTHELHNKFLAAVDHLGVEKAVPKKILDLMNVDKLTRENVASHLQKFRVALKKMSDKANQQATRAALESHLMQMSSHKGLGGFYHHRGIPVGTGQFHGGATMMRHYPSNRNLGRLNSLAGGMFQPVSSSFPRNHNDGGNILQGLPLPPLEELQINNNINKAFPSFTSQQNSLMVAPNNLLLLEGHQQSSSPGFSPHFEMNKRQEHWSNTVLSTNIPHSDVHSKPDNSDWNAFCDSASPLINQNLDTNTTSFCRNTDFRSTNAAQTDFFYPLQMNQQPTNNSGPMTEAQLFRSSNPNEGLLMGQQKIKSGFMASDAGSLDDIVNSLMTQEQNQSDFSEGDWDLDGLAHSEHAYEKPYCPFSLSA >Al_scaffold_0007_910 pep chromosome:v.1.0:7:4122497:4123196:-1 gene:Al_scaffold_0007_910 transcript:Al_scaffold_0007_910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAU2] MEIVTGLARIIAATTTTMAFSPNPLSLSVLDPAFESWLRDSSYLELLDHRTSAAAAAASSSASVSSSAAATSAASDDEHPLPVVSSLLFCLDASLSLLFLQLTLSPSSPMIFPEICHRGPPVSLAIVTLIRFQRLLSKRELEFMSKSNDSLGITLRYSSSSSLVHYIKCIGEIVSKLSPLGAIQIVQCQMEI >Al_scaffold_0007_913 pep chromosome:v.1.0:7:4138820:4139928:1 gene:Al_scaffold_0007_913 transcript:Al_scaffold_0007_913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAU5] MFDAFASLACSLLYLSDFGSDSVPFTFSSSNCVPISHFLSHVFFISPKGCNVILGFSSGE >Al_scaffold_0007_926 pep chromosome:v.1.0:7:4185498:4186537:-1 gene:Al_scaffold_0007_926 transcript:Al_scaffold_0007_926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole (Corrin/Porphyrin) Methylases [Source:Projected from Arabidopsis thaliana (AT4G31790) TAIR;Acc:AT4G31790] MLYIIGLGLGDEKDITLRGLEAVKKSQKVYMEAYTSLLSFGLSADGLSNLEKFYGKPIILADREMVEEKAGAMIEEAIHNDVAFLVVGDPFGATTHSDLVVRAKTLGVKVEVVHNASVMNAVGICGLQLYHYGETVSIPFFTETWRPDSFYEKIKKNRSLGLHTLCLLDIRVKEPTFESLCRGGKKQYEPPRYMSVNTAIEQLLEVEQKHGDSVYGEDTQCVGFARLGSEDQTIVAGTMKQLESVDFGAPLHCLVIVGETHPVEEEMLEFYKYKSGN >Al_scaffold_0007_962 pep chromosome:v.1.0:7:4339030:4343071:-1 gene:Al_scaffold_0007_962 transcript:Al_scaffold_0007_962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MB66] MDSVSGYPAGVGQPRTGGKIVRPRRTAAVRTPYDRPVPRSRDPPQQNPSWISRLVYKPASVIASGAGKFISSVVFSDSSSSSEEDEDSSSDIDGDEDVEKTITDFTEEDLLTAQQPTIQRLSSKRVIEQLLMQETFAREEGDRLIDIIKARVVDHPSVPSAVEGRHNDNGLTSDVNVGEMSNTTVMEARKWLEEKKSGSSSKYTATEDGAGSPVDVAKSYMRARLPWGSPAEFRSPSSAGMQGTPFPYSAGNFSSSKLKRKSGSNQSWNIQDEIRKVRAKATEEMLKSPSSVASLEPKYSPYVLATDMLKGNASSLNADGAVRYEQSRALPNSAIPTSEHNQTTEANLAVGETGVLHTRSCGVGLDETFISTQGVKPSEDTNTAPQSGTAVDDFNDQDGDFIQPSSTIGNTTNAVLALGATLDPTGNSSCIPKDVFETSKEADEIGASRATFNGFPSSSPSSPVVEGQPKPTPPEETEASQPVAEIHGDDMTVGNGSDGAINNENNDSHSSGSHNSSSTHEEEWLPGDQSLPNSNSASSSPGTTKVLAYSRRGRGRGRGRGRGGRGKGRAK >Al_scaffold_0007_978 pep chromosome:v.1.0:7:4422396:4423628:1 gene:Al_scaffold_0007_978 transcript:Al_scaffold_0007_978 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT4G31270) TAIR;Acc:AT4G31270] MEEGTSGSRRTRSQVAPEWAVKDCLILVNEIAAVEADCSNALSSFQKWTMILENCNALDVRRNLNQCRRKWDSLMSDYNQIKQWESQYRGTGRSYWSLSSDKRKLLNLPGNIDIELFEAISAVVMIQDEKAGTESDSDPEAQDVVDITAELAFVGSKRSRQRTIVMKENPPQKTKKEEPQISRVQVNTREKPITAKATHQKKTMEEKRPMEEISTDEEEEEETMNIEEEVEVMEAKLSYKIDLIHAIVGRNLAKDNETRDGINTDDKLKFVRQQGDELIGCLSEIVSTLNRLREVPQ >Al_scaffold_0007_980 pep chromosome:v.1.0:7:4431780:4433123:1 gene:Al_scaffold_0007_980 transcript:Al_scaffold_0007_980 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase C-like zinc finger protein [Source:Projected from Arabidopsis thaliana (AT4G31240) TAIR;Acc:AT4G31240] MAVSTDYQVKFPESGDLYSILAAEGIEFLLSHSGEVPLEYIHGKTICLFFSANWCRPCKDFTPELVKLYENLQTRGEELEIIFVSFDHDMTLFYEHFWCMPWLAVPFNLNLLNKLRDKYRISRIPSLVPLYSDEISVAEDVIGLIEDYGPEAFPFTKKRKAELKAIDDSKRIGGQLEKLLTHESRNYVVARNGSKVLVSKLVGKTIGLYFGAHWCPPFRSFTSQLLDVYNELATRDKGSFEVILVSTDRDSREFNINMTNMPWLAIPYEDRTRQDLCRIFSIKLIPALVIIGPEEKTVTTNAREMVSLYGSRSFPFTESRIVELKACLKKEGDSLPRKVKDKKHEHELKLDMAKAYVCDFCKKQGRFWAFSCDACDYDLHPTCVEEQDALLV >Al_scaffold_0007_99 pep chromosome:v.1.0:7:417462:417881:-1 gene:Al_scaffold_0007_99 transcript:Al_scaffold_0007_99 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGB4] MENISGLAFDMGELRNNLPKKRGLSRYYSGKARSFICISDVKCLEDLKKPTQTFDDDDDDEAYKKRKKKNKQSSSSSFSAAINSNVNFSNYPCRRVSSSTHCSSPCVA >Al_scaffold_0008_1 pep chromosome:v.1.0:8:1376:1537:-1 gene:Al_scaffold_0008_1 transcript:Al_scaffold_0008_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MNI3] GSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFR >Al_scaffold_0008_10 pep chromosome:v.1.0:8:50326:52094:1 gene:Al_scaffold_0008_10 transcript:Al_scaffold_0008_10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MP12] MRKASMGSDSEYAFRLQMEEALAASLSSQSRTPQRPPSPPIVARCGIAIVENDRNGSTTAKKSSGYGFDFRRAIGGGNSKGKGKTNESITGVRTDEPNPNIGLGNSRSTSGHDNMKPLPQVTGKWMAKQKKISLLLDDLQSIMQHFSSCQHVLVAGNDVKFAYKLARESILSKITPHEDPRQAKSARKEECAICFNDILAERMFSVGKCRHRFCFQCVKQHVEVKLLHGMVPKCPHDGCKSELVIDACGKLLTPKLSKMWQQRLKENAIPVTERVYCPYPRAYCLFTLNLELGDVLNVVASSVWTVKFRGMQICPALSIRNCILTLQQMT >Al_scaffold_0008_1000 pep chromosome:v.1.0:8:10899715:10903173:-1 gene:Al_scaffold_0008_1000 transcript:Al_scaffold_0008_1000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKE8] MFFIPMWALFKITAASSFQRIKRRYILEILFNGLRRLEYRGYDSAGIAIDDSSTISSPPLVFRQAGNIESLVNSVNEEITNTDLNLDEVFYFHAGIAHTRWATHGEPAPRNSHPQSSGPGDDFLVVHNGVITNYEVLKETLVRHGFTFDSDTDTEVIPKLAKFVFDKANEEVTVQFCEVVFEVMRHLEGAYALIFKSWHYPNELIACKLGSPLLLGVKELDQGKSNSHVFQDAHFLSKNDHPKEFFLSSDPHALVEHTKKVLVIEDGEVVHLKDGGVTILKSENERGRRNGISRIASVERALSVLEMEVEQINKGKYDHYMQKEIHEQPESLTTTMRGRLIRGGSRKTKTVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALASRPILEELSGIPVSMEIASDLWDRQGPIYREDTAVFVSQSGETADTLLALDYARENGALCVGITNTAYTRQIEGLYRVALAIGSDTISSQKRREAIIDGLLDLPYKVKEVLKLDEEMKDLAQLLIDEQSLLVFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDENLPIAVIATRDACFSKQQSVIQQLHARKGRLIVMCSKGDAASVSSSGSCRAIEVPHVEDCLQPVVNIVPLQLLAYHLTVLRGHNVDQPRNLAKSVTTQ >Al_scaffold_0008_1001 pep chromosome:v.1.0:8:10905385:10907307:1 gene:Al_scaffold_0008_1001 transcript:Al_scaffold_0008_1001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKF0] MAIQSRGIGSDSLDLATNFAPIALVFPLDWIEEMMLGKLGNRRIWRRGVRSECDRSLRLGSIIGEPFVGGWWIAGTAPEPETKYFRGWVSSKDPATKPPALYSPALMLGASASGQIAKGCDQIRGDSDFETSTVSSQVGNGCNQVLNRKRKLKQKPAEVASDVEVDSDGSREARIRYLSSLKASASTDSSHLKVFLAEVYVSAGI >Al_scaffold_0008_1006 pep chromosome:v.1.0:8:10948739:10949497:1 gene:Al_scaffold_0008_1006 transcript:Al_scaffold_0008_1006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT3G24070) TAIR;Acc:AT3G24070] MWLRIRGIPIRYLCEGTVREIASSMGEIMEVELDDVKFDFRFVRVRVNVSVDTRLCFKKVVRFGSGEVRIVSLRYEDIAWSKVSFKFYRNCGGLNHLARSCSRVWVDVPDPYDRALSPPPLDSSVDGSGEKNGEGGRSLGLLEQIDPADASADAVQGLPDGVGEQKGLDGVEDGNVTQVKVGTSPEGSKRKFDAGDQEDDIVEKRLKGSADAVQDLPNGDGEQGGLDGVEEGNVTLVQSEGVGVNLKPLEEE >Al_scaffold_0008_1007 pep chromosome:v.1.0:8:10950210:10952060:-1 gene:Al_scaffold_0008_1007 transcript:Al_scaffold_0008_1007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKF5] MGRLKLIPDDDDDSQKKGSDCFEVDLEYGERLLHNQKRKDLQKYDELKDRGIINDDEGSESEEEESDSEPDDDLGDPKNDVKFIDVLLKVKKKDPLIKNKDVNFYESDEEDEAKEKKVKKKKKKKKMYLKDVQAQQLIEEGPEFVEEDEERRKIKSYAERQEELRKAVTDVLEEESDEDGEDLLRVAEKEGDDDVEEELAKKIDEYFGEEREVFENQFLKDYLVKQLWKEKDEKIVIDEEDLKELDEDEEAVEKQEDYETTYRHEENAGEIVMGQSRVVEGSVRKKDNARKAQRKSKEERMKMAEIERQEDLKRLKNVKKKEMKERMKKVLSIAGFKDGEECPLDAKDLDDEFDPEEYDMMMKAAFDDDYYGAEDSDLNSDEDDGEKPDFDKEDELLGLPKDWGVIQGGDGFISAREKVLKHMENGAGDEEEEEEEEEEEVEEEEEVDEEKEVEGKRKRKRKTSLVQRAKEAMLEEYYKLDYEDTIGDLKTRFKYAKVQPSKFALDTGEILFLDDTELNQYVPLKKMAPYVEKDWEVNRHKVKEQKLKIQELLQGERHEKKSKKRKKNDVVEETKPTQKADEEGEAETKLSKKAKRRRRRAEKKLPPNRMAAYGKA >Al_scaffold_0008_1008 pep chromosome:v.1.0:8:10953002:10955927:-1 gene:Al_scaffold_0008_1008 transcript:Al_scaffold_0008_1008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKF6] MCGIFAYLNFHANKERRYILEILFNGLRRLEYRGYDSAGIAIDDSSTISSPPLVFRQAGNIESLVNSVNEEITNTDLNLDEVFYFHAGIAHTRWATHGEPAPRNSHPQSSGPGDDFLVVHNGVITNYEILRLYQSLLKFVFDKANEEVVFEVMRHLEGAYALIYKSWHYPNELIACKLGSPLLLGVKMEIASDLWDRQGPIYREDTAVFVSQSGETADTLLALDYARENGALCVGITNTVGSSIARKTHCGVHINAGAEIGVASTKAYTSQIVVMVMLALAIGSDTISSQKRREAIIDGLPDLPYKVKEVLKLDEEMKDLAQLLIDEQSLLVFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALADENLPIAVIATRDACFSKQQSVIQQLHARKGRLIVMCSKGDAASVSSSGSCRAIEVPQVEDCLQPVLNIVPLQLLAYHLTVLRGHNVDQPRNLAKSVTTQ >Al_scaffold_0008_1010 pep chromosome:v.1.0:8:10959868:10965304:-1 gene:Al_scaffold_0008_1010 transcript:Al_scaffold_0008_1010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML01] MEFGGLKPCTSNSPDSSRKRNRKRKPAELGESDGLSGKKLKRISALAEAAAKIDPSHLADFFAKPHWHGIGLLGFYTYFNKALSQVSFPWVELFEETPLFHYLIFLNLSIKHQSIGSNSIHLGTSVIWAIERIIREQHTFGVAAFVALAMVLRTKPDVLTTLLPMLRERIMFQGQDKLPASEGNPVLAKEATEIAIGSLTANVDCFKQWDILYKENLEASVVLLKKLVDEWKDHSLKLISTPSDTLTLNRAMNSFRLKSCKVILGRLSSGSGYLKGITAVTAAGAVVAGAVAGAVATVVIGGATGMEYFQLEIIKNISGIGPVESHIPALTYTSARKTLRCEESVDAEALREDRYLILASLEPSESTSTSEATSAGFCFSLRFRFKTWLHPLPTWELTRFDRKQRRLALTPANTRSRLCGRIFGTDPSPKVFGFRLRCCSGNPPACYRLPNVRTPPTNGSPMTDPSRSDLSHSDLTPLLQIRRIPSFPSIISSIQSSGSTKATVAKLHAGDFKPRRQVGGAAVISMEARPLLSVRRMMLTPVKAFARPRPAMKLEKGRWRLSHDAGKGAGEGDSLRNSEKVAAKLDLR >Al_scaffold_0008_1011 pep chromosome:v.1.0:8:10966360:10967321:1 gene:Al_scaffold_0008_1011 transcript:Al_scaffold_0008_1011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML02] MKTVETKLSHVGCSNSTRDDVEATGENQPTPMDEDRDARYSFQGTHTQEMVVHDPPRASSYKRRQRRKRRAPRSPTLILLSSLMSDII >Al_scaffold_0008_1022 pep chromosome:v.1.0:8:11103986:11104153:1 gene:Al_scaffold_0008_1022 transcript:Al_scaffold_0008_1022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML10] MDRRVNSWSRTANEPGMGVFEPRRPASTQEVLVITRMTGPNDVCMVKMNVMNVCV >Al_scaffold_0008_1023 pep chromosome:v.1.0:8:11119036:11119359:1 gene:Al_scaffold_0008_1023 transcript:Al_scaffold_0008_1023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML11] MQHVKGTTTHQDSDGDDMECEDTIENTNAGNPHVADGVYGVTGRKKGRVRLQGVSSNKRIAQLLTSPWRRQVQKGVEYVADDKTPRYQDMAKGTAGGGKPPKLKIDR >Al_scaffold_0008_1025 pep chromosome:v.1.0:8:11125108:11125509:1 gene:Al_scaffold_0008_1025 transcript:Al_scaffold_0008_1025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML12] MLDDGRGKKTMRLSVKRMRKKKKKKKKKRERTVVAVLACEPSLLARSENSEEEEEEEEEEEEEEEEEEEEEEEEEEREKTVLTVVEEQRRDRSWWKRRKIRERKK >Al_scaffold_0008_1027 pep chromosome:v.1.0:8:11161585:11162096:1 gene:Al_scaffold_0008_1027 transcript:Al_scaffold_0008_1027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML14] MKQREMRRISENWVGETERNRELAKQRGNREELAKQRETVKDSGRRVRDSGGGDEIPAEATRSLVEDERRREFWVRRRRLGTSDDLVNINNGKHEVYVHYI >Al_scaffold_0008_1028 pep chromosome:v.1.0:8:11192462:11200343:-1 gene:Al_scaffold_0008_1028 transcript:Al_scaffold_0008_1028 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:D7ML15] MYAENSRGVGRKRRPGAEASSRKEALVRLKANRKGGRRNGGGCEIRLEKPIFDTVGDEEYQALVSRRREEARGFVVEDGDLGYVDEGEEEDWSKPSGGAESTDGESDDGGGRLQRRRKTEKKKVNLSLKAAATITGEGRLSSMFTCSSFKKTDKVKCESILDEVLAEVSPDDIDRERHHKRRKQQPATLNKKNLGMEMLDSPSIVSMEKEQATSGMEMQDSPSIVSMEKEFQVIPPHDSRQSDANEVFTLNALIDMEDKDPAFTATAGWKEAMGKGGTYENVVPLASAVNECQTEFDLDAHGSLLFYILDAYEEPFGASMGTIHLFGKVKMRDTYKSCCAVVKNIQRCVYAIPNDSIFPSHELMTLEQEVKESRLSPESFRGKLHEMASKLKKEISQQLLKLNVSNYSMALVKRNYAFDRPDVPAGEQYVLKINYPFKDPPLPEDLKGESFCAVLGSHTSALELFILKRKIMGPSWLKISIFSATSPSQRVSWCKFEVTVESPKVITVSIPQEKTVHPPAVVTAINLKTIVNVKQNISEIVSASLLCFHNAKIDVPMLGPERKRSGVLSHFTVVRNPEGTGYPIGWKKEVAVRNSKNGCSVLSFENSERALLNRLFLELNKLDSDVLVGHNISGFDLDVLLQRAQACKVLSSMWSKIGRLKRSFMPKLKGSTNFGSGATPGLMSCMAGRLLCDTDLCSRDLLKQEVSFSLTDLSKTQLNRERKETSPNDIPKMFQSSKTLVELIDCGETDAWLSMELMFHLSILPLTLQLTNISGNLWGKTLQGARAQRNEYYLLHTFHSKKFILPDKIPQHRKERKASKRRINHGPEDHSVDELDFDLALENDPSKGNKTKKGPAYAGGLVLEPKKGLYDKYVLLLDFSSLYPSIIQEYNICFTTIPRAEVGVPRLPSSQTPGILPKLMEHLVGIRKCVRLKMKKETGLKCRELDIRQEALKLAANSLYGYLGFSNSRFYAKPLAELITLQGREILLRTVDLVQNHLNLEVIYGDTDSIMIHSGLDDIEEVKAIGAKAIQEVNKKYRFLKIECDAIYKRMLLLRKKKYAAIKLQFKDGKICEDIERKGVDMVRRDWSLLSKEIGDLCLAKILYGGSCEDVVEAIHNELMKINEEMRNGQVAVEKYVITKALTKSPEAYPDSKSQPHVQVALRMRQRGYKKGFSAKDTVPYIICYEQGNTSSASSAGIAERARHPAEVKSDDSRWLVDIDYYLAQQIHPVVSRLCAEIKGTSPERLAECLGLDPSKYRSRSNVTTGSDPSTSLLFATSDEESNKSKTAESDSIFWLKLHCLKCEAEGSTRRISPAMIANQVKRQIDGFVSTYYKGIMMCDDESCKYTTRSPNFRLLGDRERGTVCPNYPNCNGTLLRKYTEADLYWQLSYFCHILDTQCSLEKMDDGVRIQVEKAMMKIRPAVESAASVARSIRDRCAYGWLQLTDIAI >Al_scaffold_0008_1029 pep chromosome:v.1.0:8:11205937:11206773:-1 gene:Al_scaffold_0008_1029 transcript:Al_scaffold_0008_1029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML16] MRVLVNCPLGCSGLILEGISGYFLVWLVWIIEAARRKLRFFSDHGWMRFQWFVYGFVSWICLWESLQKLYLRRSTVSISPLGDGVLQELVLYFGLDRSFWIVAALMEESQCRIRGKVKDSPFGSRNFWRSSGQAKSRDIGIDISSVLGIRIRLIMRIRNPCRRSTVWDLISIFNFNKLYLVESHCSSGDLAIFYNVRIIFEFHNACTRIIAVQVLIGDKVLFLFAVFGDTIRLGISSLIGDWVYIKNIQGNEDHNPMH >Al_scaffold_0008_1033 pep chromosome:v.1.0:8:11280237:11281245:-1 gene:Al_scaffold_0008_1033 transcript:Al_scaffold_0008_1033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML21] MAYSRHPCHPPVFEGKKPSVPSKAPSSQEFVDLHSESQQEHGIFEPKGTAKRTKHESCPLPRNPSTRSAKNAKEVGSPLPSRNKPASKKTKENPNPLLLLMFLGPLKIQVDISASPIQSSYGEEVHIYFFNAIPFYVLIYLIDIP >Al_scaffold_0008_1034 pep chromosome:v.1.0:8:11287000:11287658:-1 gene:Al_scaffold_0008_1034 transcript:Al_scaffold_0008_1034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like (DEFL) family protein [Source:Projected from Arabidopsis thaliana (AT3G33187) TAIR;Acc:AT3G33187] MYVPSGTGSRLLFLVKASFNTFEKVATISSTLIYFVSHKLVCSRSSLHNLRNICGYIRYNCRKCIGFCFLEF >Al_scaffold_0008_1039 pep chromosome:v.1.0:8:11308954:11309571:1 gene:Al_scaffold_0008_1039 transcript:Al_scaffold_0008_1039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7ML28] MENLPERIFKSGEEPDGDRVHKYFQLQYLNDLSEHLEADKISKIRGSRMGKLFDIGKKFSFSNKIVTGLRCDPISIGKADGKKKVSKNKIKKKSIESPYWFTLFARNEEVTPEILIKLLKSGGVRDPNTRLKYALLVMIDGVLCPRSLNMKIQEETVEVLRDVDKFLNHPW >Al_scaffold_0008_1040 pep chromosome:v.1.0:8:11311564:11312122:1 gene:Al_scaffold_0008_1040 transcript:Al_scaffold_0008_1040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7ML29] ANLEVGLNERRVVFQRTKFASLVLAHRFKFEKYVKKNYVFDQDLMSCFPLNFDSLYFPFNFDKQHWKVRRDTRLRKEMEPLLEMLPFVVRQVSPQLMKAVPSDPFILNRDSLLPTCLNPSESGLTSVLFIERHAVGELQAAREVRPEDLAVQAKQLLIEMYDVYVEK >Al_scaffold_0008_1045 pep chromosome:v.1.0:8:11361744:11362345:-1 gene:Al_scaffold_0008_1045 transcript:Al_scaffold_0008_1045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML31] MRLHEGDGIDTITTLLKQEYNITDVETRLKLTFRWPSWMLLVDEGTTRPQTIKMDNDMDLFMSMKKERRQGCNGITYALQQCEFVVPATMIHEDYVIVDLASSDSNVDSRDSSRPDPWNERLDGLMSKKISNLEVGSSSTVPTYANDENTFFDMDLSMRVFGRDTASVLDNNIVRGNDLVIYMYVLLF >Al_scaffold_0008_1046 pep chromosome:v.1.0:8:11363918:11366172:1 gene:Al_scaffold_0008_1046 transcript:Al_scaffold_0008_1046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML32] MIHEDYVIVDLASSDSNVDSRDSSRPDPWNERLDGLMSKKISNLEVGSSSTVPTYANDENTFFDVDLSMRVFGRDTTSVLDNNIVRGNDLVIYIKKGCEDRMFAQDARLRGTTGPLAACPYRGI >Al_scaffold_0008_1047 pep chromosome:v.1.0:8:11368488:11371248:-1 gene:Al_scaffold_0008_1047 transcript:Al_scaffold_0008_1047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML33] MIKNTIKKKTIEAPYWFTLFVKNEEVTPEILIKRLKSGVVRDPDTRLKYPLLVLIDGVLCPRSLNMKIQEETAEVLRDVDKFLNHPWGRILFEMTMSCIKSRKASGLAQTIFVVQDFVHALQLVLLEDVPDIEKSMPVDTPVFVSDDSEEEEPKEKVEGKNVVKSRKRKKSPVCGSSSDGSQPVPFSESQMEWLAKQISSQVSLVVSSMEDRLVSRLKGKSGAALVGGKVVAPVSKGKVKPPSRAKKTVLPKRKRMRVDGRLRQIRDDDETETATNLVGDESETASGNGGEDVRTASQKGEASVIMEDVETEERGGKGGRMLERLVNKEMVSEYLAPDPEHEDVVVLSGDDLNDQEAAAKSGDGLKEQDGGGKSGDGFNDQDAAAKSGDVPKEQDVNDDQDAATKNVNDDAECQGKESREGDAIDKKWLEVEKYLADGGKINFGRSLFLVAKDVEEIVGLQVVMGTWMMDAFIKYFCDKWATFEGSKFAFLVLGHRIKFEKSVKKKYVFDQDLMSCFPLNFDTLYFPFNFDKQHWVGMCFDIRGRYLYLFDCNKKVRRDTRLQKEIKPLLEMLPFVVRQVSPQLMNSVPTNPFLLSRDTLLPTCLHPSERGLMSLLFIERHAVGGIEASRGVRPEELAVEAKKLLIKMYDGYAEK >Al_scaffold_0008_1053 pep chromosome:v.1.0:8:11401509:11402506:-1 gene:Al_scaffold_0008_1053 transcript:Al_scaffold_0008_1053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7ML39] MGSTMIPFTSDSCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVKHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMHC >Al_scaffold_0008_1054 pep chromosome:v.1.0:8:11404025:11406001:-1 gene:Al_scaffold_0008_1054 transcript:Al_scaffold_0008_1054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML40] MKYTGSGCGDGVLSQFLDVTSDSSCLPDVEENVKEYLDDVSAAVDLSSVLHVEASAAVLSFDEFKDVLSDLGALSADKDYVLAVLYLESRTQLKSLYLRYLLSRYLQNYADLRMKYFGDGRFVAAGMKPYPSDGLECDEILMNTNEDTLECINDIVISSWRLCPVYLRLRFAESLILYKSINVHTVWDACYRVLSGDVLAEQKIARNNPGLELSDVQLEWYGFRVMRKVMGDLGFHHAGFEGVRLGIVRRLLTYKCDDMSM >Al_scaffold_0008_1055 pep chromosome:v.1.0:8:11406890:11407966:-1 gene:Al_scaffold_0008_1055 transcript:Al_scaffold_0008_1055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML41] MESFRCHGWPFDVMTYNRNMFTISSLNPLIHEWSTCVKILHVWHELDDVSNALNLILMDNQGTKIRAVIRESLVTRFSPLLIEGLWMILRKFSLIPDVDLVRTTPHRFKIQFSPDTCVEYLNYLACDYDYFNFARFRDIRTDLVGKVDNVNDIQLVQMVGSSKDISVVYFDLIDTENTRLSIRLTGETAVRFHRQWKVNTDDVVICIIRFAKIVATSNRMWHCTNFGCSKIMVDAPLPGVVELKEWWADYCGSPRTGVKEARLA >Al_scaffold_0008_106 pep chromosome:v.1.0:8:528759:529082:1 gene:Al_scaffold_0008_106 transcript:Al_scaffold_0008_106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MPZ2] LNSLFFFVYIILILGSMVNEIQGQTMCHEILPETDCGVGSCTALCLQLWRGTGKCVRTNDRRLICLCNFECIV >Al_scaffold_0008_1067 pep chromosome:v.1.0:8:11506567:11506962:-1 gene:Al_scaffold_0008_1067 transcript:Al_scaffold_0008_1067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MLL9] YSISVEQEGDLKYTLYGVIVHEGELISSGHYYRNVRTSSAWQGNTNWSAKLKQSKLGLRREQWLSQRSSYGELEIGDTYNEMEKKN >Al_scaffold_0008_1074 pep chromosome:v.1.0:8:11545323:11547045:1 gene:Al_scaffold_0008_1074 transcript:Al_scaffold_0008_1074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLM5] MVNLFLSEPKRNDVAYNSSNNINVILPLLNKLGSQIQSLVTHGARSEARLWLCSALSTISISPRKQLSIFMKLLRSKPRKMQFLSQFLTMMFEKRPRKLGSLLAKRSYILEKFFEGNTKRILEWFSEFAYDGGSDHKRGAKALAQFAFANRDICWEELEWRGKHGQSPAVVATKPHYLLDLDVQRTIENFLDNVPEFWSSNEFAESLKDGQILFLDTKFFIDLFIGFMYEEDMDDVWDVVEEFLREESFSSLTQHLLITLEERDLCRFLELLGNYFEPSIKSWDSGDSSCWLGVVLSRYVDTESIDELLLLNSIINQGRQLLRLVRDENGNDEGEMLKETMAEICRGLENGNSFSLILRELSKMKHIEVIKLLGLLSWTIHFRLSEECQTPDSWELLFRENGIEFRSSGDHSLLSHNGFSEESESDSDSRSHVSKKRHKREKKKRKMKKKMRAFDDDDDDDDLGDDELLGLRQISRSWLLSTDGFSATWTSVDLPEYIARYCLSTWMKWLLARQK >Al_scaffold_0008_1080 pep chromosome:v.1.0:8:11597179:11597971:1 gene:Al_scaffold_0008_1080 transcript:Al_scaffold_0008_1080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLN1] MSMTHLLKPAGTSHPVSLSTTAKNSRTCTAIPTSGLGKQTDCNYSPQEDNCHIRNLQHAFANASFSPTDKGYSAPVQEPSIEQIFNSPQQVIDLSSSADESTVEFTTPTVSVTRKSVERKAVTQTINLPKKRGRPRLAFPTKRAVAKAKKTC >Al_scaffold_0008_1090 pep chromosome:v.1.0:8:11658718:11661111:-1 gene:Al_scaffold_0008_1090 transcript:Al_scaffold_0008_1090 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 [Source:Projected from Arabidopsis thaliana (AT5G48450) TAIR;Acc:AT5G48450] MRCFPPHFWCTSVVLFLSVTGTLAADPYVFFDWTVSYLSASPFGTRQQVIGINGQFPGPILNVTTNWNVVMNVKNDLDEPLLLTWNGIQHRKNSWQDGVLGTNCPIPSGWNWTYEFQVKDQIGSFFYFPSTNFQRASGGYGGIIINNREIIPVPFALPDGDVTLFISDWYTKSHKKLRKDVESKKGLGPPDGIVINGFGPFPYTRELASNNFPFGTINVEPGRTYRFRVHNSGIATSLNFRIQNHNLLLVETEGSYTVQQNYTNMDIHVGQSFSFLVTMDQSGSNDYYIVASPRFATSVKASGVAVLHYSNSQGPASGPLPDPPIELDTFFSMNQARSLRLNLSAGAARPNPQGSFKYGQITVTDVYVIVNRSPEMIEGRLRATLNGISYLPPSTPLKLAQQYNISGVYKLDFPKRPMNRHPRVDTSVINGTFKGFVEIIFQNSDTTVKSYHLDGYAFFVVGMDFGLWTENSRSTYNKGDAVARSTTQVFPGAWTAILVSLDNAGMWNLRIDNLASWYLGQELYLSVVNPEIDSDSSENSVPDNTIYCGRLSPLQK >Al_scaffold_0008_1096 pep chromosome:v.1.0:8:11697913:11698397:-1 gene:Al_scaffold_0008_1096 transcript:Al_scaffold_0008_1096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MM19] KRPKTKTSENKISSVQYTGKHELRLLDPTLARTAPLWLQPRHQGKPPQPGPNTSSPQPSKKKESKLTLTSTDAHPQTSPRANLTSDPAKEKPATAAEESLAQTNHLPPRSGQGTPAAHHLGSGQRTTVNPFAKW >Al_scaffold_0008_1099 pep chromosome:v.1.0:8:11719225:11720944:-1 gene:Al_scaffold_0008_1099 transcript:Al_scaffold_0008_1099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM22] MVLVNIWLPSRHSVANISGSSSRKDIFSGNVLVVHKFDDPRKAVDLMSSKVNETYDCWYTLGIPKIKLYRNSFFGCQANDLSFTDIIKQYSNVLSIMSCLGAVIHRIDPLSLSWLNGKATPKYWKDDVIAGIVSGFATSIITVFIVRILRHVKSWFPRACCSVKSQFSKVNLLVQVKRCMFASCLFLGSRMNGDTILDGLEEATLFGS >Al_scaffold_0008_110 pep chromosome:v.1.0:8:565597:566808:-1 gene:Al_scaffold_0008_110 transcript:Al_scaffold_0008_110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPZ6] MGKTKTSGIRECRSDEFLPEEYSDNDEENNESHEENNYEENGDTGPLQSQQREYANNWRDKITASMWVEATGTGSIP >Al_scaffold_0008_1100 pep chromosome:v.1.0:8:11756530:11757339:-1 gene:Al_scaffold_0008_1100 transcript:Al_scaffold_0008_1100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM23] MATLTNKEHFSGGLAKVLAKKWKVDVMLKAKNSDEGSAISAHKLILASRSEVFRKMLELEEVKTSTKQVETITLSDMKQEELEAFVEFIYSDGTMLSANVKQHARSLYLAADKYEIMHLRDLCRTKLISSLSLSNSLDLLELAQIPFDKVLHDAAFSYIKKNIITIASSDEFKLFVASNPNLSVEIMKASLTCTAVSCSNCGYYNNPLSGSCCNCGRFGTLRWISVVSL >Al_scaffold_0008_1101 pep chromosome:v.1.0:8:11767296:11772343:1 gene:Al_scaffold_0008_1101 transcript:Al_scaffold_0008_1101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MM25] MYGGFDVGLTYALLIGGITLDSIALIMFYASDWTYVRLRTMKDEVDDTDTNPGVKSHDVLDTMFLFRRWSEYVHAHNLIGYCLWIKPWRLHHTKGLIHLHIFDRLVTRYVVRVIVVPLLHILHSSAIGLCKKTYYVSDRERGDWTRRRRTEKKREKLLRYVMDMDYDQSFLVWHIATKLLYQTKEASELSKILSDYMIYLLMMQPSLMSAVVGIGKIRFRDTYEEAKRLFDTRHITQLLMSSEEASFAILSVSVPAKAEPIDVKEDRSKSALFDGAMLAKELKSLNKNGDDTEMWRVVSQVWVELLCYAATN >Al_scaffold_0008_1103 pep chromosome:v.1.0:8:11778315:11779677:1 gene:Al_scaffold_0008_1103 transcript:Al_scaffold_0008_1103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MM27] IESEEDKLWKADVRETIEELAARGKRFLNLWTRKEKEIAIVTHCGFLFHTLNALQNECHPDVKKEICSFANCELHSIVIVDRMLGSDTSVTDYSGKIPKGSDLPSDAVVDESTSALHILTSFTKQMLEELRDKSKSVSEKLGLKPKDDKRPSYLGVHHPDTLMMC >Al_scaffold_0008_1104 pep chromosome:v.1.0:8:11781207:11781672:-1 gene:Al_scaffold_0008_1104 transcript:Al_scaffold_0008_1104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM28] MKLTLFLWAGIVFCLCFSHRSLSLTTVTGKRIPIHPPSQACLSLIIISVNDVTPKSQTSSHDKLTEKRDRTYIYDLSLRVIGNKGPVVHHALVSCWRKNSQQIIDEIEEAKEAEIEEENAKKAEHTQRR >Al_scaffold_0008_1105 pep chromosome:v.1.0:8:11784763:11787028:-1 gene:Al_scaffold_0008_1105 transcript:Al_scaffold_0008_1105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM29] MKARNPDSDRSSNGEDETIKNGEDDWVTKSFDLTEQMELRRSYAEDAEEEGEAERRIHGLDNGTSLVLAIYQMVIHRFYPHSKRELQEELGVKLPNDALKRYSFFYRNDQSNPICKDGKQVNAARYAYLIREQRKLIFNSSNNLSLLLQLRGIHFHGLFLVRHNFSSSLLRFKNSTLRILRSLKERIKRLDKSKRKRRTKWMSKGRCEQGKEKEKEGKSFAPMNTAAPDSRDLNWNAQRQSFDAERYTRD >Al_scaffold_0008_1107 pep chromosome:v.1.0:8:11792573:11794047:-1 gene:Al_scaffold_0008_1107 transcript:Al_scaffold_0008_1107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MM31] VKEPVFGLHDKRILLDGSGNPIVTLREKIRWQVFRGGSTEQRDLLYTVKRASMLQLKTKLDVFLGHNKDEKRCDFRVKGSWLEHSCVVFAGESDAIVAQSVFLGKDNFSVTVYPNIDYAFIASLVVILDDINRENRAA >Al_scaffold_0008_1109 pep chromosome:v.1.0:8:11799121:11799488:1 gene:Al_scaffold_0008_1109 transcript:Al_scaffold_0008_1109 gene_biotype:protein_coding transcript_biotype:protein_coding description:low-molecular-weight cysteine-rich 1 [Source:Projected from Arabidopsis thaliana (AT5G48543) TAIR;Acc:AT5G48543] YETIADSTMAPLRVKVISAAPPWRQNYKLYSCQCKHQVVNPKTGLCVLKDCESLCKKRSKGLEGICWKFNAQGKDPKQCKCCGLWPPLY >Al_scaffold_0008_1110 pep chromosome:v.1.0:8:11819667:11821160:-1 gene:Al_scaffold_0008_1110 transcript:Al_scaffold_0008_1110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM34] MRSCAGEKKATLSEVEENSVQRPIGVKAVKALAKSKGKRKSKVSEASSVAELQGLWEVKEKDFAVKERLSKKKLLDSLLGRSNGLSDMEIEPKNILIKEYLSGSNEFVFENEYSGL >Al_scaffold_0008_1115 pep chromosome:v.1.0:8:11872309:11872677:-1 gene:Al_scaffold_0008_1115 transcript:Al_scaffold_0008_1115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM38] MEIINTFKFTRSVAGKIIGGRKKEEGEESMKLTTQQQKEIKEGILKWETVITRITNTIVVSTTNSSSMENSTRRKRSSSGSISKSSGSFNSGNTLTDTTGKAVPYLGFETMVYGLSSFRIVN >Al_scaffold_0008_1119 pep chromosome:v.1.0:8:11959557:11961494:1 gene:Al_scaffold_0008_1119 transcript:Al_scaffold_0008_1119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM43] MVEKLCSDTENETHISNRLIACFTSFLTRNSIGFDAKKHGSERVRNFLEDVKDLVYDAEDILESYVLNKSRAKEKGIKKHVRRLACFLTDRRKVASEIEGITKRISEVIGDMQSLGIQQIIDGGRSLSLQDRQREQREIRQTFAKSPDHDLVGVEQSVEELVGHLVENDKIQVVSISGMGGIGKSTLARQVFHHDIVRRHFDGFAWVYVSQQFTQTYVWQRILQELRPHDGEILQMDEYALQGKLFQLLQTGRYLVVLDDVWKKEDWDRIKAVFPQQRGELLWYIYEALSLFSSYK >Al_scaffold_0008_1120 pep chromosome:v.1.0:8:11967569:11969207:1 gene:Al_scaffold_0008_1120 transcript:Al_scaffold_0008_1120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM44] MEAMGKEMVKHCGGLPLAVKVLGGLLVNKHTVHEWKRVSDNIGDQIVGKSCLNDNSLNSVNRILSLSYEDLPTHLKHCFLYLAHYREDSKIYMWNLFNYWAAEGICYGSTIRDSGEDYLEELNIDMMREVCLSKAKEENFLQIIKVPTSTSTINAQSPSRSRRLTIRSGKAFHILGHKNNKKVRSLIVLGLEEDFWIQSASVFQNLPLLRVLDLTEVKFKGGKLPSSIGGLIHLRFLSLDDAGVSQLPSSMRNLKLLLYLDLSVAAEEPVHVPNVLKEMLELRNLVLPHKMHDKTKLELGDLVNLVHLWYFSTQHSSVTDLLRMTKLRYLSVSLSERCTFETLSSSLRKLRNLETLYLDFRYKTYTVDYVGELDLDFIHLKELGLRGRMSKIPDQHQFPPHLEHISLFDCRMEEDPMPILEKLLHLKSVKLGSDAFVGRKMLCSKGGFPQLRALEINEESELEEWIVEEGSMPCLCTLNIDDCKKLKELPDGLKYITSLKELKIKRMKREWKEKLVPGTHSRCSIYQL >Al_scaffold_0008_1127 pep chromosome:v.1.0:8:12009255:12010309:-1 gene:Al_scaffold_0008_1127 transcript:Al_scaffold_0008_1127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM52] MELTIQFQLHKRWCILHKELYYIKLMPIAFIANDFDFIEKLQKLLKIAYIVFFATSIRIRQNLLKSRIEDETIYLNFL >Al_scaffold_0008_1137 pep chromosome:v.1.0:8:12083354:12084438:-1 gene:Al_scaffold_0008_1137 transcript:Al_scaffold_0008_1137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM60] MELQKDMPLDITPISQITLGTDPCKINVRIVRLWGFPKKDKLEEFTGIDLLLMDEKAEINFVDVEKLDNNEDNAYNVSTPKPTTKRSLATSKDVQQSSTKPKLMSKAQIKKEK >Al_scaffold_0008_1142 pep chromosome:v.1.0:8:12117673:12119882:1 gene:Al_scaffold_0008_1142 transcript:Al_scaffold_0008_1142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMK7] MKAIGKIGRKFEGFVPLTRRWLSSSLEDGRKYERLPNSKTSTNKLVSRCSKELSFTLQIVRIDIKLANEDVTMIALHPRISQPLKFLLTEMVTKTVLKQQVHKFNHRVIR >Al_scaffold_0008_1146 pep chromosome:v.1.0:8:12135291:12137226:-1 gene:Al_scaffold_0008_1146 transcript:Al_scaffold_0008_1146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMK9] MWSSRTFVVVLCFLFVIAPYFTRATTDGVRARCDSKQFRNGKHFRSCIDLPVLDSYLHFSYVRESGVLDVAYRHTNIESSSWIAWGINPTSKGMLGAQTLLAYRNSSSGFMRAYTSSIKDYSTMLQEGPLSFHVTQLSAEFLNGEMTIFATIVLPTNTTVVNHLWQDGPLKEGDRLGMHAMNRDHLKSMATLDLLSGQFTTIKAANDNMLLVKNIHGLVNAVCWGIFMPIGVMAARYMRTYKGLDPTWFYIHIFFQTTGYFGGLLGGLGTAIYMAKHTGMRSTLHTVIGIFLFALGFLQILALKARPDKNHKYRKYWNWYHHTVGYVVIVLSVYNIYKGLAILQPGSSWKIAYSTIIGVIGLFAIVMEILQFNKRWSGLCCKKSKDLEADQTASIDV >Al_scaffold_0008_1147 pep chromosome:v.1.0:8:12137738:12138721:-1 gene:Al_scaffold_0008_1147 transcript:Al_scaffold_0008_1147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MML0] MVKIGPWISRVFHYHHCYLRELQIDAGAAYTPIPHELFTCKTLVVLKLQGESIKVEGLTTVCLPSLKTLHTDHSIIFSSVPLKLLLSNCNFLTDLKIIWISGVCLFEFDVSWCKKLVALKLEGLMDVISLSSSSAVCLPFLNTLHVTHIWKLNNDFFCRLLSNCPLLSDLTLEEKTSNVMLDLNIVLPSLQRLSIITKFNSSTHLCSLLENCIGKLAIIAPSFKYFTIQEDMSLSILIPFYVRVRLGDPSKLEYTSVFDRIVHLEQSICDEISQRTLVDLLQRFTKLVVLKLEN >Al_scaffold_0008_1150 pep chromosome:v.1.0:8:12162856:12163551:1 gene:Al_scaffold_0008_1150 transcript:Al_scaffold_0008_1150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MML6] MEKTEWSDLPEELLDLIANLYSSNIDVLRIRSICKYWRSTVTMSKECLQFCFYSYLPTSNKKIKAHLFPTTFFRITLPSSCPNKGWLIRTSQASKYCKITLLSPISGELIIHSHQTLDLLKVGVSEIRQSYEIIKFDGLKDEEIPSDIFSNYDMKYDKKPSEGSRSWTKINNQVKDFLDIILHMGHIYAVDLKEQQTPSTPLD >Al_scaffold_0008_1151 pep chromosome:v.1.0:8:12167384:12169233:-1 gene:Al_scaffold_0008_1151 transcript:Al_scaffold_0008_1151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MML7] MKRGRDHRRIHRRVYNNNFDYLLDVPKDGAKKARNYRMEKLRARRTAFRNRLSMESINRTRVIAAYENMISDELRALLNSRPPKDVCCRARARRVSILKSRRIKARTRPPETLVLPIYEDDYVHFLATDSDSDHGDREDQTDDDNAMDYDFVEHLDNADECIMEQEEKGPGKQIKKSIVKTLKDMLDANNPHVIAFRSARDRLAQCEDTSGFKLILKNHRDSDGRVQNIPTTDEVAGLIVGDINPKPRDVVLQANSGGFQRISELHPSYLPLQYPLLFPYGEDGFRL >Al_scaffold_0008_1153 pep chromosome:v.1.0:8:12179727:12180933:-1 gene:Al_scaffold_0008_1153 transcript:Al_scaffold_0008_1153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMM0] MDEHVDDLKPIKLCWSIQVKVLNKWRERSEAGYRALRMILCDKRGSMIEAKINSELITEYDSEFKDGDWISLHHFELDLVTGDMRTTRHAYRINFLPSTILNLIPDKDDLHYTLYPSSFLDVLDDKLIRTYLINLIGYVVDIEDIKYSDPSKRSAGYAMTSFKIKDANNYVLPCVAIGDLAEHFHDEWKRTRSSRVVCVLRWWGVYKVSGYMVIQSVGRCSRLDLDPDMPEVADFRVKSSASTGSSRYRA >Al_scaffold_0008_1154 pep chromosome:v.1.0:8:12181786:12182875:-1 gene:Al_scaffold_0008_1154 transcript:Al_scaffold_0008_1154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMM1] MARPRSSICVSDLIPSMNERMMGRFIIIRRFRCSSRLDTLELILADEKGDRIQASIGLDCLAYDSSRLVEGTWIFIKDFGLVDAVGSVRPTRHAYKILWNLSTSFKRTVVTASVDYFKFVRFEDVLAGLVDPSVCVDLIGRLMCVGNYDEDEGMNSTWKQIYLELENVRGIRIRCRLPKGYATKFFSGLKTCADNTILCMMRFARLELSRGDMRATTLCTCTELLFNPSCDEASRMRLAFASVERKLF >Al_scaffold_0008_1156 pep chromosome:v.1.0:8:12184779:12185848:-1 gene:Al_scaffold_0008_1156 transcript:Al_scaffold_0008_1156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMM2] MSQNSSHDITSDVDQPDVCVDFADLGPSMVTMRVKVRIIRRFVCPAYGARFVEFVLEDPKGQKIHAVIGGDVAQRFSSILIEGNCIILSDFVVRMALGRFRPSSHRFRLGSNALTSVNLIRPFSQSDNFHFAKFSDIKEGHLNPCFCVDLIGRLLVTFDFRRSESNRVNHRFFFSDERPKPDVFVDSFMNERKKWIGDFQILIVIFAKLEVIEGNVTATTACTCTQFFFDHECPEFLVMRRFFTRK >Al_scaffold_0008_116 pep chromosome:v.1.0:8:610164:610946:1 gene:Al_scaffold_0008_116 transcript:Al_scaffold_0008_116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46990) TAIR;Acc:AT5G46990] MFLRKLQKIQNPHYYKFCIASISENPESQQVKTIDELIGVGVKNAISNMTNVKGIVERILKERKYTSKLSEKMLRVCVKLYSEGTNLLIKCLGYIKLRDFDKLHNTIRHARVVPRECEMGFNDDNKQKSPVTKENDVLFDTVEIAQAFNYYAHVNPEI >Al_scaffold_0008_1162 pep chromosome:v.1.0:8:12225070:12226761:-1 gene:Al_scaffold_0008_1162 transcript:Al_scaffold_0008_1162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMM8] MGKYMKKSKITGDISVMEVSKATAPGVRTRAAKTLALKRLNSSAADSALPNDSSCYLQLRSRRLEKPSSLIEPKQPPRAHKSGIKESGSRSRVDSVNSVDSVPVAQSSNGDECFDNFVSAQVSCGENSFDFESRHSTRESTPCNFVEDLEIMVTPGSSTRSMRRATKEYTRDQDSVIPTTSELEEFFAYAEQQQQRLFMEKYNFDIVNEIPLSGRYEWVQVNP >Al_scaffold_0008_1170 pep chromosome:v.1.0:8:12278856:12279196:-1 gene:Al_scaffold_0008_1170 transcript:Al_scaffold_0008_1170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2R6] MKHISRTRQLSWLPFKRMMNKQMGLRDDLSYLKRRMMRSSFSLLSQTTEENTLVLAASGFTSTGSASTQEAVLLSQHSNHSLRMLTGR >Al_scaffold_0008_1173 pep chromosome:v.1.0:8:12290593:12291101:1 gene:Al_scaffold_0008_1173 transcript:Al_scaffold_0008_1173 gene_biotype:protein_coding transcript_biotype:protein_coding description:low-molecular-weight cysteine-rich 12 [Source:Projected from Arabidopsis thaliana (AT5G48905) TAIR;Acc:AT5G48905] MSRKLFSFFMVLVVLFSVLLIIPKTEAQKRCRQELEPGKQCVLANCRELCFKKLKGFGSCIEKPPGSAKYTCNCFYNCGPPGVF >Al_scaffold_0008_1174 pep chromosome:v.1.0:8:12297761:12309142:-1 gene:Al_scaffold_0008_1174 transcript:Al_scaffold_0008_1174 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:D7MMP0] MRSNVKWLAVPPRTEQPSSEQPSSEPNPTEQNIIKGGGVEEQQVPVESDKEEENSEKNEEEESEEEEGEEEDKEEDKEEDKEEEKEEGNEEGNEEGEKSSSDDGSRSLGEESSSDESKEDEIAVENAPENTMTKTMGKGKKRKRVADLVKDVDDGGAKLRTEQPSSEQPSSEPNPTEQNIIEGGGVEERQVPVKFDKEEENSEKNEEEESEEEEGEEEDKEEEKEEGNEEGNEEREESSSDDGSRSLGEESSSDESKEDEIAVENASENAMILCFLTSMFTCSSFYKGKETTDKVKCCESILDEVLAEFTPDDMDRERHKRRKQSATVNKKYLVHDSPSIVTMEKEQVVTEFEVIPPSDANEVFTLNAAIDMKDKDTPLRANAGWKEAMCTGGTENEVPLAFGSDSECQTEEFDLEADGSLLFYILDACEKPFDASMGTIYLLRKVKVKKGDTYKSCCVVVNNIQRCVYAIPNDSIFPSHELMTLEQEVKESRLSPESFRGKLHEMASKLKKEISQQLLQLNVSNYSMAIVKRNYAFDRPDVPTGQQYVLKINYSFKDPPLPEDLKGESFCTVLGSHTSALELFILKRKIMGPSWLKISVFSPPSQRVSWCKCEVTVESPKVITVSIPKEKTVHPPAVVTAINLKTIVNEKQNISEIVSAAVLCFHNAKIDVPMPGPERKRSGVLSHFTVVRNPEGTGYPIGWKKEVADRNSKNGCSVLSFENSECALLTRFFDELNNLDSDVLVGHNISGFDLDVLLRRAQACKVKSNIWSKIGRLKRSFMPKLKGNTNFGSGATPGLMSCIAGRLLCDTDLCSRDLLEQQLFVNRPFKDTAKPGQPNDIPKMFQSSKTLVELIECGETDAWLSMELMFHLNVLPLTLQLTNISGNLWGKTLQGGSAQRNEYYLLHTFHSKKYILPDKISQHMKERKSSKRRINHGPEDHNVDDPSKGNKTKKGPAYAGGLVLEPKKGLYDKYVLLLDFSSLYPSIIQEYNICFTTIPRSEDGVPRLPSSQTPGILPKLMEHLVSIRKCVKLKMKKETDLKCRELDIRQKALKLTANSLYGYLGFSNSRFYAKPLAELITLQGREILQRTVDLVQNHLNLEVIYGDTDSIMIHSGLDDIKEVKAIGAKVIQEVNKKYRCLKIELDCIYKRMLLLRKKKYAAIKLQFKDGKICEDIERKGVDMVRRDWSLLSKEIGDLCLAKILYGGSCEDVVEAIHNELMKIKEEMRNGQVALEKYVITKALSKSPEAYPDSKSQPHVQVALRMRQRGYKKGFSAKDTVPYIICYEQGSTSLASSAGIAERARHPDEVKSDDNRWLVDIDYYLSQQIHLVVSRLCAEIQGTSPERLAECLGLDPSKYRSRSNVTTCSDPSTSLLCATSYEESNKSETEESDSIFWLKLRCPKCEAEGSTRRISPAMIANQVKRQIDGFVSMYYKDIMMCDDESCKYTTRSPNFRLLGDCERGTVCPNYPNCRGTLLRKYTEADLYRQLSYFCHILDTQCSLEKMDVGVRIQVEKAMTKIRPAVESAASMARSIRDRCGYGWLQLTDIAI >Al_scaffold_0008_1176 pep chromosome:v.1.0:8:12322120:12322787:-1 gene:Al_scaffold_0008_1176 transcript:Al_scaffold_0008_1176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMP3] MQENEMGDEIDYLMRGQPEPLLVEVEVTEMGVRESGGQGNLEKDIGCSGGEGSVEMSIQMGQIRMRQNRDKFAGDKNRCQEEKMLQLLMTEKDKEEGLGCRVGEESPEISIQMGQRKMRQVGTNSQRTKTFDIDNVRTTWDTSGQSQRKIGLLEKRPDASAFDGGEGQGNLEEEVMGCSGGEESA >Al_scaffold_0008_1181 pep chromosome:v.1.0:8:12354237:12354706:-1 gene:Al_scaffold_0008_1181 transcript:Al_scaffold_0008_1181 gene_biotype:protein_coding transcript_biotype:protein_coding description:low-molecular-weight cysteine-rich 86 [Source:Projected from Arabidopsis thaliana (AT5G48953) TAIR;Acc:AT5G48953] MQNKKQSHIFIAITIVLLFAMAYKINAIDVHDAVCFRSECTSVCDTICLSKGYKNGWYCGTFRLHTGCCCLKKKELNQIIYPSKN >Al_scaffold_0008_1192 pep chromosome:v.1.0:8:12439303:12440886:1 gene:Al_scaffold_0008_1192 transcript:Al_scaffold_0008_1192 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5 [Source:UniProtKB/TrEMBL;Acc:D7MMR5] MASNSEAGGSADYETLMSTSDVELLKRAWRNEKAAPEILQYEGALVDRAKEQIELVEETIEDYVENGIDPLVVSLYQMDLDRTQFLLRSYLRVRLLKIEKFMFHNLKSEEAERRLSEQEKVFATRCADDLAKHFEETVLLKLPENYQSVLKQSLISEVDDTVPQPHLDTFVVCRSKNFVSLSLYEEGESPETVEMERGDLYFIRYKIVKRAIESGQIDLI >Al_scaffold_0008_1207 pep chromosome:v.1.0:8:12538272:12539835:-1 gene:Al_scaffold_0008_1207 transcript:Al_scaffold_0008_1207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MN64] MGLGHLLVYVVVLSAIAATVTSYPYSSPQTPQYNSPSHEHKSSYPPKKYSPYYSASPPPSPQYRRQGPKYTPHPKPYLYSSPPPPYYSPSPKIEYKSPPPPYVYSSPPPPYYSPSPKVEYKSPPPPYVYSSPPPPYYSPSPKVEYKSPPPPYVYSSPPPPYYSPSPKVEYKSPPPPYVYSSPPPPYYSPSPKVEYKSPPPPYVYNSPPPPYYSPSPKVNYKSPPPPVKDKLSYAKNDVVRPYGKNETLNLSSQGLQFYYTII >Al_scaffold_0008_1211 pep chromosome:v.1.0:8:12568216:12569076:1 gene:Al_scaffold_0008_1211 transcript:Al_scaffold_0008_1211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MN66] MEVLQIPVWVVLLMDVELESIGSEYWGTSMCCVWWKLTEEFKFCFERLSRGSMEIEYLVLNVRGIFMEFISSGKVRTKSDYSRSELFFRWASGVISGYVRGSLRNDFGRVLRLRKVGLTLLWWQRKELCHLMVEMSRFWASVLFPNQEGIKWYTDSQFWNVGDSISGIHDWRFCLIIGKGFSKRIKLNVLSLRRLNWCDMASFLSWHFRPLSRVWFLERGRYILFGKYGYYLRRQNKTKERNQGKECNNPMNHGTVKGVPGGGKPPKPKVDK >Al_scaffold_0008_1219 pep chromosome:v.1.0:8:12632308:12633585:1 gene:Al_scaffold_0008_1219 transcript:Al_scaffold_0008_1219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MN75] MRLEPRRILAHLMKMISLSKVTKSDEPKPKRLRIAKDTKKSSSTLNMPKRPLTGFFIFV >Al_scaffold_0008_1223 pep chromosome:v.1.0:8:12719924:12721076:1 gene:Al_scaffold_0008_1223 transcript:Al_scaffold_0008_1223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MN79] MNNSLYISHSNTTADKSTSSMFEPLHEPKISAALITFTVDEQKVNLLSSSPSSDDAMCLIFTPSPVTTPPARPKPHLQSVENLHLCRPELRRELNRRGYPSSIVVVVVDLVIDLCPQSKIRVCLNLSLPLSHI >Al_scaffold_0008_1224 pep chromosome:v.1.0:8:12727086:12728702:1 gene:Al_scaffold_0008_1224 transcript:Al_scaffold_0008_1224 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT5G49130) TAIR;Acc:AT5G49130] MVVEEDSRLINLQHKYNPTMPEVVEEMKRIWDISFPVAAMSILNYLKNMTSVVCMGRLGSLELAGGALAVGFTNITGYSVLSGLATGMEPLCGQAIGSKNPSLASLTLKRTIFLLLLASLPISLLWLNLTPLMLMLRQQQDITRVASLYCSFSLPDLLANSFLHPLRIYLRCKGTTWPLMWCTLVSVLLHLPITAFFTFYISLGVAGVAISSFLTNFISLSLLLCYIYLENNNNDKTSSKSLCLDTPLMLSGSRDSGVDEVWSTLVKFAVPSCIAVCLEWWWYEFMTVLAGYLPEPKVALAAAAIVIQTTSLMYTIPTALSAAVSTRVSNELGAGRPEKARTAAAVAVGAAMAVSVFGLVGTTLGREAWGKVFTADGVVLELTAAVLPVIGACELANCPQTISCGILRGSARPGIGAKINFYAFYVVGAPVAVVLAFVWGLGFMGLCYGLLGAQLACAISILIVVYNTDWNKESLKAHDLVGKNVISHVDQIIVKCEEGLH >Al_scaffold_0008_1230 pep chromosome:v.1.0:8:12777661:12777960:-1 gene:Al_scaffold_0008_1230 transcript:Al_scaffold_0008_1230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MN87] MEKKSQLILFPLPLQGCINPMLQLAKILYSRSFSITIIHTRFNAEKSSDHPLFTFLQIPDGLSESQTQSRDVLLQLTLLNNNCENPFRECLAKLIKPSF >Al_scaffold_0008_1238 pep chromosome:v.1.0:8:12826439:12826882:1 gene:Al_scaffold_0008_1238 transcript:Al_scaffold_0008_1238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKD6] MVFINFRGVELRHAFISHLVAAFEQHRINFFIDKDEQKGRDLKHLFKRIKESHIALAIFSRRYAQSKWCLNELVKIKKLADNKKLKVVPIFYKVKVGDVRHQKGEFGRNFWKLAKASTGEEIKKWKEALEFVSSKMGLPLGNKRYSS >Al_scaffold_0008_1240 pep chromosome:v.1.0:8:12844685:12847805:1 gene:Al_scaffold_0008_1240 transcript:Al_scaffold_0008_1240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNP5] MAIRSKKKNNQTENQENIPPNSEANPISPMQPNRVSPNSLSDITNVECESPRMKRMRIIKETKDKRKKGESSSAPSTNLDQNLDETTPNVDETTQNGKSNGNGFPCTAASRRKTNVHKEPYMQESRHDNHKEAPSKDHASSSRSHNSLYNSEDAKRTGQLPESKTLRDDIVEDIKKMLNEYNPYSKILRTAKDRFKDTPSSNVQLKLISRRTAGTLHHVKLRGGYLDLKYTIVVFIFKD >Al_scaffold_0008_1242 pep chromosome:v.1.0:8:12853553:12854823:1 gene:Al_scaffold_0008_1242 transcript:Al_scaffold_0008_1242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNP7] MKNGGYKRDRADLPPELISSILLRLHIVEILNNAQKVCRSWRRVCQDPSMWTKIEMRIPKNFDVWKDMCRHVFDLDAMCRHAVDLSRGGLLEIYIEFFGSDSLLTYIADRSSKLRRLGAIDGGIITSFGIFKAAVKLPLLEELEVTDSFISGDHLKVVGKSCPKLRTLMIRQLKLNRSRYLDCDDEIALAIAETMPGLRHLQLLRNGLSDAGLNSILDNCPKLEHLDIRQCFNVNLVGDWKKQCYDRIKVLRHPNDSIHEYDAVNSNTEDEVHEYDDVNSDTDDKEDEEEGYDYSYEEGYDYSYDSDEYVSNMAGDVHYHSYSYYD >Al_scaffold_0008_1246 pep chromosome:v.1.0:8:12894273:12895652:-1 gene:Al_scaffold_0008_1246 transcript:Al_scaffold_0008_1246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought-responsive family protein [Source:Projected from Arabidopsis thaliana (AT5G49230) TAIR;Acc:AT5G49230] MDSNSWINCPPVFSSSSSSRRYQSRSDLYLGDVEGEDDLKAEFMCPFCADEFDIVGLCCHIDVNHPVEAKNGVCPVCTKRVGLDIVGHITTQHGNVFKVQRRRRLRKGGYSSAYLTLKKELREANLQSFGGSSTFIPSSNIDSDPLLSSFMFKPPLAIPIAEGDSLAIVSPKDTPKSNIRQVSLSIEDQEKAKKSEFVRGMLWSTMLEDNI >Al_scaffold_0008_1253 pep chromosome:v.1.0:8:12955229:12955456:1 gene:Al_scaffold_0008_1253 transcript:Al_scaffold_0008_1253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MNR1] VTRKRSRQEDINTNADVNVRDNTLKTLQEGNSNGWIEEDESTDGGNCGKNGDNAEAAQKDSNLKNPVPTKSFGTDG >Al_scaffold_0008_1264 pep chromosome:v.1.0:8:13081483:13083082:-1 gene:Al_scaffold_0008_1264 transcript:Al_scaffold_0008_1264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNS1] MTSDNKLDSLIPNLFSEKRVGHSGKKRTSFTETLKPKVFQAASPQKEGSKTVGKLQPCQSKTVYFNCAPNFQVSLHDPTILNTLVLNVHLPEVKFQNERHEFNPRCLLQDDKGETTILAMHSKDTPKAGKGGLQRPNGGETNPTPRDPKEKSHEERKEIENFEGEKTTSEAVNDRLEPASAMARTTRTEAAIERDKNKQQNPKGEKI >Al_scaffold_0008_1266 pep chromosome:v.1.0:8:13087817:13088386:1 gene:Al_scaffold_0008_1266 transcript:Al_scaffold_0008_1266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNS3] MSLLEINATYPAVLEFVANLPPDAMPLAIQEAKPKHGNQLMKKKEYYDRFPRKTISGLFCFSEIGKCNIIVTIMKIDTDYSWYFFCCLKCHKTAYKIPKVENEIAKKGKKEMFWCPTCKEDTPKVVPR >Al_scaffold_0008_127 pep chromosome:v.1.0:8:660913:661257:-1 gene:Al_scaffold_0008_127 transcript:Al_scaffold_0008_127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQN3] MKTSAFFIVVLLILSCSSSMIMGVHYHENRCHDWKDCAIWCKQWVPQPKCINHVCDCKPKSLPTNDEIPQSTSSSNSNN >Al_scaffold_0008_1273 pep chromosome:v.1.0:8:13133118:13134303:1 gene:Al_scaffold_0008_1273 transcript:Al_scaffold_0008_1273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNT2] MSFVLGWYLPVIGSKCSTRFWLRTEKAERIKRIKRIKQNKSGSSRSGGTSADQAPVIGSKCSARFWLRTEKAERIKRIKRIKQNKSGSSRSGGTSADQAVRTLLNIY >Al_scaffold_0008_1276 pep chromosome:v.1.0:8:13158820:13158999:1 gene:Al_scaffold_0008_1276 transcript:Al_scaffold_0008_1276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNT7] MGFWTLMEGLLLFANALAILNEDRFLAPKDGRSLNSTKPTKETLLEAKSLLVSSMLTIT >Al_scaffold_0008_1277 pep chromosome:v.1.0:8:13160012:13161882:-1 gene:Al_scaffold_0008_1277 transcript:Al_scaffold_0008_1277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNT8] MYDDKSSFSSAKERCMMTSSSLRESSIPFSSVFTERCSTSCYCFHLPALNTRTLLISLTVLSSCISICSGLEDAVGFVSSFSAFDGRWPPDLFRLILCLYLGANLLGIKQKVSKIYSFNTPSSLYHRRRELIDLQRPTHLITNLSVESRIFSGVLRRSLPPCTTAKLSSASSAGSAASPKYRFSSFKSVAAICIIPPDLLPPFLQAVRRVPSQPRVRLDPTIWKAFLMLVLASPVSRCLCFVSKNLCRLRDETLNVVGRLLRPVAFGCNKSVFKFGKMNQGGQGMIGILTLELSFPDSINSSLEERHGFNFLFDERETSSASISHRRVTMELGILEKDSPPHLRESFLRGGTPYQ >Al_scaffold_0008_1278 pep chromosome:v.1.0:8:13162087:13162927:1 gene:Al_scaffold_0008_1278 transcript:Al_scaffold_0008_1278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNT9] MYILPGKGAGENFLREKPAIDLDPADLRVYAGQISDLEGKIGSGRLDQKRRESAAAGCKRWWLDLNGQGMEQLLMTPVRDERRRRIHGKGFECDPGPTPSPTSHGERLLEKTKEEETIRRRRAPPPPASEKGDSLVASPLTN >Al_scaffold_0008_128 pep chromosome:v.1.0:8:662412:663906:-1 gene:Al_scaffold_0008_128 transcript:Al_scaffold_0008_128 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G46870) TAIR;Acc:AT5G46870] MSMVTVKVSNVSLESTERDLKEFFSFSGDIAYLETQSENEGSKLAYVTFKDLQGAETAVLLTGSTIVDSSVTVTMSPDYQLPPEALASIESLKESNKSSSPSREDVSVFRKAEDVVSGMISKGFILGKDAIAKAKSLDEKHQLTSTASARVTSFDKRIGFTEKINTGTTVVSEKVKEVDQKFQVTEKTKSAIAAAEQTVTNAGSAIMKNRYVLTGATWVTGAFNKVTKAAEEVGQKAKEKVGMVEEEEEEKKKVVDAVASIHLTESPNALDQSEQDSKLSESQRQEQPQPQKELSPSVASAQS >Al_scaffold_0008_1285 pep chromosome:v.1.0:8:13188620:13188901:1 gene:Al_scaffold_0008_1285 transcript:Al_scaffold_0008_1285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MPC0] MSSKGHHSQQHPLHPNGFTYVVFGTKKHGNGKIDELERHIESLIWETVKERERECVGLDDYEK >Al_scaffold_0008_1286 pep chromosome:v.1.0:8:13189785:13191019:1 gene:Al_scaffold_0008_1286 transcript:Al_scaffold_0008_1286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPC1] MFGFIAAAGRNTEEHRLLSWELILVHTIVVVWSRKQRKKKDRDIANMISINEDLEREAGPRKFSSHRELGEGGFGAVYEGNLKEINTMATKERVLNEVKIISKLRHRNMVQLIGWCNEKNEFLLIYELVLNGSLNSHLFGKRPHLLSWDIRYKIALGLASALLYLHEEWDQCVLHRDIKASNIMLDSDFNVKLGDFGLARVLNHEHGSHTTGLAGTFGYMAHEYVTKGSASKESDIYSFGIVLLEIVTGRKSLERTQEDNSDSESNEKSLVEKVWKLYGKQEVMTSSRPSIKQAIQVLNFELPLPDLPLKRDVAMYYISITTTSSSSP >Al_scaffold_0008_1289 pep chromosome:v.1.0:8:13234727:13235104:-1 gene:Al_scaffold_0008_1289 transcript:Al_scaffold_0008_1289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPC3] MSMLKGLMWLESKVGKVSDLALAITILFFYLHVAGPSKLLLFKRDLRFSDGLLSIILTVFSKALGPNLWGRELGSIFCTEVVATYKKLMWGECCSSCLAVRQWMVRRHVPDGEAPLTGEETIKSL >Al_scaffold_0008_1296 pep chromosome:v.1.0:8:13280067:13281190:-1 gene:Al_scaffold_0008_1296 transcript:Al_scaffold_0008_1296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPC9] MEKAYRAERIKRIKCIKQNKSRSSRFGCTNADQAVERFKICVECGTSRFTVTPPLARCPIRSHRLRIEEAVQVERIKQNKCGSSGSGGTSADQAVLAF >Al_scaffold_0008_1297 pep chromosome:v.1.0:8:13286633:13287648:-1 gene:Al_scaffold_0008_1297 transcript:Al_scaffold_0008_1297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPD1] MSLDKKNQEHNKQQPDRTESETNPQQSPAINTNTSTPERQRAMAKLSSKKPLKTTEEKSSRNTNTYTRTKRTNQSHLQTKTAKRTTRQGGNTKLRANTLLQPDINGKPPPRPGPPKTEAPHLDRRIPNPRRNPREKHHHEQHRKTYNTPRSNWKLFIHTPLADPAPTRLHRRGGGTSG >Al_scaffold_0008_130 pep chromosome:v.1.0:8:667231:668508:-1 gene:Al_scaffold_0008_130 transcript:Al_scaffold_0008_130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MQN6] SISGELPSPETMVGTNYRDSDAFFNSDSICISDQYIMESYFTRHTSLGDSEFRNFLLLQQCSNKVHTQDSNIVPSTSLIQHRNITGEGSHRNLLTSIKFQLLHLHSESEFRELVIVERLPLGVFADPFELQSLQQRKVFSDVSVFGDTNLELPSFRSNRSVVEIHVEISSRDYENGGISLKLPLHARYQPLDDSGYSRVEFGGPDLFLCSSHVQNQRHEQRRCLVLLIGRLKTETRSVFWDIPAGIRGHTEYVSALTFAAAVLSAFSILVASVLSSKVESCKNSKQS >Al_scaffold_0008_1303 pep chromosome:v.1.0:8:13340972:13353881:1 gene:Al_scaffold_0008_1303 transcript:Al_scaffold_0008_1303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPD6] MAAGRSSSMPSRLRQLLSGEGSIGPSIRLDAEPPPEIKSFIDKVIQSPLSDIAIPLSGFRWEYSKGNFHHWRPLFLHFDKYFKTYLSNRNDLLLSDNILEDENPFPKHTVLQILRAMQIILENSPNKSSFDGLEHFKLLLASTDPEVLIAALETLSALVKISPSKLHRSGKLIGCGSVNSFLLSIAQGWGSKEEGLGLYSCVVANEKNQEEGLSLFPLDVENNQSEADFRIGSTVYFELRGHSAQRIDDGRSASACSRVIQIPDLHMRKEDDLVLMKQCIELHNVPTELRFSLLTRIRYAHAFRSSRICRLYSRICLLAFIVLVQSSDAQDELASFFANEPEYTNELIRIVRSEEPIPGTIRTLAMLALGAQLAVYSASHDRARILSGSSISFAVGNRMILLNVLQKAVLSLKISSDPSSIAFVEALLQFYLLHIVSSSSSGSTIRGSGMVPTFLPLLEYADPSHMHLVYLAVKALQKLMDYSSSAVPLLRDLGGVELLSQRLEVEVHHILGLPVENISDMVVGESLKINGDHLLSRKRLIKVLLKSLGSATYTPGNANRSQSSHESTLPATLSLIYRNADKFGGDIYYSAVTVMSDIIHKDPTSLTSLFEMGLPEAFLSSVVSGILPSSKALACIPNGLGAICLNSKGLETVKNTLALRFLVDVFTSKKYVLAMNEAIVPFTNAVEELLRHVTSLRATGVEIIIEIVDKIACFGETGSSSSSVDLTESTAMEMDSDVKETSGVSHEQFIQLCIFHLIILLHRTMENSETSRLFVEKSGIEALLKLLLRPSIAQSSEGISIALHSTIVFKGFTQHHSSSLARAFCSSLRDQLRKALEGLQDLSGTFLLDRKLSPSSGIFSSLFLVEFLLFLAASKDNRWVSALLLEFANGSKDVLENIGHLHREILWQIAFHEGATLESQNEKASSSPESEKAELGITATEGQRFNSFRQFLDPSFRRRTAGWSAESQFFDLINLYRDLGRASTGFQPRPITDGPSSSSDVTGKREHEKDGSYYTSCCDMVRSLSFHITYIFQELGKAMLLPSRRREDTINVSPSSKLVASTFASISLDHLNFGGHEILPEASVSTKCRYLGKVVDFIDAILLDRPDSCNPVLINCFYSRGVIQAVLTTFDATSQLLFTINRNRSSPMETDDVKGKQDEKEDSDHAWIYGPLASYGKLMDHLVTSSFILSPFTKHLLVQPVESGNIPFPQDAETFVKILQSIVLKAVLPVWSHPQFTKCSYDYVTTLISIIKHVYSGVELKNLGGSGSARVTGPPPNETTISTIVEMGFSRSRAVEALRQVGSDSVELAMEWLFSHPEEVPEDDDDELARALAMSLGNSESDTKQNVADETQQQIEAELVSLPPVEELITTCTKLLQMKEPLAFPVRDLLVLICSENNGEHRSGVISCLLSRIKDCCPVFDDTNNNLLSALLHVLALILHEDAGSREVALKAGIVRLVCDVLSKWDSGNIDKEKVKVPKWVTTGFLAIDRLLQVDQKLNTELIEELKKGEELKKGETSLTIDESKQEKLQSVFGSPQLVDVNDQKKLIEIACTCIRNQLPSETMHAVLQLCSTLTRKHSVAVCFLDFGGVQALLSLPSNSLFPGFDSVAASIIRHVLEDPQTLQQAMESEIKHALATLSNRHSNPRISPRNFLLNVNSVIARDPVTFIQAARSICQVEMVGERPYIVLVKEKEKSKEKEKDKDKAEKEKSQTSNDEKVATTPAPGSTKAPKVHRKPPQSFICVVELLLDSICTFIPPPKGDMVEGDSTLEDMDIDLASTKGKGKAVAATPEEKEANSQDMSASLAKMVFILKLLSEMLLMYSSSIHIILRRDAEINSLRGPQQKSGQVGGIFHHILHKFIPYSRVKKEKKSDSDWRQKLASRGNQFLVGASVRSSEARKRIFSDIGSIFNDFIDTCNGFRPPVNEIQILIDLLSDMLSARSPTGSHISSEASATFVDVGLVKSLTRTLEVLDFDNVESPKAVTGIIKVLELVTKEHVHSADSNSKDDNANKSSDQMQSGRGDTIVVASQAVERMLGSNLDSMTADHVENFGVSNTYVGSEALTDDMEHDQDLDEGFAPAEDDYMQDAAEDARGLENGVGSLGIEFEMHTHSQENLDEEEDEEMSGDEGDEIDEDENDNEEEDEEDNDLEEDDVHHLPHHDTDQDDQDDHELDDDEFEEEVLVEEDEEDDDDEDRVILRFEDGINGLNVLDHLEVLRDHRFSDETLHVMPVEVFGSRRQGRTTSIYSLLGRTSDGATPSQHPLLSGSASLPASQSQTENMRDLADGGRDSHSSSSSRLDAIFRSLRNGRQGHRLNLWADDSQQIVGSGASTVPQGLEDLLVSQLRRPSSDKPPDQNSSRLEHQSQAESGRSEEATIGPEIPAENAIDNGGANVSAPSVVSLDASTQPDTQATANESVSSPQSQSVEMQYDQNDSTIRDVEAVSQESGGSGATLGESLRSLDVEIGSADGHDDGAERHEIQPAMRSRRANLSLVPSSAGREASLYSVTEVSENSGQDAEQDNPPAEQPVNRDVSSGSIDPAFLDALPEELRAEVLSAQQGQVPQPSTNEQQNSGDIDPEFLAALPHDIRAEVLAQQQAQRLHQSQELEGQPVEMDTVSIIATFPSELREEVLLTSSDAILANLTPALVAEANMLRERFAHRYHNRTLFGMHPRLRRGEPSRRGEGVLSGNEGVASRRSAGKVIETDGTPLVNTEALQAMIRVLRIVQPLYKGPLQRLLLNLCSHGETRFSLVNTFMDMLMLDTRKPVNYSSVSEPPYRLYACQSNVTYSRPQHFDGVPPLVSRRVLETLTYLARNHMYVAKILLQSRLSLPSLRGSTPSDKAHGKAVVVSDDYIGSKQHEPESIAFALLLSLLNQPLYLRSVAHLEQLLNLLEVIIDNAERKSDSADRSDGSASQQQSTPQGLEVENNSENHDIISGSTGTITKPIDSSASSSTRADSECDVQSVLLNLPQSELCLLCSLLAREGLSDNAYTLVAEVLKKLVAIAPSHCHLFITELANAIQNLTRTAMSELHMFGEAVKALLSTTSSDGSAILRVLQALSSLMGSLITKGKNQPQNSEEHVAVLSQLSNINSALEPLWLELSNCICKIEGHSESATITISPSLSSTTRVAGVNQSLPAGAQNILPYIESFFVTSEKLHPSQSGSGHDFGFPMASEVEEQPKGSGPSSKVDEKYASFIRFSERHRKLLNAFIRQNPALLEKSFSLMLKVPRFIEFDNKRAYFRSKIKHQHDHHHSPLRISVRRAYILEDSYNQLRMRSTQELKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNDSTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYYKNLKWMLEHDISDVLDLTFSIDADEEKLILYEKTEVTDHELIPGGRNIKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFSELILRDLISIFNDKELELLISGLPDIDLDDLRVNTEYSGYSPGSPVIQWFWEVVQGLSKEDKARLLQFVTGTSKVPLEGFSALQGISGAQKFQIHKAYGSVNHLPSAHTCFNQLDLPEYPSKEHLQERLLLAIHEASEGFGFG >Al_scaffold_0008_1304 pep chromosome:v.1.0:8:13354910:13367198:1 gene:Al_scaffold_0008_1304 transcript:Al_scaffold_0008_1304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi-body localisation protein domain ;RNA pol II promoter Fmp27 protein domain [Source:Projected from Arabidopsis thaliana (AT5G49680) TAIR;Acc:AT5G49680] MAAFLVIFIFTIAVIVALLWVFFKSLPWILRRSAGITLSFQFDGWNCLKDVALHFKKGSIESIVVGEFKANLSQSLVELCATAFIQDPKVIFSISDLKIVTRPSNSSKGPRKPKTRKSQSGGKGKLMLFANMGRFFSFSMTNMVVQTPKATAEIKELELDLSKDRGSGNFFIKLYLLPIFVQIGEPHVTSTHSPEMNSDICLPKQTSSKTKEGSSSSSFHCEKLSFSCEFGHNRQSSPSIKNVEVDLADAVFNLNEKLLLKKKSLTSAASTGEVTESSSGNTTSEKPPKQPMNVLVAKHASKFPEKVLFDLSKLEIRFVHQEHDFSIANSINGFQLRSAKSQSGEDGEEDTCLDFVMELQEMHLFRESEVSVLEMTKFGVFSKVYCPIQESFPVRAEVEIKLGGIMSNIIMTRFEPLLRLHFSKKKKMVLKEERPTTAKSETTGFKAVVWKCATSAPDVTVVLYNPGGAPIYQCGLDSFQVTANNMSNRGTAVQMELNELNFCMVDEHKGCLKESLFGLESDPGSLISIRKVRSEWGKKEGVLPEGDGSKGKQTLVVDVSEIGLLFSFRSVEALTVNAMSSQAYIKSLTGASSKNRQEKGAPRSKPSGRGTQLLKLNVERFSLNFAGDSSLENTVIDDPKRVNYGSQGGRIIINVSADGSPRTASVFSTLSKEHEKLKYIISFEILKFGFTLNKEIQSTQVELETAKSIYQEFLEEPHQVSRVTLCDIQNAKFVRRIGGGKEVSICSLFSASNIAVRWEPDVHISMVELGLRLKSLVLTQKLKQHGNRNPEEASTVTGNRQKEEPTTTLNSVDKKKKKESIFAVDVEMLSITAEAGDGVEAEVQIQSIFSENVGIGVLLEGFMLGFCGCRIVKSSRVQISRIPSMPSTSSNATPATGGTPWDWIVQGVDIHICMPFRLQLRAIDDAVEEMLRALKLVTNAKTKLIFPIKKESSTPKKPGSKKVGRIRFGIRKLIFDIEEEPLQGWLDEHYHLMRKEAYELAIRSKFLDELISSGNQVPKTGGDESDSEKKISFEGEEIDPQDPAIIQMMNEKLYKQSFSSYYKSCQSLRLSDGSGACKDGFQAGFKMSTSRTSLLSVSVTDLDLSLTAIGGGEAGMIEIVKKLDPLCEEKDIPFSRLYGSNLRLNTGTLAVQIRDYTFPLLSTALGKCEGCLVLAQQATAFQPQIIHDVYIGRWRKVTMLRSASGTTPAMKTYLDLPIHFQKGQISFGIGYEPVLADISYAFTVALRRANLSLKGPGLLQPPKKEKSLPWWDEMRNYIHGNVTLSFSETKWIVLASPDPYEKLDKLQMTSASVEIQQSDGRVHFSAEDIKIFFSSFEGLARHYPNSPICPSIYPFLEVPRFSLEVRMDWECESGSPLNHYLFALPNEGKARDKIYDPFRSTSLSLRWDFTLRPENPSVSAVDQTKKVRSECKPEKSSFSPPTINIGAHDLAWLIRFWNMNYLPPYKLRTFSRWPRFGVPRIPRSGNLSLDRVMTEYNLRLDVTPICIKYMTLDSNNPAKGLTFDMTKLKYEICFSRGNQDFTFECKRETLDPVYQGIDLHLPKAFLRRDQHCSKPAQMSRTSSLSGSTDRVTSDNGTSTSDGTEKHPDDGFLFSSDYFTIRRQAPKADPERLMVWKEEGKIYREKVDARSTKERQSEPEENSHSDPSDDDGYNVVIADNCQRIFVYGLKLLWNIENRDAVLSFAGGMSKAFQPPKPSPSRQYAQRKLLESSQNHSESEVSQDDPVKQPSTGNGNLASQSKEPAEVLSPSSEPTKTENFASFPLGATKTGDSNGSEEEGTRHFMVNVVEPQFNLHSEDINGRFLLAAASGRVLARSFHSVVHVAYDMIEKAAQNENDLNPENGTDMTWTRMEVSMMLEHVQAHVAPTDVDPGAGVQWLPKIRRSSPKAKRTGALLERVFMPCDMYFQYTRHKGVTPDLKVKPLKELTFNSRNITASMTSRQFQVMTDVLSNLLFARLPKAHNDSLKISGEEDDEVEEEIDEVVPDGIEEVELAKIELEEKERDRMMLLDDIRKLTQSESNSGNINLEKESDFWMITGGRPVLVERLRKVYLSVQQSRKTAYTALRTSVKNAAELRLLEKDKNKRPSSAMRISLQINKVIWSMVLDGKTFAEVEIDNMIYDFNRDYRDIGIAQFTTRYVVLRNCLPNAKCDTVLSAWNPPPEWGKKVMLQVDARQGAPKDGQAPYELFQVEIYPLKIHLTETMYTMMWEYIFPGEEQHSQRREEVWKVSTTSGSRRRKGSFAQEAAALLSQSDLGQGSKNQNLKSSTIRGSGRELRRTSSFDRSWEETVAESVATELVLSSMEHQSESSKGKLKDSKTTKAGRSVHEEKKGEKSLEDKKSRPQKIMQFQTIKISQVELLITYEGSRFVVNDMKLCMDTFHRVEFSGTWRRLFSRVKKHIIWGVLKSVTGMQMKKFKDKTHVPKDEIGLRDKDESGRSDQDSGAWVKRPGDNAGDGFVTSIRGIFNTQRRKAKKFVLRTMRGETEDSFPGEWSDNESDFSPFARQLTITKAKKLIRRHSKKFQNQNTTKGSKKPQLSPTLSPPKEEDQYESDSSSGSSAYEEFLDQNQI >Al_scaffold_0008_1305 pep chromosome:v.1.0:8:13367637:13368043:-1 gene:Al_scaffold_0008_1305 transcript:Al_scaffold_0008_1305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MPD8] GVSVDPSKAIGEFPIETNGNPDIKGLQEADEDLASYLPVGMLSSSADDDYDDQEPLAKLANPSDTDFDLPSSFD >Al_scaffold_0008_1306 pep chromosome:v.1.0:8:13380345:13381489:-1 gene:Al_scaffold_0008_1306 transcript:Al_scaffold_0008_1306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPD9] MKAAMNPQLLEDDDDDGCGSDDLMDDDVCVTEQIGVEGVSTRTSELTLSFEGEVYVFPAVTPHKVQTVLLLLGGPTAVPTLELPFENSRGVGNNPRRSNLSRRLASLVRFREKRKERSFDKKIRYNVRKEIAQRMHRKNGQFASVREGSGGSNWESTQSGLQDVHTI >Al_scaffold_0008_1308 pep chromosome:v.1.0:8:13402714:13406112:1 gene:Al_scaffold_0008_1308 transcript:Al_scaffold_0008_1308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPE0] MESINRTRVIAAYENMVSDELRALLNSRPPKDVCCRARARRVAILKSRRIKARTRPPETGLVLPIYEDDYVHFLATDSDYDHGDREDQTDDDNAMDYDFVEHLDNADECIMEQEGEKGPGKQIKKSIVKTLKDMLDANNPHVIAFRSARDRLAQCEDTSGFKLILKNHRDSDGRVQNIPTTDEVAGLIVGDINPKPRDVVLQANSGGFQRINTNVLSIIILKRFVAAGMKPYPSDGLECDEILMNTNEDTLECINDIVISSWRLCPAYLRLRFVESLILYKSINVHTVWDACYRLLSGDVLAERKIARNNPVVSQKGVAFYYTRVGVIRCAIRVIWFSCYA >Al_scaffold_0008_1309 pep chromosome:v.1.0:8:13407713:13408847:1 gene:Al_scaffold_0008_1309 transcript:Al_scaffold_0008_1309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L476] MIPFTSDSCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKQRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENVME >Al_scaffold_0008_132 pep chromosome:v.1.0:8:690604:692115:-1 gene:Al_scaffold_0008_132 transcript:Al_scaffold_0008_132 gene_biotype:protein_coding transcript_biotype:protein_coding description:NACL-inducible gene 1 [Source:Projected from Arabidopsis thaliana (AT5G46830) TAIR;Acc:AT5G46830] MINSDDNLSMIEALFTSDLSPLPPANLSLETTLPKRLHAVLNGTNEPWTYVIFWKPSYDYDISGESVLKWSDGVYNGGDEEKTRERLRRKKTIPSSPAERERRSNVLRELNSMISGEAFPVVEDEYVNKDDDVEAEVTDMEWFFLVSMTWSFGSGSGLAGKAFASYNPVWVTGSDQIYGSGCDRAKQGGDLGLQTIVCIPSDNGVLELGSTEHIQQNSDLFNRIRFLFNFDGSKDFPGAPNLNSELFSFQLETGFSSTVTDNPNPSYNLNFSTSCSTSARASCGDVLSFSDIVKQSSENLNPNTYSDQIQNATVMPEKKQGKKRGRKPAHGRDQPLNHVEAERMRREKLNHRFYALRAVVPNISKMDKTSLLEDAVHYINELKSKAENAESEKNAIQIQLNELKEMAGQRNAIPSVFKYEENASEMKIEVKIMGNDAMVRVESSKSHHPGARLMNALMDLELEVNNASMSVMNDFMIQQANVKMGLRIYKQEELRDVLISKIS >Al_scaffold_0008_1322 pep chromosome:v.1.0:8:13476051:13481279:1 gene:Al_scaffold_0008_1322 transcript:Al_scaffold_0008_1322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G49780) TAIR;Acc:AT5G49780] MITDPHLGGCIFLIQDYVITCADHMLSLNDFNFRYSQKQRIGEKEILCGSQDEFKNWSLYAPNLAFLPNLFFIALQALKSSLTMPPRNWKGFDPCVNKWVGISCNNDRIVNISLGNLNLEGKLPAYITTLTELQTLDLTSNPNLTGPLPPNIGNLKKLTNLNLMGCGFSGQIPESIGSLEQLITLSLNSNKFNGTIPASIGQLSKLYWFDIADNQIEGKLPVSDGASLPGLDMLLETKHFHFGKNKLSGDIPEKLFSANMTLKHLLFDGNLLTGEIPQSLSLVKTLTVLRLDRNRLSGEIPSSLNNLTNLQELYLSDNKFTGSLPILTSLTSLSTLRMEGLQLQGPIPTSLFTPTQLQTVILKRNWLNETLDFGTNKSQQLDFVDLQYNDITEYKQSVNKGSSRIVILANNPVCPEVGNPPDEYCKVVKHNSSYSSPLNTCGVCGDEDMEPTPTTCRCVYPITGTLTFRSPSFSGYSNNNTFEMLRLNLTDFFNKKSYQVDSVAIRNIREDENDHYLLIDLSVFPYKTERFNETGMSSVISRFSTQTYKPPPMFGPYIFKANEYNKFPTGGSNSSHIIGAILGSSVFLLMLMIAGIYALKQKRRAERANEQINPFAKWDVNQNSVDAPQLMGTKAFTFEEMRKCANNFSVANDVGGGGYGQVYKGILPNGQLIAIKRAQPGSLQGALEFKTEIELLSRVHHKNVVKLLGFCFDRGEQMLVYEYIPNGSLRDSLSGKSGIRLDWTRRLRIALGSGKGLAYLHELADPPIIHRDVKSSNILLDERLNAKVADFGLSQLVEDAEKANVTAQVKGTMGYLDPEYYMTNQLTEKSDVYGFGVVMLELLTGKIPIENGKYVVKEMKMKMNKSKNLYDLQELLDTSISTASKNLKGFEKYVDLALRCVDPEGVKRPSMNEAVKEIENIMQHAGLVDSSASSRTYDEESKGSGDLYGNNSFEYSASFPTANLEPQ >Al_scaffold_0008_1343 pep chromosome:v.1.0:8:13608395:13611776:1 gene:Al_scaffold_0008_1343 transcript:Al_scaffold_0008_1343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPH3] MYLMNAPNLNLATYVAFDDLQLGMHAQHVVARIVRMWEVHTEIRTVTDRHVFLGHSLLLLDEKIAKEKFRVHNHAHLVGLANTNLALPAVNPTILDALHAGTLFLDGTIYTRFFFNQHIAPISKFTNWINQTFTIIDVAANTPKMETTCIAKLCEFTSKRVQHDAYFLCQATVVDVLSTYGWNNMTCTTCLGTLEPHQNSLLCSNCQDTNVYKNDRFHVALAVLDGSDIATFIVSSYDLMHLICRISYEKLHTKVNETIFPDLSTYMDDLIGNHFLFEIKITAFNFSEVNRSFTVARIPNFPGHAQYFIAIATYDQSKPLPKLYRVELDVHDGEHGATFVILEKEMRKLTNKTATTFMEEKGNKGNNNILPTCLSDLAGKHFCFQIRVSPLNYTPKNQNFMVSNISYKDFDEGWSTFEELNPNKKPTTTSTIS >Al_scaffold_0008_1347 pep chromosome:v.1.0:8:13636721:13639999:-1 gene:Al_scaffold_0008_1347 transcript:Al_scaffold_0008_1347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPH7] MSNNDSLDVLNLPPRIYNPGFEPNIGAVENKQTETREESCSPPDEDSHENVNDEKVAQEEGATDEVPHANEENDPQCSNVNDKVSQKKEKQHEEMRNMDGAPQGTQESDSQRSNVNDKVAQTKEAQHNKMGHSEEPSQESDSQQSKVNDKEEEARLKKEHSDRKLSEIQQKEIDDRVILWAKNKNFIFMMSSLHQIIWSNSSWETVHHFNLVNNDNEIGLAKRKALLALHPDKQHGASAEQKYLATRLFSVIKQEWDIYIRKKQV >Al_scaffold_0008_135 pep chromosome:v.1.0:8:707037:707590:1 gene:Al_scaffold_0008_135 transcript:Al_scaffold_0008_135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQP2] MKKKPLSPTENNVPESNPPKRNRGNEPYTRLRNISQFNLPIIRNGSVPLSTAFGSAFRDITNLPDPQVSPIENSADANTSSSAKQNSKNQGSLRGKILVPCSPYTRPNPLLTPSGLSAMTNTSQSLFSRTPTTPIMPPPSVTPKRIGG >Al_scaffold_0008_1358 pep chromosome:v.1.0:8:13683490:13685370:1 gene:Al_scaffold_0008_1358 transcript:Al_scaffold_0008_1358 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50110) TAIR;Acc:AT5G50110] MNLTATKEADEVMERHIEDSLAILPPLKACYNLHSNDLFDHINLVDVGSGAGLPGLVLAIACPDWRVTLLESINKRCIFLEHVVNVTGLTNVKIVRGRAESCGHDVMYREKFDVAIARAVAEMRVLVEYCLPLVRIGGLFVAAKGHDPKEEVQNAENAVRMLGGSILQISPVDSHSPYGQRTTVVCRKDHSTPQKYPREAGTPSKLPL >Al_scaffold_0008_1364 pep chromosome:v.1.0:8:13734135:13734641:-1 gene:Al_scaffold_0008_1364 transcript:Al_scaffold_0008_1364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPJ4] MKERSEMNKASPAFDDSTIADYDYGPKQRLRDKAFLEGKTVDLKKKSMCAVDVSTGHGASDERLGKKFEEGKTKRKKTNRKRAKLRKSRRRRAKATLTSEEINGRAESMVVPRRELYTQ >Al_scaffold_0008_1390 pep chromosome:v.1.0:8:13856652:13857059:1 gene:Al_scaffold_0008_1390 transcript:Al_scaffold_0008_1390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MQ51] CNHYLSLVVHHYHHHHHHHIINTTTAVTATTNTITIIMPSPSPLQLLPPSPCHHHHGYRNNRHHGNHHHHYHTFTITILPPIVTTAPSQPCHHHHHNAIKANVVTTTIITSPPLKPPRLYYLLPISSSQPRSTLL >Al_scaffold_0008_1394 pep chromosome:v.1.0:8:13883838:13884342:1 gene:Al_scaffold_0008_1394 transcript:Al_scaffold_0008_1394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQ55] MLSSHFAIFCIIIVSLFALHECEIGNMEENLNASKIVIYLSPCVRKRCSFSLFKNCHCCRGKYPFCSKNIKVCEKECLRLNPPPPRP >Al_scaffold_0008_1400 pep chromosome:v.1.0:8:13916345:13917469:-1 gene:Al_scaffold_0008_1400 transcript:Al_scaffold_0008_1400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MQ63] LRRISEDAGIRRDSMELTPSMIRSTMMKTGQCIIELFLERLDSASPQNNVGSATKVPQDSKKSNAAKRVKCESKNTNDVIMEEEYDVMAMSVPDADFYNFEKDRVEASFGENQVWAAYDDYGMPRWYALVHKVVSQEPFKTCISWLDGKKNGYVGSMKKWIDSGVHWTICEKGLVHIYPRKGNVWALYENWSPSWDISTSVEEKNKYEMVEVLQDFSEEGGVTVVPLVQVPGFITVFRRLPKQRTFPRNELFRFSHQVPSHFLTSQDGENAPEGCLELDPAALPQELLKIVTKEEMKESENVVIKKPEEEANEVVQAMNNVGIDDEAKKKLETVVKKPEEEMESDKVKW >Al_scaffold_0008_1402 pep chromosome:v.1.0:8:13930130:13936428:-1 gene:Al_scaffold_0008_1402 transcript:Al_scaffold_0008_1402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQ65] MVTSHSMYFVIITTLLFSGIFESCNTTKLHDAEVRALKEIGKKMKKKDWDFSKDPCSGKGNWIVNTNPLINSNLTCDCSFHPPNSSCHVIAMILTRNCLTGSIPKEWASMRLEKLNLEGNRFSGPIPPEIGKLVHLEELFLSSNSFTAHLPEQLGQLKNLTNMWISDNEFTGQIPNFIGNLTKMVELEMFGSGLDGPLPSSTSALTSLVNLQISDLGGKSSSFPPLQNMKSLKILELRRCNIYGRLPKYIGDMTSLKTLDLSFNHLTDKIPSSLANLKLADYIYLAGNKFTGGVPNSFIESNKNIDISSNNFTLQSSIPRGDCDQVNNAYKGYPCYFQHLPCLLPKRKYKYKLYINCGGDEIKVDKEKTYEANIEGQRPTTFVYGSDKHWAFSSTGHFMNDLTEVDDYTVSNTSTLLANASSPSFVLYKTARISPLLLTYYGLCLGNGEYTVSLHFAEIIFTSDSTFYSLGKRVFDIYVQEKLMIKNFNIKEAAGGSGKPIIKTFVVNVTNHNLKISLRWAGKGTTTLPIRGVYGPMISAISVEPNFKSPEHDDKKNILLIVGIIVAAVILILAIITVIICLWRRRCYKNAMDKELRGLDLQTGTFTLRHIKAATNNFDAANKIGEGGFGSVYKGVLSEGRMIAVKKLSSKSNQGSREFVNELGMISSLQHPNLVKLYGSCVEKKQLILVYEYLENNCLSRALFGSRLKLEWPTRKKICLGIAKGLKFLHEESAIKIVHRDIKASNVLLDDDLNAKISDFGLAKLNDDENTHINTRIAGTPGYMAPEYAMRGYLTEKADVYSFGVVALEIVSGKSNSNVKPSENLECLLDQAYVLQDKGCLLDLVDPVLDSAYSKEEAMVILNVALLCTNTSPALRPKMSQVVSLLEEKAAMKNLLSDPNFSAVNPKLKALRKFFWQSEASTSGPRTTDNVDADENSSKDEIVEVPELECE >Al_scaffold_0008_1404 pep chromosome:v.1.0:8:13941469:13943882:-1 gene:Al_scaffold_0008_1404 transcript:Al_scaffold_0008_1404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQ66] MYLQWSNAEEDKDLALDNLIKDIIHNRLALDAWKGVPAFGVSKEKWKVKATVDEEGSITRKGKKIKKAECSGEERVKIQNEDEKIVSEDIQVDKDDKKSFSDILMMMEKLNGSIVDMGKNLSSRIDELENTFDSRIVAVETDLKELKHKKPASIPTDVANSINNEDEGASSKSPTSYSLSWKVEEKPSSVDGLPVQRVVKKTYTVQKKKDGSKAERKKSEKATLKEEATKAAKRGGSKPAVKAALKEKAAKNPGLKAVVKEEAVKKDGSKAAKKCATTAGNKMKKKSIIQGDDVVDITAQVEDEALKMVSSSEDTFSDPGLHHANKMLNATLIAMVENLKDLDEGVTVGRRVQQLAGSQKFPILANYDSKSRVAIRKIWMLKDYNMGVAMAMFHKRINISDSIPHLTTNPEMVKQCMFLREMIPAMMSAVIPDNIRKKSNARLEVKRITKKVSFNKDPGNCATYTLKYIECLALGKSFNGICDENINAIRIKLAAELFDEVRESARPSNLCGEDFQIPHLMDSP >Al_scaffold_0008_1405 pep chromosome:v.1.0:8:13944907:13945711:1 gene:Al_scaffold_0008_1405 transcript:Al_scaffold_0008_1405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQ67] MKTRGGKGKRGRGSGSKIPPQNRPTSSGTSNRRPSTLPSQYTFTPANPDAPETQQRSIHRLASRSNQPPAPVQPPASTQHAASNRQIPSRQQRPSPQPRASVSHHSSQAQNSHEESEDEEAEGESEEDVLRDSTLPEDVLATLHDTLLIPALYYSHLSDFRAWDDMV >Al_scaffold_0008_1406 pep chromosome:v.1.0:8:13955641:13956043:-1 gene:Al_scaffold_0008_1406 transcript:Al_scaffold_0008_1406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MQ68] MEPLGNPRPYSVCITRNKNCPQNCEYAKYFPYKLQCQYESANELFGTPNIIKMMRHAPEEKKQILATSIIMEGNAWTKDPISGGFGVMQKIMWK >Al_scaffold_0008_1407 pep chromosome:v.1.0:8:13957859:13961981:1 gene:Al_scaffold_0008_1407 transcript:Al_scaffold_0008_1407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQ69] MANLRLIITVLICLFSSTKSSNVIKIDLPKSLNLTLSTDPSIISAASHDFGNITTVTPGGVICPSSSADISRLLQYAANGKSTFQVAARGQGHSLNGQASVSGGVIVNMTCLTDVVVSKDKKYADVCSYWIWIRDGPINWAWECGEGIFRVGTRWAGHRVLTLDESLCWYKTKSHRDPTPPSLVLPEPQSLDRSFSLIFSLFISALTMSGASLSVRERDHGIHFYLDIDSNPLPEKFNVPTLFTQIETSLKLYDAEKFLYRVVAYGDFTPYGGVEAFQVLRGNISEDRFKFVHLPTRIAVCRDKEKNCQKQKPGNIHIDFGTRFLLLTMRGFVSTNSDSGRQMNEFKSVFRNITSKNLKSFYAAIRGKIAICHNSI >Al_scaffold_0008_1411 pep chromosome:v.1.0:8:13974339:13975725:-1 gene:Al_scaffold_0008_1411 transcript:Al_scaffold_0008_1411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQ74] MSSDETLEDEKRQQQLESDEKISNPNQRTEPSQEWETMAQAWVSAFPDAKAVVSSGEVETWIGTNLDSLPADLRHMPRSEIVDRLLSIQHYMRPTPSSDQNEQNVEADQQHPARFQRTDQWLPVYSWLESLDHGELVKSKDISEWLDANPEVKQELSSRHSRYHLTHYVKKCHLKILKRKEKKGLIRLSRATAMEVHKEFGDKHLATLSADPMSNIPKDSDLYRTKQKEAKRRFEILVELEKRLAPHFSKPRIGNR >Al_scaffold_0008_1418 pep chromosome:v.1.0:8:13996230:13996580:1 gene:Al_scaffold_0008_1418 transcript:Al_scaffold_0008_1418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQ81] MKRFHPSRSISIALFLLLLAFFSSKFHIEGHRDLRDLQISKEMKENSLGGEGDSLRKIPRSRYSPIQNKRGPSRKHQITSNEP >Al_scaffold_0008_143 pep chromosome:v.1.0:8:742471:742999:-1 gene:Al_scaffold_0008_143 transcript:Al_scaffold_0008_143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MQ30] LLRSDPSLVDCDFLFFFNDWENLTVELIHSDSPHSPLYNPHHTVSDGLNAAFLRSISRSRRFNTKTDLQSGLISNGGEYFMSISIGTPPSKVLAIADTGSDLTWVQCKPCQQCYKQNSPLFDKKISKGKEGEKP >Al_scaffold_0008_1432 pep chromosome:v.1.0:8:14059469:14060812:1 gene:Al_scaffold_0008_1432 transcript:Al_scaffold_0008_1432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQ96] MMQDGTLRVFEWPSMKVLLNESQAHASVKSLTFSESGKFLVSLGAPLCRVWDVNASAAIASLSKEKDEMFASCRFSVDSSGNEVLYVAANTEHGGSIITWDTTSWRRRSSKLIKRNNSISAFNVSADGKLLAIGTLEGDLLIIDSTKMQTNQVVKKAHLGLVTALTFSPDSRCLVSVSFDSRARLTVIKQKGGKRMYIYGFSYYLSILRTGSP >Al_scaffold_0008_1442 pep chromosome:v.1.0:8:14096503:14097641:1 gene:Al_scaffold_0008_1442 transcript:Al_scaffold_0008_1442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQA7] MQITPIPKHLKCVDRLKHKAMTRFCGQKLELRIGIPTHDKGEPRLKTPNLNTKMPFKKINSVTVDMYYQILLVLNVNPFRGTIP >Al_scaffold_0008_1465 pep chromosome:v.1.0:8:14260216:14260531:1 gene:Al_scaffold_0008_1465 transcript:Al_scaffold_0008_1465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQV4] MEGVGSRNSKLLMQTQEVKSSRTLTRSECGNQRVLVVFLSREVTVLILLCSWQKLKALDADAKFQFAKRKLQASYQQHENGRFRLGH >Al_scaffold_0008_1466 pep chromosome:v.1.0:8:14261981:14264487:1 gene:Al_scaffold_0008_1466 transcript:Al_scaffold_0008_1466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQV5] MLRLCILVPIIIIFFLFFCFFFFFFFFKLVFVFFNFMLFLLFFIFILFFFFLNIFLFYFV >Al_scaffold_0008_1479 pep chromosome:v.1.0:8:14328265:14329305:-1 gene:Al_scaffold_0008_1479 transcript:Al_scaffold_0008_1479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MQW9] VKAEAIGGDLSTSVSSSRRDPHIHRSASLEDLWKVVSPVEIEWEEVDIFYKCNWQFKSLEKALEGGMLYGKKVFVFVTPER >Al_scaffold_0008_1484 pep chromosome:v.1.0:8:14353005:14353418:1 gene:Al_scaffold_0008_1484 transcript:Al_scaffold_0008_1484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1278) [Source:Projected from Arabidopsis thaliana (AT5G51105) TAIR;Acc:AT5G51105] MSIKSVVSLLVVVCIAACVNAQLPQFPPLPFPFPFPNPFQPSPGMPGLPNPFHPNPGMPGMPDMTKCWSTVMDLPGCFQEIQQAVMTGKFGSIGPACCKAFLDAEANCTPNLPFNPFFPPMIKQKCSKNAAPPTTAH >Al_scaffold_0008_1497 pep chromosome:v.1.0:8:14417802:14420950:-1 gene:Al_scaffold_0008_1497 transcript:Al_scaffold_0008_1497 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G51270) TAIR;Acc:AT5G51270] MDSFFKNSYLDPKPARAQLPKRASSDLASPSQPLNIAIAISGSDKSKNVLKWALNKFGSDKNVTFKLIHIHPKITTLPTASGNIVSISEELEDVATAYRQKVMQETKETLLKPFKKMCQRKKISMKLVFESSLTKVAVELQVLESNSVAVAITKEVNQHLISNLVIGRASQSASSRNYDITATISASVSNLCTVYVVSKGGVHILAKDKLSSDTERNDTSESGYERTDSSCSSGSGPNSDLMSNALKSNPHVLSKKRMQNLPTIVREVSVLTETSSTESDETRKRSSDAAEEASKRSSPEKSRSVSWNPRFRDFDERKDAMSSMSSNFEYGNVTPFGNYFTDNQDTLNEISKLRAELRHAHEMYAVAQVETLDASRKVGLKKSQEKDNLCLMKLRSYCFVCLIKLPLQWFQLNELKFEELTLMEHETKGLAAKETEKFEQKRREEREAAQRREAEMKATHEAKEKEKLEESSLVAPKLQYQEFTWEEIITATSSFSEDLKIGMGAYGDVYKCNLHHTIAAVKVLNSAESSLSKQFDQELEILSKIRHPHLVLLLGACPEHGALVYEYMENGSLEDRLFQVNDSQPIPWFVRFRIAWEVASALVFLHKSKPTPIIHRDLKPANILLNHNFVSKVGDVGLSTMIQAANPLSTKFTMYKQTSPVGTLCYIDPEYQRTGRISPKSDVYAFGMIILQLLTGQQAMALTYTVETAMENNDYDELIQILDQKAGNWPIEETRQLAGLALQCTELRSKDRPDLEDQILPVLESLKKVADKERNSLSTAPSQPPSHFICPLLKDVMKEPCIAADGYTYDRRAIEEWMENHRTSPVTESPLQNVSLLPNHTLYAAIVEWRKRNQ >Al_scaffold_0008_1505 pep chromosome:v.1.0:8:14470059:14472902:-1 gene:Al_scaffold_0008_1505 transcript:Al_scaffold_0008_1505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G51350) TAIR;Acc:AT5G51350] MESSKHNKLRSCFYLCLFLTLVAADPQTESLLTLKSQLTDNSNSLKDWFIITPGVSDKVVACCSWSGVRCNQNSTSVVSLDLSSKNLAGSLSGKVFLVFTELLELNISDNSFSGEFPTEIFFNLTNLRSLDISRNNFSGRFPDGNGGGGSSLKNLILLDALSNSFSGPLPIHLSQLENLKVLNLAGSYFTGSIPSQYGSFKNLEFLHLGGNLLSGHIPQELGNLTTLTHMEIGYNSYEGVIPWQIGYMSELKYLDIAGANLSGFLPKHFSNLTKLESLFLFRNHLSREIPWELGQITSLVNLDLSDNHISGTIPESFSGLKNLRLLNLMYNEMSGTLPQVIAQLPSLDTLFIWNNYFSGSLPKSLGMNSKLRWVDVSTNSFEGEIPQGICSGGVLFKVILFSNNFTGTLSPSLSNCSTLVRIRLEDNSFSGVIPFSFSEIPDISYIDLSRNKLTGGIPLDISKATKLDYFNISNNPELGGKLPPQIWSAPRLQNFSASSCSISGSLPEFESCKAITVIELSNNNISGMLTPTVSTCGSLEKMDLSHNNLSGSIPSDKVFQSMGKHAYEGNANLCGLPLKSCSAYSSKKLVSVLVACLVSILLMVVAALALYYIRQRSQGQWKMVSFAGLPHFTADDVLRSFGSPEPSEAVPASVSKAVLPTGITVIVRKIELQDKKKSVVLNFLTQMGNARHVNLVRLLGFCYNNHLVYVLYDNNLHTGTLAEKMRTKKKDWATKKRIITGVAKGLCFLHHECYPAIPHGDVKSSNILFDDDKIEPYLGEFGFKYMLHLNTDQMNDVIRAEQQKDIYNFGELILEILTNGKLMNAGGLMIQNKPKDVLLREVYTENEVGSSDFKQGEVKRVVEVALLCIRSDQSDRPCMEDALRLLSEAENRFK >Al_scaffold_0008_1507 pep chromosome:v.1.0:8:14476104:14476546:1 gene:Al_scaffold_0008_1507 transcript:Al_scaffold_0008_1507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQZ9] MSITEIPVGKENQVEVRDAPETEQQTRACEQSVEQAERPLAYGQVSILAPSRFSVLNDEEEETDSNKGKCVEIQDTMPSQNDESAINQEVFTVVENKQKPVGEGEKTSSLRVLPPRQSKSSQKATLESST >Al_scaffold_0008_1516 pep chromosome:v.1.0:8:14534135:14538143:1 gene:Al_scaffold_0008_1516 transcript:Al_scaffold_0008_1516 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1 interacting protein 3 [Source:Projected from Arabidopsis thaliana (AT5G51450) TAIR;Acc:AT5G51450] MEIRYLPISLATTALSFVGLQVWTELSLDRLRADGIITKNVSLGDSENTLELLLSSYTTIALLASFVLNVYILLVLSLKTLFFGDLYAIETRKLVERLANYIIYKGTFLPLVVPPTVFQGVLWTICLTVLCTLKMFQALARDRLDRLNASPSSTPWTYFRVYSALFMVLSADLCWIKLSLMIYSTIGSSVYLLLLFEPCSVAFETLQALLIHGFQLLDMWINHFAVKNSDCQRSKFYDSMTAGSLLEWKGLLNRNLGFFLDMATLVMALGHYLHIWWLHGMAFHLVDAVLFLNIRALLSAILKRIKGYIKLRVALGALHAALPDATSEELRAYDDECAICREPMAKAKRLHCNHLFHLGCLRSWLDQGLNEVYSCPTCRKPLFVGRAESEANPSRGEVSSDEHLARQFDRQNNSVHALTTGMFPTETPNSTESDPWRNSGLDQSWLQTWSDQGVDVAGPSAGSRSVGLGQVQLMMRHLASVGEGYGQTALDDASWGLWPMNPSQASASSTYVPPGAGGRTGGLHLRTVSRAANNMASILAMAETVREVLPHVPDEIIFQDLQRTNSVSVTVNNLLQM >Al_scaffold_0008_1526 pep chromosome:v.1.0:8:14586075:14590336:1 gene:Al_scaffold_0008_1526 transcript:Al_scaffold_0008_1526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zincin-like metalloproteases family protein [Source:Projected from Arabidopsis thaliana (AT5G51540) TAIR;Acc:AT5G51540] MWKLTRRLQPRINSTRWLIRNFRRGEAGDATGLYGFDNLKTAKGFQRFVADAIERSSELVSYISGMPSSPEIIKAMDEISDTVCCVVDSAELCRQTHPDREFVEEANKAAIKMNDYLHHLNTNHTLYAAVKKAEQDSNLLTKEASRTAHHLRMDFERGGIHLDPEKLDKANNLTTNIFQLCREFSENIADDPGHVDIFPASRIPRHLHHLLNPTYRSTSGGSRGSTRSAHKSKQKGFRINTDPRTLSSILQWTSDEEVRKMVYIQGNSVPHANHRVLEKLITARHELSQMMGCNSYADFMVEPNLAKSPKVVTSFLQELSKTVKPKADEEFIAIRDFKREKCGNPSAELEPWDETYYTSMMKSSVNDVDTAVVASYFPLPQCIEGLKVLVESLFGATFHTVPLAPGESWHPDVLKMSLHHPDEGDLGYLYLDLYSRKDKYPGCASFAIRGGRKISETEYQLPVLALVCNFSRACDSSVVKLNHSEVEVLFHEFGHALHSLLSRTDYQHFSGTRVALDLAEMPSNLFEYYAWDYRLLKRFARHHSTGETIPEKLVNSLQGARNMFAATEMQRQVFYALIDQMLFGEQPETARDVSHLVAELKRQHTSWNHVEGTHWHIRFSHLLNYGAGYYSYLYAKCFASTIWQSICEEDPLSLNTGTLLREKFFKHGGAKDPAELLTDLAGKEIISVHGEGIIPATTYLLNELRL >Al_scaffold_0008_1529 pep chromosome:v.1.0:8:14602406:14602892:-1 gene:Al_scaffold_0008_1529 transcript:Al_scaffold_0008_1529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MR21] MAKALQRRKAQLQNMKPPETRRKNQNDSAPVDHRSQRHQTLDLAKSRLTTSWPHQRNKIVGGRFRKLVSPTRRFDGYESRPSNPSRLGLSSLFHLRENPESLGRQVSIRTVGTPGPADLKDGGSPSTFKSDPRISHQGSKTLR >Al_scaffold_0008_1535 pep chromosome:v.1.0:8:14649370:14650904:1 gene:Al_scaffold_0008_1535 transcript:Al_scaffold_0008_1535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT5G51670) TAIR;Acc:AT5G51670] MALETFLIKLKNAISSKPTSRRPLRSPPPITTTTSSVGVLSFEVARVMTKLLHLTHSLTDSNLLTLRDHSLSLEGLTKIVNGDETFHLSLVCAELADSLAHAANSVSRLSHRCTTASLRSFHRLFHEFADMGRDPHGWVMNCKDAEAKNKKIERYVSVTTALYREMEEMTMLENSLRKQSSQIGIEFEEEDDFENKKDVMKVIDLQNKIERQKQHVKYLKDRSLWNKSFDTVVLILARSVFTALARLKSVFSSAAATGYMGHTVVSSLPRSLSSSSSSMNLVHPSPNDEERDKTASSSAFLEESSRLLKPPETTLGGAGVALHYANLIVVMEKMIKQPQLVGLDARDDLYSMLPASVRSSLRSRLKGVGFSATDGGLATEWKAALGRILRWLLPLAQNMIRWQSERSFEQQHMATAANSQNRVMLVQTLVFADKVKTEAAITELLVGLNYIWRFEREMTAKALFNLQSPPTNYS >Al_scaffold_0008_1540 pep chromosome:v.1.0:8:14671532:14672423:1 gene:Al_scaffold_0008_1540 transcript:Al_scaffold_0008_1540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MR34] MGKSSKSLLGSPSQDELETVNPKKRHKIEKSKKKKKASNLQIASIKLKIDSLKEDVDSLKATVNSVKSTLDLLLTREDFLAMFTAFKEPAKKPASVKNNVIPVIQNSPSSEGHKVILHTSVLLCSPVFIILLMLCAFLNSS >Al_scaffold_0008_1541 pep chromosome:v.1.0:8:14672652:14673348:1 gene:Al_scaffold_0008_1541 transcript:Al_scaffold_0008_1541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MR35] AKADTNTCKKESSSNVSSKESDVLEKETLKRASRPYSCDKPLTKTAEVGERVLFIPKQGGRYDGGTIFVKGYDSSLGENDIARALLEHFSPCGMISKIYFQTNDAGAAVLKHVFIEMLHGTEDALKLNGSDMGGCNLEVHDAQERDEYYINREVSGGPFIDYRRRRLLLNRHKFT >Al_scaffold_0008_1550 pep chromosome:v.1.0:8:14730829:14731670:1 gene:Al_scaffold_0008_1550 transcript:Al_scaffold_0008_1550 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G51790) TAIR;Acc:AT5G51790] MNPSNNPKKTRHQISHMPQERDETKKEKKLLHRNIERQRRQEMAILFASLRSQLPLKYIKALSSQGKRAMSDHVNGAVNFIKDTQTRIKDLSSRRDELMREIGDPTSRTGSGSGSGSSRSEPASVMVQQCVSGFKVVVSSSASSGLEAWPLSRVLEVLHEQGLEVISSLTARVNERLMYTIQVEVNSFDCFDLAWLQQKLIEELVLSTTRH >Al_scaffold_0008_1557 pep chromosome:v.1.0:8:14782731:14784802:1 gene:Al_scaffold_0008_1557 transcript:Al_scaffold_0008_1557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRJ6] MGRDCRIFDDGHGGGRGGAFSSSRSKKTANGCMAAFFHLFDFQHFYFHSHHHLTIDSPSSSKGLKLIEETLPSTTYKDKQSLNIPVSMRVRTETGTKSSRLRALATDTSTSSSEICNSPGSKTPNLVARLMGLDLLPDKTDLNHSLSDLHTMSSHHITSHRLSKKGTRSLPVSPRISSARKSDFDIHRLSLQLNKEKEFGCSKLKQDQEESQEEIHSPRDYARQIVKQIKERVVTRRVVGMDITNSVKNREARPSHELRRDTTVSCSPRTRFSDKENKQSTSHKPNSSSSSRPEPIVQKPKPTTAILDSVSKASGEKQSKDRVKQRQLKPINLCKKAESETKRPINPSPTSDIRNRKREAFLSESRDVKAKPLHKKKFKKIPKSNDLENISATRPPHKQINERDRLISNEVASIRSSLMHKIEKTSPQVARNQKLDDAATGIDSEQDYITRILNLVGIKNDTSTTMLDPSIFHKLEQFGDYPSGRLVLRCNRRLLFDLVNEVLIENVAKKRENYPGPELISELCSAVPRYSSKCCPLPEETALMDVKHLVEKKKLEEEGEEIIAEIEREIIDKLVRETWSELSLNQRLSKTTSVVI >Al_scaffold_0008_1558 pep chromosome:v.1.0:8:14790614:14793485:-1 gene:Al_scaffold_0008_1558 transcript:Al_scaffold_0008_1558 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 71 [Source:Projected from Arabidopsis thaliana (AT5G51870) TAIR;Acc:AT5G51870] MVRGKIEIKKIENVTSRQVTFSKRRSGLFKKAHELSVLCDAQVAAIVFSQSGRLHEYSSSEMEKIIERYDKFTNALYVAERPQIERYLQELKMEMNRMVKKIDLLEVHHRKLLGQGLDSCSVTELQEIDTQIEKSLRIVRSRKAELYADQLKKLKEKERELLNERKRLREEGKTEGGCSTKHSSEVETDLFIGLPVTRL >Al_scaffold_0008_1566 pep chromosome:v.1.0:8:14824692:14828759:1 gene:Al_scaffold_0008_1566 transcript:Al_scaffold_0008_1566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline (GMC) oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G51930) TAIR;Acc:AT5G51930] MTIISCIFRNILVAILILSGSCYCDKAGHYTFMKDATLAPKHARFDYIIIGGGTAGCALAATLSQNASVLVLERGGSPYDNPTASDIGNFANTLFNNTPNSWSQHFISEDGVYNTRPRVLGGGSVINGGFYTRAGDDYVDEAEWEMEEVEASYQWVEKKLVFKPQVMGWQSAFKDGLLEAGEFPDNGFTYDHIYGTKIGGTIFDHAGHRHTAANLLEYADPEAIVVYLHAYVHKILFTTKGSQKPKAYEVIFEDANGMFHKAELANNAMNEVILSAGAMGSPQLLMLSGVGPAAHLAAHGVNPLVLDHPMVGHEIADNPMNVVFIPSPQPVEVSLIQTVGITKFDSYIEGGSGLSLSFDLTRRFFDGVLNLFNETSRTTSRNILTHSIEVLLKSLDLGLDVMINGGLIVQKIDGPASKGHMELRNTDPRDNPSVTFNYYQEPEDLNKCVKGLNTVIQTINSKAFSKYKYPGVTARELLNLMLGLPINLRTRHVTSTFNLTQFCIDTVMSIWHYHGGCQVGRVVDKNYKVIGIDSLRVIDGSTFLKSPGTNPQATVMMLGR >Al_scaffold_0008_1574 pep chromosome:v.1.0:8:14854286:14857177:1 gene:Al_scaffold_0008_1574 transcript:Al_scaffold_0008_1574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRL4] MAKRADIFCLLVLFLSSVSAIIDDSQNKQVYVVYMGSLPSQLEYTPMSHHMSILQEVTGESSVEGRLVRSYKRSFNGFAARLTDSERERVAEMEGVVSVFPNMNYKLQTTASWDFLWLKEGKNTKRNLAIESDIIIGVFDTGIWPESESFSDKGFGPPPKKWKGVCSGGKNFTCNNKLIGARDYTREGARDLQGHGTHTASTAAGNAVENTSFYGIGNGTARGGVPASRIAAYKVCSETDCTAASLLSAFDDAIADGVDLISISLSGNNPQKYEKDPMAIGSFHANVKGILTVNAAGNSGPVPASIESVAPWILSVAASTTNRGFFTKVVLGNGKTLVGRSVNSFDLKGKKYPLVYGDVFNESLVQGKIVVSRFTTSEVAVASIRRDGYEHYASISSKPFSVLPPDDFDSLVSYINSTRSPQGSVLKTEAFFNQTAPTVASFSSRGPNIIAVDLLKPDVSAPGVEILAAYIPLISPSEEESDKRRVKYSVLSGTSMACPHVAGVAAYIKTFHPEWSPSVIKSAIMTTAWPMNDNTTGFESTDVLASTEFASGAGHVDPVAAINPGLVYELDKSDHIAFLCGLNYTSKTLQLIAGEAVTCSGKTLPRNLNYPSMSAKIYDSNSSFTVTFKRTVTNLGTPNSTYKSKIVLNRGAKLSVKVTPRVLSFKRVNEKQSFTVTVSGNNLNRKLPSSANLIWSDGTHNVRSVIVVYIGDYS >Al_scaffold_0008_1576 pep chromosome:v.1.0:8:14859116:14859361:-1 gene:Al_scaffold_0008_1576 transcript:Al_scaffold_0008_1576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRL6] MTYLLNFFDPGKNHLWVRHWFNEKRNEKYNDAARRLLTPKVGYGLEAELWRVGVYVKTVEVEIKIHTH >Al_scaffold_0008_1579 pep chromosome:v.1.0:8:14890408:14890750:1 gene:Al_scaffold_0008_1579 transcript:Al_scaffold_0008_1579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MRL9] LSSLFIFFREKVDSCPLLRRPGFSGKAVRLVSIGFSPTSIGGWSVSFSASSGSVSGDGRLLPGKFGFHMRFWPVSVFIPP >Al_scaffold_0008_1582 pep chromosome:v.1.0:8:14914964:14915227:1 gene:Al_scaffold_0008_1582 transcript:Al_scaffold_0008_1582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRM2] MWSALKDVCTCGAKEAWEKYEEEECLTQFLIGVNQSHRQTIDMILTKEPLPDVYWALKRLEFEESQRPIGSRLYKNRTSIYPRPHPY >Al_scaffold_0008_1584 pep chromosome:v.1.0:8:14927062:14928236:-1 gene:Al_scaffold_0008_1584 transcript:Al_scaffold_0008_1584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MRM5] ILRSNRKPPSSSSTAISENEQRELLETITSNLPLDKSSISSTTRFLFGLLRTAIILNASEICRDLLERKIRTQLERATLDDLLVPSYSYLNETLYDVDLVERILAHFLDTLEQSNTAVVEADGKSPSLMLVGKLIDGFLAEIASDANLKSDKFYNLAISLPDQARLYDDGLYRAVDVYLKAHPWVSEAEREKICGVMDCQKLTLEACTHAAQNEWLPLRAVVQVLFFECMPIYWVSIPLC >Al_scaffold_0008_1593 pep chromosome:v.1.0:8:14987718:14988182:1 gene:Al_scaffold_0008_1593 transcript:Al_scaffold_0008_1593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRN3] MSKATKPSSIITVLFVFFLVISEMPEIKAQDSDCLKEYGGDVGFPFCAILIFPSVCYIKCRQDKGAKGGRCQHGEEDGHIKFTCFCDYCNSSPYLIKL >Al_scaffold_0008_1602 pep chromosome:v.1.0:8:15009198:15009729:-1 gene:Al_scaffold_0008_1602 transcript:Al_scaffold_0008_1602 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Chromosome transmission fidelity protein 8 (InterPro:IPR018607); Has 127 Blast hits to 127 proteins in 63 species: Archae - 0; Bacteria - 0; Metazoa - 70; Fungi - 17; Plants - 31; Viruses - 0; Other Eukaryotes - 9 (source /.../ BLink). [Source:Projected from Arabidopsis thaliana (AT5G52220) TAIR;Acc:AT5G52220] MEIRVKCRCGEEECSEWAIVELQGVVETQASFQGSIQNLEIGRLCHSDSSQGTYTFTVGYHELAGSKVTLKKPLLVLKKLQFDEVSGKATELEVVGIIRTKILFKTRPKPLISGNNLSLSVLFLIGTN >Al_scaffold_0008_1606 pep chromosome:v.1.0:8:15023314:15025055:1 gene:Al_scaffold_0008_1606 transcript:Al_scaffold_0008_1606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRP5] MEKQVVEIDACLGDSVKVTLTNILLEVNSFNDYVDLYLGANRSRKDPLPSKRQWEIFVILSKDKFQQISFVNSIATTKGVFDWLKETLILPESSFGSECKLSKDILKKDDANKAGGKESRFCTLIDYNKGGFRKDSYCAKEEKINKNKQIQPLKTILGLGNEKEYGNLRYGYGIIMIGHSYSKGIHPSYMKFFFYFSHRKKEIHVNYIYVVFSRYGASCI >Al_scaffold_0008_1609 pep chromosome:v.1.0:8:15031903:15033120:-1 gene:Al_scaffold_0008_1609 transcript:Al_scaffold_0008_1609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTK8] MTGKRGRKRRNAPNASQRAVGSTAANRRPKNLRLDLFKRHMVVFAVGTSLASVATAWIDIQVLDCDVRRLSCLNDACLRTVLAYLVKFFAVLISAHIDIAFDALVLCVFVL >Al_scaffold_0008_1615 pep chromosome:v.1.0:8:15069804:15072054:-1 gene:Al_scaffold_0008_1615 transcript:Al_scaffold_0008_1615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRQ4] MADTDVDDDYDGLKPSELFGKHTWKIEKFSQVGKREFRSNWFEAGGYNWYILIYPEGCDVSNYLSLFLCVANYDKLLPGWSQFAQFTISVVHKDPKKSKSADTLHRFWKKEHDWGWKKFMELPKLHDGFIDDFGSLTIEAHVQVIRDRVDRPFRCLDYDYKKELVRVYLPNVEQIFRRFVEERISKLEKLIEDKAKWTSFGDFWLGMDQNSRQRMSIEKMDVILKGVVKHFFIEKEVSSPLVMDFLYSGLKDLEGQTKNKKASPRLLDAKELPAPLVNVDKDMFLLVDDDVLLLLQRAALEPLPPKDENGPQNRIKDGNDGEEVNMEAYERDEIRLTELGRRTVEIFVLDHIFSSKIEVAYQEAIVLKRQEELIREEEEEASKRSKGLKKGFGKCRLN >Al_scaffold_0008_1616 pep chromosome:v.1.0:8:15075805:15079230:1 gene:Al_scaffold_0008_1616 transcript:Al_scaffold_0008_1616 gene_biotype:protein_coding transcript_biotype:protein_coding description:exocyst subunit exo70 family protein A2 [Source:Projected from Arabidopsis thaliana (AT5G52340) TAIR;Acc:AT5G52340] MGVAQAMEALTERAGLMKESLHKSQTITDNMVGILGSFDHRLSALETAMRPTQIRTHSIRRAHENIDKALKAAEVILDQFDISRKAEAKILRGPHEDLESYLEAIDQLRGTIKFFSNNKMFKSASGVISHAHGLLSKALSKLEDEFRQILQNYSKPMEPDRLFECLPSNLRPSSEGEGGGGKSHDPHHKSLENAIFTVPTVIPPRVLPLLHDLAQQMVQAGHQQQLFKSYRDTRAAVLEQSLRKLGVERLSKDDVQRMQWEVLEAKIGNWIHYMRISVKLLFAAEKKICDQILDGVESLRDQCFGEVTVNSVAVLLSFGEAIAKSKRSPEKLFVLLDMYEIMRELQPEIELLFGSKPCAEMKESALNLTKRLAQTAQETFADFEEAVEKDATKTAVMDGTVHPLTSYVINYVKFLFDYQSTLRLLFQEFDSKDPDSELGAVTTRIMHALQNNLDGKSKQYKDAALTQLFLMNNVHYIVRSVRRSEAKDLLGDDWVQIHRRIVQQHANQYKRVSWAKILQCLTVQSSGSGPIENSNISRASVKDRFKTFNSQFEELHQRQCQWTVPDSELRESLRLAVAEVLLPAFRSFLKRFGPMIESGKNPQKYIRFSPEDLERMLNEFFEGKTWSEPKR >Al_scaffold_0008_162 pep chromosome:v.1.0:8:839907:842197:1 gene:Al_scaffold_0008_162 transcript:Al_scaffold_0008_162 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding bromodomain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G46550) TAIR;Acc:AT5G46550] MVAIPKLRIKFGPQGSVRTFQTFPGSSKKIEHSLGEEQSKKRGPEELEDVQAKKKQKLDCDWSSQCLVLLRFLMEHRVGWLFEEPVDPVKLEIPDYFSVIRKPMDLGTVKSKLLKNVYSNADEFAADVRLTFANAMRYNPPGNEVHTIAKEIKEIFEVRWKLLKKKMVSKLSGVEVTEGSKRQPVEFDCSRHSSPGTSASSGVFSAGSTKPAKENSALSSKPVKAQSKKDTPAVTLKALCKCGSCGRIICICLKSCSSSGSEVSSLTDCQVKNISGAQTSESDPRSNGSVTSKNERNGSVNSQLDKPSNVALLDNELKTTFPALPPVPPEKALRAAILKAQYAETILKAKHRVVLDQNNKADLIRLQIEKEQMERAQREEKARIEAEMRAAKVAARMRAQAELKQKRETQRLELAKMKKGFDFEQNNHLKLEKDFVEVCGCSSLTRAWLLLRELGLVLRSDDRPELEEIGSENFDATRIEDLEEGEIL >Al_scaffold_0008_1632 pep chromosome:v.1.0:8:15158491:15159873:1 gene:Al_scaffold_0008_1632 transcript:Al_scaffold_0008_1632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fibrillarin family protein [Source:Projected from Arabidopsis thaliana (AT5G52490) TAIR;Acc:AT5G52490] MRPPHIGRGGGGSGRGLARGGEGGAIRGRGRGRESGPGRVKSRESESGGIKGGSKVLVTPHRHAGVFVAKSKADALVTKNLVPGEIIYNEKRIFVQNEDRSTVEYRVWNPHRSKLADAITTGVDNIWIKPGVNVLYLGASSGYTVSHISDIVGPEGCVYAVEHSHICGKVLMNMAEKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVNQPDQSKILGLNASYFLKSGGHFMISIKANSIDSTIAAETVYQMEVEKLQMEELRPTEILHIDSCEEKHACVFGGYRLPRKQKADTAV >Al_scaffold_0008_1638 pep chromosome:v.1.0:8:15203076:15203561:-1 gene:Al_scaffold_0008_1638 transcript:Al_scaffold_0008_1638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MS61] MGRSYQKTSFGIHAAQRKENHAAMLSSNFAVKSNLLISTSKVSTQEAELAKEKMHGRLACGRPLVVRLASEKQLEDISHDHSKRSLPEANRPRFVNGSSSGQMSRDEKVAAIKNKLKALEEDEKRDPKKQKR >Al_scaffold_0008_164 pep chromosome:v.1.0:8:850248:853724:-1 gene:Al_scaffold_0008_164 transcript:Al_scaffold_0008_164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRD0] MTLKIVADAFKELAVIVSSPSPDVSVKQFANACSLFSNLFGVLEIAFKFVKTDYVAKVNDLAKASSSISTLEVMVDEDIKAGRAKKPGSHTRNLLKTKRGLEMIRVLFEEIIATNANSSLKDAAYKAYNKVLAKHHGLALQESAETGMESLPSRELLLCMINETEESAKIHMQSYVTASIPVTAYVDQLLCSKNLGIDW >Al_scaffold_0008_1642 pep chromosome:v.1.0:8:15224925:15225684:-1 gene:Al_scaffold_0008_1642 transcript:Al_scaffold_0008_1642 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 82 [Source:Projected from Arabidopsis thaliana (AT5G52600) TAIR;Acc:AT5G52600] MEHKRAEGKSYVKRGLWQPEEDMILKSCVETHGEGNWADISRRSGLKRGGKSCRLRWKNYLRPNIKRGSMSPQEQDLIIRMHKLLGNRWSLIAGRLPGRTDNEVKNYWNTHLNKKSSSRKQNAPESIGATPFTDKPVMSTELRRSHGEEGEGEEESNTWMEETNHFGYDVHVGSPLPLISHYPDNTLVFDPCFAFTDFFPLL >Al_scaffold_0008_1647 pep chromosome:v.1.0:8:15242301:15244838:-1 gene:Al_scaffold_0008_1647 transcript:Al_scaffold_0008_1647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G52660) TAIR;Acc:AT5G52660] MVSRNPNLSDGYFLDPTGMNVPGLGPSFTAAVSSSPTTSSTAVTVTDATAMVSSSEEDLSKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFIGSKTVIQIRSHAQKYFLKVQKSGTGEHLPPPRPKRKAAHPYPQKAHKNVQPQVPGSFKSTSEPNDPSFMFRPESSSMLMTSPTTVADPWTNNAQTISFTPLPKAGAGANNNCSSSSENTPRPQSNRDASDQGNVGHSLRVLPDFAQVYGFIGSVFDPYASNHLQKLKKMDPIDVETVLLLMRNLSINLSSPDFEDHRRLLSSYDIGSETATDRDGVNKP >Al_scaffold_0008_1649 pep chromosome:v.1.0:8:15249020:15250227:1 gene:Al_scaffold_0008_1649 transcript:Al_scaffold_0008_1649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MS76] MPEETVDFKMDVSDENIKREAMKIVWMFSGVTFVDIKEKGILKVRGKFDKIEIGRKLHELDKSVDIINPLGKPGQYRIPSLTTVYSYFTPKIQEVVVFKFKVLDEKLKRDAMKVIWEFSGITSVEVKRVDRLEVKGGEFNKIAMSTKLKGIDESVSVFIKAGPDGQVDVTNFNTNSLRTTLRVPAPVPIRVPAPAPPPAPAPEPVSAYAHKRLHVPVKTSIWTRCFRPISRRLCP >Al_scaffold_0008_165 pep chromosome:v.1.0:8:865405:866386:-1 gene:Al_scaffold_0008_165 transcript:Al_scaffold_0008_165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MRD1] NEEKGQQGEANGGAFIRKSIYGVGIYLTAANCPYFSARYCDVDKNRARYIDCDGDKNGVRYMVLCRVIMGNMELLRGDKAQFFYGGEEYDNGVDDIESPKNYIVWNVNMNTHIFPEFVLMFKLSNLSNTEGNLIAKREVAALLRNNDEDRPRASPQFSLQLRLFMLPSSTGPIPISVTCEGFWFHFLRLL >Al_scaffold_0008_1651 pep chromosome:v.1.0:8:15257873:15258521:1 gene:Al_scaffold_0008_1651 transcript:Al_scaffold_0008_1651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transport protein family [Source:Projected from Arabidopsis thaliana (AT5G52740) TAIR;Acc:AT5G52740] MQVVVLKLDVHCERTKKKAMSTVCCLSGVNSVDVNDGKLTVTGEIDAYMIVKKLKKICHTEFISVGPVKEPEKKKPDDPKKPETKPPDVIYNCVPPYPPYYHHFNGCYNEDPYACVIS >Al_scaffold_0008_1665 pep chromosome:v.1.0:8:15323114:15324253:-1 gene:Al_scaffold_0008_1665 transcript:Al_scaffold_0008_1665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MS94] MEALSFMKFWLTNNNTTIKPRREIRISESAVDSTTASEDPELDLYEGDDSFFELEISLSDFKTEKQRLETKTYSVSNKSKVLPFVDITTKQQQSPITLLKSGPKFRAFSFKKSEKSTTTEKKKEENNRVDDDTTSFRKTASIARLQQTDDTMFDDSVSKRFFSLIKPLYTKSTKKHSSSTITSPSSSPAAREKQRSNIPLGIRSVRRQLGKSRSASAAIGGMSPANRVDESLQVQQDGIQSAILHCKRSFHGSRESSLLSRSTSESSSQEKLSTSSSEDSYLFSRISSDSMSEKSIDSLTSIKEQREKISD >Al_scaffold_0008_167 pep chromosome:v.1.0:8:871719:877677:-1 gene:Al_scaffold_0008_167 transcript:Al_scaffold_0008_167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRD3] MSRIWQYDVFISFRGDDLRHNFLAHFRKELDRKLIRTFNDMEIEKGESLDPVLTQAIRGSKIAVVLFSKNYASSGWCLNELLEIVKCKKEIGQLVIPIFHGVDPSHVRHQIGDFGSIFEKTCRRHSEEVKNQWKKALTEVANMVGTHLQNWDNEAKQIEYIVNDLLGTVILTPSKDFEDTVGIEDHIAKISLILDLKFESKEVRRVGIWGPSGIGKTTIARALYSQHSHVFDVCVFLDIHFVSKSTKNYRKGNPDDYNMKLCLQKSFLSKILDQKDIEVEHLGVIEERLKHQKVLIVLDDLDDQMVLDTLVGKDEWFGCGSRIIVITKDKRLLEAHGINHIYEVGFPSEKQALEMFCHSAFGQKSPDDGFVELATEVAARAGGLPLGLKILGKVMKNRKVEEWKGELLSLQKNQNGDIGKTLKVSYDKIDIQKHRAIFRHIACFFNGAEIDNIKLMLPELDVETGVRHLVEKSLISSKSSWNNTCTVDMHCLVQEMGKQLVRAQSEEPGEREFLFDSDDVCNVLGGTNGTNKVIGISLDLNEIDELEIHKKAFKNMHNLRFLRFHINSWEREKEVEWNLPKKIDAFPPKLKLLNWPGYPMKQLPAEFRPDKLVELRMPNSKILEKLWEGDKSLKFLKDMDLSGSLNLKEIPDLSKATNLETLNLNGCSSLVELPSSILNLNKLTDLNMAGCTNLEALPTGKLESLIHLNLAGCSRLKIFPDISNKISELIINKTAFEIFPSQLRLENLVELSLEHTMSERLWEGVQPLTNLKTIKLLGSENLKELPNLSMATSLETLNLNNCSSLVELTLSTIQNLNKLTSLDMIGCSSLETLPIGINLKSLYRLNLNGCSQLRGFPDISNNITFLFLNQTAIEEVPSHINNFSSLEALEMMGCKELKWISPGLFELKDLDEVFFSDCKKLGEVKWSEKAEDTKLSVISFTNCFYINQEIFIHQSASNYMILPGEVPPYFTHRSTGNSLTIPLHHSSLSQQPFLDFKACVVVSDLVVGSEAVVKKLCFMDIEVHCHFIDKHGNYFEPAERKDLSVHQKYNHQIIFDCRFPLNLDCDQVQIKFLLPNERLKLKRCGVRLSDDSTPFSAIQNQGYETALDEDECT >Al_scaffold_0008_1670 pep chromosome:v.1.0:8:15365459:15366713:-1 gene:Al_scaffold_0008_1670 transcript:Al_scaffold_0008_1670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSA1] MSVTAGVNDTIIAVREKLRGKVGQTKVKRYWPGKAPEWAEEDKEEDVTMHKVVVLDKKDDPRLRRLAKTRTENREQAEIITTDQEEELNNQETKEEEERRRIKEKNLEREQGEDPFYVQKTERDTIVERKRLEAEEQLRKNMVLEDVETDDETNEAEEYEVWRTRDIARLKRERDSREAMLRARSCLLLQEEQEKSEEQAAHKIKQ >Al_scaffold_0008_1675 pep chromosome:v.1.0:8:15389231:15389593:1 gene:Al_scaffold_0008_1675 transcript:Al_scaffold_0008_1675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSA8] MASKTVLSISLMVSLCVAIFVTQGVAHTQTPPTVPGLFPPGLPIDLVKCWSSLFNVEGCVLEIAKSIFSGKFENVEAACCKAFSTLDANCWPQMFPLNPFFPPLLKDNCARIVPNSPTHN >Al_scaffold_0008_1678 pep chromosome:v.1.0:8:15394590:15394952:1 gene:Al_scaffold_0008_1678 transcript:Al_scaffold_0008_1678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSB1] MGSKAVVSSFLMVSLCVAIFVTQGVAHTQTPPTVPGLFPPGSPIDLVKCWSSLFNVEGCVLEIAKSIFSGKFENVEAACCKAFLTLDTNCWPQMFPLNPFFPPLLKDICARIVPNSPARN >Al_scaffold_0008_168 pep chromosome:v.1.0:8:895998:897499:-1 gene:Al_scaffold_0008_168 transcript:Al_scaffold_0008_168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRD4] MKFKEIADAFGELAVIVNTPNSDVPVKLFSHACSRFFLIFTVLKPAFKFAENDYVSKVNDLAKASPSTLTLEAMVDRDIEAKCVRKVGSHTRNLLRVKRGLEMIRVLCEELLATEADSPLKDAAYKAYNQVFGPHHGWAIQLAASTGFGSLLSKAELFRMFNETVTKIKCTRK >Al_scaffold_0008_1680 pep chromosome:v.1.0:8:15397845:15398646:1 gene:Al_scaffold_0008_1680 transcript:Al_scaffold_0008_1680 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G52990) TAIR;Acc:AT5G52990] MISNPSLLSYTCIAKGTVILAEFVSRQEPGIEAIALRCIENTPPHHSMFSHTVHKKTYTFAIDDDSFVSFAISDETMEKPESFWLDPIFAEIVGVVDFELDMDLVGSPRSVARESRNPSIDSSKGRRAALMPLLGKPLKALKKKKRLHNEGKGEDSCEVGSIQEISEKNVDVCGNGNNGVLRKELRNGLLSDHHHRQKAKQIWKKHVWVVLMFDFCICAILFGIWLWICEGFQCIEG >Al_scaffold_0008_1682 pep chromosome:v.1.0:8:15417796:15420789:1 gene:Al_scaffold_0008_1682 transcript:Al_scaffold_0008_1682 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 2 [Source:UniProtKB/TrEMBL;Acc:D7MSB7] MASKLPLQNIDNANVAKAPASSLASAGNTIEHILLCPDSYIGSIEKHTQTLWVYEKEEMVCRSVTYVPGLYKIFDEILVNAADNKRRDPSMDSVKVVIDVEKNQISVCNSGDGVPLEEGVYVPGIFFGPWLSRNYNHPISRANHLRQKIGMPGTHIFPSMVDDLTLEYYEKKKETMLKNLELELLKLEKADDQKLREKWFTEILENAKPVEAAVAGATNAAEKSSYYNYLLQLSVLITDTVQEVRAQRDQMMDAVEDLKNATPESLCLKELEELDKQDAQPDEERQAPKKPAPKKASESVTKEASNSAMDTETTETAKEISLDDDDDDVVVSPEKKVRKLRSSPFNKKSSSVMSRLANKEEESSENAAGNSSSEKSGDVFANRGYVWWSDSESESGNESEFDDIEDDEDDE >Al_scaffold_0008_1685 pep chromosome:v.1.0:8:15424984:15427052:-1 gene:Al_scaffold_0008_1685 transcript:Al_scaffold_0008_1685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSC0] MGGLSMEELPLSALFEQARKIHLAASESGTDQDVVKKGCEMFQKCEDMIGKLGLFSSNETKEDISTNNLKYLLVPYYLAELTEKIIQEDRIQIVKASYAKLKLSFLLSEFFSFCEAMELVPDEELEASSRSSGAPADRRALKIARFKRQKAAEAKLLEIKERKERRGRSTKAAALSTPVESGEDDIPDDDSEEEREAWLSSINLAICKAVDLLEMLKREEEMLSAIKERQLKDGEDGFSRDALDDRTKKAETWHRDAAARIQYSKPAQPITCATFAQDVLEGRASVSQGHDHKNQPLIFGPASIVGGPLSTERERMIAQVFQPSHRMPTMCIEDAGLTEMNIMNDWQEQTKKAIEEATTSWYNDKPLRRKEEDEEEDDEDEEAVMKARAFDDWKDDNPRGAGNKKLTPCG >Al_scaffold_0008_1691 pep chromosome:v.1.0:8:15464458:15465462:1 gene:Al_scaffold_0008_1691 transcript:Al_scaffold_0008_1691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSC6] MVFVNDQDRFCSTSKLPLFSYPMNNRVYETTPGLATPPVNIAGSVPFLWEEAPGKPRRVKKSARSNQNGVVRCLELPPRLLLPGESTTVNEPSPTTVLDGPYDLRRRSLSLPRSAAVIRKLRGVPAPAPEKEQRLLGGSSRWGSFRKCKEVSEGIFDFSRFRDDGFDCRRDWTGGGGVGNVAGDGGTKVKLYRIIKKGSFFNLSHTTKSDLWLKMQARVYEGFKQVIPWKRKQENLERTNSSIV >Al_scaffold_0008_170 pep chromosome:v.1.0:8:930911:931759:1 gene:Al_scaffold_0008_170 transcript:Al_scaffold_0008_170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRD6] MGQFQGRMTTEEAKYTALIWAMQAAWALGYRAVEFEGDNQNVIRIINGSALNPRLNHFLNTIWGWRDKFPDAKFLFTHRQQNQCADLLAKTIRTSPNQWFYISKTNVLHLLNQIYIISVTSTQRLKIAFCLLEEQALLLMFVKFQNVVTAEPAKIFLCEQTPHASPILVFILSTAHMKLKPDQDFKTLDQSNTQLTKSELLSHSRFSKIIYTKLPLVDLHIRY >Al_scaffold_0008_1700 pep chromosome:v.1.0:8:15495463:15496661:1 gene:Al_scaffold_0008_1700 transcript:Al_scaffold_0008_1700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSD6] MEESSVKVQESLELNCRKSISTISVEGYDTSLHGYPLKLALRKHFASCGKIASMFVPRDFKRGILKSPLFIDFWGEGARDKALELSGSDVGRWNVVVKAVPRQEDYYDPAGFNFFRGERELVVKVYDLPSSVRKIDVQIGLCKHFSSCGEVTCVTVLVHGIFVHQRNTIISIMGEGCVEKAQQLSGQCNTDGWNIVVDSVVPLSADKRKPTGCEHPSIVLERIKKAKMEKERKMKNKTSE >Al_scaffold_0008_171 pep chromosome:v.1.0:8:943835:944365:-1 gene:Al_scaffold_0008_171 transcript:Al_scaffold_0008_171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRD7] MKFKEIADAFRELAVIVNKPNSDVPVKLFSHACSRFFLIFTVLKPAFKFAENDYVSKVNDLAKASPSTLTLEAMVDRDIEAKRVRKVGSHTRNLLRVKRGLEMIRVLCEETLATE >Al_scaffold_0008_1710 pep chromosome:v.1.0:8:15566193:15567114:-1 gene:Al_scaffold_0008_1710 transcript:Al_scaffold_0008_1710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSV4] MSKQTITSMPMRENIVTIDVKTKIEPTNVVLGWEQPEATSNAGMRKPEKYPIGRKLTLSQTQGAAGEVSGEEIRGVFDGDAGEVSKRDTGEVSERDAGEVSGGETGKAVAEGGLEAKRKATNKSPVIGFNNNQQCGETARRLAEEKTTEQRSGEETERRWKSEAEEWHFRWWREVVRETKVLRRNKEKDLDLATSRAMTKPDSEQPASRIPPEAETS >Al_scaffold_0008_1712 pep chromosome:v.1.0:8:15577167:15579364:-1 gene:Al_scaffold_0008_1712 transcript:Al_scaffold_0008_1712 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G53210) TAIR;Acc:AT5G53210] MQEIIPDFLEECEFVDTSLAGDDLFAILESLEGAGEISPTAASTPKDGTTSSKELVKDQDYENSSPKRKKQRLETRKEEDEEEEDGDGEGEGEEENKQDGQHKMSHVTVERNRRKQMNEHLTVLRSLMPCFYVKRGDQASIIGGVVEYISELQQVLQSLEAKKQRKTYAEVLSPRLVPSPRPSPPVLSPRKPPLSPRINHHQIHHHLLLPPISPRTPQPTSPYRAIPPQLPLIPQPPLRSYSSLASCSSLGDPPPYSPASTSSSPSVSSNHESSVINELVANSKSALADVEVKFSGANVLLKTVSHKIPGQVMKIIAALENLALEILQVNINTVDETMLNSFTIKIGIECQLSAEELAQQIQQTFC >Al_scaffold_0008_1714 pep chromosome:v.1.0:8:15591372:15591818:1 gene:Al_scaffold_0008_1714 transcript:Al_scaffold_0008_1714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSV8] MEEKKNRNEEYDTRRVEKNRPKDGGKSFPTIIFRDKLGARKWPFIFAMLRLIGIKIKGDEVEKKREEKKVEKKREERKVEKKEEEKKKMEENEVEKEEEKNEEEKKRNEDEEYDTWFKEEEKKMEEEKKNKDDVVLAAMALGWLKLLG >Al_scaffold_0008_1716 pep chromosome:v.1.0:8:15597230:15598049:-1 gene:Al_scaffold_0008_1716 transcript:Al_scaffold_0008_1716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed maturation protein [Source:Projected from Arabidopsis thaliana (AT5G53260) TAIR;Acc:AT5G53260] MGSSKDDASVTNISVEEHFSVSQSSPGGQFVGPTEEISTAAEALIGRSTTLTEALKAAAMNVGHKPVETTDVAAIKEVETRAIGGDDIEGTGGGVTAVASKAIARNKTIGKESEKTNLRDVIAEIDVKVTRDREVTSEDAEAVVQAELNHSPFKNIIPGGVAESVAAAYKLNHDPSL >Al_scaffold_0008_1717 pep chromosome:v.1.0:8:15599389:15600054:-1 gene:Al_scaffold_0008_1717 transcript:Al_scaffold_0008_1717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed maturation protein [Source:Projected from Arabidopsis thaliana (AT5G53270) TAIR;Acc:AT5G53270] MMFAFDLLKQYAGPTEQISTAAEALVGRSTTLTEALKAAAINVGRKPVETTDVAAIKEVESRAIGGDIESHGGVTAVASKAVARNQKIGKENEKTNLRDVIAEIDVKVTRDREVTSEDAEAVVQAELNHSPFNNIIPGGVAESVTAAYKLNCNPCNLSL >Al_scaffold_0008_173 pep chromosome:v.1.0:8:946821:956073:-1 gene:Al_scaffold_0008_173 transcript:Al_scaffold_0008_173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRD9] MSSSRNWDYDVFPSFRGADVRKTFLSHFLKELDLKSIKPFKDSEIERSHSIAPELIQAIRGSRIAVVVFSENYATSKWCLDELVEILKCKEELGQIVIPIFYDLDPFHVRKQLGKFGEAFKNTCLNKTKNEIQLWRQALNDVANLLGYHSHTCNNEPKMIEDIVSDIFHKLNETPSKDFDNFVGINNHIAEMNLLLCLEYEEARMVGIWGPSGIGKTTIARALFNLLARHFQGKAFIDRAFVSKSIEGYRRAKTGDHNMKLSLQGSFLSEILGKNIKIEHLGALRERLKHRKVLIIIDDLDDLVVLEALAGQTQWFGSGSRIIVVTKDKHLLEAHGIDHIYKVGFPSEKQALEMFCRSAFSQNSPPDGFMELASEVAAFSGGLPLGLVILGKVVKGRNKEDWIDMLPRLRKSPNRDIVETLRFSYDELDSEEDKAILRHIACLFNGVDVNNIKMMLSDSELDVNIGLKNLADKSLINVVPSWNNTNIVEMHCLVQEMGRDVVRKQSDKPGKREFLMNSKDICDVLRGCTGTEKVLGISLDIDEVKKVRIHKNAFDGMTNLRFLKFYKSSLERKKGFRWDLPERFDDFPDKLKLLSWPGYPMRCMLSNFCPEYLVELRMPNSKLEKLWEGVELLTCLKHMDFSESENLLRVKRGLEMIRVLFEELLATEADSSVKDAAYKAYNQVFGPHHGWAIQLAASTGFGSLLLSKAELYRIFNETGFPHIEEDEETFRSLIYKKLCCFCFSISMSSSRNWDYDVFPSFRGADVRKTFLSHFLKELDLKSIKPFKDSEIERSHSIAPELIQAIRGSRIAVVVFSENYATSKWCLDELVEILKCKEELGQIVIPIFYALDPFHVRKQLGKFGEAFKKTCLNKTEDERQLWRQALTDVANLLGYHSHTCNSEAKMIEDIVSDIFHKLNETPSKDFDNFVGINNHIAEMNLLLCLESEEARMVGIWGPSGIGKTTIARALFNLLSRHFQGKAFIDRAFVSKSIEGYRGAKTGDHNMKLSLQGSFLSEILGKNIKIEHLGALRERLKHRKVLIIIDDLDDLVVLEALAGQTQWFGSGSRIIVVTKDKRLLEAHGVHHIYKVCFPSEKQALEMFCRSAFTQSSPPDGFMELASEVAACSGRLPLGLVILGKGTEKVLGISLDIDEVKKVRIHKNAFDGMTNLRFLKFYKSSLERKKGFRWDLPERFNDFPDKLKLLSWPGYPMRCMPSNFCPEYLVELRMPNSKVEKLWEGVELLTCLKHMDFSESENLREIPDLSTATNLDTLVLNGCSSLVELHDISRNISKLNLSQTSIVKFPSKLHLEKLVELYMGQTKNERFWEGVQPLPSLKKIVFSGCANLKELPDLSMATRLETLNLSDCSSLAEVTLSTIQNLNKLMILDMTRCSSLETLPEGINLPSLYRLNLNGCSRLRSFPNISNNIAVLNLNQTGVEEVPQWIENFFSLELLEMWECNQLKCISPSIFTLDNLNKVAFSDCEQLTEVIWPEEVEDTNNARTNLALITFTNCFNSNQEAFIQQSASQILVLPGVEVPPYFTYRSNGSSLTIPLHRSSLSQQSFLEFKACVVVSEETVNHQLCFIDIQVHCRFRDKHGNYFEPPEPRFLSLHQKYNHLIIFHCQFPLNQDCDQVDIEFRLTSIRLLLKGCGIRLPDDPIPSLASLNEADESKAGDEELKGKEQVSLFNDCSSVENRLGNPKHLQHVCEANEENLANETENGEESGESNVKTESSRKRMRIMTGYHLPELKII >Al_scaffold_0008_1736 pep chromosome:v.1.0:8:15732330:15736720:-1 gene:Al_scaffold_0008_1736 transcript:Al_scaffold_0008_1736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSY4] MPRSTRHKSSKHKDATKDYSDSEKETSLKEKKSKEESSASVRVSKESGSGDKRKEYYDSVNGEYYEEYTSSSSKRRKGKSGESGSDRWNGKDDEKGESSKKTKVSSEKSRKRDEGDGEETKKSSGKSDGKHRESSRRESKETDKEKDRKYKDGKSDKFYDGDDHHKSAKAGSDKTESKAQDHARSPGTENYTEKRSRRKREDHGTGDKHQDNSDDVGDRLLTSGDDYIKDGKHKGEKSRDKYREDKEEDIKQKGDKQKDDRPTKEHPRSDEKLIRDESKKKSKFQDNDHGHEPDSELDGYHDRERNRDYDRESDRNERDRERVRDRDRDYERDRDRDRDRDRERDRDRRDYEHDRYHERDWDRDRSRDRDRDHDRDRTHDREKDRSRDYYHDGKRSKSDRERDNDRDVSRLDDQSGRYKDRRDGRRSPDYQDYQEVTMGSRSSRAEPDGDMTRSERQLSSSVVQEENGNVPDQITKVASSREAAELSGGSERGTRHKVSEKTANMEDGVLGEFPAERSSAAKASPRSMVERSPSSTSLDRRYNNRGGPRRSLEVEETGHRNNARDYSATEEERLLVDETSQAELSFNNKANQNNSSFPPRPESRSSPRVGPREEDNRVNTGGRYKRGGVDAMMARGQGNMWRGVPSWPSPLSNGYIPFQHVPPHGAFQTMMPQFPSPSLFGVRPSMEMNHQGIPYHIPDAERFSGHMRPLGWQNIMDGSGASHMHGFFGDMSNSVFRDESNMYGGSEWDHNRRMNGRGCESGADEWKNRNGDASMEVSSMSVKDDNSAQVADDESLGGQTSHSDNNRAKSVEAGSNLTSPAKELHANSPKEMAEVAAEDHVSETIDNTERYCRHYLSKLDVSAGLTDPELRKCISLLMGEEHITIDDGTAVFVNLKEGGKRVPKSNSTSLMALSLFPSQNSSVFQIAMDFYKEQRFEIKGLPNVKNHEPLQVPPSNLVKVENNDDLNDAMKGNSSIETSEIKEVADVSDTDTSQKEPQKVSSNAGAEMETETQDEGSSSPNPDNSPEALKAVASDHIEGYEEAVASDHIEGDEQEAKLDDGAGVEQTMETAPEHDGVPEGDPVTLTVSSPALVAMDVDERKDLSEDENMEEAEEKKEANDGEDAEADDGDGDGDGSVVVGDVSPKVTEPLVHESDESVISRIHHSPQSTH >Al_scaffold_0008_174 pep chromosome:v.1.0:8:958681:962749:-1 gene:Al_scaffold_0008_174 transcript:Al_scaffold_0008_174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRE0] MASSSSSSRNWVYDVFPSFSGEDVRITFLSHFLKELDRKLIIAFKDNEIERSQSLDPELKQAIRSSRIAVVVFSEKYPSSSWCLDELLEIVRCKEELGQLVIPVFYGLDPSHVRKQTGQFGEAFAKTCQRKTEDETKLWRQSLTDVANVLGYHSQNWPSEAKMIEAIANNVLGKLNFTPSKDFEDFVGMEDHIAKMSVLLNLESEEVRMVGIWGSSGIGKTSIARALYNQLSRRFQGSVFIDRAFVTKSKSNYESANPDDYNMKLYLLRSFLSEILDKKNVRINHLGAAEETLNRRKVLIFIDDMDDQVVLDTLAGQAQWFGCGSRIIVITKDKHFLRAHRIDHIYEVCLPSKDLALKIFCRSAFKKNSPPEGLMDLASEVALCAGNLPLGLKVLGSYLRGRDKEDLMDMLPRLRNSLDGKIEKTLRVSYDGLNDKKDKAIFRHIACLFNGEKANDIKLLLADSGLDVNIGLKNLVDKSLIHVRKEIVEMHSLLQEMGKEIVRAQSNEPGEREFLVDAKEICDLLEDNTGTKKVLGISLDMDEIDELHIHENAFKGMRNLIFLKFYTKKWDQKNEVRWHLPEGFNYLPHKLRLLRLDGYPMRHMPSNFRTENLVELHMPGSKLERLWEGVQELKGLKTINLHRSKNLKEIPNLSMATNLEELHLGDCSSLVELSSSVQYLNKLKSLVMSGCINLEILPTGINLQSLFSLNLKGCSGLKIFPNISTNISWLILDETSIEEFPSNLRLDNLLLLSMCRMKSQKLWDRKQPLTPLMAMLPHSLEELFLSDIPSLVDIPSSIQNFTHLDCLGIEDCINLETLPTGINFHHLESLNLSGCSRLKTFPNISTNIEQLYLQRTGIEEVPWWIEKFTKLDYITMEKCNNLIRVSLNIYKLKRLMVDFSDCGSLTEASWNGSPSEVAMVTDNIHSKFPVLEEAFYSDPDSTPPEFWFNFHFLNLDPEALLRQRFIFNSITLSGEEVPSYFTHQTTEISLTSIPLLQPSLSQQFFKFKACAVVSFDSLFLTWGFGVYIRVNCRFKDRHGNSFDTFGQPHGFSGSKKDNNLFILDCRVPLNKDNAALAQLNYDHVDMEIQIRKLDQSYKYGYTFKLKGWGIRVLEECSLLENRLPHVYEADEDNLVNMTEHSEEYVETVVKRQRETGSDCILPKGPLKKPLLCPASDTQEENKTSL >Al_scaffold_0008_1745 pep chromosome:v.1.0:8:15812455:15815014:1 gene:Al_scaffold_0008_1745 transcript:Al_scaffold_0008_1745 gene_biotype:protein_coding transcript_biotype:protein_coding description:oligopeptide transporter 8 [Source:Projected from Arabidopsis thaliana (AT5G53520) TAIR;Acc:AT5G53520] MKDFTDTRSESEWDSVSYGDNEISIVPQVELTVPKTDDPTSPTVTFRMWVLGISACVLLSFLNQFFWYRTNPLSISSVSAQIAVVPIGHLMARVLPTRRFFEGTRWSFTMNPGPFSTKEHVLITVFANSGSGSVYASHILSAVKLYYKRRLDFLPALLVMITTQVLGFGWAGLYRKHLVDPGEMWWPSNLVQVSLFRALHEKENKSKWGISRNQFFVITLITSFSYYLLPGYLFTVLTTVSWLCWISPKSILVNQLGSGSAGLGIGSFGLDWSTIASYLGSPLASPFFASANIAVGFFLVMYVITPLCYYLDFYNAKTFPIYSGKLFVASGKEYNVTSIIDANFRLDRKAYAETGPVHMSTFFAVTYGLGFATLSASIVHVLLFNGKDLWTQTKGAFRKNKKMDIHTKIMKRNYKEVPLWWFLSIFAVNLAVIVFICIYYKTQIQLPWWGAFLACLIAIFFTPLVGVIMATTNQAPGLNIITEYIIGYAYPERPVANICFKTYGYISMSQSLTFLSDLKLGTYMKIPPRTMFMAQVVGTLVAVIVYAITAWWLMAEIPNLCDTSLLPPGSQWTCPSDRVFFDASVIWGLVGPRRMFGDLGEYSNINWFFVGGAIAPALVYLATRLFPNKKWISNIHIPVLVGATAIMPPASAVNFTSWLVMAFVFGHFVFKYRREWWQRYNYVLSGGMDAGTGFMSVLLFLTLQRSEIAIDWWGNSGEGCAVAKCPTAKGVVVHGCPVF >Al_scaffold_0008_1748 pep chromosome:v.1.0:8:15828343:15831057:1 gene:Al_scaffold_0008_1748 transcript:Al_scaffold_0008_1748 gene_biotype:protein_coding transcript_biotype:protein_coding description:YELLOW STRIPE like 3 [Source:Projected from Arabidopsis thaliana (AT5G53550) TAIR;Acc:AT5G53550] MRSMMMDREGMNEIEREVIDDLEETQYEADDFKSIPPWKSQITVRGIVASLIIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVFLRSWTKLLTKAGIVTKPFTKQENTVVQTCAVACYSISVGGGFGSYLLGLNRNTYIQSGGTHTDGNYPGSTKEPGIGWMTGFLFFTCFVGLLALVPLRKIMIIDYKLTYPSGTATAVLINGFHTPKGNKMAKKQVFGFVKYFSFSFIWAFFQWFFSGGTECGFIQFPTFGLKARTNSFYFDFSMTYIGAGMICPHIVNLSLLFGAVLSWGIMWPLIKGLKGDWFPATLSESSMKSLNGYKVFISISLILGDGLYQFIKILVITGINMYAKLKDRHTGKSNSEKDKQSIADLKRDEIFVRDSIPLWVAAVGYAAFSVVSIIAIPIMFPELKWYFIVVAYMLAPSLGFSNAYGAGLTDMNMAYNYGKVALFILAAMAGKENGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLVSQAIGTAIGCVVAPLTFFLFYKAFDVGNPAGEYKAPYALVYRNMAILGVEGFSALPQHCLQLCYGFFAFAVAANLVRDWSPEKIGKWVPLPMAMAVPFLVGGYFAIDMCVGSLIVFAWNMRDRVKAGLMVPAVASGLICGDGLWILPSSVLALAGVKPPICMNFMPSKYSS >Al_scaffold_0008_175 pep chromosome:v.1.0:8:963755:968090:-1 gene:Al_scaffold_0008_175 transcript:Al_scaffold_0008_175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRE1] MVCSSSSSLNWVYDVFLSFRGEDVRVSFRSHFLKELDRKLITAFKDNEIKKSHSLWPELVQAIKESRIAVVVFSKNYASSSWCLNELLEIVNCNDKIVIPVFYGVDPSHVRNQTGDFGRIFEETCEKNTEQVKNRWKKALSDVANMFGFHSATWDDEAKMIEEIANDVLGKLLLTTSKDFVNFVGIEDHIAEMSLLLQLESEEVRMVGIWGSSGIGKTTIARALFNQLSRNFQVSKFIDKAFVYKSREIYSGANPDDYNMKLHLQESFLSESLRMEDIKIDHLGVLGERLQHQKVLIIVDDLDGQVILDSLVGQTQWFGSGSRIIVVTNDKHFLRAHRIDHIYEVTFPTEVQGFQMLCQSAFRQNYAPEGFGKLVVDVARHAGRLPLGLNVLGSYLRGRDKEYWIDMLPRLQNGLDDKIEKILRISYDGLVSAEDQATFRHIACLFNHMEVTTIKSLLGDSDVSIALQNLADKSLIHVRQGYVVMHRSLQEMGRKIVRTQFIDKPGKQEFLVDPNDICYVLREGIGTKKVLGISFNTSEIDELHIHESAFTGMRNLRFLDIDSSKNFRKKERLHLPESFDYLPPTLKLLCWSKYPMSGMPSNFRPDNLVKLRMRKSKLHKLWEGVVSFTCLKEMDMLGSKYLKEIPDLSMATNLETLCFRNCESLVELSSSIRNLNKLLRLDMGMCKTLTILPTGFNLKSLDHLNLGSCSELRTFPELSTNVSDLYLFGTNIEEFPSNLHLKNLVSLTISKKNNDGKQWEGVKPFTPFMAMLSPTLTHLWLDSIPSLVELPSSFQNLNQLKKLTIRNCRNLKTLPTGINLLSLDDLDFNGCQQLRSFPEISTNILRLELEETAIEEVPWWIEKFSNLTRLIMGDCSRLKCVSLNISKLKHLGEVSFSNCAALTRVDLSGYPSLMEMMEVDNISEEASSSLPDSCVHKVDLNFMDCFNLDPETVLDQQSNIFNLMVFSGEEVPSYFTYRTIGISSLTIPLLNVPPSQPFFRFRVGAVLPVVDSGIKIKVNCEFKGRFWNNFYVGFDFIVGVHYSNTEGSHMLAILDYHIPLNEDNSAPLAQGNYDHVDMEINTSYCYGNSSGCVLKGWGIRLLEDCSSPENNQLGNPITHPHVCEDNILVKSGDTNIETERSRKRMWASIKHVMNIICGNRLGDQTSLPHVCEAEDDNMGYTPLQRLVYETEHIEESGDGNVETERSRKRMRLHDFI >Al_scaffold_0008_1753 pep chromosome:v.1.0:8:15856474:15858607:1 gene:Al_scaffold_0008_1753 transcript:Al_scaffold_0008_1753 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like/FBD-like domains-containing protein [Source:Projected from Arabidopsis thaliana (AT5G25850) TAIR;Acc:AT5G25850] MVPTLSDPGKKSGLDEFLVSEQNWLYRTFRFFDSDRVSCIHKLKLAINGNGDGVDDTSYIKSWIDAAVKRKVQHLRVMWFVKYGEMPLSFYVCETLVSLNLVCVALDDVEFVSFPRLKTMHLLCVRLSKDAAFERFISCCPVLEDLRIAGCVNEPLSFRVHSQSLKRLIIGRGNLTHQVDSVPGVVIDAPQLCCLRIIDHVSKSFIVNNLEYNAKLEVNITFGLKVSDEESISSGRSLIHSFLSGILKVGDLTINSDTLKIIYDYSKSESLPQFGYMSRLCVSVMAQYLTWLEPFLKSCPNLRSLVLVLLTYHFILFDPLFFPRQFPNSLPERMNQINFSCVPKCLQSSVEFVDLKFQISGPVTEMKMKLVRYFLENSAILKKLTLHMYSNSTEDEILTKLLQIPRASTKCEVVIVIK >Al_scaffold_0008_1754 pep chromosome:v.1.0:8:15866940:15868696:1 gene:Al_scaffold_0008_1754 transcript:Al_scaffold_0008_1754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MT05] MKLVRYFLENSAILKNLTLSLINYSISEKSAFKEELLKMPRGSTECEVVLLDWFFDSNKESWIRKLRIDIPNSSHSKSFPTRWIDAVSTRRIEHLDVHFAFCRPEESPSLNIYVCQTLVQLQLQGVALASAELSLMLLIYVV >Al_scaffold_0008_176 pep chromosome:v.1.0:8:970696:976059:-1 gene:Al_scaffold_0008_176 transcript:Al_scaffold_0008_176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRE2] MASSSSTSRNWVYDVFPSFSGEDVRITFLSHFLKELDRKLIIAFKDNEIERSQSLDPELKQAIRTSRIAVVVFSEKYPSSSWCLDELLEIVRCKEELGQLVIPVFYGLDPSHARKQTGKFGEAFVKTCQRKTEDETKLWRQSLTDVANVLGYHSQNWPNEAQMIEAIANNVLGKLNSIPTNDFKDFVGMEDHIAKMSALLHLESKEVRMVGIWGSSGIGKTSIARVLYSRLSHRFQSSVFVDRAFISKSTEIYNSANSDDYNMKLHLQKVFLSKILDKKDIKIHHLGAVEERLKHHKVLIFIDDLDDQVVLDTLAGLTQWFGYGSRIIVITKDKHFLRAHGIEYIYNVCLPSNELALKIFCRSAFRKNYPPDGLMELASEVALCAGNLPLGLNVLGSHLRGRDKEDLMDMLPRLRNGLDGKIEKTLRVSYNGLNNQKDKAIFRHIACLFNGEKVDDIKLLLADSGLDVNIGLKNLVDKSLIHVREEIVEMHSLLQEMGKEIVRSQSNEPGEREFLMDAKDICDLLEDSTGTKKVLGITLDMDEIDELHIHENAFKGMHNLLFLKVYTKKWDKKTEVRWHLPKGFNYLPHKLRFLRLDGYPMRCMPSKFRPENLVKLEMSGSKLERLWEGVHSFRGLRDIDLQKSENLKEIPDLSMATSLKTLNLCDCSNLVELPLSIQYLNKLEKLEMSGCINLENLPIGINLKSLGRLNLGGCSRLKIFPDISTNISWLILDETGIETFPSNLPLENLFLHLCEMKSEKLWGRVQQPLTPLMTILPHSLARLFLSDIPSLVELPASIQNFTKLNRLAIENCINLETLPSGINFPLLLDLDLRGCSRLRTFPDISTNIYMLNVPRTGIEEVPWWIEKFSNLVRLCMGGCNKLQCVSLHISKLKHLGDVDFSDCGALTKASWIDSSSVEPMASDNIQSKLPFLGEVPSSFPDNLINCFNFNFEQIPIIDPQVDSKYIRLSGEEVLSYFTHRTTGMSLTNIPLLQTSFTQPFFRFKACVVVDSISSPHNVFQFRIHVSCRFKGRLGNHFDSPYQPCGFVKRKGIHLVIFECCFSIKEENDASLTELNYDHADIEFRLSNSSYSTSVLKEWGIRLSDDFSSPVIQPGDGNNLPDVFEADDDNMVNETEHSEESGDSNVEIERSKKRIRITEEEHQ >Al_scaffold_0008_1763 pep chromosome:v.1.0:8:15923743:15946995:-1 gene:Al_scaffold_0008_1763 transcript:Al_scaffold_0008_1763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MT14] ELVKELYMRREKKKDPKGIMDTQTPVKFWLFSSWESWIRKLWLDFGDHDGRLDLSSWIDAVTTSRMQHLDVSYFCGDEIPLSLYTCETLVHLRLCEVTLSNADFVSLPCLKIMHLLHNRYPNEATLQKLVSGSPVLEDLTIIRSSDDDEANVLQVRSHTLKRIEICEDTQVVIDAPLLQCLTATVSSTKNFQIVNLGFSAKLYIVFPYCHMTYSSMIPDILTDISRVRELVIRNAIFWKELVKELYMRREKKKDPKVLFRYSK >Al_scaffold_0008_1764 pep chromosome:v.1.0:8:15950575:15952234:-1 gene:Al_scaffold_0008_1764 transcript:Al_scaffold_0008_1764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MT15] MPLESWIRKLRLNYCSPHGRLDLSSWIDAVTTRRIQHLDVRFFCGNEIPPSLYTCETLVHLRLCEVTLCNADFVSLPCLKIMHLQHNKYPNETTLQKLISGSPVLEDLTIYRSWNGDDKANVLLVRSHTLKRVYINEGTQVVIDAPLLQCLSATVSSTKNFQIINVGSSAKLDIGFPYCHTTYSSMIPDILTDISRVRELVINNAIVWKELVKNRFMRGKKEKEPKVARSCV >Al_scaffold_0008_177 pep chromosome:v.1.0:8:977734:981753:-1 gene:Al_scaffold_0008_177 transcript:Al_scaffold_0008_177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRE3] MRNIRFLEIKKCPSKEVNLHLPESFDYLPPKLKLLCWPDYPMRSMPTTFSPKNLIKIKMQFSKLEKLWEGVASLTCLKEMDLYGCAYLKEIPDLAMAANLETLILVFCVSLVKLSSSVQNLNKLTTLDMKFCMSLETLPTFINLKSLNYLDLKGCLQLRNLPEISIKISKLILNDTAIEQIPCNLRLENLVELQMRNLMGEKLRKGVQPFMPLQAMLSPTLTKLQLENMPSLVELPSSFQNLNQLKYLHIQYCINLETLPTGINLQSLVNLNFKGCSRLRSFPEISTNISSLDLDETGIEEVPWWIENFSNLGLLSMDRCSRLKCVSLHISKLKHLKKAYSSDCGALTRVDLSGYESGVEMMEADNMSKEASSSLPDSCVPDLNFWNCFNLDPETILRQQSIIFNYMIFPGKEVPSYFTHRTTGISSLTIPLLHVPLSQPIFRFRVGAVVTNNDEVHIKVKCEFKGICGNSFDVCSDFYVYTNYKEVREGGHMLTILDCRIPLNEDNTPLAQRDYDHVDMQIDASDDCIKGWGIRLLEDCSSPENQLGNLINIKGLVKSGDINVETERSRKRMRGNTKICCDVLMPICKITRYHFESSIANLEPELDLFLTSSSIWTQCYVELPFIFGHVFQADESNRDNEPEYVEKSGDREKRKQIKITRH >Al_scaffold_0008_1770 pep chromosome:v.1.0:8:15985841:15986718:1 gene:Al_scaffold_0008_1770 transcript:Al_scaffold_0008_1770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MT21] MSQHGNFDTTFTKIFVENLPWTTRQEGLVNFFKRFGEIIRANVVFYKKTDRSQGYGFVTFRDAESARNACKNPNPTIDGRVTNCKLASLGAKVKPNQSKIIPLAHDDLCFRSPSFCQNLQQPSNFPQPVTTNPRPQRNQVCPQHPQQYCCNSPQHYLQYNPQYVPVSYKHYHLVDDTNQCYWLHQSINAVNGESSSLQAMGMLTEFLSTQGSSLSRSRDRQS >Al_scaffold_0008_1774 pep chromosome:v.1.0:8:15997850:15998044:-1 gene:Al_scaffold_0008_1774 transcript:Al_scaffold_0008_1774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MT26] MGLDDIYDYGINSPLDYSSEEEEDSSYYHLQPQTVKRSLWSCDAEPTKPDYETELMKFKDDQNH >Al_scaffold_0008_1775 pep chromosome:v.1.0:8:15998330:15999204:-1 gene:Al_scaffold_0008_1775 transcript:Al_scaffold_0008_1775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MT27] MALIAMQTLVPPKSLLLVHKLVHSRVNLLVNQKRQQNNVGREGYGEHESRPHGIKAMKKLRKTNGKEKASASASPSAASASPSAEFSKMFELKQKDLEGMKELQKLSILDSLIAKKENLDEEDKVVKKKLVAELFLT >Al_scaffold_0008_1778 pep chromosome:v.1.0:8:16014122:16014502:-1 gene:Al_scaffold_0008_1778 transcript:Al_scaffold_0008_1778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9J1] MKNLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCGGALDRRSSCFANRNLKNYDLLLVTIFTSSARFRSRFTTVS >Al_scaffold_0008_178 pep chromosome:v.1.0:8:989942:991645:-1 gene:Al_scaffold_0008_178 transcript:Al_scaffold_0008_178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRE4] MDPWSSSYNWVYDVFPSFSGEDVRLTFLSHLLKELDRKMIIAFKDNEIPRGQSLDPELKQAIRDSRIAVVVFSKNYASSTWCLNELLEIVQYKEEFGRQMVIPVFYDLDPSHVRKQTGDFGKIFQETCKNKTEDVINRWKKALTDVANILGYHSVTQVNEAKMIEEIANDVSGKLLLTTSKDFEDFVGIEDHIANMSELLELESEEVRMLGIWGSSGIGKTTIARTLFNRISRHFQGSIFIDRAFISKSMESYSRANPDDYNMKLHLQGNFLSKLLKKKDIEINHLGALEERLRHQKVLIFIDDLDDLMVLEVLAGQTQWFGCGSRIIVVTTDKYFLTAHDIDLIYEVGLPPIKLALEMFCRSAFKQKYPPDGLMEFASEVVNRAGSLPLGLNVLGSSLRGLNKDDCMNMLPRFRRSLDGKIEKTLRVSYDGLSREEDKAIFRHISCLFNHVETKDIKLFLADSELDVNIGLKNLVDKCLIHERWGKVEMHCLLEEMGRNIVRIQSIDKPERREFLVDSKDICDVLTRAIVSFS >Al_scaffold_0008_1782 pep chromosome:v.1.0:8:16031867:16032049:1 gene:Al_scaffold_0008_1782 transcript:Al_scaffold_0008_1782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MTJ0] ITKADESREAENALHEGNRRLGKVDAMKLARVVKLGTEMDLDLWNKVVKILKMTDAEKNG >Al_scaffold_0008_1784 pep chromosome:v.1.0:8:16036698:16039016:1 gene:Al_scaffold_0008_1784 transcript:Al_scaffold_0008_1784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTJ2] MSLLFGLVAKHSVEEGDTVRFCSYPRQTPYLLVNCSLDVDKYSNISISYNIFNPRNEKLIKITDKIFLKSLPLSEAFIFFGTSRSWAAFMHNICTYTLLSDVFNPWSSESSTRTIALLPLVFKGVTASVSLSTPFPDQDNDYIVSVIFFGSKLYYCMPNRDSEWTSINIPFSCDFDSQVVFSRKDQMFYLLTTGCAYIAALDLKNNKDPTFLQIQFQNFPLIPQHEWEILASCSRSDYIAESSSGERFIVQWYLMYVESWCNGNITKVFRETKQFMVFREEEEHKFQRSKMITNYTENIGDLCIFIGNNETFCLEASKYPGLRPNSIYYVGHGFGVYDISKKSVREYESDLTKKSKLSSTSFIAKY >Al_scaffold_0008_1790 pep chromosome:v.1.0:8:16077894:16078406:-1 gene:Al_scaffold_0008_1790 transcript:Al_scaffold_0008_1790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTK1] MAQVQNSPTREMSETKQKARTLLMDDCTVAGALDPVNPRYPASRQPRQIEEHNGKGPNSNHVLSPPTHQIRHLSPEKLATATTASTESDPDLAIRPIDLSPSLHFQISPIRPSKNASTGPVNTKHGESRGWWLTQTSEDELRVSQANPEELPLTTASKPRNPPYRHQLPP >Al_scaffold_0008_1792 pep chromosome:v.1.0:8:16082490:16084041:-1 gene:Al_scaffold_0008_1792 transcript:Al_scaffold_0008_1792 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G53810) TAIR;Acc:AT5G53810] MENNFVVPSTIYPNPKSAQTKDEQQVEEARLLARRLSNAAAFPMVLKAALELGVIDIIATIGDGLWLSPSEIALRLPTKPSNPEAPVLIDRMLRLLASYSILKCRNVVTKENGQTGKIERVYTAEPVCKFLLNNSDSSGSFASLIMMNLSDVNIKTWGHLKGVILEGKDAFNSAHGMKLFEYMRSNEQYCKLFSQAMSESSSMVMEIVLEAYDGFKDVKTLVDVGGGLGNTLSLITSKYPHILGINFDLPPVIARAPLYPGIKHAAGDMFTKIPNGDAIFMKWILHDWTEEQCIKILKNSWKSLEENGKVIIVEMVTPVEAKSGDICSNIVFGMDMTMLTQCSGGKERSLYEFENLAYASGFSRCEIACSVYPFSVIEIYK >Al_scaffold_0008_1798 pep chromosome:v.1.0:8:16104387:16107466:1 gene:Al_scaffold_0008_1798 transcript:Al_scaffold_0008_1798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTL0] MAELELPSRLFADREEPAGDRVNMYFKLNTIKAAVPAVLSAVGEITDPESGDEDVFPVISLKLDKVWDLDKDSKVDVFSIIPAPHDVVGLEDCSWADEVRDPGVEVILSKIEEGCEFNRGMFVGGLRGAVLHVEAPPRVVNKGKHKVRSRHSGEHLVRGGSSRDKKLKVRSGKGKHVLSDPNTSLLGALRNEIEASLKDARGDVYAHVYVDLKEMELRLERSMKRSIFSAVAEALSSMEIVKSVVTEVGVGTSDPYSQPPANGASNPVNPAKVASILVNPADGASSPVNPAATSNLNGESEEISSSGSEDSTKSQASGGTIDGEGGSVPEAALESDGTPSSEKFKKLVELLEPRFDFEYGGGIVLKESELRMVASSIPPDNPQVMDACVSVMRESVFINTDPAAVPRADMLTSHFHGSLAVMFSKFKKCRRKESFEFDEDLLSSITHRFSSTRRKWLEAIDYLYSPFNIDKNRWIAVMVDLPSHSLSVFDSTANALRGSRLKPELEFLCEMFPYLVHKIGANDLMINYPLSPLSFTHHTRVTQASDRANTGMLSLLFMEAHAFGSFDKVCQVSEAGLRQRAEQLAVQLYEHCCGDIEV >Al_scaffold_0008_1800 pep chromosome:v.1.0:8:16127636:16128761:-1 gene:Al_scaffold_0008_1800 transcript:Al_scaffold_0008_1800 gene_biotype:protein_coding transcript_biotype:protein_coding description:early nodulin-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G53870) TAIR;Acc:AT5G53870] MSAIMKTLCFSFLILASFATFFSVADAWRFNVGGNGAWVINPQENYKKETVSKSMTLSVNFKYAKGSDSVQQVMKADFDGCNVRNPIKNFDNGESVVTLDRSGPFYFISGNEDHCKKGQKLIVVVLAVRDHQTPPKSHSPVPSVSPAQPPKSHSPVSPVSPAKPPSMAQPPRSSSPATAPSKSQPPKSSVSPAQPPRSSSPVSHSPSHSPSHSPATPSPSPNSPTPVSHSPVHSPAHAPSPTPKSPSPVSSPSPVSSPSPVQSPASPSDQTTPLSPSPSETTPTADSITAPAPSPRKNSASGLAVTSVMTTLLSVASTILMFA >Al_scaffold_0008_1803 pep chromosome:v.1.0:8:16138829:16139285:1 gene:Al_scaffold_0008_1803 transcript:Al_scaffold_0008_1803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MTL4] CLERNLQSSRCFTAQKLEHSLQGSNGAGESSASMNEAREEAMGTSTDTKAPDVSLSYAADTAKEGLKRATDLAKEESGDAATEEAGTVAGGEEESEENMTVGEIGTVKRAKSILKLKNVSVLCMLGLKKPNQ >Al_scaffold_0008_1805 pep chromosome:v.1.0:8:16147239:16148429:-1 gene:Al_scaffold_0008_1805 transcript:Al_scaffold_0008_1805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTL6] MQGSSFSMVLVVALCATTLLRSGLAEVLVTPRFPSISAFPVDLTKCWSSLFNVQGCNIEILKSALTGKFENVGSICCKAFTEVDANCWPKMFPLNPLFPPLLKDGCSRISAAAPAHTAPQFSVIPGSSIDLTKCLSSLVNVQGCVTEIHKSVFTGNFGNVGAMCCKAFSAVDAKCWPQMFPLNRFFPFLLKSKCSRTNADYLKTAVQPPRQGRTEPSNDPLQHQMHPETIVAVNHALIARSWFINQG >Al_scaffold_0008_1807 pep chromosome:v.1.0:8:16150924:16151565:-1 gene:Al_scaffold_0008_1807 transcript:Al_scaffold_0008_1807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTL8] MEGTNQASFSMILVVALCATTLLRPGIAEVLVTSRFPSIPGSPIDLTKCWSSIFNVQGCNIEILKSALTGKFENVGPTCCKAFTELDAKCWPKIFPLNPLFPPLLKDGCSRIMAGAPAHTTPQFLVIPGFPIPGSPVDLTKCLSSLVSVQGCVTEIYKSVFTRKFDNVGPMCCKALSAMDAKCWPQMFPLNPFFPPLLKNECSRINTAAPTHK >Al_scaffold_0008_1814 pep chromosome:v.1.0:8:16175141:16177012:1 gene:Al_scaffold_0008_1814 transcript:Al_scaffold_0008_1814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTM6] MHSSSASLQAHASSTCESASTASSPNPKWIRSEGNKREKYKIDVDSHRQSTTKMKRSIEFNGWDNFHKIRAVKRDGIFSGLVGDCGWDPRRMFFSLRSCEGSETGHYVDAIFSSGLWAAYAFYTNQPIVLFGNVFSFSIQTIFVCLSLYLAPNKAQNATTLKYMFHKISVFVVILLLARCLCHGSRCIQILGIASMLFSLYCYLKTINVMREAQQKGNLRMPALVTLSALMWLTYGLARSEHYIAVTMCIRFGCWSAWHPLQHARPRRRSNTFEYNGDSLSRVFISNALS >Al_scaffold_0008_1815 pep chromosome:v.1.0:8:16181735:16183527:-1 gene:Al_scaffold_0008_1815 transcript:Al_scaffold_0008_1815 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC (No Apical Meristem) domain transcriptional regulator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G53950) TAIR;Acc:AT5G53950] MNIPYYHYDHGGDSQYLPPGFRFHPTDEELITHYLLRKVLDGCFSSRAIAEVDLNKCEPWQLPGRAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIFSSKTCALVGMKKTLVFYKGRAPKGEKSNWVMHEYRLEGKFSYHFISRSSKDEWVISRVFQKTSLASTGAAGGASVSVSSGTGASKKTKVPSTISRNNQEQPSSPSSVSLPPLLDPTTTLGYTDSSCSYDSRSTNTTVTASAITEHVSCFSTVPTTTTALGLDVNSFNHLPPPPGFDFDPLPRFISRNVSSLSNFRSFQENFNQFPYFGSSSTSTMTSSVNLPSFQGGGGVSGINYWLPATAEENESKAGVLHAGLDCIWNY >Al_scaffold_0008_1821 pep chromosome:v.1.0:8:16214186:16215651:-1 gene:Al_scaffold_0008_1821 transcript:Al_scaffold_0008_1821 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G54000) TAIR;Acc:AT5G54000] MEKPKFKTVQELLAAGEKLPERYLCTPTGDGEGDQPFNVSMPEMEIMVIDLSLLLSSSDDGREELSKLHSAISTWGVVQVMNHGISEAFLDKILELTRQFFVLPTEEKHKYAREISSFQGFGNDMILSDDQVLDWVDRLYLITYPEDQRQLKFWPKIPSGFRETLLEYTMKQQLVVEKFFKALARSLGLEDNCFLEMHGENATLETRFNMYPPCPRPDKKNVDGLQFLKDGKWYKASILPHTILINVGDTMEVMSNGIYKSPVHRVVLNNEKARISVVTFCDADEDKEIQPVTELVSEARPRLYKAVKKSEKNFFNYYQQGRRPIEAAFL >Al_scaffold_0008_1826 pep chromosome:v.1.0:8:16234654:16235535:1 gene:Al_scaffold_0008_1826 transcript:Al_scaffold_0008_1826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MTN7] SRKMLVIAHHIQGCEVEIFKSVLTGKIENVGPTCCKAFTKVDANCWPKMFSLNPLFPPLLKDGCSRIIAGAPAAHTTPQFPVISGSPVDLTKCLSIVITCKRSRLHN >Al_scaffold_0008_1841 pep chromosome:v.1.0:8:16301970:16302238:-1 gene:Al_scaffold_0008_1841 transcript:Al_scaffold_0008_1841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MTQ2] MNKYNVKKLTFTDDDHHEGDKDLAIIHETGQVACRDYPHPRHLCLNFPFGSTPNPSHCDL >Al_scaffold_0008_1842 pep chromosome:v.1.0:8:16306101:16306304:1 gene:Al_scaffold_0008_1842 transcript:Al_scaffold_0008_1842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTQ3] MTTTSSSLTGVFCYLLRADPSKNQTFNKPSEKSNLQLAGSPLTNLRPSKTPNSNPIPDKRYLLLLLF >Al_scaffold_0008_1844 pep chromosome:v.1.0:8:16312683:16313309:-1 gene:Al_scaffold_0008_1844 transcript:Al_scaffold_0008_1844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTQ4] MNIIPHPLLLDIVRRLSQHGFRELGALIASGPEFMALVFDASVLKDVDIDEFVFVTQLCNEDSVFRPFFLRCLDSGNPAAQFVEGLRLAVAEGPSERSVELLCEAYVDSSFDTGMHVMEQFFSLLRNMEEAVDIAEMVLTQTAGFRLPRAGRFNNSFRFGGGLPHCFLNNYSVLHLCRRCFVYMYAIRFQELC >Al_scaffold_0008_1845 pep chromosome:v.1.0:8:16321938:16324711:-1 gene:Al_scaffold_0008_1845 transcript:Al_scaffold_0008_1845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTQ6] MVKVGSYLEDADSLVAKLKQSSVAVHGFPLAIQLFALKYIPLLLTILPNGEDQSTFLDRIIHHLPKCKSFHTSNILRLEYSSNLCVLHPQNPDPAFVASEHCDPKVKELERLIASSFQFTKDVWSGGDASMPSLTSSRKRKSIPSRSASSSSGPEDFCKQKKRVGHRSSVKIRGNADTLIEKHLKSFKASLLVELSHLIQHSHQSTRRGVPPVNKSSIVSPAVSSSPVRNTRSGCAGLSAGSFSKRSASNTRSSSSNAKHSPICHPAVGFAHTVLSPGKCLESMVDPEAVGFTDFQHLDTDVLPPEHIDCIVSFLWQKHGAFLATRRITVLDSMFTSMMDNKFVNFSQHVNTLTYAWHPLLTAYVCGLVDGRTYCTSARKVASYMAPIAQMLPCLLRSVCEDVPSTWPATGFTFTRMIGLAQNDRGGDCGPMSLKFIELHSHQLTSHLQELTKKTVNNIRMRYAIDLYEEYVSRV >Al_scaffold_0008_1854 pep chromosome:v.1.0:8:16367914:16368267:1 gene:Al_scaffold_0008_1854 transcript:Al_scaffold_0008_1854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTR7] MGSSKLMFTFIVVAMLAISSDLFSVETGISVQAAPPTCGRDCTEKFLTQDCDKYCVGLSYKRGVCILSEGLPPKTSTYRCCCS >Al_scaffold_0008_1859 pep chromosome:v.1.0:8:16389098:16389373:1 gene:Al_scaffold_0008_1859 transcript:Al_scaffold_0008_1859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPR1] MRNQIGWKTNPVEKTEKTRSLANEKQSEQKNRATERTRSREKPSSESDLGRDTEPRLKSTPVEVEILAGEARFADERSETRFTVAGVFTLS >Al_scaffold_0008_1861 pep chromosome:v.1.0:8:16399727:16406529:-1 gene:Al_scaffold_0008_1861 transcript:Al_scaffold_0008_1861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MU71] MYFFFIFFVFFFLLKGFVFFFFFFYFFHYIYFSFVFFVFFFQFSFFGFIIFFCIF >Al_scaffold_0008_1862 pep chromosome:v.1.0:8:16407716:16408804:-1 gene:Al_scaffold_0008_1862 transcript:Al_scaffold_0008_1862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1223) [Source:Projected from Arabidopsis thaliana (AT5G54240) TAIR;Acc:AT5G54240] MAPRLFACFGVKGSSTSTTTGKGISGHNAAVVAADVPVGDGPVLVQLFSSQGCKTSPEAEMLVSRLGRGDFDGKIRRDGGSGGSPAMVLVFHVDYWDYLGWKDPYGSSQWTIRQKAYIEALNQDTMFTPQFVVQGRVQLLGNEEETLLKSIVEAPRFPSPAFRATFQRPTSETLQVSLTGALRMKVDSSGIDIMVALYENSLVNDCPRGENSGRVLSNDYVVRKLEKLCTVKDLTAKKTVSETAHFTVWDGFNSSKCGVAVFLQNTSLQIFGTQSFQLPDEI >Al_scaffold_0008_1867 pep chromosome:v.1.0:8:16436619:16442582:-1 gene:Al_scaffold_0008_1867 transcript:Al_scaffold_0008_1867 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin 2 [Source:Projected from Arabidopsis thaliana (AT5G54280) TAIR;Acc:AT5G54280] MMLSASPNTLAKSSLEEMLESLRQKDECDRPKDMPPALPSRPNSRARLPSARRSLPAKFNVSSVMEDQNGSVVSATPAVEAESERKEEGGKRKEKDLGVKRNSFGSKKMRTGLRSESPYAAEKEEDGMMISSAKVSPVENTEEHKPESEWNNNVEYFIKKKLRVWCRVSNGLWQLGKIQSTSADTSFVMLSTENVVKVSTEELFPANPDILEGVEDLIQLSYLNEPSVLYNLRVRYSQDVIYSKAGPVLIAVNPFKDVEIYGNDVISAYQKKAVDAPHVYAVADAAYDEMMREEKNQSIIISGESGAGKTETAKFAMQYLAALGGGSCGVEYEILKTTCILEAFGNAKTSRNANSSRFGKLIEIHFSAMGKICGAKLETFLFDQSRVVQLFNGERSYHIFYELCAGASPILKERLKLKTASEYTYLSQSDCLTIAGVDDAQKFHKLLEAFDIVQIPKEHQERAFALLAAVLWLGNVSFRVTDNENHVEVVADEAVANAAMLMGCNAEELMVVLSTRKLQAGTDCIAKKLTLRQATDMRDGIAKFIYANLFDWLVEQINIALEVGKSRTGRSISILDIYGFESFKNNSFEQFCINYANERLQQHFNRHLFKLEQEEYEEDGIDWTKVEFVDNQECLDLIEKKPIGLLSLLDEESNFPKATDLTFANKLKQHLKTNSCFKGERGRAFRVNHYAGEVLYDTNGFLDKNRDPLPADLINLLSSCDCQLLKLFSTKMRDKSHKPLMLSDSTNQTVGTKFKGQLFKLMNKLENTSPHFIRCIKPNSKQLPRVYEEDLVLQQLRCCGVLEVVRISRSGYPTRLTHQEFAGRYGFLSSDKKVSQDPLSVSIAVLKQYDVHPEMYQVGYTKLYLRTGQIGIFEDRRKKVLQGIVGLQKHFRGHLSRAYFQNMRKVTLVLQSYIRGENARRMFDTEAKIHADSVSEASTDELTAIIHLQSAVRGWLARKRFNGMQRQKELLNVTTKSKRKAGRRISEDKDIPLEQSRVQQPSMSDLQKRVLKSEAALAQKEEENTALREQLRQFEERWSEYDIKMKSMEETWQKQMSSLQMSLAAARKSLAAESITGQAGGRQDTSISPFGYDSEDTMSTGTPGVRTPTTKFTNGNTPELRIRELNGSLNAVNHLAREFDQRRLNFDEDARAIVEVKLGPQATPNGQQQQHPEDEFRRLKLRFETWKKDYKARLRDTKARLHRVDGDKGRHRKWWGKRG >Al_scaffold_0008_1876 pep chromosome:v.1.0:8:16501451:16502720:1 gene:Al_scaffold_0008_1876 transcript:Al_scaffold_0008_1876 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G54400) TAIR;Acc:AT5G54400] MGKTTNGTRDWAQIYAIYGIEQKHTLIFLIINAIAFSVLSTVFFIYFNPICVFFQSFLFSNAAAARFSAGFFGAVTAISAVCLFFAAANFFYSAVPLRYEMAQRMVGSVGDWSSVKTALDLGCGRGILLNAVATQLKKTGSSGRVVGLDRSMSTTLSTLRTAHIEGVQEYVTCREGDVRRLPFSDNYFDVVVSAVFLHTVGKEYGQKTVEAAAERMRVLGEAVRVLKPGGVGVVWDLVHVPEYVRRLQELRMEEIRVSKRVTAFMVKSHMVSFKKPSQHFVGSGEVRLDWRC >Al_scaffold_0008_1887 pep chromosome:v.1.0:8:16581258:16581548:-1 gene:Al_scaffold_0008_1887 transcript:Al_scaffold_0008_1887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUA2] PRNHRRTSIAGTTTAGPPAPKPPSPELRRRNHHRRTFLASTTTAGALSPEPPPPELRRRNHHRRTSVAGTTIIAGPGPPPPESRRNHHRRNYHHHH >Al_scaffold_0008_1893 pep chromosome:v.1.0:8:16637750:16639532:1 gene:Al_scaffold_0008_1893 transcript:Al_scaffold_0008_1893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUB0] MGENAISGNLKNLKDRYKRFRNSCGVSLAETPAFKKAPGGAPANVAVGVSRLGPLGGSSAFIGKVGDDEFGRMLADILRLNNVDNSGMRFDHNARTALAFVTLRGDGEREFLFFRHPSADMLLLESELDKDLIQKAKIFHYGSISLIEEPCRSTQLAAMKIAKSAGSLLSYDPNLRLPLWPSEEAARKEIMSIWNLADVIKISEDEITFLTGGDDPYDDDVVLQKLFHPNLKLLVVSKDLMAEFKGRVGGVKVKPVDTTGAGDAFVSGLLNSLASELTLLKDEKKLREALIFANACGAITVTERGAIPAMPSMDAVEDLLTSTRS >Al_scaffold_0008_1913 pep chromosome:v.1.0:8:16735536:16737962:-1 gene:Al_scaffold_0008_1913 transcript:Al_scaffold_0008_1913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUC8] MLFRSVHIVARFSNSTSTSVARFFYSPSLLRRGWSFRASFISRCCSSVCVRSDIDVARDSVVKIFSFSREPNVVQPWQTTEKEYSSSGFVISGRRILTNSHVAGDHPYVQVRKHGSSTKYKAEVKAHGYGCDLAILSINSEEFWEDMNPLELGDIPFIGETVYALGYPRGGDSISVTKGIVTRVEPQTYSHSSIGILTIQTDAAINDGNNGGPVVMDNKVAGVVYENRSSCDDYIIPTPIIKHFLTAVEETGQYIGLCSLDISYQSMENDYIRKHFKMSTEMTGVLINEINLLSSAQGILKKDDVILAIDGVPIGNDETIPFRKKERINFEHLVTIKKSGETVLLKVLRKGKEHEFNIIVRHDQPLVPDRHLPSYYILAGFVFVPLTKPYISKSCKICECSSNRKAKKAGEQIVIISQVLLNDITTGYRDFKDLQVKNVNGVEVLNLRHLSELIEKCCEEDLRLDLENGRVISLNYTSAKEATSWILEHHGIPSAMSKDLKIESSQLGSSEAALTTQ >Al_scaffold_0008_1942 pep chromosome:v.1.0:8:16857226:16858558:-1 gene:Al_scaffold_0008_1942 transcript:Al_scaffold_0008_1942 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding nuclear protein [Source:UniProtKB/TrEMBL;Acc:D7MUY7] MLYGKKVFVFVVTPEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDKNLHFVESPALAPPEVHIDHAAQQKNEADLIAAASQPLPDDDDDAFE >Al_scaffold_0008_1943 pep chromosome:v.1.0:8:16860029:16861092:1 gene:Al_scaffold_0008_1943 transcript:Al_scaffold_0008_1943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUY8] MRLFFAARVDWDSVWKTEISLGGFEGGVSLGFQLVLSVNLVKKALCRWFRLRCNPAMRLWSQAIENQGVLGFFGIFIEWFDGVFIFGIRLVLRNILVVKAGCQWVRGDSISWGKQEYGCEEANDRSGRVKLCIGGQWRREVKIVSGKMESRSARYGVVSWILILGTGRGVKEIRYVHGSFSKWSQWWVGDSIWVVSGELLNGGVLVLILILEYECELKELRCLRGEVLSRDRWWLVWGRILKLDCVLKDLKSIQADALYGYGDEKDGDKEVDSRVLHPIMSGGLQWRIAMVYYKQRGGFITIEVIVDGFSLSFFGGFSDLHI >Al_scaffold_0008_1958 pep chromosome:v.1.0:8:16929544:16929975:1 gene:Al_scaffold_0008_1958 transcript:Al_scaffold_0008_1958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MV03] MLRNYVNPKSISKHNIRSCIYSFYLKRGSASGGREEGRAPSTAEEFTRQGCTSQTVEKAFDGAAVAVNVSGDSEEDVEKVKEAFIENKDYNYKKGNDDDDNDGFPINTVKGI >Al_scaffold_0008_1963 pep chromosome:v.1.0:8:16937153:16937917:-1 gene:Al_scaffold_0008_1963 transcript:Al_scaffold_0008_1963 gene_biotype:protein_coding transcript_biotype:protein_coding description:small ubiquitin-like modifier 3 [Source:Projected from Arabidopsis thaliana (AT5G55170) TAIR;Acc:AT5G55170] MSNSQEDDKNPIDQEQEAHVILKVKSQDGDEVLFKIKKSTPLRKLMYAYCDRRGLKLDAFAFMLDGARIRGTQTPDELDMEDGDEIDACRAMSGGLRADQRQWSYMVFDHNRL >Al_scaffold_0008_197 pep chromosome:v.1.0:8:1073162:1074588:1 gene:Al_scaffold_0008_197 transcript:Al_scaffold_0008_197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRY7] MSMYFYFKPMSFGSSKMYDVNHSNAQLVRKMKKWLGTQAFINIVKVLSIQELMNSTPRHYYDEHGVVGHFIDNEVGILNVYALAHHFRKSGTVYEEDCPITEEQVFLDESTTTEGCERIIPSICDVHSIYDYIADYGGEDGLSMFHQELEYQLREGGPLSIQFEVYHFLLHHSGKPL >Al_scaffold_0008_1979 pep chromosome:v.1.0:8:17012765:17013402:-1 gene:Al_scaffold_0008_1979 transcript:Al_scaffold_0008_1979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKG8] MDDSEEFSEVSDLSKWFSSYVYESPMLDTSDGLEFLGESKGTKEMELVSSQAKDMSQSQVDFSDIMNLVVDDSDIDEDCCIWEKSKRKGSSIFREPKRKEETTTTCEAELTSLRNRVQYLENEVRILHDLINNFLGKSSI >Al_scaffold_0008_1991 pep chromosome:v.1.0:8:17069163:17072054:-1 gene:Al_scaffold_0008_1991 transcript:Al_scaffold_0008_1991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKI5] MKTMKSPAKIGENRFLGNLSTSSIRNLLPRSIYAKQKSIQNSDTKSFRSNDENAPPCDPNIQTNHHLNNNDFHLKKKSPQNESTQFEAKSVLVTRANSMANEITEEDDSLGDQIRELKEELIRTKSDGYKPDGSKNGYFVRGSLSQLRNSINKSLVMSCENKEGSEEETMYEDDDDVMELSKHINKFHTFCDTDDLRDSIQSSFASASGCEAESMSGDEICSVDKHKDGIHKDCALADSLGNGISISLPHQSRILEEPPLSESPKIRNFRKSVASPRNGNESSNIGNKKVEHFGPSMSKKPLSPTDSLAASLQRGLHIIDCHQRNSLSNRSSVSFSFGHLSLKPCDESDNLSASVKLLQEDRPKEGGSSILLCLSCRQKLDQEAEGGYKAIEEACGDEMHLKNICVEQATKIEQLTCQLDQYKENTVRESSKVSQQLMKSDDGEDATEVVKETYETNQRSEEFGKVRIDLNEKEALLKEIAELKSKLQPTKSTDNLRSSLLLRSFQMRKSTDFTKNIENNNGAIEEERERWTEMESEWISLTDDLRMDIDSHRRHAEDLEIELKKEKMATEELNDALNRAMLGHSRFIEQYTELQEKYDELAERHSVTMAGIVDVKKAAAKAALKGRHGKRFAKAFSAELTAIRAEKEKERDFLKKENKGLKIQLRDTVEAVQAAGELLVRLREAEQAVQSSEERFSLMEEENDKLKQQMEKLKSKHKTEMSTMKQYLAESKLPGSALEAWFKENEQEEEEQHVSSSEHRTGVVSYDDYTDDQAWRAEFGAIYQDHDHHY >Al_scaffold_0008_201 pep chromosome:v.1.0:8:1091729:1094378:-1 gene:Al_scaffold_0008_201 transcript:Al_scaffold_0008_201 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein [Source:Projected from Arabidopsis thaliana (AT5G46250) TAIR;Acc:AT5G46250] MSSLPLRSGEKMATPSISDAVPLKAPEDATADFSQTQSPSLEVDSLPVAESSSDDVVVDVSEIPSLSPSDDDFYHERNSGEDRDQDHGENLVETDVAAVPIDVLKQKIIRQVEYYFSDENLPTDKFLLNAMKRNKKGFVPISTIATFHKMKKLTRDHALIVSALKESSFLVVSSDEKKVKRLSPLPEVRDPKIFTVLVENLPEDHSDENIREIFGKAGSIKSVSICDPNAAEEPEKGAKKEKFIRTRLHAFVEYETVEAAEKAAATLNNEQDWRNGLRVKLLEQTGKFAQRRPARREVDKEKDNTGRVHDQTEGEKNKKTREHQHHRHPHSDNTADDVSSILDGGNHQKDKNGNKGRGGGQGRRQNHQGGNGIGHGTAPAASSSSHHNYHPVEVSKRPPGPKMPDGTRGFTMGRGKAISPPPPTSAQTSHEV >Al_scaffold_0008_2019 pep chromosome:v.1.0:8:17171083:17171373:-1 gene:Al_scaffold_0008_2019 transcript:Al_scaffold_0008_2019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MKL2] INMEYDEIGKIDHSHSSWLGACPLKKTKTLRDRRKETYTKTMCLVGYKENSKEVERANQEFQWKMIKTPTKKFHFILFFNLVETPTSASQSNLTEF >Al_scaffold_0008_202 pep chromosome:v.1.0:8:1105877:1106404:-1 gene:Al_scaffold_0008_202 transcript:Al_scaffold_0008_202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRZ2] MDAEAETDVVRPVEDNSSEETGPEQDHGGRPELKQGEILRTLATVEKDSQAIAESFSSLFVSLRSTLSEDAFLIK >Al_scaffold_0008_2034 pep chromosome:v.1.0:8:17254652:17255688:1 gene:Al_scaffold_0008_2034 transcript:Al_scaffold_0008_2034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML59] MLKRAITLRRLGLSNGWMRMIKHFVIGHPQNTSIPSTTLITPSPYTEKLMSLRTRVKNREWSQIYSEVDVIFRELIITREDLVEKAKGFAVKGMDVSQRVFSSSASVVGGGAKFVFSIGNLIISGVAEIFNFISQLMVFIWILYILITSESGDLAISDVLLATAKIAFFQGCLTWLLFRLYTIHFLYMSTVLAFISALLPIFPYWFATIPAALQVVLEGRYIVAVTLSVTHLVLMEYGASEIQDDIPGSNAYITGLSIIGGVTLFPSALEGAIIGPLITTVVIALKDLYAEFVLNDPKKIS >Al_scaffold_0008_2038 pep chromosome:v.1.0:8:17269778:17270103:1 gene:Al_scaffold_0008_2038 transcript:Al_scaffold_0008_2038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML63] MEKLVHEKDDVKTVVLGKSKMIVTWLLGSLLPGSNVMKYQSKSLRGRWLQNPTSSSDAIGEQMS >Al_scaffold_0008_2042 pep chromosome:v.1.0:8:17288833:17289896:1 gene:Al_scaffold_0008_2042 transcript:Al_scaffold_0008_2042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML67] MIELTTGDFYLQFPKKTIKEVAEMFDTQGSLLLTYSGEPSETTTPSSKRSSDSSLSPTDNSSTTKKLCLESINVVKIKQEKSVKTNEDKVDGVKLECVAVKQHEENTDEDKVHLDKLKGSEC >Al_scaffold_0008_2043 pep chromosome:v.1.0:8:17293190:17296548:1 gene:Al_scaffold_0008_2043 transcript:Al_scaffold_0008_2043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G56040) TAIR;Acc:AT5G56040] MPRNPRFCFFLFLLFHSSVFFITPCFSIDEQGLALLSWKSQLNISGDALSSWKASESNPCQWVGIRCNERGQVSEIQLQVMDFQGPLPATNLRQLKSLTLLSLTSVNLTGTIPKELGDLSELEVLDLADNSLSGEIPVEIFKLKKLKTLSLNTNNLEGVIPSELGNLVNLVELTLFDNKLAGEIPRTIGELKNLEIFRAGGNKNLRGELPWEIGNCESLVTLGLAETSLSGKLPASIGNLKKVQTIALYTSLLSGPIPDEIGNCTELQNLYLYQNSISGSIPSSLGRLKKLQSLLLWQNNLVGKIPTELGTCPELFLVDLSENLLTGNIPRSFGNLPNLQELQLSVNQLSGTIPEELANCTKLTHLEIDNNHISGEIPPLIGKLTSLTMFFAWQNQLTGKIPESLSQCQELQAIDLSYNNLSGSIPNGIFEIRNLTKLLLLSNYLSGFIPPDIGNCTNLYRLRLNGNRLAGNIPAEIGNLKNINFIDISENRLIGNIPPAISGCTSLEFVDLHSNGLTGGLPGTLPKSLQFIDLSDNSLTGPLPTGIGSLTELTKLNLAKNRFSGEIPREISSCRSLQLLNLGDNGFTGEIPNDLGRIPSLAIALNLSCNNFAGEIPSRFSSLTNLGTLDISHNKLAGNLNVLADLQNLVSLNISFNEFSGELPNTLFFRKLPLSVLESNKGLFISTRPENGIQTRHRSAVKLTMSILVAASVVLVLMAIYTLVKAQKVAGKQEELDSWEVTLYQKLDFSIDDIVKNLTSANVIGTGSSGVVYRVTIPSGETLAVKKMWSKEENGAFNSEINTLGSIRHRNIIRLLGWCSNRNLKLLFYDYLPNGSLSSLLHGAGKGSGGADWQARYDVVLGVAHALAYLHHDCLPPILHGDVKAMNVLLGSRFESYLADFGLAKIVSGEGVIDGDSSKLSNRPPLAGSYGYMAPEHASMQHITEKSDVYSFGVVLLEVLTGKHPLDPDLPGGAHLVQWVRDHLAGKKDPREILDPRLRGRADPIMHEMLQTLAVAFLCVSNKAADRPMMKDIVAMLKEIRQFDIERSETDMIKGGKCEKWQPQPLPPEKIVNTPRGSSNCSFAFSDESV >Al_scaffold_0008_2049 pep chromosome:v.1.0:8:17320011:17322117:1 gene:Al_scaffold_0008_2049 transcript:Al_scaffold_0008_2049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML75] MGRIPCCEKENVKRGQWTPEEDNKLASYIAQHGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGQFSETEEHIIVKFHSVLGNRWSLIAAQLPGRTDNDVKNYWNTKLKKKLSGMGIDPVTHKPSSHRMWGRGLGIMFALPFSYFLRKGYITLRLGVQLSGLFALGAGQGLIGWWMVKSGLEEPPSEYSQPRVSPYRLAAHLTSAFAIYCGLFWTALSVVMPEPPAESLAWVRGAAKVKKLALPVSLIVGITTISGAFVAGNDAGRAFNTFPKMGDTWIPDNIFEMKPLLRNFFENTATVQLDHRLLATTTLIAIGTMWWFTRKLDIHPAVKALIGSTVGMTAVQVTLGVLTLLSYVPVSLGSAHQAGALTLLTLMLLLNHTLRRPSPSLLKSLPQVAKSNFS >Al_scaffold_0008_2050 pep chromosome:v.1.0:8:17322784:17322954:1 gene:Al_scaffold_0008_2050 transcript:Al_scaffold_0008_2050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML76] MADVQLGETIHSVVIRSEFGLLIYAQNSLLHLYANCGDVANAYKVFDKMLEKDFVA >Al_scaffold_0008_2051 pep chromosome:v.1.0:8:17325618:17326582:-1 gene:Al_scaffold_0008_2051 transcript:Al_scaffold_0008_2051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML77] MGLKTETGRGEPTDSGGRGVANMKETISPPAPEERERQGSMPMRRGGGERTISSRLEKNKCEDSLLTKQQQQPPGAGLDECCRR >Al_scaffold_0008_2059 pep chromosome:v.1.0:8:17349235:17352055:1 gene:Al_scaffold_0008_2059 transcript:Al_scaffold_0008_2059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein [Source:Projected from Arabidopsis thaliana (AT5G56160) TAIR;Acc:AT5G56160] MSGREQTGEKLSDSECIEEEPRRSRIGNLKKKAITCSSKLTHPLKRKGKRKIELPFIEDVRDEKDEKIVSKLRQQLLKKDLLPPMHDDYHMLLRFLKTMEFKIEKTVTAWEDMLKWRKEFATDRIIQDFNFKELDQVTRHYPQGYHGVDKDGRPIYIERLGKAHPGKLMEVTTIERYLKYHVQEFERTLQEKLPACSVAAKRRVTTTTTILDVEGLGMKNFTPTAANLLATIAKVDCNYYPETLHRMFIVNAGIGFRNFLWPAAQKLVDPMTIAKIQVLEPRSLSKLLEAIDSSQLPEFLGGLCKCPNEGGCLRSNKGPWNDPEILIHHMEVNPVPQITTAPLHIRDSDSTTCTIPPKERYNKTFKEEPESEEYYSSSGSRSSMYTCLVPPLSDKASTSDGDKIITTVESIEPAQSHLLNADTENSFANTTIREGGQMLRFGALREKINGENIFHLVKTLLAFPLKLFVFVGFLLPGYWQRQNNVVVPESSINNQVLECLDRLKKMEEEFTEISRKPVKIPEANEKLLTESLERIKSLELDLDKTKSVLHITLTKQLQITEQLESHYQEVSPLTL >Al_scaffold_0008_2062 pep chromosome:v.1.0:8:17362230:17364462:1 gene:Al_scaffold_0008_2062 transcript:Al_scaffold_0008_2062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G56190) TAIR;Acc:AT5G56190] MSDYNGDDLEDEYMADEYDMDDLEDDMNAEFDGRDIDASSDSEVEDFDQLNKAADTSAAQARNGKDIQGIPWDRLNTTRKEYRKTRLEQYKNYENIPNSGEESAKKCMNTEKGSSFYEFRRNSRSVRSTILHFQLRNLVWATSKHDVYLLSNYSISHWSSLTGCRNEILNVKGHVAPSEKHPESLLEGFTETQVSTLAVKDRLLVAGGFQGEIICKHLDRPGVSFCSRTTYTENAITNAVDIYRNSSGALHFMASNNDCGVRDFDMERYKLVQLFRYLWPVNHSSVSPDGKLVAVVGDDPDGLLVDASNGQTIGTLKGHLDYSFASAWHPNGVTFATGNQDKTCRIWDTRKLSESVAVLKGNLGAVRSIRFTSDGRYVAMAEPADFVHIYDIKAGYKKEQEIDFFGEISGISFSPDTESLFIGVWDRTYGSLLEYGRARDYTYLDSLL >Al_scaffold_0008_207 pep chromosome:v.1.0:8:1133006:1135637:1 gene:Al_scaffold_0008_207 transcript:Al_scaffold_0008_207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of Unknown Function (DUF239) [Source:Projected from Arabidopsis thaliana (AT5G46200) TAIR;Acc:AT5G46200] MASLWLKLFLISLIYLNVIAKSTPHQETEFECVSIDKQSALQHPLMKNHRIQTRPSRELLSILSTSKDDRIRKIDLKGSEECPKGQVPIHKPKTNNLIHPQQIPRAGRLLKQTRSRRVKKKKKNNRRKKDKNKLITSAVLSQKNKNPIHRPKLFTETHLHYAIVRTFENTTKKWRGAQALFSINKPRVVQNQFSKAWIWLNYIQGSVMSSIQFGWAVHTNLYPDDRPRLTTFWMSDNHQKGCYNALCPGGYVQIHKSIYPGLVYDKVNVPVGKQNTVHLSVAEDPVTKNWVLTVGSIMIGYWPRQSHMAEGASEVYFGGFAGISELSQPTTSPPMGTGEFPTKDLSRSCFMKQLKYVLSDYTVVDINPNEVEDYVDNRKCYGLMFYKYVDYDSRETLTFGGPGGQC >Al_scaffold_0008_2073 pep chromosome:v.1.0:8:17428638:17434042:-1 gene:Al_scaffold_0008_2073 transcript:Al_scaffold_0008_2073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML99] VLKKKKKKKKKKKKKKIKKIKRKQKKKKKRKKKIKKKKKKKTDYRIQILMAYTYE >Al_scaffold_0008_2077 pep chromosome:v.1.0:8:17448693:17449174:1 gene:Al_scaffold_0008_2077 transcript:Al_scaffold_0008_2077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MLP8] DVGGIFCCLVHLKMCTCEPEWLELLMHVLKKSPKLRALKFEKCPGQGVVPCSPWMEPSSVPDCLLSSLETVEWVMYKGTEEENEVVALILRSGSCLRKVTIHPISTSNSKKLRMIKELSLSPRRSPTCQLAFD >Al_scaffold_0008_2083 pep chromosome:v.1.0:8:17475610:17475982:1 gene:Al_scaffold_0008_2083 transcript:Al_scaffold_0008_2083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like (DEFL) family protein [Source:Projected from Arabidopsis thaliana (AT5G56369) TAIR;Acc:AT5G56369] MTKISFFLATYATIYIILSPGLLATAARENLHHQCFCESPSTCSCFPPSPTPSTSVNKPRKSAGPLCLSDGDCKHFCRPKKGVCNIDFETCVCH >Al_scaffold_0008_2084 pep chromosome:v.1.0:8:17476254:17476760:-1 gene:Al_scaffold_0008_2084 transcript:Al_scaffold_0008_2084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MLQ6] LRICLKSWKHMPRLHVAILMIFSDILHHSNVSCYVYPRSLRFLLVQYSISLPMAIFVEFLHFIGMNQALFLKR >Al_scaffold_0008_2095 pep chromosome:v.1.0:8:17572136:17574441:1 gene:Al_scaffold_0008_2095 transcript:Al_scaffold_0008_2095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLS3] MAFWLSLIFCFFTFASSTPPHDPVKCESGNTVCTVMNSYGAFPDRSLCEAAKVEYPKTEAELVSVVAAATKAGQKMRVVTRYSHSIPKLVCTDGKDGILISTKFLDHVVRTNPDAKTLTVESGVTLRQLIEEAAKLELALPYAPYWWGLTVGGMMGTGAHGSSLWGKGSAVHDYVTEIRMVSPGSVSEGYVKVRDLSEVMNPEEFNAAKVSLGVLGVISQVTFKLQPMFKRSLTYEMKNDTDFGDQAVTFGEKHEFADFLWLPSQGKVVYRIDDRVPANVSGNGLFNFFPFRSQLSVAVAISRSIEENEESSGEANKKCVRAKRLASFLFVISYGVTNNGVIFTGYPVIGSQDRMMSSGACLDSHRNGLITSCPWDPRIRGEFFYQTALSVPLTHVKDFINDIKALVKIEPKSLCGLELNYGVLIRYVTSSPAYLGKEEKSLDFDLTYYRSKDDPLTPRLYEDYMEEIEQMAILKYNALPHWGKNRNLAFDGAIRKYKNANAFLKVKDRLDPSRLFSTEWTDQILGLKGNVTIVKQGCALEGLCICSEDSHCAPNKGYMCRPGKVYREARVCTLVSAY >Al_scaffold_0008_21 pep chromosome:v.1.0:8:94335:95940:-1 gene:Al_scaffold_0008_21 transcript:Al_scaffold_0008_21 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G47660) TAIR;Acc:AT5G47660] MELLAGDCRKRVGDDFDEDVNPFDGSDGGCGWMYGSRQSGNDDALATLADLASPPQKLKPIRCGVKLPSEDRHPLDILAGTLDRLPEMGFGCFEAPLGSKIADVEQSGQLTRGFSKEDDDSLPLQMEFQARNRISWDGLSLSSSVDSDSDSSSDVRKTVTGKRKRETRVKLEHFLEKLVGSMMKRQEKMHNQLIKVMEKMEGERIRREEAWRQQEIERMIQNEEARKQELARSLSLISFIRSVIGDEIEIPKHFEFPQPLQQILPEQCKEEKCESAQTQREIKFRYSSGSGNSGRRWPQEEVQALISTRSDVEEKTGINKGAIWDEISERMKERGYERSAKKCKEKWENMNKYYRRVTEGGGKQPEHSKTRSYFEKLGNFYKTNSSGEREK >Al_scaffold_0008_2107 pep chromosome:v.1.0:8:17617701:17618329:-1 gene:Al_scaffold_0008_2107 transcript:Al_scaffold_0008_2107 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidative stress 3 [Source:Projected from Arabidopsis thaliana (AT5G56550) TAIR;Acc:AT5G56550] MFKEMNLNLKQMGNMIYEDPTGIQEEDIVQGVSRTFSEEEEDSSSCSLSSSMCSSSDLTEDDDDDVSSSSSNGPLEDLSDLMSHLPIKRGLSKFYEGRSQSFTSLANVKSLEDLMKRGFKNRNYGAKRKSSRSTGGILDQSYKRVYSPRATISKKPNKTPSSALSCLARRRP >Al_scaffold_0008_2130 pep chromosome:v.1.0:8:17731002:17733544:-1 gene:Al_scaffold_0008_2130 transcript:Al_scaffold_0008_2130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM76] MPGTIQVSVLGLIDVHTASSNTSIKVSMGKLEYRTSDSGDYIFPVTRLRENLIVTLLDVNGNQILQKEIETRMIIENGFLEEKLSFNGYGNVQLKMEFVLSEEDRNRIRFLRQSALRKKHEELVNGSSFTKSKSIASDLSSLSSMHTRDIVAVANNPNTNLGLSQETELKNNADRDTVSSNLIIWKPEVKDRVEKTKIHLSSSDVSSSKKLPEVKKTASVSLVRQEDKGLSKPSKKLHEVKTPERVLLVKQEDKGLSKSSKKLTEAKNSEGVSLVKQEDPALSKPERNPKRKSMRRSMSDTSLNNVRKMISNFEVKVTQDTKVRTAKIQTGSCKDTEEKTKAQPQAESTVNIEKPEERKIISFENMEMSVCRDKRDRCDDLVIVPGDERSIVIEEKSLEQSIRRSDFLSTQRRSSVVKVRDDEKTPHKSVCLKDSQIENARGSRLWIFPDEAKDLSCETDIGTRHLDLAEANLLQKRIEESTRDDIGERGFRSINIAKIDSNNKWKNIERSKKQKSENSAESESSGRPVGQVMRALIVAGFAGLVFLTRQRT >Al_scaffold_0008_2132 pep chromosome:v.1.0:8:17754058:17758250:1 gene:Al_scaffold_0008_2132 transcript:Al_scaffold_0008_2132 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 4 [Source:Projected from Arabidopsis thaliana (AT5G56870) TAIR;Acc:AT5G56870] MGLNFRDKSWIFLVILCCLSLVCIVKASVSYDRKAVIINGQRRILLSGSIHYPRSTPEMWPGLIQKAKEGGLDVIETYVFWNGHEPSPGQYYFGDRYDLVKFIKLVHQAGLYVNLRIGPYVCAEWNFGGFPVWLKFVPGMAFRTDNEPFKAAMKKFTEKIVWMMKAEKLFQTQGGPIILAQGQIENEYGPVEWEIGAPGKAYTKWVAQMALGLSTGVPWIMCKQEDAPSPIIDTCNGYYCEDFKPNSSNKPKMWTENWTGWYTEFGGAVPYRPVEDIAYSVARFIQKGGSFVNYYMYHGGTNFDRTAGEFMASSYDYDAPLDEYGLPREPKYSHLKALHKVIKLSEPALLSADATVTSLGAKQEAYVFWSKSSCAAFLSNKDESSAARVMFRGFPYVLPPWSVSILPDCKTEFYNTAKVNAPSVHRNMVPTGARFSWGSFNEATPTANEAGTFARNGLVEQISMTWDKSDYFWYLTDITIGSGETFLKTGDFPLFTVMSAGHALHVFVNGQLSGTAYGGLDHPKLTFTQKIKLHAGVNKLALLSVAVGLPNVGTHFEQWNKGVLGPVTLKGVNSGTWDMSKWKWSYKIGVKGEALSLHTDTESSGVRWTQGSFVAKKQPLTWYKSTFATPAGNEPLALDMNTMGKGQVWINGRNIGRHWPAYKAQGSCGRCNYAGTFNAKKCLSNCGEASQRWYHVPRSWLKSQNLIVVFEEWGGDPNGISLVKRT >Al_scaffold_0008_2136 pep chromosome:v.1.0:8:17775860:17776240:1 gene:Al_scaffold_0008_2136 transcript:Al_scaffold_0008_2136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM82] MEDSGLIEVNCHRPQKIPKLDEDCEGSKSSSKQRVIKWGKGEDDEYLRQYLLFHYQFEKTQESLCLVNALSFEKFHDLWPAVRELIQ >Al_scaffold_0008_2139 pep chromosome:v.1.0:8:17790769:17791861:1 gene:Al_scaffold_0008_2139 transcript:Al_scaffold_0008_2139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM84] MTIDYEICSDPFDSRCVLATSMYPPGAEPKKAKRFLEKIRGKEIKKSQGFDVDFSMFRVLFDFYPSLLDESNATKKPETDREYFGRLAEEAIERLQQKRGSGYIYFITFVVKDPCDDDNQTKIFQAKVHNVLCREIAHCFCRPKPEQQVKYDEDFKNVVKKRRVQV >Al_scaffold_0008_2143 pep chromosome:v.1.0:8:17806731:17809277:-1 gene:Al_scaffold_0008_2143 transcript:Al_scaffold_0008_2143 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT5G56960) TAIR;Acc:AT5G56960] MMHLILSCSYLISMDGYYNEASEEPSSSSSSGSLARSLFHEYRQSVIPLQNGHVPSMAFMNNLPYVEIRPQEIQRLAFNDAQRLFYQMKIEASLREWFPEDFNRKSSPVNSDYLRPPHHPSSSSSSLSPNNVSEYSSLLFPLIPKPSTTTEAVNVPVLPPLAPVNMIHQQQQEPLFRNREREEEAMTKAILAVLTGPSSPPSTSSSPQRKRRATAFNRYYSMISGHGRAPLPSLRKQSMMKRAISFYNRLNIYQRERFTRENATTHGEGSGGSSGGGRYTSGPSATQLHHMISERKRREKLNESFQALRSLLPPGTKKDKASVLSIAREQLSSLQGEISKLLERNRKLEAKLAGERDIINDLLPNERFNVHISHISESTSRERILDLRVVLRGDSIRVDDLMIRLLEFLKQINNVSLVSIEARTRARDTSVVLVSLRLKIEGECDESAFQEAVRRVVADLAH >Al_scaffold_0008_2161 pep chromosome:v.1.0:8:17900609:17901191:-1 gene:Al_scaffold_0008_2161 transcript:Al_scaffold_0008_2161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMA6] MAYPKDNQQNGKIKKWQNSTWQQDTITAIEEATEWGTCWQDDSSNYSREARTRTRAQRSQWNRPSRNFLKCNYDCKYAQGGNATQTGWIFRDPDGFFVRAGISKGDHCSSEKKGIFDCIIGLERLFHGNQGLPLQNSSGQEDTTIKLQIDWQKKL >Al_scaffold_0008_2162 pep chromosome:v.1.0:8:17902699:17909794:-1 gene:Al_scaffold_0008_2162 transcript:Al_scaffold_0008_2162 gene_biotype:protein_coding transcript_biotype:protein_coding description:autoinhibited Ca2+ -ATPase, isoform 8 [Source:Projected from Arabidopsis thaliana (AT5G57110) TAIR;Acc:AT5G57110] MTSLLKPSPGRRRGSDLESGKSDNADSDSDTFYIPSKNASIERLQQWRKAALVLNASRRFRYTLDLKKEQETREMRQKIRSHAHALLAANRFMDMGREQGGEKTTAPATPAGDFGITPEQLVIMSKDHNTASLEQYGGAQGLSNLLKTNPEKGISGDDDELLKRKTVYGSNTYPRKKGKGFLRFLWDACHDLTLIILMVAAVASLALGIKTEGIKEGWYDGGSIAFAVILVVVVTAVSDYKQSLQFQNLNDEKRNIHLEVLRGGRRVEISIYDIVVGDVIPLNIGNQVPADGILIAGHSLAIDESSMTGESKIVNKDANKDPFLMSGCKVADGNGSMLVTGVGVNTEWGLLMASISEDNGEETPLQVRLNGVATFIGSIGLAVAAAVLVILLTRYFTGHTKAANGGPQFVKGKTKIGHVVDDVIKVLTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMVDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVESYAGGKKTDTEQLPATITSLVVEGISQNTTGSIFVPEGGGDLEYSGSPTEKAILGWGVKLGMNFETARSQSSILHAFPFNSEKKRGGVAVKTADGEVHVHWKGASEIVLASCRSYIDEDGNVAPMTDDKALFFKNGINDMAGRTLRCVALAFRTYEAEKVPTGEELSKWVLPEDDLILLAIVGIKDPCRPGVKDSVQLCQNAGVKVRMVTGDNVQTARAIALECGILTSDSDLSEPTLIEGKSFRAMTDAERDKISDKISVMGRSSPNDKLLLVQSLRRQGHIVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLTAVQLLWVNLIMDTLGALALATEPPTDHLMGRPPVGRKEPLITNIMWRNLLIQAIYQVSVLLTLNFRGISILGLEHEEHAHATRVKNTIIFNAFVLCQAFNEFNARKPDEKNIFKGVIKNRLFMGIVFITLVLQVIIVEFLGKFASTTKLNWKQWLICVGIGVISWPLALVGKFIPVPAAPLSNKLSALKCWGKKKKSSGEVGLVLNLN >Al_scaffold_0008_2164 pep chromosome:v.1.0:8:17919359:17920355:1 gene:Al_scaffold_0008_2164 transcript:Al_scaffold_0008_2164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMR7] MGTAHVDALRRRHGIRDVTFDGYNNVKPVTKTLVETSFVFATCHLIFESILNFLVAQNRNRTDTKGCDATTI >Al_scaffold_0008_2170 pep chromosome:v.1.0:8:17953612:17954382:-1 gene:Al_scaffold_0008_2170 transcript:Al_scaffold_0008_2170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMS3] MSTLNLFTNVPADSCICSDILKDVTKAVAKIIGKPESSVMILLNSGVPIAFAGSKEPAAYGQLVSIGGLGPDVNGKLSEKISEILQVKLDIASFRCYISFYESPPSFFGFNGSTF >Al_scaffold_0008_2174 pep chromosome:v.1.0:8:17970786:17979481:-1 gene:Al_scaffold_0008_2174 transcript:Al_scaffold_0008_2174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MMS8] LADRYLSNQVMVSADLGRACLYHGHDPPVIHRDLKCDNNIFVNGHLGQVKIGDLGLARMLRDCDSAHSVITPEFMAPELYEENYNELIDVYSFGMCFLEMITSEFPYSECNNPAQIYKEVVAGKLLGAFYRVGDIEAQRFIGKRLVFASKRVSAKESWMVYASGAGNPKHFLNENEMATLKLEDDELGRTQTTITGKLNAEDNTIYLRVQIADENMANNVFFPFDIMNDTSIDVAKETVIELEITDWELVEIARMIDGEISSLLSGWSHTKF >Al_scaffold_0008_2175 pep chromosome:v.1.0:8:17982539:17986464:-1 gene:Al_scaffold_0008_2175 transcript:Al_scaffold_0008_2175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase proenzyme 2 [Source:UniProtKB/TrEMBL;Acc:D7MMT0] MGNGNSREEAKESRRSKLRQKLQKFRIHRRHLRCSRNSSAGMVIQRAVSAEDFSGIALLTLIGADMKFKDKWLACVSFGEQTFRTEISDTTEKPIWNSTNRLSRNKIIGYCELDIFDFAVQEPESACKSFDLLDPTSSNVVGTIFLSCAIEDPVETERRFAKRILSIVVQDYNEDGQLSFSEFSDLIKAFGNLVAANKKEELFKAADLNGDGVVTIDELAVLLALQQEQEPIINNCPVCGEALQLSDKLNAMIHMTLCFDEGTGNQVMTGGFLTDRQASYGWMFKLSEWTHLSTYDVGLNTGSSASYIVVIDRKSKRLVEELIDSKIVLSMRAIYQSKIGLRLMDQGAKEILQRLSEKQGKKMSSVESAQKIPRFLEFFKDQINMAEVKYPLQHFKTFNEFFIRELKPGARPIACMKGDDVAVCAADCRLMAFQSVEDSTRFWIKGKKFSIRGLLGKSTVNTNAFLDGSLVIFRLAPQDYHRFHVPVSGVIEKFVDLSGSLYTVNPIAVNSKYCNVFTENKRTVAIISTAEFGKVAFVAIGATMVGSINFERKEGEHVKKGDELGYFSFGGSTVICVFEKDSIRIDEDLLVNSGRSLETLVSVGMQLGVSTRTFARSTLT >Al_scaffold_0008_2176 pep chromosome:v.1.0:8:17988045:17989661:1 gene:Al_scaffold_0008_2176 transcript:Al_scaffold_0008_2176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMT1] MAAVQAFTPLADIKPFKTHWKIQVKIVHSWTQYTQFTGETVEMVLADTAGTLIHATVKKPQVSKLQRFIVSGEWRIIEHFTLTKSTGKYRATKHGFKMSMMEKTVISRTPAVSDDIYVDLANFPDILNEAGLSENILIGERAVSNSFDMSLLEINATYPAVLEFVANLPPDVIPLTIEDSKTKQVNAEQRKKDYYDRFPRKTISGLFCFSETGKCNIICTIMNIDTDYSWYFFSCLKCSKTAYKIPKVENEIVKKGKKEMFWCPTCKEDTPKVIPR >Al_scaffold_0008_2177 pep chromosome:v.1.0:8:17994409:17997356:1 gene:Al_scaffold_0008_2177 transcript:Al_scaffold_0008_2177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMT2] MGTFTSFRKAYGALKDTTTVGLAKVNSEFKDLDIAIVKATNHVESPPKERHVRKIFSATSVIQPRADVAYCIHALSKRLSKTRNWVVAMKVLIVIHRTLREGDPTFREELLNYSHRRHILRISNFKDDTSPLAWDCSAWVRTYALFLEERLECYRVLKYDIEAERLPKASGAASKTHRTRMLSGEDLLEQLPALQQLLYRLIGCQPEGAAYSNYLIQYALALVLKESFKIYCAINDGIINLVDMFFEMSRHDAVKALNVYKRAGQQAENLAEFYDYCKGLELARNFQFPTLRQPPPSFLATMEEYIKEAPQSGSVQKKLEYQEKEEEEEEQEQQPEEPAEEENQNENTENDQPVIEEEEEEPEEEKEEEEAKPSPLIDTDDLLGLHEINPKAAEIEQNNAFALAIYPPGHETSGPSNSLSLIEAGGSGWELALVTPQNNNNNNNNNPRPAIATKLGGGFDNLLLDSLYEDDTARRQIQLTNAGYGFGATAIPGEPALSNPNPFGMQQDPFAMSNNMAPPTNVQMAMQQQQMMMMNNRSPYNNNYSPYHHHQFSPNPSTSSPNPFGDPFLALPAPPSSATQQQHSHNHMLL >Al_scaffold_0008_2184 pep chromosome:v.1.0:8:18021121:18021882:1 gene:Al_scaffold_0008_2184 transcript:Al_scaffold_0008_2184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MMT8] MAAMTEKEAMVDPFLVEALQNPRHRLTILWMEIDIQKFFQNPEQLQLEFLPFPTSYMRFAAHRVAQHYVI >Al_scaffold_0008_2199 pep chromosome:v.1.0:8:18092958:18093944:-1 gene:Al_scaffold_0008_2199 transcript:Al_scaffold_0008_2199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MN98] MNQEHNTTTPIPKASLLLLEDDLCKRESEISRNSSVGVSSRLFYYYHHRSFNEGVPFKWEMQPGTPINPPPEEIIRPITPPPAFLSLGFPKPSISVVGGSNKHSLFPANLKLWRWKNLRKRYLSRWSSQSMLNKDYHISRDGNSNSCDELERFEEFKDYRSSSCSSSSSSSSKDRRLIKASPRQWFSGCPSRNSRNNHTGFH >Al_scaffold_0008_2215 pep chromosome:v.1.0:8:18173944:18175570:1 gene:Al_scaffold_0008_2215 transcript:Al_scaffold_0008_2215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNB0] MEALESQSLRQDNNGSVFQPNLQAFYCLREAQVMPREDSLPSLILKILYPNISRGTIVHRGNYWTNALCTSPAVVGGSMLALRISQRTVATVGGLLFFGFSVSSYFYPPLQSMRFFRKWVLETFSK >Al_scaffold_0008_2217 pep chromosome:v.1.0:8:18179243:18180044:-1 gene:Al_scaffold_0008_2217 transcript:Al_scaffold_0008_2217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNB2] MRKVKAALTMVVMVKITFQVLDVTGKSTLIKEVLESMSPSGRRSPYHRVSPAGRRSPYRRVSPGGQSSSHGGKNRHVSPTGQSSLSNPSSDTDVNSNHENSPSKRGSDTYTLEEKDALKNFPGNEYPPGWDSKLDITWIGGDLSDAGVGFESLQIDDEDD >Al_scaffold_0008_2218 pep chromosome:v.1.0:8:18182276:18183517:-1 gene:Al_scaffold_0008_2218 transcript:Al_scaffold_0008_2218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNB3] MALFLNRVLTLSGRRDNARFFSSLPQFPYLLMGGKKFGESPEGKIRKHRLFDPTTEETVYSKEKTIPKKLNGQPLVGASQGWIASLENKDLTVHITDVYKPWLSSSKVISLPSIGFKPSTHATRVSFSSSDPVQDDFSVAAKFDEYRLSVCRPCWDSKWTHIETAYSLLPASDLMYSKRDKVFYFTSFKGNYMASLDLSNNFEPEYQHLRLRNLPKIPEVGWEMLDKCFMTHHLVESPSGEIFFIKWYTQCIHKEDKDGDLEYIHSSTKRFMIFRQDGMSKDFCYTEDIGDLCIFLSESEAFCLSASLYPRLKPNSIYYIGPRLGSYDLASGTDRPFTFDRLGDPSLVRTPFWLHPTDPIA >Al_scaffold_0008_2220 pep chromosome:v.1.0:8:18196572:18196736:-1 gene:Al_scaffold_0008_2220 transcript:Al_scaffold_0008_2220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MNB5] VMCDPYTPAGVPIPTNKRHNAAKIFRHPSLPPRSLGMLIFFFFGYTYYFRYYKF >Al_scaffold_0008_2241 pep chromosome:v.1.0:8:18326571:18327210:-1 gene:Al_scaffold_0008_2241 transcript:Al_scaffold_0008_2241 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXPRESSED IN: 18 plant structures; EXPRESSED DURING: 12 growth stages; BEST Arabidopsis thaliana protein match is: sequence-specific DNA binding transcription factors (TAIR:AT4G30410.1); Has 123 Blast hits to 123 proteins in 11 species: Archae - 0; /.../ia - 0; Metazoa - 0; Fungi - 0; Plants - 123; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G57780) TAIR;Acc:AT5G57780] MFYDEAMMNTYNMVKQRFIKKWINTLHMLDSSIEHPLNVTERKNAIRLSSDLAIAATRSGSTVWSRALISRSGNKTTNKPMARRILKKARNRMKNRCNTLRRNGNFTAKSGTRKRTDLLKSLVPGGEVIDDKDYLIRETLDYIVYLRAQVDVMRTVAAIDLVTGNLTNDRRNK >Al_scaffold_0008_225 pep chromosome:v.1.0:8:1237384:1239456:1 gene:Al_scaffold_0008_225 transcript:Al_scaffold_0008_225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46040) TAIR;Acc:AT5G46040] MTVEEVGDDYTKDGTVDLRGNCVRRSQTGRWKACSFVVVYEVFERMAYYGISSNLVIYMTTKLHQGTVKSSNNVTNWVGTSWLTPILGAYVADAHLGRYITFVISSAIYLLGMALLTLSVSLPALKPPKCSTANVENCEKASVLQLAVFFGALYTLAVGTGGTKPNISTIGADQFDEFDPKERIHKHSFFNWWMFSIFFGTFFATTVLVYVQDNVGWAIGYGLSTLGLAFSIFIFLLGTRLYRHKLPTGSPFTKMARVIVASLRKAHEPMPRDSTCFYELSPMEYASKRAFPIHSTLSLRFLDRASLKTGSTHKWSLCTITEVEETKQMLKMLPVLFVTFVPSMMLAQIMTLFIKQGTTLDRRLTNNFSIPPASLLGFTTFSMLVSIVIYDRVFVKLMRKLTGNPRGITLLQRMGIGMILHILIMIIASITERYRLKVAAERGLNHQTAVPIPLTIFTLLPQYVLMGLADAFIEIAKLEFFYDQAPESMKSLGTSYTSTSMAVGNFLSSFLLSSVSQITKTQGRGWIQNNLNESRLDKYYMFFAVLNLVNFILFLVVIRFYEYRADVTQSANVEQKEPNQQM >Al_scaffold_0008_2258 pep chromosome:v.1.0:8:18380976:18382626:1 gene:Al_scaffold_0008_2258 transcript:Al_scaffold_0008_2258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF794) [Source:Projected from Arabidopsis thaliana (AT5G57930) TAIR;Acc:AT5G57930] MSITYSAISSGTVSPKSVPFAIRSVTRRQFLNPNPFSRFGFSPSLQGSSIEFRVVISKERRSLPLVVRSDRPQNEDLPKQYTRREKKPFPVPIVDLRRAARERVKNNKDKPKRPLPPPKNGMLVKSLVPLAYKVYYARIRLINNLHRLMKVVRVNACGWCNEIHVGPYGHPFKSCKGPNASQRKGLHEWTNSVIEDVIVPLEAYHLYDRLGKRIRHDERFSIPRVPAVVELCIQGGVEIPEFPTKRRRKPIIRIGKSEFVDADETELPDPEPQPPPVPLLTELPVSEITPPSSEEETVSLAEETLQAWEEMRAGAKKLMRMYRVRVCGYCPEVHVGPTGHKAQNCGAFKHQQRNGQHGWQSAVLDDLIPPRYVWHVPDVNGPPLQRELRSFYGQAPAVVEICAQAGAVVPEQYRATMRLEVGIPSSVKEAEMVV >Al_scaffold_0008_2259 pep chromosome:v.1.0:8:18383562:18384300:1 gene:Al_scaffold_0008_2259 transcript:Al_scaffold_0008_2259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNX7] MALQLRTAYIAPSSRVFGRGELVIDPAQMSKRYFQRWFIIDFLSVLPAPQVGDLRLARWQPEGDKGVLTRVVGTFGY >Al_scaffold_0008_2276 pep chromosome:v.1.0:8:18435748:18438130:-1 gene:Al_scaffold_0008_2276 transcript:Al_scaffold_0008_2276 gene_biotype:protein_coding transcript_biotype:protein_coding description:response regulator 18 [Source:Projected from Arabidopsis thaliana (AT5G58080) TAIR;Acc:AT5G58080] MELGSTEDGRHDKFPVGMRVLAVDDNPTCLRKLEELLLRCKYHVTKTMESRKALELLRENSNMFDLVISDVEMPDTDGFKLLEIGLEMDLPVIMLSAHSDYDSVMKGIIHGACDYLVKPVGLKELQNIWHHVVKKNIKSYAKNIGPSRQLLPPSESNLVPSASKKRKEKANDSGDEDDSDREEDDGEGSEQDGDEAGTRKKPRVVWSQELHQKFVSAVQQLGLDKAVPKKILDLMSIEGLTRENVASHLQKYRLYLKKIDEGQQQNMTPDAFGTRDSSYFQMAQLDGLRDFTATRQIPSSGLLSRSHLTKLQPPMYSSINLQGMNSSSFIQQGHHHNSSNSANPFGTYHTTLSPRIQNVNLFQRTSSPLETLQFPRSKSYIGDFKGIGDRAVGGSFLDSCMPFGSSSTSLPSASTNTLMLQANYTQPLHISSDGNQPCIEGTPSNSASPNISFQGLSRFPSHSWQGNLNTTRFPPSSLPLNPAFLPDQVTCAGNNLGDCTSLVSAGNPGGEIQCEPQLLGGFMQNMNPLDGQKWEQQNCTMLNNPFGNIEYPLPADNMVFRDNNATRSKGLDESLMNPIDNSQEYVGKATTMLDPEMKSGKPENDNQHDVFDDLMNEMMKQEENNGMVSVATRFGFDSFPPP >Al_scaffold_0008_2288 pep chromosome:v.1.0:8:18494015:18496328:1 gene:Al_scaffold_0008_2288 transcript:Al_scaffold_0008_2288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58200) TAIR;Acc:AT5G58200] MASSSLVRIAVVGDISENFNPIQILSGDFGEENVPLVQSVAALTFPKAVILGNHDAWFTRDFPRKQKQNGVQMQLDILGDEHVGYQRMDFPSFKLSIVGGRPFSIGGDRLFRKNLLVQRYGVHDMDASAGSICRAAHGTPEDHVAIILAHNGPTGLGSQAEDICGKDWVDEAGDHGDPDLEQAIRQLKETTKLSVPLVVFGHMHKELQRGKGNRKMVVQDGDNQTVYVNGAIVPRVKEAKERGAAESESGGTTRAFTLVEVLDGKIKKIAEIWVHVNGSMAKIVEENTLFEDVTLI >Al_scaffold_0008_2296 pep chromosome:v.1.0:8:18511847:18513160:1 gene:Al_scaffold_0008_2296 transcript:Al_scaffold_0008_2296 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein [Source:Projected from Arabidopsis thaliana (AT5G58280) TAIR;Acc:AT5G58280] MAYEEARKLRLQENHKRFQLNFAALPQFQLLQQRNPRPIDKALFATAEPRRSSRVRTVISSYRDDVAVDTGRTSNLRRSRHSSTWATYISRPLHECKFASYEEKVGALNAAEKFQSRLKSPHPSFVKSMVRSHVYSCFWLGLPSRFCVDNFPEETMEIVLEDEEGEEYEAVYIGRRSGLSGGWKRFALDHKLDDGDALLFQLVEPKRFKIYVFKGNENANLTSAGKRGRATSEEEEEDKDVEESCDDEHSSRATKRPSARLLRKRKA >Al_scaffold_0008_2298 pep chromosome:v.1.0:8:18517537:18519598:1 gene:Al_scaffold_0008_2298 transcript:Al_scaffold_0008_2298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G58300) TAIR;Acc:AT5G58300] MMQLHFRIYVGPIFALRPSKGFLSACLVSFLLVTTTFCSFAIADLNSDRQALLAFAASVPHLRRLNWNSTNHICKSWVGVTCTSDGLSVHALRLPGIGLLGPIPPNTLGKLESLRILSLRSNLLSGNLPPDIHSLPSLDYIFLQHNNFSGEVPSFVSPQLNILDLSFNSFTGKIPATFQNLKQLTGLSLQNNKLSGPVPNLDTVSLRRLNLSNNHLNGSIPSALGGFPSSSFSGNTLLCGLPLQPCAISSPPPSLTPHISTPPLPPFPHKEGSKRKLHVSTIIPIAAGGAALLLLITVVILCCCIKKKDKREDSIVKVKTLTEKAKQEFGSGVQEPEKNKLVFFNGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLKEVAAGKREFEQQMEIISWVGNHPSVVPLRAYYYSKDEKLMVCDYYPAGNLSSLLHGNRGSEKTPLDWDSRVKITLSAAKGIAHLHAVGGPKFSHGNIKSSNVIMKQESDACISDFGLTPLMAVPIAPMRGAGYRAPEVMETRKHTHKSDVYSFGVLILEMLTGKSPVQSPSRDDMVDLPRWVQSVVREEWTSEVFDVELMRFQNIEEEMVQMLQIAMACVAQMPEVRPTMDDVVRMIEEIRVSDSETTRPSSDDNSKPKDSNVQVQITP >Al_scaffold_0008_2302 pep chromosome:v.1.0:8:18527460:18529097:1 gene:Al_scaffold_0008_2302 transcript:Al_scaffold_0008_2302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPN1] MEVIDQWVAEFILRRQHNPRIAPINLISALQLGDSSDCINLKVSSVLRDISNSLIRGTIDEGMLDLLEILEKLLLQQHSLLMESHKSAYSWTAAECTLRFMWPMFASDGLFTEALERIWTKRIGILKESGSNLVTHDLLKWETDFNKALEEPELYQRIRESNIRYTAISFLTQLLKEQWALLGSSSLESVAQRRFLKRKTVNVEGDVVDNRGDQSAVDESTRRLESDTVDVINEQRGGEDRNGINRENANDGERMECPENDGIDNGNAADEEHTMGAQEQEHEPSLDKGDKTVARELKDYLLEIQRHIDPSIRRGEEPNTAINHSVDVTPQPTRVNRTGTRGQDHNEATDNVNEKGSDSQGTWSSRIRPRLPTPVPLNVSPLKMGGLAKPHVRRPKKFWTPEEVEALREGVKEYGKSWKDIKNGNPALFAERTEVDLKDKWRNLVGG >Al_scaffold_0008_2309 pep chromosome:v.1.0:8:18552012:18554279:1 gene:Al_scaffold_0008_2309 transcript:Al_scaffold_0008_2309 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58370) TAIR;Acc:AT5G58370] MSLDTSLGHKLKIRLKLSSLSSNSSSKGRSRSITQRSDDDTNSRKTSKTTVSYMKKKRVYPNKAEHNHVNEIPRTMWAASKRNQRSTSSFKTSTDAATSVFKTKSPRVDDATRKSYRKRDDLDNNADVSRRKSLDVPSFKARKQAFSEKRVVGDGSDIQEDKPRKRKRIRLDPYDTSNKRIDDDVVLDEGLRVKNIKDAVKSVEMSKNAQFRAIQPSHSILSYVEENLLGRRRLIELKKAGYNTELPAPLDNIPQSTSTERERIEESVFRNKLEFFAAANVSSSFPPPDVPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFGLGPKVRLVDLPGYGFAFAKDEVKEAWEDLVKEYVSTRTSLKRVCLLVDTKWGMKPRDQELINLMERSNTKYQIVLTKTDVVFPMDVARRAMQIEEKLKANRSIVQPLMMVSSRSGAGIGSLRTALAKIARFAKF >Al_scaffold_0008_2313 pep chromosome:v.1.0:8:18560808:18562214:-1 gene:Al_scaffold_0008_2313 transcript:Al_scaffold_0008_2313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQC7] MRTMKDITGTSKRSQLTIIGRENANCREGALVGDQGLGMSWLHAWNLEGQLLLPNLSSPAPPMI >Al_scaffold_0008_2314 pep chromosome:v.1.0:8:18579054:18580015:-1 gene:Al_scaffold_0008_2314 transcript:Al_scaffold_0008_2314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQD0] MGLVIGLAKEQIGESQESEREGGGDSVVAVVGGGKEEACLETHNGACGGVSCDGSILLDDTPSFLGEKTAGPSNKTVRGFEVIDKIKFKIEKMCPGIVSCADILAITARDSVLLVSTSTV >Al_scaffold_0008_2316 pep chromosome:v.1.0:8:18588357:18590659:-1 gene:Al_scaffold_0008_2316 transcript:Al_scaffold_0008_2316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQD2] MGWWWRKRNKKLILERGAKLLEDLIEFGHGQSNPIKFLSADEILKATNNFSDSNRVSSDWYSGKNENHPMILIKKDVGLYSLRSIVSEKTDVCCAFGMFMGQTLLLGNKSILINGSRLMEDRRIEEIADPKMIEKMGQISEQELCQMEAFRMLSLRCIGPSEEVPTMVEVAKELKKIQTSLQNDSSSPSVYIVSWETGVR >Al_scaffold_0008_232 pep chromosome:v.1.0:8:1286176:1287953:1 gene:Al_scaffold_0008_232 transcript:Al_scaffold_0008_232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSN8] MDLSSDSTLNKINFIARLISKPNSGDLDFDFDSCYDHDYDLSSRDRGSPSSSSSLPGIDKYNEKVKNQIQALVRVIKVARTYRDDNVPSLIEEVITTTTHRSLSLSRGSGFVAFSTPEEASRAITEMNGKMIVTKPLYVALAQRKEDCKARLQMRPVNMPPAVGPRMQMYPPGGPPMGQQLFYGQGPPAMIPPQPGFGYQQQLVPGMRPGGSPMPNFFMPMMQQGQQQQQQQQQQQQQYGGGVHHRDSPASQSVPIGALATQLANAAPEQQRTMLGENLYPLVEQLEPESAAKVTGMLLEMDQTEVLHLLESPEALKAKVTEAMDVLRSVAQQQVVVQLIS >Al_scaffold_0008_2322 pep chromosome:v.1.0:8:18607910:18608149:1 gene:Al_scaffold_0008_2322 transcript:Al_scaffold_0008_2322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQD8] MVASVTRPTSFAPIIERTMTIVEESGGQYHVLLIIVDGQLWSHSRMVRIVRS >Al_scaffold_0008_233 pep chromosome:v.1.0:8:1290249:1290873:1 gene:Al_scaffold_0008_233 transcript:Al_scaffold_0008_233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSN9] MSLAPRHESIHQNISLSGDDLRNHLVNLESHLVGVKQQKISIMNLKKPKSKETEEDDHLRVSDNNATISNRKVSSSEEKRLGDVGSVTDSSLLDPFTLGFSGDCFPGDLIAVMDNLGVYANNGVWHPSWLDFDFLPTLFTDDWTVSCYNPLLGATDSFTASTYQTSITDCLGSVF >Al_scaffold_0008_2340 pep chromosome:v.1.0:8:18671778:18672634:-1 gene:Al_scaffold_0008_2340 transcript:Al_scaffold_0008_2340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQF3] MDGKKKKSKVKRSSFVEEEDDNKYHHWLDRKEKREIGGYGKGKGCGGGVRADSSTRIGVGATAAGTTKFPWSNMRFVAKMSSVFFSSSVLLYTNVLSHWALNGLKWAEVKAY >Al_scaffold_0008_2341 pep chromosome:v.1.0:8:18675514:18677612:1 gene:Al_scaffold_0008_2341 transcript:Al_scaffold_0008_2341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQF4] MNELSRMLDAKLNAQYKKIIKGVSDWFIANTLISAENGEDVPPENGKEVSAEKRKGKKVPVPQSKPLHISDGDDDFDTLFPLRPPSRRPSRIGKAAHRVPQAPDKGLGLNNSVEGIASYYNRFLSGDCSGSRSGDGLASHVAVEENGLVNCQSLEESSKVSTEYGNNGNTAVNNNDDNLEDTEMGDVADKEAAHTEGDDEEVFQNIPQSYFGGNMITKTITLLLSGQELAIEDIAPKDSELIQELSSRDASPKHGKPIQDPLIEDIGEEELQCLDASPKDRELIQDSQTEAKVDEEKQSSGDALPKDREPIEV >Al_scaffold_0008_2342 pep chromosome:v.1.0:8:18682793:18684612:-1 gene:Al_scaffold_0008_2342 transcript:Al_scaffold_0008_2342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQF5] MLKTAQTSTELDFEAFRRNYVAEMWRDVCATEMTLGGQQGGAGLGNDVTKTARELNFGVTLSQQTPAEDESLRLTLSGASMATNQRTILTLSSSDSSFGTPTSPSTSISLSTEELMDAEIGPPAMEDYYTDPDGPLCLTPRVPIVGGITVVKEIWVI >Al_scaffold_0008_2352 pep chromosome:v.1.0:8:18723717:18726047:-1 gene:Al_scaffold_0008_2352 transcript:Al_scaffold_0008_2352 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylinositol-speciwc phospholipase C5 [Source:Projected from Arabidopsis thaliana (AT5G58690) TAIR;Acc:AT5G58690] MKREMGRYKMGLCFSDKLRMNRGAPPPDVVTAFVEYTEGRNHMTAEQLCRFLVEAQDETEVLVSDAEKIIERITCERHHITKFLRHTLNLDDFFSFLFSDDLNHSIDSKVHQDMASPLSHYFIYTSHNSYLTGNQINSECSDVPLIKALKRRVRALELDLWPKSTKDASATLLGGWLPPDLQAKAAEMMKEIFMDMVYFPEAGGLKEFPSPEDLKYKIVISTKPPKGNLKRDKDSESDVSGKASSDFSDDQVDDGKTEEETSEAKNEEEGFDQESSNLDFLTYSRLITIPSGNAKNGLKEALTIDNGGIRRLSLREQKFKKATEMYGTEVMKFTQKNLLRIYPKATRVNSSNYRPYNGWMYGAQMVAFNMQGYGRALWMMHGMFRGNGGCGYVKKPDFMMKNGLGGEVFDPKAKLPIKTTLKVKVYMGKGWDSGFQRTCFNTWSSPNFYTRVGITGVRADKVMKKTKKEEKTWEPFWDEEFEFQLTVPELALLRIEVHDYNMPEKDDFSGQTCLPVSELRQGIRSVPLYDRKGERLVSVTLLMRFHFV >Al_scaffold_0008_2366 pep chromosome:v.1.0:8:18767018:18769948:1 gene:Al_scaffold_0008_2366 transcript:Al_scaffold_0008_2366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQI0] MAKLGEASFCVLVLFLSLVSADTDNRQDNQVYVVYMGSLPSQPDYKPTSDHINILQEVTGESSIEGRLVRSYKRSFNGFAARLTESERQRVAEMEGVVSVFPSMNYKLHTTASWDFMGMKEGTNTKRNLAVESDTIVGVLDTGISPESESFSGKGFGPPPKKWKGVCSGGKNFTCNNKLIGARDYTNEGTRDTEGHGTHTASTAAGNAVENASFYGIGNGTARGGVPASRIAAYKVCSGSGCSTESILSAFDDAIADGVDVISASLGGVTTYMYEKDPIAIGAFHAMAKGILTVQSAGNSGPNPTVSVAPWILTVAASTTNRGVFTKVVLGNGKTLVGKSVNAFDLKGKQYPLVYEQSVEKCNNESQAKGKIVRTLALSFLTLTPQSKEQVISMFHTLTMSPKAAVLKSEAIFNQAAPKVAGFSSRGPNTIAVDILKPDITAPGVEILAAYSPLVSPSATTLDNRRVNYTITSGTSMACPHVSGVAAYLKTFHPEWSPSMIQSAIMTTAWPMNASGTGAVSTEFAYGAGHVDPIAALNPGLVYELGKSDHIAFLCGMNYNATTLKLIAGEAVTCTDKTLPRNLNYPSMSAKLSKSNSSFTVTFNRTVTNIGTSNSTYKSKVAINNGSKLNVKVSPSVLSMKSVNEKQSFTVTVSGSDLNPKLPSSANLIWSDGTHNVRSPIVVYTDGY >Al_scaffold_0008_2368 pep chromosome:v.1.0:8:18778327:18789812:1 gene:Al_scaffold_0008_2368 transcript:Al_scaffold_0008_2368 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRADSFCFISCVLVSFLILGSAVTDDSQDKKVYVVYMGSLPSRLEYTPMSHHMSILQEVTGESSIEGRLVRSYKRSFNGFAARLTESERERVADMKXXXXXXXXXXXXLQTTASWDFMGLKGGKNTKRNLAIESDMIVGVIDSGIWPESESFSDKGFGPPPKKWKGVCSGGENFTCNNKLIGARDYTSEGTRDSIGHGSHTASTAAGNAVENTSYYGIGNGTARGGVPASRIAAYKACGETGCSDESILSAFDDAIADGVDLISISIGERFVHKYEKDPMAIGAFHAMVKGILTVNSAGNDGPDPGSVISVAPWILTVAASTTNRGFVTKVVLGNGKTLVGKSLNAFDLKGKNYPLVYGTLLKEPLLRGKILVSKYQLSSNIAVGTINLGDQDYASVSPQPSSALSQDDFDSVVSYVNSTKSPQATVLKSKAIFNQKAPKVASFSSRGPNTIAVDILKPDVTAPGVEILAAYSPLNSPSEVWFDKRHVKYSVLSGTSMACPHVAGVAAYIKTFHPEWSPSMIQSAIMTTAWRMNATGTGVASTEFSYGAGHVDPIAALNPGLVYELDKADHIAFLCGLNYSSKTLQLIAGEAITCTGKSLPRNLNYPSMSAKLSESNSSFTVTFNRTVTNLGTPNSTYKSKIVINHGSKLKVKVSPSVLSMKSVKEKQSFTVTVSGSNLNTNLPSSANLIWSDGKHNVRSPIVVYTYSG >Al_scaffold_0008_2371 pep chromosome:v.1.0:8:18819225:18820511:1 gene:Al_scaffold_0008_2371 transcript:Al_scaffold_0008_2371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MR40] MTFFMKQMKSPQGTVLKSKAIFNQKAPKVASFSSRGPNTIAVDILKPDVTAPGVEILAAYSPLNSPSEVWFDKRHVKYSVLSGTSMACPHVAGVAAYIKTFHSEWSPSMIQSAIMTTAWRMNATGTGVASTEFSYGAGHVDPIAALNPGLVYELDKADHIAFLCGLNYSSKTLQLIAGEAITCTGKSLPRNLNYPSMSAKLSESNSSFTVTFNRTVTNLGTPNSTYKSKIVINHGSKLKVKVSPSVLSMKSVKEKQSFIVTVSGSNLNTNLPSSANLIWSDGKHNVRSPIVVYTYSG >Al_scaffold_0008_2373 pep chromosome:v.1.0:8:18833533:18837184:1 gene:Al_scaffold_0008_2373 transcript:Al_scaffold_0008_2373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MR42] MGVDAKEIIVILWKILGYSMNMSIKFMRNHPILSGVSMFLLVLYIFLPTLLFFLIYSSPVLACALVYAREKLGLRFSSSYSEPKKSCGGEKRCHLKQQRSVRRNARMKVEEWDSQTSEEEKDKVILTSLYNDLLGRTPHFEESPKALETNVVEEEDNEKEFLGEEDSRDLGQLNVEEPMVCNCEIKYGESDGKEEMKEEMSNVNEQGISEIERNKRLESLIARRRARRLFRLALDQRNKLQAEETTSPRQNNTNSLHVTVSRNSLEKRRNNSSDGTTVKGLPIPGSAPSVLLQGRNPFDIPYDPQEERPNLTGDSFDQEFSLFNQKDMFFCRHESFCRFALFSPEHAQCMNSPVSASDISTTRKRLDLDNEYMDHTEQNLPCNGKGATIEDDDKSVVSGKSEEREVEMNDNETDSNKEECDDSSCSEESESELSRLNKAELREAICQSMDNNPGYLVNQTRNSIPTTLPRGLVAPRLDDNNMFYARKCGNSHSRTFSVASDMQVEVSEIGSPPTTVDWLDDWSNGGESYIYDTDIDREIVRDEESRKRISHQYESRSGIGSKEENNETWTKPEAKPNQNCVVDENLITVDDDLSLLERRSQTEEIFEQTPSSSNDVSKPTSSGKFEGMLFHTSASLSSITEEPETILDSIDGGNSENLNNLTEELTDPRPLTTLDSSMKKLIDEEVADVKQVENDDLCGSPKIIDLDIIDHQQKDQMLSSIQGEHGETKSFLDASLDTSYIESFERELEGEEESNLDKLTKETTKQTENEVHQSDLESSPCQVLTELLVSEIMEENGQELEEEEKPRSVEEEKTHNVVEESSSHAHTQLVEDYGNEENASDVILLQFQDSNNSPLDESTDQEVSKEGEKTELLEDFYGESSEVYKNRANAEVYGNAENASDVTFLQVQDGNYSPRDELLKDFYDESSQEYKNRANVEEESVVLANTQNSQDSQTWTQQCGIDSSQGISPRTLEITQQLEKDDVIDPNAISQVIDTDEFAAVGNDVAASESNDEEILKLDEQDGVAMEKELELNLESLHHNTGLVAREDDEESKKVIEEMQNAGIQTTKDENRVLG >Al_scaffold_0008_2380 pep chromosome:v.1.0:8:18869305:18872970:1 gene:Al_scaffold_0008_2380 transcript:Al_scaffold_0008_2380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MR52] MGELRRRRRSGELRTASGNDGKERDSGKKGFDASSMTPEKPKLSREQRENLRLMHVERKKDFICIERVKEKIVNVLDGLELHTGVFSAKEQKRIVNEVYQLQEKGRKGELKEHTFNAPRKWMRGKGRVTIQFGCCYNYAIDRSGNPPGILQREEVDPLPPLFKVMIRRLIKWHVLPPTCVPDSCIVNIYDEGDCIPPHIDNHDFLRPFCTISFLSECDILFGSNLKTEGPGEFSGPYSTPLPVGSVLVLNGNGADVAKHYVPAVPTKRISITFRKMDESKRPVWFTLEPDLQGIEPLPIKFF >Al_scaffold_0008_2386 pep chromosome:v.1.0:8:18896076:18899102:-1 gene:Al_scaffold_0008_2386 transcript:Al_scaffold_0008_2386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutral/alkaline non-lysosomal ceramidase [Source:Projected from Arabidopsis thaliana (AT5G58980) TAIR;Acc:AT5G58980] MTRRSVSMHCTLFLLFLLKIICVFSDSDYLIGLGSYDITGPAADVNMMGYANMEQVTSGVHFRLRARAFIVAEPYKKRIAFVNLDAGMASQLVTIKVIERLKQRYGELYTEENVAISGTHTHAGPGGYLQYILYLVTSLGFVHQSFNVLVDGIEKSIIQAHENLRPGSILINKGELLEAGVNRSPSAYLNNPAHERSKYEYDVDKEMTLVKFVDDQWGPVARLMEDWFEQKDCRASNDFESHRRVSSIITDPHDQDLMEMASSLLSTGGKTVTKMSSVAKRVRSGFRHADKPIFVSAFCQTNCGDVSPNVLGAFCIDTGLPCDFNHSTCGGKNEQCYGHGPGYPDEVESTRLIGERQFKKAADLFTKASEEIQGKVDYRHAFVDFSQLEVTINGQNGGSKVVKTCPAAMGFGFAAGTTDGPGAFDFKQGDDQGNPFWRLVRNLLKNPTEEQARCQRPKPILLDTGEMKQPYDWAPSILPVQILCIGQLVILCVPGEFTTMAGRRLRDAVKTVLKEGSNGGEFSVVIAGLTNSYSQYIATFEEYQVQRYEGASTLYGPHTLSGYIQEFKKLANDLLSGQTTDPGPQPPDLLQKQISLLTPVVADMTPIGTKFGDVISDVPRFSKFKKGTDVVTVQFRSANPRNDLMTEGTFALVERWLEGRETWVPVYDDDDFCLRFKWSRPFKLSTQSTATIEWRIPETASPGVYRTTHFGSAKTPISSIHHFSGSSSAFVVY >Al_scaffold_0008_2391 pep chromosome:v.1.0:8:18922505:18923726:-1 gene:Al_scaffold_0008_2391 transcript:Al_scaffold_0008_2391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MR64] MAGGKGGVSANQRDKRRSEDESTTTRRRSDTSMRTYEDLLRTIVDEGKTKGTREDGEERDSE >Al_scaffold_0008_2393 pep chromosome:v.1.0:8:18931363:18936583:1 gene:Al_scaffold_0008_2393 transcript:Al_scaffold_0008_2393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MR66] MAGVLESFFWIIICIHVVSLVLAQDRDQFLHYNFSQADLHLDGMANIDDGRLHLTNNTTKSTGHAFNKTPMQFTASSSFSTEFVFAMLPLQGDGQGMAFVVSPTKDLRYAGAATSDLGIFNKTNDNKTENHILAIELDTNDSSESFDKSGNHVGIDINSIVSVEYANASYFNDTQGKNITLSLASGKSILIWIDYDGIKKLLNVTLAPVPTPKPVSPLFSRSIKPRVPLLSRSINLSEIFNETMYVGFSGSTGTVKSDQYILGWSFKKGGQAESLDISKILDPPNRPPPPLPPTSRSKDSKNIIIISVTVTSIAFLLILGGILYFYKNKKYAEVLEQWENEYSPQRFSFKNLYKATRGFRENRLLGAGGFGKVYKGELPSGTQIAVKRVYHDAEQGMTQYVAEIASMGRLRHKNLVQLLGYCRRKGELLLVYDYMPNGSLDDYLFNRNKLKDLSWSQRLNIIKGVASALLYLHEEWEQVVLHRDIKASNILLDADLNGRLGDFGLARFHDRGENLQATRVVGTIGYMAPELTAMGVATTKTDVYAFGSFILEVVCGRRPVEPDRPPEQMLLLKWVATCGRRDTLMDIVDSKLGDFKAEEAKLLLKLGMLCSQSNPESRPSMRDVIKYLEGNATIPSISFDTAGFGAEESEIYKLRWERRSSLFLMAGVLGSVGFWMIIGIHVTFLVFAQEGDQFLYYNFKNANLKLDGMANTNDGPLHLTNNTNTSTGHAFYNIPIKFPASSLSSFSFSTEFVFAIFPLQTYAYGHGMAFVVSPTKDLGSNGTENSYLGLFNKTNDNNTTNHIFAVELDTHKSPEQSDKSDNQVGIDIYTTDSFKSAEASYFNATEGKNESLLLASGKSILIWIDYDGIEQLLNVTLAPVPTSKPVSPLFSSSIKPRVPLLSTSINLSEIFNETMYVGFSGSTGTIKSNQYILGWSFKKGGEPKSLDISRISDPPPPSSPPPTSPPQSSPPPPSPPPSSLSRLPLVILGTIIIIPTIVFFLIILGGILYLYKKKKYAEVLEQWEKEYSPQRYSFRNLYKATKGFRENQLLGAGGFGKVYKGILPSGTQIAVKKVYHDAEQGMKQYVAEIASMGRLRHKNLVQLLGYCRRKGELLLVYDYMPNGSLDDYLFNKNKLKDLTWSQRVNIIKGVASALLYLHEEWEQVVLHRDIKASNILLDADLNGKLGDFGLARFHDRGVNLEATRVVGTIGYMAPELTAMGVTTTWTDVYAFGAFILEVVCGRRPVDPDAPREQMILVKWVASCGRRDALTDTVDSKLIGYEVGEVKLLLKLGMLCSQSNPENRPSMRQILQYLEGNVSVPAISFDTVALGIPNISHETVTQMTTTSSSANFSFEDVTVLFGGR >Al_scaffold_0008_2402 pep chromosome:v.1.0:8:18966842:18967234:-1 gene:Al_scaffold_0008_2402 transcript:Al_scaffold_0008_2402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MR75] VSSADQNLPSSAGEVSSADQNLPSSAGEISSADQNLPSSAGEVSSPRTVSTADQEVSTADLPSSTGEVSTADQDLPSSAGEVSTTSVQDLPSSADQDVSSSEGMSSSAGTLPFSPDQEISSTGCVSVATI >Al_scaffold_0008_2403 pep chromosome:v.1.0:8:18967236:18967442:-1 gene:Al_scaffold_0008_2403 transcript:Al_scaffold_0008_2403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MR76] LHLRQLRILHQNNIHRRSRSILRQNNIHRRSRSILRQNNIHRRSRSTHRRRTRLTLIHRRSKLTLIRR >Al_scaffold_0008_2404 pep chromosome:v.1.0:8:18968492:18970004:-1 gene:Al_scaffold_0008_2404 transcript:Al_scaffold_0008_2404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7MR77] MAQQGQGSMDPAVLDDIIRRLLDYRNPKPGTKQVMLTESEIRQLCIVSREIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPTANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFSVRLWKVFTDSFNCLPVAAVIDDKILCMHGGLSPDLTNVEEIKNIKRPTDVPDSGLLCDLLWSDPSKDVKGWGMNDRGVSYTFGPDKVAEFLIKNDMDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPADRRPRFL >Al_scaffold_0008_2409 pep chromosome:v.1.0:8:18988557:18991718:1 gene:Al_scaffold_0008_2409 transcript:Al_scaffold_0008_2409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRS1] MGKRAISSCLFSCLFALFLNSILGVTNDPQDQQVYVVYMGSLPSSEDYTPMSVHMNILQEVTGEIESSIENRLVRSYKRSFNGFAARLTESEREKVAKMEGVVSVFPNMNLKLQTTTSWDFMGLMEGKRTKRKPTMESDTIIGVIDGGITPESESFSDKGFGPPPKKWKGVCSGGTNFTCNNKLVGARDYTKRGARDYDGHGTHTASTAAGNVVPDISFFGLGNGTVRGGVPASRIAAYKVCNYLCTSAAVLAAFDDAIADGVDLITISIGGDKASEYERDPIAIGAFHAMAKGILTVNSAGNNGPKAGVVSCVAPWILTVAASTTNRGFVTKVVLGDGKTLVGKSVNTFDLKGKKYPLVYGKSAGISACEEESAKECKTGCLDPSLVKGKIVLCRQSEDFDINEVLSNGAVAAILVNPKKDYASVSPLPLSALSQDEFESLVSYINSTKFPQATVLRSEAIFNQTSPKVASFSSRGPNTISVDLLKPDITAPGVEILAAYSPDSTPTESEFDTRHVKFSVMSGTSMSCPHVAGVAAYVKTFNPKWSPSMIHSAIMTTAWPMNATGTDFASTEFAYGAGHVDPIAATNPGLVYEMDKADHIDFLCGLNYTADTLKLISGETITCTKENKILPRNLNYPSISAQLPRSKSSVTVTFNRTVTNVGTPNSTYKSKVVLNHGSKLSVKVTPSVLSFKTVSEKKSFTVTVTGSDSFPKLPSSANLIWSDGTHNVRSPIVVYTDDAY >Al_scaffold_0008_2412 pep chromosome:v.1.0:8:19007788:19010195:1 gene:Al_scaffold_0008_2412 transcript:Al_scaffold_0008_2412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRS4] MRKRNCFWGGSIPGLDQQGDPSNQTRTTRPPPYVILKSPWVDVVKGPSSKPNTEFLSSSIPNEGAAIDLGSVAVIDASKNVDVVHYSEAKELPSSDTNVMATPAKVFSIITTSASVVQSSANSFRKASSSNKFAVLDLASDVVLPDDSEGDFSSGSDDSDEDLILNLKSSFSEKYLYCRPLQFPIKAINMGRGGRGGGRCGRGNRGRRGEKFC >Al_scaffold_0008_2419 pep chromosome:v.1.0:8:19060012:19063024:1 gene:Al_scaffold_0008_2419 transcript:Al_scaffold_0008_2419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRT3] MPTISLNGQLIAAPIVYTTASSYLSSRRQYYPLSSSRELRFNASSSSRFKSLTCSANLPTRKKIEPSSNNVLVKSLSKSFVFCSSAKPKDSSNCNLRKPLAISLVVTSVSSAAALFLPRLFSLLSIVRGGGGGGKGGGRGGGGNFGGDGGFWRKLFSLAVPVAVADEEQSPDWDSHGLPANIVVQLNKLSGLKKYKISDIMFFDRRRKATVETDDSFFEMVSIQEGGVYTKAQLQKELETLTTCGWFEKVDFEGKTKPDGTLGVTISFAESMWQGAERFRCINVGLMTQPKPVKIDSDMTEKEMIEYLRSQEKEYKQRIKKARPCLLPGSIQREAMLMLRDQRSLSARLLHKVKERVLKWYQDQGYSYANVVNFGNLNSKELVFEVMEGDITQLVIQFQDKLGNVVEGNTQIPVVRRQIPKQLRPGHVLNMKVANEAVRNIHSLGLFSNIEIMPVPDEKKEGGVIVEVKLQEADQKSVDVSADWSIVPGPGGYPSLASFQPSGTVSFEHRNIKGLNRSLIGSVTTSNFLNPEQDDLSFKLEYVHPYLDGVNNPRNRTFKTSIFNSSKLSPVFTGGPGFEEAVAPILVDRAGVKATITEDFTRQSKLTYGVVLEEITTRDENGKISSNGQVLLPNGGINVNGPPTTLSGTGIDRVAFLQGNITQDNTKFVNGAIVGDRKIFQVDQGLGIGSNSPLFNRHQLTLTKLIPLRQVEEGPGKPQPPVLVLHGHYAGCVGDLPGYDAFALGGPNSVRGYTKGELGAARNIVEVGAEVRVPIKNTQVYAFAEHGNDLGSSKDVKGNPTTAFRRKGHGSSYGVGVKLGQIRAEYAVDHNCGTGALSLQFGERY >Al_scaffold_0008_2426 pep chromosome:v.1.0:8:19084223:19084706:1 gene:Al_scaffold_0008_2426 transcript:Al_scaffold_0008_2426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:D7MSD8] MALALKFFICFVLTVCIVASVDAAISCGTVVSNLAPCVNYLSRGGVVPDLCCEGVQKLNGMAQTTPDRQQACKCLQSTAKGISGLNPSLASGLPGKCGVSIPYPISLSTNCDNVK >Al_scaffold_0008_2427 pep chromosome:v.1.0:8:19086395:19087595:-1 gene:Al_scaffold_0008_2427 transcript:Al_scaffold_0008_2427 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 2 [Source:Projected from Arabidopsis thaliana (AT5G59340) TAIR;Acc:AT5G59340] MENEGNVGTASSSRWNPTKDQITLLENLYKQGIRTPSADQIQQITGRLRAYGHIEGKNVFYWFQNHKARQRQKQKQERMAYFNRLLHKTSRFFHPPPCSNGTFLPFSLGCVSPYYLQQVGLNQQHGSVYTNDLLHRNNVMIPSGGYEKRTTTEHKKQLSDSTSTRMPMSSSSLRYDRFALRDHCYYGEGINGNSSGRKTLPLFPLQPLDATNDDGVGNSSFALGSDSPGVCSGDGGGREQPFIDFFSGGTSRRFDSSGNAL >Al_scaffold_0008_2432 pep chromosome:v.1.0:8:19113225:19115101:1 gene:Al_scaffold_0008_2432 transcript:Al_scaffold_0008_2432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSE4] MEKNQQKQEFLAETSAMNNNDCSEQEQPQQRFVWPWVGLVANIPTEVEQSGRRVGKSGSTLRDEFTLKGFNPTRVQPIWNFKGHSGFALVEFTKDFEGFECAMKFERSFETDRHGKRDWENGIRLRDNKLYGWVAREDDYNRSDIVGKNVKKKRDLKSIVQIMEEDERKMVHLVENMSQSIEMNKQCKQELEQKVDETSRFLESLELHNVLLNKTYQEGIEKMQMNMEELYQQVLGGHEKSLAELEAKREKLDERARLIEQRATINEEEMEKSRLEREMNQKAMWEQNEANEEAMKLAEKHQASSSLGCLYINEQKEKEKLHKRIMEMEAKLNETQELELEIEKLKGTTNVMKHMVGSDGDEDIVKKMAKTQIELDARETALHDKMMTLTEKERMTNDEYQDARKEMIQVQNLLNLSVPNSLACPFNLWYYFDEQVWNANEELMKQEKIRVKRMGQLNPEPFLPAVMKKHKVTQSRAEIKAMQLCSVWEANIGDVQWTPFRVDESDGTAKVWTLNLGV >Al_scaffold_0008_2445 pep chromosome:v.1.0:8:19153317:19153802:1 gene:Al_scaffold_0008_2445 transcript:Al_scaffold_0008_2445 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROTUNDIFOLIA like 5 [Source:Projected from Arabidopsis thaliana (AT5G59510) TAIR;Acc:AT5G59510] MDDEKLWKVSKKDSIFETTHFSSKPVFTRSFSTKPTSSSKPVFIRSFSTKPTSYSSSEPIFRRSFSAKPAPSKSLFLSRSSSTKCQADTSSASKCSISRSLSQKGASVTRKCRNMAKEHKSRFYIMKRCVLMLVCWHKHA >Al_scaffold_0008_2447 pep chromosome:v.1.0:8:19159337:19160653:-1 gene:Al_scaffold_0008_2447 transcript:Al_scaffold_0008_2447 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59530) TAIR;Acc:AT5G59530] MAKNSIEFDRYTERKAFDETKEGVKGLINAKITEIPRIFHVPQDTLPDKKPSVSDLEIPTIDFASVYVDEESREEVVEKVKYAVENWGFFQVINHGVPLNVLEEIKDGVRRFHEEEDPEVKKSYYSLDFTKNKFAYSSNFDLYSSSPSLTWRDSISCYMAPDPPTPEELPETCRDAMIEYSKHVLSLGDFLFELLSEALGLKSEILKSMDCLKSLLMICHYYPPCPQPDLTLGISKHSDNSFLTVLLQDNIGGLQILHQDSWVDVSPLPGALVVNIGDFLQLITNDKFISVEHRVLANTRGPRISVASFFSSSIRENSTVYGPMKELVSEENPPKYRDTTLREYSEGYFKKGLDGTSHLSNFRI >Al_scaffold_0008_2452 pep chromosome:v.1.0:8:19172280:19173713:-1 gene:Al_scaffold_0008_2452 transcript:Al_scaffold_0008_2452 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 76E1 [Source:Projected from Arabidopsis thaliana (AT5G59580) TAIR;Acc:AT5G59580] MEELRVKRRIVLVPVPAQGHVTPIMQLGKALYSKGFSITVVLTQYNRVSSSKDFSDFHFLTIPGSLTESDLKNLGPFKFLFKLNQICEAGFKQCIGQLLQEQGNDIACVVYDEYMYFSQAAVKEFQLPSVLFSTTSATAFVCRSVLSRVDAESFLLDMKDPKVSDKVFPGLHPLRYKDLPTSAFGPIESILNVYSETVNIRTASAVIINSTSCLENSSLAWLQRELQVPVYPIGPLHIAASAPSSLLEEDRSCIEWLNKQKLGSVIYISLGSLALMETKDMLEMAWGLSNSNQPFLWVIRPGSIPGSEWTESLTEEFSRLVSERGYIVKWAPQMDVLRHPAVGGFWSHCGWNSTLESIGEGVPMICRPFTGDQKVNARYLERVWRIGVQLEGALDKGTVERAVERLIVDEEGAEMRKRAINLKEKLEASVRSGGSSCSSLDNFVNSLKMKNFM >Al_scaffold_0008_2459 pep chromosome:v.1.0:8:19187476:19189432:1 gene:Al_scaffold_0008_2459 transcript:Al_scaffold_0008_2459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSH0] MSVVPVRDIKTTYEISRNSWQGDPCVPRQFMWDGLNCSNTDTSTPRITYLNLSSSGLTGSIAAAIQNLTQLEKLDLSNNNLTGEVPEFLGNIKSLVFINISWNNLNGSIPQALRRKELELFPQGNPRLCLSGSCLPSKRKLFPVAIVASVASVASIIIAVLVLIFVFRKKKPSTVGALQQPPSISPSVNVTYPNSPETSIQTNKRRFTYSEVTDMTKNFQRVVGEGGFGIVYHGTLNGNAQVAVKVLSQSSTQGYKQFKAEVCLKFVDLLMRVHHTNLVSLVGYCGEGDHLALIYEFVPNGNLRQHLSGTRGISNISWGIRLRIAVEAALGLEYLHSGCIPPMIHRDVKTTNILLDEHYKAKLADFGLSRSFPVGGESHVSTVIAGTPGYLDPE >Al_scaffold_0008_2483 pep chromosome:v.1.0:8:19307527:19308191:1 gene:Al_scaffold_0008_2483 transcript:Al_scaffold_0008_2483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MT37] MAKRFTTQLFVSRLSAYTTDQSLRQLFAPFGQIIEARLIRDQQTQRPKGFGFITFESEDDAQNALKALNGKIVNGRLIFVEAAKEVEAPITIMKK >Al_scaffold_0008_2495 pep chromosome:v.1.0:8:19349813:19350194:1 gene:Al_scaffold_0008_2495 transcript:Al_scaffold_0008_2495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MT50] MAEKDGARGGDGGDDDTLLSFPADRWLNFCNENNFSMCGFSHLEQMELWASETEEVYIDINKYGGDDEYDGGGDYGDENDIVEYHVDRNINGHNKL >Al_scaffold_0008_2496 pep chromosome:v.1.0:8:19353087:19353467:-1 gene:Al_scaffold_0008_2496 transcript:Al_scaffold_0008_2496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MT51] MKNLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIFSPCGGALDRRSSCFANRNLKNYDLLLVTIFTSSARFRSRFTTVS >Al_scaffold_0008_2498 pep chromosome:v.1.0:8:19358438:19362651:1 gene:Al_scaffold_0008_2498 transcript:Al_scaffold_0008_2498 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferric reductase-like transmembrane component family protein [Source:Projected from Arabidopsis thaliana (AT5G60010) TAIR;Acc:AT5G60010] MKNNIPTEDSTKWMLESVEIDSMGEGSSKEPEINLNKNEGGLKKNASRNLGVGSIIRTLSVSNWRKSGNLGSPSTRKSGNLGPPTNAVPKKTGPQRVERTTSSAARGLQSLRFLDRTVTGRERDAWRSIENRFNQFSVDGKLPKEKFGVCIGMGDTMEFAAEVYEALGRRRQIETENGIDKEQLKLFWEDMIKKDLDCRLQIFFDMCDKNGDGKLTEEEVKEVIVLSASANRLGNLKKNAAAYASLIMEELDPDHKGYIEMWQLEILLTGMVTNADTEKMKKSQTLTRAMIPERYRTPMSKYVSVTAELMHENWKKLWVLALWAIINVYLFMWKYEEFMRNPLYNITGRCVCAAKGAAETLKLNMALILVPVCRKTLTILRSTFLNRVVPFDDNINFHKVIAYMIAFQSLLHTALHIFCNYPRLSSCSYDVFLTYAGAALGNTQPSYLGLMMTSVSITGVLMIFFMGFSFTLAMHYFRRNIVKLPKPFNVLAGFNAFWYAHHLLVLAYILLIIHGYYLIIEKPWYQKTTWMYLAVPMLFYASERLFSRLLQEHSHRVNVIKAIVYSGNVLALYVTKPPGFRYKSGMYMFVKCPDLSKFEWHPFSITSAPGDDYLSVHIRALGDWTTELRSRFAKTCEPPQAAAKPKPNSLMRMETRAAGVNPHIEESQVLFPKIFIKGPYGAPAQNYQKFDILLLVGLGIGATPFISILKDMLNHLKPGIPRSGQKYEGSVGGESIGGDSVSGGGGKKFPQRAYFFWVTREQASFDWFKGVMDDIAEYDKTHVIEMHNYLTSMYEAGDARSALIAMVQKLQHAKNGVDIVSESRIRTHFARPNWRKVFSELSSKHEACRIGVFYCGSPTLVRPLKELCQEFSLESSTRFTFHKENF >Al_scaffold_0008_2510 pep chromosome:v.1.0:8:19409645:19410370:-1 gene:Al_scaffold_0008_2510 transcript:Al_scaffold_0008_2510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MT66] MAFNSRIDNVLRRKRRESEVSIREGESEISIREGESEDSTRHIVTALLKNCSYEVTAVPDVLEAWRILEDEKSCIDLVLTEFVMPVHSGTGLLSKIMNLGLQTTNQVAECSIIRILVLPAFILKVFFNLNLFPVPMSFAKLFTHIMNYPKDTL >Al_scaffold_0008_2524 pep chromosome:v.1.0:8:19473785:19474531:-1 gene:Al_scaffold_0008_2524 transcript:Al_scaffold_0008_2524 gene_biotype:protein_coding transcript_biotype:protein_coding description:splicing endonuclease 2 [Source:Projected from Arabidopsis thaliana (AT5G60230) TAIR;Acc:AT5G60230] MQFNYMAPRWKWKGAEAKALAEPISKSVSELQLSLAETESSGTLSSSNVLLAVEPEQAELLDRCCFGRLVLSAEKVKKWIQLSFEEAFYLLYNLKCIKISLQGRCLENEVDTWLYMKSKRPNFPMFFKAYSHLRSKNWVLRSGLQYGVDFVAYRHHPSLVHSEYSVLVQSGDSDRLRVWSDIHCAVRLSGSVAKTLLTLYVNGKFKGEDLNLPVCLENFTVEEQTISRWSPELSREDNPQIQNKMLPM >Al_scaffold_0008_2525 pep chromosome:v.1.0:8:19475851:19478379:1 gene:Al_scaffold_0008_2525 transcript:Al_scaffold_0008_2525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTT1] MGSDSEYAFRLQMEEALAASLSSQSRTPQRPPSPPIVAWCGIAIVENDRNGSTTAKKSSGYGFDFRRAIGGGNSKGKGKTNESVTGVRTDEPNPNIGLGNSRSTSGHDNMKPLPQVTVRPAQLVGEASCNTFHLVSMFWWLETMLNLLINFQGNQYFLRSPLMKILAKQNQLGKRSVLSVSTISLAERMFSVGKCRHRFCFQCVKQHVEVKLLHGMVPKCPHDGCKSELVIDACGKLLTPKLSKMWQQRLKENAIPVTERVYCPYPRCSALMSKTKISESAKSLLSVYPKSGVRRCVECRGLFCVDCKVPWHANLSCTEYKKLHPNPPADDVKLKSLANNKMWRQCGKCQHMIELSQGCNHITCRCGHEFCYNCGGGWNKKMRTCVNRCPAWNEEYITREDPVRANVAPNNYFDDEDEDSDDDDYDYEDFFHPHFGHAMNAHNPEEPFDPFFDLPDGVILTPEMLSPKSRLQLYMAEADEDCEYFGKYGKYTTVYDSDGYEIEDYTNPFHPDYPHF >Al_scaffold_0008_2544 pep chromosome:v.1.0:8:19548594:19548923:-1 gene:Al_scaffold_0008_2544 transcript:Al_scaffold_0008_2544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase Sec, Sec61-beta subunit protein [Source:Projected from Arabidopsis thaliana (AT5G60460) TAIR;Acc:AT5G60460] MARGSSQSQQSTSTASSTARPGLSAPRGSAAATAGMRRRRLGGGGSVSSGGGSAAGSGSGNMLRFYTDEAPGLKISPTVVLIMSLCFIGFVTALHVFGKLYLHKSGSGS >Al_scaffold_0008_2545 pep chromosome:v.1.0:8:19552492:19554917:1 gene:Al_scaffold_0008_2545 transcript:Al_scaffold_0008_2545 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60470) TAIR;Acc:AT5G60470] MMIPHNHDRLSFSSFVHDQEENITINPSPKSQPPASTNTPKKKRNLPGNPGMYPNAEVIALSPKSLMATNRFFCEICNKGFQREQNLQLHKRGHNLPWKLKQKTNKNQVKKKVYICPEKSCVHHDPARALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVISDWKAHNKICGSREFRCDCGTLFSRKESFISHRSFCDVLAKESAKFFSVPSPLAANSTIATVADINNPILIQSQLDQSSIGNVNNNHTSLKFTNSNPIQQQANAFALSSAPSPVTTSDSVHNLWKLQEEECSHQWLLNEYMNNDKNIIQKGIFKNQEDEIKKGNIYSGSNPTDANTASWLSYSQEAGNMASLSATTLLHKVAQMGSSSSSDTSTMFGLMTSSIFNNTLPKSNCFKANNKEEELTRDFLGVGSPDDQQQLHHHHFPSSVPLTVNHNAPKLAATIVGKEAT >Al_scaffold_0008_255 pep chromosome:v.1.0:8:1410326:1416319:-1 gene:Al_scaffold_0008_255 transcript:Al_scaffold_0008_255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MTA7] MEAAEKKDIFESITYTLLAMAHLDLQTKMKFTRKSTVGWSIGNILLDFAGGLANNLQM >Al_scaffold_0008_2566 pep chromosome:v.1.0:8:19649219:19652378:-1 gene:Al_scaffold_0008_2566 transcript:Al_scaffold_0008_2566 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 2 [Source:Projected from Arabidopsis thaliana (AT5G60700) TAIR;Acc:AT5G60700] MGFIRPPTVRSGDYIESIFGEYSAGKPKPSRKLNSAKFVTALTCLQFAFAVYATGLLYYMSPSIDLRAKPDFTWATKWAHNMRNYIVTPHVVSHYQDSASFLKSENFPAMVSPAEVCEYEKIDFSQKKSNDEQMIKMKRELYDDVLGYQRKNLGSESLEELMKMKSKWALNGPKKPKVTVILNHFKRKTLCAQLDSLLHQTLPFHHVWVLAFGSPNEASLRRIAGSYNDSRISFISSNYDFKYYGRFQIALQTEADLVYILDDDMIPGKKMLQMLSHVAGTEKYENSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITLDRILQVDFLSSSWFLSAELVKALFIEKPFTFSTGEDLHLSYQLQKYRNAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKNVVEVRDNQWWKALSTGYVTQWAAMYPQKIDALFYAHSIDEVKALGPLLEKFRGTVGKKAYIAVSGGKFCPCEDAASALKWPKVVCKERRFKIFDLEVGAILGVSNSEVPVFQAVYSSMKGLIKIHNPSVVITVADADPNVKKALKMATETNSNGTALVLLPRASISKVLWMADLRSTALPNWNKMRVSVNIITQNRAQSLLRLLRSLSNAYYLGDEISLSFNMDSKVDEETINVVSTFDWPHGPKTLRRRIIQGGLIRAVSESWYPASDDDFGLLLEDDIEVSPYYFLWIKYALLAYHYDPQVSFPELSSISLYTPKIVEVVKERPKWNPTDFFKQIHPHTPYLHQLPCSWGAVFFPKQWREFYVYMNMRFTENAKANPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQSSFSTNHMEPGAHIAAKDNVVKHNKTDFEVPLLMDDFRNFLPNQKLPPLSKLPSLNLFNMPVSLKGLKAAGAKLGQDVLRCNNVSEIVAVNHQTGLPARCMKF >Al_scaffold_0008_2573 pep chromosome:v.1.0:8:19699099:19701787:1 gene:Al_scaffold_0008_2573 transcript:Al_scaffold_0008_2573 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrate transporter 2.4 [Source:Projected from Arabidopsis thaliana (AT5G60770) TAIR;Acc:AT5G60770] MADGFGEPGSSMHGVTGREQSYAFSVESPVVPSDTSAKFSLPVDTEHKAKVFKLLSFEAPHMRTFHLAWISFFTCFISTFAAAPLVPIIRDNLNLTRQDVGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLSAPTVFSMSFVANAGGYITVRFMIGFCLATFVSCQYWMSTMFNGQIIGLVNGTAAGWGNMGGGVTQLLMPMVYEIIRRLGSTSFTAWRMAFFVPGWMHIIMGILVLTLGQDLPDGNRSTLEKKGAVTKDKFSKVLWYAITNYRTWVFVLLYGYSMGVELTTDNVIAEYFFDRFHLKLHTAGIIAASFGMANFFARPIGGWASDIAARRFGMRGRLWTLWIIQTLGGFFCLWLGRATTLPTAVVFMILFSLGAQAACGATFAIIPFISRRSLGIISGLTGAGGNFGSGLTQLVFFSTSTFSTEQGLTWMGVMIMACTLPVTLVHFPQWGSMFLPSTEDEVKSTEEYYYMKEWTEPEKQKGMHEGSLKFAVNSRSERGRRVASAPSPPSATPEHV >Al_scaffold_0008_2590 pep chromosome:v.1.0:8:19794608:19794997:-1 gene:Al_scaffold_0008_2590 transcript:Al_scaffold_0008_2590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUF5] MENCPFGKTRIAKVKTVMEAELKALRWAIITMSRLQYRKIIFESDAQELIKILNSGEVRPHINPSLQDIKIMLQQFEEVKFVYSPRGGNEVADIYRIAKESLSSMKDDPTLYSIVLVWLKSLVESDVVL >Al_scaffold_0008_2597 pep chromosome:v.1.0:8:19828906:19829274:1 gene:Al_scaffold_0008_2597 transcript:Al_scaffold_0008_2597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUG1] MSIKNVISLIAVLYIIVSANAQLPQFLAPFPFPFPFQPIPGMPGLPDITKCLSSVMDIPGCIAEISQSIFTGKFRNLGPACCKAFLDAEANCMPKILFIPFFPPMLKEQCSRIVGATPPTPK >Al_scaffold_0008_26 pep chromosome:v.1.0:8:105773:107423:1 gene:Al_scaffold_0008_26 transcript:Al_scaffold_0008_26 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G47620) TAIR;Acc:AT5G47620] MEMESCKLFIGGISWETSEDRLREYFHSFGEVLEAVIMKDRATGRARGFGFVVFADPIVAERVVLLKHIIDGKIVEAKKAVPRDDHAVFNKSNSSLQGSPGPSTTKKIFVGGLASSVTEAEFKKYFAQFGMITDVVVMYDHRTQRPRGFGFISYDSEDAVDKVLQKTFHELNGKMVEVKLAVPKEMALNTMRNQMNVNSFGSSRISTLLNEYTQGFSPSPISGYGVKPEVRYSPAVGNRGGFSPFGHGYGIELNFEPNQTQNYGSGSSGGFGRPFSPGYAASLGRYGSQMETGGASVGNSSVLNAATKNHLWGNGGLGYMSNSPISRSSFSGNSGTSSLGSIGDNWGTAARGRSSYHGERGGVGLEAMRGVHVGGYSSGSSSMEADSLYSDSMWLSLPAKAEEGLGMGALDFMSRGPAGYMNRQPNGGIAA >Al_scaffold_0008_2600 pep chromosome:v.1.0:8:19837663:19837950:1 gene:Al_scaffold_0008_2600 transcript:Al_scaffold_0008_2600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1I5] MAMAGWHLSRNKMLFFSGDVFTSLAVCVHLTPYFPSVSDMVASVSSVVIYYHCISCINEVDQIVWGVKPVPNPEFVHRNNGSKLNYFEKNWDWMK >Al_scaffold_0008_2616 pep chromosome:v.1.0:8:19904660:19907035:1 gene:Al_scaffold_0008_2616 transcript:Al_scaffold_0008_2616 gene_biotype:protein_coding transcript_biotype:protein_coding description:anthocyanin 5-aromatic acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G61160) TAIR;Acc:AT5G61160] MNDFHLDSASCLERFDVSKIGDIRFHPLLELRNEVAILKDADFSRISGRGLRPELELPIYAELGAVVSLQVTLFPKQGFCIGTTIHHVVVDGKTAEKFNKAWAHACKHGTVPKILPTVLDRSVVNVPAGLEQKMLGLLPYLTEDKENARTLKLPPVKEIFAEDNVLRTTIEMTPENIEKLKERAKKESTRTELHLSTFVVSFAHVWTCMVKARRGDPERPVRFMYAADFRDRLDPPVPVTYFGTCVLAIDFYKYKAKTFLGEDGFVNTSQLGRCMREGTKTMKWGTQLLVVNGSNQIGMYETDFGWGRPVHTETLSIYKNDEFSMSKRRDGIGGVEIGVSLKKLEMDVFLSLFYKWIGN >Al_scaffold_0008_2637 pep chromosome:v.1.0:8:19986117:19986909:1 gene:Al_scaffold_0008_2637 transcript:Al_scaffold_0008_2637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUK0] MVEGENFDCEEQRITHFDLRNDPQFPMVFVRESTDFAKDDLAIFPPINHENLYIDGFDKDREYPSESSSPSSSSRLSDSSLSPSDSDEQFQFCRKSHSQPSEAVGKSRWKSIIEIDIIQVWWKILLARVMPKFQNLVTCFSRNSLCSFSKTLRSFYPVMVIVLWWWMRNRTRRRHQKGEIIAAHFRDTIKERDERIAQLLHQITQMNELLVKITHSN >Al_scaffold_0008_2643 pep chromosome:v.1.0:8:20001998:20002234:-1 gene:Al_scaffold_0008_2643 transcript:Al_scaffold_0008_2643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MV17] MSGKSSSGGGGKSSGGGGKSGGGSGSGNGGKSGGSGNGNMVAPGTNGGAYISRSGFESNPQGYFSNLHGSGPSGQSKK >Al_scaffold_0008_2676 pep chromosome:v.1.0:8:20155048:20159230:1 gene:Al_scaffold_0008_2676 transcript:Al_scaffold_0008_2676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKM0] MVNPSPASFWTQANALLRKNLTYQRKHIWTNVRLILVPLFLCLILLAIQQVLDALMKSVSDMSNCGGNVTLPGGICPIPNPPPLPPMLQIPQHELRSVKTDFFSYKDLPDKSCRGTGSCPVTILITGDKLALGKALSANIFSTSFVVNSSDLLPTLANNVLGSTEAAGEDNYEDPGIASDLPIYSIQPSCSANSTWPLSLGQIQTAVKCVQGLCLWRNNSVEVNDELFKGSWRGNPAGMTNEIVAAYDLMSTDRKNFNVTIWYNSTYNDEFSTGQPLKLVRVPRSINLISNAYLKFLKGLGTRILFEFLKEVPKKETKMNQDIASLLGPLFFTWVVLLLFPVILTSLVYEKQERLRIIMKMHGLGDGPYWMISYAYFLTISMLYVISLVSFGSAIGLKYFRLNDYSIQFVFYFIYLNLQISLAFLVSSIFSKVKTVTVVAYILVYGTGLLGSFLFQKMIENQSFPEEWILAMELYPGFSLYRGLYEFSQYASRGNGMKWQDLSDSGMGEVFCIMSIEWFLALIVAYYIDQVFTSGKHPFFFLVNPFKKPSSLPRRPTVQRVDSKKVSIDIEKLDITEEREKVQKLRNEGSTGHAILCDNLKKVYPGRDGNPPKMAVRGLYLSVPSGECFGMLGPNGAGKTSFISMMTGLLKPSSGTALVQGLDICKDMNKVYTSMGVCPQHDLLWETLTGREHLLFYGRLKNIKGSDLTQAVVESLKSVSLFDGGVGDKPAGNYSGGMKRRLSVAISLIGNPKVVYLDEPSTGLDPASRKNLWNVIKRAKQNTAIILTTHSMEEAEFLCDRLGIFVDGGLQCIGNSKELKSRYGGSYVFTMTTSSNHEEEVERLVESISPNAKKIYHLAGTQKFELPKQEVRIAEVFRAVEKAKSSFTVFAWGLADTTLEDVFIKVARTAQAFISLS >Al_scaffold_0008_2678 pep chromosome:v.1.0:8:20164809:20169130:-1 gene:Al_scaffold_0008_2678 transcript:Al_scaffold_0008_2678 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 homolog 11 [Source:Projected from Arabidopsis thaliana (AT5G61730) TAIR;Acc:AT5G61730] MTLREGLPLFHQQFTALFKKNLLLSWRNKTATCLHLFSSFFFILLIFSIEESTKASELTSTTHRNVTDPKALVSLPIPPCEDKFFVRLPCFDFVWSGNQSRRVTDIVSAIMANNPGRPIPSNKVQSFKKPEEVDAWFMSHPLQVPGALHFVEKNATVISYGIQTNSSSEKKRGRREDPTFKFLVPLQIAAEREIARSLIGDPKFSWGFGFKEFARPGIGGVVTTSAFYLMGPVFFLAFSMFGFVLQLGSVVTEKELKLREAMTTMGVYDSAYWLSWLLWEGILTFVSSLFLVLFGMMFQFDFFLKNSFVLVFLLFFLFQFNMIGLAFALSSIISKSSSATTVGFLVFLVGFITQIVTATGFPYSSSYSIGSRVIWSLFPPNTFSAGLQLLLEATSSPGDSGISWSERAICAGGESTCIITTNKIYIWLVGTFFFWFVLALYFDNIIPNASGVRKSIFYFLKPSYWTGKEGNKVEEGSICSCIGSVPHVEHITPEDEDVLEEEILVKQQAMDGIVDPNIAVQIHGLAKTYPGTTKLGCCKCTKTSPFHAVKGLWLNIAKDQLFCLLGPNGAGKTTTISCLTGINPVTGGDAIIYGNSIRSSVGMSNIRKMIGVCPQFDILWDALSSEEHLHLFASIKGLPPASIKSIAVKLLADVKLIEAAKIRAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIQESKKGRAIILTTHSMEEADILGDRIGIMAKGRLRCIGTSIRLKSRFGTGFITTVSFPENKKDSARSTSPEPLKRFFKEHLKVEPTEESKAFMTFVIPHDKEQLLTGFFAELQDRESEFGISDIQLGLATLEEVFLNIARRAELESATVEGTMVTLELESGIAVEIPVGARFVGIPGTENAENPRGIMVEVYWQQDGSGSMCISGHSPEMRIPENVSVIYEPSSQVLGHGQRRVRGIVIDYESNN >Al_scaffold_0008_2690 pep chromosome:v.1.0:8:20206842:20209754:1 gene:Al_scaffold_0008_2690 transcript:Al_scaffold_0008_2690 gene_biotype:protein_coding transcript_biotype:protein_coding description:floral meristem identity control protein LEAFY (LFY) [Source:Projected from Arabidopsis thaliana (AT5G61850) TAIR;Acc:AT5G61850] MDPEGFTSGLFRWNPTRAMVAAPPPVPPPPQQQPATPQMAAFGMRLGGLEGLFGAYGIRFYTAAKIAELGFTASTLVGMKDEELEEMMNSLSHIFRWELLVGERYGIKAAVRAERRRLQEEEEEESSRRRHLLLSAAGDSGTHHALDALSQEDDWTGLSEEPVQQQNQTDAAGNNGGGGSGYWEAGQAKMKKQQQQRRRKKPMVTSVETDDDVNEGDDDDGMDNGNGGGGGGLGTERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCREFLLQVQTIAKDRGEKCPTKVTNQVFRYAKKSGASYINKPKMRHYVHCYALHCLDEDASNALRRAFKERGENVGSWRQACYKPLVNIACRHGWDIDAVFNAHPRLSIWYVPTKLRQLCHLERNNAVAAAAALVGGISCTGSSTSGRGGCGGDDLRF >Al_scaffold_0008_2698 pep chromosome:v.1.0:8:20233127:20237678:1 gene:Al_scaffold_0008_2698 transcript:Al_scaffold_0008_2698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase-related protein [Source:Projected from Arabidopsis thaliana (AT5G61940) TAIR;Acc:AT5G61940] MEDSSFMEDAEGLQLYEDVKNLFDNGDHIKALEIIEDLILVHREDKNAWILHLKQGQMFYELAKKTENPDVEFAYLLGSVQCFSEDGSLSPLCARTLITLAQKLGSVLYYKKSLEKAKQGLSVTTLPRKSDSVAQLSLQDQRKLDKKNKGLLSLIKEAESEIASSKSLVASTIKNSEQKVWESKESPDPPEDAVKGLRSYWVGLDVKIKRDFMKVSIAKLTSFVEGEEHYKEGREVLEHVLASAREARRWTAWMCRTLCSKEFSSAEECKNHLEQQHAADFKPSSEKYMVKRIGKDWARKILVGGWEPVDAVAAVEMIKNQLADVKAFASKAKNGWSKEWPLAVDEERSKLLKEIKLLLFSFCDLKILSGSIRDWMMRFPAKHLGKLEVSEQSLVDSRLVETPQSICFLESRELTQILDFLNIIKYERNDGTNQVCRAVESVLSRTRVKEKMDFDPQFSYLLLDRRLLKSNNIPFDDEGTVNIFDPSVHYAKAQVHGDDTISWLTDYSSVDKTFPRPVREHNFGIWVAVLKAVQFTCRNLGTKYAKKVLLLDYDAALTVVENTCMSEDERRRNLPEDQWSRYASLLCDMCEERVPKNSLTTKLFMCAVRDVFEGALHPTFDFLELEDCLNLIREHKSLSDDKVLQAIDLLKSVVTQKVLLINTKILLIDNSRISLLNNLTRLSAFDNRTYILQVLKPFLLNEIVNMESKAKSDAAEANLLNELEKEKQQSKEKPQSKEKPPSKKKRDKSKKKTSTSNPSTLDKTVEHLEPESTSLSLRTVEEDSMEPEDALASETGRLEISSKNEIQEEATEDDPDMHREDSLSEHLEPAAGEVTTRYNSALDMTLKALLNIKVLKEDLMQNRQRFQDHGEEQVPSALRNLFTAFVSEVIKNEGVYSCLLSNLLASQEVLSMSLQSSDAANVVVAILDFWRCWKNPERESLVTRLFISAENKRMSCRKCRKITNYPQQSCYGIVTAADSIRELKCALGNRNFVDILKVIRMRYKMLCDSKTGGCGKTNSVHHITSRCPPIFTIVLEWEKSATETEIYETTKALDWEIDISRLYEEGLEPNTNYRLVSMCQNIWDVMQVGYSEGEEEHICLAYEENRWVNLRRECLAGEDVGDWKNVVRFCGERKVQPEILFYEAA >Al_scaffold_0008_2701 pep chromosome:v.1.0:8:20250553:20250753:-1 gene:Al_scaffold_0008_2701 transcript:Al_scaffold_0008_2701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKP5] MVHDNVAESFSPSFPSFVFSSPSHFFSKPTNRKNQKNPKKKKNSSLSLFSVSLSVGESAGIARRRE >Al_scaffold_0008_2710 pep chromosome:v.1.0:8:20305158:20305846:1 gene:Al_scaffold_0008_2710 transcript:Al_scaffold_0008_2710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKQ7] MMKRRSRENNYNISSQRRRYREISTREKSRYINIPLDITVEILKFDRGCSMTHPQQRCDVQIIFHYQSDKSSFFIFAHPQNTDQEFVSIPGLTVDSYGYVRDDLFNHVFVFGYDPVKNRYKVVCLITKSEELENTFFLFSN >Al_scaffold_0008_272 pep chromosome:v.1.0:8:1500352:1501872:-1 gene:Al_scaffold_0008_272 transcript:Al_scaffold_0008_272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7MTC8] MSSLGFSVGTCSPPSEQRKCRFLVNNSLNKAETINLRNTQKASSDPELSFVQLSSCGRREAIIGFGFSIGLLDNVSALAETTSCEFSVSPSGLAFCDKVVGYGPEAVKGQLIKAHYVGKLENGKVFDSSYNRGKPLTFRIGVGEVIRGWDQGILGSDGIPPMLTGGKRTLKIPPELAYGDRGAGCKGGSCLIPPASVLLFDIEFIGKA >Al_scaffold_0008_2720 pep chromosome:v.1.0:8:20342813:20344113:1 gene:Al_scaffold_0008_2720 transcript:Al_scaffold_0008_2720 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc transporter 12 precursor [Source:Projected from Arabidopsis thaliana (AT5G62160) TAIR;Acc:AT5G62160] MMSRFRKTLVSAFVLYLVILPLLVSAAEEENECGGSKGGSAAEKASALKYKIIAFFSILFAGIFGVCLPIFGLKSESNFFMFVKAFAAGVILATGFVHILPDATESLTSPCLGEEPPWGDFPMTGLIAMAASILTMLIESFASGYLNRSRLEKEGKTLPVSTGGDKEEHAHTGSAHTHASQGHSHGSLLIPQDDHIDMRKKIVTQILELGIVVHSVIIGISLGVSPSVSTIKPLIAAITFHQLFEGFGLGGCISEAKFKVKKIWVMLMFFALTAPLGIGIGIGVAEIYNENSPMALKVSGFLNAAASGILIYMALVDLVAPLFMNPKAQSSMKIQVACSVSLVLGAGLMSLLAIWA >Al_scaffold_0008_2727 pep chromosome:v.1.0:8:20378521:20379623:-1 gene:Al_scaffold_0008_2727 transcript:Al_scaffold_0008_2727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo-specific protein 3, (ATS3) [Source:Projected from Arabidopsis thaliana (AT5G62210) TAIR;Acc:AT5G62210] MESFSLSSIILILLVLSLTDPILSLTSEPLISSLDLHEEESCPYTLIVTTSCFSPDWSRDQITIALGDANGNKVVAPRLDEPLSGGGGFEKCSSDTFQVKGKCLNIICSVYIYRSGTDGWIPENVEIYKEGSKSVKFDFNKNVPENIWYGNNSCNNTGLPPPSPDFPPFSPSVPPPSPPYFPPEPPSIPPPPPSPPSAASGRGSGQSLVVAFAAAVVFAFAAMFV >Al_scaffold_0008_2747 pep chromosome:v.1.0:8:20452690:20454042:-1 gene:Al_scaffold_0008_2747 transcript:Al_scaffold_0008_2747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLV9] MKTTKAKANTILEKKLEELECLLQEFGSGNVDLNAYREFELRFLFTHTLLCAEISSVKDDEDEEMLKLRCMAKRLTELEEAFKELTSSINQPDMQSLESGGGVVNVDETGLVRSLVESLHEEYQDASLEEKWARRKTEKMKKKRFRGLVSFGIVGFVAGMAPRAIFYQVVIPPKDSLVNVIFYTLQG >Al_scaffold_0008_2752 pep chromosome:v.1.0:8:20473115:20474346:1 gene:Al_scaffold_0008_2752 transcript:Al_scaffold_0008_2752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLW5] MMKYICRRKNEISPETEAQKREAEAPSYRETNENDDEITINKKRNERHGCRKRPERKQTRLSRKGSNGSSYHQRPKPRQGPILRLRRTKPATNTKEIADLLYESRDNDAERKSCRNRKRPSPNVARKQTNLDLSGKQLRVTRNQRETAGQKRTDPLTTPARSAGSRRGQNKRLRLTAARANQF >Al_scaffold_0008_2753 pep chromosome:v.1.0:8:20477125:20478980:1 gene:Al_scaffold_0008_2753 transcript:Al_scaffold_0008_2753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLW6] MVNLEAIAFSYVIFLGALMWFYTFIYILSRKYFKDKENEDEDDIELGDDHHNYHCCRICHEDIRDFSDILRLSKCHHSRVALKRCQEELTLYPWDTRLKNQTSLGERHIALFCCFEEVASSLISRLQLQRTPQGEVMEFPPLEFRRALIVIVCLLLILLCALSTFVKERRDLKKSSDCMYGGNPCRDYHEDLKALKKALDRSKCNHITTIFTSFKDDP >Al_scaffold_0008_276 pep chromosome:v.1.0:8:1515982:1523732:-1 gene:Al_scaffold_0008_276 transcript:Al_scaffold_0008_276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTD1] MEILFGESISNLNSINLRIQDETVVSLCKPPTHDTFGLAKAYMPRSQIQSLNDRGDSLFRRPSRRTKNASASSQPPQRSVDYDGTIDAIADFYNVNYGGEFSGPRRNNDVGQSGNGDRVGADNNQTGNEVMGDFANDVELANGMVRKEPTGNEVRGDFANDGELANRMVRKEPVEVPPHDVDGSNGDGVVTDNIQKPAKEVSTDGSPDGELGDGMDIEEPAEVPPPTVEGSTHISNAMAKDRTVEVPPPNVVESNELSTGMPTEKTVALHPPVVEESNDHCNGMATDETGSEQEVDSDSSTQSASSNQSISSPGDYADPESQGVSQLGSDPMHGSQIQVQPDVHVSNTPGVGQMGSERLQSLSEKKSLSEKKLLSAKKLMSEKKTKKKKQRKRLLLGEVSVSVGEEVAVGRGRRGSRVGGEE >Al_scaffold_0008_2772 pep chromosome:v.1.0:8:20544571:20546819:1 gene:Al_scaffold_0008_2772 transcript:Al_scaffold_0008_2772 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G62580) TAIR;Acc:AT5G62580] MATKNTKQNMSVLLTKLGDRDTFTMAARELDLMARQIDPSSSGNLQSFISVILSADTGDKPAVRKHCIHLLSVLSVSLPLNSLSPFLSKILTRITRRLRDPDSSIRSTCVAAVSAISSRTTKPSFYSAFMKPLADTLFTEQEVNAQIGAALCLAAAIDSASDPDPVRLGQTLLPRLEKLVKCNAFKAKSAGVVVIGSLIGAGGLSGTTVSSGGLKGLVECLLSFLVSEDWAARKAAAEALGRLATMERNELGEFKAKCLKIFESRKYDKVKAVREVMNQMMEAWKQVPDLSEEVSPPRSNASSKGDASDGRYPSGSRVASTPAKSRTHLVNRSTPPRSSLATTARKQAGRKSIDQKKTSLTAPHTKPNVRRRLDWKAGGASIATGVPLEDEQLRDHNENAKETSHSSHNTMQKLGGVSSSLNGNVPPSGATMVTGHHVLSENPNSSNCKGLEDISLIRNQLVQIEQQQANLMDLLQRFVGSSQHGMRGLETRVHGLELALDEISYDLAVSNGRMSNGSSRNNCCLLPSGSFIKSKFWKKHDSKYSASRLSTYRNRNAEATGIQNSRHRFNGSPGFIVNPLAEIRPDNGSAGKQAVCLTIEGRRETKH >Al_scaffold_0008_2781 pep chromosome:v.1.0:8:20588344:20591655:1 gene:Al_scaffold_0008_2781 transcript:Al_scaffold_0008_2781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMD3] MEKKAKEEITTAWHLVSMCKSNTHNRKMMDDIFVKVDVVHSKAKKITADGKVTNQLHQALISSFQWKKKSSKAMRRRRGDDWFASEIPLDLQIEILIRLPAKSLMRFKCVSKLWFSLIRSRCFSNCYLKVASPPRPPRLYMSLVDHIQCNSMEVCYNPRESVLLSLSSSSSTNAKSFHQDLAMPGMGGRNMMILRGLILYIVCRKACIYNPTTRQCCNSMEVCYNPCESVLLSLSSSSSTDAKSFHQDLTMPGMGGHNMMILRGLILYIVCRKACIYNPTTRQCVTLPAVKSNIFAQEDYRKSVLYFLGHDPVLDQYKVVCTVAVSSKRFKRITSEHWVFVLEPGGSWKRIEFDLPHCPARLGLCINGVIYFLASACMSSDILVSFDVMSEEFKWIQGPPVASAFKPMGFIEYLGKPSVFDHSHLKRKGSVDLWVLEDAGKWSKKSLVLQPCQMHLVDKKLSFTVKGSGHVRYRTGKRKLEIVKIAKSFRVETCLF >Al_scaffold_0008_2782 pep chromosome:v.1.0:8:20595747:20596024:1 gene:Al_scaffold_0008_2782 transcript:Al_scaffold_0008_2782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMD4] MWTGVYITAEDHCLSLRKIDCVENRFGETTAPSWENDSEDEAQRKVVRTENREMRCFTKEHEQKDAIEKTRPLL >Al_scaffold_0008_2804 pep chromosome:v.1.0:8:20700438:20701423:-1 gene:Al_scaffold_0008_2804 transcript:Al_scaffold_0008_2804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MMW6] MNLLAFVIGDKGVGKSSLVTKFADDNSPRATYDRISLEHKIEIDIDGRKWMLKIIRGNKTWNCNNKYYYQVACCVLVYDVYNRTSFDNLTKHIDDFVALAHPKAYKFKFVVLGNKIDMRRERAVHKEEALQWCYHNRDALYFETSAIEGDNVAAAFDQIARCATDYIPHLVGGQLYNLQDIVDQHN >Al_scaffold_0008_2806 pep chromosome:v.1.0:8:20710093:20712254:-1 gene:Al_scaffold_0008_2806 transcript:Al_scaffold_0008_2806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMW8] MENCVYEDLDGDMNDEEIGIANKYWDAEDFFLKGKMETEPETALSGFADVVKMSRNHDNWCLIALERTVQIYCSRGEHEKIKDVHREMLKFTKSPYFYSSITSITDFVSSSFSDNFDLLEEFYQTTLQTLEESKGSSQALWFKTNLKLCNTLFDLRKYPQISKILKELHRYCQNEDGTYAKNKGSQVFEVFANEFLLYIETNDNKDLKMQQLYQKAFSGKFDTSDHTVIGIVRECGGKMHLAERQWEEAAIDFRAAFANYNLARNQRRIKCLKYGLLANMMGSKVNPFDRETARRYENNPEILAMKALIEAYEKNDFAEFQRILKSMDDPFIKTYYMEDLDMLKKVRTQVLLNLIKPYANIGIQFISTKLGMSETEVTELLRSLILDSQIDGSIDGVNGYLLLTKL >Al_scaffold_0008_2830 pep chromosome:v.1.0:8:20801058:20802049:-1 gene:Al_scaffold_0008_2830 transcript:Al_scaffold_0008_2830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MND8] MSKEEMQMAMNKTKEMVSSYPVVVFSKTYCGYSKRVKQLLQQLGATFQVLELDEMSDGGEIQSALSEWTGQSTVPSVFIKGKHIGGSDKVMETNKQGKLVPLLTEAGALANVPSKL >Al_scaffold_0008_2838 pep chromosome:v.1.0:8:20824294:20824678:1 gene:Al_scaffold_0008_2838 transcript:Al_scaffold_0008_2838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant thionin family protein [Source:Projected from Arabidopsis thaliana (AT5G63085) TAIR;Acc:AT5G63085] MEKKWSVVMMMLVLVVMAAIGGEAVDHLCTFKCEITCRDPEFITPCFKKCMAECQHRPPSTSTLHSISQSQMKTKAMEETRG >Al_scaffold_0008_2839 pep chromosome:v.1.0:8:20827063:20827448:1 gene:Al_scaffold_0008_2839 transcript:Al_scaffold_0008_2839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNE7] MENKWSAVMILVLVVMAAISGEAADQAADPCAAVEKKCLSVCRKRSRNEGILATCVIFCVITECKAFPLPPTSAFYSALHSQRKTIADWIKNEA >Al_scaffold_0008_2845 pep chromosome:v.1.0:8:20849810:20850473:1 gene:Al_scaffold_0008_2845 transcript:Al_scaffold_0008_2845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p family protein [Source:Projected from Arabidopsis thaliana (AT5G63130) TAIR;Acc:AT5G63130] MVAVDYTEKNSGSSLKFLCSYGGRIFPRSTDGKLRYVGGHTRVLSVDRSISFSELMKKLYEFCGYSVDLRCQLPNGDLETLISVKSEEELANIVEEYDRISGAKIRAVLSPPRSSHKNDSSPSSSGDRSPKSPFSITPSPPNSPSPAYGRYLQSRYCPADLFPRRYNHRSEESHCCYACRVHNKDSRLVWH >Al_scaffold_0008_2859 pep chromosome:v.1.0:8:20898523:20898967:-1 gene:Al_scaffold_0008_2859 transcript:Al_scaffold_0008_2859 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1-interacting protein 4 (RIN4) family protein [Source:Projected from Arabidopsis thaliana (AT5G63270) TAIR;Acc:AT5G63270] MAGNKGKPLPKFGEWDVNNPASAEGFTVIFSKASDEKKTKKASGAGPNSLVSPQGNQNSDQNNHHDSQNPKAKNKWFCFR >Al_scaffold_0008_2891 pep chromosome:v.1.0:8:21025895:21026239:1 gene:Al_scaffold_0008_2891 transcript:Al_scaffold_0008_2891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MPQ5] NSDSDGDSSEDEYDADWHDFALSEHTTSPQEGPIVEGETIRLCSVPTTAGGITIRETEPTIRPAGPACKPGDKGKGVLLFQILQKLIVMTSRRIKLFYFHSQIYPPELNVAKQG >Al_scaffold_0008_2892 pep chromosome:v.1.0:8:21032151:21034133:-1 gene:Al_scaffold_0008_2892 transcript:Al_scaffold_0008_2892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPQ6] MEYERDHNTSSSSPPSLCKQLASSTLRGSFLKCYCGLVIPHLYYSLHLEPHIPVVDLSASDEELVVRAVVKASEEWGIFQVVNHGIPTELMQQLQVVGKQFFDLPEAEKEAVAKEEDFEGYKRNYLEGIKAWDEHLFHRIWPPSCINYKYWSKNPPRYREVNEEYTRHMKKLTEKILGWLSEGLGLPPVALTKSLGGEMAEYVIRVNFYQPSPKSELALGAAVHTDIGAITLLVPNEVPGLQVFKDEQWLDLEYINSAVVVIIGDQLMRMSNGRYKNVLHRATLDKENLRILWPVFVAPKHDLFVGPLPELTGDENPPKFETIVYKYYLHQTIRNWTLDKLPLY >Al_scaffold_0008_2896 pep chromosome:v.1.0:8:21050033:21053282:-1 gene:Al_scaffold_0008_2896 transcript:Al_scaffold_0008_2896 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63630) TAIR;Acc:AT5G63630] MPLKFPLRIRFFTHSLPGTHLSYNPSSSVPLIFRIFSSRLNHFEFGSRINFSTRPNRDQPEFERRIRDGGEIRAAKSLIEDEEELSNWVSGFRTGSSRGRLTSEDEDAVPNVEDRSRGRIQEKRGIRNQVDSFRDKRYGGDRERGFNSRIQGKSSQASFDGRKDTSFRRDRDKADYKGLRKREDILEDESSDEDVKSLVMGGIGDLLSEDDEEEDEDYEFLKKKAVSAFGFDKEKVTEADMARNANDSYLTKTRFDQYPLSPLSLKAIKDAGYETMTVVQEATLPIILKGKDVLAKAKTGTGKTVAFLLPSIEVVVKSPPTSPDNKRPPILALVICPTRELANQAATEANTLLKYHPSIGVQVVIGGTRLGLEQKRMQTNPCQILVATPGRLKDHIENTPGFATRLKGVKVLVLDEADHLLDMGFRKDIERIISAVPKERQTFLFSATVPEEVRQICLVALRRDHEFVNCVHEGTIETHQQVRQMHMIASLDRHFSLLYTLLREHIMDNVDYKVIVFCTTAMVTKLVADLLGEINLNVREIHSRKPQSYRTRVSNEFRKSKGLILVTSDVSARGVDYPDVTLVLQVGLPKDREQYIHRLGRTGRKGKEGEGILLLAPWEECFLSSLKDLPITKSPLPSIDPETVKKVQKALCHVEMRNKEAAYQAWLGYYNSQKMIGRDKDRLVELANEFSRSMGLDNPPAIPKLILGKMGLKNVPGLRAK >Al_scaffold_0008_2899 pep chromosome:v.1.0:8:21070060:21070414:1 gene:Al_scaffold_0008_2899 transcript:Al_scaffold_0008_2899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scorpion toxin-like knottin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63660) TAIR;Acc:AT5G63660] MENKFFTAFFLLLVLISCQGIIGSDGRTCQSKSHHFKYMCTSSHNCAIVCRNEGFSGGRCHGFHRRCYCTRLC >Al_scaffold_0008_290 pep chromosome:v.1.0:8:1610195:1613080:1 gene:Al_scaffold_0008_290 transcript:Al_scaffold_0008_290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTE4] MQVIPPKIKKILDIWNIRGLVILSLLFQTSLIFLAPLRKRTSKKWLAVVLWTAYLLADWTANYAVSQITKNQGKEPEPGEFPKNKKVLAMWAPFLLLHLGGPDTITALALEDNALWKRHLFSLVSQALACVYAVVQSMENVLWPPITLLFITGTIKYVERSLIQKGPPKLVRPDRDLTDLEIVQYGYKFFNTFKGLVVDLVFSSSERDESRDFFNELKPGEALRIIESELDFLYELMFTKNAILHTITGTLFRLIAFGSLISSFFVFHRRPLKSEEFHGADIVITYTLFIVGIALDLLSIVMFLFSDWTYAVLSKLKDDPEEYNSFIDSLLNWFLAFRKLRCKKHTCNGNQTQEVLSTGFWSRRWSGMIYGFNFIGFCLKAKVSRIHKKRNCNVLVWESVGSMFDWIIRRIQMMFGWIKNVNRSLRSVMRQWSKKNPMFCYTVYPLYLVFFAGIPQVFAVFWGHIDRIFSVKSYLDEIRFISNEPLTKNQWEFIFNEVKNKSYFAETPDLTKKLSSARGEWVLRDMILAESERLMRYVENVSYDQSLLLWHIATELCFQQEENEEMENLSRDNYDDREFSKITSDYMMYLLIMRPKLMSQVAGIGTIRFRDTLAEAERFFKGKHIKNLRDMKRASKMILSVSNDYEPMYVKGNRSKSVLFDASMLAKELQRLEDNNSRNGYGKWRVLSKVWVELLCYAASQCKAMEHVAQLSRGGELLSFVWLLMAHFGLSDQFEIDKGEPRAILVAGE >Al_scaffold_0008_2908 pep chromosome:v.1.0:8:21113329:21114108:-1 gene:Al_scaffold_0008_2908 transcript:Al_scaffold_0008_2908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPS3] MENDQQGPCLRDEDKEKMEQVIKNMLILCADKSTAIHLLMQFGWKPRLVAVQLGGRREELLAESEYLKKNFYSLEENLTVISCPDQDCGASVGPKTIDRLGVRDQEMYGNYILRSYLESKQLPAPDSN >Al_scaffold_0008_2913 pep chromosome:v.1.0:8:21132017:21133002:-1 gene:Al_scaffold_0008_2913 transcript:Al_scaffold_0008_2913 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 102 [Source:Projected from Arabidopsis thaliana (AT5G63790) TAIR;Acc:AT5G63790] MKADLNLPAGFRFHPTDEELVKFYLCRRCASEPINVPVIAEIDLYKFNPWELPEMALYGEKEWYFFSHRDRKYPNGSRPNRAAGSGYWKATGADKPIGKPKTLGIKKALVFYAGKAPKGVKTNWIMHEYRLANVDRSASTTNKKNNLRLDDWVLCRIYNKKGTMEKYYPAADEKPTAKISTSDSRCSSHVISPDVTCSDNWEVESEPKWINLEDALEAFNDTSMFSSIDLLQNDAFVPQFAYQSSEFVDSFQDPLEQKPFLNWNFAPQG >Al_scaffold_0008_2924 pep chromosome:v.1.0:8:21188833:21191298:-1 gene:Al_scaffold_0008_2924 transcript:Al_scaffold_0008_2924 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidinol dehydrogenase [Source:Projected from Arabidopsis thaliana (AT5G63890) TAIR;Acc:AT5G63890] MSINLSRLSLLSSPRISISTHAPHKGYVCCSMKSYRLSELTSSQVDSLKSRPRIDFSSIFATVNPIIDAVRSNGDTAIKEYTERFDKVQLNKVVEDMSELSVPELDSNVKEAFDVAYDNIYAFHLAQKSTEKSVENMKGVRCKRVSRSIGSVGLYVPGGTAVLPSTALMLAIPAQIAGCKTVVLATPPSKDGSICKEVLYCAKRAGVTHILKAGGAQAIAAMAWGTDSCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADEHASPVYIAADLLSQAEHGPDSQVVLVVVGDGVDLNAIEEEIAKQCKSLPRGEFASKALSHSFTVFARDMIEAISFSNLYAPEHLIINVKDAEKWEGLIENAGSVFIGPWTPESVGDYASGTNHVLPTYGYARMYSGVSLDSFLKFMTVQSLTEEGLRNLGPYVATMAEIEGLDAHKRAVTLRLKDIEAKQLAQTN >Al_scaffold_0008_295 pep chromosome:v.1.0:8:1682147:1683310:1 gene:Al_scaffold_0008_295 transcript:Al_scaffold_0008_295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MU12] MSVIEQTKGWLQGLKVHADSAELKKVKPNKGLPKLHRKQKEFRLLDSIEITSHLEITTVTRVDESSEGYRHQSPSPTSTESGEKSIAETSHEESLFTTEFDLNGAQYKKTTKGNLKQSVYNRSTARKDKSQPKPLMEAGFAGQKPAVSPNGAGGYRAKAQITWDG >Al_scaffold_0008_2966 pep chromosome:v.1.0:8:21398874:21400184:1 gene:Al_scaffold_0008_2966 transcript:Al_scaffold_0008_2966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MR93] MATRREVRCQCGRRMWVQPDVRTVQCSTCHTVTQLYSLMDIARGANRIIHGFQQLLRQHQPQHQYHEQQQQMMAQPPPRLLEPLPSPFGKKRAVLCGLNYKGKSYSLKGCISDAKSMRSFLVQQMGFPIDSILMLTDHRSVRAYKGTDGGAAFCFSACDDDETSGYTPVFTGKNTGAMTYSFIKAVKTAGPAPTYGHLLNLMCSAIREAQSRLAFNGDYASSDASAEPLLTSSDEFDLYATKFVL >Al_scaffold_0008_2968 pep chromosome:v.1.0:8:21404366:21406161:1 gene:Al_scaffold_0008_2968 transcript:Al_scaffold_0008_2968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MR95] MEHWLFISHFLSLGNKILHSIVTSPLISSGTGKFTPIQRSVIVDFIRSLNSKRRCILRRSSLPVASWWKTTEKYKGGSSTLVVGKQLLLENYPLGKSLKNPHLRALSTKLNGGLRSITVVLTAKDVTVERFCMSRCGTHGSSSSKPRRAANGAAYVWVGNSETLCPGYCAWPFHQPIYGPQTPPLVAPNGDVGVDGMIINLATLLANTVTNPFNNGYYQGPATAPLEAVSACPGIFGSGSYPGYAGRVLVDKTTGSSYNARGLAGRKYLLPAMWDPQSLTCKTLV >Al_scaffold_0008_2982 pep chromosome:v.1.0:8:21465774:21469783:1 gene:Al_scaffold_0008_2982 transcript:Al_scaffold_0008_2982 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G64390) TAIR;Acc:AT5G64390] MERKSFNTEKRSGALDPGSGFGSSKRVKTHHTQLLPPLVVPVGHAAFRLLCPLSHVGAVIGKSGNVIKQLQQSTGAKIRVEEPPFGSPDRVITIIAQADSKSRVKLGVNNNGNAEGEKKEEEVEVSKAQGALIKVFELLAAEADSDTVVCRLLTESSHAGAVIGKGGQMVGSIRKETGCKISIRTENLPICADTDDEMVEIEGNAIAVKKALVSISRCLQNCQSIDKVRMVGNRPLEKEFQASLHRPIETIIQESLPRSIEVNPYDYRLRKDEIFPRGTLARPSDVNPHDTLHHRHIEAVPQGALRMHIEADRQDALRRHVEADRQDALRRHIEADRQDVLRRHIDVVPRETLYMPSDVVRGDCFRQHKERDDSHDSLHRPFEMVPRDAMGMPFESFPRDAYGRPIETIPQETLRRQSADYLAHRYSILDTHSITTSASIANTASMKPPPSEVEVGNQDVVFKILCSTENAGGVIGSGGKVVRMLHSETGAFINVGNTLADCEERLIAVTAPENPECQSSPAQKAIMLLFSRLFELSTKKILDNGPRTSITARLVVPTSQIGCVLGKGGVIVSEMRKTTGATIQILKVEQNPKCVSENDQVIQITGEFPNVREAIFHITSRLRDSVFSNSMKNSITKSSSALTTERIYHRQSDNPLSIGSHQSVSNPPTNSSSLHRRSEDSFLSGSHSSVNYSRPVGTDPYVRPEDPFPDRFNPSAGYSPNFGRRFTMDHSDISHHLTEVPSRLWASPPPAAPRGLSDASGGLSSARAGHALGSGHKSAIVTNTTVEIRVPENAMSFVYGEQGYNLEQLRQISGARVIVHEPPLGTSDRIIVISGTPDQTQAAQNLLHAFILTGETSLSKKYNLN >Al_scaffold_0008_2994 pep chromosome:v.1.0:8:21520400:21521994:1 gene:Al_scaffold_0008_2994 transcript:Al_scaffold_0008_2994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRU8] MMGHRVLVCVGALFLILFTIFPSSRALISSPEANPPYPKAISDLKEAIVKGLGFQSEEVKVSGFDVRDALVGHSVSYEFDLEIDNKVLPFKLLEDVNRWGYVDLPIFQVEQPNRNGLVPMRNKKTSSDDVLPVLAPFQLAGPMELWIQDANNMRLSLPYDVDAGVLKKVILSDGSVVTVKGARSVSLRHPIDLPLPLNQSSNEFASGLLSLAEQLRRSSTDQESPLLSLRIVGPTSLASTSQSPDNKLKLKRLAPGLVELSSMSKDKRSLSTIGTSAMTTVLTPREFTTMWPITSINGSNANLIGFEKLLTSVLGPKAQEKGSFKVLKANVAAQTFMKIGFGVEKKLKEADLEGLSFPEWRTKPETMRMHFEVLAKVDGEKVIPENVMRVDPIPLEDTIAQNVITGNVTMSKLPIIQSPPSPFTL >Al_scaffold_0008_2995 pep chromosome:v.1.0:8:21522442:21524758:1 gene:Al_scaffold_0008_2995 transcript:Al_scaffold_0008_2995 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MGEEEARSWICGDETAKQMLSRVLKDRAFMLLPPLHRVPLRAGNVVEITGASPSAKTQILIQAAISCILPKTWNGIHYGGLGKLVLFLDLDCRFDVLRLSQMLKHRLLQANRLGNGAWWQLEESNVKSCKSAQEKPKTVFDEELYVSCMKRFLYVRCYDSLELLSSLKTLHYRIRQQEACGSQVGVLMIDSIGAFHWTDRLSSSLALETHNRKSLSLTNVVEMIVQELKKLLQVHSLVVLATKATIYEEKYPAIENNRKFSSNDDFPGNAASKAQQPLIREFMPSSWQAFVTHKIIIRKSADHRSLQTGQQNLSAYLLEWLQPQLSSIDRFIVDDVRKHTTIASLAKPFYSKLLLIYCFSCFIISCSPVLSLSRDLDFTSGILQYLFLS >Al_scaffold_0008_30 pep chromosome:v.1.0:8:112307:113538:1 gene:Al_scaffold_0008_30 transcript:Al_scaffold_0008_30 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein HSP20/alpha crystallin family [Source:Projected from Arabidopsis thaliana (AT5G47590) TAIR;Acc:AT5G47590] MGEPKVEFRDMSRIPKVREGFYATNNQFQKAGPKGFIEVKVLENDNLYVRVDLPGVPDDGVHHRVDAVRQKVVFFSGETLNPKEGGIREYSGTAGLGCDCCEITGVVDAKMKDGVLRMILSRVKVVNKDQHNNKCTLTVPPFTGQSGIRLEEHPCLVNGRKGALFGKATAGGGAFFAVDLPGVSPDDVEVSANENEIRFRAEIKNVYEHDESGRTYLGSVQSPFPSLISNNTIAWDAEFGVLRIAVASPGDMAIINNKRSPIE >Al_scaffold_0008_3000 pep chromosome:v.1.0:8:21555945:21557173:-1 gene:Al_scaffold_0008_3000 transcript:Al_scaffold_0008_3000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRV4] MKKKPDYPLIDLNSSPKPAKRSRVIQTPTSQSQITQTYGSKSNYPLLDLNSSPKTAKRVQVGQTSPSQCQITQATCSKTNYPLLDLNSSPKPDKRVQVGQTPTSQSQITQTTGCKPKHPLLDLNSSPKPAKRSRVFQTPTLQSQITQANVLNTNSSIPLKSAFSRVFRDITNLPCRNDDTRKTPTNQQQSFCAKQTHQTLGTSLPTSGLAKPSGCTPVPEENNCHIRNLQDAFANASFSPTDKADESTVEFTTPTISVSRKSVETKAVTQTINQPKKRGRPRKNVPTKRAAAKAKKTG >Al_scaffold_0008_3037 pep chromosome:v.1.0:8:21709338:21709990:1 gene:Al_scaffold_0008_3037 transcript:Al_scaffold_0008_3037 gene_biotype:protein_coding transcript_biotype:protein_coding description:elicitor peptide 2 precursor [Source:Projected from Arabidopsis thaliana (AT5G64890) TAIR;Acc:AT5G64890] MEKFDRRIEEETYLCIPFQILDQTLIAVLKCLGLLCPTAKKTASPPLILNQPDEQEEDDGVAMKDDDVVLSSTRGKKAKAKKRDKEKPSSGSPGQTNIIPNAAIQVYEEG >Al_scaffold_0008_3040 pep chromosome:v.1.0:8:21714586:21717656:1 gene:Al_scaffold_0008_3040 transcript:Al_scaffold_0008_3040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSL2] MRKTKKRGGSRGGRKTGASSSASKNDDAVVETTTQETQPTQETEETEAKVESPAPEEEGKNEEEANENQEEEAGKVESKAAEEGEKEEEAKEDQEEEKEEATKPDESASQKEETKGASSSQPELRRGKRKRVTKTEAEKKPTPRAKKRAKTTKVQTAEPEYFEEKRNLEDLWKDTFPVGTEWDQQDAVYDFNWDFKNLEEALEEGGKLYGKKVYVFGCTESYSVNYKNEKKDVIVPAVVCIDSSIPPSDKIGVASVQGEVGEIIPMKNMKMDWVPYVPLEQRDRQVDRKNFPVFILGCTQRRSALKHLPEDRAKKFNYCLPYINNPFKVDESEQSTVVQIRFPSEPQVECEYDWLKSDVEDFTDNLIKEEVLLPEQKDAFEEFVKEQSNIAMAAYDRAQEAREKVKEGLSEETKKAYQEMKLYKFYPLLSPDTPDTAGIEKVLREGSRSPVIVSPNPGTFL >Al_scaffold_0008_3055 pep chromosome:v.1.0:8:21761485:21766164:1 gene:Al_scaffold_0008_3055 transcript:Al_scaffold_0008_3055 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT5G65070) TAIR;Acc:AT5G65070] MGRRKVEIKRIENKSSRQVTFCKRRNGLMEKARQLSILCESSVALIIISATGRLYSFSSGDSMAKILSRYELQQADDLKTLCLNIVDKDQDRDTLFFTAGIALESLRHGSKLVDLEEKNLNYLSHKELLEIIQCKIEETKSDNVSIDCLKSLEEQIKTALSITRARKAELTMEFVKTLQEKEKLLIEENQVLTSQLKKMGKMKKSRETEDARAMSPENSSRNKPPETLLLLK >Al_scaffold_0008_3062 pep chromosome:v.1.0:8:21797231:21797914:1 gene:Al_scaffold_0008_3062 transcript:Al_scaffold_0008_3062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 family protein [Source:Projected from Arabidopsis thaliana (AT5G65158) TAIR;Acc:AT5G65158] MSLRLYDSYGRDAVISDLVSWGGLMGPFHDYFERGNLDIFSGLGSCLSGPVCAMNLTSDGSGDHHGWYCNYVEVTMSESRRRSCSQEKFEVEQWLARDASPYEL >Al_scaffold_0008_3070 pep chromosome:v.1.0:8:21832296:21834035:1 gene:Al_scaffold_0008_3070 transcript:Al_scaffold_0008_3070 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT5G65210) TAIR;Acc:AT5G65210] MNSTSTHFVPPRRVGIYEPVHQFGMWGESFKSNISNGNMNTPNHIIIPNNQKLDNNLSEETSHGTAGTPHMFDQEASTSRHPDKIQRRLAQNREAARKSRLRKKAYVQQLETSRLKLIQLEQELDRARQQGFYVGNGIDTNSLGFSETMNPGIAAFEMEYGHWVEEQNRQICELRTVLHGHINDIELRLLVENAMKHYFELFRMKSSAAKADVFFVMSGMWRTSAERFFLWIGGFRPSDLLKVLLPHFDILTDQQLLDVCNLKQSCQQAEDALTQGMEKLQHTLADCVAAGQLGEGSYIPQVNSAMERLEALVSFVNQADHLRHETLQQMYRILTTRQAARGLLALGEYFQRLRALSSSWATRHREPT >Al_scaffold_0008_3073 pep chromosome:v.1.0:8:21853040:21855794:-1 gene:Al_scaffold_0008_3073 transcript:Al_scaffold_0008_3073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G65240) TAIR;Acc:AT5G65240] MALLIITTLVFTCLWSSVSPDDQGDALFALRSSLRASPEQLSDWNQNQVDPCTWSQVICDDKKHVTSITLSYMNFSSGTLSSGIGILTTLKTLTLKGNGITGGIPESIGNLSSLTSLDLEDNRLTGRIPSTLGNLKNLQFLTLSRNNLNGTIPDSLTGISKLINILLDSNNLSGEIPQSLFKIPKYNFTANNLSCGGTNPQPCVTVSNPSGDSSSRKTGIIAGVVSGVAVILLGFFFFFLCKDKHKGYKRDLFVDVAGEVDRRIAFGQLRRFAWRELQLATDEFSEKNVLGQGGFGKVYKGVLSDGTKVAVKRLTDFERPGGDEAFQREVEMISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYCLREIKPGDPILDWFRRKQIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRRTNVTTQVRGTMGHIAPECISTGKSSEKTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLGDIVDKKLDEDYIKEEVEMMIQVALLCTQAAPEERPAMSEVVRMLEGEGLAERWEEWQNLEVTRQEEFQRLQRRFDWGEDSMNNQDAIELSGGR >Al_scaffold_0008_3090 pep chromosome:v.1.0:8:21929084:21931184:-1 gene:Al_scaffold_0008_3090 transcript:Al_scaffold_0008_3090 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCLIN D4;1 [Source:Projected from Arabidopsis thaliana (AT5G65420) TAIR;Acc:AT5G65420] MAETENLELSLLCTETNVDDDEDKGMIVDKTPFPQMGLSQSESEEFIKEMVEKEKQHLPSDDYIKRLRSGDLDLNIGRREALNWIWKACKEHQFGPLCFCLSMNYLDRFLSVHDLPSGKGWILQLLAVACLSLAAKIEETEVPMLIDLQVGDPQFVFEAKSIQRMELLVLNRLKWRLRAITPCSYIRYFLRKMNKCDQEPSNTLISRSLQVIASTTKGIDFMEFRPSEVAAAVALSVSGELHTVHFDNSPLFSLLQKERVKKIGEMIRSDGSGLCSQTPNGVLEVSACCFSFKTHDSSSSYTHLS >Al_scaffold_0008_3101 pep chromosome:v.1.0:8:21979017:21982242:1 gene:Al_scaffold_0008_3101 transcript:Al_scaffold_0008_3101 gene_biotype:protein_coding transcript_biotype:protein_coding description:AINTEGUMENTA-like 7 [Source:Projected from Arabidopsis thaliana (AT5G65510) TAIR;Acc:AT5G65510] MADSTTLSTFFDSQIHSQAQIPKLEDFLGDSFVRYSDNQTETQDSSSLTRFYDLRHHTDAGGVSEFFSDHHQQHDFKTINSGSEIVDDSTASNIGGTHLSSHVVESSTTAELGFNGGCTTGGALSLGVNNTSDQHLISCNNGERGENSNKKKTVSKKETSDDSKKKTVETLGQRTSVYRGVTRHRWTGRYEAHLWDNSCRREGHARKGRQVYLGGYDKEDRAARAYDLAALKYWGPTATTNFPVASYSKELEEMNHMTKLEFIASLRRKSSGFSRGASMYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGINAVTNFEMNRYDVEAVMKSSFPVGGAAAKRHKLSLESPSSSSSDHNIQQQHLVPSSSSSDHNPNSIPCGIPFESSVLYHHQNFFQHYPLVSDSTVQVPMNQAEFFLWPNQSY >Al_scaffold_0008_3108 pep chromosome:v.1.0:8:21999222:21999443:1 gene:Al_scaffold_0008_3108 transcript:Al_scaffold_0008_3108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MTZ8] LLDCSILVFTQHTRQDKGRGVMEEDDALILLLEIGSSEAYAFSVTDTVVEKKVLDCNLIFSPTKSPFTCPLRN >Al_scaffold_0008_311 pep chromosome:v.1.0:8:1798336:1799843:-1 gene:Al_scaffold_0008_311 transcript:Al_scaffold_0008_311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MU23] MIDVCPNLKAIYIVDRTWEVPSRKLSDEDGFDENRTRFGKVTMRCGHTIIKACLFHRTKKICFEAHENTEVARSNVIIVQVIALGVEDSMFEFIRSEVVEEASKRREAGEIDGETAKEKEFSVLLYALHLNLRWKKYLIVFDDVRDEDNWDEKLDVKFKEGEKWGKHLSDGFPKESGGRVIYTTREKKLAQKLVAEEHEIHRLWPLTDTESVWNIYKEALEENEEEPPRNDKKCSVELMNKSRGLPLAARQLATLLPVFLYVEKADQKGYTHEPANSANNPTS >Al_scaffold_0008_3110 pep chromosome:v.1.0:8:22007751:22011040:-1 gene:Al_scaffold_0008_3110 transcript:Al_scaffold_0008_3110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MU00] MKLHDLSFFQLKRGQSLLYFVFCQNLCSSSSMSNPFFFLSFVLLLPFVVDSLYFNFTSFRAGDPENIVYHGDATPDEDGTVNFNNAEQTSQVGWITYSKKVPIWSHRTGKASNFNTSFSFKIDARNLSADGHGICFFLAPMGAQLPAYSVGGFLNLFTRKNNYSSSFPLVHVEFDTFNNPGWDPKDVGSHVGINNNSLVSSNYTSWNASSHNQDIGHAKISYDSVTKNLSVTWAYELTTSDPKESSSLSYIIDLTKVLPSEVMFGFIAAAGTNTEEHRLLSWELSSSLDSEKVDSKIGLVVGISVSGFVFLTFLVFTIVVVWSRKQRKKKDRDIANMTSLNEDLEREAGPRKFSYKDLVSATNRFSSHRKLGEGGFGAVYEGNLKEINTMVAVKKLSGDSRQGKKEFLNEVKIISKLRHRNLVQLIGWCNEKNEFLLIYELVPNGSLNSHLFGKRPHLLSWEIRYKIALGLASALLYLHEEWDQCVLHRDIKASNIMLDSDFNVKLGDFGLARLMNHEHGSHTTGLAGTFGYMAPEYVMKGSASKESDIYSFGIVLLEIVTGRKSLERTQEDNSDSESDEKSLVEKVWELYGKQELMTSCVDEKLGNEFDKKEAECLLVLGLWCAHPDKSSRPSIKQAIQVLKFESPLPDLPLKRPVAMYYNSTTTSSSSPSVNSNGVSVTFSGIEYGR >Al_scaffold_0008_312 pep chromosome:v.1.0:8:1820395:1823877:-1 gene:Al_scaffold_0008_312 transcript:Al_scaffold_0008_312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MU24] MASRAEMPETACREILKSFEDDNVSRVMLVGEAGMGKTWMARKIFQEDHAKAGSCYIALWLSLNKDFDEVSLYENIASQLSIFLDKEESEEDDSDEDDSEDDEDQLNRDLMSLKDKIHLKLSEIKLMGEGRKYLLLVLDDEGSVTSEKKVMKDLHLVDFLAPYRPLKILLTRRKGEEDAIYKMKPHATADELHAYSDGKIQSHTLESQILRDTFAGYYLEDLFETLIKYDLLESLGNADYQGFIHRIVEMSMGLPAAVVVIAKSLNYIALRGMRPFALSLKQDEVLKLAVLSSFPSVSDPTIERATSSHNPILHLVYELLKTDDTVKSSIVDCFWHSLNFFEHCGSVYYWELIAHWILEGYFDPVRSVTKAYMDAHAILMELINRGILKIQEDNVVMPEMAMKNLIDLRCRGILARSRISLAKVCGSDMKKGLGKINQGDDIIEAVRPTRKGKIITTVLVSGNRLRRETPEIFFGTLKDLEILGLFKPTLDHFVPSLLTLVKLRVLVIRDCDRLKDIEDLKSLEGLRVLEVSGASSLKKISDEFFKALSKLQSLHLSELQITSSPSSISELTELHCLIIKDCPLLEDLPDIQELVKLEVVDISGARGLQTCFDNRNFYHLTQLQLLDFSESQIERLPMFQDFLVPARLHSLARLLLHNCKKLRKLPNLKPLSGLQILDLSGSSSLVKILEVCFEDKKELRILNLSGTNLCQLPSTIEELPNLSELLLRDCTNLEALPNIAKLRNLEIFEVHGCTKLHKIDGSFEDMSYLREIDLSGTKVMKPPELPKESKLYCTKRITLKDKRPFKGKDWSQVVKNMQSGISENSSSSDAVVESQEISEKESGEIQSHEPGSSDFPVSMEDFGQFPIYRAVYQKSIPFVDSESHPIILEIHGSNSHDLEKETLAKAEFVSFVDCSSTRLTSVFNEMKSVKGCWLRMCKDIEYIFAGVEEERVGSLEVLSITNLRLLKSLSIGGSFKNLKRLSIDCCPNIKTLFVEASQLPSNLEVLHIKFCENLEKVSIEGEVSTLTTLCLHELHALSAVQANLPNLEKFDKWNCPNLPAEKENLRGLDRETVELTDASPQD >Al_scaffold_0008_3145 pep chromosome:v.1.0:8:22202427:22203041:1 gene:Al_scaffold_0008_3145 transcript:Al_scaffold_0008_3145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUN0] MFRRNRFLSLPMVIGAVVIGVVSGKAIFGPPLDQYWKEKLEGEEAVVAKEVTEKSSDSST >Al_scaffold_0008_317 pep chromosome:v.1.0:8:2005412:2009268:1 gene:Al_scaffold_0008_317 transcript:Al_scaffold_0008_317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MU29] MTETLLIFAWFKAVTKVLITDPDISGDAEKVLAEAVRVVQDKILLYVNSGLLVDDTLADEIRFFKLNTGAMIPSVGLGTWQADPGLVGNAVEAAVKIGYRHIDCAQIYGNEKEIGLVLKKLFDDGVVKREEIFITSKLCCTSHNPQDVPDALNRTLQDLQLDYVDLYLIDWPVSLKEGSTGFKPENNLPTDTPSTWKEMEALVDVRKARAIGVINFSTKRLAKLLEVARVPPAVNQVECHPSWQQTEALVHLSGYSPLGCPGTTWLKSPILGSVAERTPAQVALRWGLQKGQSVLPESTHEDTIKQNFDTSNQLHKNYKIIYYIIKEPNAADVVMAEEEYTCVDGQKRRSYWRSMTSFTASAQSNEYGGVKSRRIREAHWRRHS >Al_scaffold_0008_3181 pep chromosome:v.1.0:8:22333395:22334378:-1 gene:Al_scaffold_0008_3181 transcript:Al_scaffold_0008_3181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MV50] MKFEEIKDEIEAGIDEDNAKANNEPGFEDGKISSLQAVLGHIWPSRVKNSGMSREEDTHCRLPIDMRQRLNPKLKEECFGNVIQTGIATVNVGELLDHEITT >Al_scaffold_0008_3225 pep chromosome:v.1.0:8:22518721:22522009:1 gene:Al_scaffold_0008_3225 transcript:Al_scaffold_0008_3225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT5G66960) TAIR;Acc:AT5G66960] MLSHLSRTFFRRNCRFRQQQCRRYKPPKSQPPPPPPPALPKPPKKPQTFTFHDATWEDPYSWMSKLEDKVAMRHMDIYMEQEEKYTEAVLADTDRIQTKLQSEMASRLSFELSTPPLRWGPWLYYRRVEEGKQYPVLCRRLASLHEEFISHKSPAAGFDFTSGKRIEQKLLDYNQEAERFGGYAYEEMSEISPDHKFLAYTMYDKDNDYFKLCVRNLNSGALCSKPHADRVSNIAWAKNGQALLYVVTDQKKRPFRIYCSMIGSTDEDVLLHEELEGNVHVNIRHTKDFHFVTVNTFSTTFSKVFLINAADPFSGLALVWEHNAPAHCIIEHHQGFLYLFTDASNDGGTLDHHYLLRSPVHFSSSQRIWETVFIDNPELIIEDVDFCKTHLSLIVKEMQSFKICVVDLPLKTERVPVHLRDIKPRYLPLPKHVSQIFPGTNYDFNSPTMRFTISSLVMPDAVVDYDLLNGKWNIVQQQNMLHERTRVLYGTANSTESPNIPSGTRTVSFDTEDTTANNDNLWNDLAEFYACDYHEVSSHDGAMVPLSIVYSRAQKEENRKPGLLHVHGAYGEMLDKRWRSELKSLLDRGWVLAYADVRGGGGKGKKWHQDGRGAKKLNSIKDYIQCAKFLVENNIVEENKLAGWGYSAGGLIVASAINHCPELFQAAVLKVPFLDPTHTLIYPILPLTAEDYEEFGYPGDIDDFHAIREYSPYDNIPKDVLYPAVLVTSSFNTRFGVWEAAKWVARVRDNTFHDPTRPVLLNLTTDIVEENRFLQTKESALEIAFLINMMES >Al_scaffold_0008_3230 pep chromosome:v.1.0:8:22537257:22539632:-1 gene:Al_scaffold_0008_3230 transcript:Al_scaffold_0008_3230 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 17 [Source:Projected from Arabidopsis thaliana (AT5G66920) TAIR;Acc:AT5G66920] MKIKTASLLNPLLLLGALTLLSSLVIVKGESPYKFYTWTVTYGIISPLGVPQQVILINGQFPGPKLDVVTNDNIILNLINKLDQPFLLTWNGIKQRKNSWQDGVLGTNCPIQPNSNFTYKFQTKDQIGTFHYFPSTAFHKAAGGFGAINVYARPGIPIPYPLPTADFTLLVGDWFKTNHKTLQQRLDSGGVLPFPDGMLINGQTQSTFSGDQGKTYMFRISNVGLSSSFNFRIQGHTMKVVEVEGSHVIQTDYDSLDIHVGQSLAVLVTLNQSPRDYYIVASTRFVRSKVSVMGLLRYSNSRVPASGDQPALPPGELVWSMRQARTFRWNLTANAARPNPQGSFHYGMITPTKSFVFSNSAPLINGKQRYAVNGVSYVNSETPLKLADHFGISGVFSTNAIQSVPSNSPPTVATSVVQTSLHDFLEIVFQNNEKSMQSWHLDGYDFWVVGFGSGQWTPAKRSLYNLVDALTRHTTQVYPKSWTTILVSLDNQGMWNMRSAIWERQYSGQQFYLKVWNPVQSLANEYNPPDNLQFCGKAVGRHL >Al_scaffold_0008_3233 pep chromosome:v.1.0:8:22548849:22550340:1 gene:Al_scaffold_0008_3233 transcript:Al_scaffold_0008_3233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT5G66890) TAIR;Acc:AT5G66890] MDSRSVQSFEALPHNLRECFLDMALFLEDQRIIASSIIDLWSALYGKESFICMNYLQDLASHNLLKLLPLGRNEYEDGFYSELLVKQENILREFAINQCEKESSSIFERKRLNLEIQENKFPNWCLNPKQPIVINASLISISTDDSFLSSSWFEMDCPNVEALVLNLSSSNYALPNFIATMKELKVVIIINHGLDPAKLTNLSCLSSLPNLKRIRFEKVSIILLDIPKLGLKSLEKLSLWLCHFVDVLNELEVNDVSKTLQSLQEIEIDYCYNLDELPYWISQVVSLKKLSVTNCNKLCRLIEAIGDLRNLEMLRLSSCTSLLELPETIDRLNNLRFLDVSGGFQLKKLPLDIGKLDKLEKISMKDCYRCELPDSVKNLANLEVKCGEETALLWKRLKPKMKNLTITEEETEHNLNFLQLF >Al_scaffold_0008_3238 pep chromosome:v.1.0:8:22571230:22573595:1 gene:Al_scaffold_0008_3238 transcript:Al_scaffold_0008_3238 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G66840) TAIR;Acc:AT5G66840] MSEEKVIIDLCSSEEDVSGDENNYDETEEEAEEDTNSSEDDSDWSHDHDYDATESDVEADETGEKDDDDEDDKVTRLLTAGSDLKSVNVKECKAYLRKHGLRLSGTKPVCIDRIVEHWRIKDGSGEAVYPRASFAINCKGDVCKGDIVLFTQKVHHKYEKMKRSGNIMGRRTVAGQVVKESYGTAKQQHTFTIEVLWCEGTQKLPPLYPLLVKGRNLYRLMTLRQRWPNEEDRVKVLNEKHNRGAAARKVMRERKIKSGYVLKDGRLQKPGHVKKPCQVKTRKNEKDENLTQRLRQNAPANHSLVAFPNQNPSQGHKNPTQLRNMNPPHSYAPRSHAPPTYAPRPHAPLTYAPINSHLPRPNIPPYHPYTYPTQQNQTNQRPPPASYTYPTQQNQRPPPASYTYPTQQNQTNQRPPPASYTYSTQQNQTNQRPPPAFYNRRPASNALQGQASFNPHANTHAVPITHQRRPYQNHHVGSNSGYNLGVRDLDHFSHMMISHRAEGDTYRQSEVSQGPYMNHQTYHSNFSSGYNHGARDLYMVNHGREGDNLRPNYR >Al_scaffold_0008_3244 pep chromosome:v.1.0:8:22605162:22607218:-1 gene:Al_scaffold_0008_3244 transcript:Al_scaffold_0008_3244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G66790) TAIR;Acc:AT5G66790] MAETRPPYLVFVFFFTLAVATQTTGSVKCKTGSLSYPFGFSDGYPIRFNCSEITGEAVIGEFAVQEVTNTNIYVKIPPVCERGIGKIEQLFRENFAPSKLQNIILVQGCTEHSFDCLIRSKFVEDRLNISRCKSQVSCFNGTTTTTIADVMSIGDVVNGSGCKYWFSSISQSQVSVNLGRLKLDWWLKGSCSNITCSENADCANVKLADGGLGHRCTCREGFSGKAFTVPGGCHRLVRKRKGLHKLIVLGTAGILVGVLVIAVLIVTYIFRNKRSARTSIANRLLCELAGNSSVPFYTYKEIEKATDSFSDKNMLGTGAYGTVYAGEFPNSSCVAIKRLRHKDTTSIDQVVNEIKLLSSVSHPNLVRLLGCCFADGEPFLVYEFMPNGTLYQHLQHERGQTPLSWPLRLAIACQTANAIAHLHSSVNPPIYHRDIKSSNILLDHEYNSKISDFGLSRLGMSTDFEASHISTAPQGTPGYVDPQYHQDFQLSDKSDVYSFGVVLIEIISGFKVIDFTRPYTEVNLASLAVDRIGKGRVVDIIDPCLKTDIDPKMFASIHNLAELAFRCLSFHRNMRPTMIEITEDLQRIKLMYFGTETDMNRQGSSQRVYIKK >Al_scaffold_0008_3250 pep chromosome:v.1.0:8:22632350:22633179:-1 gene:Al_scaffold_0008_3250 transcript:Al_scaffold_0008_3250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF620) [Source:Projected from Arabidopsis thaliana (AT5G66740) TAIR;Acc:AT5G66740] MCVTGQVKMTASEFHQGDESGVNLKSNDEMGGFVLWQKDPDLWCLELVVSGCKVICGSNGRLSWRHSSNQQTPASTGTPRPLRRFLQGLDPRSTANLFLDATCIGEKIINGEDCFILKLETSPAVREAQSGPNFEIIHHTIWGYFSQRSGLLIQFEDSRLLRMRTKEDDDVFWETSAESVMDDYRYVDNVNIAHGGKTSVTVFRYGEASANHRRQMTEKWRIEEVDFNVWGLSVDHFLPPANLQIEK >Al_scaffold_0008_3252 pep chromosome:v.1.0:8:22642668:22644210:1 gene:Al_scaffold_0008_3252 transcript:Al_scaffold_0008_3252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT5G66720) TAIR;Acc:AT5G66720] MSATALSRLNPVSQFGFQRIVAGKSKSFFSNSGERRLFSDSSRFRQAMAASGSLPVFGDACLDDLVTTCSNGLDFTTKRSSGGSFTINCPVASMRLGKRVGITKNRLVCHYSAIELLEKSRALFGTLTKSVHTSPMACFSVGPAHELSSLNGGSQESPPTTTSLKSLRLVSGSCYLPHPEKEATGGEDAHFICDEEQAIGVADGVGGWAEVGVNAGLFSRELMSYSVSAIQEQHKGSSIDPLLVLEKAHSQTRAKGSSTACIIALTDKGLHAINLGDSGFTVVREGTTVFQSPVQQHGFNFTYQLESGNSADVPSSGQVFTIDVESGDVIVAGTDGVYDNLYNEEITGVVVSSVRAGLDPKATAQKIADLARQRAVDKKRQSPFATAAQEAGYRYYGGKLDDITVVVSYVNSS >Al_scaffold_0008_326 pep chromosome:v.1.0:8:2054510:2056481:1 gene:Al_scaffold_0008_326 transcript:Al_scaffold_0008_326 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 707, subfamily A, polypeptide 3 [Source:Projected from Arabidopsis thaliana (AT5G45340) TAIR;Acc:AT5G45340] MDFSDLFLTLFAVALFLCLLRFIAGIRRSSSTNLPLPPGTMGYPYVGETFQLYSQDPNVFFAAKQRRYGSVFKTHVLGCPCVMISSPEAAKFVLVTKSHLFKPTFPASKERMLGKQAIFFHQGDYHSKLRKLVLRAFMPDAIRNMVPHIESIAQESLNSWDGTQLNTYQEMKTYTFNVALISILGKDEVFYREDLKRCYYILEKGYNSMPINLPGTLFHKAMKARKELAQILANILSKRRQNSSSHTDLLGSFMEDKEGLSDEQIASSHTGFIFAARDTTASVLTWILKYLADNPTVLEEKKEEQMAIRKDKKEGESLTWEDTKKMPLTYRVIQETLRAATILSFTFREAVEDVEYEGYLIPKGWKVLPLFRNIHHNADIFSDPGKFDPSRFEVAPKPNTFMPFGSGIHSCPGNELAKLEISVLIHHLTTKYRWSIVGPSDGIQYGPFALPQNGLPIALERKP >Al_scaffold_0008_3264 pep chromosome:v.1.0:8:22696556:22699505:-1 gene:Al_scaffold_0008_3264 transcript:Al_scaffold_0008_3264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMF0] MWCVPCCFKPSTSEDRFDPETSHVIQVSQHEADIQRAKQLSLANYEAQQLDLATHEAQQLDLAIQEISRQEEEEERRRTRELENDAQIANALQDEERERLINKKTALEDEEDEQLAKILEAEQLDLAIQESSRLLQEEEEERRRTRELETDAQIANALQDEERERLINKKTALEDEENEQLAKTLEESLKENSRRKLFEEQVKKDEQLALIVQESLNMEEYPTQIEEYKSISPRAPSDVDKQFNKAVKESLKDKGKRKQFEDERVQNDKQHALMVQVESPPRLEENNNISTRAPVDEDVQRVWESFKRNGQIEQSKDEVEEDGKLPMVNPPPSVRGGCNSVIEHGRSVNVSGALLHPECLVCDACNKPIAIQEIKNYVRRNFGKHPFWEELYCLAHETDGTHKCCSCERLEPQGTNFVTLGDGRFLCLECMDSAVMDSDECQPLHFDMRDFFEGLNMKIEKEFPFLLVEKQALNKAEKEEKIDYQYEVVTRGICLSEAQTVDSVSQRPIMGPNNKLIGMATEPQRVTRECEVTAILILYGLPRYCYISPTSSPPEYSLGSNQIYVCSNKKSMLKHRLLTGYILAHEMMHAYLRLNGHRNLNNILEEGLCQVLGHLWLESQTYATADATADASSASSSSRTPPAASASKKGEWSDFEKKLVEFCKNQIETDDSPVYGVGFRTVNEMVTNSSLQETLKEILRRG >Al_scaffold_0008_3281 pep chromosome:v.1.0:8:22746454:22748385:1 gene:Al_scaffold_0008_3281 transcript:Al_scaffold_0008_3281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G66460) TAIR;Acc:AT5G66460] MKLLCLFLFLAIVIQLSCWELGADALSSNGFVRTKGVQFSLNGYPYYANGFNAYWLMYVASDPSQRSKISTAFQDASRHGLTVARTWAFSDGGYRALQYSPGSYNEDMFQGLDFALAEARRHGIKIILSFANNYESFGGRKQYVDWARSRGRPVSSEDDFFTDSLVKDFYKNHIKAVLNRFNTFTKVHYKDDPTIMAWELMNEPRCPSDPSGRAIQAWITEMAAHVKSLDRNHLLEAGLEGFYGQSSPQSKTLNPPGQFGTDFIANNRIPGIDFVTVHSYPDEWFPDSSEQSQMDFLNKWLDAHIQDAQNVLHKPIILAEFGKSMKKPGYTPAQRDIVFNTVYSKIYGSAKRGGAAAGGLFWQLLVNGIDNFQDGYGIILSQSSSTVNVISQQSRKLTLIRKIFARMINVEKWKRARGRGQVRKRGHNIKN >Al_scaffold_0008_3283 pep chromosome:v.1.0:8:22751163:22752267:-1 gene:Al_scaffold_0008_3283 transcript:Al_scaffold_0008_3283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase (PAP2) family protein [Source:Projected from Arabidopsis thaliana (AT5G66450) TAIR;Acc:AT5G66450] MAASSSLLLLHRHTCNFYSAASSVPARFRISSPLDRRRRRRIWSASGSTKSMADLVNTNARRDGEEQFQALEQEAFINNSSSDAGGGIEAIANRLSKWIVAALFGSVLLLRHDGAALWAVIGSVSNSALSVALKRLLNQERPVATLRSDPGMPSSHAQSISFISVFSVFSVMEWLGTNVLSLLLSGLILALGSYFTWLRVSQKLHTTSQVVVGAIVGSVYSTLWYVTWNSLVLEAFTSSFSVQIAVFLVAAASALGFAVYVLLNWFKDDR >Al_scaffold_0008_3289 pep chromosome:v.1.0:8:22772801:22774850:1 gene:Al_scaffold_0008_3289 transcript:Al_scaffold_0008_3289 gene_biotype:protein_coding transcript_biotype:protein_coding description:folate transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G66380) TAIR;Acc:AT5G66380] MAAPWQWENATAGAVAGFATVAAMHPLDVVRTRFQVNDGRGSSLPTYKNTAHAVFTIARLEGLRGLYAGFFPAVIGSTVSWGLYFFFYGRAKQRYARGRDDEKLSPGLHLASAAEAGALVCLCTNPIWLVKTRLQLQTPLYQTQQYSGLLDAFRTIVKEEGPRALYKGIVPGLVLVSHGAIQFTAYEELRKIIVDWKERRRKSESADNLLNSADYAALGGSSKVAAVLLTYPFQVIRARLQQRPSTNGIPRYIDSLHVIRETARYEGLRGFYRGLTANLLKNVPASSITFIVYENVLKLLKQPPTKD >Al_scaffold_0008_3299 pep chromosome:v.1.0:8:22813565:22814665:-1 gene:Al_scaffold_0008_3299 transcript:Al_scaffold_0008_3299 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-D-mannose 4,6-dehydratase 1 [Source:Projected from Arabidopsis thaliana (AT5G66280) TAIR;Acc:AT5G66280] MAMASQVSRSLNGHSDIPQPRKIALVTGITGQDGSYLTEFLLEKGYQVHGLIRRSSNFNTQRINHIYVDPHNANKALMKLHYADLSDASSLRRWLDVIKPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHNIDNGRAIKYYQAGSSEMFGSTPPPQSETTPFHPRSPYAASKCAAHWYTVNYREAYGLYACNGILFNHESPRRGENFVTRKITRALGRIKVGLQTKLFLGNIQASRDWGFAGDYVEAMWLMLQQEKPDDYVVATEESHTVEEFLEVSFGYVGLNWKDHVEIDKRYFRPTEVDNLKGDASKAKEMLGWKPKVGFEKLVKMMVDEDLELAKREKVLADAGYIDAQQQP >Al_scaffold_0008_3301 pep chromosome:v.1.0:8:22820326:22820721:-1 gene:Al_scaffold_0008_3301 transcript:Al_scaffold_0008_3301 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family [Source:Projected from Arabidopsis thaliana (AT5G66260) TAIR;Acc:AT5G66260] MGVERGSGKALKKMLKRCSSLGKKSNVDVNFNGVPKGHFVVYVGHSRSRHVIPISFLTHPIFQMLLQQSEEEFGFFQDNGLTIPCDEHFFRSLISSVNP >Al_scaffold_0008_3303 pep chromosome:v.1.0:8:22823587:22825424:-1 gene:Al_scaffold_0008_3303 transcript:Al_scaffold_0008_3303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G66240) TAIR;Acc:AT5G66240] MVEFTEREDRVSLELSEEIIQSMEPGAVFRDYNCRISSIDFHKTSTCMVTASDDDSIRLYDVASATCLKTINSKKYGVDLVCFTSHPTTVIYSSRNGWDDSLRLLSLHDNKYLRYFKGHHDRVVSLSLCSGGECFISGSLDRTVLLWDQRVEKCQGLLRVQGRPAAAYDDQGLVFAIAFGGYIRMFDARMYEKGPFEIFSVGGDLSEANVVKFSNDGRLMLLTTMDGFIHVLDSFRGTLLSTFSVKPVAAESTLDATFSPEGMFVVSGSGDGSTHAWGVRSGKQVHSWMGIGSEPPVIKWAPGSPMFVTGSSELAFVIPDLSKLPAYAIRK >Al_scaffold_0008_3306 pep chromosome:v.1.0:8:22829740:22830732:-1 gene:Al_scaffold_0008_3306 transcript:Al_scaffold_0008_3306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein [Source:Projected from Arabidopsis thaliana (AT5G66220) TAIR;Acc:AT5G66220] MPLPSVTPLHVDAFTFPLAVESPASHKKLFLGGAGKFVIVTVIGVYLEAMALPSLSVKWKGKNAKELTESVPFFRQLLNTSYITCSFVVGKVRLTGIQYSDKVVEYCEEIMKASGKYTRSEAKAIDQFLMVFKDQDFPPGSSVLFAICPKGSLTIAFSKGQRVPKTGKSVIKNKLLGEAVLESMIGKNGVSPATRKSLAERLSKLMNNKDPHNGASDTVATKN >Al_scaffold_0008_3317 pep chromosome:v.1.0:8:22875736:22878495:-1 gene:Al_scaffold_0008_3317 transcript:Al_scaffold_0008_3317 gene_biotype:protein_coding transcript_biotype:protein_coding description:RADIATION SENSITIVE 17 [Source:Projected from Arabidopsis thaliana (AT5G66130) TAIR;Acc:AT5G66130] MLDKKLLSLEDDDNLRSSRSNTKSKPRSSAATTTNPRASKRARLSGASLVDKIRLSFEDFDEALSGFKVSSGYERSKNTDLWVDKYRPRTLEELAVHKKKVEQVKLWFEESLDFSKDGLRNNVLLVTGQAGVGKSATIHLIASILGVTVYEWNAPIPTIWQEHVHNSSSGLKYTSKLDEFENFVESTRKYGVMASSSTEGIKAPVILLIDDLPLANGRHACERLQNCLLLLVRSTQIPTVILITDYDKADSSDQTARSMEDAQSSLERAGALKVAFNPITKNSIKKALQRICREEHCKVTTMEIDQMASASGGDIRHAITSLQLFSVKPDLNHTKIKSPRPGMDDSYHGNEQTMYSGLDSGISSCFGRDETLSLFHALGKFLHNKRETDNVIVSDCSNSLVHDEFARLPLKMDAPEKVLSQAHGQAGRVVDFLHENVLDFVSEGAIEDAWCVSSYLADADLLLADLRGKMSGHNKTEDVPQSAGASVAVRGVLYGNKQPCSSRWHVIRKPKLWQVEQSSMQTKKNLREQRNISYEGSRVADISVMATEYSPVLKWLSYRASPDAFAGMGEETDEEESEISEDDEIQDW >Al_scaffold_0008_333 pep chromosome:v.1.0:8:2089092:2089771:1 gene:Al_scaffold_0008_333 transcript:Al_scaffold_0008_333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUR4] MPRLTSRHGTTSPLIWCAAIICAIISIVVIIGGILVFVGYMVIHPRVPIISVTDAHLDFLKYDIVGVLQTQLTIVIRVDNHNAKAHALFDETEFKLSYDGKLIAILKAPEFEVVKEKSMFLPYLVQSYPIPLNPTLMQAVDYAVKQDVITFELKGGSKTRWRVGPLGSVKFECNLSCELKFRPSDHSYIPSPCTSSHKH >Al_scaffold_0008_3334 pep chromosome:v.1.0:8:22918319:22920125:-1 gene:Al_scaffold_0008_3334 transcript:Al_scaffold_0008_3334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G65980) TAIR;Acc:AT5G65980] MGFLELLEVASMPIVQVLLISVLGAFLATDYCSLLSADTRRSVNKLVFVVFTPCIMFANLAETVTLQDIISWWFMPINVGITFLVGGILGWLVVKLLNPKPQLHGLIIATCASGNMGNLMLILVPAICDEEGSPFGNRSVCRSIGLSYASFSMALGGFYIWTYSYQLVRSSATQFRALEAAGLVKSPNKDIDSDPHTLLLKPHQNQDLEIQGKQKVSTGTYIKDLLHQILEELFAPPTIGAILGFVFGATNWLRNLIIGENAPLRVIQDSVKLLGDGTIPCITLILGGNLIQGLRSSAVKTSVIMGVICVRYIILPVVGVGVVQLAGNLGYLPPDPLFRYVLMLQFTLPPAMNISTMAQLFDVAQDECSVIFLWTYLVASLALTIWSTIFLSILS >Al_scaffold_0008_334 pep chromosome:v.1.0:8:2116001:2116396:-1 gene:Al_scaffold_0008_334 transcript:Al_scaffold_0008_334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUR5] MAYGAEPGPSLIFLEIYVSARSVSNSLTNSASCNYNSCVNGEFLSHIAIHRRVQSLEIAYSDFDLFSLKISIFGDPERRKSYKIKGIEVRYTMKEAEKHDSKKDLSYERKRWWQKSTILLL >Al_scaffold_0008_3341 pep chromosome:v.1.0:8:22951133:22951285:1 gene:Al_scaffold_0008_3341 transcript:Al_scaffold_0008_3341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MNI2] FRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFR >Al_scaffold_0008_337 pep chromosome:v.1.0:8:2126189:2132181:-1 gene:Al_scaffold_0008_337 transcript:Al_scaffold_0008_337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS-LRR class) [Source:Projected from Arabidopsis thaliana (AT5G45260) TAIR;Acc:AT5G45260] MTNCEKAEELVCISCVDEVRYSFVSHLSEALRRKGINNVVIEVEGDDLLSKESEAKIEKARVSVMILSRICEPTRACHKFEKVRECQRNKNQVVVPVLYGESPSLLDWISVLDLKDLSAIHHSRMECSDSKLVQEIVRDVYEKLFYKGRIGIYSKLLEIENMVNKQPIGIRCVGIWGMPGIGKTTLAKAVFDQMSSAFDASCFIEDYDKAFHEKGLYCLLEEQLFKENPGNDATIMKLSSLRDRLNSKRVLVVLDDVRNALVAESFLEGFDWLGPGSLIIITSRDKQVFRLCGINQIYEVQGLNEKEALQLFLLCASMGEQNLHELSMKVVNYANGNPLAISVYGRELKGKKKLSEMETAFLKLKRRPPFKIFDAFKSSYDSLCDNEKNIFLDIACFFQGENVNYVIQLLEGCGFFPHVEIDVLVEKCLVTISENRVWLHNLTQDVGREIINGETVQIERRRRLWEPWSIKYLLEYNEHKACGEPKTTFKRTQGSDEIEGMFLDTSNLRFDVQPSAFKNMLNLKLLKIYCSNPEVHPVINFPKGSLHSLPNELRLLHWENYPLQSLPQSFDPWHLVEINMPYSQLQKLWGGTKNLEMLRTIRLCHSQHLVDIDDLFKAQNLEVIDLQGCTRLQNFPAAGQLLRLRVVNLSGCIEIKSVLEMPPNIETLHLQGTGILAFPVSTVKPNRRELVNFLTEIPGLSEALKLERLTSLLESSSSCQDLGKLICLELKDCSCLQSLPNMANLDLLNLLDLSGCSRLNSIQGFPRFLKKLYLGGTAIKEVPQLPQSLELLNARGSCLRSLPNMANLEFLKVLDLSGCSELETIQGFPRNLKELYFAGTTLREVPELPLSLELLNAHGSDSEKLPMHYTFSNFFDLSPQVVNDFLVKALTYVKHIPREYTQELNNAPTFSFSAPSHKNQNTTFGLQPGSSVITRLNTSWRNTLVGFGMLVEVASSEDYCDATGFGISCVCRWSNKEGRSCRIERNFHCWAPAKVVSKFFPINQQTKCLDDRFIVTRCGVRVINVATGNTSLENISLVLSLDPVEVSGYEAVKEVLRVSYDDLQEMDKVLFLYISSLFNDEDVDLVAPLIAGIDLDVSSGLKVLADVSLISISSNGEIVMHCLVRQMGKEILHEQSMLLSDCESSMTENLSDLPKKKKSKAKKVVCIPATDEADLWTWRKYGQKYILGSNFPRSYYRCTYRFTQGCLATKQVQRSDTNSNMFAITYISEHNHPRPTKRKVLDGSTRSTSSSNYSANFCLI >Al_scaffold_0008_340 pep chromosome:v.1.0:8:2142399:2147078:-1 gene:Al_scaffold_0008_340 transcript:Al_scaffold_0008_340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUS3] MQSIDINRLFSRQNYANSAWCLEEISKIIECRERFGQVVLPIFYKVRKSHVENQTGDFGAPFESVHESFPGFQHRFPAWKEALNTASNIAGYVLPENSHECDFVDKIAKETFKTLNKLSPSEIRGLPGAELRMQELEKLLDLKRKSCVIVVGVLGMAGIRKTTVADCVYKRNYSRFDGYCFLANINNEERLHGLNHLQQKLLRKLLDEENLDVGAPEGAHEALKDRLQNKRLFIVLDDVTNEDQIRILIGQWKQKLYREGSRIVITTRDKKLLEKVVDATYVVPRLRDREALELFCLNAFSCNLSPNTEFMASIRPSLSIMLKGHPVTLKLLGSDRCQGTNFTGRESWRDWRKGQTKSIFLDIACFFKSGKTDFVSRILNTDHIDATTLIDDLVDKCLVTIYDNRLEMHDLLLTMGKEIGYESSIKEAGNQGRLWNQDDICRLLKYKTGTAETRGIFLDMSNLENMKLSPDVFTKMWNLKFLKFFSLFSMGYPLEYLPSNFNPKKLVDLNLRHSHLKTLWEEEKNTAELRWLDISHSKDLLSLSGLLDARNIERLNAECCTSLIKCSSIRQMDSLVYLNFRECTSLKSLPKGISLKSLKSLILSGCSKLRTFPTISENIESLYLDGTAIKRVPESIDSLRYLAVLNLKKCCKLRHLPSNLCKMKSLQELILSGCSKLKCFPEIDEDMEHLEILLMDDTAIKQIPIKMCMSNLKMFTFGGSKFQGSTGYELLPFSGCSHLSDLYLTDCNLHKLPNNFSCLSSVHSLCLSRNNLEYLPESIKILHHLKSLDLKHCRKLNSLPVLPSNLQYLDAHDCASLETVANPMTHLVLAERVQSTFLFTDCFKLNREAQENIVAHAQLKSQILANACLKRNHKGLVLEPLASVSFPGSDLPLWFRNQRMGTSIDTHLPPHWCDSKFRGLSLCVVVSFKDYEDQTSRFSVICKCKFKSESGDCIRFICTLGGWNKLCGSSGHQSRKLGSDHVFLSYNNCFHVKKFREDGNDNNRCCNTAASFKFFVTDDSKRKLGSFEVVKCGMGLLYAPDESDYRLQETLENNLKEVTSIHEADRHENGSGEAVLLKRRNSFLKDEEHMVAYQGWIQSKVNHVSHCNNSKGTLGSLQSILCLATPSQSQNIIRNFLSIYSVIKILSWVVQNYRRSIFQAHNKRSSTYKTRACLKVSDPFSKRS >Al_scaffold_0008_341 pep chromosome:v.1.0:8:2149260:2157021:-1 gene:Al_scaffold_0008_341 transcript:Al_scaffold_0008_341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUS5] MIVYISCVDEVRYSFVSHLSESLCEKGINDVFVDSADNLSEEAQAKVERARVSVMVLPGNRKLTTASACLGKLGKIIRCQRNDDQVVVPVLYGVRKVNVEWLSELKKITGLSHFHQSRKECSDSELVEEIARDVYEKLYHIGRIGIYSKLLQIENMVNKQPLGIRCVGIWGMPGIGKTTLAKAFFDQKSGKFDASCFIEDFDKVIHEKGLYRLLGKQFLKEKPPDGVTTTKLSMLRYKLKNKRVLVVLDDVCNPLAAESFLGGFDWFGPESLIIITSRDKQVFRLCQVDQIYEVQGLNEKESLKLISLYVFRNDKEERNLPELSMKVIKYASGHPLALNIYGRELKGKKNLSEMETALLRLKQRPPVQIFDAFKSSYEKKLSEMETALLRLKPRLPFQIFDAFKSSYDTLNDSEKNIFLDIACFFRGENVDYVMQLLEGCDFFPHVGVDVLVDKGLVTFSENILQMHNLIQDVGQEIINGETIYIERRRRLWEPWSIKYLLEDNEHKRTLKRAQGTEDVEGIFLDTTDISFDIKPAAFDNMLNLRLLKIFCSNPEINHVINFPKGSLHSLPNELRLLHWDNYPLQSLPQKFDPRHLVEINMPYSQLQKLWGGTKNLEMLRTIRLCHSQELVDVDDLSKAQNLEVIDLQGCTRLQSFPDTCQLLHLRVVNLSGCLEIKSVPDFPPNIVTLRLKGTGIIKLPIAKRNGGELVSLSEFQGLSDDLKLERLKSLQESSLSCQDLGKLICLDLKDCFLLRSLPNMANLELLKVLDLSGCSRLNTIQSFPRNLKELYLVGTAVRQVAQLPQSLELLNAHGSRLRSLPNMANLELLKVLDLSGCSRLATIQSFPRNLKELYLAGTAVRQVPQLPQSLEFMNAHGSRLRSLSNMANLELLKVLDLSGCSRLDTIKGLPRNLKELDIAGTSVRGLPQLPQSLELLNSHGCVSLTSIRLDFEKLPMHYNFSNCFDLSPQVVNNFLVKALNNFKYIPRDHQQVILSMSLSLVYTQQHLSLSYMTYFALLQQELNRALAFSFCAPSHAIQNSTLDLQQGSSVMARLNPSWRNTLVGFAMLVEVAFSEDFYDANGFGIRCVCRWKNKEGHSHKIERNLHCWAPGKAVPKLLNDHMFVFFDVNMRPSTADGNDPDICADFVVFEFFPVDKQTKLLYDSCKVTKCGVRVLTATTRDTSLENVLPVLSSDPMEFSGNEVEEVPRVSYDGLQEMYKALFLYIAGLFNDEDARLVARLIAKIIDMDVSYGLKVLADRSLIRVSSNGEIVMHCLLRKMGKEILSSESMLPGSLKDLARDFENVSVASTQTWRSKKSRLLHWDAFPMRCMPSNFHGESLVDLIMEASKLETLWSGLKLLNSLKVMSLRCSLDLREIPDLSLATNLERLDLGHCSSLKMLPSSIGHLHKLKDLDMEFCTYLEALPTGINLKSLYYLNLNGCSQLRSFPQISTNISDLYLDGTAIEEVPTWIENISSLSYLSMNGCKKLKKISPNISKLKLLAEVDFSECTALTEDSWPNHPGGIFTSIMRVDMSGNSFKSLPDTWTSIQPKDLIFNNCRNLASLPELPASLSMLMANNCGSLENLNGSFDYPQMALQFINCFSLNHQARELILQSDCAYAILPGGELPAHFTHRAYGSVLTIYLFKKFPTFKACIVVESRSGSFTFGVLWAFKGGSNNIYFSCLTNTPSTENHLIVFNCEFSPDEVNDSPAELSYNDVQFEFVCLDHRKEKIKIKECGIQLFEGSSFADDSGKRSETEYGNDSGLTNVEDTASSKRMRVRINELSNIYCLFFIAFPRSHKTAQEPSVYSESQRLEIEQIMMTFKGESHKEIMVMRLELR >Al_scaffold_0008_343 pep chromosome:v.1.0:8:2174273:2196304:-1 gene:Al_scaffold_0008_343 transcript:Al_scaffold_0008_343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUS8] KRQEIEKQKPEEEEEEEEEKKKKEEEEEEKKAERRSREEEEEKKKKEKKKKKKKKKKKTIQ >Al_scaffold_0008_344 pep chromosome:v.1.0:8:2201438:2205373:1 gene:Al_scaffold_0008_344 transcript:Al_scaffold_0008_344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUS9] MAGSSTVEERPPQHLVFINFRGADIRFGFVSHLVEAFKKHKINFVYDDYEDRGQPIEILLTRIEQSRIALAIFSGKYTESFWCLEELTKIRNCEKEGKLVAIPIFYKVEPSTVRYLMGEFGDSFRSLPKDDEKKKEWEEALNVIPGIMGIIVNERSSESEIIKKIVEDVKKVLYKFPSEESQKASVVPLENSNTVTFSGKEKHKTFGNKQRLKDLEEKLDVDRYKGTRIIGVVGMPGIGKTTLLKELFDLWQRKFNSRAFIDQIRENSNDPGLDSLPQMLLGELLPSLKDPEIDDDEDPYRKYKDQLLERRVLVILDDVSKSEQIDALFRRRDWISEGSRIVIATNDMSLLKGLVQDTYVVRQLNHQDGMDLFHYHAFNSNRATPPKGDFNKMSEDFVHYAKGHPLALKILGIELCGKERTTWEEKLKLLAKSPSPYIGSVLQVSYEELSPGQKDAFLDIACFRSEDVDYVESLLASSDLGSAEAMNAVKALADKCLINTCDGRVEMHDLLYTFARELDSKASTCSRERRLWHHKELIRGGDVDVLQNKMRAANVRGIFLDLSEVKGETSLDKDHFKCMTKLRYLKFYNSHCPHKCKTNNKINILDGLMLTLKEVRCLHWLKFPLEKLPNDFYPNNLVDLKLPYSEIKQLWEGDKDIPVLKWVDLNHSSKLCSLSGLSKAQNLQVLNLEGCTSLKSLGDVNSKSLKTLTLSGCSNFKEFPLIPENLEALYLDGTAISQLPDNLVNLQRLVSLNMKDCQKLKNIPTFVGELKSLQKLVLSGCLKLKEFSEINKSSLKFLLLDGTSIKTMPQLPSVQYLCLSRNDNLSYLPAGINQLSQLTRLDLKYCKKLTSIPELPPNLQYLDAHGCSSLNTVAKPLARIMPTVQNRCTFNFTNCDNLEQAAMDEITSFAQSKCQFLSDARKHYNEGFSSEALFTTCFPGCEVPSWFSHEERGSLMQRKLLPHWHDKSLSGIALCAVVSFPAGQTQISSFSVACTFTIKVQEKSWIPFTCQVGSWEGDKEDKIESDHVFIAYITCPHTIRCLEDENSDKCNFTEASLEFNVTGGTSEIGKFTVLRCGLSLVYAKDNNRNSSHEAKYDMPVEVNFQEPQHGMKEEKRKLKDKEFMIDDQRRNGKTEGVKMSSDTHTCGASVTPRMGDLQANG >Al_scaffold_0008_345 pep chromosome:v.1.0:8:2208065:2210267:-1 gene:Al_scaffold_0008_345 transcript:Al_scaffold_0008_345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUT0] MPREHQKELNKPLAFSFCAPSHADQKFTPYLQPGSSVMTQLNPSWRNTLVGFAMLVEVSFSEAYSDVTGLGIRCVCRWKNMAGRSQSIERNLHCWATGEAVPKFQKDHMFVFFDVKMRPSTDEGYEPDIFADLVVFEFFPVDRQKKRRVDDSCTVKRCGVSAIDAATGETSLKMSSSNSFWHSKKVEEISRTSYDGLQEMDKVLFLYMACLFNDEDVDLVAPLIANIDMDVSSGIKVLADRSLIRVSSNREIVMDSLPRRMGKEILHTESMLPGSSKDFGKIASMGLSSPHNQKCNVFLRYSRQDIQINFLDYLIAALRKNVIPSSKRYGIKITQEVPESRSTISIILFTINYASSSWLLNELVETAKSNEDVSHMVIPIFHPDLDPLDVREQTGEFGRRFEETCKNKTEDEKQQWRRALTDISSIGEYNITYCDSSDEEFIKSMVKEVKDLMARIRIKGKPSREVISQGVVFVVPARRLDITHSENPNLWTWGYVQSEADIEIAMLNKIYWLQIKGYFVTRELTPGTKYKVVFMIYLDDTASGWEEPVTLNLKLKHRDGSQSIQESTLCLNDYIYNNWVDIQAGEFEALPENVVEIFFSLHQYEYSNRKSGLLVKGVTIRPTDQVII >Al_scaffold_0008_346 pep chromosome:v.1.0:8:2210604:2212894:1 gene:Al_scaffold_0008_346 transcript:Al_scaffold_0008_346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUT1] MEVHVSGSLSKLSGSLFHLTWLDLKYSTSLTLVPELPPNLPYLDAQGCSSLKTVAKPVPRIMPTVQSHCTLNFTNCDNLEQAALDEITSFGQSKCQFLSDVRKHYNEGFSSGALFTTRFPGCEPKSISSFSVACTFTIKVQEKSWIPFTCQVGSWEGDKEDKIESDHVFIAYITCPHTIRCLEDENSDKCNFTEASLEFNVTGGTSQIGTFTVLRCGLSLVYAKDKNKNSSHEAKYDMPVEVSFQEPQQGMKEEQRKLKKQEFMIDDQRRSEKPEVLFTGFPVKLQSRKLSNCSTDLNSQRESVIEEISVSKDASTCNISLNSPQLADLIAKLMFRKENSTPPVLHCSVEAPSSSGNGGSSGSRVRLQVPKQETEPFVNPSAYGWF >Al_scaffold_0008_347 pep chromosome:v.1.0:8:2214376:2221563:1 gene:Al_scaffold_0008_347 transcript:Al_scaffold_0008_347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK90] MAGQSRKWMILVATIWIQAFTGKYNIEFSDRKCYVFLCCLLAGLSICWFNTVCFVLCIRNFPANRSLALSLTVSFNGVSAALYTLAYNAINPVSTELYLLLNALVPLFVSFAALIPILRQPPLEPLPPDGVRRDSLMFLLLNILAVLNGVYLLLFRSKTSDVTSARLLFSGSILLLILPLCLPGLVYARNWYLHNIHSSFRLEGSGFILVDVDELEMHKGMCELPILSCCTKFITRNQLEMLGEEHPLSLLLCRSDFWLYYIAYFCGGTIGLVYSNNLGQIAQSLGKKSETTTLVTLYSSFSFFGRLLSATPDYIRAGSELVEVEASVPEPESIIIENVEPEGLIYFARTGCALLPTTIALYLLPSSGSLAALQAGTALIGLSSAALVYESHSVAGSKTESVICMGRDCYLLTFVWWGCLLVIGLASSVVLFLRTRRAYQRFEQDRITSSMLYS >Al_scaffold_0008_348 pep chromosome:v.1.0:8:2221712:2222877:-1 gene:Al_scaffold_0008_348 transcript:Al_scaffold_0008_348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK91] MEVSGNEVEEVSRVSYDGLPAMEKALFLYIACLFNDEDVDLVAPLIASVGLAFGSEINNLADQSLIHVSSSGQIVMHCLLRKMGKEILHRKSMLPGSSKDLTRDNEKVFVASSLSHVRRYDVFLSFSGQDVCKTFLSHLVRRFDSEGITTFSDAEIMRELVIGQEIKQAIRESRILIVVFSKNFVSSSWNLQELVEIATCGKTSGQIVIPIFYKVDPSDVRKQTGKFGRLFEETCKNKTVDEKQRWRKALTDIANIIGFINEHWGNEADMIEALAAAVSERLRIVPSNDRFIATKRKSELLN >Al_scaffold_0008_349 pep chromosome:v.1.0:8:2229850:2233642:1 gene:Al_scaffold_0008_349 transcript:Al_scaffold_0008_349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK93] MAASSTSTVPPQHQVFINFRGKDLRNGFVSHLVEALIRNKINVFMDKFEDRGKSLESLLTRIEESRIALAIFSENYTESDWCVKEADKMNDCMKEGTLVVIPIFYKVKPSTVRDLEGRFGNKFWSLVKGDERKKKWEEVWKSIPNLFGITVDEKSDENRTVNEIVVAVSNVLSKIPWVRNERRLEELEEKLDFEDDSRTRIIGVFGMPGIGKTTLLKELFKKWKPKFIRHSLVDQIRRKSEDSSVCLPTTLLGELLTSLADPRIDNDEDPYNMYKDELLKRKVLVILDDVSTRKQIDALLGRLDWIKKGSKIVIATSDMSLTNGLVDDTYMVQKLNHRDSLQVFHYHASVDKSKDDFMKLSEEFVHYSRGHSLALKVLGGDLKKQNIDYWNDKLKTLTQSPIPRRVFKVSYDELSSEQKDAFLDIACFRSHDVEYIESLLASSTGAVEALSDMCLINTCDGRVEMHDLLYTLSRELDPKASTQIGGSKQRRLWLHQDIIKEGTINVLKNKLVRPKDVRGIFLDLSEVEGEICLDCDHFEDMCNLRYLKFYNSHCPQECKTTNKINTPEGVKLPLKKVRCLHWLEFPLEEFPNDFDPINLVDLKLPRSKIKQLWEGDKDTPFLKWVDLQHSSKLCSLSGLLKAEKLQRLNLEGCTTLKTLPHDMHKMKVLSFLNLKGCTSLEFLPEMNLVSLKTLTLSGCSSFKDFPLISDNIETLYLDGTEISQLPTNMEKLQSLVVLNMKDCKMLEEIPGRVNELKALQELILSDCFNLKNFPEINMSSLNILLLDGTAVEVMPQLPSVQYLSLSRNTKISCLPIGISHLSQLKWLNLKYCTKLTSVPEFPPNLQCLDAHGCSLLKTVSKPLARIMPTEQNHSTFIFTNCQNLEQAAKEEITSYAQRKCQLLSYARKRYNGGLVSESLFSTCFPGCEVPSWFCHETVGSELKVKLLPHWHDKKLAGIALCAVVSCFEHQDQISRFSVTCTFKVEDKSWIPFTFPVGSWTRHEDGKVTRHEDEKDKIESDHVFIGYTSYPHTIKCPEDGNSDKCNSTQASLNFTITGANEKLKVLQCGFSLVYARDKYKNSSHEAKYDMPVEKSFQETSEGVYGRVKKKKKTRRDNGRPKKKQRSGRDDRACSEHCH >Al_scaffold_0008_351 pep chromosome:v.1.0:8:2249101:2255086:1 gene:Al_scaffold_0008_351 transcript:Al_scaffold_0008_351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK94] MSTSLLSKVNKGPQVFINFRGEELRRPFVSHLHEALRNVGINAFIDSDEDPGEDLENLFKRIEESEIALAILSSKYTESQWCLDELVKIMECSSKGEGCKKLWVIPIFYKLDTSIVKGLDGDFGVNLWKLWTKPGGVRDDRIVKWNAALQDARNKTALILKESSEEMAFLAKIVITVQNALTRNSPQSQEDTRSPPPSQGGGRGEEIPKFHSRALSRTESGEQRLKQLEEKLDVDCNDNETRIVAVVGMPGIGKTYLAKKLLAKLETKIVRHVFIQFDSERSKYQGLEWVQKTIVEDLLKKDYPTSGSEGGNVLENWKEQLREKKIVVVFDNVTDQKQIEPLKNCDWIKKGSRIVITTRDKSLTETLPCDLYEVPGLNDKDSLEFFRSQICSNLEGNFMELSRKIVDFAGGNPLALEAFGKELKKKSEDCWEKRLGTLTRVSSEEMREVLRNIFEKDLDEKQREAFLDIVCFFRSHDESYVTSLLDSVDPKSAEAGREEVRDLVDKFLIHISNGRVEIHDILFTMGKELVETTNKYWMLSSNSAVSADALRKKRGRDQVRGIVIDMSKMEEMPLDNQTFVGMSSLRYLKVYNSLCPRHCEARCKLNLPDELEFPKNNIIRYLDWMNFPGKELPSEFEPKDLIDLRLPYSKIISLWNRVKDTPKLKWVDLSHSSKLSSLSELSEAPNLLRLNLEGCTSLKELPEAMQKMKNLVFLNLRGCTSLLSLPKITMDSLKTLILSDCSQFQTFEVISEHLETLYLNGTAINGLPSAIGNLDRLILLNLIDCKNLVTLPDCLGKLKSLQELKLSRCSKLKPFPDVTAKMESLRVLLLDGTSIAEMPGSIYDLSLLRRLCLSRNDDIHTLRFDMGQMFHLKWLELKYCKNLISLPILPPNLQCLNAHGCTSLRTVASPQTLPTPTEQIHSTFIFTNCYELEQVSKNAIISYVQKKSKLMSADRYNQDFVFKSLIGTCFPGYDIPAWFNHQALGSVLTLKLPQHWNAGRLIGIALCVVVSFNGYKDQSNSLQVKCTCEFTNVSLSPESFIVGGFSEPGDETHTFEADHIFICYTTLLNIKKHQQFPSATEVSLGFQVTNGTSEVAKCKVMKCGFSLVYEPDEVENSSWKVTPRIEDKRQGRRSSFRTAEEDDDCPIATPTTATYNSFNRIVSYFKKV >Al_scaffold_0008_352 pep chromosome:v.1.0:8:2275507:2276839:1 gene:Al_scaffold_0008_352 transcript:Al_scaffold_0008_352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK97] MGKDPPNVSVPELPKVADGSTESDLIIAGAEAADNIQNRADTGTMEDVGQIAIPQFAVPQDKRVDLGLTALEATKGEVRLLRRLSWKIWLKRPKPLKKRLMRWRSLS >Al_scaffold_0008_354 pep chromosome:v.1.0:8:2293340:2293954:-1 gene:Al_scaffold_0008_354 transcript:Al_scaffold_0008_354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKA0] MGTNSEKTLALSEPESGLRIPALNMNFNFNPSDVEDLAKKPKFQKLLKKLLAKEPVNDDEWQRSIPVKLLKEHIPGFDLEQYIDEIREMEREPGYIKGKETFFNRLQVDPPMSVCPVDVNNPAAPDA >Al_scaffold_0008_362 pep chromosome:v.1.0:8:2352151:2355810:-1 gene:Al_scaffold_0008_362 transcript:Al_scaffold_0008_362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKA7] METSRTVGYDVFIDYSSKDTRHSFVSHLHAAFGRRGISVFLAEHCTLSEATLKPGFELANEIQLAIERSKIYVVVFSKNYASSPLCLETLMTFMDLQRRKDGPVVIPVFYGDVTRSIVEQQTERFKEDFSKHRGFFSDEKDRVERWRKGLTEAAKLHGHESIEQQNDSELVEDIVADVRERLCPTGMIGFYSRLLGIENLLFKQSHDIYRLGIWGMPGIGKTAISQESFNQMTKHFETQCFIQDFHVAFNDKGLYVLREEYLIDKLREKRVLVVLDDVRNPMDAESFLGGFDHCFGPESLMIISSRDKQVLHQCQVDSVYEIPALNKKEAQRLFTRFAFSEKEPSDTNLIEVSKKVVEYADGNPLALCHYGRELGKKKPEEVVAEFEKIKQSPPREIMHVFKSSYDELSENERSIFLDIAFFFNGENLDYVMRILEGCGFFPHVGIDRLVERSLLMISKNNNVEMQILIQDIARNIVNEEKNQITRHRRLWDPSIIKSFLEENKPKGTEVIEGIFLDTTKLTVDVNPKAFENMYNLRLLKIYSSNSESTQEFHLPKGLRSLPYELRLLHWEKYPLRSFPEDFDPRHLVELNMPYSHLQNLWEGTKSLVKLKIINLSHSQQLVEVDVLLKACSLEQIHLQGCTSLESIPHIDQLENLQLLNLSGCTRLKRKEILEEIKKLDPEGGLRETKFESLVFSTLVELELEDNTESFS >Al_scaffold_0008_363 pep chromosome:v.1.0:8:2362015:2369264:1 gene:Al_scaffold_0008_363 transcript:Al_scaffold_0008_363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS-LRR class) family [Source:Projected from Arabidopsis thaliana (AT5G45200) TAIR;Acc:AT5G45200] MSKVSSSNLVHDPPPQHQVFINFRGTELRNNFISHLEKALLNKKVNVFIDIRERIGKDKDIFFQRIRESRITIAVISSKYTESKWCLNELAEIQKCVLAETMEVFPVFYKVDVGTVEKQTGEFGENFKKLLEQHHSEREKWERALKFVTSKLGVRVDEKSFECDIVDHVVKDVMKAINEIPTDQGTKSPRGDIIVLPEGNIRGEPESSSSWSSKASPFFGIETRLEQLKEKLDFESNEVTRVVGVVGMPGIGKTTLAKKVLEDWGYEFSHTMFLDDVREKSKYPEIHNLQMELLCGLTNIKYERKEQTETDLLLKFLKVEVSKNKVLFVLDDVSEKSQIENILGESEWLKEGSKVLITTNSKSVVKGMVNETYLVPGLSDNDALNYFERHAFSVSCEPSFMKLAREFVEYSRGNPLALKVLGGELLGKQKSYWESKLGTLAKSPISNTIQNVLRIPYDDLSLHHKNLFLDVACFFRFEDEYHVRSFLDSSVHENVSEIKDLADKFLINICGGRLEINDLMYTFAMGLESQSSSEDCTSGRRLSNHGEIITVLRNKVEATKVRGIFLDMSEVPKEMKLSSDTFKEMNDLRYLKFFDSSCPKECEADCNLNFPNGLRFTLEKIRYLHWLKFPLKIFPRSFNPKNLIDLKLPYSQLEQVWKGEKDTSKLKWLDLNHSSKLRTLSGLSLARNLQSMNLEGCTKLEAVHHELKNMGSLLFLNLRGCTSLESLPKIKLNSLKTLILSGCSNVDEFNLISEKLEELYLDGTAIKGLPSDIGNLQRLVLLKLKDCKKLLSLPDTIRNLKALEKLILSGCSSLVSFPEVKQNLKHLKTLLLDGTAIKDVHDVVHRLSINQGQFSSFTHYDLCEWRHGINGLSSVQRLCLSRNDFTSLPESIMYLYNLKWLDLKYCKQLTSLPMLPPNLHWLDADGCISLKNIENSLSLLLAATEQLHSTFIFSNCKKLDQVAKNDIVSYVRRKIQLMSDALVHKNKGSILDVLIKICYPGWQLPVWFDHRSVGSELKQNLPRHWNEDGLTGIALCVVVSFKDYKDHNTRLLVRCTSEFKKEDAPLIQFSCILGGWTKQISDNPGDIVEPSGHVFIGYTNLLHVMKRDRGAKCVGTEVSFKFEVTDGAKQVTNCEVLKCGFTLIYAPTTKPVHSLCTQVYSDHGEQMSGSTTAIKGTVEERYNKHGTGAPSVSFVAPTMESEITKKVQHFEATSNVEDIKNEANSAGGPSRNNVDANSESSDHNSNGGSMSPGSSGEGEVFNNESPKKEETNSTSSALPLENNTNENGTNNVRERLEKPIRLICLVISIYLGAAFVLRKDNKKRSNQ >Al_scaffold_0008_367 pep chromosome:v.1.0:8:2384891:2385889:1 gene:Al_scaffold_0008_367 transcript:Al_scaffold_0008_367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKB2] MLSLLVRRTFTKSRMHGEVKPPRNIQVFINFRGDQLHYNFVSYLVDALRRSEINVFIDNEEQRGEDLNTLFKRIEESGIAIVVQIIHLLTHITNFGNIVTLYHKVLPIFYKVTPTNVKRLKGEFGDHFRDKEYMYKSDEPMIKQWKEAIVSVSHKFALALDEKSSLLEIDFVETIVKEVLKMLQAICKVESGQSSFCMEKA >Al_scaffold_0008_370 pep chromosome:v.1.0:8:2401105:2402572:-1 gene:Al_scaffold_0008_370 transcript:Al_scaffold_0008_370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKB5] MEARKMVKIINFDSSEETLRCSFVPHLSAAFGRKGISVLTDKHDQSYKSIASVLIFSENYVSSKESLDEFIKTIQRRHEKGHIVTAIFYGVSRSNVQELMGNFSKAFLEHRDSDQVNQWRNALAEITSLPGYETSNNQSDYKSVEKIARDLYEKLFPNERIGIYSRMLPDIENLIQKQQWGVRSIGIWGMPGIGKTKLAKAVFDQMSCDYEVTCFLQNFHETIHKKGLNGLLQEQFKNFPNQTLQQRVLVVLDDLKNHLDAESFLGGLFLFSPGSLIIITSSDKQVLSQCGVNQIYKVEGLNKHEAQQLFSSCAFGKDAKENNLPTELSMKVIEYANGNPLALQLYGEKMSSQEQPNQKETLFLKLKQAPPQRIVEVVKSSYFPLSDNEKNILVYIAFFFTGEHVDYVSTLLQDLGFFPDIGINRLVENSLVTISENRLDMHGLIHAVVREIGSLDQNQ >Al_scaffold_0008_371 pep chromosome:v.1.0:8:2404097:2410068:1 gene:Al_scaffold_0008_371 transcript:Al_scaffold_0008_371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKB6] MTPATVQKPQLPCHKVFLNFRGADVRYNFISHLEKALKDAGINVFVDEDEKRGKDLTVLFHRIEGSNMAIVVFSERYMESEWCLNELAKIKERVDEGKLVAIPIFFKVGADELKELLDVACETHGNVPGTQKWKVALECTTLKMGLTLGKKSDEANFVKMVVKKVMQSLSDVPSLEGEKPEMAPLFGIEHRVKQVKEKLDFDRCDETRIVGIVGMPGIGKTSLATELFNKYKYKFCRCVNFQNIREKWARSGAERVRKMFLEELLEITNISDDEATHGCLESKLLLNKVFVVLDDVSSARHLQVLLGNRNWIKEGSRIVIITRDRTLITELDPNPYVVPRLNLVDGLMYFSFYAFEARICDPEMESYMQMSREFVDYARGNPLALQMLGMDLRGKGEAQWKAWLDTSAKCPNKIIQNLFKISYDELSEQEKDAFLDIACFFRSEDEYYARSLLDSGDHESFQAAREITHLVHKFFISISGGCVEMHDLLHTFAMEICSLASCGVNQVKSRLRNGNYIIAALQGKMETKTVRGISLDMSELTNMPLERSAFTNMCNLRYLKLYSSTCPLECEGDCKLNFPDGLSFPLKEVRYLEWLKFPLDELPSDFTPKNLIDLKLPYSKIKQVWKESKGTPKLKWVDLNNSRMLQKISGFSKAPNLLRLNLEGCTSLDCLSEEMKTMQSLVFLNLRGCTSLRCLPEMNLSSLTTLILTGCLKLREFRLISENIESLYLDGTAIKDLPTDMVKLQRLILLNLKECRRLEIIPECIGKLKALQELILSGCSNLKSFPNLEDTMENFRVLLLDGTSIDEMPKIMSGSNSLSFLRRLSFRRNDVISSLGSDISQLYHLKWLDLKYCKKLKSLSTLPPNIQCLDAHGCISLQTVTSPLAFLMPTEDTHSMFIFTNCCKLNEAAKNDIASHILRKCRLISDDHHNESFVFRALIGTCYPGYEVPPWFSHQAFSSVLEPKLPPHWCDNKFLGLALCAIVSFHDYRDQNNRLLVKCTCEFENLDASCSQFSVPVGGWFEPGNEPRTVESDHVFIGYISWLNIKKRQEEQYKRGCVPTKASLTFSVTDGTGQVIAQCKVVKCGFGLVYEPEDAVSTVVSLAAARMRMNGESRQGEESTIASSEGEYQFETPTTANSTNGNELFGQDSV >Al_scaffold_0008_377 pep chromosome:v.1.0:8:2436045:2438466:-1 gene:Al_scaffold_0008_377 transcript:Al_scaffold_0008_377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKU6] MVVLYPHPDGPPFGSSESEDDKVGNLERLIFAGFPFTKAFWCSGDGSLPSLYTSRRRKEITATSTTSDSDSSEMQRQRKSSKPKFINTAEDVTTLLDKKLKGFKASLLADLRGMMRANESPPAVQSPKGKSPSHVSEARSSEPSRVTRSGRVGQYVRVPTAPGHSLSQRDGTRSDTIEPEGSTSATCNAKKNSHPPSSRPTSPTAVPQFSSQPPSPSSTAREQPLEPPAVSTVKQQFRFAQKRTPAKAFSLLAEATHSSADRHCSMVVNELPPSVPPVSDHLKKLSSPSVSLTTAGDSPVEEPPVAAVDITQFVLVDPPDEPLDVFPPMDVNAEVMPTRSLPPGIPVLPSPSILPSQRPKTRCSKRLRSSAAPEVNPPAPAPKLRLRHTSGDVKLEAACSKLLNTLHKPSPTKVSTLMSQLRRSTKSDYSICGTVFPATLFFDLLKPQQWVSSMQHMDLLISFVWDTYNPFFITRRITILDSMFTSIISNKYMSFKQHNNNKAFVWHPLLISYVKGQVSPRRPELQWMRDVDTVYLPMNWGTRHWVGLAIDLKKGHIDILDPFEDLTSARKVVSFMSPFAQMLPELILSVCGSIPALWPDTAFTFTRVPGLAQNKRGGDCGPLSVKFMEFTMLGLQSSLLNITPTQIDNARLRYALDIYETYVNKL >Al_scaffold_0008_390 pep chromosome:v.1.0:8:2566289:2569137:-1 gene:Al_scaffold_0008_390 transcript:Al_scaffold_0008_390 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 2 [Source:UniProtKB/TrEMBL;Acc:D7MKW3] MASKLPMQNIDNANVAKAPASSLASAGNTIEHILLCPDSYIGSIEKHTQTLWVYEKEEMVCRSVTYVPGLYKIFDEILVNAADNKRRDPSMDSVKVVIDVEKNQISVCNSGDGVPLEEGVFVPGIFFGHWLRRNYDNNVKKTTGRRNGFGATLTNIFSTEFIIEIADGKRSLKKYKQVFENNMGNMSKLFITKCNKGENWTKVTFKPDLKKFNMTELENDVVALMSKRVFDIAGCLGQTVKVDLNGKRVPIKSFSDYVDLYLSAASKSRTEPLPRMNEKVNDRWEVCVSFVNSIATIKGGTHVDYVTSQVTEYIVGIVNKKKKYPNVKTHNVKNHLWVFVNALIDNPAFDSQTKETLTLPERSFGSKCQLSENILQKVAKSGVVENLLSNYEPGTHIFPSMVDDLTLEYYEKKKETMLKNQEIMLKNRELELLKLEIANDQKLREKWFTEILENAKPVEAAVAGATNAAESSYYNYLLQLSVLITDTVQEVRAQRDQMMDAVEDLKNATPESLRLKELEELDKQDAQPDEERQAPKKPAPKKASESVTKEASNSAMDTETTETAKEISLDDDDDDVVVSPEKKVRKLRSSPFNKKSSSVMSRLANKEEESSENAAGNSSSEKSGDVFANRGYVWWSDSESESGNESEFDDIEDDQDDE >Al_scaffold_0008_391 pep chromosome:v.1.0:8:2598599:2599057:-1 gene:Al_scaffold_0008_391 transcript:Al_scaffold_0008_391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKW4] MTELDNDVVALMSKRVLEIAGCLGKTVDLNGKQVPIKSFSDYVDLYLSVANKSRTEPLPRMTEKVNGRWEVRFVNSIATIKGGTHVDYVTNQVTKYNIM >Al_scaffold_0008_393 pep chromosome:v.1.0:8:2604205:2604604:1 gene:Al_scaffold_0008_393 transcript:Al_scaffold_0008_393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKW6] MENNLGSTSKTSATTKEFPKRLFGHGKEPEVEKINNSCRLSILRKIKDALPLEYKKVKSDPLFAQVFAIYIYKNSLHYSGRLVHSLMCRQLVTSKRRA >Al_scaffold_0008_398 pep chromosome:v.1.0:8:2619572:2621976:-1 gene:Al_scaffold_0008_398 transcript:Al_scaffold_0008_398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKX0] MAASSSDMVLRSTRPQVFINFRGEELRRGFISFLEPTLKNENINVFIDELELRGRDLQNLFVRIKESKIALVIFSKDYANSEWCLDELAMIKECMDQGNLDVIPIFYKVEPSVVKYLLGYFGENFMNLKNRYENDPERTRKWEEALASVSQKFGLPFPEKSDRTDREFINSIVVEVKRVLEHIGGKADKRGHAKVIQRQQQEEIHQGCMFNARELKISGSHNARYWTFVSISESPNDEVAFEVAKMQRNYYLEVSGFCQTEILTTGTKYEVVFVVKVEDTMSRWDVPAKVQLMVPYSNELQERELQFVDLIRNEWVDIQAGVFVHSRKT >Al_scaffold_0008_399 pep chromosome:v.1.0:8:2623905:2628796:-1 gene:Al_scaffold_0008_399 transcript:Al_scaffold_0008_399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKX1] MADSEQLVCISCVSEVRYSFVSHLSEALRRKGINSVIIDVDSDDLLSKESQAKIEISSVSVMVLSRICEPTRVCHNFVKVLECQRDKNHVVVPVLYGESPLLGEWLSVLDLRDLSPVHQSRKECSDSQLVKEIVRDVYEKPFYKGRIGIYSKLLEIEKMVCKQPLGIRCVGIWGMPGIGKTTLAKAVFDQMSGEFDASCFIEDYSKAIQEKGVYCLLEEQFLKENAGGAGGTVTKLSLLRDKLNNKRVLVVLDDVRSPLVVESFLGGFDWFGPKSLIIITSRDKSVFRLCRVNQIYEVHGLNEKEALQLFSMCASIDDMAEQNLHEVSMKVIKYANGHPLALSLYGRELKGKKRPPEMETAFLQLKERPPNIFVDAIKSCYDTLNDREKDIFLDIACFFQGENVDYVMQVLEGCGFFPHVGIDVLVEKYVGRHIINRETRQTKRRDRLWEPWSIKYLLEDNGEKENGEHKTTLERAQGPEEIEGMFLDTSNFSFDIKPAAFDNMLNLRLLKIYSSNPEVHHVKNFLKGFLNSLPNELRLLHWENYPLQFLPQNFDPIHLVEINMPYSQLKKLWGGTKNLEMLKTIRLCHSQQLVDIDDVLKAQNLEVIDLQGCTRLQSFPATGQLLHLRIVNLSGCTEIKSFPEIPPNIETLNLQGTGIIELPLSIIKPNYTELLNLLAEIPGLSGVSNLEQSDLKPLTSLMKMSTSNQNLGKLICLELKDCARLRSLPNMNNLELLKVLDLSGCSELETIQGFPQNLKELYLAGTAVRQVPQLPQSLELFNAHGCVSLKSIRVDFEKLPVHYTLSNCFDLCPKVVSNFLVQALANAKRIPREHQQELNKTLAFSFCAPSHANQNSKLDLQLGSSVMTRLNPSWRNTLVGFAMLVEVAFSEDYYDATGFGISCICKWKNKEGHSHRIERNLHCWALGKAVQKDHMFVFCDDNLRPSTDEGIDPDIWADLVVFEFFPVNNQTRLLGDSCTVTRCGVRVITPPNCNTSLEISSSVLSLDPMEVSGNEGEEVLRVSYDGLQEIDKALFLYLAGLFNDEDIDLVAPLIANIIDMDVSYGLKVLADRSLIRVSSNGEIVMYNLQREMGKEILHTESKKTDRLVDNIQSSMIDSKEIEITHSKNRRKKSRAKKVLWSIEEVADQWVWRKYGQKPIKGSPYPRNYYKCTSSKGCSARKQVERSRTDPNMLVITYISEHNHPSPTQRNALAGSTHSPSSSKCSAVTTSDSCRVSQHKDEPDKSHLPSSPASPPYAAMVIKEEDMERRDNMEFDDDVEDTLIPELFPEDFFADLNKLESNSQTMFQSHSSSGGNMENQGRNSSSDDMGANLPNKTL >Al_scaffold_0008_401 pep chromosome:v.1.0:8:2650940:2653255:-1 gene:Al_scaffold_0008_401 transcript:Al_scaffold_0008_401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKX3] MYNIGFNKIVWGRDQNLDNFIKSLSNSSQLNFLAFDGNLLEGVLPESIGNLSKNLSKLFMGGNRFTGKIPESIGNLTGLTLLNMSDNSLTGEIPQEIRNLKRLQVLELAINQLVGRIPDSLGDLGALNEINLSQNNLEGLIPPSFENFKNVLSMDLSNNRLSGRIPNGVLNLPSLSAVLNLSKNLFSGPIPQDVSRLESLVSLDLSDNKFLGNIPSSIKGCQSLEKLNMARNHLFGSIPDELAEVKGLEFIDLSSNQFSGFIPLKFQDLQALKFLNLSFNNLEGRIPNGVIAICVITFLILKRKARKSITSTSSSSLLKEPFMNVSYDELRRATENFNPRNILGVGSFGSVFKGIIGGADVAVKVIDLKAQGYYKGFIAECEALRNVRHRNLVKLITSCSSIDFKNTEFLALVYEFLSNGSLEGWIKGKKVNSDGSVGLSLEERVNIAIDIASALDYLHNDCEMVAKVGDFGLGRVLFDASDGRCHASISSTHVLKDSIGYIPPEYGLGKKPSQAGDVYSFGVMLLELFSGKSPMDESFEGDQSLVKWISYGFQNNAIMEVIDPNLKGLMDNICGAQLHTKIDCLNKIVEVGLACTAYAAGERMNMRDVLRILKAAKGMLVKGN >Al_scaffold_0008_404 pep chromosome:v.1.0:8:2684795:2690110:-1 gene:Al_scaffold_0008_404 transcript:Al_scaffold_0008_404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKX8] MATSSLAVKPTPTGPQVFICFRGAGVRKHFISFLDPALRKANINVFIDEDEYLGSDLVNLLKRIEESEIALVIFSEDFTSSYWCLEELAKIKECKDQGRLKVIPIFYKVKPSVVKYLKGKFGDHFRDQIRNLRHQPERTQKWEDALLSIPESIGMPLAAQRTDKDFITSMVIKIQRLVEHMAVKGNQETEANLPGGSIVPAMKPKREANSQGGSMVLAMKPETEASHQGGFVVSARQLGIAFSDKSRCWSWSSITGRNGLSYILLSLLFTREKSGLRPANKQVSPYIFSSEAIDIVTMKKVYWLKIVGTFKTGDLTPGTKYEVVFVVKLKDNAIGWEKPVTLKLKVIHHNGDNDRVERIENLNDYIGRKWVDILAGVFVVPPKNTPAIITFTMYETEYKKKGLFVKGVTIRPTN >Al_scaffold_0008_406 pep chromosome:v.1.0:8:2698437:2700245:-1 gene:Al_scaffold_0008_406 transcript:Al_scaffold_0008_406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKY0] MASSSSVVKPTPTGPQVFICFRGADVRSHFISYLDPALREANINVFIDDDELLGSDLVHLLKRIEESEIALVIFSEDFTSSYWCLEELAKIKECKDQGRLKVIPIFYKVKPSVVKYLKGKFGDHFRDQIRNLRHQPERTQKWEDALLSIPESIGMPLAAQSEKTDKDFITSMVIKIQKSLDYMAVRREIEANRQGVSIIPARKPKAEANPQGGSMVPAREQEREASHQGGSLVPSMVLAKDLVITHFDKPQVWTWSTINEAPNSAEIEIATSNKLYWLKIVGTITTENLTPGTKYEAVFVVKLENNASGWEIPVTLKLKVVQHDGDDDRVDRTENLNDYIGQNWVDILAGVFVVPPKTTPATIICTMYQYADEYKKKGLVVKGLAIRPTN >Al_scaffold_0008_409 pep chromosome:v.1.0:8:2725209:2729313:-1 gene:Al_scaffold_0008_409 transcript:Al_scaffold_0008_409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKY3] MTESEQIVYISCVKQVRYSFVSHLSEALRRKGIIDVFIDTDDFLSNESQSKVERARVSVVVLSGNSTVCLDKLVNVLGCQRNIDQVVVPVLYGEIPLQVEWDKALNSRGLSSVHQSRNKCTDSELVEEITRDVYEKLFYMEGIGIYSKRLEIENIVCKQPFGVRCVGIWGMPGIGKTTLAKAVFDQMSGEFDASCFIEDFDKVIHEKGVYRLLEEHFLKEKPGTDSTITKLSLLSNKLNNKRVLVVLDDLRNPLIAEPLLGGFHWFGPESLIIITSRDKQVLRLCRVNQIYEVQGLNKKEALQLFLRSASIKNKGEQNLKELSMKVIEYANGNPLALSIYGRELKGKKHLSEMETTFLKLKGHPPFKIVDAFKSSYESLNDREKNIFLDIACFFEGENVDYVMQLLEGCGFLPHVGIDVLVEKCLVTISENRVWMHNLIQDVGREIINKETVQIERRSRLWKPGNIKYLLEDNRGKEENGDPKTTSKRAKGLEQIEGIFLDTSNISFDAEPSAFENMLNLRLLKIYCSNPEIYPVINFPNGSLRYLPNELRLLHWENYPLQSLPQNFDPKHLVEINMPNSQLQKLWGKTKNLEMLKTVRLCHSQQLVDISDLWEAPHLEVIDLQGCTRLQSFPNTGQFLHLRVLNLSHCIEIKKIPEVPPNIKKLHLQGTGIIALPLSTTFEPNHTKLLNFLTENPGLSDALKLERLRSLLISSSYCQVLGKLIRLDLKDCSRLQSLPNMVNLEFLEVLELSGCSKLETIQGFPPNLKELYIARTAVRQVPQLPQSLELFNAHGCLSLELICLDSSKLLMHYTFSNCFNLSPQVINDFLVKVLANAQHIPRERQQELNESPAFSFCVPSHGNQYSKLDLQPGFSVMTRLNPSWRNTLVGFAMLVEVAFSEDYCDTTGFGISCVCRWKNKEGHSHRIERNLHCWALGKAVQKDHMFVFCDVNMRPSTNEGNDPNIWADLVVFEFFPINKQKKPLDDCCTVKRCGVRVITAATGSTSLENILPVLSSNPMKFSGNEEVWRVNYDGLQEIDKALFLYIAGLFNDEDVGLVAPLIANIIDMDVSYGLKVLADRSLISVSSNGEIVMHYLLRQMGKEILHCSSYKVMRLVKSSKSSTTNARNLEPTK >Al_scaffold_0008_410 pep chromosome:v.1.0:8:2736449:2740577:1 gene:Al_scaffold_0008_410 transcript:Al_scaffold_0008_410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKY4] MAISSTVEERPPQHQVFINFRGAELRNGFVSHLVTALQSKDINVFIDKLEDRGKPIEILLDRIQKSRIALVIFSGKYTESVWCMREVAKIKDCMDEGTLEVIPIFYKVEPSTVKYLMGDFGDTFRSLAMNEYDEGKEKWEDALKAVSGIMGTVVDEKSEESEIVKKTVDDIRKALIRIPSEGSQTTSVNPSPNRDTRTSSGEEKHETFGNELRLKELEEKLDRTIKKTCIIGVVGMPGIGKTTLLKELFNKWQNKFNRCALIDEIRGKSNPSEDFDILPKLLVRELLAFNVSTLENVEDPYEVFKGLLLNEKVLVILDDVSKSEQIDALLGKRDWITEGSRIVIATNDMSLLKDWVTDTYVVPLLNHQDGLKLFHYHAFDEANPPEDFMQLSKEFVHFARGLPLALKILGKELYGKGRLQWEEKRKLLAESPSPFIESVFRVSYDELSSDQKKAFLDIACFRSQDVAYVESLLASSEAMSAVKALTDKFLINTCDGRVEMHDLLYTFSRELDPKTSTEDDRTGRRLWRHQDIIKEGKINVVQKEMRAAHVRGIFLDLSQVKGETSLAKDHFNRMTNLRYLKVYNSHCPQECKTENRINIPDGLKLPLKEVRCLHWLKFPLDELPEAFNPINLVDLKLPYSEIERLWEGDKDTPVLKWVDLNHSSMLSSLSGLSKAPNLQGLNLEGCTRLESLADVDSKSLKSLTLSGCTSFKKFPLIPENLEALHLDRTAISQLPDNVVNLKKLVLLNMKDCELLENIPTCVDKLKALQKLVLSGCKKLQNFPEVNKSSLKILLLDRTAIKTMPQLPSVQYLCLSFNDHLSCIPADINQLSQLTRLDLKYCKSLTSVPELPPNLQYFDADGCSALKTVAKPLARIMPTVQNHCTFNFTNCGNLEQAAKEEIASYAQRKCQLLSDARKHYDEGLSSEALFTTCFPGCEVPSWFCHDGVGSRLELKLLPHWHDKSLSGIALCAVISFPGVEDQTSGLSVACTFTIKAGRTSWIPFTCPVGSWTREGETIQSNHVFIAYISCPHTIRCLKDENSDKCNFTEASLEFTVTGGTSEIGKVLRCGLSLVYEKNKNKNSSHEATYDMPVEVSYKEPQRKIKKEVLIDDQRINEKSDELIPSSITRTCGASVTPIMGDLQANVIGGASPSRAVDVLFALCVKLKHLLQTKASFLPSPTDLAE >Al_scaffold_0008_411 pep chromosome:v.1.0:8:2749995:2752232:-1 gene:Al_scaffold_0008_411 transcript:Al_scaffold_0008_411 gene_biotype:protein_coding transcript_biotype:protein_coding description:transmembrane receptors [Source:Projected from Arabidopsis thaliana (AT2G32140) TAIR;Acc:AT2G32140] MAGASLVTSSSSSSSNVSLISTRPQVFINFRGKELRKGFISFLVPALKDNNINVFIDDQEERGKYLTSLFDRMGESKIALVIFSEDYTESKWCLDELVQIKECMDQNKLRVIPIFYKLDPAVVKRLQGKFGDQFRDLEYRYKHKPERPQKWKEAVISVCQTFALFLPEHSDTSDKDFIMLIVKEVGKVLPEGGFSVSASQHSLTMSEAQNEEAVEIYWPNNMTEIFRIGISYRGYLNEIDMEIYISTVQILGSRETKSESAVEIAYLRKVSSLDVEGKFDTRYLSPSTRYEVVLVVLLQYTKFKWKKPVKLKLDLLCSIEQPQECCMSMAGHITNQWIDIPVGEFTTSVTNIGEISFAMYEHECQLWKSGLFVKGVTIRPKY >Al_scaffold_0008_412 pep chromosome:v.1.0:8:2759311:2761726:1 gene:Al_scaffold_0008_412 transcript:Al_scaffold_0008_412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKY6] MYNIGFNKIVWGRDQNLDNFIKSLSNSSLLNFLAFDGNLLEGVLPESIGNLSKNLSKLFMGGNRFTDKIPESIGNLTGLTLLNMSDNSLTGVPQEIRNLKGLQVLELGINQLVGRIPDSLGDLGSLNEINLYISKQSRRFDPAIVQKLQEYLQALKFLNLSFNNLEGRIPNGGIFKDSSNVFMEGNPKLCIHAACRKTRMHGKLLKAIISTCAVGVIAICVITFLILKRKARKSITSTSSSSLLKEPFMNVSYDELRRATENFNPRNILGFGSFGSVFKGIIGGADVAVKVIDLKAHGYYKGFIAECEALRNVRHRNLVKLITSCSSIDFKNTEFLALVYEFLINGSLEGWIKGKKVNSDGSVGLSLEERVNIAIDIASALDYLHNDCEVPVVHCDLKPSNILLNEEMVAKVGDFGLARVLFDASDGRCQASISSTHVLKGSIGYIPPEYGLGEKPSQAGDVYSFGVMLLELFSGKSPMDESFEGDQSLVKWISYGFQNNAIMEVIDPNLKGLMDNICGAQLHTKIDCLNKIVEVGLACTAYAAGERMNMRDVLRILKSAKGMLVKGH >Al_scaffold_0008_414 pep chromosome:v.1.0:8:2768079:2773021:1 gene:Al_scaffold_0008_414 transcript:Al_scaffold_0008_414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKY8] MADSEQLVCISCVSEVRYSFVSHLSEALRRKGISSVIIDVDSDDLLSKESQAKIEISRVSVMVLSRICEPTRVCQNFVNVIECQRNKNQVVVPVLYGESPLLGEWLSVLDLRDLSPVHQSRKDCSDSQFVKEIVRDVYEKLFYKGRIGIYSKLLEIEKMVCKQPLGIRCVGIWGMPGIGKTTLAKAVFDQMSGEFDASCFIEDYSKAIQEKGVYCLLEEQFLKENAGGAGGTVTKLSLLRNKLNNKRVLVVLDDVRSPLVVESFLGGFDWFGPKSLIIITSRDKQVFRLCRVDQIYEVLGLNEKEALQLFSFCASIDDMAEQSLHEVSMKVVKYASGHPLALSLYGRELKGKKTLPEMETTFLELKEHPPTMFVDAIKSCYDTLNDREKNIFLDIACFFEGENVDYVMQLLEGCGFFPHVGIDVLVEKCLVTITENQVRMHNLIQNVGRQIINRETRQTKRRDRLWEPWSIKYLLEDNGEKENGEHKTTLERAQGPEEIEGMFLDTSNFSFDIKPAAFDNMLNLRLLKIYSSNPEVHHVKNFLKGSLNSLPNELRLLHWENYPLQFLPQNFDPIHLVEINMPYSQLKKLWGGTKNLEMLKTIRLCHSQQLVDIDDVLKAQNLEVIDLQGCTRLQSFPATGQLLHLRTVNLSGCTEIKSFPEIPPNIETLNLQGTGIIELPLSIIKPNYTELLNLLAEIPGLSGVSNLEQSDLKPLTSLMKMSTSNQNLGKLICLELKDCARLRSLPNMNNLELLKVLDLSGCSELETIQGFPQNLKELYLAGTAVRQVPQLPQSLELFNAHGCVSLKSIRVDFEKLPVHYTLSNCFDLCPKVVSDFLVQALANAKRIPREHQQELNKTLAFSFCAPSHANQNSKLDLQLGSSVMTRLNPSWRNTLVGFAMLVEVAFSEDYYDATGFGISCVCKWKNKEGHSHRIERNLHCWALGKAVQKDHMFVFCDDNLRPSTDEGIDPDIWADLVVFEFFPVNNQTRLLGDSCTVTRCGVRVITPPNCNTSLEISSSVLSLDPMEVSGNEGEEVLRVSYDGLQEIDKALFLYLAGLFNDEDVDLVAPLIANSIDMDVSYGLKVLADRSLIRVSSNGEIVMYNLQQEMGKEILHTESKKTDRLVDNSQSSMIDSKEIEITHSKNRRKKSRAKKVVRVLDPGSRSSEEVADLWVWRKYGQKPIKGSPYPRNYYKCTSSKGCSARKQIERSRTDPNMLVITYITEHNHPSPTQRNALAGSTHSPSSSKCSAVTTSDSCRVSQHKDEPDKSHLPSSPASPPYAAMVIKEEDMERRDNMEFDDDVEDTLIPELFPEDIFADLDKLESNSQTMFLSNSSSGGNMENQGRNSSDDMGINLPNKTL >Al_scaffold_0008_417 pep chromosome:v.1.0:8:2778847:2780142:-1 gene:Al_scaffold_0008_417 transcript:Al_scaffold_0008_417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKZ1] MARSGVDETSESGAFVRTASTFRNFVSKDPHSQFPAESGRYHLYISYACPWACRCLSYLKIKGLDEAISFSSVHAIWGRTKETDDHRGWVFPDSDTELPGAEPDFLNGAKSVRELYEIASPNYEGKYTVPILWDKKLKTVVNNESSEIIRMFNTEFNGIAKNPSLDLYPSHLRDTIDETNEWVFNGINNGVYKCGFARKQEPYNEAVNQLYEAVDRCEEILRKQRYICGNTFTEADIRLFATLIRFDEVYSVHFKCNKRLLREYPNIFNYIKDIYQIQGMSSTVNMEHIKQHYYGSHPTINPFGIIPHGPNIDYSSLHDRDRFSS >Al_scaffold_0008_420 pep chromosome:v.1.0:8:2785543:2789170:-1 gene:Al_scaffold_0008_420 transcript:Al_scaffold_0008_420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein [Source:Projected from Arabidopsis thaliana (AT5G44990) TAIR;Acc:AT5G44990] MILCTSMANEKSDFTRTATSFRNFVSQKSDSQFPAESGRYHLYISYACPWASRCLAILKFKGLEKAISFSSVQPLFKKTKESDEHMGWVFPDSDTEVLGAERDHLNGAKSVRELYDIASSNYTGKYTVPVLWDKKLKTIVNNESSEILRMFNTEFNHVAENPSLDLYPPNLRAIIDETNEWIHDEINNGVYKCGFAKNQETYDVAVKQLYDALDRCEEILRNQRFLCGNTLTEPDIRLFVTLIRFDEAYAVIFKCDKRLVREYYNLFNYTKDIYQIAGMSSTVKMDHIKQNYYGSFPSLNPLEIIAPGPNIDYSLPHDRHRFSSESDYARLELLESASFVCEMSALLIEGSL >Al_scaffold_0008_422 pep chromosome:v.1.0:8:2800868:2803370:-1 gene:Al_scaffold_0008_422 transcript:Al_scaffold_0008_422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKZ8] MELINRVVDLGIQHLDVFKYPCSAYGFMCQNIYKSKTLVSLNLVNIELKNPEFVVSLPCLKIMHLFNVCHGEDGPLVVERLISGCPVLEDLKLIRPFNIWTQKVLKNFKLFLHVRSQTLKSLGLYFAMNRGDTDFSVEIDAPRLKYMSVEQSQTDSIAVKNLSSLFRIDIRIRDHPSRPDDYNIFCDFLTGISSVRHMIIYIWSLERLYRSSKLKPIPKFHNLYHLKAEKSRFNRFERYLGYAIGGIVNCKMYVCCPLWLALFTLVWE >Al_scaffold_0008_423 pep chromosome:v.1.0:8:2805150:2805852:-1 gene:Al_scaffold_0008_423 transcript:Al_scaffold_0008_423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKZ9] MELICTVVDRGIQHLDVCYYSLRYGFMRENIYKSKTLVSLKLFNLELKKPEFVASLPCLKIMHLGRVCRGEDGPLVVEKLISGCPALEYLKLIRPFDISSQKVLLFLRVSSQTLKSLGLYYAFNKGDTDFSVEIDAPRLKYMIVKESQSDNIVSKTYDNRPVESREALP >Al_scaffold_0008_424 pep chromosome:v.1.0:8:2809287:2810735:-1 gene:Al_scaffold_0008_424 transcript:Al_scaffold_0008_424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLE8] MPMNMFQSKTLVSLRLANVELENKDIVVSLPCLKIMHLDNICYGEDGPLIVEKLISGCPVLEDLTVVRIYYEDLETMPVLRVKSQTLKTFHYMFKWGMPTTYFSVEIDAPELKYMRFRDSQSDKILVKNLSSLFKIDLDTEFNVKFGDSPLESEDLRKRDIIRGFLTGISSIFSVGIVITDKESDQSSLVEI >Al_scaffold_0008_425 pep chromosome:v.1.0:8:2822491:2823355:-1 gene:Al_scaffold_0008_425 transcript:Al_scaffold_0008_425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLF0] MELICTVVDRGIQHLDVCYCSSVKRYGFLRENIYKSKTLVFLNLFNVELKNPKFVVSLPYLKIMRLGRVCRGEDGPLVVEKLISGCPVLEDLKLIRPFDTLTHKVLLFLRVSSQTLKNLGLYFATYKGDTDFSVEIDAPRLKYMTVKDIQSDNIVVKNLSSLFMINIRTKYIPSRPKDFKKFCDFFTGISSVRHMIIDLPILERLYPNYNFGPIPKFLNLYYLEAEVSRSSLQLLSAFLESSPNLKTLILVKICSNL >Al_scaffold_0008_426 pep chromosome:v.1.0:8:2825918:2827571:-1 gene:Al_scaffold_0008_426 transcript:Al_scaffold_0008_426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLF1] MEFNLGSHLQKFKIKNDHFKYNVSSDWIATAVDRGVRVLDVEAKNVLFVIDTMPLNMFQSKTLVSLRLANVELENQDIVVSLPCLKIMHLDNICYGEDGPLIAEKLISGCSVLEDLTVESEFLGQNINLDTDFNVTFGSSLE >Al_scaffold_0008_43 pep chromosome:v.1.0:8:165616:167441:-1 gene:Al_scaffold_0008_43 transcript:Al_scaffold_0008_43 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G47470) TAIR;Acc:AT5G47470] MFFCVNLYRSVLNLLEERMKKEMIEEMVIVGGLVMVQFVYAGNSLLMSYLMSLGLGPFTIVIFSTFATFIILSPFAILFERKQWPNELSLRLIGKLVLISFAGVTLFQSLFLEGIRLTSPAMATAMPNLAPGLIFFLAWIVGLEKMNLKCVYSKLKILGTLLCVFGALTMSIMHSTSISHKEEDDTPVFVFDRDKVVGCIYLLGAVFVLSTNVVLQASTLAEFPAPISLSAITALLGMLITTVVLLLQNRKAKVLSSSFVSFGNLVGYSLLAGTVSGACVSFNGWAMKKRGPVLVSMFSPFATVISVAFSVLTLGESVSLGSVGGMVLMFVGLYLVLWAKGKEGFSEIESFESEFDSKKPLLS >Al_scaffold_0008_436 pep chromosome:v.1.0:8:2875768:2878612:-1 gene:Al_scaffold_0008_436 transcript:Al_scaffold_0008_436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLF6] MAASSSSSSEILPKSWQVFINFRGAELRDNFISHLEGALALAGIKYYIDTKEVPSEDLSVLFERIEQSEIALSIFSSKVTEYLNGISKASGAENVDTFSLGSYEFPYSSLEISVTDVDYGMTPLKRQAMIDELCRVGGEHLNDISTLSSNGNISSGHIEQSPPHYGIELRLKELEGKIQFDCVETMIVGIVGMPGIGKTTLAETLYRKWEHKFERSMFFPDVSKMASQPGLQKRLLEELLKDIHYKTGYTENEHEFCKDDLLEKKVFVVIDDVSSKEQLKSLFGQCDWIKKGSKIVITSSDKSLLKELVDDTYVVPRLNSRDSLLWFTNHAFGLDDAEGNFVKLSTHFLNYAKGNPLVLRAFGVELRGKDEAYWEQRIGTLAQSSNKMIQYVLRKRYDELTERQKDAFLDVACFFKSENVSYVRCLVNSCESKSTMVWHDIRDLQDKFLVNISGGRVEMHDIVCTFAKEIASQALTEENTKVHLMLRNYQDIICWLKNKLEMKNVRGIFLDMSEVPEETIFDSHIFSKMCNLRYLKICTSACPKQGEGIFTFDIYKEIRLPLHKVRYLHWMKYPWEKLPSDFNPKNLVDLELPYSSIKQVWVGVKDTPKLKWANLSYSSKLTNLLGLSNAKNLERLNLEGCTSLLKLPQEMENMKSLVFLNMRRCTSLTFLQRMNMSSLKILILSEPHGTQGDGFLGKSGSIIFRWNCNKGTPSSHRGSDETCHLKYERMYRTRESSQTSWKPESS >Al_scaffold_0008_443 pep chromosome:v.1.0:8:2920521:2925032:1 gene:Al_scaffold_0008_443 transcript:Al_scaffold_0008_443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLG5] MCGDEGDTKTILVPSSQTFLLQPLQFQGPCGSSPVFQMDGEIVAPSDESLWLNLDQKSKKWISFSNVNDLTVVGSGSINGFGSSFWESLDFNNCNNLKISGITSKDSPRNHISIDSCNIVMISNIQLFAPETSPNTDGIDISTSTNVDISKSTIGTGDDCIALNTGCVNINITEIVCGPGHGISIGSLGANGQVAKVENVQVTHCIFNKTTNGARIKTCQGGEGYVKHIYFEHITIIDAKNPIIIDQHYVDKGHSSFESNKDSEAVAISDVKFIDFHGTTPNENIITLNCSKIKPCKNIVFNDINITTEEGGKPKSDCNYVMGNSECIKSRLLFLQETKSNRKKVG >Al_scaffold_0008_459 pep chromosome:v.1.0:8:3012959:3016799:1 gene:Al_scaffold_0008_459 transcript:Al_scaffold_0008_459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase [Source:Projected from Arabidopsis thaliana (AT5G44700) TAIR;Acc:AT5G44700] MQQNSVLLALFLLCFSIGSGSGQPGQRDDLQTLLELKNSFITNPKEENLLRDWNSGDPNFCNWTGVTCGGGREIIGLNLSGLGLTGSISPSIGRFNNLIHIDLSSNRLVGPIPTTLSNLSSSLESLHLFSNQLSGELPSQLGSLVNLKSLKLGDNEFNGTIPETFGNLVNLQMLALASCRLTGLIPNQLGRLVQIQALNLQDNELEGPIPAEIGNCTSLVMFSAAVNRLNGSLPAELSRLKNLQTLNLKENTFSGEIPSQLGDLVNLNYLNLINNELQGLIPKRLTELKNLQILDLSSNNLTGEIHEEFWRMNQLVALVLAKNRLSGSLPKTVCSNNTSLKQLVLSETQLSGEIPVEISKCRLLEELDLSNNTLTGRIPDSLFQLVELTNLYLNNNTLEGTLSSSIANLTNLQEFTLYHNNLEGKVPKEIGFLGKLEIMYLYENRFSGEMPVEIGNCTKLKEIDWYGNRLSGEIPSSIGRLKELTRLHLRENELVGNIPASLGNCHRMTVMDLADNQLSGSIPSSFGFLTALELFMIYNNSLQGNLPHSLINLKNLTRINFSSNKFNGTISPLCGSSSYLSFDVTDNGFEGDIPLELGKCLNLDRLRLGKNQFTGRIPWTFGKIRELSLLDISRNSLTGIIPVELGLCKKLTHIDLNDNFLSGVIPPWLGNLPLLGELKLFSNQFVGSLPTEIFNLTSLLTLSLDGNSLNGSIPQEIGNLEALNALNLEKNQLSGPLPSSIGKLSKLFELRLSRNALTGEIPVEIGQLQDLQSALDLSYNNFTGRIPSTISTLHKLESLDLSHNQLVGEVPGQIGDMKSLGYLNLSYNNLEGKLKKQFSRWQADAFVGNAGLCGSPLSHCNRAGSNKQRSLSPKTVVIISAISSLAAIALMVLVIVLFFKKNHDLFKKVRGGNSAFSSNSSSSQAPLFRNGGAKSDIKWDDIMEATHYLNDEFIIGSGGSGKVYKADLRNGETIAVKKILWKDDLMSNKSFNREVKTLGTIRHRHLVKLMGYCSSKAEGLNLLIYEYMANGSVWDWIHANEKTKKKEILDWETRLKIAVGLAQGVEYLHHDCVPPIVHRDIKSSNVLLDSNMEAHLGDFGLAKILTGNYDTNTESNTMFAGSYGYIAPEYAYSLKATEKSDVYSMGIVLMEIVTGKMPTETMFDEETDMVRWVETVLDTPPGSEAREKLIDSDLKPLLSREEDAAYQVLEIAIQCTKTYPQERPSSRQASDYLLNVFNNRAASYREVQTDTDK >Al_scaffold_0008_460 pep chromosome:v.1.0:8:3017525:3019948:1 gene:Al_scaffold_0008_460 transcript:Al_scaffold_0008_460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLI1] MASRDGNKKEKNLKVFVGDRFNSCRVIIVDDSGSNKDWKSKEVLECFSRSSVFETGESLQIPSSYPLETNSNPFYNPGQPETSSRNGVIMGAVQFWEARSQQSSSSLSQSLIDSRTSSGLSLSDISNSSSFSEFLNDQARKNNESSSEEIDSDMSNVSNSYENDGEGRCFLELASCSTPRIRGRQANEDVCKMILGNRKNELEWLAGRYAVSKFSPRGCGRLQYMLRIRSFERCIGTQEKYRSNMRDRYRTNTEKGSGSNPNSAVETKNLSRLISFRVENVLQETMDKSNTMENQAAAYKPEIANKPVFTEAASNENGSKKPEIERKATEEKEGLRKAIVKEGEETNKTMEIEEYRSNPQEGTSMVCLDRRKEEETVSHVLRECGKDDIFSQNDEDTSKAEKQEASEPLCLILESPIFLNDLDENETEYEENEDYDGESVYHDWISDVSRPRSYWEDLKKERELEVMNKNSEKDDMWNLIKWRTVSSFLEGGFREKIDKIMISCMQKRLEVESNLVEEEEEEDNEESLVECSARCQENLEENETEKENLEPVTETQMICDLREQIKLLQREMLELKILVKSCVDFQKSMQFESLSDSLERNCSVCLEMPIDSLLYRCGHM >Al_scaffold_0008_465 pep chromosome:v.1.0:8:3041662:3042880:1 gene:Al_scaffold_0008_465 transcript:Al_scaffold_0008_465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLI7] MASGGELGFSGSGREREEKRESREVRIENETKRRWWKSLASLNSHVVAVASLDGGGGAKPE >Al_scaffold_0008_469 pep chromosome:v.1.0:8:3067294:3067795:-1 gene:Al_scaffold_0008_469 transcript:Al_scaffold_0008_469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MLJ2] ACYLAGELVVARKHCEASIKILKRLYEDEHVVIGNEMVKLASIQLASGDRSGAWDTTKSLSQIFSKYYGSHAETLFSYLPCLKQEAAKAMNLSSS >Al_scaffold_0008_47 pep chromosome:v.1.0:8:184727:186278:-1 gene:Al_scaffold_0008_47 transcript:Al_scaffold_0008_47 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPT6] MGILWQYPITDASNISKPTRSGKDKPKESSEKVNPQTQDMKIKALVTYLPSFHRSSRPCLPELSEKEVSSTGGGSPRGRIADLEKEVQEHKIIEEKNVRAVYKANQIRDKMKEEEAGCNELEVTNQDLSKKLKGKNMYFESTWGKEISILRDETRSESFMTNKNSTRLKRDLSKAKQF >Al_scaffold_0008_470 pep chromosome:v.1.0:8:3069670:3070456:-1 gene:Al_scaffold_0008_470 transcript:Al_scaffold_0008_470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLJ3] MPNSIEILKRLYEDEHVVIGNEMVKLASIQLASGDRSGAWDTTKSLSQIFSKYYGSHAETLFSYLPCLKQEAAKAVNLSSS >Al_scaffold_0008_473 pep chromosome:v.1.0:8:3078307:3081843:-1 gene:Al_scaffold_0008_473 transcript:Al_scaffold_0008_473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLJ6] MERGRNLDQKSNFLILPHSQWSDHFFTVSITDSDLDVLAREIEEFKPKVRENIFSLSSDAIKRKILSIHLLISLDVFNRFKGNNGEFKECLIENVKGMLSLYEAVHFGTTTDHILDEASRFTLDHLEPLTTGHTAIPPHLLKLIRKALHIPQHRNSQALVARAYISFYEQEEDHDETLLKLAKLNFKFLQLHYYQELKIITMWWRELDHTLNLPRNIKERTIESWFSALVINFEPQVSLGRIMSAKLYLLITFLDDACDIHGSVSEVASLVDCLERWDPNHMENLQGHMKSSFKFVMYVFKEYEEILRSQERTFMLEKMIEEVFKILVRSNLELNKWARAGHMPNFDEYMEAGEAEVGAYAAMACSFIGLGDIAKKKDFELLRSRPKLVRSLAAKTRLMDDMTDYEDDMKKGYTANAINYYMKQHGITKEEANTEFKKMIGNINKIVNEEYFKMTNISRPILNQFIGFGRLLDVLYTADDVFNHRDGKFKDYLFTLLIDPIHL >Al_scaffold_0008_477 pep chromosome:v.1.0:8:3110312:3110789:-1 gene:Al_scaffold_0008_477 transcript:Al_scaffold_0008_477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLK0] MTIECAGMLEQMLLAQEQECVCEFFLNPTTTDVVCAIIASQFAVYYEEALKAQDSPFLKDHFEERWKNHVELKAVLFYSEACVRHEENDTIPAKLQG >Al_scaffold_0008_481 pep chromosome:v.1.0:8:3130790:3133192:-1 gene:Al_scaffold_0008_481 transcript:Al_scaffold_0008_481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLK6] MGKKTSSKLSQVFIVVLLCIFIYRTDSAISSHHEQLPISGRRMMANTPYPYGGIYVKPPPSKSKGSYLKVKRLETYYKPNSDIGTGPSHSGHGGSTIDQVSSP >Al_scaffold_0008_482 pep chromosome:v.1.0:8:3136668:3136907:-1 gene:Al_scaffold_0008_482 transcript:Al_scaffold_0008_482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLK7] MLPVDSESETGLRTATRDELIVSFTLAWLHSTLEGFGAGVLPCLYHQVYKQDQQGEL >Al_scaffold_0008_483 pep chromosome:v.1.0:8:3142272:3143364:-1 gene:Al_scaffold_0008_483 transcript:Al_scaffold_0008_483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLK8] MEKKSASQLGLVLFLLVVLLVPTHTESALPSQQESLLVIGSRKLMSSYKTNSNIDFGGSISGQAGGGKQNP >Al_scaffold_0008_484 pep chromosome:v.1.0:8:3162660:3163439:-1 gene:Al_scaffold_0008_484 transcript:Al_scaffold_0008_484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLK9] MPKSKKQTRSEKKNRTLLMVICKVAGNLRSGGLKTPSFSAPWQNEKPYQKSPTSDKDSFRRRTKTTRDLPESLATLTGGSTGVGSRPSNLTHWSLTFSSRHQISRIRPSKSTITGPVSTKHNRSKGCGEIHTATDGAEPLLTPGTLKRRQIPDDDDQTTGRESSDTPTKLAEEEPLPQN >Al_scaffold_0008_485 pep chromosome:v.1.0:8:3168964:3170393:-1 gene:Al_scaffold_0008_485 transcript:Al_scaffold_0008_485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLL0] MQLAFSGDGFTRIDCLRPFPSLTPVLFSPLFPCCSRPMHRLRWRLGKFVEEIAIYVGFFLASSPDLLSQSQSSASEVTFNKADDEVIGRAIAIKIFSNIPSIQLRFSLSRKTSTSDEPKPKRLRKAKDTKTSSSILNMP >Al_scaffold_0008_487 pep chromosome:v.1.0:8:3176115:3176979:-1 gene:Al_scaffold_0008_487 transcript:Al_scaffold_0008_487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLY7] MGKKFSSQLRQILVLVMLLIVFPCVSQSAPLSVTGFGHQTGKTDHTNKNSAARILYQSAKPARFKVKARGIEDVGQERTHSINIKKSGRSVEKTHQSEGRRLSIYFPKAGIRAGPSKSGQGGGRIPVAAP >Al_scaffold_0008_490 pep chromosome:v.1.0:8:3202192:3205571:1 gene:Al_scaffold_0008_490 transcript:Al_scaffold_0008_490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MLY9] DLDNKPKIVVPSHSMHLIWYVPQEEDNVAQSQSRLPPPSPTALTSPAPMKEPIPSPPLQSQSRTAAFIPTKNSKSQITPSPNPSLHPNHRTVAPSGNSASPFSSSPNCIGSPEERDFSTLSHRSMGWSLGRRRGVGGQYRERRRFGGDGSVHIGFDLLRH >Al_scaffold_0008_491 pep chromosome:v.1.0:8:3206457:3207046:1 gene:Al_scaffold_0008_491 transcript:Al_scaffold_0008_491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MLZ0] MVAATDCPNKFKSRGDKIAELLFSCRVSRCIGCDHLELSIHGDDEANRGRGTTGDGGGGTAVDEDYEVGGSKESKANIRLLVIYTFDEAHALSDEIEELSVVSKDVASIKEILLNKEDDPNSVLLDSLRHLKLMSLNVDILKVGFLVDS >Al_scaffold_0008_493 pep chromosome:v.1.0:8:3209379:3209717:1 gene:Al_scaffold_0008_493 transcript:Al_scaffold_0008_493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLZ2] MFQDEMRKAKYMRQRQTQVRLFVWSPQPPAATKRTTTCGNVAAAAAAAACGDETNRLNS >Al_scaffold_0008_494 pep chromosome:v.1.0:8:3212224:3212400:-1 gene:Al_scaffold_0008_494 transcript:Al_scaffold_0008_494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLZ3] MMRRVNSSKSGHGLGGGGAGDGHGVYHLPLPSPPPPLLPPSRLPSPSIPPPPEALSLP >Al_scaffold_0008_495 pep chromosome:v.1.0:8:3215925:3217022:-1 gene:Al_scaffold_0008_495 transcript:Al_scaffold_0008_495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLZ4] MAKKLGLMILLLVIMLVSCSKSNDCALASPQKSRPSSEWRRKLIPVRSSRSPRSPSYAPRNPPLPPPPPPLSPSSPSN >Al_scaffold_0008_496 pep chromosome:v.1.0:8:3218286:3218688:-1 gene:Al_scaffold_0008_496 transcript:Al_scaffold_0008_496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLZ5] MAYYKHDGAIGTPPSRSGRGGGHGKRMMPYYNPNAPTQTPTLRSRRGGGGHNDTHNS >Al_scaffold_0008_498 pep chromosome:v.1.0:8:3231622:3231828:1 gene:Al_scaffold_0008_498 transcript:Al_scaffold_0008_498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLZ6] MLFSKHGDGAGDNSDDREDRRLNGDNDGGILIPACRYSVESEGDESGDEDGEIYVPSRLSSDRHSVIN >Al_scaffold_0008_499 pep chromosome:v.1.0:8:3233260:3233776:1 gene:Al_scaffold_0008_499 transcript:Al_scaffold_0008_499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLZ7] MIRGIMRGRGKDVSASSLPSSANLKIVKSWNMRGWNNEFILFQHSSFRFQATRGRTSKGKEKKQRKGRGRSKGNHERRKEERRRKFSPPPRFPISLTFLFAVSLPNELVTDNLSAEQERTKQQLYFI >Al_scaffold_0008_5 pep chromosome:v.1.0:8:20730:21805:-1 gene:Al_scaffold_0008_5 transcript:Al_scaffold_0008_5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNI8] MATRGAVAASTIWKHRRNLSLRSLSHHFNSNFTPRIIPTDTNFIKSCVINFISSMIPKVLHYIVLIMILLVVIKYQVRAIQGASVDPVVTPLKNREEPKPQNWKIKMLYDGDCPLCMREVNNMLKERNEKYGTIKFVDISPEDNQGLDYKTVMGQIHAIESDGNVVTGVEAFRRLYEEVGLGWVYTITKFEPFPKRGPNPVQFSLQKLQEIPDPIEHTKKGLAKDLSLQMLFQIQLPETMCSSSQKDL >Al_scaffold_0008_500 pep chromosome:v.1.0:8:3235258:3235893:-1 gene:Al_scaffold_0008_500 transcript:Al_scaffold_0008_500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLZ8] MAKNLGIFLVLVVLLVPCSINYALASAQPQESLPQIEWRRMMITVRSSTSQRARKRDIPPSPSPSSPPSLLPPAPGL >Al_scaffold_0008_502 pep chromosome:v.1.0:8:3255523:3256703:1 gene:Al_scaffold_0008_502 transcript:Al_scaffold_0008_502 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 1B1 [Source:UniProtKB/Swiss-Prot;Acc:D7MM00] MAKLALAATSGKSCKILLGLRLLAFSATLSAAIVMGLNKETETFVVGKVGNTPIKATFTAKFDHTPAFVFFVVANAMVSFHNLLMIALQIFGGKMEFTGFRLLSVAILDMLNVTLISAAANAAAFMAEVGKNGNKHARWDKICDRFATYCDHGAGALIAAFAGVILMLIISAASISRLAQQNKCCSTTASPSVVP >Al_scaffold_0008_503 pep chromosome:v.1.0:8:3258941:3259297:1 gene:Al_scaffold_0008_503 transcript:Al_scaffold_0008_503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tapetum specific protein TAP35/TAP44 [Source:Projected from Arabidopsis thaliana (AT5G44540) TAIR;Acc:AT5G44540] MSKISKASSLCLLLLVFFLFSSRPAFSLRGPKLQSEPKLAQTLMDDSSSMSKIDSKSAKSMIAGFFSHKFPLKGWPFPKYPPFTMVNPNIPTNPSGAQEESVKLPSSPSKDNKDGGNA >Al_scaffold_0008_506 pep chromosome:v.1.0:8:3268270:3270069:1 gene:Al_scaffold_0008_506 transcript:Al_scaffold_0008_506 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44520) TAIR;Acc:AT5G44520] MVIATASTPVSLSSSVLFTRRRNFKRFSVPPVTASLSPEVSPLLRAAHHTVDKYVKSGMIIGLGSGEASDMAIRYLGHQLRSGSLQNVVGVPMSARSASEAAKSGIPLEYFRDGFQIDFAFHDADAVEENTLIAVIGRRRISQEDDYILKQKSIVKAADEAVFMIKEEQYKAGLEGSVPVLVQSLNWLAIAEEIDDLYLGDAEVWRRASVENAGPLGGDFPIVTSDGHNILDVIFTTPIPSLADVATSLDKIDGVVDHGLIIKTRCTVMIAEETEVRSVTLQTSAVEGGV >Al_scaffold_0008_509 pep chromosome:v.1.0:8:3278060:3278388:-1 gene:Al_scaffold_0008_509 transcript:Al_scaffold_0008_509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM07] MSMSKSSKMLQFINYRMRVTIQDQLLFIGEEMSYGEVGRFYPSACVRNVSGEEATVRRRLGNGERLY >Al_scaffold_0008_510 pep chromosome:v.1.0:8:3282921:3283289:1 gene:Al_scaffold_0008_510 transcript:Al_scaffold_0008_510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM08] MAFKNVIFLLAVLCIALSANAQLPQFPAPFPFPFPFLPSPGVPGLHDITKCLTSFMNIPGCIAEFSQSILIGKFGNIGPACCKAILEAETNCIPQLPFNPFFPPMLKEQCSKTVGALPPTKK >Al_scaffold_0008_511 pep chromosome:v.1.0:8:3284788:3285156:1 gene:Al_scaffold_0008_511 transcript:Al_scaffold_0008_511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM09] MAFKNVIFLLAVLCIALSANAQLPQFPAPFPFPFSFLPSPGVPGLPDITKCLSSFMNIPGCIAEFSQSILIGKFGNIGPACCKAILEAETNCIPQLPFNPFFPPMLKEQCSKTVGALPPTKK >Al_scaffold_0008_512 pep chromosome:v.1.0:8:3292117:3292485:1 gene:Al_scaffold_0008_512 transcript:Al_scaffold_0008_512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM10] MAFKNVFFLLAVLCIALSANAQLPQFPAPFPFPFPFLPSPGVPGLPDITKCLTSFMNIPGCIAEFSQSILIGKFGNIGPACCKAILEAETNCIPQLPFNPFFPPMLKEQCSKTVGALPPTKK >Al_scaffold_0008_514 pep chromosome:v.1.0:8:3311620:3311976:1 gene:Al_scaffold_0008_514 transcript:Al_scaffold_0008_514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM12] MAFKNVIFLLAVLCIALSANAQLPQFPAPFPFPFPFLPSPGVPGLPDITKCLTSFMNIPGCIAEFSQSILIGKFGNIGPACCKAILEAETNCIPQLPFNPFFPPMLKEQCSKTVGALPP >Al_scaffold_0008_515 pep chromosome:v.1.0:8:3315237:3315608:1 gene:Al_scaffold_0008_515 transcript:Al_scaffold_0008_515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM13] MAFKNVIFLLAVLCIALSANAQLPQFPAPFPFPFPFLPSPGVPGLPDITKCLSSFMNIPGCIAEFWPVANPLRKFVNIGPACCKAILEAETNCILQLPFNPFFPPMLKEQCSKTVGALPPTKK >Al_scaffold_0008_516 pep chromosome:v.1.0:8:3321519:3323103:-1 gene:Al_scaffold_0008_516 transcript:Al_scaffold_0008_516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MM14] IENSCLHKLKLSIRKKEENDNKSCVTRWIDFVAKSKPKHLDVECLLWKSESLEVMPLSLYISKTLLYLRLHGVMLGNVESISLPCLKTMHLEQNVYANETCLEFLISSCPVLEDLSITRTVSDNVTVLRVFSKTLTSLSVAFDYSEHRRGILGFNSLDSGVLIDAPRLKYLKFRNELSRSKIVSNLDSLAKVEIVGLFAIGKPSNQVARNFFIGISRVKDMIISDVAMRPGLVMGEMGGVVCATDYSYLLGPAGQEIYD >Al_scaffold_0008_517 pep chromosome:v.1.0:8:3323744:3325841:-1 gene:Al_scaffold_0008_517 transcript:Al_scaffold_0008_517 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44480) TAIR;Acc:AT5G44480] MLNSSVVRTQRRNPRPLSLGEMDCLEPKTKNNLTGKLLLVASLLILAVIVISQSSSFTSPSAFSRREEGVTHVLVTGGAGYIGSHAALRLLRDSYRVTIVDNLSRGNLGAVKTLQRLFPQTGRLQFIYADLGDPIAVEKIFSENAFDAVMHFAAVAYVGESTLYPLKYYHNITSNTLGVLEAMARHKVKKLIYSSTCATYGEPEKMPITEDTPQVPINPYGKAKKMAEDMILDFSKNSDMAVMILRYFNVIGSDPGGRLGEAPRPELREQGRISGACFDAARGFIPGLQVKGTDYKTSDGTCIRDYIDVTDLVDAHVKALVKAQPRKVGIYNVGTGKGRSVKEFVEACKKATGVEIKVDFLPRRPGDYAEVYSDPTKILKDLNWTARFTNLQDSLQVAWRWQKLHPHGYASY >Al_scaffold_0008_53 pep chromosome:v.1.0:8:245750:251264:-1 gene:Al_scaffold_0008_53 transcript:Al_scaffold_0008_53 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPU1] MLPHSYTVDSLSQSQDLASAILSASTPSNISAACSSVESFLHSHTPDQCRHFFSVTFPSLICKIFGFGDATAASPAPSSSLRPNGWIDVISAANDLDLAERVFNLLSPSGILMSSIFAVDKLALVKYVFPTERLPEYARFMLSSEKDRSALSNLCPFLKGKIEEDSLRGSLYEVRLNVFEYYMFWLSYYPVCRGNNESSAVNPIQKRKMFKLENWTLIKGFPGSNKRDSDQKLECNLYLRLLYSYLKAFVPVFDLNAHQPYRSSLLHYGNGYDGSVMTRAEFLVNVFVHYWLVENDFSPFPVVTAKSVGVSPPFRSAVEEIPPTCGLEEVVKLLVKYLNLSWVTSGVGSESYIEYGESPRWKTPTSGSSFHVANLSLRPLTSWNTHLQRPLYRYILRSFLFCPIGSSIKNASQVFTIWVVYLEPWMISLDDFSDLEAALNGSVKDVKKEESYESRVCGYTSLWQSYVISNYLYYSSLVMHFIGFAHKFLHTDPEIITQMVLKVMSTLTSSKELLVLVKNIDKAFHSKQTGPGNSKVNELSRFAPSIREQLKDWEDGLCESNADGSFLHENWNKDLKLFSDGEDGGQQLLQLFILRAEAELQTVSEKNLTEALKCVDSLKSAVSNFFGGHVIKPIAFSLEPDHPQKIRDELFKPRGAGNQIAGIVKYKGDWMTRPVSEDEVAWMAKLLINISIWLNERLGLNKSETNKEKKENSEAVSYVDISGEDVGNVGGPGDAARMLLRGVVMVCGTVLQLMRRFGVRVNLRIISAVFTTMAAPFFSTPFQPYVYQSQQDTITPFQILGGESQVVQIMLKSEEKVIAKPASMCYMSGSIEMENTYTPEQEVGVLQWILGKSVSSVLLRNTGQNDGFVGIAAPYLARILPIDLAMFGGEILCQPDAFLCSVHDVKIVNSVDQRARNIVAAGAEGFLRQRLSGQGLAFILAGGSVLQKVLEVGEVFSIDVSCIAALTPSIDVQIKNNAPLRRAVFGGDNVVMATLTGPGIVFIQSLPFHRLSQRIARSVTSPNMRENPKLLIQIAIFAFLAYAVILSSLILTEV >Al_scaffold_0008_534 pep chromosome:v.1.0:8:3473069:3474835:-1 gene:Al_scaffold_0008_534 transcript:Al_scaffold_0008_534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44330) TAIR;Acc:AT5G44330] MWRRESRDATGGGFLTPPPSWNTTRRVYVAMPMSERKRSSLIKNQESFRVPTGDSPYVRAKHAQLVSKDPDRAISLFWAAVNAGDRVDSALKNMVVVLKHLDRSDEGIEAIKSFRYLCPFESQDSIDNLLLELYKKSGRITEEAELLEHKLRTLEHDTHYGGRMKIAKRSHGEQNNMTIEQEKAQILGNLAWVHLQLHNYGIAEQYYRNALSLEPDNNKLCNLAICLMRMDRIPEAKPLLEDVRQSLGNQWKGEPFRNSFERATEMLGERERATVADKPEDLLTSSSSDNFSSRCSRGMKGNKALVGTSTELGNIYKTSSHVSSESVEQNSPYLITQPRECKWGDEEVNQNKWDATIGATRRLRFGTVGPARRLRFGNHYQKNLKSVGTSASTTNGKKLGQNLTDELHQFISSDADCVTSKARKLCADLIKEKEDNEKVSERIASESSTAYAKTMDIGERKVLHIGRRSV >Al_scaffold_0008_537 pep chromosome:v.1.0:8:3482261:3484882:1 gene:Al_scaffold_0008_537 transcript:Al_scaffold_0008_537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMI8] MKPVMIGRVAGGGRSEKPMLKRNSWPPKIHGAAMNAVEHTHGAMEEVNINTLVTLVRLGVIAPPGQKVTNSRPDQVVVALHDLRVSPGCKLFLASLLPNESVSCRGRLRTEAFSLPDVVSSQFREELILSPGRGIRHFRTCYQQLASGHVICLFPEVKIYGRDCLSQRIMPLVEVKKELELMWHNLLSQLPSAWRAMIGQVAGGGRT >Al_scaffold_0008_538 pep chromosome:v.1.0:8:3486437:3490629:1 gene:Al_scaffold_0008_538 transcript:Al_scaffold_0008_538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMI9] MWHFLLSEVDAVLKTGNHQHIGQASTVRRETHGLRVMIGHVAGSGRTEKPMLKVGNVYHKYRQCVEKLMATMWHNLLSQVDAGLKAVEHPHGGVNHQHIGQASTVRRDASPRRTGGLRGQDAASAGKAD >Al_scaffold_0008_539 pep chromosome:v.1.0:8:3498691:3499905:1 gene:Al_scaffold_0008_539 transcript:Al_scaffold_0008_539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMJ0] MAPSCRQISERSPLVNQQRQITSFFGKYASFSSPSPSPSPPLSNKKTPKSINPNPNSPSPSPSPSRAAEALVGKNLELAVKSSEGARRSTRLRLQPLRKPKSSPKKKKPVKLHSKMPKKPPTAFFFFLKHTTKHLLYMHFPLASYCLIFLVPKGGFPETISRGESGGNGIPVSPEVANGLKNDEAYGVVLMRVVILGRLRWKAGAIKTGRYGFYARCDVWLRFNPSLNGQVPPLLAPSTCKVDV >Al_scaffold_0008_544 pep chromosome:v.1.0:8:3543176:3543585:-1 gene:Al_scaffold_0008_544 transcript:Al_scaffold_0008_544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQE3] MAVLAAVAGSRPWNAFIQALFLISLSFPIPSSAYRPGDIVRMSKMGQYHSSRITWHDVIGKHCPIFAVNREVLIPIAKPIGYT >Al_scaffold_0008_547 pep chromosome:v.1.0:8:3562650:3565817:1 gene:Al_scaffold_0008_547 transcript:Al_scaffold_0008_547 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING 1A [Source:Projected from Arabidopsis thaliana (AT5G44280) TAIR;Acc:AT5G44280] MSVSKSFSSAEIPDVADQPRDQFNPQAEQDPQDKAEKKEGDDEEVKHDETEQEEEEVMIPNEAEEEEEEDGDEKEEEEEEEEAAEEEEEEEEEEEEEEEDEEEEDSKSSISGDQSEFMEIDLGEIRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRKHCASRRSLRDDPKFDALIAALFTNIDSYEEEELAFHEDEMARNKQIQASIAQISQRQSEALVKRRSLGKEAAVLMRSPRIASSSRRRRNCRNMEQQNASEAHEDDNNDDNNNRGGDKDSSSDERGTEVRHKKRRKRSTSRSTQHPSSSGANKNNGNCADNDTEVYRDSKGISPGLVWNQEILAWGRGGTRSNTRHGNSTSGGSSKSVRNARVNKLVEYLRSSIDGSSVELDIHVKLVSLDTKCIPDLPQPYLCCRPTLLVKQLREFVALQMHLKTEEVELLATRRGGEDMAIENLPVVTPASAATSKDEMQSLEDNETFSRLKVDFISSHEQHLIIAYRKKQTE >Al_scaffold_0008_548 pep chromosome:v.1.0:8:3566378:3568265:-1 gene:Al_scaffold_0008_548 transcript:Al_scaffold_0008_548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMK0] MLAKMPKLKARPLKKKILAAPALPAPHPPEFQEFHLETMAQASQHAETSSVVSTEMSKVGTFVCFLLSYSKTRRNIPDISCSSRELMQSPEVLQATTRARKTSRKSMRPLDSYFSYLLHPQHNGWMPHLTEPKIPLLQTMLRARPSNAKTSNEIEQEELEKVPRFRARPLNQKLSLNSDSCREKPLQRNTTPNPLLLRTEFRRTRKMICVNSHLTQGSHYCSGIKEKEMMYKRYREETEAAKMVEEERALKQLRRTMVPHARPVPNFNNPFLP >Al_scaffold_0008_549 pep chromosome:v.1.0:8:3572392:3572925:1 gene:Al_scaffold_0008_549 transcript:Al_scaffold_0008_549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44265) TAIR;Acc:AT5G44265] MDTHTTKLVVISLLLLLVISDHTRLMIQVHSYVPFCAYTYDYFSYCLDFLTGYHYKPGKKCCVHIVKLNIIAKHKKENPRLLCNCVEMMTRGYTPPILADKIQQLPLLCNTHLSFPISSSMDCSTV >Al_scaffold_0008_554 pep chromosome:v.1.0:8:3595640:3596386:1 gene:Al_scaffold_0008_554 transcript:Al_scaffold_0008_554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MN16] MAPKYAYKSYLSDKSDVYSFGVVVMEVVHNKVAQFVDPRLQSHDKDEVMRTINIGIICTSRAYADMSPMLAVVSMLECPLTAEVEAHMTVLSDEEHALHVLRMIAEIDEEFESQEITLTLERYHISRKGKENVSYNYFPSKLRRVALELREKKDEKSLCIDSYTINFITFQHCKIFSIESQIMYFYLETKAIER >Al_scaffold_0008_555 pep chromosome:v.1.0:8:3599071:3604542:1 gene:Al_scaffold_0008_555 transcript:Al_scaffold_0008_555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MN17] MQVIPPAIKKILDIWNIRGLVILSLLLQTSLIFLAPMRKRTSKKLLASVIWTAYLLADWTANYVVSQITKNQGKDSEPGDLPKNKKLLALWAPFLLLHLGGPDTITALALEDNALWKRHLFGLVFQALAGVYVVVQSLENVLWPPITLLFVTGTIKYVERTRALSIRSVLRQWSKKNLMFRYTVYPLYLVFFAGIPEFFRVLWKYIDRIFSVKTYLDEFRFVLREPLTKNQWEFIFYELKDKSRFAETPKVAKKLSWARGQWALQDSILAEIDTLMCYIEKVDYDQSLLLWHIATELCFQEEEGGEMENLSRESYDDREFSKIISDYMMYLLIMRPKLMSEVSGMGTIRFRYTKAEAERFFKGKHIKNLRDMKLASKMVLSVNNDIEQILVKGNQSKSVLFDASMLAKELRNLKESSNEDGKWRVLSKVWVELLCYAASHCKATEHVAQLSRGGELLNFVWLLMVHFGLADKFEINKGDARAKLLVGK >Al_scaffold_0008_561 pep chromosome:v.1.0:8:3654998:3656406:1 gene:Al_scaffold_0008_561 transcript:Al_scaffold_0008_561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MN24] MDSKSLAKSKRAHTQHHSKKSHSVHKPKGPGVSEKNPEKLQGTQTKSPVQSRRVSALPSNWDRYDDELDAAEDSSISQPSDVILPKSKGADYLHLISEAQAVSHSKIENNLDCLSSLDDLLHDEFSRVVGSMISARREGILSWMEDDNFVVDEDGSASYQEPGFLSLNLNALAKTLEKVDLHERLYIEPDLLPLSELCTSQTKVSRNEEPSHSHTAENDPVVVPGESLVVEAESLDLVNDIPILTDESGKSSAIETDLDLLLNSFSESHTQPNPVASSSSTSNQNRSVQKSSAFETELDSLLNSHSSEEPYNKPANPSDQKIHTTGFNDVLDDLLESTSVSSKPKQTQTSSSSSVGKSKVLDDFDSWLDTI >Al_scaffold_0008_582 pep chromosome:v.1.0:8:3820789:3822223:1 gene:Al_scaffold_0008_582 transcript:Al_scaffold_0008_582 gene_biotype:protein_coding transcript_biotype:protein_coding description:plasmodesmata-located protein 1 [Source:Projected from Arabidopsis thaliana (AT5G43980) TAIR;Acc:AT5G43980] MKLIYQFFIFWFFLPFFVISGDGDNKNLIFKGCANQKFPDPTGVFSQNLKNLFTSLVSQSAQSSYASVTSGTDNTTAVIGVFQCRGDLHNAECYDCVSKIPKLVSKLCGGGGDDGNVVVAARVQLAGCYLRYEISGFRQTSGTEMLFRICGKKDSSDPGFVGKRETAFGMAENGVKSGSSGGGGAGGGGGGGGFYAGQYESVYVLGQCEGSLGNSDCGECVKDGFEKAKSECGDSNSGQVYLLKCFVSYSYYSHGVPNNIEPISGGEKRQHTERTIALAVGGVFVLGFVIVCLLVLRSAMKKKSKYDAY >Al_scaffold_0008_588 pep chromosome:v.1.0:8:3846694:3847601:-1 gene:Al_scaffold_0008_588 transcript:Al_scaffold_0008_588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNJ3] MQTSRSSSSGVMTIKSEQKSRRVLCGSVVVPLEPSNPMDKDKRNNETRMVWLVVVMENLFLLQETVNFLLDGVVLTVQCFWCGEKQFVSHGSNNFVISCRTDGKRWFTHQAHHHNNEEEDQCLKNCKTES >Al_scaffold_0008_6 pep chromosome:v.1.0:8:23941:25547:-1 gene:Al_scaffold_0008_6 transcript:Al_scaffold_0008_6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7MNI9] MELRSTTKHKSGTIPSVIFSNTNGYKLEELVKGKPDGKKAVPGARISVRYIGKLQKNGKIFVDYSKTPFEFILGSGEVLQAFEFGVGGFLPGVESGVEGMLVGGKRRITVPPLMGYGKGGDGKLVPPNAWLVFEVELLDVSAGSSMIEATSDVTTHDNGLTIEVLVKGKPDGKIAVLGKWIRALYTGKLQKNGEIFDSKFSKRPKTFCLGGDHKLGLGFSFGITGMHVGEIRKITVPPVYGFVGKRVPRHAWLVFQVELVEVVDDEVVDDGNDE >Al_scaffold_0008_60 pep chromosome:v.1.0:8:286936:288555:-1 gene:Al_scaffold_0008_60 transcript:Al_scaffold_0008_60 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G47340) TAIR;Acc:AT5G47340] MEKGLKRSGVMVVVAFLAMVHVSLSVPFIMLHGIASHCSDATNANFTQLLTNLSGSPGFCVEIGNGVVTSLFLPLTQQAEIACENVKQMKELSQGYNIVGRSQGNLVARGLIEFCDDGPPVYNYISLAGPHAGISSIPPAICGLKSDPACKKFDELIKGALYSEFIQDHLAPSGYYKIPNDINEYLESSKYLPKLNNEIPDQRNQTYKDRFTILQNLVLVKFQDDEIITPNDSTWFGFYPEGEFEPLLSPNQTKLYTEDWIGLKTLDDAGKVKFVSVAGGHLNMADQDVVKHVVPYLQNQPSSVQSFNRKTKEPLHP >Al_scaffold_0008_602 pep chromosome:v.1.0:8:3947832:3949828:-1 gene:Al_scaffold_0008_602 transcript:Al_scaffold_0008_602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MNK8] YSPQEADENVEDNRYGWANTMQRHTSDELLDILRKTDRDSGDERFCLAMLLLTESIFLNMYKGNKFPAAHLKRAQDVHQFLNYPWGIDAFKVLLSSIKFNVPSNLLKDKYDIHGYPLALHLWILESIPIKLKQNTVSLEDEEDPELSLLVDLLSKGYKMKAKDWRRGSLDVGVVMEEVATKSYMFYNKEVVKPSSSGESLMEKLDKLYKLVEDEFKSTNQRLSKMEKKLKILSARKRKDQRENDLFFNNPPSPKATEQPAEKADKQTPDQTTEQHTVPLTQTSQTQKTTQTEEITQKISSTNEMSKDEINAENQTQEVTQDINSSEKKNEDNVGNRMNQMDGVDEQQDNDQHDEEPSLQRDTEDGGVNAENPEDSRPTLAQDYSGGLILMEEVEVFTRTNGIREKSKQL >Al_scaffold_0008_611 pep chromosome:v.1.0:8:4013005:4015598:-1 gene:Al_scaffold_0008_611 transcript:Al_scaffold_0008_611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNL6] MADLLLLIPWNKIFTFACGCFLSDRNYIHLMESNLDALETTMEELKNRRDDLLGRVSVEEDKGLQRLAQVNGWLSRVEIVESQFNDLLEARSTETGRLCLFGYCSEDCISSYNYGEKVSKMLEEVEELLSKKDFVEVAQKIIRKAEKKHIQTTVGLDTLVEMAWESVMNDEIRTLGLYGMGGVGKTTLLACINNKFVELESEFDVVIWVVVSNDFQYEGIQDQILGRLRLDKEWKQETEKEKALCIDNILNRKKFVLLLDDLWSEMDLNKIGVPPPTRANGSKIVFTTRSKEVCKDMKADKQIEVDCLSPDKAWELFRITVGDVIFSGHQDIPALARRVAAKCHGLPLALNVIGKAMACKETLQEWYLAINVLNSLGHEFPGMKERILGVLKFSYDSLKNGEIKSCFLYCSLFPEDFEIKKEQLIEYWICEGFINPNRYEDGGTYQGYDIIGLLVRAHLLIDCGVGVKMHDVIREMALWINSDYGNQQGTICVKSGAHVRLIPNDINWEIVRQMSLISNQIEKISCSPNCPNLSTLLLPYNELVDISVGFFRFIPKLVVLDHVHEISLVGIATTLPNLQVLKLFFSRVCVDDILMEELQQLEHLKILTANIEDATILERIQGIDRLASCIRGLCLLGMSAPRVILSTIALGGLQRLAIESCNISEIKIDWESKERRELSPMEIHPGFKQLSTVNIFRLKGQRDLSWLLFAQNLKELDVRDSPEIEEIINKEKGMSITKVHPDIVLPFGNLESLELYNLDELKEICWNFRTLPNLRNFKVKNCPKKGGTQETPSSFFHLSRFFCFLVCRNL >Al_scaffold_0008_612 pep chromosome:v.1.0:8:4016069:4017412:1 gene:Al_scaffold_0008_612 transcript:Al_scaffold_0008_612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MNL7] MAHGGYAKRRVSEPNQAAGSSRRSKVLRVEKKPKTVSIKNQMRSVERFLRKVSSFSLSEIRVPLIESLLKFQPFWRFAHTRLAVERKIFLRNRKIKFFRRKIERSIRRLEKLQRTSSAHVGDTDIAEQLSKLKEDLEYVRVLPEKREYVSLFTGAEDSEVIEKRGKMRKQIKANIIVAAASGKELETRSEDDGLLDLDLSDDDFFDKGSSSDEADADDELTDKSAEAASSASGRATSGVSSYERNQ >Al_scaffold_0008_613 pep chromosome:v.1.0:8:4017798:4018163:1 gene:Al_scaffold_0008_613 transcript:Al_scaffold_0008_613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNL8] MSIGASTDPYTVSDSCQYSTTTRTHVSSALEAEAWAVLLALHQAQTCGFEAIHIFSDCQVLVKLLNSEDIHTDIHSILGDIRAICSSFKLSLFSFIPRLANGKADSVATCALQAIENNNVP >Al_scaffold_0008_614 pep chromosome:v.1.0:8:4019476:4020462:1 gene:Al_scaffold_0008_614 transcript:Al_scaffold_0008_614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNL9] MKKMATVTILSPKSLPKIIDSKFGVKVPDQTVNVVKCGNSGRRLRLAKLVSAAGLSQIEPDINEDPIGQFEINSIEMEDFKYGYYDGAHTYYEGEVQKGTFWGAIADDIAAVDQTNGFQGLISCLFLPAIAAGMYFDAPIYKLERGARDKLISDYNTMSIWDFNDKYGDVWDFTIEKDDIATR >Al_scaffold_0008_615 pep chromosome:v.1.0:8:4021422:4022214:1 gene:Al_scaffold_0008_615 transcript:Al_scaffold_0008_615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MNM0] SSHASYGDLVVNGTNFLMGVCNVQILHLSAMTLAGFNHKNTVKCLDVDGCICKSSAENPTCLSSSPVKVLKILKFGEICDDKEMQMELVEHFLETMPKLEQMILYYDTPLDEDVIEVSKQLEKLSRVASANCKIQIISDNLSLSSSLFTTGLILFKNTFPV >Al_scaffold_0008_617 pep chromosome:v.1.0:8:4065680:4068242:-1 gene:Al_scaffold_0008_617 transcript:Al_scaffold_0008_617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNM2] MADLLLLIPWNKIFTAACGCFLSDRNYIHLMESNLDALETTMENLRIDEMICLQRLAQVNGWLSRVKSVESQFNDMLAARSTETGRLCLFGYCSEDCISSYNYGEKVSKMLEEVEELLSKKDFVEVAQKIIRKAEKKHIQTTVGLDTLVEMAWESVMNDEIRTLGLYGMGGVGKTTLLACINNKFVELESEFDVVIWVVVSNDFQYEGIQDQILGRLRLDKEWKQETEKEKALCIDNILNRKKFVLLLDDLWSEMDLNKIGVPPPTRANGSKIVFTTRSKEVCKHMKVDKQIEVDCLSPDKAWELFRITVGDVIFSGHQDIPALARRVAAKCHGLPLALNVIGKAMACKETLQEWYLAINVLNSLGHEFPGMKERILGVLKFSYDSLKNGEIKSCFLYCSLFPEDFEIKKEELIEYWICEGFINPNRYEDGGTNQGYDIIGLLVRAHLLIDCGVKVKMHDVIREMALWINSDFGKQQETICVKSGDHVRMIPNDINWEIVRQMSLIRTHIWQISCSPNCPNLSTLLLRDNIQLVDISVGFFRFMPKLVVLDLSNGGLTGLPEEISNLGSLQYLNLSRTRIKSSWWIFQLDSFGLYQNFLVGIATTLPNLQVLKLFFSRVCVDDILMEELQHLEHLKILTANIKDATILERIQGIDRLASCIRGLCLLGMSAPRVILSTIALGGLQRLEIGSCNISEIKIDWESKERRELSPMEILPSTSSPGFKQLSTVFIFNLEGQRDLSWLLFAQNLKKLEVGYSPEIEEIINKEKGMSITKVHPDIVLPFGNLEILELEELPELTEICWNYRTLPNLRNFNVRDCPMLPEDVAKFLKLAE >Al_scaffold_0008_621 pep chromosome:v.1.0:8:4086479:4087937:1 gene:Al_scaffold_0008_621 transcript:Al_scaffold_0008_621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNM5] MLQAKQYKNYREKIISNYQPRFRELYKLDPESFLLPTFRKAISENTEESFRRIISEPFPGVFVFEMFQPDFFEKLLAEVENFRKWAHETKLKIMRPNKMSKFGVVLDDFGLHIMLKQLMEDFIFPICKVFFPKECGAMFDSQRGSFIENGEDRNAELGLHVEDSEITLNVCLSKQGEGGEIFFEGTRCKKHMDIDPKPEERFDYCHIPGQAILHRGCHVHGARAATTSGRRANMILWCRNSLFREMQTYEKEFRDWCGQCVHEKKEKESQCLAAKRKEMIRIIE >Al_scaffold_0008_627 pep chromosome:v.1.0:8:4155978:4156483:1 gene:Al_scaffold_0008_627 transcript:Al_scaffold_0008_627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNN2] MKGGDGGYRGGGGRYGSGSDGYERKSLSNETIACHFRKYSMFRKYSVLRNNIMLFKNKYIACHMKGGDSGYGGGGRHYGGGGDG >Al_scaffold_0008_628 pep chromosome:v.1.0:8:4157678:4159347:-1 gene:Al_scaffold_0008_628 transcript:Al_scaffold_0008_628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNN3] MAGSSWVVSQKPSPLRPSTLLTSLGLKLLPEPIFKPPPLSAPKPPPQSPPKPPDPPPGRSCLEALVTISSSRSPPLLHHAALDGISLDRGQICTLFSEQPRLPLPPLPFNLKSEGLVSITLLCIVQWYRTYPLLVLIYLCMRFVPQYEDFTLIRLDQSYIGMVVMSLTGSRHLCRQSLTIVGSGFGVNLLVLGYLSDHFYGESDLPCIEDVVRLVLTFSVAKDGGEVEVLCDKTSQTLQQLIQKIEEYQDTFACLPNAFWMSMSSCYGMGWFMEEPLMASIQHGSSFRPVVSFALVAEELALKTAICTALVVGVSRLAYYSNWQELPLLLNVGGHTFAVDDILDDIRRMKTKLLPFPFFMCLSFGNSSVAFIVTEDRCERIEGWHID >Al_scaffold_0008_631 pep chromosome:v.1.0:8:4184596:4185582:-1 gene:Al_scaffold_0008_631 transcript:Al_scaffold_0008_631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNN6] MARPSFTRSESFLHQIGVSLSPSISDEEAQRGIVYIDEVDKMTMKSHSSNGGRDVSGEGVQQSLIKLLEGTTVDKTIRNSLFAFLLR >Al_scaffold_0008_635 pep chromosome:v.1.0:8:4213014:4213843:-1 gene:Al_scaffold_0008_635 transcript:Al_scaffold_0008_635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MP50] MTISDPGFNITSPPVNGFVCCTRGSRIAVFNPTTGFMLTLPHVKANGREIYARSVRCDVHRKYVKQQEHLVLSLTSQFTGWRKIEITGDPYAYLEGGICIDGAIYYGVGHTKIARFDLRYEKMTFIQGPKDYNAISCYSTLTNYEGKLACVSYDSYCESNMRLWILQDAEKQEWSSIMTCVVPCDKEIYLCSGESPTNKVVMVSRCLKSSKHFFVYYFDMFRESIIRRMEVEIDGMVDYELRRPRILCFPGHFENLMYF >Al_scaffold_0008_638 pep chromosome:v.1.0:8:4236935:4239000:1 gene:Al_scaffold_0008_638 transcript:Al_scaffold_0008_638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein [Source:Projected from Arabidopsis thaliana (AT5G43520) TAIR;Acc:AT5G43520] MEGARESQPSTRVFKAKEEDETACSGCELELTGQAFKCIKSECDYFLHKSCFDLPNETNHKSHQDHPLTLLHSPPDDRSVYTCDACDQYGSGFTYHFSNCNYNLHVGCAFIPETVDREDHEHPLTLLYCTPCKGREDTIFTCSVCDETISEDLWMYYCKECDYGTHVHSCAAYEDQESNEEEEEEEDEEGEASSPASRIKSLMKAQDEMAAMQLEARIANDARNAALDLWDEPKRRYYW >Al_scaffold_0008_64 pep chromosome:v.1.0:8:299649:300862:-1 gene:Al_scaffold_0008_64 transcript:Al_scaffold_0008_64 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein [Source:Projected from Arabidopsis thaliana (AT5G47300) TAIR;Acc:AT5G47300] MRNTLVMSDLPGDLLEEILCRVPATSLKQLRSTCKQWNNLFKNGRFIRKHLDKAPKQFLNLMLNESRVCSMSVSFHGIPSVEATGELKLIDSVSSLEDQFEISQVSHCDGLLLCTDDTRIVVWNPCTGQTRWIEPNNRCYYYALGSYQDKSYSNSYKILGYSGYGFKNQELAIYEINSHSWRFLDVTCDCILQRYTDYGVSLKGNTYWFASDEKEKNHNVFLVSFDYTTERFRRLRLPYQCPDYKTASLSVVREDKLSVLLQRDNTSRTEIWVTSKIGETKVVSWSMVLAVDFPSELFICSGISFLVDAEKKVVVYVLFTII >Al_scaffold_0008_640 pep chromosome:v.1.0:8:4269168:4269683:-1 gene:Al_scaffold_0008_640 transcript:Al_scaffold_0008_640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUB0] MVSSSSRGEMEKMGIDQLKALKEQADLEVNLLQNSLNSIRTATVRLDAAAAALNDLSLRPQGKKMLVPLTASLYVPGTLDEADKVLVDIGTGYFVEKTMDDGKDYCQRKIHLLKSNFN >Al_scaffold_0008_648 pep chromosome:v.1.0:8:4354525:4354740:1 gene:Al_scaffold_0008_648 transcript:Al_scaffold_0008_648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MP67] MRGQSAILNFPHEYNMGSTGGSSSTAMAGSSSSSASASSSSREVFEFEYLDDSVLEELLEEEEKPKKGKKK >Al_scaffold_0008_654 pep chromosome:v.1.0:8:4413192:4414031:1 gene:Al_scaffold_0008_654 transcript:Al_scaffold_0008_654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MP70] MKVVEWSVLSFEVVEDGFHVGEKKKLIDCHGSSMPRNSQVDMKKVRSELVGSPFDFHVRHGEIALAIRENSECLELRHVGSLLVSPESSTRASVLGDSTVQRHRNSSGLASDGTDEDSK >Al_scaffold_0008_66 pep chromosome:v.1.0:8:308623:310320:-1 gene:Al_scaffold_0008_66 transcript:Al_scaffold_0008_66 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 8 [Source:Projected from Arabidopsis thaliana (AT5G47240) TAIR;Acc:AT5G47240] MDSVSLSEVTAIRRTHLGFMHSFRQPFSGVPIPPKFCSSKVGGPKATLSSNTKSQSVYGGSIAATSDSGYKINGVNLKSRTLISSVKERLLLDAYDDEYGGVIVDHGKLPSNPYAFASMLRASLSDWRRKGKKGVWLKLPLEQSELVPIAIKEGFEYHHAEKRYVMLTYWIPEEEPSMLPANASHQVGVGGFVLNQHKEVLVVQEKYCAPSITGLWKLPTGFINESEEIFSGAVREVKEETGVDTEFSEVIAFRHAHNVAFEKSDLFFICMLRPLSDKIIIDGLEIKAAKWMPLAEFVEQPMIREDKMFKRVIEICKARLRHRYCGLSPHRLVSTFDGKPSSLYYNVVDDDHDPSHSNCNTDYL >Al_scaffold_0008_666 pep chromosome:v.1.0:8:4505664:4506161:-1 gene:Al_scaffold_0008_666 transcript:Al_scaffold_0008_666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MP81] MDSAVTLSRREHSISFLLTNRDLNPDFGQTTPFQNNYHNSQMVSMTNNNQPMRFQNVYYPATISIEKPNSQMVSMTNNNNTNFIVGHHVQPKLKSQSLQMQPQPQSRPQPQPQLQPQLQLDIEEDISTEDEVCILPLIEQMKREWPEIIVIEDDEEDSMDLELKL >Al_scaffold_0008_667 pep chromosome:v.1.0:8:4509733:4510968:1 gene:Al_scaffold_0008_667 transcript:Al_scaffold_0008_667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MP82] MPPLTRVDDLTPYDRNRVICVKVLVFWFENFGRPDQKLEMILADLKETKIEATITGGSFDHDNITGLREDTWYFLFDFLVLYLPELMSNTSNMFRIWFHRPTKMTSTYERSPSKCIEPERFSRIRGWRILTEIPIGDLADYFMRVWVNREYGHSYLRDPVFCVLRFWHVEEYKGKPCLMNKVGCSRFYLDPKFYELEEIKERTLSTAYAWAATNSRCSDLDD >Al_scaffold_0008_668 pep chromosome:v.1.0:8:4513234:4514227:-1 gene:Al_scaffold_0008_668 transcript:Al_scaffold_0008_668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MP83] WKSESEFSEEVCEDYNDERGDSLPCLPTAALHGACGSYNRSSSSSLAQSVPGFSALIRIENRRPPVNSNLLPRRTELTLPPVKSRNAAVYAGSVVRGSVSASAGEKI >Al_scaffold_0008_67 pep chromosome:v.1.0:8:312833:313628:-1 gene:Al_scaffold_0008_67 transcript:Al_scaffold_0008_67 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPV5] MYGLSHQGPCPRGSSNDQMLYYPTLVDYYVRYTLHIIIPLLNHTLHRNRIHSTSGRENCQARYFCRVRPDYRVSDKRIYIQICISVATHVSLRALNKWGPKDICTKFSEGKFPQFNSESFVPQSVNV >Al_scaffold_0008_672 pep chromosome:v.1.0:8:4536318:4537575:1 gene:Al_scaffold_0008_672 transcript:Al_scaffold_0008_672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MP88] MAEKGSQWTRRCGNDAVEDIFVNEPPLFFLSQEQHHRLMPYEDSITNKFVTSTLYSGPRIQDIANALVLVEPLSHPVREISKSTVPRLERSTLNKVDKYTLKVKNNSNGMCDDGYKWRKYGQKSIKNSPNPRSYYKCTNPICNAKKQVERSIDEPNTYIITYEGFHFHYTYPFFLPDKTHQWPNKKTKIHKYYAQEMNNGSQTQEESKEAQLGEPANQNQPVHKAQENTPVNLEDELFFPVDQCRRQQGLLEDVVAPAMKNIPTKDSVLTASWSSLSSYTSSSLSPSSLCWSPNFDIVLSDEILELIGSRKF >Al_scaffold_0008_673 pep chromosome:v.1.0:8:4538868:4539229:1 gene:Al_scaffold_0008_673 transcript:Al_scaffold_0008_673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MP89] MKLTFLFFTLLIFVSSCTSILIKESSGEETTYYFNPAASPFDPYALNQELLQQGWIGYCFDCARACMRRKKYIKRCSLERHLCRCSIKDIQ >Al_scaffold_0008_678 pep chromosome:v.1.0:8:4578108:4579471:-1 gene:Al_scaffold_0008_678 transcript:Al_scaffold_0008_678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MP96] MCVLDNDKISLFDFGKKDTIINCGINLDGAGDISGRHQDFRQRIGGGNMTTMVEAEEGLNQGVCDGMDWDFCCHIRPACLSLRFASESLLLYPPFTKLSWSYKTESEKGLTSYVRWKVEPCNHIPFIWNSVIEFGVILDGDLILSNHFNDDSGLPCIEVLVRHVLTLCVGKGFAHIISIIVYVVVDGKWEVHPLFKTEDPHLGTLCHFFYPKFPLDWSGLDDQALLVLQGSSSRLIVSSTLVAELVTFWVAMDAVSHEAFGMVSF >Al_scaffold_0008_68 pep chromosome:v.1.0:8:315496:316825:-1 gene:Al_scaffold_0008_68 transcript:Al_scaffold_0008_68 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPV6] MAKADEICLDHHHPKIGREKPSNSSLFRSVDLMSSKVNETYDCWYTLGIPKIKLYRNSFFGCQANDLSFTDIIKQYSNVLSIMSCLGAVIHRIDPLSLSWLNGKATPKYWKDDVIAGIVSGFATSIITVFIVRILRHVKSWFPRACCSVKSQFSKVNLLVQVKRCMFASCLFLGSRMNGDTILDGLEEATLFGS >Al_scaffold_0008_683 pep chromosome:v.1.0:8:4619882:4621016:-1 gene:Al_scaffold_0008_683 transcript:Al_scaffold_0008_683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPA2] MIPFTSDSCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTHFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVLIITRKKNAME >Al_scaffold_0008_685 pep chromosome:v.1.0:8:4629114:4629792:1 gene:Al_scaffold_0008_685 transcript:Al_scaffold_0008_685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MPA5] MPWNKEDQSFDVFLAACPALEDLTIHYKEYQGNSYVVSSKTIKKLSVTFSFGFAYAISRIISFDTPNVVDFYYSDYICESPQCRWDSLAKATLDLYFFNDDKRYVQNGAEVTDLITGIRNVKTLHLTSSTVEVILVWCK >Al_scaffold_0008_686 pep chromosome:v.1.0:8:4630717:4633093:-1 gene:Al_scaffold_0008_686 transcript:Al_scaffold_0008_686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPA6] MNGDEKKGWKHVTLKHSNNEAALVSEIENLLREEERKRQSNQPVIVSSEIDQKQKEDTNTFQLKKQFSEIRSGPVSLPPDAAKKQMKLRTNTLALGRKTLGMEGIPRLKQLKSIQLHFDGNKDDSSQKKANGVINKVGLITPQDSKTETLEDELKEAAVLEAAVYSVVAEHSSSMSKVHAPARRLARFYLHACKGNGSDQSKRASAARAAVSGLILVSKACGNDVPRLTFWLSNSIVLRAILSRGMEKMKIVPEKAGSDEWEDPRAFLAALEKFESWIFSRVVKSVWWQSMTPHMQSPAVKGSISRKVSGKRRLGHRTQGLYAIELWKNAFRAACERLCPLRGLRQECGCLPMLAKLVMEQLISRLDVAMFNAILRESAGEMPTDPVSDPVSDINVLPIPAGKASFGAGAQLKNAIGTWSRWLEDQFEQREDKSRRNKDEDNNNKEKPECEHFRLFHLLNSLGDLMMLPFKMLADKSTRKEVCPTLGPPIIKRVLRNFVPDEFNPHRIPRRLFDVLNSEVLTEEDTGCITVFPSAASPTIYLMPSTDSIKRFIGELNNPSLSETGSSVFKKQYTSDDELDDLDTSINSIFSAPGTTNSSEWMPKGYGRRKTVRYQLLREIWKEDGLQ >Al_scaffold_0008_687 pep chromosome:v.1.0:8:4633709:4634383:-1 gene:Al_scaffold_0008_687 transcript:Al_scaffold_0008_687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPA7] MVLGLRTKSRRDNGVFVEYLISIKELKPWPTSQVPAQCVLLKWENGENNSGSFIAVVGKDTIMFNESFRLTLTLEPKVGSDNKFHKNLLELHVYDAKKKDKGVKNKLLGTASVNLADFGVLTNSVPVGAPFTFKKSSRNDASSEIYLTVEPAGEEDYDEGNRSSGSSQPKMSFSRRSVEGSEFSLASLTDDDDDASSVSSSTRRVSFSAMCDANSTVRIFILVT >Al_scaffold_0008_697 pep chromosome:v.1.0:8:4712674:4714499:1 gene:Al_scaffold_0008_697 transcript:Al_scaffold_0008_697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MPB6] RIARAAESTSSSPSVASGDRALIHDDEFTQAKVRKSITWLVVNVFYVRKTLTCTYQPVLNGFT >Al_scaffold_0008_702 pep chromosome:v.1.0:8:4763896:4764570:-1 gene:Al_scaffold_0008_702 transcript:Al_scaffold_0008_702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MPZ9] GFKPFGDPFMFVILEGEVLQKMKNRIQKKLHVPDEEFAKMDIRLLFSNKCGNLHKKGRGKRMYLGLEHGRQSWKEESRAPALNTSKVQLAAAHC >Al_scaffold_0008_709 pep chromosome:v.1.0:8:4820305:4824106:-1 gene:Al_scaffold_0008_709 transcript:Al_scaffold_0008_709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT5G43100) TAIR;Acc:AT5G43100] MDRASFLLLLLFITTVSIFFFDLTTADELELTAESPMIFPLSYSSLPPRVEDFRRRRLHQSQLPNAHMKLYDDLLSNGYYTTRLWIGTPPQEFALIVDTGSTVTYVPCSTCKQCGKHQDPKFQPELSSSYKALKCNPDCNCDDEGKLCVYERRYAEMSSSSGVLSEDLISFGNESQLTPQRAVFGCENVETGDLFSQRADGIMGLGRGKLSVVDQLVDKGVIEDVFSLCYGGMEVGGGAMVLGKISPPAGMVFSHSDPFRSPYYNIDLKQMHVAGKSLKLNPKVFNGKHGTVLDSGTTYAYFPKEAFIAIKDAIIKEIPSLKRIHGPDPNYDDVCFSGAGRDVAEIHNFFPEIDMEFGNGQKLILSPENYLFRHTKVRGAYCLGIFPDRDSTTLLGGIVVRNTLVTYDRENDKLGFLKTNCSDLWRRLAAPESPAPTSPISQNKSSNISPSPAKSESPTTDLPGVLRVGVITFEVSISVNNSTLKPKFSEIADFIAHDGNEYRLKWGVFPPQSAEYISNTTALNIMLLLKENRLRLPGQFGSYKLLEWKAEQKTKQSWWEKHLLGVVGGAMISLFVTSVMIKLALVWRRRKQEEATYEPVNATIKEQELQPLSSDTSNAERKKIERRMVGMLLSSCLGREGLVCDKALLHYLGFGLSETKLYFIT >Al_scaffold_0008_710 pep chromosome:v.1.0:8:4839779:4841913:-1 gene:Al_scaffold_0008_710 transcript:Al_scaffold_0008_710 gene_biotype:protein_coding transcript_biotype:protein_coding description:pumilio 14 [Source:Projected from Arabidopsis thaliana (AT5G43110) TAIR;Acc:AT5G43110] MDNKFNGERNIWSTATAAEENLSTASSSSQSQPPRMQSLPFLPPENHIHRANGFSSHDSDLQTLESSFGGLSFADSSTVRQNGTRRRPRPRHFSGDGGGGGGSGVNGGGNLFPPSGNLYHHRELEEYFQRFNLNQQRLSYQNNCVNQSYGYDTLDNGFLNGVPYAPRNHVSDENPWGYINQDQMENRRGSLYAIAKDAVWSKKLLETIYQGTKETIDTIFDRIIVHICELMVDPYGKDVVMLLIGKCCSEQIIQIVDLVTQDMFQFVNICFDLRGTAAIQELLDSIHKRANDQIPRIMDLINSVGLQLAKSSNARFLILSCFRLFPLSHCRYLLEVVAQHCYEISIDQNGCCLYQQCLDKNRVPNPEIRQRLISEVISHALRLCLNCYGNYVVQYIVELNNQHVINALVRQLIGNYAHLARNKYGSHVVQKLLRLRGVDTRLIVVDLLSQIDTLLLDPFGNYVIQTAWFVSKDDVRHMLRYHIELNIRLMRCNKFGNKLLEKLSI >Al_scaffold_0008_714 pep chromosome:v.1.0:8:4875426:4876446:1 gene:Al_scaffold_0008_714 transcript:Al_scaffold_0008_714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQ13] MDAPMWEGPDGVLYKLTSDDSGQPQFMQILTRRRRTVDRSARCLLSQILLSPTYHRRKLIRNVQTAWQVLAYNMVPPSEVFATPPESGIPNFMKAESPRLSTLPRTFATRTWYPGYHGEEGVESFHANLENLVGHQEVPDVTTNCETLLATPLRVEQLGVLFPPNEKLHELTLGSSSLDAMMQNMIAAGTLGVEPLRPPEGTPVWRERVIRSADGTFVPTMEREDGLMFEPWRVTPFCFTSGQDGHYPRTCPYVHHYHPYARPYVVYFECGNEGHYASICSRKYPENPGPSSPSPSASARKLKGNLSTY >Al_scaffold_0008_715 pep chromosome:v.1.0:8:4881598:4883635:-1 gene:Al_scaffold_0008_715 transcript:Al_scaffold_0008_715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQ14] MGQDIYNGHIKLKKKKKKLERMRRKNQPKIPVKEEKAEPGWRRGRRRSSNASLARRHSRDRVCLLILIRHRVPVLRSCIFPFIFPDLHETKLVSLIPIGSLLVRFSFDSLAVDPCHSKPLIPSSQTHKSVILPPVDNSHLMERFKNTLIGLTFNIEGRSTELLLAMMPKTPSSDIQTNTRREGNYRDKRQGYYPSNVSNMNESNRAYRTDWTETHKSNPHRYQTSNRYSSRLSPTFYKEKRDHKPV >Al_scaffold_0008_725 pep chromosome:v.1.0:8:5021089:5021537:1 gene:Al_scaffold_0008_725 transcript:Al_scaffold_0008_725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LHN3] AQKTITLPPLRRGCHLITPKIVKEIGQDLSDFNCGLAHVFLQHTSASLTINENYDPDVQADTETFLNRIVPE >Al_scaffold_0008_727 pep chromosome:v.1.0:8:5034325:5035288:-1 gene:Al_scaffold_0008_727 transcript:Al_scaffold_0008_727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQ29] MNLLRVKLDLDVGKNKRHAGRYHQQENFWNKYIPVASLVKAITPARQDPSQKQQDQNISPGSGEVERINPDKLTPRKKPLNRSDLDLTYRVETKSEPNPNRTTPNTKEPVKDRNRSETKITSREEENIRMESTKPQKTKFKRNLCKRKQLHPAIETTTNTNRYNARGKKIGSAE >Al_scaffold_0008_728 pep chromosome:v.1.0:8:5037080:5037608:-1 gene:Al_scaffold_0008_728 transcript:Al_scaffold_0008_728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MQ30] LLRSDPSLVDCDFLFFFNDWENLTVELIHSDSPHSPLYNPHHTVSDGLNAAFLRSISRSRRFNTKTDLQSGLISNGGEYFMSISIGTPPSKVLAIADTGSDLTWVQCKPCQQCYKQNSPLFDKKISKGKEGEKP >Al_scaffold_0008_731 pep chromosome:v.1.0:8:5077516:5078075:1 gene:Al_scaffold_0008_731 transcript:Al_scaffold_0008_731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQ33] MSLVNPYEIDVMGTEQTPILIKFLSSEASGSPKPNTKKNSSLLCACFTSNGNITFREQVSAAHDSSGRDSRVVTPPSSLLVNDGGGGKLSWWKVAVGSVIVKGKKKEMREEMERRAYEEEAVQVSMVGHIRANPNASRTRTVPRFDNTRYSNK >Al_scaffold_0008_744 pep chromosome:v.1.0:8:5408620:5409911:-1 gene:Al_scaffold_0008_744 transcript:Al_scaffold_0008_744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQR6] MDPRRNEHYRGSTNDSKGFLSDRPWSIVVRTTLEISTIKKGITNLKQRHDESVATSSWLPKSSSFQDEEARNYKLQRFNSGGNRDARQVLDKKRSSYQAPKESIKEYSRENPSPRKSARTHLTYMEKGKEAAVASLEVPSLTSPKVISPLENKVQGKPVREPISKEEELQIQKELDEMKERNLALSAEENKILQEKADELYALTMHQEEIDNDDLLDDGMQNAYMQLSGERTKDSPLSKSAHIRKRAETRRRVSQSPLELPGVASKKRNILPNGPPKKRSENKSQTLRSRTGKPSLTIPRIGVFQSSTEPSLAQVWWGPKTHPIRINEV >Al_scaffold_0008_749 pep chromosome:v.1.0:8:5454032:5455337:-1 gene:Al_scaffold_0008_749 transcript:Al_scaffold_0008_749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper (bZIP) transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT5G42910) TAIR;Acc:AT5G42910] MDSYLRLKNLVNDLPVSTSLSRQGSIYSWTVDQFQTSLGKDCGSMNMDELVKMISSAEETQEGSQRQVSTTLPRTLSQKTVNEVWKYILEEEHTKNNGGVTNIPNLQRQQTLGEITLEEFFIRAGERGNNTNGGSIHDSSSSISGNPNTSLGVHIQPKAMVTDIMNNMVPRSLESNLHQNVNGLMSTYQPQQSIMSMPNGYSYGKQIRFTNGFMGIDQRLQEKKRSLVPSVTTIPGAITCSPVTPFPTLNGIQKIDGESSLLSRSPYISNGSTSTRCGKIHNDITAEKQFVDKKQRRKIKNRESAARSRARKQAQTMELEVEHENLKKAYEELLKQHVEMRKRQIEPGMLNLQGGPERKLRRTKSDIK >Al_scaffold_0008_75 pep chromosome:v.1.0:8:346248:347899:1 gene:Al_scaffold_0008_75 transcript:Al_scaffold_0008_75 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPW3] MKQFRAVRELINDFAFSHPELSAKETSSGSARTTSWMKNEIVLHTQLSTVTRTRSSSMAYIRFRGKKKLLWKQRRMRQNINRLHMDLSISEIKLVMEVDGYKIKPVMEVDDYKVFKGIRDNRLVADNWGTMASHQLPPAEIV >Al_scaffold_0008_750 pep chromosome:v.1.0:8:5469577:5471052:1 gene:Al_scaffold_0008_750 transcript:Al_scaffold_0008_750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQS2] MKLPFTIRYTKNLTLNLRFKACILLDDNEARDDKNWMYEYGCTVRCNESVQYLYPPLEEHMYVFEVEADVTSSELVFEFKIKSKNWKIKECGVFQLSEAVTNVHCKKLFLCRTPEPTGLMLVVAAKKNFFAPKIFEICGLRAWNRHEQRVSHGSVRFLPTSNLKSAFIEPNGGHDNKKTWSPRNKNGKEGVKVRPCVLLRSVINISFMKNLAFSPQIAKHYLGYIRGLFSLSHLI >Al_scaffold_0008_751 pep chromosome:v.1.0:8:5472235:5473187:-1 gene:Al_scaffold_0008_751 transcript:Al_scaffold_0008_751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQS3] MVITHRSCGMSDKQVCNVVEQKRSDMTLQGDLPIPNGIGSSSEMDLVLVLNGTHYLMIGRFRAGNRTGHQSTSNKSTGEEKLRCK >Al_scaffold_0008_752 pep chromosome:v.1.0:8:5490035:5505248:1 gene:Al_scaffold_0008_752 transcript:Al_scaffold_0008_752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQS4] MDSSSSFILTTVAAAIGFFTLLRNLRCHQEDDKENNSSSSTSSPPSSSSSLSPPSSLDRIWTHHVFPSFRGEDVRRDFLSHIQMEFQRMGITPFIDNEIERGQSIGPELIRAIRESKIAIILLSRNYASSSWCLDELAEIMKCREELGQTVLAVFYKVDPSDVKKLTGDFGKVFKKTCAGKTKEHVGRWRQALANVATIAGYHSTNWDNEATMIRNIATDISNKLNNSASSSDFDGLVGMTAHLKKMEPLLCLGSDEVRMIGIWGPSGIGKTTIARVVYNKLSSSFQLSVFMESIESKYTRPCSDDYCAKLQLQQQFMSQITNQNDMKISHLGVVQDRLKDKKVLVVLDGVDKSMQLDAMAKETWWFGPGSRIIITTQNRKIFREHGINHIYKVNFPSTDEALQILCTYAFGQNSPKHGFEELAREVTQLAGELPLCLRVIGSYFRGMSKLEWTKALPRLRSSLDADILSILKFSYDALDDEDKYLFLHIACFFNREWIVKVEEYLAETFLDVSHRLNGLAEKSLISLNRGYINMHDLLVKLGRDIVRKQSIREPGQRLFLVDAREICDVLNLDANGSRSVMGINFNFGEYRIKEKLHISERAFQGMSNLQFLRFEGNNNTIHLPHGLEYISRKLRLLHWTYFPMTCLPPIFNTEFLVELHMRYSKLEKLWEGIKPLPNLKRMDLSSSLLLKELPDLSTATNLQELNLSGGSSLVKLPSAIGCTKNLRTLNLRYCSSLMNLPSSIGNATNLELLDLSSLSSLVELPSSIGNLINLKELHLSSLSSLVELPSSIGNLINLKELHLSSLSSLVELPSSIGNLINLKELHLSSLSSLVELPSSIGNLINLKELHLSSLSSLVELPSSIGNLINLKELDLSSLSCLVELPFWIGNATNLEVLNLDQCSNLVKLPFSIGNLQKLQKLTLRGCSKLEDLPANIKLGSLCLLDLTDCLLLKRFPEISTNVEFLYLKGTTIEEVPSSIKSWSRLTKLHMSYSENLKNFPHAFDIITVLQVTNTEIQEFPPWVNKFSRLTVLILKGCKKLVSLQQIPDSLSYIDAEDCESLERLDCSFQDPNIWLKFSKCFKLNQEARDLIIQTPTSKYAVLPGREVPAYFTHQSTTGGSLTIKLNEKPLPTSMRFKACILLVHKGDDEARDDENWMDGNGFYTVSCKKSEHHLYPVLAEHVYVFEVEADVTSSELVFEFKFGKPNWKIKECGVFQLSEVL >Al_scaffold_0008_759 pep chromosome:v.1.0:8:5597494:5597727:-1 gene:Al_scaffold_0008_759 transcript:Al_scaffold_0008_759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MQT0] GGELAEMLKDFPACERLGTCRSCGDARFVPCTNCDGSTKVFEEQDKRFKRCPKCNENGLVRCRVLSLSDLFDQKLCG >Al_scaffold_0008_768 pep chromosome:v.1.0:8:5638022:5639394:1 gene:Al_scaffold_0008_768 transcript:Al_scaffold_0008_768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQT8] MAITRGGGNLRRSNHQAGRGVTLVVVKKISKKSKKKEVREVISLESDDQVVEEPMSTAVRGEEQSSVRDVEKPMSEGEKEDGQVEMDEAAAGKEDEPVMAEGEKEDGQVEMDEATAGKEDEPVMAEGEKEDEELEVDSDKEKRGVDGENDQEPAEGGEQSQPAGE >Al_scaffold_0008_769 pep chromosome:v.1.0:8:5672240:5676162:1 gene:Al_scaffold_0008_769 transcript:Al_scaffold_0008_769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQU0] MEHTYAGPRSVSIIGLKSGTRDLIENNEWGHLVYTEEGSKKVKWEKYGEHKPLTWYKTYFETPEGENAVAIRMKGMGKGLIWVNGIGVGRYWMSFVSPLGEPIQTEYHIPRSFMKEGKKKSMLVILEEEPVAKMVPTSSPTKMINDLFVERERFHRQSFRTKVVLTRRKRGLESFPCDGPGRGGTCDISQIGYVEASESIDAKFRSVCNRLTGLQYFRYKLDASWNTNLDVIFKQCLEIPLIPYYKGGIIVYSLINGAQRYVVNGVFYLTCSNISPTTRSHIISCHLIPRMNTINNSSHLPKLVGRAQIQWQLNSCVDGSFDIDQSLGDAIHKEAKITT >Al_scaffold_0008_77 pep chromosome:v.1.0:8:358612:360413:1 gene:Al_scaffold_0008_77 transcript:Al_scaffold_0008_77 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPW6] MAEKGVAAATDRGLSERREEESSWIKEWNLLETTEQRPKALTSPMLLTMRRRIESGSLCLDGRISLITEKFKVLTDEEENVVGEREIDAVNEKDKDVVFDVEKSCSLCSCFEQKLRSGEKCFEIKELAKDSLCEASREKGDFSRVREIPQERDNYSTSATQGEYPPDIQCKDKFLMQMVMDKARKPSL >Al_scaffold_0008_770 pep chromosome:v.1.0:8:5690168:5691301:1 gene:Al_scaffold_0008_770 transcript:Al_scaffold_0008_770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQU1] MISFTSDPCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNMRELVFVPCVEHSQGGYFELYFDLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIISRKENAME >Al_scaffold_0008_771 pep chromosome:v.1.0:8:5714602:5715214:-1 gene:Al_scaffold_0008_771 transcript:Al_scaffold_0008_771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQU3] MESLEPTILDEDVELEPNQDEKEQAALYKGLDMLKELETSRGRFKKNHDNHQNKRILSHKDLAANKIIFQKDDVKNQRDRNTNELRRPQASRTIIVPKKTRPIAKTYCKWPHLQPNKKVTLSGLSAAENIMTGQRL >Al_scaffold_0008_776 pep chromosome:v.1.0:8:5757992:5758971:-1 gene:Al_scaffold_0008_776 transcript:Al_scaffold_0008_776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRE8] MKKWLGTQASINIAKVLSIQELMNSTPRHYYDEHGVVGPFIDNEVGILNVYALAHHFRESGIVYEEDCPITEEQIFLDESTTTEGCERIIPSICDVHSIYDYIADYGGEDGVSMFHQELEYQLREGGPLSIQFEVYPSYYTTQGNLYNPSNSELEFIPLGGHYVIATALGTLDGFLALECQDTLLENKYFIFNDFPTKYAM >Al_scaffold_0008_793 pep chromosome:v.1.0:8:5885598:5886550:-1 gene:Al_scaffold_0008_793 transcript:Al_scaffold_0008_793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRG3] MLKSCCFAHVEAILHCFGFVWLSRCTDLVHDQVRRKSERREKLERVSAFEAILHCFGFVWLWRCTDLVHDQVRRKSERWEKLERVSEFEVVMSSVKRKVQAKGDNGSSDDYVNGNSHVPFRDS >Al_scaffold_0008_797 pep chromosome:v.1.0:8:5910444:5912298:-1 gene:Al_scaffold_0008_797 transcript:Al_scaffold_0008_797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MRG5] DHGIQRYGTNDVVEPLNDQLRRSLLQDLNRHAAVVLEGRCIDVESEGTRIVAEALTRAKQVSKADGEITKDANQERLERMSLATEMEDLQAPQNFPLAPLSIKDPRDYFESQQGNILSEPRGAKASKRNVHEAYGLLKESILENRMTGLSDPLIKPEVSFEVFSSLTRTISTAKNIVGKNPRESFLDRLPKSTKDEVIQHWTSIQELLRHFWSSYPITTTYLSTKVGKLKDAMSNTYSLLDSSAEVVMEAAVTVVVASITTALKLIGRRNVIIVTPLNSLISYL >Al_scaffold_0008_798 pep chromosome:v.1.0:8:5913261:5914350:-1 gene:Al_scaffold_0008_798 transcript:Al_scaffold_0008_798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRG6] MVEVENRYVLAKTLVILGTDNWQIPKLVKPTHIARNISKGLASAKFLGPVTIEAVGKPLLDFVTQQLRSSGIRVTQVFSDTKLVLRMAIWALDTPDPANILLVAGDGDYQDIVDHLRTRGHNVMLAQIIRSSNLMLKITSKIIWEWGDLASGRGPLREEGNLHIREKYLRKYLGNRPKSQIAEAPSIRCELCYHLLPLPKKPEKLQCRCSYMIDLTSTTPLTPLTTLL >Al_scaffold_0008_799 pep chromosome:v.1.0:8:5931267:5931452:1 gene:Al_scaffold_0008_799 transcript:Al_scaffold_0008_799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRG7] MEVTYTRESAIVCGSNLDYGVRTSMVFGDSMSRRKGLARASGSEKAMVLKWLKRRSFKHGT >Al_scaffold_0008_800 pep chromosome:v.1.0:8:5954452:5955219:1 gene:Al_scaffold_0008_800 transcript:Al_scaffold_0008_800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRG8] MAQLELQVGSKLRWLSQHIASDAEPATAADNSEGGDNDNNDPPVGGNVAGMIRLLNLSEHTFARDAAPVLNDANPDVILDPSKIHPKGADLIRYGSDF >Al_scaffold_0008_801 pep chromosome:v.1.0:8:5956099:5957297:-1 gene:Al_scaffold_0008_801 transcript:Al_scaffold_0008_801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRG9] MKRITIISSEKTKHTLGVRHAHRRRKEKRAAVSSDNGDGNGRGGSGGCDNSGNGGGDDGGGGGDGGVMVPITVAMVMVITVVLGGAITEVAVVVVGDGNGDDGSSGGCGDR >Al_scaffold_0008_806 pep chromosome:v.1.0:8:5996454:5999712:1 gene:Al_scaffold_0008_806 transcript:Al_scaffold_0008_806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRH5] MALSSFSSSSSSSRKWENDLFLSFSGEDIRKSFLSHFYKELDRKPILVFKDNEIKRGISLGPKLKRAIRDSRIAVVIFSRKYASSSWCLNELLEIVRCKKEFSQVVIPIFFHLDPTHVRKQTGVFGMNFEKTCHNKTEKMKIRLRRALTEVANITGYHSSVTCKNEAKMIEAIIADVLGELALTPSKDYEDFVGIETHIAKMNFLLHLEAKEVRMVGICGPSGIGKTSIARVLFNRLSRRFRCNVFIDRAFLSKSMEHYSGANLGDYNMKLHLQGIFLSEILGKRDIKICHLGAVGERLKNHKVLIFIDDLEYQVVLDTLAGHTDWFGCGSRVVVITKYKHLLKAHGIGRIYEVPLPSNPLSLQILCQYAFRQNHPPDGFMELASETSLRAGNLPLVLNVLGSHLRSRDKKYWMDMLLRFGKGQHGNIEETLKLSYNGLNKNDEAIFRHIACFFNGEEVDDIKSLLADSDLDVNMGIKNLVDKSLIKETCNTVEMHSLIQEIGKEINRTQSSEPGEREFIVDSKDVFTILEDNTGTENVLGISLDIDETDELHIHESAFKEMRNLQFLRISTKENKEVRLNLPEDFDYLPPKLRLLSWRGYPLRSMPSTFCPQSLVKLEMRYSYFEMLWDGVQPLTTLKKMDLWGSKNLKEIPDLSMATNLETLNLGACSSLVELHSSVQYLNKLKRLNLSYCENLETLPTNFNLQALDCLNLFGCSSIKSFPDISTNISYLNLSQTRIEEVPWWIENFTELRTIYMWNCDKLEYVTLNISKLKHLAIVDFSDCGALKVASLNDSPITVEMADNIHSKLPFYVEVSSSLPYDHFPRVELDFLNCFKLDQEALLQQQSVFKRLILPADQEVPSYFTHRTTGTSMTNIPLLQTSLSQPFFRFLACAVVDSEIISIDHISFLIEVNCQFIDGLRNHFGSAYWPMYFAAAPLGSHLVIFNCSLPLNGDYAYLAKRHYDHVDIQFRLTDDYSQIKLKGCGIRLY >Al_scaffold_0008_807 pep chromosome:v.1.0:8:6006641:6008110:1 gene:Al_scaffold_0008_807 transcript:Al_scaffold_0008_807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRH7] MVLTCVVVIKSSSSSKEETSVKLPQQYPRNNDAIARITSLFMASSSSSRTRSYDVFPSFSGEDVRKTFLSHFLKELDRRLILAFKDNEIERSRSLDPELNHAFKGSKIAVVVFSRNYASSSWCLNELLEIVRCKEEFGQMVVPIFYHLDPSHVRNQTGDFGKMFEQTCQHKTEDQKIRWRRALTDVANILGYHSVAWDNEASMVEEFANDVLGKLTLSPSNVFEDFVRIEDHIKEISSLLHLESEEVRMVGIWGPSGIGKTTIARALFSRLSCQFRSSVFIEKVFISKSMDVYSRASLVDYNMKLHLQRTFLAEILDKKDIKIDHIGAIEKILKNHKVLIFVDDLDDQDVLDALVGQIFKTTIWTIKDLLADFSMFVMQMKKNFEMEKHDESNAIL >Al_scaffold_0008_811 pep chromosome:v.1.0:8:6053322:6055532:-1 gene:Al_scaffold_0008_811 transcript:Al_scaffold_0008_811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G42670) TAIR;Acc:AT5G42670] MTQINLPFEVGQTVELRSFISGYRGAWFRCKKRRALFYDVEYLDYPGESIHTTKVFQQLEGGSEKHLMIRPVYPRQCHENEVLNKEGGALEEAAVVHDDWKVGDLVDWWEAYCYWSGTVLEVKENGSVQIELLAPPHGEGSIYEALSKDLRPSLEWSLDDGWTVPFSKDGEKRQCAKLMKHLNEDQVNEAKSMEEEEQSGAEPREEEKKRPTEKLKKDGALRLNIMESESVEAAVLDLEELIVRFEWMKGILAPDSSEKSSWIYEPYRPSSSRI >Al_scaffold_0008_813 pep chromosome:v.1.0:8:6061193:6062702:-1 gene:Al_scaffold_0008_813 transcript:Al_scaffold_0008_813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MS09] MSEKEEAPSTSKSTRAPSRPTLSLPPRPFSEMFFNGGVGFSPGPMTLVSNMFSDSDEFRSFSQLLAGAMTSPATAAAATASDYQRIGEGTNSSSGDVDPRFKQNRPTGLMISQSQSPSMFTVPPGLSPALLMDSPSFLGLFSPVQGSYGMTHQQALAQVTAQAVQANANMQPQTEYPPSSQVQSFSSGQAQIPTSAPLPAQRETSYVTIIEHRSQHPLNVDKPADDGYNWQKYGQKQVKGSEFPRSYYKCTNPGCPVKKKVERSLDGQVTEIIYN >Al_scaffold_0008_814 pep chromosome:v.1.0:8:6063934:6064564:-1 gene:Al_scaffold_0008_814 transcript:Al_scaffold_0008_814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MS10] MCLRELVSLKERCENMIEYVKRLRLCIRWFQELELDYVFEQEMEVHDKVNQTRKNLVRLRVFRLLTRSATF >Al_scaffold_0008_816 pep chromosome:v.1.0:8:6097166:6098805:1 gene:Al_scaffold_0008_816 transcript:Al_scaffold_0008_816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MS12] MASVSTPFPISLHPKIVRSKPLKFRVLTRPIKASGSETPPDLAVATRTGSKDLPIRNIPGNYGLPIVGPIKDRWDYFYDQGAEEFFKSRIRKYNSTVYRVNMPPGGFIAENPQVVALLDGKSFPVLFDVDKVEKKDLFTGTYMPSTDLTGGYRILSYLDPDGTACNFLARAFYGTNPVDTKLKADAPGLITKWVLFNLHPLLSLGLPRIVEDPLLHTFSLPPALVKSDYQRLYEFFYESAGEILVEADKLGISREEATHNLLFATCFNTWGGMKILFPNMVKRIGRAGHKVHNQLAEEIRSVIKSNGGELTMGGIEKMELTKSVVYECLRFEPPVPAQYGRAKKDLVIESHDAAFKVKAGEMLYGYQPLATRDPKIFDRADEFVPERFVGEEGEKLLRHVLWSNGPETETPTVGNKQCAGKDFVVLVARLFVIEIFRRYDSFDIEVAKSPLGSSVNFTSLRKASF >Al_scaffold_0008_818 pep chromosome:v.1.0:8:6124301:6124870:1 gene:Al_scaffold_0008_818 transcript:Al_scaffold_0008_818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MS15] MAKLTSNLQKQNRSRANTTHQQLSNTFGLGVRKAGSNNKHTSGYTLPRTRPLVKTLARERKQQRTKQFGETMNQGNQRQLNAGRDRRNIERRLKANTERKRAPSSYVRRRSRAPPSHHRRRAAVRQQEGEAWVARPRNYPPLAKKEPIRCLKIVEKHR >Al_scaffold_0008_822 pep chromosome:v.1.0:8:6203880:6204083:1 gene:Al_scaffold_0008_822 transcript:Al_scaffold_0008_822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MS20] MDFDTLNLIDEDNFNLEDEDMIQEDDNEQNQILPHETASSGTAEKGTRSSSILRTNAGKLHKRREIS >Al_scaffold_0008_823 pep chromosome:v.1.0:8:6208410:6208678:1 gene:Al_scaffold_0008_823 transcript:Al_scaffold_0008_823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MS21] MEETCVLKKVATEEVVTNKVVELEEGELVTEEVQSTSGAIQPTLIEVNQMCKQQSTVSPSILITKADCLNETQEDSCS >Al_scaffold_0008_829 pep chromosome:v.1.0:8:6252818:6254020:1 gene:Al_scaffold_0008_829 transcript:Al_scaffold_0008_829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MS27] VITKSDRWIHMQKTAICRKTSIFYRIYESLILSSSDILSMIACNKEGEFAKHIHTKIDSRSVIFVWQSPATNDCDQRPIAATGCTDQRPEFSVAKIFSDFQRLVAGSQRLRNEQYSDYKIK >Al_scaffold_0008_837 pep chromosome:v.1.0:8:6338083:6338723:1 gene:Al_scaffold_0008_837 transcript:Al_scaffold_0008_837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MS37] MEQNTNSTHISQTDECIVAIQQAASWVLSVDRLRGLFVMLMGVFCEADPLSVWDATWDVLFKDVLFPQQIIFNDHEPEYAYNEIQNFKTFMRKENSTFNVYAELRKRVALAGGPTCDPSLEYKMRDQFNNLKID >Al_scaffold_0008_843 pep chromosome:v.1.0:8:6391996:6393130:1 gene:Al_scaffold_0008_843 transcript:Al_scaffold_0008_843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MS44] MIPFTSDSCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRVTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKQRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >Al_scaffold_0008_846 pep chromosome:v.1.0:8:6405218:6406006:1 gene:Al_scaffold_0008_846 transcript:Al_scaffold_0008_846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MS47] MVWNYSSLPISLPKLKYYIYVSFHALMRFRVCQWKLASLFTPSMTINKTKGAGSAPLPARLTSSPPRLADFRYSTGIFQKYTVLKPQPLILA >Al_scaffold_0008_851 pep chromosome:v.1.0:8:6446596:6447597:-1 gene:Al_scaffold_0008_851 transcript:Al_scaffold_0008_851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSR2] MLHGMLKHPYPTYNDMPGNDRELWFRTFAHEFNWDIVHAPLIRVAFHNYASSKFGDHMNIWKGKWVAGKECPKGLNPDIWLGLKEYWVLSDTARIVETNKKKPEEPTRWQRSSGGQCGFDELLQPCIRIDGEERRKGRRSASRSFLKMMMVPRQPTSLSEKRSTAWFLSLNQSIECSYSGPSRDSEIFAELEEKDKEILALKEQNKKILDFMCS >Al_scaffold_0008_852 pep chromosome:v.1.0:8:6448215:6449486:1 gene:Al_scaffold_0008_852 transcript:Al_scaffold_0008_852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSR3] MVGRNEEDVTGCFNGINIDKQEAFVANVPKRKRDTSKFQDELGSLGAAVSERLERVEHSTTELENKVFTEIGGVKENLDRFEEPFVKLKGKVMGALKELQSVDEAIAAAESLSDFVIQNQSEVYKKNERVVPRRSHTARDFLKLGSLYAFLEKAEVDVQEETGMIGFLQLLNDLKTTTTTSKAKSKGLMYVDIYFNGRATQAMVDTGATHNFVSEGEARRLGLTWTKGDG >Al_scaffold_0008_853 pep chromosome:v.1.0:8:6460217:6460794:1 gene:Al_scaffold_0008_853 transcript:Al_scaffold_0008_853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSR4] MKKKNQPNLRLVFLLLVVILVSCSIDRALASPQELLPLTGYRWRVKMIQSQPSRSNPSRGRSIRDGPGHGYYIPKPPPPPLLSPLSSPLQSSSSSLAPSSMPFSSP >Al_scaffold_0008_856 pep chromosome:v.1.0:8:6470163:6472040:1 gene:Al_scaffold_0008_856 transcript:Al_scaffold_0008_856 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor [Source:UniProtKB/TrEMBL;Acc:D7MSR7] MNIFRLAGDMTHMFSVLVLLLKIHTIKSCAGVSVKTQELYAIVFATRYLDIFTSFVSIYNTFMKLVFLGSSFSIVWYMRYHKAVHRTYDREQDTFRHWFLVLSCLLLALLIHEKFTFLEVLWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYIFLLGGYRGLYILNWIYRYFTEPHFVHWITWITGLVQTLLYADFFYYYFLSWKNNKKLNCQLNFKIFDARKP >Al_scaffold_0008_857 pep chromosome:v.1.0:8:6472842:6474904:1 gene:Al_scaffold_0008_857 transcript:Al_scaffold_0008_857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MSR8] VLHETGGGFESKNSYGSGLFQMRIKVPGGNSGGVVTAFYLTTKGGGHDEVDFEFLGNNNGRPITLQTNLFLNGEGNREQRFLLWFNPTKHYHTYGILWNPYQIVFYVDNIPIRVYKNENGVSYPSKPMQVEASLWNGDDWATDGGRTKINWSYSPFIAHFQDFALSGCNIDGRSNNVAACESSNYWWNAASNHALSHNEQKLSEYVRKKYMNYDYCIDRSKYQTPPRECY >Al_scaffold_0008_858 pep chromosome:v.1.0:8:6477631:6479433:1 gene:Al_scaffold_0008_858 transcript:Al_scaffold_0008_858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25060) TAIR;Acc:AT3G25060] MLHRTLLCPRRLKFLLSASNYKRHIAQVHAFVITSGNLLNGSSISRDLVALYGRIGEISYARKVFDQLPQRSVSVYNSMIVVYSRGNNPNEVLKLYDQMISERVKPDSSTFTITIKACLSGMALEKGEAVWSKAVEFGYKNDVFVCSSVLNLYMKCGKMNEAEVLFRKMTKRDVICWTTMVTGFAQGGKSMKAVEFYREMQNEGFGRDRVVMLGLLQASGDLGDPKMGRSVHGYLIRTGLPMNTVVETSLVDMYAKVGFIEVAFRMFSRMMFKTAVTWGSLISGFAQNGLANYAFEAVLEMQSLGFQLDLATLVGVLVACSQVGSLTTGISVHCYILKRHVLDRITATALMDMYSKCGALSSSRVIFEHVGRKDLVCWNTMISFVSMFLKMTETNIEPDHATFASLLSALSHSGLVEQGQHWFSVMINKYNIQPSEKHYVCLIDLLARAGRVEEALDMINSAKLDNALPIWVALLSGCINHRNLSVGDIAANKILQLNPDSTGIQTLVCNFFATARKWTEVAKVRKLMRNGAMEKVPGQSVIEMNGQLRTFLMEDLNHHEHAHILQVLRNLNSEMKNVYTSISECVISHS >Al_scaffold_0008_864 pep chromosome:v.1.0:8:6509075:6509708:1 gene:Al_scaffold_0008_864 transcript:Al_scaffold_0008_864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MSS6] YTGLGYTRYNANDVHQDVLGCVVENKVLQSSQLSCVHESDLQKTVYPARLNSMDMLPSSSLTGLGKVPSATDLFMRGCLAKLELSDAKLV >Al_scaffold_0008_868 pep chromosome:v.1.0:8:6538105:6538787:-1 gene:Al_scaffold_0008_868 transcript:Al_scaffold_0008_868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MST4] MASLFNQVPSVSTVFALYTSLSAISMILRRIINEIVPKPIRDYINVKVVDLFTSYCQQTFRAAEVYFKMRLAGLSTGQLLVGSSDLKNPEAEPNLGIPVNTKIVDEFEGIHLEWTLHCVELKSYPFEKRYFNLTCKKEFREKIMTDYLTYIATSAEKIMRHREKLFIYSYSREGGWQSAK >Al_scaffold_0008_877 pep chromosome:v.1.0:8:6642349:6643059:-1 gene:Al_scaffold_0008_877 transcript:Al_scaffold_0008_877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTE5] MVHTIAVFGDWMLKNVWHFTIDQTKGSKLLVLVDDMSYAGLLQIVEEEYQLDMKRKGEENRKVSLVNELFATSGEKYKVQVANELFATRGEIRDHVDLGDQYDKNDGGDNNNILSSNNTDIKVGEYFKSKYEIQQRLWMLSVKYKFGWRVSKSDPTKLVVVCKNNGCSWRVLADINPQKEMP >Al_scaffold_0008_878 pep chromosome:v.1.0:8:6652855:6655226:1 gene:Al_scaffold_0008_878 transcript:Al_scaffold_0008_878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42370) TAIR;Acc:AT5G42370] MIASRVFSSILNSHHRLSFWWFSLLFTVTIAAEETPITRIAFGSCANQSAPQPIWDAINNFDPQLFIWLGDNIYGDIRRPLTVIGKERTFGPWKNTPRFVPSSEEEMKLRYAKAKANPGYSRLQRKVKVIGTWDDHDYGLNDAGKEFDRKVTNQKLMLDFLDEPLDSPRRKQAGVYASYTYGPPNRKVKVIVLDTRYHRDPLRSDGSILGDTQWDWLEKELRGPRSEITIIGSSVQVISNLSATTGPLFYMESWGRFPKERKRLFQLISDTKRNGVIFISGDVHFGEITRYDCSVGYPLYDVTSSGLVQSVEKVFPRPLRSIVRLLFWYTPSTMRVINDNCKFKSCTYGQQNFGAISIDWNANPVIIRLEIRDVNGHTVLGTNVSLSELQPGGSNSLKDATTKGKSQRYCTLEIELPGLIRYRLAVLIYFTIAVLAMAILGLIIGGVLAITACVYKCKVD >Al_scaffold_0008_88 pep chromosome:v.1.0:8:433199:433825:-1 gene:Al_scaffold_0008_88 transcript:Al_scaffold_0008_88 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MPX9] REPYLHHEYVGKFQDVMGRNMARPGERWSETLMRRAEEAVLVTRIREEQQRLGVAESDWIGNEKMEESEEEEEEEEEEEEESEEDEEAKNPTEASSSLEQVTDINGTLHDREHNNKATTVLPPEEMQDMMEQFTSIMQQKFLSGEDHQHLDYTKIDNDETLDDHWLRRDWP >Al_scaffold_0008_880 pep chromosome:v.1.0:8:6695077:6696557:-1 gene:Al_scaffold_0008_880 transcript:Al_scaffold_0008_880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MTE8] MRTKSMLGSMVMWICGRHSPRLLTVEEGGKWRKAVDGRTVVAVSSLGQDMKDSEVLLTGRVHKYQGKSNKLGFLVLREKGYSDPKSAIKGTTQQVEIYVRKVFCISKALTQLLLDVDAASQKEEDEQDASGERRTPHVSLDTRLNNRVLDLRTPANQAIFRVRSHIENFFRQYLLALEFDSIHTPKLLARKINGGASVFCLDYIGKDASLAQSAQLHKQMAISSGFTKVFDIGTYFRSERSSTNRHLTEFVTLNVEMELKYHYNEVMDLVDGLFADIFMQVNQSCANQLDAIAKQYPFEPLKFLPNTLRISFERGVQMHE >Al_scaffold_0008_883 pep chromosome:v.1.0:8:6720713:6727549:-1 gene:Al_scaffold_0008_883 transcript:Al_scaffold_0008_883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTF1] MPKRIFRIISLSSSANHLSTIKTNADGYAAMIMKVLDKDNIGYIMMGSLKSLLLEAETHSISTNSEERKKLGDMISNKLKPTLDPNPLKRWYHKLRFFVLDNWQRIWVIVLWLMIMAILFIYKYIQYKNREVYEVLGHCVCLAKGAAETLKLNMALILLPVCRNTITWLRTKTSLGVIVPFDDNLNFHQVIAVGIMIGVAIHSVTHLACDFPRLIAATPEEYMPLRKFFGEEQPKRYLHFVKSTEGITGLIMVFLMAIAFTLAIPWFRRGKLEKKLPEPLKKLASFNAFCKEWYKKTTWMYLAVPVAFYACERLIRAFRSKMETVKVIKAATYPGNVLTLHMSRPTNFEYKSGQYMFVNCPAVSPFEWHPFSITSAPHDEYLSVHIKSLGDWTKAIKGVFSEVSMPLPVGEMSHGANNSDFPRIMIDGPYGAPAQDYKKYEVVLLIGLGIGATPMISIIKDIINNTETKELSQVDLGKVGSQHEPQGEKETFKTRRAYFYWVTREQGSYDWFKNIMNEIAERDKNKVIELHNYCTSVYEEGDVRSAFIHMLQSLNHAKSGLDIVSGTKVMSYFAKPNWENIYKQIAMDHPGSNVGVFYCGAPALTKELRNLALEFAHKTRTRFSFHKENF >Al_scaffold_0008_89 pep chromosome:v.1.0:8:441987:442792:-1 gene:Al_scaffold_0008_89 transcript:Al_scaffold_0008_89 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPY0] MGTKWADRKLIPAPEQCIGTWRMTGSRGFFTNAGGNKVNSFVAGVRNRFCGRKSGESNRPESDLINPVRRSTLTAASKTVAQAEPRRLLRTKHDSQHLPSTSVAPAAPPMHRVDLRPSSVGDKICSPPLLPAPPKTCMKNDGGGEATSQRRREAGEGGSSRRRRLSLKSLDLEELRERRERMCPK >Al_scaffold_0008_9 pep chromosome:v.1.0:8:44855:49345:-1 gene:Al_scaffold_0008_9 transcript:Al_scaffold_0008_9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNJ2] MRKASMGSDSEYAFRLQMEEALAASLSSQSRTPQRPPSPPIVARCGIAIVENDRNGSTTAKKSSGYGFDFRRAIGGGNSKGKGKTNESVTGVRTDEPNPNIGLGNSRSTSGHDNMKPLPQVTGKWMAKQKKISLLLDDLQSIMQHFSSCQHVLVAGNDVKFAYKLARESILSKITPHEDPRQAKSARKEECAICFNDILAERMFSVGKCRHRFCFQCVKQHVEVKLLHGMVPKCPHDGCKSELVIDACGKLLTPKLSKMWQQRLKENAIPVTERVYCPYPRCSALMSKTKISESAKSLLSVYPKSGVRRCVECRGLFCVDCKVPWHANLSCTEYKKLHPNPPADDVKLKSLANNKMWRQCGKQP >Al_scaffold_0008_90 pep chromosome:v.1.0:8:444350:445110:1 gene:Al_scaffold_0008_90 transcript:Al_scaffold_0008_90 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPY1] MIQMHDAWLTTTVVQALFFDQESSSKGASNRSESQEFVSRVKETPTDTHSNMHKLHLGPAETASLGKAKTILEGGGKRGEDPKKIVRERRGSEHKHHISRDR >Al_scaffold_0008_911 pep chromosome:v.1.0:8:7063074:7064130:-1 gene:Al_scaffold_0008_911 transcript:Al_scaffold_0008_911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MU43] MYILTNCEDILEYERIYKAVVRSYYPEFTEDQIVDLKEKEFSCWLQYYVCYINYPRVTRVNDPWITVTSVNPRGQVYGVAAHEPLQASGSCQMASVEHSLEVDLMVDFTLFEDEIVHSESEESVGEFDDDIDSIPSDYSTDSE >Al_scaffold_0008_914 pep chromosome:v.1.0:8:7236795:7237122:1 gene:Al_scaffold_0008_914 transcript:Al_scaffold_0008_914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MU47] MGSMSLDSRRYWSAGLAPSAATSLAPSVATGLAPSAVTGLAPYSGYYSTVLASSSSYCSGLLDSSSGYCSGLLDSSSGYCPDVLAPTAAS >Al_scaffold_0008_919 pep chromosome:v.1.0:8:7314346:7315155:-1 gene:Al_scaffold_0008_919 transcript:Al_scaffold_0008_919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MU49] MALFGRESQLHLLAIHFFFISFRRPNRKISEIKKIKTRSLKIETLIGERERERLYGNANVVEEGVMIGGGREMVVVEIRVLRRERKRGTSGGGTTGRIRGEDIFVGEIKKVVDSK >Al_scaffold_0008_92 pep chromosome:v.1.0:8:449108:455160:-1 gene:Al_scaffold_0008_92 transcript:Al_scaffold_0008_92 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPY2] MSRKEESKDSLVEIEIAIPRKMKSEACVDKSGTTDYIEYIIATMHRHKLEKEEKLIEAFKFSNKDRSGFCILITVSFFT >Al_scaffold_0008_922 pep chromosome:v.1.0:8:7426332:7428844:-1 gene:Al_scaffold_0008_922 transcript:Al_scaffold_0008_922 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42170) TAIR;Acc:AT5G42170] LSNVSSDIAFVIHVGTTYGFCLMKYMAAERLGIAEAIPAYLNPKLKNEDLLKGINFASGGSGYDPLTAKLVKVVSLSDQLKYFQEYKEKIKGIVGEEKANFIVKNSLYLVVASSNDIAHTYTARSLKYNRTSYADYLAGFSSEFVRELYGLGARRIGVFSAVPVGCVPAARTVHGRLKRKCSDKLNEVARHFNVKMFPTLEALGKELPDSKIAFIDVYDTLNDMIENPKNYGFEVSNRGCCGTGLLEVLFLCNKINPFTCKNSSSYIFWDSYHPTEKAYQIIVDKLLGKYIKQLV >Al_scaffold_0008_923 pep chromosome:v.1.0:8:7429355:7430988:-1 gene:Al_scaffold_0008_923 transcript:Al_scaffold_0008_923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MU54] MSRLVYIIFLLVVVEGSRNTLDQNTETNASEAKVEGICTIKLPPNVSIPGVITFGDSIVDSGNNNHLRTALKCNFPPYGKDFPGKIATGRFSDGRVPSDIVGKYNLISSFFKSRISKS >Al_scaffold_0008_925 pep chromosome:v.1.0:8:7436298:7438434:1 gene:Al_scaffold_0008_925 transcript:Al_scaffold_0008_925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MU56] MENSKCPEVGPVGSLLNSPESSTRVAVLVMDMDWNDAMQLLSSWIGQFLDHMIQLVCGMCLSLIEDLGLYLLWRSYTFLDEKALQRRHQRRLLKLQLRVLVLEANELLRSDYDHLVWLLSPEDAIQVRNIMERYRFNQFVRH >Al_scaffold_0008_927 pep chromosome:v.1.0:8:7446479:7448876:1 gene:Al_scaffold_0008_927 transcript:Al_scaffold_0008_927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MU58] MKKHCKEDIKEGCSSCSCEFCPEDAIQVRNIMERYRFNQFGTQVFLVNFRLRSYIIISYFLKVHFYFASKENYLTKNIRYLISDKAFWIHKLSFRNCIGARRSDSRRIEFHHWKRNLRISDEIRVFFLRSLKKQFLASRLVGFLRFRRWTFHGAGGYPKRFKSRREKISELLFSCRVNRCIRFDHLELTIPRDDEEGEANLGCGVAGDDGVTRPISVLLESLRKLQLMSLNLDILKVLRFFFQSTEIGKAVNGVGSRNSKLLMQTQEVKSSRTLTRSECWNQRVLVVFLSREVTVLILLCSWQKLKALDADAKFQFAKRKLQVDSGWDIDE >Al_scaffold_0008_93 pep chromosome:v.1.0:8:457354:459671:1 gene:Al_scaffold_0008_93 transcript:Al_scaffold_0008_93 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPY3] MEEKGLIKQFWVAVVAVALLIHVSVSVPFVVLHGITAACSDPTNANFTQLLMNLSGSQGSCLEIGDGFFASMLMPLTQQAEIACEKVKQMKELSQGYNIVGRSQMEQGNLVARGLIEFCDGGPPVYNYISLGGPHAGISSVPTCGAQMPGLVCDAFDALVKADVYSEFVQDHFAPSGYFKNPMDMKRYLENSKYLPKLSNEIPNQRNSTYKDRFTSLHNLVLVKFQNETIIIPNDSTWFGFYPDGQVEPVLPANKTALYTEDWIGLKTLDAAGKVKFVSVPGGHLEMADHDVLKYIVPYLQNQS >Al_scaffold_0008_933 pep chromosome:v.1.0:8:7538165:7538610:1 gene:Al_scaffold_0008_933 transcript:Al_scaffold_0008_933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MU65] MLSPVVEADEEENEEEESRNEEEENEEEENKEVQGNGEDGGETSGSSTTLLDSSSDESIEDEIADGNRVKNAAEMNRETLRYLGKTGRTGNTIVCDIHVELGNTGNTRELLLSVILVIQGYNYD >Al_scaffold_0008_935 pep chromosome:v.1.0:8:9780662:9781103:1 gene:Al_scaffold_0008_935 transcript:Al_scaffold_0008_935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUT2] FNNIYIYIIYIYIYILYIYIFYIYIFYIYISYIYFFYIYAIKFFYVYIYVYLFVCIYIFYIKIYIIF >Al_scaffold_0008_938 pep chromosome:v.1.0:8:9825792:9827201:1 gene:Al_scaffold_0008_938 transcript:Al_scaffold_0008_938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUT5] MAVNSNHRFLSFSSVLVGFAVKRFCLLLFFGFPYFLQHRQQVKAHMDSHFGVETPAEKKLEDVMPIATGHEKRSLKLNWRVEESSNTATKRKEGGRDVVVAESEELRIEESSNTELRGVNLRKPLQLLERSGKVEEIGAEAKMELFGLHKIATEGSCREAQPMAVMVTARAKWYHTFRCFYIPYSATQLLIF >Al_scaffold_0008_939 pep chromosome:v.1.0:8:9901959:9902276:1 gene:Al_scaffold_0008_939 transcript:Al_scaffold_0008_939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUT6] MNIHRIATKAKRKALYPEPKQPRISSSTIARKFSQSNELLAARRREEGYEGFDIIPSESSEETEEIEEQDETALEISHSSQETEEIEPLEQFTRPPRSSSRFVVT >Al_scaffold_0008_948 pep chromosome:v.1.0:8:10054253:10054617:1 gene:Al_scaffold_0008_948 transcript:Al_scaffold_0008_948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUU5] MQARCRQKMQARCMQKMQAEDPVDEMWMRSAGFEATETEKERNGYLLSSRLMRLRSPDLLRQRQRRRRRETAVEKNGGGERRRKRETES >Al_scaffold_0008_951 pep chromosome:v.1.0:8:10083622:10083831:-1 gene:Al_scaffold_0008_951 transcript:Al_scaffold_0008_951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUU8] MVVVVIPVMVVPVEIPAAEFMALWFRQRWFRRPSFRWWRSSGGDPDSRDSDGGGSGGGRSGGGDGSGLE >Al_scaffold_0008_958 pep chromosome:v.1.0:8:10122839:10125366:-1 gene:Al_scaffold_0008_958 transcript:Al_scaffold_0008_958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUV6] MDATNHDDDFNSTTNWKLAGGALTDSISFESSFTANHESDDGIISAAVNHVTKSPLLLLPPVPNSEPCEITITFAQEHELKQIYIRSSARVYEVYYTKKRRHDKEYLCTVRCGVAIRDEEVLQSSVEPVKDPIEKRVKDNGNGRMNEDDWVDVKAGDDSLLNNQKDLVPMSQLGKQVFYEATAEINDAEPCTSITVRLLSLQDKRCALVDEVYVFADPVDPSESEKEEASGTGNSSSSSLMAMFMPALLQLSRGKDVRKERDRQVSDESNSMDPVDLGNANDSDRKETNFSRADKIGESSPVLVDTLPKRVDAVTRVSGAEIKPALSCNNVETILYQLVNKVSMIETILIRFEDQMLKPINSIDARLQLVEKKLEQLGKKKSFESELDFQVKMPYPDSLGSDTDKTPDTDELDGLTINTDDPQLASCTKTVVLDSSSIDNSEDCSVVLPKNRLDNILPKSISNEEVGYTFEEKPKHSLSINDALASALAGLLSSHSMTSGKYSQALVVTAPEFSNEDDVEIEEKSQVSTLPDESQVAAEESENTYAASGNPSSSLKGLASCIEDNSQEIIYGVSKKLDDSFGGDEEAETVVSVRDNGLDEETVRLSTKADCYTERENLSDKPMDPDSLIHEIENPNLTSPKCKGEPGMDDVLKSVLGFQPTTSSVDFLTPVLDVKFNSENKDSDSKCFFEALFTEESKTDVDCKNEALDDNLVSVEDEELKGPPTDTLSSMEMDHYATNEMPLHWNVEITEASLI >Al_scaffold_0008_963 pep chromosome:v.1.0:8:10238620:10242066:-1 gene:Al_scaffold_0008_963 transcript:Al_scaffold_0008_963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUW2] MGPKKVTNRGGRKKKKHSEEPEFLGTINPPQPDHNLQHNQRLEGVQPLEEQDQHPSEEQPVEAQPLEEQDQHPSEEQPVEAQPSEEQAQHPLEEQPVEDQPGVNDGTDEHDHMAL >Al_scaffold_0008_968 pep chromosome:v.1.0:8:10405619:10409607:-1 gene:Al_scaffold_0008_968 transcript:Al_scaffold_0008_968 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 708, subfamily A, polypeptide 2 [Source:Projected from Arabidopsis thaliana (AT5G48000) TAIR;Acc:AT5G48000] MSFVWSAAVWVITVAVVVISKWLYRWSNPKCNGKLPPGSMGLPIIGETCNFFEPHGLYEISPFVKKRMLKYGPLFRTNIFGSNTVVLTEPDIIFEVFRQENKSFVFSYPEAFVKPFGKENVFLKHGNIHKHVKQVSLQHIGSEALKKKLIGEIDRVTYEHLKSKASQGSFNAKETVESLIMAHLTPKIISNLKPETQTNLVDNIMSLGSEWFQSPLKLTTWISIFKVFIARRDALQVIKDVFMRRKASREMCGDFLDTMVEEGEKEEVIFNEEGAINLIFAILVVAKESTSSVTSLAIKFLAENHKALAELKREHEAILQNRNDKEAGVGWEEYRHHMTFTNMVINETLRMANMAPIMYRKAVNDVEIKGYTIPAGWIVAVIPPAVHFNHEIYENPLEFNPWRWEGKELRSGSKTFMVFGGGVRQCVGTEFARLQISIFIHHLVTKYDFSLAQEFDFIRAPLPHFPKGLPIKISQSL >Al_scaffold_0008_969 pep chromosome:v.1.0:8:10455620:10463111:1 gene:Al_scaffold_0008_969 transcript:Al_scaffold_0008_969 gene_biotype:protein_coding transcript_biotype:protein_coding description:thalianol synthase 1 [Source:Projected from Arabidopsis thaliana (AT5G48010) TAIR;Acc:AT5G48010] MWRLRLGPKAGEDTHLFTTNNYAGRQIWEFDANAGSPEELAEVEDARQNFSNNRSRFKTSADLLWRMQFLREKKFEQKIPRVIVEDARKIKYEDAKTALRRGLLYFTALQADDGHWPAENSGPNFYAPPFLICLYITGNLEKIFTPEHVKELLRHIYNMQNEDGGWGLHVESHSVMFCTVINYICLRIVGEEASHDDQGNGCAKAHKWIMDHGGATYTPLIGKALLSVLGVYDWSGCNPIPPEFWLLPSSFPVNGGWTLWIYLRDTFMGLSYLYGKKFVAAPTPLILKLREELYPEPYAKINWTQTRNRCAKEDLYYPRSFLQDLFWKSVHMFSESILDRWPLNKLIRERALRSTMSLIHYHDESTRYITGGCLPKAFHMLACWIEDPKSDYFKKHLARVREYIWIGEDGLKIQSFGSQLWDTSLSLHVLLDGIDEHDVDEIRTTLVKGYDYLKKSQITENPRGDHFQMFRHITKGGWTFSDQDQGWPVSDCTAESLECCLFFESLPSELIGKKMDVGKLYDAVDYLLYLQSDNGGIAAWQPVDGKAWLEWLSPVEFLEDAVVEYEYVECTGSAIVALAKFNKQFPEYKKAEVKQFITKGAKYIEDMQTVDGSWYGNWGVCFIYGTFFAVRGLVAAGKTYGNCEAIRKAVRFLLDTQNLEGGWGESFLSCPNKIYTPLKGNSTNVVQTGQALIVLIMADQMERDPLPVHRAAKVLINSQLDNGDFPQQEIMGTFMRTVMLHFPTYRNTFSLWALTHYTQALRRLLP >Al_scaffold_0008_971 pep chromosome:v.1.0:8:10483713:10485120:1 gene:Al_scaffold_0008_971 transcript:Al_scaffold_0008_971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKC6] MKRGRGDHRRIHRHVYSNNFDYLLDVPKDGAKNARNYRMEKLRARRITFRNRLSGLVLPICEDDYVHFLATDSDFDNGDRENQTDDDDAMDDDLVEHLDNADECILEQEGFGSIPIVNVKPGLTENDYHRIGELLPGPSKSPAFSQLYIHDTVNEVSNRMGVMG >Al_scaffold_0008_978 pep chromosome:v.1.0:8:10543516:10551736:-1 gene:Al_scaffold_0008_978 transcript:Al_scaffold_0008_978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKD1] MVDDESENRIDFFEGLLKTFKEQRKVDIWLQPGDQTDAIPAHKLILAARSKVFWNILDSDDCNVSSIKIFALPDMTTYDELKTFLEFIYSGSLPDTIPVEHFRTLYVAAKEYEIPYLQEVCRNHLIASMNSSDAFDVLELAEIHSDKILKDAISEFITTNMKDTLFSTKFMSFVERNPALAVTTIRAYVNKVEDDRRKTQNTSREGPSPSTVEP >Al_scaffold_0008_982 pep chromosome:v.1.0:8:10582074:10582517:1 gene:Al_scaffold_0008_982 transcript:Al_scaffold_0008_982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKD6] MVFINFRGVELRHAFISHLVAAFEQHRINFFIDKDEQKGRDLKHLFKRIKESHIALAIFSRRYAQSKWCLNELVKIKKLADNKKLKVVPIFYKVKVGDVRHQKGEFGRNFWKLAKASTGEEIKKWKEALEFVSSKMGLPLGNKRYSS >Al_scaffold_0008_984 pep chromosome:v.1.0:8:10606068:10606241:-1 gene:Al_scaffold_0008_984 transcript:Al_scaffold_0008_984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MKD7] SPFSEQFDHAEFVGDRVSDLGKRIRPSMQNIVFIQSRLPNEPRAEKTPVEAGPWYEG >Al_scaffold_0008_987 pep chromosome:v.1.0:8:10619875:10620733:1 gene:Al_scaffold_0008_987 transcript:Al_scaffold_0008_987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MKE0] MTITPFKELEIDGTIINPLEEPSPLIPNEMFRFRHYNELWTIAGTKQHLTDVVGELTKIQGSNLEDLSCDEKITLYPLLADGKNVRVMVWDAGALEFHKLYATIVGKATILIMTAVNPKTYG >Al_scaffold_0008_988 pep chromosome:v.1.0:8:10621918:10622490:1 gene:Al_scaffold_0008_988 transcript:Al_scaffold_0008_988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKE1] MKDGVPEIFSDLVGREFEFEIKITDYNFRSEYETFTVSRTKRQPKGPKPGFMIEGMTLETVTVTPIPYDVANDLKGGY >Al_scaffold_0008_989 pep chromosome:v.1.0:8:10687562:10688442:1 gene:Al_scaffold_0008_989 transcript:Al_scaffold_0008_989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKE2] MYSLSMATAKIFTVANGIRAIEVSLCGESFTIDSNFGINLCSEEKDGGDEPARRLPKSHTFMGLDAVGKVRGLSWRRWGMAMSLSWQVDFGYLSMVYFFSPFIAHDLATSQSYWRLIDLGNYEFCGLSIRQWSVRIQEELVLLFTVKLKIKGMSSILLLRLRGPEAFNYYTKEFIFGWSIVPKTGDDLVEGGFLEIAQWGKDECG >Al_scaffold_0008_995 pep chromosome:v.1.0:8:10860402:10865890:1 gene:Al_scaffold_0008_995 transcript:Al_scaffold_0008_995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKE7] MADRDEATKRAQEKAFECILSLEEDFAKARILLTALFSSFSFGFWSEIIAIRSERDKLAMGAYFATEKLEGVMKESECKREEMNGVLARNIESSQLIIDNQRKLSENSESLPAAEEISRKLSMEATLDTVQSTKEVCEEARAAKRRKQEEHIKQLEREGLKLKRIARRKEECAEYYFGPQSNFEQCCNALKAVSVEEKSPRLLSLSFPWSTFLRLPFFWCCFCEALDMDSGGIALFEIMRWSANLFLAVEEIEKLRGEVECSKSHMLQLESALNMAESTWGSLNGERTSTSASILTEDEIKSLQFQGFDDIKLLMSRWISKNPPPANILGICNPIYLPPPQSGYNLFRPYSYSSPQQDAIQLNSGSKSVAELAPLFLFLLQNQGRLKRIRTVNLVNLPAGFAYYANILVAKALTVSPDISLVGNMHLRRRKTLKQKLEKCEVEIEKTRKTDELNLDTFQHFHKLAKIHEKYQEAVDALRHEQLGRKEAEMILQRVVSIRIYYY >Al_scaffold_0009_10 pep scaffold:JGI8X:scaffold_9:167231:168824:-1 gene:Al_scaffold_0009_10 transcript:Al_scaffold_0009_10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MV65] MEILKSFLHGCKRLLSACPVLETLIVQRWLMDCVTTFTIAIPSLQSLHIMQRPDFHGTTDGREYVVSTPSSLKTLKVLDKFSRFRSLVKMPKLVNAEIKIRQEDSNKIMGCLTSSKYLSLCLTSAGAVLMAKSCEFLCQLEYLELYMY >Al_scaffold_0009_102 pep scaffold:JGI8X:scaffold_9:1008817:1009095:1 gene:Al_scaffold_0009_102 transcript:Al_scaffold_0009_102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVF2] QLRPLACSRNILHRPHGSASWSEGIQKLYLQNTDLKQERRRTKMLRRLVLKSYGNLKPDRL >Al_scaffold_0009_103 pep scaffold:JGI8X:scaffold_9:1012538:1013882:-1 gene:Al_scaffold_0009_103 transcript:Al_scaffold_0009_103 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S6 [Source:UniProtKB/TrEMBL;Acc:D7MVF3] MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRLSQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGHGRRTGERRRKSVRGCIVSPDLSVLNLVIVKKGESDLPGLTDTEKPRMRGPKRASKIRKLFNLGKEDDVRKYVNTYRRTFTNKKGKKVSKAPKIQRLVTPLTLQRKRARIADKKKKIAKANSDAAEYQKLLASRLKEQRDRRSESLAKKRSRLSSAPAKPVAA >Al_scaffold_0009_105 pep scaffold:JGI8X:scaffold_9:1034112:1039606:1 gene:Al_scaffold_0009_105 transcript:Al_scaffold_0009_105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVF5] MVSTRSKRAEVAAAFFRSQSAVSPKKKKAKAVHNSLAEESRCRNTIPDSSNSDTTATIGEGTTPVDVSSSQAQSESSSPRSPTSTSGSNIDKTITSSSDSLSRKDVPDSADGETSVAGVLSSSTDEDNFGKADDQVLPKRFFSTDRYPTRGRVNSYSKPQYLVDVLSVLDGTPELVTLKRSPFSSLFELPSIPLLLRYLPSSEDAQTFYDMSLSVLPTLKTYHTNNILLVENDKDLIVSQPVVSTEEDCVSVGDPKVSHLLSLIRGGYRFSKGDWRGGDASLANCGPDYSPPNTCTPAPVPGLLSSNADSEAIAKLTAEVAHLKNTYAELYVKLKADVVVEVKSFLEARTCGSCSRNCGVASSRADSLSAAVVDTLKPVSSSKPLEEEENVALPSEVKTGKPKFFSSDTGRVYGCVENCSKDVTVIPESRLQTGDSSFQTSVREDDVPSNLQLGQKYLKPMKGRNKRGMISSTRCNVTKSPKRQKQGLPCHVDYIPFHRVPQRLIATFKNQLLSYRNSTYDIDGCEVGKTFFSDIYTPQKWIFSTHMDLILRTFWRKRGSYLAAKGIILLDSLFTQLLCSQYSDFVNTTSPSAFLWDPLVASYIEGTGFIISFYSNCSNFFTFHITFIKMDNLVDDAAIEIYRRVAETSFTMLAPLLLVAKKQSKLALSDAVLSSLSLHEFFNNPELANEGSAFRSFFLKCVAAKNPVANYLESLRIVAQHGDVSHAIAMLYSAVPESDYISFARGMFLIVAQFPSEGIATISSLFNRLGTVAQLDAIGTVVFRHLSIFRPFRRRLFSNLLVLDSIPVCVGNVCNLQNRCLNCFMYWFIIRLNFVL >Al_scaffold_0009_109 pep scaffold:JGI8X:scaffold_9:1053524:1055021:1 gene:Al_scaffold_0009_109 transcript:Al_scaffold_0009_109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MVF7] MESSFDEHYVVTWGQDHVLKLNQSKEVQLSMDHSSGSGFESKNHYGSGFFQMRIKLPAKDSAGIVTAFYLTSKGNSQDEVDFEFLGNREGKPITIQTNVFTKGQGNREQRFVLWFDPTEDFHAYGILWNPYHIVFYVDNIPIRVFKNNKKGVSYPSKPMQVVSSLWNGEAWATDGGKAKINWAYAPFKAHFQGFSESGCHMDGLNDACESSAYWWNTGKYVGISVSEQKAFKNARAKYMNYDYCSDHTRFSVPPDECQWNQ >Al_scaffold_0009_110 pep scaffold:JGI8X:scaffold_9:1055870:1057221:1 gene:Al_scaffold_0009_110 transcript:Al_scaffold_0009_110 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT4G13070) TAIR;Acc:AT4G13070] MLALGYAKGIAHRFLRNPKWTSGLFLHHNQSPNYSIYVKSKPFLQVPCKSASFDVLQAQRRNLFAYDGFFSRRYLSNSTVELRTDDNVVRFAFNNVTGSGNNVVPTRKEKKWKRAKMSRKAKVNELRFYRLKAKKKMNSPNPEVRIRYKLEKAKRKEEWLIEKLRKYDVPKSSAEPYDPESLTEEEQHYLKRTGEKRKNFVLVGRRGVFGGVVLNLHLHWKKHETVKVICKPCNKPGQVHEYAEELARLSKGIVIDVKPNNTIVLYRGKNYVRPEVMSPVDTLSKDKALEKYRYEQSLEHTSEFIEKLEKELEEYQKYVTRHKKKKDEEAEKKKEADSKSKVV >Al_scaffold_0009_113 pep scaffold:JGI8X:scaffold_9:1141406:1142423:-1 gene:Al_scaffold_0009_113 transcript:Al_scaffold_0009_113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVG1] MQMLHLCVSARHLSRRAIRAVLSGDGTALAADSKEAGLCGKLKKSRSSFTVEAWIPLSLCHGLRRTTVVKLYVSPPMLVRGCRGN >Al_scaffold_0009_119 pep scaffold:JGI8X:scaffold_9:1214715:1217616:-1 gene:Al_scaffold_0009_119 transcript:Al_scaffold_0009_119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13020) TAIR;Acc:AT4G13020] MESDDGTCGFGLCRYKILEELGDGTCGSVYKAVNLETYEVVAVKKMKRKFYYWEECVNLREVKALRKLNHPHIIKLKEIVREHNELFFIFECMDHNLYHIMKERERPFSEGEIRSFMSQMLQGLAHMHKNGYFHRDLKPENLLVTNNILKIADFGLAREVASMPPYTEYVSTRWYRAPEVLLQSSSYTPAVDMWAVGAILAELYALSPLFPGESEIDQLYKICCVLGKPDWTTLPEAKSISRIMSISHTEFPQTRIADLLPNAAPEAIDLINRLCSWDPLKRPTADQALNHPFFSMATQASYPLHDLELRLNNMAAMPNLELNLWDFNTEPEECFLGLTLAVKPSAPKLEMVPNVSHDMSENFLFFPGVNNDREPSVFWSLLSPDENGLHTPVESSPLSLSFSPMQHHTTLGPPQSTGFTMTSSVQPNMLDRPWMAVSAPFQQSHYL >Al_scaffold_0009_122 pep scaffold:JGI8X:scaffold_9:1249601:1251030:1 gene:Al_scaffold_0009_122 transcript:Al_scaffold_0009_122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:Projected from Arabidopsis thaliana (AT4G12980) TAIR;Acc:AT4G12980] MDSSYLRISLSFVFWALLLSPAVSQSSSCSSQTFSGVRSYPHCLDLPDLKAFLHYSYDASNTTLAVVFSAPPSKPGGWIAWAINPKSTGMAGSQALVASKDPKTGVASVTTLNIVSYSSLVPSKLSFDVWDVKAEEAANDGGALRIFAKVKVPADLAANGKVNQVWQVGPGVSNGRIQPHDFSGPNLNAMGALDLTGATTGVPVSGGGGAGNSRIHKRNIHGILNAVSWGLLFPIGAMIARYMRIFESADPAWFYLHVSCQFSAYAIGVAGWATGLKLGSESKGIQHNTHRNIGISLFSLATLQMFAMLLRPRKDHKFRYVWNIYHHGVGYSIVILGIINVFKGLSILNPKHTYKTAYIAVIGTLGGITLLLEVVTWVIVLKRKSAKSTKPLKA >Al_scaffold_0009_123 pep scaffold:JGI8X:scaffold_9:1257248:1258571:1 gene:Al_scaffold_0009_123 transcript:Al_scaffold_0009_123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVG7] MSEQIYLSNLARGRTVKYVRVKILSLWRVRIYGFRCKTEMLLADEQGTKIEGTIGCGPFHNVDMRELREDAWYTISDFVVFVPIRRTPNTLHPFHIKFHSDTKMTLIYNLYSLNFFVFAKYSVIKRRLLEANRPFDLCGVVVYVSEIKRMTYVPGEYDASTACNYLYFRLMDQKGRELPCFALGHYAADFMNVWTSRGYQASFRYQPVFCVLRFWKVDEFMGEPSISTRIGCSKIYLEPTLPEIKDLRM >Al_scaffold_0009_124 pep scaffold:JGI8X:scaffold_9:1259319:1260479:1 gene:Al_scaffold_0009_124 transcript:Al_scaffold_0009_124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVG8] MAYFHDVSILRPCITGWHIRVKVLRMITVCINPRNALRLVLVDDKEDRWFSFTEFRVLENSDRVRLTNHHFRMSVFGTTVVLPADPPSTEPRDSFTPFSSIIDGTVDESVLIGLNVLVRQVIRTRTLTVDV >Al_scaffold_0009_125 pep scaffold:JGI8X:scaffold_9:1261077:1278570:1 gene:Al_scaffold_0009_125 transcript:Al_scaffold_0009_125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVG9] MAHLLSNAIRKIKVKILRKFYLYETLELILVDEKGQKIHAVINKEYEDRRTLKIVEGNWISITNFDLVPVTGAFRPVPHRFKIVWNSGTTIKDIRPLCSADFFSFVAFEDIKSGSLDPTLCVDLIGRLMCVGNYDEDEGMNSTWEQIYLELENVRGIRIRCRLPKGYATKFFSCLKTCADNIILCVMRFARLELSRGDMRATTLCTCTELLFNPSCDEASRMRLAFASVERKLF >Al_scaffold_0009_126 pep scaffold:JGI8X:scaffold_9:1279424:1280630:1 gene:Al_scaffold_0009_126 transcript:Al_scaffold_0009_126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVH0] MDEHVDDLKPIKLCWSIQVKVLNKWRERSEAGYRALRMILCDKRGSMIEAKINSELITEYDSEFKDGDWISLHHFELDLVTGDMRTTRHAYRINFLPSTILNLIPDKDDLHYTLYPSSFLDVLDDKLIRTYLINLIGYVVDIEDIKYSDPSKRSAGYAMTSFKIKDANNYVLPCVAIGDLAEHFHDEWKRTRSSRVVCVLRWWGVYKVSGHMVIQSVGRCSRLDLDPDMPEVADFRVKSSASTGSSRYRA >Al_scaffold_0009_127 pep scaffold:JGI8X:scaffold_9:1283683:1288493:1 gene:Al_scaffold_0009_127 transcript:Al_scaffold_0009_127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVH2] MFTSKTYDSVWRLNPSKYEWVVETKVLCSWTRRLENSGRRLEFVLADREGNKIQCSLWGEVYDKFVSVIVSGSWFRIKDFKVVHQTGDCRATLHRYKMILLKNTTIVKIPGIDNNQFFDFVDFGSILDRRYSDDFLVNVIGQIVNVGPIEDIKIRGKSTPKLDVELRDRGNVRLICTLWADFAKQVKVYTEANPAAVVCVIRCAQVKEWKGNWTISNAMGATRVLLDPPGVFVDEFRSGLPTDGVVLTNHDNSELFAGSTVSIRDQFLVKNHKRTVRDIVEALEEGMCVAMVIVGSVERTSKWYYVSCKMCNKSVEPYPENSGDDGKPPLYYCGVCDKDVSAVVFSFRARLFIICSGITVCFRYRLVLEVSDATNYKARFLLFDAMGSTLLRRTAQELYNAVSENDPSILPSEIGALVGRRFLFKVSIGGDNLKSDPLHYVVQLFSDDDELIKDYSDGLDSEVLVPLPVTPITKEASVRRGGVSVENPKPPAKKIKIEGKKEESFATT >Al_scaffold_0009_13 pep scaffold:JGI8X:scaffold_9:206902:210868:1 gene:Al_scaffold_0009_13 transcript:Al_scaffold_0009_13 gene_biotype:protein_coding transcript_biotype:protein_coding description:isopropyl malate isomerase large subunit 1 [Source:Projected from Arabidopsis thaliana (AT4G13430) TAIR;Acc:AT4G13430] MAASSVISSSTFLCKSSSSKKDLGISSFPKSSQISIHRCQRKSIVSVMAPQKDRSPGTTGSVKTGMTMTEKILARASEKSLVVPGDNIWVNVDVLMTHDVCGPGAFGIFKREFGDKAKVWDPEKIVVIPDHYIFTADKRANRNVDIMREHCREQNIKYFYDITDLGNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKILLKVPPTMRFILDGEMPSYLQAKDLILQIIGEISVAGATYKTMEFSGTTIESLSMEERMTLCNMVVEAGGKNGVIPPDATTLNYVENRTSVPFEPVYSDGNASFVADYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFMAAAKLFHAAGRKVKVPTFLVPATQKVWMDVYALPVPGSGGKTCAQIFEEAGCDTPASPSCGACLGGPADTYARLNEPQVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGHVADPREFLQ >Al_scaffold_0009_130 pep scaffold:JGI8X:scaffold_9:1304799:1306031:1 gene:Al_scaffold_0009_130 transcript:Al_scaffold_0009_130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVH5] MYDSTTLSLSNAILFVNNKFKDVLSDLGALSADKDYVLAVLYLESRTQLKSLYLRYLLSRYLQEAKSLDPLMQTKKNYADLRMKYFGDGRFVAAGMKSYPSDGLECDEILMNTNEDTLECINDIVISSWRLCPAYLRLRFVESLILYKSINVHTVWDACYRVLSGDVLAEQKIARNNPGLELSDVQLEWYGFRVMRKVMGDLGFHHAGFEGVRLGIVRRLLTYKCDDMSM >Al_scaffold_0009_131 pep scaffold:JGI8X:scaffold_9:1307549:1308546:1 gene:Al_scaffold_0009_131 transcript:Al_scaffold_0009_131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVH6] MGSTMIPFTSDSCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLLIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKQRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLC >Al_scaffold_0009_132 pep scaffold:JGI8X:scaffold_9:1332656:1332955:1 gene:Al_scaffold_0009_132 transcript:Al_scaffold_0009_132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVH7] MEVSSGSMSSFGSNTGVRKLCECGLPAKVFKSKTDKNPNRRFFGCQLYKEGGNAHCKFFRWLDEEVIGWPKRALVEAQSVIKEKAEKIEELNATFGASW >Al_scaffold_0009_133 pep scaffold:JGI8X:scaffold_9:1335909:1336947:-1 gene:Al_scaffold_0009_133 transcript:Al_scaffold_0009_133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVH8] MVGSAEDDVLEDVSVEIVMDLIRYLIILGNYAPGKLTQQKSQRERRIPARISPSKIRPPKIRKQSDVEEVGSLLLQRLKRWYEN >Al_scaffold_0009_139 pep scaffold:JGI8X:scaffold_9:1356384:1357145:1 gene:Al_scaffold_0009_139 transcript:Al_scaffold_0009_139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVI1] MWHYLGLGFGLRSSPQVLRLHDEAGARQAFFPVLVCCGVSDKHGSGDPFSAGETLFSDLLVENIGDGSVKSLMAQPPRWTMWLWQKVLVDRFLGLMLLTDCLSHHPSLPAVSCLQRTGYEGCWYLEEFWVEGLKGQGLGNVLVILLGRGLDREGGRE >Al_scaffold_0009_14 pep scaffold:JGI8X:scaffold_9:212883:230512:1 gene:Al_scaffold_0009_14 transcript:Al_scaffold_0009_14 gene_biotype:protein_coding transcript_biotype:protein_coding description:high affinity K+ transporter 5 [Source:Projected from Arabidopsis thaliana (AT4G13420) TAIR;Acc:AT4G13420] MDGEEQQIDGDEVNNHENKLNEKKKSWGKLYRPDSFSIEAGQTPTNTGRPSLMSWTTTMSLAFQSLGVVYGDIGTSPLYVYASTFTEGINDKNDVIGVLSLIIYTITLVALLKYVFIVLQANDNGEGGTFALYSLICRYAKMGLIPNQEPEDTELSNYTLQLPTTQLKRAHMIKEKLESSKFAKIILFLVTIMGTSMVIGDGILTPSISVLSAVSGIKSLGQDTVVGVSVAILIVLFAFQQFGTDKVGFSFAPIILVWFTFLIGIGLFNLFKHDITVLKALNPLYIIYYFRRTGRKGWISLGGVFLCITGTEAMFADLGHFSVQAVQISFSCVAYPALVTIYCGQAAYLTKHTSNVSNTFYDSIPDPLYWPTFVVAVAASIIASQAMISGAFSVISQSLRMGCFPRVKVVHTSAKYEGQVYIPEINYLLMLACIAVTVAFRTTEKIGHAYGIAVVTVMVITTSMVTLIMLVIWKTNIVWIVIFLIVFGSIEMLYLSSVMYKFTSGGYLPLTVTVVLMAMMAIWQYVHVLKYRYELKEKISRENAIQMATSPDVNRVPGIGLFYTELVNGITPLFSHYISNLSSVHSVFVLLSIKTLPVNRVTSSERFFFRYVGQKDSGMFRCVVRYGYKEDIEEPDEFERHFVHYLKEFIHHEHFMSEGGGDVDETGKEDEPNVETKLVPSSNSVPSSGRIGSAHSSSSDKIRSGRVVQVQSVEDQTELVEKAREKGMVYLMGETEITAAKDSSLFKKFIVNHAYNFLKKNCREGDKALAIPRSKLLKVGMTYEL >Al_scaffold_0009_141 pep scaffold:JGI8X:scaffold_9:1364247:1366620:1 gene:Al_scaffold_0009_141 transcript:Al_scaffold_0009_141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVI2] MASKDEIDIDVEPESVPESVKTRKRSSSSTAMPPKPKKKYAKRAPVWQHFVLKEGDDLHSSCKYCRAEIGCDTKLVGTSPMISHIERCKSFKEYDERDKQQKLSGVDGGNMKVVRNNLASSQPTSSQPPLSTDLDMDGK >Al_scaffold_0009_152 pep scaffold:JGI8X:scaffold_9:1416439:1438458:1 gene:Al_scaffold_0009_152 transcript:Al_scaffold_0009_152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVJ4] MSSLEKKNLIINAGFQDLCTSHGFDLAVIQKKQKKEMSDSNEETCNDDSKQPVLIVDMLRSILERLSFVDFHRARCISSEWYSASESCIRVKNPTTPWIILFPSEHVENKNDSCKLYNPRDHSSYIGINYIDKAVLWVDEKSRDYLVVWNFVFMISPVNCANFPYLPLRNDIIYIPPGSHYKVAVTLSGEVLIILARVEPYPRMRCFFDVYKMDPKSSEWKMIKSIGGEALLLDLGIRVEAKVMKNCIYFSSDQFRRYNGNSLCNDYNNNDIHVYHIRTDQVVQVFEDLTASPTILFKDARWFFPTFGGNWLL >Al_scaffold_0009_153 pep scaffold:JGI8X:scaffold_9:1438867:1439836:-1 gene:Al_scaffold_0009_153 transcript:Al_scaffold_0009_153 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I subunit l [Source:Projected from Arabidopsis thaliana (AT4G12800) TAIR;Acc:AT4G12800] MATSASPMASQLRSSFSSASLSRRLAVPKGISGAPFGVSSTKRVSSFTVRAVKSDKPTFQVIQPINGDPFIGSLETPVTSSPLIAWYLSNLPGYRTAVNPLLRGVEVGLAHGFFLVGPFVKAGPLRNTAYAGSAGSLAAAGLVVILSMCLTIYGISSFKEGEPSIAPSLTLTGRKKQPDQLQTAEGWAKFTGGFFFGGISGVTWAYFLLYVLDLPYYVK >Al_scaffold_0009_154 pep scaffold:JGI8X:scaffold_9:1479614:1484834:1 gene:Al_scaffold_0009_154 transcript:Al_scaffold_0009_154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVJ6] MPVIEIGKDGNTLGEQLGRFSGFMVVTKPIGLSFKKLRRSGFWGLFVMFGGLGRMDKGNDGVEKPQSTNTRSKMPPSTDTRSNMPQSTDSKSKKPQSTDSKTKKPPFTDSKANNPPSTDAKSKKPPPTDSKSKKPPPTDSKSNKPQSTDSKSKKPQSTVPTLKKSQDTDLKMKKSQSTISESAPHSYNAVNNLWTDEVDDPSVVYLERLISSGFRFTANMWTRGSRVHSEIIVQKNEPTKLQQVERKPKVRVVPETSRLTRMDKGKAKIDDDEFCPSMPVDKSDLEAIIDKKLAVHTEEIRQMLLNHKSSMDSDFKLEKSLMKDELVDEIIGTIRRGDPGVYGSDLGLNKNKNHININHIDSVGDLGSSGPYGAINAIIKDLGKEGATDKAKPMKAGGESSGTGGKVNKDEEDDHEDNMDLGGDGERNDAVVDGDHLGGDGSKNDDSGKEKVIPLEVDGESSSGDEDDNETRTDEVIDGKDDQSLDDGESSSSGEEDNGQENDYEEANKDQSNVVFFVPELLRSEEKEEEVDDETDEDVTTKTAEEEEDKGDDDDEEEGKEKKNDANPESEEEDEEDEDDGHDGGKNDHPGGPQGDSVDNSHHVAGGQEIGEGSSMVPEPSKQTESEGESTEEEEDVEGEPTAEVREGEGESEEEEGDDEEETDEKMDDKEEDGGDEEEDGDDDQGDLEEEEEDMEEEKGDDEEEEEDMEEEKGDVEEEEADIVHDTQDSRGSDKGQKRAPETDVHLLRSKRPRKCPKRYGDSSEKQIRSGSEDFFRLPEEVLAPFVKVSENLKKKFLSALRSYHQREYIIDGHSVPRTFVNDILTLRHVVDASKKQAWSWTSLIKNYVCGVVPGRMNILGWYSDVDILYAPMSWGSDHWVALMIDLKTGKIAIMDSLERANNKKAMDKIMKPIVVMLKAIVEDLVHDTNSTSPVATSFVYERLSDVSQNDRTGDCGPLSVKFIKLHSQGLGLDGISIDMVDCLRLQYALDIYEEFHQFLRG >Al_scaffold_0009_155 pep scaffold:JGI8X:scaffold_9:1485649:1486353:1 gene:Al_scaffold_0009_155 transcript:Al_scaffold_0009_155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVJ7] MGRTFSYSLSSSSSASSRRSRDRVNGNFGIPRRCFCHGRIVLKTSTDLDEPNRLYYTCENEDGENRLGYMLRFPNGDNVDPPCMWNQVTENNVDINHLKEIMNEFDVRIDEVKGMVLEMEGNRAAARPSGLGDRNALLIMVVLAVFAILISVGVCFY >Al_scaffold_0009_157 pep scaffold:JGI8X:scaffold_9:1490394:1492409:-1 gene:Al_scaffold_0009_157 transcript:Al_scaffold_0009_157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVJ9] MAFNVVQNVGGDVCGSPKNGIIDVDDSYGDIRLGGDEFPGKSTVDKCSTELLLRAVSEAEAAYKDRACGQQNTSADLPGPHATNAGSCGNVLTFEDPEFMKAIDEMEDGCLDKTRNSVNNKNYYSTNSCTKHGHVLRMSSLEDVDLREPHDRPPPTILLGKENIDTNIDPVDCHVKTWSVDKDRGQQSPIGVDMDRIDNVDLRVAQDRPHSTSLLGCDTIDNNIGPVSGRGKTRSADIDHTLQTNIVAETLSIVGDTNNPPDDRHETLDR >Al_scaffold_0009_16 pep scaffold:JGI8X:scaffold_9:244340:250263:1 gene:Al_scaffold_0009_16 transcript:Al_scaffold_0009_16 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:D7MV72] MDGEEPQIDGEVNNHENKLNGKKKSWGKLFRPDSFTIEAGQTPINTGRPSLMSWRTTMSLAFQSLGVVYGDIGTSPLYVYASTFTQGINDKDDVIGVLSLIIYTITLVALVKYVFIVLQANDNGEGGTFALYSLICRYAKMGLIPNQEPEDRELSNYALELPTTQLRRAQMIKEKLENSKFAKIILFLVTIMGTSMVIGDGILTPSISVLSAVSGIKSLGQDTVVGVSVAILIVLFAFQRFGTDKVGFSFAPIILVWFTFLIGIGLFNLFKHDITVLKALNPLYIIYYFRRAGRDGWISLGGVFLCITGTEAMFADLGHFSVRAVQISFSCVAYPALVTIYCGQAAYLTKHTSNVSNTFYDSIPDPIYWPTFVVAVAASIIASQAMISGAFSIISQSLRMGCFPRVKVVHTSAKYEGQVYIPEINYLLMLACIAVTLAFRTTEKIGHAYGIAVVTVMVITTFMVTLIMLFIWKTNIVWIAMFLIVFGSIEMLYLSSVMYKFTNGGYLPLAITVFLMAMMAIWQYVHVLKYQYELREKISPENAIHMATSPDINRVPGIGLFYTELVHGITPLFSHYISNLTSVHSVFVLISIKTLPVNRVTSSERFFFRYVGPKDSGMFRCVVRYGYKEDIEEPDEFERQFVHSLKEFIHHEHFMSTGGDVDETEKEEESNAETTLVPSSNSVPSSGRIGSAHSSLSDKIRSGRVVHVQSVEDQTELLDKARERGIVYLMGETEITAKKESSLFKKFIVNHAYNFLKKNCREGDKALAIPRSKLLKVGMTYEL >Al_scaffold_0009_160 pep scaffold:JGI8X:scaffold_9:1525429:1526576:1 gene:Al_scaffold_0009_160 transcript:Al_scaffold_0009_160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVK2] MENDDNANGLSSPEVTPTPKRKRPTSNVLGENYVPPSAESHTKTAVAINSVLRRVLTDISNSPRNSSGLSASDQITFSCSTARGQENVSNNPYQAPSSKKARNLSPIPLSGSLFNKSQLTSTIIDDSSYLAESSYSKNDDISGASAANHRNKDNPSGSVLTLQKDSVTDDFLDSHHDFMEDNDLNTDTDEDDHFSDYQDSTEGEYEDNYQEDLYCSSQEELSTDSSSDEDDYAKECAYNPKEAIHRAKERFDILTMLENAFGKPKETPVIPPKQKNGIIPIFVIYC >Al_scaffold_0009_163 pep scaffold:JGI8X:scaffold_9:1553332:1556852:-1 gene:Al_scaffold_0009_163 transcript:Al_scaffold_0009_163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVK5] MDQNRDCLSPSYASSNAHLGNEWWDLCAGPHVETTRKINKKADEAGGGLVFWHPKGAIVRNIIEESRKKMHVEHVAKADLWSLGLVQREYELAEWENWAKIQLMSVGSDLFGKFSKFLSLIHFHVVAYSMVKISSISGRLFRLGLGDGDKAQDDILGNQGAQKTRASLRRKSVTITSGATSNTNNNPSAARVEDPRDLDEHMEDDGRPPESGSSPSRDNQVVEGFTEVRRSGRRSAGKGAEVVFVAGGSAEKRVEKNVAKVRGSGHELMEISNSFCGLEVEVVEQDTRRPEKVGVDKKENEGAQMGLVSREGSVEQTGKSGEGKGIRGSETERRTGGTKAMKGGGSKGKGIRSSVSTRGLVFGPLKGEVAGMSSVVEVG >Al_scaffold_0009_166 pep scaffold:JGI8X:scaffold_9:1572210:1575207:1 gene:Al_scaffold_0009_166 transcript:Al_scaffold_0009_166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVK7] LETTRELHTEEAHLAPNGAHIPKKLKVQIEDYQTGWRMREYERQGNAFQRLVVVYLLYKTTLDQTLLDDQQHVQQENEVHAHGNVVGVDDVVDQEVEHHQIDRDVVEQIVNNQQQGNDVHAHGNMVGIDDLVDQEVGHHQFHGEQIVNNQAHGIVDDWDDVFDHLGDF >Al_scaffold_0009_17 pep scaffold:JGI8X:scaffold_9:258342:277562:1 gene:Al_scaffold_0009_17 transcript:Al_scaffold_0009_17 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13410) TAIR;Acc:AT4G13410] MFLLLKPLLSLQDLSLNLISVMFHGEILKASVDSVGISMDTMWRETRSVLIVPVFKCVVAMCLVISLLIFMESVYMNIVVLYVKLFNRKPEKVYKWEAMQEDMELGHQNYPMVLVQIPMYNEREVFELSIGAACRLTWPSDRLIVQVLDDSTDPAIMELVSMECAKWASKGINIKYERRDNRNGYKAGALKHGMRHSYVKHCNYLAIFDADFQSESDYLQRSIPFLIHNPEVALVQARWRFVNANTCLVTRMQEMSLNYHFMAEQQSGSTRHAFFGFNGTAGVWRMAAMEEAGGWKDRTTVEDMDLAVRVGLLGWKFIFVNDLEQHRWSCGPANLFRKMTMEIIHNKKVKIWKKFYVIYSFFFLRKIIVHFFTFFFYCVILPTSVFLPEVKIPNWSTIYVPSIITLFSAIATPRSFYLVVFWILFENVMDMHRTKGTLIGLFEGGRVNEWVVTEKLGDTLNTKLLPRNGRLLKRVNLKEMMMGIYILCCACYDFAFGNTYLYLYLFMQATAFLISGIGFVGT >Al_scaffold_0009_170 pep scaffold:JGI8X:scaffold_9:1613803:1616703:1 gene:Al_scaffold_0009_170 transcript:Al_scaffold_0009_170 gene_biotype:protein_coding transcript_biotype:protein_coding description:HhH-GPD base excision DNA repair family protein [Source:Projected from Arabidopsis thaliana (AT4G12740) TAIR;Acc:AT4G12740] MACLLRVALNPTFERSTVASERQNPSSHCKVSSFKTKTMSQSSAPGEKLMRKCRKKREEEEEPLGGDIEDLFSENETEKIRMGMLDWYDVNQRDLPWRKRRSESEKERRAYEVWVSEIMLQQTRVQTVMDYYKRWMQKWPTIYDLAQASLEEMGKDKMKKELAYLCFGKLEVNEMWAGLGYYRRARFLLEGAKMVVAGKDGFPNQASSLMKVKGIGEYTAGAIASIAFNEAVPVVDGNVIRVLARLKAISANPKDRLTARNFWKLAAQLVDPSRPGDFNQSLMELGATLCSVSKPSCSSCPVSSQCRAFSLSEENRTISVTDYPTKVIKAKPRRDFCCVCVLEILNLERNQSGGRFVLVKRPEQGLLAGLWEFPSVILDEEADSPTRRSAINLFLKEAFHVEPKKTCTIVSREELGEFVHVFTHIRRKVYVELLVVQLTGGTKDLFKGQAKDTLTWKCVGSDVLSTMGLTSAVRKVPPFPSSNHRKRLSLDVIDC >Al_scaffold_0009_171 pep scaffold:JGI8X:scaffold_9:1617123:1617969:1 gene:Al_scaffold_0009_171 transcript:Al_scaffold_0009_171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVL2] MSRFDDGRYSKLYWEKVAESEEFDALIPVFVKNYNKSMGSAACSYFTTLIANDPTTSEDLTFQTSLGEKSFGKFELTSCAARPQGTKEVIKSGDYALLDDFYIGCLPQWPLENAFDDTKRFYVVKKLELQDNDWIRLYLELAVATADRSTPNVCVFFFVYQ >Al_scaffold_0009_18 pep scaffold:JGI8X:scaffold_9:286837:290176:1 gene:Al_scaffold_0009_18 transcript:Al_scaffold_0009_18 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13400) TAIR;Acc:AT4G13400] MSLSWESTVPTVSTVTISYSELKESNIDLSARIEEGFGPNGLGILSVKDVPGYSTLRQNLLRLAPRLAGLPEEVKRELEDAHSRYNFGWSHGKEKLESGKLDMLKGSYYANPLQDVPTSNSYEIQRYPSYCGSNIWPRNSLPELEGGFKALGKLMFEVGLMVAYHCDQYVSKGIKQHEKQNLEKILRDSRCHKGRLLYYFPAQDSSTQDNDSISSWCGWHTDHGSLTGLTRAIFSRDSVEVPCPDPASGLYIQTRSGQIVKVVYGEDEIAYQIGETTAILSSGYLRATPHCVRVIILQAFADMAPQLAPQGEEARGLERSTFALFMQPDWDQKLTFPKEVTIHEELSLSNEVLTFGEYTEKLLNKYYDSKP >Al_scaffold_0009_187 pep scaffold:JGI8X:scaffold_9:1644612:1644878:-1 gene:Al_scaffold_0009_187 transcript:Al_scaffold_0009_187 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S15, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7MVM1] MIKNTFISFEEQKEESRGSVEFQVFSFTNKIRRLTSHLELHRKDYLSQRGLRKILGKRQRLLAYLSKKNRVRYKELINQLNIRELKTR >Al_scaffold_0009_191 pep scaffold:JGI8X:scaffold_9:1655792:1656127:-1 gene:Al_scaffold_0009_191 transcript:Al_scaffold_0009_191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVM4] MPLKDSTETKMGCQERRGGRMLESAALLGFPRLGLIPGEEDQVGPCEQLDALSPFNPLSEMRQKEGKSMDRPHRLHPVGTTRSPQGRLRYPGVADRP >Al_scaffold_0009_192 pep scaffold:JGI8X:scaffold_9:1656147:1656350:-1 gene:Al_scaffold_0009_192 transcript:Al_scaffold_0009_192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVM5] MAQLRQGKEYKKDLTPSCMLHLARGDIAQLVELRSCNWVVAITGWVSNCLGGNDSILYLNRWLTFSK >Al_scaffold_0009_193 pep scaffold:JGI8X:scaffold_9:1656423:1656638:-1 gene:Al_scaffold_0009_193 transcript:Al_scaffold_0009_193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVM6] MGAGLKKDLRVSRVRPVGSLNALFFLLIEVISQILPMVRKRGGTSTLGERSTTESCMLRSGRMNRSRKGIY >Al_scaffold_0009_194 pep scaffold:JGI8X:scaffold_9:1656969:1657571:-1 gene:Al_scaffold_0009_194 transcript:Al_scaffold_0009_194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVM7] MGACHARSRYLNRKEGGAEGRASDWSEVVTSFTPKKKGATSELNLEVEAFFRFSTVNHMDSSMCSSAPDPEMWIIQGTLAWRTPPVRTGV >Al_scaffold_0009_204 pep scaffold:JGI8X:scaffold_9:1735359:1737370:1 gene:Al_scaffold_0009_204 transcript:Al_scaffold_0009_204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G12670) TAIR;Acc:AT4G12670] MVSDKSRKGCRESLGGVDLGFIMEKEAGGVQNLVEVNVSGGRTRTLASGNLTGNIREGDGLNSKCMVMEKNQIMQEVAAQTHSRGNSKGDDADVDEGRQQRGRLSEINHETLDVCNVNAGEGRNKARGSEKKHGKVQARNSDVGEERKQQRRCDDINHETAQAHSFVVGVKTRETRRYSEKNQQNKIVAGTHEQAVFINDKGTQACDSDLGEGRSGQEYFHENNQQKKVSSTERCELPRTDKRVSHGCGLGEGRDCDGRHIEKNQHTETIETETLGKEVFKDMKKKRERGAERDGDEFYFAENQDRQYQPDMRNNDVAFNKQATCFSTDEQEERKSVSSISSPESINNVKDPNVASQELALIIHPKAGVQERPIEARPICSVSSKKPSFQPEKYGHKSYLEKNGTTSNDPGQRKFVLCSAKKRKRLFWTQAEEEMLRVGVQKFPGERNIPWRKILEFGRDVFHDERAPSDLKDKWKTLNKMPSDTGKWVNLSTERQPNYYVSEVSSE >Al_scaffold_0009_206 pep scaffold:JGI8X:scaffold_9:1742363:1742762:-1 gene:Al_scaffold_0009_206 transcript:Al_scaffold_0009_206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVP3] MILEGGGGSGDGGGGDSGDDGGDDSDDRSGGGSGDGGDGYGDGGGGGSGGGGGGSSGGSGGGSSGDGGSGDGGGSGDGGGGGSGDGDGGGSGGGGGSGDGGGCDCG >Al_scaffold_0009_26 pep scaffold:JGI8X:scaffold_9:357634:358053:1 gene:Al_scaffold_0009_26 transcript:Al_scaffold_0009_26 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MV81] MEVTEPHGPIFFFFLVPLLLPLLLLPPHIFPENPYLSRANPFNLPRHSSEKLPFLFPIQGSRFIRGRYVSCSIFSSIHKRSKKREKNGGGGEDDGDDGGRTTPRRRMYRIPVAFVCLSPQRKKSMVVRKRDPPPLNRWW >Al_scaffold_0009_28 pep scaffold:JGI8X:scaffold_9:369641:370438:-1 gene:Al_scaffold_0009_28 transcript:Al_scaffold_0009_28 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MV83] MEFRCFTILVNYQPHRIFQPAMPEVVMKLTAEGLTLIELMLSSEGLGPRSSQSRPIYKIAAPTHLAHWPRFALFKFLSNPLNLMFLHSGWIGRRLISYLVSTKVLAWLCIYLNYNLVISPPQIVLFANISRVLSDESFLMKDFRSVIPTLSRLWMNHCSRFEDLECYTSSKILKKNDIMISSPRSGDYHSFINALYMFPLNFELKPVPKKTYIFHDIQPLVPMSSLRFMLANQEAKDIFSTSQDLSIVTISYLPQLFAEVSMKQH >Al_scaffold_0009_29 pep scaffold:JGI8X:scaffold_9:385059:385292:1 gene:Al_scaffold_0009_29 transcript:Al_scaffold_0009_29 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MV84] MELVKKVMMRMVEKMLMKKIGMKSEVVSMMLEVLVMSLMARKLLMRMRFVIQREPLRILILRTVKEGMLGMRVAVER >Al_scaffold_0009_3 pep scaffold:JGI8X:scaffold_9:103209:104077:1 gene:Al_scaffold_0009_3 transcript:Al_scaffold_0009_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MV58] MSLESDIKGKQVVSADDCVVCLEPLTNDANERTVVNLRCSHRFHLDCLGSHFNTKKRMECPCCRRIEKGHWLLAKPVDPHPPFPYIDNTRFHLDIRDYMFQMSTRVGSDSTLLPPISTTLPPYVLNQVTNNRSMRIHHWGDTSLDRRMQRHERNERTVDRERRFHNMMYERHGRTGGSGYGGERSGREAVAEEVEAGEAAEGAEVTEE >Al_scaffold_0009_30 pep scaffold:JGI8X:scaffold_9:389180:391196:1 gene:Al_scaffold_0009_30 transcript:Al_scaffold_0009_30 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MV85] MDKAIDRFQNEKHFLPRGPTKTAPGGGDGDGDGGGGGNGSGGGGGEKCSGSNTDNDKGGNSDDNPTEVNRSGDIDGSNGGSGGDDSGGGGDDDGYGDTNGDDDGGSSGDDDGGGDSIGDVVVEVMA >Al_scaffold_0009_31 pep scaffold:JGI8X:scaffold_9:404891:405150:1 gene:Al_scaffold_0009_31 transcript:Al_scaffold_0009_31 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MV86] MSFFFILYFFLFFYFFIFLYLYFFFILYFFRLFFFNFRFFWFYNFFLYILIFYLI >Al_scaffold_0009_33 pep scaffold:JGI8X:scaffold_9:410605:415488:-1 gene:Al_scaffold_0009_33 transcript:Al_scaffold_0009_33 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 71, subfamily A, polypeptide 19 [Source:Projected from Arabidopsis thaliana (AT4G13290) TAIR;Acc:AT4G13290] MEIIISITLCLTTLLALLLLKSILKRTTTTKLNLPPSPWRLPVIGNLHQLSLNTHRSLRSLSLQYGPLMLLHFGRTPVLIVSSADVAHDILKTYDVICANRPKTKVIDKILKGGRDVAFAPYGEYWRQIKSISIQNLLSNKMVRSYEKIREEEIKLMIEKMEKASCSSPPSPVNLSQLLMTLTNDIICRAALGRKYSSKEDGVDVENIVAFSALVGEFPIGEYIPSLSWIDKIRGEDHKMEEVDKRFDEFLNRVVKEHEDANKETKSDLVDKLLTIQSDKSALKLIIWDMFLAGTATTLSFLAWAMTELMRHPKAMKKLQEEIRSSSPQDLFVTEKEAEKMNYLQAVIKEALRLRPPAPLLVPRVLSEDVTLKGYNIPAGTQVIINAWAIQRDTTTWGIDAEEFRPERHLDSNLDFQGQDFKFIPFGSGKRICPGIGFTSALIGVTLANILKRFNWRMDVEPQRVQHDLTEATGLVIFRKFPLIATPSPA >Al_scaffold_0009_35 pep scaffold:JGI8X:scaffold_9:430669:431092:1 gene:Al_scaffold_0009_35 transcript:Al_scaffold_0009_35 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MV91] SLLEEIARIIAKHPRLLVLSGEICEHIIYAPATHTSFASLPDMYERTLTINGFSKAFAMTGWRLGYLAGPKHIVAACSKLQG >Al_scaffold_0009_36 pep scaffold:JGI8X:scaffold_9:442093:442790:-1 gene:Al_scaffold_0009_36 transcript:Al_scaffold_0009_36 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MV92] MIKQGGGRGRDTCRWWRHYDIRRSTSGHGSVWRRHSNVSHCPSVGKTLSDHSFKLAGSKQVGGRSETTCFLWEVHDDFSTISCPSCLHTYLVPNLVDDMFDRRPHTDSMSITRPSWVEHTSAACRSRVVPNVS >Al_scaffold_0009_46 pep scaffold:JGI8X:scaffold_9:564300:564797:-1 gene:Al_scaffold_0009_46 transcript:Al_scaffold_0009_46 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MV99] MEVSSGSTSSFGSNSGVRKLCECGLPAKVFKSKTDKNPNRRFFGCQLYKEGGNAHCKFFRWLDEEVIGWLKRALVEAQSVIKEKTEKIEELNATILELRGDLERQNLEISSINTEDEKISIELGLQKRIDEMETIVYHQRIVIRGLTGLLVCVVSAIVFCIVSDV >Al_scaffold_0009_5 pep scaffold:JGI8X:scaffold_9:125321:126749:-1 gene:Al_scaffold_0009_5 transcript:Al_scaffold_0009_5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MV60] MNKLHTLARLNLAINMSFCYMGDHNSLMSEKTSMQSRISSLRHRVLKPSFTTTTTIDDVQRISAVTSALEFNATMPSHQPWSLIQIPIAAMFSTDNNESS >Al_scaffold_0009_51 pep scaffold:JGI8X:scaffold_9:609097:609416:1 gene:Al_scaffold_0009_51 transcript:Al_scaffold_0009_51 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP29] MASSPPSSTVKGDRKPDDGDKKEKKFERVSPPARVGRKQRKQKGLEAAAKLKRSLHK >Al_scaffold_0009_53 pep scaffold:JGI8X:scaffold_9:654568:656683:1 gene:Al_scaffold_0009_53 transcript:Al_scaffold_0009_53 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility protein S1 family [Source:Projected from Arabidopsis thaliana (AT5G39493) TAIR;Acc:AT5G39493] MTIKKLGVITVTIGRDSDDRNFSLYGIPPQEEEKPSLGIFIEEEKEDDHYAKVNEACEKNEIVIINDLGPGRILQYHCRYPKKDFGVQYLNFDAIKTIQLRDEGFNITKWHCLLKHGQNMRYHKDILVYSQNTRAPQCGQVRVWTARL >Al_scaffold_0009_54 pep scaffold:JGI8X:scaffold_9:660241:661238:1 gene:Al_scaffold_0009_54 transcript:Al_scaffold_0009_54 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVA6] MVDMVVDGDDLFVRARTSIEKGSRFHQRPLLTMQNGICNKKIPPVPLHRPVTRSETKKPDLISNETLDRIKTDVEEGDFNEPMFVQHTEAMLEEIDRMEGIEMEDSNDIDVEVEESVMDIDSCDKNNPLAVGEYIDDI >Al_scaffold_0009_56 pep scaffold:JGI8X:scaffold_9:664742:665349:-1 gene:Al_scaffold_0009_56 transcript:Al_scaffold_0009_56 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVA7] MVVGEDTERLGMVISTPVVVINYGANGYSGEKRKAPAVENQLPVSLEDLYNGVKKKMRLSRNVYDASGKMMVEEEILPIDIKPGSKKGTKLTFPKKGNEEPGIIRIQRC >Al_scaffold_0009_57 pep scaffold:JGI8X:scaffold_9:666225:671007:-1 gene:Al_scaffold_0009_57 transcript:Al_scaffold_0009_57 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVA8] APYIQVQVKWQNGICNKKIPPVPLHRPVTRSETKKPDLISNETLDRIKTDVEEGDFNEPMFVQHTEAMLEEIDRMEGIEMEDSNDIDVEVEESVMDIDSCDKNNPLAVVEYIDDI >Al_scaffold_0009_6 pep scaffold:JGI8X:scaffold_9:136380:137207:-1 gene:Al_scaffold_0009_6 transcript:Al_scaffold_0009_6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MV61] MTSVPADSPAIIQCLLPIPCFCESNLVNIKRYNYESNQKNGGPGVYVSLKTYEKAFEDLDELNLMVKYLVKERRCNKEHIKEHLKLYEEKVKDTINMNTKLRDVILRGLREKEEYELCEQVHKEFLRINWKFRKLVQAPWINYWPRFCIFWRIVCLPKYSEKYVFGDH >Al_scaffold_0009_60 pep scaffold:JGI8X:scaffold_9:686106:686357:1 gene:Al_scaffold_0009_60 transcript:Al_scaffold_0009_60 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVB1] MERKRFGSMRVSRSRDGEDKSMSMLGQSKTETKKKEERRRREKNGFKLEFCEADSRHTRENQMTSETSLERSCCHEATESDGL >Al_scaffold_0009_67 pep scaffold:JGI8X:scaffold_9:720979:721412:-1 gene:Al_scaffold_0009_67 transcript:Al_scaffold_0009_67 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVB7] MDQGCVTRWIDRGRNAKAFFTRANPRPFSNSPLLLCFNIARFLEVGPDGTTLARLAAALLGNPLLIELNQNPQDKQPNVKEVNQSSVLVLKKETLEKRDTFLSLYSLAKR >Al_scaffold_0009_7 pep scaffold:JGI8X:scaffold_9:159738:160267:-1 gene:Al_scaffold_0009_7 transcript:Al_scaffold_0009_7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MV62] MVDSSAIVFFIGSGVNAKLRSRRIQEKHLARESESHGEEHRDAILDFEGSRVIVKQRDPGAGESLPAPENIDGELVSRMVPGRVSGLLQFCILSGVIDPSLIIIAIRSISMLFSNR >Al_scaffold_0009_70 pep scaffold:JGI8X:scaffold_9:743245:744128:1 gene:Al_scaffold_0009_70 transcript:Al_scaffold_0009_70 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVB8] MASLSPFPSLNPSPLAPRFLQTLTQFSNSPFLSPCSVFRLKNASLLNLRTRIAPLQSQRVRSKTLVLSAQSSFLKVLRTAWNIGKDGIEAGTNLVPVSVPRPVARISVTIAALAVSLFVVKSFLSTAFFVLGTMGFAYFLFIALNKDEAPKLRGDDNSSGSKPMDDPLEEAKKIMDKYK >Al_scaffold_0009_74 pep scaffold:JGI8X:scaffold_9:760679:769732:-1 gene:Al_scaffold_0009_74 transcript:Al_scaffold_0009_74 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVC3] MANKNLKSCIIQVENMHPSGASEIDIMEKAKKLLVQVEKLKKGFKFDHVWALLKDIPKFTDSVSVGIPDTPNTESDIASSPTSQSPGMSSFSINLSSEDGGSNSSQRPIG >Al_scaffold_0009_75 pep scaffold:JGI8X:scaffold_9:770307:771364:1 gene:Al_scaffold_0009_75 transcript:Al_scaffold_0009_75 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVC4] NNSRKRRPYGSSQWTVRQKAYVEALNLDTMFTPQLVVQGCSQLIGNEEETLLKSISEAPRFPSPAFRATFQRPTSETLQVSLTGALRMKVDGNGMDIIVAIYDIVLE >Al_scaffold_0009_78 pep scaffold:JGI8X:scaffold_9:804282:804625:1 gene:Al_scaffold_0009_78 transcript:Al_scaffold_0009_78 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVC7] MYTDLEELEDANDDDDTNFVNEVHDIGYATRNNALMTLLRLEITVKCMKTLVAALQTAWVLLRAAVEENNHDMMVGQTGVSERLLAEIPCA >Al_scaffold_0009_8 pep scaffold:JGI8X:scaffold_9:162843:163397:1 gene:Al_scaffold_0009_8 transcript:Al_scaffold_0009_8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MV63] MVNHTTSIKKDWKRLPKKELDRTIRAELIIRTSTNAHRDGPNLHLQGQMTKLRQNRRRNQSLAKQNQVKGTIRASLLSPQNNQRINNWNQSNKQLLYQTRSMASQLSSATNLQIQSLFSKIPESVLASEISQPHPPSYSLQILISYEATHQMEDPSIEISETLISTLNLSGSWIEVQDLGIFGA >Al_scaffold_0009_80 pep scaffold:JGI8X:scaffold_9:808096:809879:1 gene:Al_scaffold_0009_80 transcript:Al_scaffold_0009_80 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 family protein [Source:Projected from Arabidopsis thaliana (AT4G13170) TAIR;Acc:AT4G13170] MVSGSGICAKRVVVDGRHHMLGRLASTTAKELLNGQKVVVVRCEEICLSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPSKIFWRTVRGMIPHKTKRGAAALARLKVFEGIPPPYDKIKRMVIPDALKVLRLQSGHKYCLLGRLSSEVGWNHYDTIKELETKRKEKSQVVYERKKQLNKLRAKAEKVAEEKLGSQLDVLAPVKY >Al_scaffold_0009_86 pep scaffold:JGI8X:scaffold_9:860858:862165:1 gene:Al_scaffold_0009_86 transcript:Al_scaffold_0009_86 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVD6] MSQLLRRISSSLGKKERLCFSELRWFSTAAATPSPSPFVLCNETNARRSRVSDGARVVVVDINLYDPRKDETVEIPDQTLPEELYPSMKIGSSRGWVGVKDMRNSNVLLTNIFNPSASVSSHKVITLPPLQDSEAHISSISLSASPDHEDCVVAATTTRPSLYLCRPGDSEWIHTKIPHFNMQMMYSARDRQFYLRSWKNEENGAPLDLFKTSSGFPQVSLYQSFPNSDILQVSSPNTDQYLVESPSGESFIVCWCNKLANREEVVSPDSASYVLKPTGFAVFRQDHKKKIASYTDDIGDLCIFLGKGEEAFCVSASEYPGLKPNSVYYARYDTGLGFYDLSSNTLHEVTVPAALSRFFLCLAPLH >Al_scaffold_0009_88 pep scaffold:JGI8X:scaffold_9:872997:873698:-1 gene:Al_scaffold_0009_88 transcript:Al_scaffold_0009_88 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVD8] MRTTNNAATRVFWDLNTCPLPYGYDGGRVGPCIERALRKLGYSGRVFITGIGILTDVSTGVLQAVYSSGVSLCNVRTKCFGVEMKITCSLSKPPRDNLMLISGERSFVSYLDMLERNRVPVIRELQSDEVFPIVANPIEGSVWERFLLAGGVDSGHLDEDPGWSCEVCFSTGYGFENFLPHLKHKPRNEVTKEEEEEEEEGEGEGEGEEEDDDKPRNEASKKQLERECKKQRT >Al_scaffold_0009_93 pep scaffold:JGI8X:scaffold_9:914472:914729:-1 gene:Al_scaffold_0009_93 transcript:Al_scaffold_0009_93 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVE4] MRLLCLLLCWISFLTLSISIVASSDDQFTVDGTVLELTDSNFDSAISTFDCIFVDCYAPWCGHCKRLNLALVRFGFVCLQFLCRI >Al_scaffold_0009_96 pep scaffold:JGI8X:scaffold_9:963389:964367:1 gene:Al_scaffold_0009_96 transcript:Al_scaffold_0009_96 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MVE8] MNSLTQPTIPTGSGFESKSHYGSGFFQMRIKLPPRDSAGVVTAFYLTSKGNTHDEVDFEFLGNRQGKPTAIQTNVFTNGQGGREQKFVLWFDPTTSFHTYGQTYGVYVDKVPIRVFKNNKRSGVNYPSKPMQLETSLWNGEAWATNGGKDKINWAYAPFKAQFQGFSDYGCHVNGQSNIANVCGSTKYWWNTRTYSRLSANEQKAMENVRAKYMNYDYCSDRPRYPVPPSECRWNI >Al_scaffold_0009_97 pep scaffold:JGI8X:scaffold_9:990836:991619:1 gene:Al_scaffold_0009_97 transcript:Al_scaffold_0009_97 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVE9] MERRKLKRIHESFIKERDLFLPRTDRNRDEEMKRESEYEAKTNTNVRLRYTELEKHGGTIAGGGQKSKKRQELSQGLPFLAKESG >Al_scaffold_0009_98 pep scaffold:JGI8X:scaffold_9:992517:994603:1 gene:Al_scaffold_0009_98 transcript:Al_scaffold_0009_98 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucan endotransglucosylase/hydrolase 1 [Source:Projected from Arabidopsis thaliana (AT4G13080) TAIR;Acc:AT4G13080] MNKMDYISIFGFVSVLYLIIRVDARAHEVQGIDQNKVGFDDNYVVTWGQNNVLKLNQGKEVQLSLDRSSGSGFESKNHYESGFFQIRIKVPPKDSSGIVTAFYLTSKENTHDELDIEFLGNKEGKPIRVQTNVFTNGKGDREQKLVLWFDPTKDFHTYAILWNPYQIVFYVDNIPIRVFKNTTSEGMNYPAKPMQVVVSLWNGEDWATDGGKSKINWAFAPFKANFQGFNNSGCFANGEKNACGSSAYWWNTGSYSKLSDSEQKAYTNVRQKYMNYDYCSDKGRFRVPPSECKWNN >Al_scaffold_0020_1 pep scaffold:JGI8X:scaffold_20:8644:13517:1 gene:Al_scaffold_0020_1 transcript:Al_scaffold_0020_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVQ1] MDSSSSFILTTVAAAISFFTLLRKLRCHQEDDKENNTSSSTSLSPSSLSPSSLQSSLDRIWTHHVFPSFRGEDVRRDFLSHIQMEFQRMGITPFIDNEIKRGQSIGPELIRAIRESKIAIILLSRNYASSSWCLDELAEIMKCREELGQTVLAVFYKVDPSDVKKLTGDFGKVFKKTCAGKTKEHVGRWRQALANVATIAGYHSTNWDNEAAMIKKIATDISNMLNNSASSSDFDGLVGMREHLEKMEPLLCLDSDEVRLIGIWGPSGIGKTTIARVIYNKLSGSFQLSVFMESIEAKYTRPCSDDYSAKLQLQQQFMLKDKKVLVVLDGVDQSMQLDAMAKETWWFGPGSRIIITTQDRKLFRAHGINHIYKVDFPSTEEALQILCKYAFGQNSPTHGFEELAWEVTQLAGELPLALDGVDKSMQLDAMVKETWWFGPGSRIIITTQDRKLFRGYINMHDLLVKLGIDIVRKQSLREPGQRLFLVDAREICEVLNLDANGSRSVIGINYNFGEDRIKEKLHISERAFQGMSNLQFLRFEGNNNTLHLPHGLEYISRKLRLLHWTYFPMTCLPPIFNTDFLVELDMRCSKLEKLWEGIKPLPNLKRMDLRSSLLLKELPDLSTATNLQKLNLSGCSSLVKPPSTIGYTKNLRKLYLGGCSSLVELSFSIGNLINLKELDLSSLSCLVELPFSIGNATNLRKLNLDQCSSLVELPSSIGNLINLKELDLSSLSCMVELPSSIGNLINLKELDLSSLSCLVELPSSIGNATPLDLLDLGGCSSLVELPFSIGNLINLKVLNLSSLSCLVELPFSIGNATNLEDLNLRQCSNLKLQTLNLRGCSKLEVLPANIKLGSLRKLNLQHCSNLVKLPFSIGNLQKLQTLTLRGCSKLEDLPANIKLESLCLLDLTDCLLLKRFPEISTNVETLYLKGTTIEEVPSSIKSWSRLTYLHMSYSENLMNFPHAFDIITRLYVTNTEIQELPPWVKKFSHLRELILKGCKKLVSLPQIPDSITYIDAEDCESLEKLDCSFHDPEIRVNSAKCFKLNQEARDLIIQTPTSNYAILPGREVPAYFTHQSATGGSLTIKLNEKPLPTSMRFKACILLVRKGDDENGCYVSCKKSRHYLYPPLEEHMYVFEVKADVTSSELVFEFKIDSTNWKIKECGVFQLSELGFSSDDHHSSILEVTVSRVSSSRPSGCHQRYGTIKNYMLQPLWNDQKPSLHQ >Al_scaffold_0020_2 pep scaffold:JGI8X:scaffold_20:21313:25957:1 gene:Al_scaffold_0020_2 transcript:Al_scaffold_0020_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVQ3] MDSSSSFILTTVAAAIGFFTLLRNLRCHQEDDKENNSSSSTSSPPSSSSSLSPPSSLDRIWTHHVFPSFRGEYVRRDFLSHIQMEFQRMGITPFIDNEIERGQSIGPELIRAIRESKIAIILLSRNYASSSWCLDELAEIMKCRDELGQTVLAVFYKVDPSDVKKLTGDFGKVFKKTCAGKTKEHVGRWRQALANVATIAGYHSTNWDNEAAMIKKIATDISNLLNNSSSSSDFDGLVGMREHLEKMEPLLCLDSDEVRMIGIWGPSGIGKTTIARVIYNKLSGSFQLSVFMESIEAKYTRPCSDDYSAKLQLQQQFMSQITNQSDMKISHLGVVQDRLKDKKVLVVLDGVDKSMQLDAMVKETWWFGPGSRIIITTQDRKLFRSHGINHIYKIDFPSTEEALQILCTYAFGQNSPNVVLKNLLRKLHNLLMEWMKALPRLRNSLDANILSILKFSYDALDDEDKYLFLHIACFFNHEEIEKVEDYLAETFLDVSHRLNVLAEKSLISLNRGYINMHDLLVKLGRDIVRKQSIREPGQRLFLVDAREICEVLNLDANGSRSLMGINFNFGEDRIKEKLHISERAFQGMSNLQFLRVKGNNNTIHLPHGLEYISRKLRLLHWTYFPMTCLPPIFNTEFLVELDMSYSKLEKLWEGIKLPSSIGNLINLKELDLSSLSCLVELPSSIGNLINLKELDLSSLSCLVELPFSIGNATNLEVLNLRQCSSLVKLPFSIGNLQKLQTLTLRGCSKLEDLPANIKLGSLGELDLTDCLLLKRFPEISTNVEFLRLDGTAIEEVPSSIKSWSRLNEVDMSYSENLKNFPHAFDIITELHMTNTEIQEFPPWVKKFSRLTVLILKGCKKLVSLPQIPDSITYIYAEDCESLERLDCSFHNPNICLKFAKCFKLNQEARDLIIQTPTSNYAVLPGREVPAYFTHQSTTGGSLTIKLNEKPLPTSMRFKACILLVHKGDNEARDDKNWMDENVYIVSCNESTHYLYPVLAEHVYVFEVEADVTSSELVFEFKISSCHQRTLQETFTKKLGFSSDDHHSSILEVTVNRVSSSCPSGCHQRYGTTKNYMLQPLWNDQKPSLHQ >Al_scaffold_0020_3 pep scaffold:JGI8X:scaffold_20:31123:35886:1 gene:Al_scaffold_0020_3 transcript:Al_scaffold_0020_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVQ4] MDSSCFFLTIIAAAIGFFLLFRKLRFHQEDNKEKNSSSSSSSTPSSLAPSSPPPSSLDPIWTHHVFPSFRGEDVRRDFLSHIQMEFQRMGITPFIDNEIERGQSIGPELIRAIRESKIAIILLSRNYASSSWCLDELAEIMKCREELGQTVLAVFYKVDPSDVNKLTGDFGKVFKKTCAGKTKEHVGRWRQALANVATIAGYHSTNWDNEATMIRNIATDISNKLNNSASSSDFDGLVGMTAHLKKMEPLLCLGSDEVRMIGIWGPSGIGKTTIARVVYNKLSNSFQLSVFMESIEAKYTRPCSDDYSAKLQLQQQFMSQITNQSDMKISHLGSYFRGMSKLEWTKALPRLRSSLDADILSILKFSYDALDDEDKYLFLHIACFFNREWIVKVEEYLAETFLDVSHRLNGLAEKSLISLNGGYINMHDLLVKLGIDIVRKQSLREPGQRLFLVDAREICEVLNLDANGSRSVMGINFNFGEDRIKEKLHISERAFQGMSNLQFLRVKGNNNTIHLPHGLEYISRKLRLLQWTYFPMTCLPPIFNTEFLVELVMPYSKLEKLWEGIKLPSSIGNATNLELLDLGGCSSLVELPSSIGNLINLKELHLSSLSSLVELPSSIGNLINLKELDLSSLSCLVELPFWIGNATNLEVLNLDQCSSLVKLPFSIGNLQKLQTLTLRGCSKLEDLPANIKLGSLGELDLTDCLLLKRFPLSIKSWSRLNEVDMSYTENLKNFPHAFDIITGLHMTNTEIQEVPPWVKKFSRLTVLILKGCKKLVSLPQIPDSISYIDAQDCESLERVDCSFHNPKIWLIFSKCFKLNQEARDLIIQTPTSRSAVLPGREVPAYFTHQSTTGGSLTIKLNEKPLPTSMRFKACILLVHKGDNEARDDKNWMDENCYIVSCKKSKHYLYPVLAEHVYVFEVEADVTSSGLVFEFKIRSKNWKIKECGVFQLSEVP >Al_scaffold_0020_5 pep scaffold:JGI8X:scaffold_20:47606:51349:1 gene:Al_scaffold_0020_5 transcript:Al_scaffold_0020_5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVQ6] MDSSCFFLTIIAAAIGFFLLFRKLRFHQEDNKEKNSSSSSSSTPSSLAPSSPPPSSLDRIWTHHVFPSFRGEDVRRDFLSHIHMEFQRMGITPFIDNEIERGQSIGPELIRAIRESKIAIILLSRNYASSSWCLDELAEIMKCREELGQTVLAVFYKVDPSDVKKLTGDFGKVFKKTCAGKTKEHVGRWRQALANVATIAGYHSTNWDNEATMIRNIATDISNKLNNSASSSDFDGLVGMTAHLKKMEPLLCLDSDEVRMIGIWGPSGIGKTTIARVVYNKLSSSFQLSVFMESIEAKYTRPCSDDYSAKLQLQQQFMSQITNQSGMKISHLGVVQDRLKDKKVLVVLDGVDKSMQLDAMAKETWWFGPGSQIIITAQDRKIFREHGINHIYKVGFPSTDEALQILCTYAFGQKSPKHGFEELAWEVTHLAGELPLGLRVMGSYFRGMSKLEWTKALPRLRSSLDADILSILKFSYDALDDEDKYLFLHIACFFNYKRIGRVEEYLAETFLDVSHRLNGLAEKSLISMNDGVIIMHDLLVKLGIDIVRKQSLREPGQRLFLVDAREICEVLNLDANGSRSVIGINYNFGGNRIKEKLHLSERAFQGMSNLQFLRVKGNNNTIHLPHGLEYISRKLRLLDWTYFPMTCLPPIFNTDFLVELDMRCSKLEKLWEGIKPLPNLKRMDLSSSLLLKELPDLSTATNLRTLNLRYCSSLMNLPSSIGNATNLELLYLGGCSSLVELPSSIGNLINLKELDLSSLSCLVELPFSIGNLINLKVLNLSSLSCLVELPFSIGNATNLEVLNLRQCSNLVKLPFSIGNLQKLQTLNLRGCSKLEVLPANIKLGSLWSLDLTDCILLKRFPEISTNVGFIWLIGTTIEEVPSSIKSWSRPNEVHMSYSENLKNFPHAFDIITRLQVTNTEIQEVPPWVNKFSRLTVLKLKGCKKLVSLPQIPDSISDIDAEDCESLERLDCSFHNPNIWLKFAKCFKLNQEARDLIIQTPTSKSAVLPGREVPAYFTHQSTTGGSLTIKLNEKPLPTSMRFKACILLVHKGDNEENWMDKNDCYVFCKKSRQHLYPVLEEHVYVFEVEADVTSSELVFEFKIRSKNWKIKECGVFQLSELP >Al_scaffold_0020_6 pep scaffold:JGI8X:scaffold_20:90546:91084:1 gene:Al_scaffold_0020_6 transcript:Al_scaffold_0020_6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVQ7] MKRIWPISIRVSTLPRQKILEAIQRMRRLNVDTPTSAREAFRAGGVDLTRGCDWTLLASCGFDGSRREIEINGGENGSVVDPKTVLDDGELPRRKEMSTFTSRTIFLDRTETVDIMRDGSDVFSGQGDWEGEQVYFGGETRDRERVVDHGWFAEAEDGDQAKTTLWSS >Al_scaffold_0020_7 pep scaffold:JGI8X:scaffold_20:99695:100104:-1 gene:Al_scaffold_0020_7 transcript:Al_scaffold_0020_7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVQ8] SQAWDHKHGAEIFRETKSDRICFYDLVLCVTQHIRSLHDRLQGYQTNILSVFIIDSINREVISDLDADGWVDKFLQKSLPKRCCFQNPYDFQCVQRKKLVCKQQR >Al_scaffold_0022_1 pep scaffold:JGI8X:scaffold_22:42:521:-1 gene:Al_scaffold_0022_1 transcript:Al_scaffold_0022_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVQ9] MADQSLLHSPIKPHFFKPLLEGFRTHLVLCSTLFSYISEHNIPVAFFSKHVEGKNDQNKTVNLRSDASEKTWLVKMDGLNLTDGWEDFAFSHDLRIGDIVVFRHEGEMVFHVTALGPSCCEIQYYTSSHNINDDDRNDQTNI >Al_scaffold_0022_3 pep scaffold:JGI8X:scaffold_22:6912:7145:1 gene:Al_scaffold_0022_3 transcript:Al_scaffold_0022_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJX2] MVESVVEKIEGDVGSLEKGLHELEAIKERAQRCEKEIVYLKDVVSLCEKEVQELRSFKNMVVCGGLVMAIVYYVFFA >Al_scaffold_0022_8 pep scaffold:JGI8X:scaffold_22:41294:43493:-1 gene:Al_scaffold_0022_8 transcript:Al_scaffold_0022_8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVR4] MLDSTPVFVFILGLLLLTLTMKRKETNKTMIFICHTRNLSLPPGPKSWPLIGNLPEILGRNKPVFRWIHSLMKELSTDIACIRLANTHVISVTSPRIAREILKKQDSVFATRPLTIGTEHCSRGYLTIAVDPQGEQWKKMRRVVASHVTSKKSFKLMLEKRTEEADNLVRYINNRSVKNCDNGNGLSVIDLRLVLRQYSGNVARKMMFGIRHFGKGSEDGSGPGFEEIEHVESLFTVLTHLYAFALSDYVPWLRFLDLEGHEKVVIDAMRNVSKYNDPIVDERLMQWRNGNMKKPQDFLDMFIMAKDTDGKPTLSDEEIKAQVTELMLATVDNPSNAAEWAMAEMINEPSIMQKAACVKEAFRLHPVAPFNLPHMSTAYAVVDGYFIPKGSHMLISRMGIGTNPNVWDKPLKFDPERHLGNNKTMTYMLLARLVQGFTWSPVPGESKIDLSLNVVSEYSIIIF >Al_scaffold_0025_4 pep scaffold:JGI8X:scaffold_25:70969:71845:1 gene:Al_scaffold_0025_4 transcript:Al_scaffold_0025_4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVS4] MAPEIAAGGVQKARAVAAGGVQKARAVAAGGGQNSGVVTAVGGQTSNGGGGQTSSHGGGQTSSRGRGQTSRPVASRVQTYVGQRPPLTTNGVRVSSHSSNPSATQSATQSHATRPLSRQTPPPQSQHQPHPHTPPPQPQHQPHPPSPPPQPLPEHDVNHQKSEHCF >Al_scaffold_0026_5 pep scaffold:JGI8X:scaffold_26:133407:134155:-1 gene:Al_scaffold_0026_5 transcript:Al_scaffold_0026_5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVT0] ITNIRIFDMNMDVLNTFRGNHDIGIMVGVKNQDLEALLANEDAVNTWFVTNIEPYLSDVNITFITVENEIIPGEIGSYVLPVMHSLTNVVKSRILPILISTTVENDQPWPVVSTFCRRFHSPSPRTTYPDPINLHLAIFNTDTLVVQNWPIGYSNMFYMLFDVFVWAIEKEGIKDLPMVVIETGWPCAGNGNLITPEIASIYNNNFVKHVESGKGPGHRRGQLQ >Al_scaffold_0026_6 pep scaffold:JGI8X:scaffold_26:134671:137695:-1 gene:Al_scaffold_0026_6 transcript:Al_scaffold_0026_6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVT1] MKNRQKTQNFLKSKIRASPAISPAISSQQSLTLVSMASSHQSQPLLSIQMDMDEENQNEQQEEVDSLASTAENLVKGKRRRKRSQCWKTFRIIGSKTVSGMIAAGVPVEFLDEDKGVKEGEEEV >Al_scaffold_0026_8 pep scaffold:JGI8X:scaffold_26:149391:150307:-1 gene:Al_scaffold_0026_8 transcript:Al_scaffold_0026_8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVT3] MLRFIITDLFVGCIDLIADLLVLNEFCLVIDIDSGGDSGEEKECLAVGWQFDGLIDGNIQALRERLGAEMFEEVPLTGDVALTNPLPRRAEFKIPYLNDHSE >Al_scaffold_0027_1 pep scaffold:JGI8X:scaffold_27:2:8147:1 gene:Al_scaffold_0027_1 transcript:Al_scaffold_0027_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVT6] LSTIKTNADGYAAMIMKVLDKDNIGYIMMGSLKSLLLEAETHSISTNSEERKKLGDMISNKLKPTLDPNPLKRWYHKLRFFVLDNWQRIWVIVLWLMIMAILFIYKYIQYKNREVYEVLGHCVCLAKGAAETLKLNMALILLPVCRNTITWLRTKTSLGVIVPFDDNLNFHQVIAVGIMIGVAIHSVTHLACDFPRLIAATPEEYMPLRKFFGEEQPKRYLHFVKSQRNTGLIMVFLMAIAFTLAIPWFRRGKLEQKLPEPLKKIASSMPSCDLSWNVLTLHMSRPTNFEYKSGQYMFVNCPAVSPFEFPRIMIDGPYGAPAQDYKKYEVVLLIGLGIGATPMISIIKDIINNTETKELAQLDLAKVGSQHEPQSEKETFKMRRAYFYWVTREQGSYDWFKNIMNEIAERDKNKVIELHNYCTSVYEEGDVRSAFIHMLQSLNHAKSGLDIVSGTKVMSYFAKPNWENIYKQIAMDHPGSNVVFYCGAPALTKELRNLALEFAHKTRTRFSFHKENF >Al_scaffold_0027_2 pep scaffold:JGI8X:scaffold_27:11105:11515:-1 gene:Al_scaffold_0027_2 transcript:Al_scaffold_0027_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVT7] MELPHTETSNTTKEQTQKMVGQGGKGQQTARNDETNQTPDLPSKSKQPYHEQETLDRTSIPPPHLITEASKRDSTREEQRNTDLAPPLDSMAETQAALKVPAETNREFNKRGTTDENKEQLRWP >Al_scaffold_0027_3 pep scaffold:JGI8X:scaffold_27:21385:21922:1 gene:Al_scaffold_0027_3 transcript:Al_scaffold_0027_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVT8] MVTVDYPWLPPKCAICNSWGHKSEDCAQAKTASILTKQQGTVIHNEISEKLAKSAAVSGESRQVAVVVQNLLSHLKKINALPAATEEANVVTDIMSMHDEVNDKEAEHDELAMDDGWSKVTSTGHSTSPKHTHVTPSNDRSMGNVGGTSSPSRFQILADISEDGGE >Al_scaffold_0027_5 pep scaffold:JGI8X:scaffold_27:29925:31406:1 gene:Al_scaffold_0027_5 transcript:Al_scaffold_0027_5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVT9] MRTKSMLGSMVMWICGRHSPRLLTVEEGGKWRKAVDGRTVVAVSSLGQDMKDSEVLLTGRVHKYQGKSNKLGFLVLREKGYSDPKSAIKGTTQQVEIYVRKVFCISKALTQLLLDVDAASQKEEDEQGASGERRTPHVSLDTRLNNRVLDLRTPANQAIFRVRSHIENFFRQYLLALEFDSIHTPKLLARKINGGASVFCLDYIGKDASLAQSAQLHKQMAISSGFTKVFDIGTYFRSERSSTNRHLTEFVTLNVEMELKYHYNEVMDLVDGLFADIFMQVNQSCANQLDAIAKQYPFEPLKFLPNTLRISFERGVQMHE >Al_scaffold_0027_7 pep scaffold:JGI8X:scaffold_27:53495:54629:1 gene:Al_scaffold_0027_7 transcript:Al_scaffold_0027_7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L476] MIPFTSDSCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKQRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENVME >Al_scaffold_0034_1 pep scaffold:JGI8X:scaffold_34:19456:20196:-1 gene:Al_scaffold_0034_1 transcript:Al_scaffold_0034_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVU4] MQVALGRDEFRSGIYKSPSQVPIIDGIYLEAYLPPKKIPFLSNLNIFFLGKLLQRERYSQSTRNKPSHDHSETELSNAHGEVIEQGFKLEWLKLKLDEVSLKRKKLDVDVLQLDERVKNIELMRLDFKLDCLKTNLEEVSLERKKSDDA >Al_scaffold_0036_1 pep scaffold:JGI8X:scaffold_36:5657:6141:1 gene:Al_scaffold_0036_1 transcript:Al_scaffold_0036_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVV6] MAVRLLPFLVTTTAPVASLTLPLNRDLSSDTTVVIWFFQIRREKFHQHLYLTDLSFLFFPRNTINLRCKPPILKKLTETNMRRDRHEVRHPYPMS >Al_scaffold_0037_4 pep scaffold:JGI8X:scaffold_37:29643:30675:1 gene:Al_scaffold_0037_4 transcript:Al_scaffold_0037_4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVV8] MATVTILSPKSIPKIIDSKFGVKVPDQTVNVVKCGNSGRRLRLAKLVSAAGLSQIEPDINEDPIGQFEINSIEMEDFKYGYYDGAHTYYEGEVQKGTFWGAIADDIAAVDQTNGFQGLISLMFLPAIAAGMYFDAPGEYLFIGAALFTVVFCIIEMDKPDQPHNFEPQIYKLERGARDKLINDYNTMSIWDFNDKYGDVWDFTIEKDDIATR >Al_scaffold_0038_1 pep scaffold:JGI8X:scaffold_38:6028:6303:1 gene:Al_scaffold_0038_1 transcript:Al_scaffold_0038_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPR1] MRNQIGWKTNPVEKTEKTRSLANEKQSEQKNRATERTRSREKPSSESDLGRDTEPRLKSTPVEVEILAGEARFADERSETRFTVAGVFTLS >Al_scaffold_0039_1 pep scaffold:JGI8X:scaffold_39:119:1013:1 gene:Al_scaffold_0039_1 transcript:Al_scaffold_0039_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVW4] FYLKTGTCKFGASCKFHHPKNAGGSMTHVPLNIYGYPVREGDNECSYYLKTGQCKFGITCKFHHPQPAGTTVPPPPPASAPQFYPSVQSLMPDQYGGPSSSLRVARTLLPGSYMQGAYGPMLLTPGVVPIPGWSPYSSLSDSLLLQAPVSPALSPGAQHAVGATSLYGVTQLSSTTPSLPGVYPSLSSPTGVIQKEQAFPERPGEPECQYYLKTGDCKFGTSCKFHHPRDRVPPRANCILSPIGLPLRPVCSL >Al_scaffold_0041_1 pep scaffold:JGI8X:scaffold_41:3452:6943:-1 gene:Al_scaffold_0041_1 transcript:Al_scaffold_0041_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVW9] MKDTVQASAAALDLIKNEQVSAIIGPRNSMQAEFMIRLANKTQVPTITFSATSPLLTSIKSDYFVRATIDDSYQVKAIAAIFESFGWRSVVAIYVDNELGEGIMPYLFDALQDVQVDRSVISPEANDDQILKELYKLMTRQTRVFVVHMASRLASRIFEKAKEIGMMEEGYVWLMTNGMTHMMRHINHGRSLNNIEGVLGVRSHVPQSKELKDFGLRWNKKFEKENPTMRDDLTIFGLRAYDSTTALAMAVEKTNIRSFPYDNASASSNNMTDLGNLGVSRYGQSLLNALSEIRFNGLAGEFKLIDKQLESPKFEIINFVGNEERIIGFWTPSNGLVNANSNKTTSFTGERFDIFEAALKKLPYSVIPQYYRFESPDDHYDDLVYKLDNGTLDAVVGDVTITAYRSLYADFTLPYTESGVSMMVPVRDNENKNTWVFLKPWTLELWVTTGCFFVLIGFVVWLFEHRVNTDFRGPPHHQIGTSFWFSFSTMVFAHREKVVSNLARFVVVVWCFVLKPFGSSEECDALLSNGSISVAFDEIAYLKAILSQYCSKYAMVEPTFKTAGFGFAFPKNSPLTGDVSRAILNVTQGDEMRHIENKWFMRQKDCPDPKTALSSNRLSLSSFSGLFLIAGIASFLAVLIFVSLFLYENRHTLCEDSEDSIWGKLTSLFRNFDEKDIKSHTFKTSAVHNVSSPMTHYTPSPSTVQSQPWRHSPSQNRGFELTRVSFTPNEERLTTETIHHEDEESDIECGVEQ >Al_scaffold_0041_2 pep scaffold:JGI8X:scaffold_41:7840:11993:-1 gene:Al_scaffold_0041_2 transcript:Al_scaffold_0041_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7MVX0] MKMMNPRKNNNTFMYYFVLFVWGFVLMQVGLGQSQTSEIKVGVVLDLQTSFSKICLTSINISLSDFYKYHADYTTRLAIHIRDSMEDVVQASSAALDLIKNEQVSAIIGPRTSMQAEFMIRLANKSQVPTITFSTTSPLMTSINSPYFVRTTLDDSSQVKAIAAIVKSFGWRNVVAIYVDNEFGEGIIPYLTDALQDVQALIVNRCSIPQEANDDQILKELYKLMTMQTRVFVVHMPPTLGFRLFQKAREIGMMKEGYAWLLTDGVMNLVKSNERGSSLENMQGVLGVRSHIPKSKELEDFRLRWKKRFDKKGNDEELNIFALRAYDSITALAMAVEKTSIKSLRYEHPMASRNNKTDLATSGVSRFGPSLLKALSNVRFKGLAGDFELVNGQLKSSVFEVINIIGNEERIIGFWRPSSGLVNAKSKNITSFSRESFGPVIWPGKSRVIPKGWEIPTNGKMLRVGIPVKKGFLNFVDAKTDPISNAMTPTGYCIEVFEAVLKKLPYSVIPKYIAFLSPDENYDEMVYQVYNGTYDAVVGDVTIRANRSLYVDFTLPYSESGVSMMVPLKDNKNKNTWVFLKPWSLDLWVTTACFFVFIGFVVWILEHRVNTDFRGPPHHQIGTSFWFAFSTMNFAHREKVVSNLARFVVLVWCFVVLVLIQSYTANLTSFLTVQRFQPAVTNWKDLIKNNKYIGYQQGTFVRELLISQGFHESHLKPFGSAEECDELFSNGTITASFDEVAYIKVILSENCSKYAMVEPSFKTAGFGFAFPKNSPLTDDVSRAILNVTQGEEMQHIENKWFKKQSNCPDLNTTLSSNHLSLSSFWGLFLIAGIASLLALLIFLANFLYEHKHTLFDDSENSFRGKLKFLVRIFDEKDIKSHMFKVNAVHNVSSPITHGSSSPLTDHSTPLPRSPAQNRDQFEPRRLSSISSGELFTEQVEDEESAII >Al_scaffold_0043_1 pep scaffold:JGI8X:scaffold_43:22:4547:-1 gene:Al_scaffold_0043_1 transcript:Al_scaffold_0043_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVX5] MAEAFVSFGVEKLWDLLSRESERFQGIDEQVEGLKRQLRSLESLLKDADAKKHGSERVRNFLEDVKDLVYDAEDILESYVLNKSRGKEKGIKKHVRRLACFLTDRRKVASEIEGITKRISEVIGDMQSLGIQQIIDGGRSLSLQDRQREQREIRQTFAKSPDHDLVGVEQSVEELVGHLVENDKIQVVSISGMGGIGKSTLARQVFHHDIVRRHFDGFAWVCVSQQFTQKDVWQRILQELQPHDGEILQMDEYALQRKLFQLLETGRYLVVLDDVWKKEDWDRIKAVFPQQRWKMLLTSRNEGVGIHADPTCFTFKARILNPEESWKLCERIVFSRRDETVRLGEEMEAIGKEMVTHCGGLPLAVKVLGGLLVNKHTVHEWKRVSDNIGDQIVGKLCLDDNSLNSVNRILSLSYEDLPTHLKHCFLYLAHYPEDYKIYMWNLFNYWAAEGICYGSTIRHSGEDYLQELVRRNLVIAEKNNLSWRFEYCQMHDMMREVCLSKAKEENFLQIIKVPTSTSSINAQSPSRSRRLTIRSGKAFHILGHKNNKKVRSLIVLGLEEDFWIQSASVFQNLPFLRVLDLSEVKFKGGKLPSSIGGLIHLRFLSLDDAGVSHLPSSMRNLKLLLYLDLSVAAEEPVHVPNVLKEMLELRNLVLPHKMHDKTKLELGDLVNLEHLWCFSTQHSSVTDLLRMTKLRSLSVSLSERCTFKTLSSSLRELRNLETLHFFSTSKTIMVDY >Al_scaffold_0047_3 pep scaffold:JGI8X:scaffold_47:14218:15007:-1 gene:Al_scaffold_0047_3 transcript:Al_scaffold_0047_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVY3] MDEKEQPQPQHQVFINFRGKNLRNNFISRLVNALNDLGIKVVTDIQARMKVKVYEIKMALGRAGEDQGAPRSSSAQGAIPIFYKVVTSDVKQLKGYFGKHFWDQERKCKASRMKKWKKALEFVSGNIGLRWDTE >Al_scaffold_0048_3 pep scaffold:JGI8X:scaffold_48:35808:38904:-1 gene:Al_scaffold_0048_3 transcript:Al_scaffold_0048_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVY6] MATLAASSCLLSCLLVLFLSSVSAVTDDDKQVYIVYMGSLSSRADYTPTSDHMSILQEVTGESSIEGRLVRSYKRSFNGFAARLSESEREKVAKMVGVVSVFPNKKLQLQTTTSWDFMGLKEGKKTKRNPTVESDTIIGVIDSGITPESLSFSDKGFNPPPKKWKGVCSGGENFTCNNIVGRDVAFIHPLPAAGLLTEDFESLLSYLESADSPHATVLKTEAIFNRPSPVIASFSSRGPNTIAVDILKPDITAPGVEILAAYSPDGEPSQHDTRHVKYSVLSGTSMSCPHVAGVAAYVKTFYPKWSPSMIQSAIMTTAWPVNATRTGIASTEFAYGAGHVDPIAASNPGLVYELDKADHIAFLCGMNYTSHVLKVISGETVTCSEEKEILPRNLNYPSMSAKLSGSGTTFTVTFNRTLTNVGTPNSAYTSKVVAGHGSKLDVKIMPSVLSFKAVNEKQSFMVTVTGSDLDPEVPSSANLIWSDGTHNVRSPIVIYTSDY >Al_scaffold_0048_6 pep scaffold:JGI8X:scaffold_48:48236:50451:1 gene:Al_scaffold_0048_6 transcript:Al_scaffold_0048_6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVZ0] MRVITVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVLRWLRELRDHADSNIVIMMAGNKADLNHLRSVAEEDGQTLAETEGLSFLETSALEATNVEKAFQTVLAEIYHIISKKALAAQEAAAANSAIPGQGTTINVGDTSGAGKRGCCST >Al_scaffold_0048_7 pep scaffold:JGI8X:scaffold_48:51855:53641:1 gene:Al_scaffold_0048_7 transcript:Al_scaffold_0048_7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7MVZ1] MAQQGQGSMDPAVLDDIIRRLLDYRNPKPGTKQVMLTESEIRQLCIVSREIFLQQPNLLELEAPIKICGVNFGFLIYGLFSFLGSEVFYYLSSACYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFSVRLWKVFTDSFNCLPVAAVIDDKILCMHGGLSPDLTNVEEIKNIKRPTDVPDSGLLCDLLWSDPSKDVKGWGMNDRGVSYTFGPDKVAEFLIKNDMDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPADRRPRFL >Al_scaffold_0048_9 pep scaffold:JGI8X:scaffold_48:73661:75110:-1 gene:Al_scaffold_0048_9 transcript:Al_scaffold_0048_9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVZ3] DEKWKTPSLYIRFFSRQQTEYSSSGFHYCGVYDGHGCSHVAMRCRERLHELVREEFEADADWEKSMARSFTRMDMEVVALNADGAAKCRCELQRPDCDAVGSTAVVSVLTPEKIIVANCGDSRAVLCRNGKAIALSSDHKPDRPDELDRIQAAGGRVIYWDGPRVLGVLAMSRAIDNYLKPYVISKPEVTVTDRANGDDFLILASDGLWDVVSNETACSVVRMCLRGKVNGQLSSSQEMEMKGVGAGNVVVGGGDFPDKACEEASLLLTRLALARQSSDNVSVVVVDLRRDT >Al_scaffold_0052_1 pep scaffold:JGI8X:scaffold_52:5291:7683:-1 gene:Al_scaffold_0052_1 transcript:Al_scaffold_0052_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVZ5] MESSVAPFPHRRTHLSNRTYRSLLHHLCSSFDREPRVSLATPAVLHELVLRTEIAPVSPGETCKPPENLSMVESKSNGVSVDLRGENSQEKSLMLGDVFDGIDLQDASVGIRHTDFFDSFELMINENPDFVPESCVNLFEALDVNDYDGDGMVASLDNIAKQPLDFVQNVVEKPNISTESDKKVEEVPKSAESNEVKSSGFLEACDETVQREMELEKPVDSTPVLVDSVSRIVEDDDVEEGEISGDDNDDMLVEDDEPVERHEECQVSRDGIGNSHLTSHESFGKELGVENLTCILTSYLQMDPGTSIKKRSAPSKDAKARKRAKARKKRAKERIALGVKKLKLKPVAPKPKPIKYCRHYLKERCHESFCHRGDICLFSHKGTPQSASDTPSANVTASSTNITAASFSPQKTNKQSVREAIAKLPGIQARVSSSVGFLKPLSQSNHRNPSDALSPKINEPVTHPQVLPLRKPSVAPKGMSFLSLDKTFQEDTVKVSPASKRNTDNSDNQTLKQSQLGSSLPLVPPKGISFLSFASEEPKTLNREPQEPSSSRNLKTTPSSHIQSSLLSAMKLAAEFESAKVERRNNDPTEAVNKSNVTTVNTTVTRNSGNISSKILEFLSSFSQGKN >Al_scaffold_0052_3 pep scaffold:JGI8X:scaffold_52:10937:14941:1 gene:Al_scaffold_0052_3 transcript:Al_scaffold_0052_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVZ7] PSFWLTALKNNDVISEEITERDEGALIYLKDIKWCKIEEPKGFKLEFFFDQNPYFKNTLLTKAYHMIDEDEPLLEKAIGTEIDWYPGKCLTQKILKKKPKKGAKNAKPITKTEDCESFFNFFNPPQVPDDDEDIDEERAEELQNLMEQDYDIGFAENQKLTALLSEGLLRLATEVEGGLVGVTVYRDD >Al_scaffold_0052_4 pep scaffold:JGI8X:scaffold_52:17012:17575:-1 gene:Al_scaffold_0052_4 transcript:Al_scaffold_0052_4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVZ9] MIRLLEFLKQINNVSLVSIEARTRARDTSVVLVSLRLKIEGECDESAFQEAVRRVVADLAH >Al_scaffold_0053_2 pep scaffold:JGI8X:scaffold_53:4575:4891:1 gene:Al_scaffold_0053_2 transcript:Al_scaffold_0053_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW05] MDGDMEALNPDLDMAAADDDAVKELDEMKKRLKEMEDEAAALREMQVKVEKEMAAQGFRLFDFVLL >Al_scaffold_0053_3 pep scaffold:JGI8X:scaffold_53:13152:13571:1 gene:Al_scaffold_0053_3 transcript:Al_scaffold_0053_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW06] MIEDITSIECNYSDKPHNLMVSSKKIPEDNESMRVLSALKSRGRNVLLVQPEDEAASEKLFLTPESISDCTRYLNGEKPIDEIVDYTSSCTSWETDTDYYTNTEKEEESNVLLVQPHDEAASEKLFHTSCSQGVDNITT >Al_scaffold_0053_4 pep scaffold:JGI8X:scaffold_53:27727:28818:1 gene:Al_scaffold_0053_4 transcript:Al_scaffold_0053_4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW07] MAFPKPSSRSFHPEISMLREIIGCKLGKELTRGLVARGNPDIGINAARESKEDVMGGGTGTGAAPVIAKGIGILTVGIDTTPFSFDGRSSNGLSTPVMEAFNLADDILHRGVSGISDIIAV >Al_scaffold_0053_7 pep scaffold:JGI8X:scaffold_53:40106:40422:-1 gene:Al_scaffold_0053_7 transcript:Al_scaffold_0053_7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW05] MDGDMEALNPDLDMAAADDDAVKELDEMKKRLKEMEDEAAALREMQVKVEKEMAAQGFRLFDFVLL >Al_scaffold_0053_8 pep scaffold:JGI8X:scaffold_53:41161:41514:1 gene:Al_scaffold_0053_8 transcript:Al_scaffold_0053_8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW13] MEKEGEPMNEVDDIEGEWCKPKNTIRRTMDKTRTVDTTKTVKVFLDLDTTEVPEDDEQVKTLKDQIEKALQTPCKIVYCSKN >Al_scaffold_0058_1 pep scaffold:JGI8X:scaffold_58:2:706:1 gene:Al_scaffold_0058_1 transcript:Al_scaffold_0058_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MW17] GSALTNVSSKSRRGKRKYSKENNSSSSGSVNSNGNSRRQRNEETKNGSGVGGEIVTLPLLPETPEKKESPLKAVAVPELGAASLWLNSNRRYQTELMTETVVSSVLTVECVTERLMEGEYELGCTDDEIKMNLERDTCPGFISDGLGRVIWTNRSYRELVVGKDHEQCGKMSVWLVMKEMPLVTYRTFTCRMRLQYTCRDKEVSSITSFCDVWRMSDGGFAWRLDVDAALCLGR >Al_scaffold_0058_2 pep scaffold:JGI8X:scaffold_58:2380:3909:1 gene:Al_scaffold_0058_2 transcript:Al_scaffold_0058_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MW18] KVFVCFPFSDHFQGKSRPPLPLVSSPVSCPQVTKSEETQFVAFKKVWGLGAFFYCPSADFKPRVTNAQIQCPRALVEYMIQYWNLENRVTGRELGPERFFFAFGDEVTTVHLEYEKLEKHCFFCFSLSHEEKACPLKTSSGSKEDRPSGVKQDRDSHLIVEDKRRHEEKKTHWPHIREEAPRGVCRDYKRGYGEGGRSETRESNNHLSDYSNRIRDSHRYINTREKSSKDNRRSPPRSTRRVSVRSESDSHFQRSHQPCRTSFNEGNHTFSHNAAYVRRSHCLSSTRDM >Al_scaffold_0058_3 pep scaffold:JGI8X:scaffold_58:8821:11364:1 gene:Al_scaffold_0058_3 transcript:Al_scaffold_0058_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MW20] MLPHGKSQNSGSQKSVASDNRQGLRRSFSQNPVKYHDGYSSQSSAVTDDEGKDSSSTKHGTERIIRTVYAQNKATPKKRESLGNSEYGSQRKSHDDHRAVSTFTNGYATKLQESEERKRDLLAEIMLEEERGRELSMNLKELLTANRSEAEEKPLRTRK >Al_scaffold_0059_4 pep scaffold:JGI8X:scaffold_59:14228:14710:1 gene:Al_scaffold_0059_4 transcript:Al_scaffold_0059_4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW25] MSTNVAHISDRPSLWMLAVAAVIDAAAVAVVIDRAAEVDGAAGLIPNGQYASRVREGCFLFGCNHVVEPRRMYCSSIHENIAQELGSLRSAYVVIDGFSGLYYDKNHKRDMRSVVSLLKSADEGVDVAIPLIEDLGIFERVPWEWDFINYQFLDGESDRS >Al_scaffold_0059_6 pep scaffold:JGI8X:scaffold_59:52233:57728:1 gene:Al_scaffold_0059_6 transcript:Al_scaffold_0059_6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW27] MVSTRSKRAEAAAAFFGSQSAVSPKKKKAKAVHNSLAEESRCRNTIPDSSNSDTTATIGEGTTPVDVSSSQAQSESSSPRSPTSTSGSNIDKTITSSSDSLSRKDVPDSADGETSVAGVLSSSTVGEKVRNHNILINKLQQKTLVIHGFPIAIQLLLFQSIPLLLRYLPSSEDAQTFYDMSLSVLPTLKTYHTNNILLVENDKDLIVSQPVVSTEEDCVSVGDPKVSHLLSLIRGGYRFSKGDWRGGDASLANCGPDYSPPNTCTPAPVPGLLSSNADSEAIAKLTAEVAHLKNTYAELYVKVKADVVVEVKSFLEARTCGSCSRNCGVAASRADSLSAAVVDTLKPVSSSKPLEEEENVALPSEVKTGKPKFFSSDTGRIYGCVENCSKDVTVIPESRLQTGDSSFQTSVREDDVPSNLQLGQKYLKPMKGRNKRGMISSTRCNVTKSPKRQKQGLPGHVDYIPFHPVPQRLIATFKNQLLSYRNSTYDIDGCEVGKTFFSDIYTPQKWIFSTHMDLILRTFWRKRGSYLAAKGIILLDSLFTQLLCSQYSDFVNTTSPSAFLWDPLVASYIEGTDMGHISDATVDIFRMDYAIRVYEEFIGFIISFYSNCSNFFTFHITFIKMDNLVDDAAIEIYRRVAETSFTMLAPLLLVAKKQSKLALSDAVLSSLSLHEFFNNPELANEGSAFRSFFLKCVAAKNPVANYLESLRIVAQHGDVSHAIAMLYSAVPESDYISFARGMFLIVAQFPSEGIATISSLFNRLGTVAQLDAIGTVVFRHLSIFRPFRRRLFSNLLVLDSIPVCVGNVCNLQNRCLNCFMYWFIIRLNFVL >Al_scaffold_0059_7 pep scaffold:JGI8X:scaffold_59:59213:60178:-1 gene:Al_scaffold_0059_7 transcript:Al_scaffold_0059_7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MW28] NVTALYVEGLRRLVKYGPSIESLELLHRAERPIVYAAFAYGIFAICAGHYEEGRTAMHILALNISWLDEMVEIGEAVMAQIADLEPPLSGNYVGTYRYPEGDIPNCVHFACTMTDSLQEEQSERNSSKQHIKAQKKINEIQKKLIAKLFRKVKKLQPPDYVSSED >Al_scaffold_0060_2 pep scaffold:JGI8X:scaffold_60:5310:11518:-1 gene:Al_scaffold_0060_2 transcript:Al_scaffold_0060_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW30] MERYEIVKDIGSGNFGVAKLVREKFSKELFAIKFIERGQKIDEHVQREIMNHRSLIHPNIIRFKEVLLTATHLALVMEYAAGGELFGRICSAGRFSEDEARFFFQQLISGVNYCHSLQICHRDLKLENTLLDGSEAPRVKIYDFGYSKSGVLHSQPKTTVGTPAYIAPEVLSKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPSDPKDFRKTIGRILKAQYSIPDYVRVSDECRHLLSRIFIANPEKRIRIEEIKNHSWFLKNLPMSEGSLMMNGPSTQTVEEIVWIIEEARKPITVATGLSGAGVSGGSSNGAIGSTSMDLDDLDTDFDDIDTADLLSPM >Al_scaffold_0061_2 pep scaffold:JGI8X:scaffold_61:17696:18853:-1 gene:Al_scaffold_0061_2 transcript:Al_scaffold_0061_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW33] MVLEEKDASDWIYRGEGGANLVLAYAGSSPLFVGKVIRIQKARRNDKAHMTANGVVSVLTSDEQLLWRENKELISSPNKEVLEQRYVKHVIIPLLGPKHVDAGVRVSVSKEFLECVDKKVTKQRPLWRVNAAHVDTSHDSALILNDHSLFSHGIFSIGDCISVEIKSL >Al_scaffold_0063_1 pep scaffold:JGI8X:scaffold_63:4237:4470:-1 gene:Al_scaffold_0063_1 transcript:Al_scaffold_0063_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MW36] ITPIPKHLKCVDRLKHKAMTRFCGQKLELRIGIPTHDKGEPRLKTPNLNTKMPFKKINSVTVDMYYQIVSPTSLGDP >Al_scaffold_0063_5 pep scaffold:JGI8X:scaffold_63:29531:30243:1 gene:Al_scaffold_0063_5 transcript:Al_scaffold_0063_5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW39] MEEKKAEEPQVKSEDKKPAEEEKKKEPQEIVLKIFMHCEGCAKKIHRCLKGFEGVEDVTTDCKTSKVVVKGEKADPLKVLQRLQRKSHRQVELISPIPEPKPVSDVPEKKEKEKPKPEEKKEEVVTVVLRVHMHCEACAMEIQKRIMRMKGLYIFFCNFSHLNFC >Al_scaffold_0065_2 pep scaffold:JGI8X:scaffold_65:28834:31089:1 gene:Al_scaffold_0065_2 transcript:Al_scaffold_0065_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phloem protein 2-A7 [Source:Projected from Arabidopsis thaliana (AT5G45090) TAIR;Acc:AT5G45090] MSQEEDVSKGLINFLEPILKNENINVFIDEETVRGKDLKNLFKRIQDTRISLAIFSESKCDFNELRKIKEPVDEAIPIFYKVDAIGDLADLQNRCKKDLINSAVEEMSRLLANISVEVNREKEGNHKCFMVPARKLQISHSEKLINWTWSSIYEAPNEAAIEIAMLNEVYWLHMSGNFHTRNLTPGTKYEVVFLVSLEDTSFGWDQPVNLNLKLINPDGTESFQERTTSLECHIGENWVDIQAGVLVAPPRNAAAKMTFTMYQYVTSDRKSGLLVKGVAIRPMP >Al_scaffold_0065_3 pep scaffold:JGI8X:scaffold_65:32431:34179:1 gene:Al_scaffold_0065_3 transcript:Al_scaffold_0065_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW46] MASSSSVVKPTPTGPQVFICFRGADVRSHFISHLDPALREANINVFIDDDEFLGTDLVNLLKRIEESEIALVIFSEDFTSSYRCLDELAKIKECKDQGRLIVIPIFYKVKPSVVKYLKGNFGDNFRELERNNLHMQQRTQKWKKALVSIPESKGMPRAEQSEKTDKDFITSMVIKIQELLDYMAARRNKEIEANRQGVSIVPARKPKAEANPQGGSVVPAAREQEREASHQGGSVVPSMVLARDLVITHFDKPQRWTWSTINEAPNSAEIEIATSNKLYWLKIVGTITTENLTPGTKYEAVFVVKLEINASGWDIPVTLKLKVVQHDGDDDRVDRTENLNDYIGQNWVDILAGVFVVPPKTTPATIICTMYQYADEYKKKGLVVKGLAIRPTN >Al_scaffold_0066_1 pep scaffold:JGI8X:scaffold_66:2455:4265:-1 gene:Al_scaffold_0066_1 transcript:Al_scaffold_0066_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW49] MAMSGSSGLGHLLMVLLLLSTLFHHTGSALPSDHEQLSITGRRMMANYKPNAAVETPPSRSRRGGGGQNTGGA >Al_scaffold_0066_2 pep scaffold:JGI8X:scaffold_66:4880:5086:1 gene:Al_scaffold_0066_2 transcript:Al_scaffold_0066_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLZ6] MLFSKHGDGAGDNSDDREDRRLNGDNDGGILIPACRYSVESEGDESGDEDGEIYVPSRLSSDRHSVIN >Al_scaffold_0066_3 pep scaffold:JGI8X:scaffold_66:14493:16933:-1 gene:Al_scaffold_0066_3 transcript:Al_scaffold_0066_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW51] MNIFKKKTTPKDALRTSKREMAVATRGIEREITSLQLEEKRLVAEIKKTAKTGNEAATKILARQLVRLRQQITNLQGSRAQIRGVTTHTQALYASTSISSGMKGATKAMVAMNKQMAPTKQAKVIKDFQKQSAQLDMTIEMMSEAIDETLDKDEAEEETEDLTNQVLDEIGVGVASQLSSAPKGRIATKTAAPPASTAATKNNNSESSEVDELEKRLASLRRI >Al_scaffold_0066_5 pep scaffold:JGI8X:scaffold_66:27807:27995:-1 gene:Al_scaffold_0066_5 transcript:Al_scaffold_0066_5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MW53] FEVESGKKDDEHGAGDVKDGVIKGEGVKIDDGVSVNGQKVEVKPVEKLMSVKIKREIKKEKK >Al_scaffold_0068_1 pep scaffold:JGI8X:scaffold_68:1756:2305:-1 gene:Al_scaffold_0068_1 transcript:Al_scaffold_0068_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW54] MVVDVDTPIYTSELPHLHVSQPKQTLVMITRTYGLWETAENDGEVKQQSIWLRFGRKSVRNQRRKEAGKIATREKG >Al_scaffold_0068_6 pep scaffold:JGI8X:scaffold_68:38587:40364:-1 gene:Al_scaffold_0068_6 transcript:Al_scaffold_0068_6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW57] MAATRGGPTLVERGAVAPDIPYNHEVQGSILHKCIFLHWVKLLAGSATGCNLLDSGKTYYCAKCDYHLHDHCASCPPTLHNYMHPQHELQLVFKGPEHYCDICTKSVQGLYYRCNACDFDAHAVCALLTEVIYCKKNII >Al_scaffold_0070_3 pep scaffold:JGI8X:scaffold_70:14530:15003:-1 gene:Al_scaffold_0070_3 transcript:Al_scaffold_0070_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW60] MYMLSIKEWFSIAPLHVDSQFYCCTDGSWINPESKAGIGWALFNAQHRNILQGFSSLEPTSSAIEAEALLQLRKLNYRNVTLCGDSSTLFHYLEQAEQHSEPNSGHIEIQAYLEDILAMAEDFYHFKFIPRQFNVLADRLAKKARLKNSPMVASWVC >Al_scaffold_0071_4 pep scaffold:JGI8X:scaffold_71:9002:10781:-1 gene:Al_scaffold_0071_4 transcript:Al_scaffold_0071_4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW66] MKRFFCCLKGGSSRNAGQGNDENDEVNLDRPFGNNERLNHLWRNHLSLPDDREVADPTARFFPISPPLPDNCGASFLWRELVDGTINFRDEYFLGRGNFGEVYRCRFSRLNEDGAVKIQKPDNPTGHVEFLAEVTTLHAANHPNVIRLLGHCYGQRNRAIVYEFMPNGCLERHIFAHATRVQGPFPQGLQLPIRVLDWDTRMRIALGVAKGLVYLHQELKVINRDVKAGNILLDANFVPKLTDFGLATKIDVDENGVEKQILINPMKGSLGYIAPEGEISGFVSTKTDVYSYGALLVVLFTGREPFYKIFDTARMCLKTERLERPTMSDVEAMVLEAASFPVQVPPQVKRRRSASTYEVFSGGF >Al_scaffold_0074_2 pep scaffold:JGI8X:scaffold_74:8330:10946:1 gene:Al_scaffold_0074_2 transcript:Al_scaffold_0074_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW75] MPPKTKTMGKGKGRKKVADLRTEQPSSEQPSSEPNPTEQNIIMGGGVEEQQVPVESDKEEENSEKNEEEESEEEEGEEEDKEEDKEEDKEEEKEEGNEEGNEEGEKSSSDDGSRSLGEESSSDESKEDEIAVEIAPENAMTKTMGKGKKRKRVADLVKYDGGAKQRTEQPSSEQPSSKPNPTEQNIIEGGGVEEQQEEENSEKNEEEESEEEEGEEEDKEEEKEEGNEEGNEEREESSSDDGSRSLGEESSSDESKEDEIAVENASENAM >Al_scaffold_0077_2 pep scaffold:JGI8X:scaffold_77:25266:25983:1 gene:Al_scaffold_0077_2 transcript:Al_scaffold_0077_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW80] MAYDKNKYCRGCALPVYEGQFYSCMECKFILHESCANAPRMKRHPLHPYPLTLDNMASAGKETFT >Al_scaffold_0080_6 pep scaffold:JGI8X:scaffold_80:17177:17942:1 gene:Al_scaffold_0080_6 transcript:Al_scaffold_0080_6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MW88] MAQRRGPDPPPPQRRILRTQTAGNLGEAMLDSEVVPSSLVEIAPILRVANEVEASNPRVAYLRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENETTLAGRQKSDAREMQSFYQHYYKKYIQALLNAADKADAQLTKAYQTAAVLFEVLKAVNQTED >Al_scaffold_0084_1 pep scaffold:JGI8X:scaffold_84:39986:42074:-1 gene:Al_scaffold_0084_1 transcript:Al_scaffold_0084_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW93] MVVLDMASHLYINPPQNLHFLPSSSSSSLKPHLYLSFKRINPQPKSSSSSVFVPCASQSSIAITSNERWSLNGLSALVTGGTRGIGRAIVEELAGLGAKVHTCARNENELENCLSDWNRYGLRVAGSVCDVSDQSQREDLMETVSSVFDGKLHILVRVNAHKVFVERPRKREVPETTGGVFNELLPLYLMEPVRLGQSPSSL >Al_scaffold_0085_1 pep scaffold:JGI8X:scaffold_85:608:1637:-1 gene:Al_scaffold_0085_1 transcript:Al_scaffold_0085_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW94] MHKKDLPSDVLLQKISESEANKLVDEVVKSRKQELIRGTLRSKIGSARLSHKNAGLNRLDEGTKICDEIGVTPRKVLEFKNPKEAICEVAEKLVVGSHGKGALQRYGFSTSFGSIK >Al_scaffold_0085_3 pep scaffold:JGI8X:scaffold_85:11621:12213:-1 gene:Al_scaffold_0085_3 transcript:Al_scaffold_0085_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW95] MVPGRVKMNLNRKGKDIMKKKKTRVPCNFCEFSSSRYGFDQSLQDQEQGYEISGMYVISALSFAWQQFFSSSYEFLSISCLYVLLQLGTSHESAIPDPGGEGRDDDGAALRARLQVMREPAHWKTNQRNYQGLPLYY >Al_scaffold_0086_1 pep scaffold:JGI8X:scaffold_86:1031:2371:-1 gene:Al_scaffold_0086_1 transcript:Al_scaffold_0086_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ91] MIIIQTEIGESTLRVYYAHGGNTLTQRVKIKLLVFRPKVSDAILDDDFYISNNFKTENSLFWKQKDSKGCWNQLYSPINIGAVRLPAAVANADNDVRFVAAGTCGRTLRQTRVNCF >Al_scaffold_0089_4 pep scaffold:JGI8X:scaffold_89:22267:22566:-1 gene:Al_scaffold_0089_4 transcript:Al_scaffold_0089_4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWA7] KPLIRLGANMPARAERMARRSDYDQYSSGGTNYLSHGEVYEVVLYFGIIDILQDYDISKKIEHAYKSLQADPASISAVDPKLYSRRFRDFISRIFIEDG >Al_scaffold_0092_1 pep scaffold:JGI8X:scaffold_92:363:710:1 gene:Al_scaffold_0092_1 transcript:Al_scaffold_0092_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWB1] VLAPLPIGFSVFMVHLATIPITGTGINPARSFGAAVIYNNQKAWDDQWIFWVGPFVGAAIAAFYHQFVLRAGAMKAYGSVRSQLHELHA >Al_scaffold_0092_2 pep scaffold:JGI8X:scaffold_92:1601:4294:1 gene:Al_scaffold_0092_2 transcript:Al_scaffold_0092_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWB2] MVPINEFETSTCNSHVDDSGWTKVVSRKKKRARNMVAAYVEDESNGTGLSEEVDDSVDEIAARNENLKPEESKKEKEKEIAARGENLKPEETKKEKEKEKEKEKEKEEEEEEEEEEKVSLAEAAAKINLPHLTVYLVKISEMYPMLPEWQLIKFVDYFGIAFCDVPFSWPEMFNNPPLFKLIDVIDVPLSHIPESVYKISVDWIQRQKLNTLCGFIWWALNKINGCLTELRGGPPHTYRKSQRAMVLRGKPSALARVLPPMRFKYSRYREPDLLPVTVSMIAQASRGDLFMALFTWADTLLPAVDTNPHSRNLILQLVENILSQPNARTILVERAVFEDHGVIPPFSFESLLRLTFPDSSARVKATARFEAIYPLLKELALAGTPGGEAMKKAARQIFIFSLRLAGGANPVLAKEATSIAIWALTENIVCCNHWDNLYENNLKASVALLKNLVDEWKDHSRKLSSSRSNTLTLNQTMKSFRLKNEKAINEGGANASLYKEADESCKVILGRLSWKSTAITALVLAAVVVAASGGVATFDDEIPARDKNLKAEET >Al_scaffold_0092_3 pep scaffold:JGI8X:scaffold_92:9019:10748:1 gene:Al_scaffold_0092_3 transcript:Al_scaffold_0092_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWB3] MLNDVVVAGFVLFFPNYSSFLSKPGFYIEDIFVREPYRRKGFGSMLLTAVAKQAVKMGYGRVEWVVLDWNVNAIKFYEQMGAQILQEWRVCRLTGDALEAFDQNESLKLLRMMFKRLKIASKVLREMISDLYDEIRERCVIQN >Al_scaffold_0092_4 pep scaffold:JGI8X:scaffold_92:30381:31348:-1 gene:Al_scaffold_0092_4 transcript:Al_scaffold_0092_4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWB5] MEVPKDQIANLIEHGLYDSAEMLGCFLVSSSTVSAETSPQLKAENLILLGDALFHQREHRRAIHTYKQALHHYTRIPKQSSGISRSSLSLSTRSSVNASSISAINENEVRFKIASSHFALNETKAAIAEV >Al_scaffold_0096_3 pep scaffold:JGI8X:scaffold_96:10187:10841:-1 gene:Al_scaffold_0096_3 transcript:Al_scaffold_0096_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWC2] MAFNLNGFNFNQSVVDSQGRVINTWADIINRTNFGMEVMHERNAHNFPLDLAAVEAPSKREHCNNNQIGNPKEELGPLSTYIYMPLDHHKGILNRNTTHNSIVHRQGGEKPNSPKLKVQDFRKPFIKTISATMVGGTPLTLHHSSVM >Al_scaffold_0096_4 pep scaffold:JGI8X:scaffold_96:11428:13232:1 gene:Al_scaffold_0096_4 transcript:Al_scaffold_0096_4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWC3] MDPATVDESPSRRRPWWQNKYVIYDLVMNVLLIGFNVATFLYIRHYKIPLVSSIDHMIEFLVALYCLANIVGVATWVYMFKKIPERPFEGGVMGVAHICGVILLILFLYSISLAVALIIDSTSLNPINRYLSLRGPAANQGKKIQVEKRMRALTWLVYRCST >Al_scaffold_0096_5 pep scaffold:JGI8X:scaffold_96:15569:16195:1 gene:Al_scaffold_0096_5 transcript:Al_scaffold_0096_5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWC4] MSTVLKKVGFTTWLGSHTNTQYVMASTLAKDLGFKLLSVILLYFNMDPSKYIGSTDHKTTRNIAGWSGLAFSSFSLIDIVLRTKFKDLRIFDEAAIICLFGSLSALYFSMFELNSLIFISSGGVFLLVAIIMVVGNRCRSGVVPAELHPELRLLIEALKEDESLQNPQVLMQLKSTIFIMMMTNSDDPHALALLDIVKSRTTTTPPPP >Al_scaffold_0096_6 pep scaffold:JGI8X:scaffold_96:16787:18097:1 gene:Al_scaffold_0096_6 transcript:Al_scaffold_0096_6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWC5] MKFTKDKNGDLENMKDLTSLPIVLGLIKRLNLPVVVQENVEETDIIELKAEAAELQNDLILKESETLEVLKELEATKATVVKLQQRKEVGADPELIIVYSGIRASVELLKKKLNEEKTELEKTRERLMQKSLKVISLEEEEVRLSFPKKGDTRDKDLENNAMGMLYEVKRLSREAQEVKKTGEDAHSFPCD >Al_scaffold_0096_7 pep scaffold:JGI8X:scaffold_96:18686:18868:-1 gene:Al_scaffold_0096_7 transcript:Al_scaffold_0096_7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWC6] HRILSLVMAKLNSVLLVDYIVLSIIRFNHAITCSEGDCWSFWTYKDKYLLIGFNEVESIN >Al_scaffold_0098_2 pep scaffold:JGI8X:scaffold_98:19911:20961:-1 gene:Al_scaffold_0098_2 transcript:Al_scaffold_0098_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWD2] MLQCGSYRTLLAPPDRYLWWLQAAATTTTTVEPAGEQDTIFPSSVDSVVDCTQVLGGGKPLSGIVILMMMLMMTMTKLPTVFTVILLILSRLAV >Al_scaffold_0100_2 pep scaffold:JGI8X:scaffold_100:19625:20790:1 gene:Al_scaffold_0100_2 transcript:Al_scaffold_0100_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWD4] MAIKERSLEETPTWAVAVVCFVLLFISIMIEYFLHFVGHWFKKKHKKALSEALEKLMLLGFISLLLVVLQTPVSQICIPRNIAATWHPCSNHQEIAKYGKDYIDDGRKILEDIDSKDFYSPRRNLATKGYDKCAEKGKVALVSAYGIHQLHIFIFVLAVFHVLYCIITYALGKTKMKKWKSWERETKTIEYQYAN >Al_scaffold_0103_1 pep scaffold:JGI8X:scaffold_103:1842:4102:1 gene:Al_scaffold_0103_1 transcript:Al_scaffold_0103_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWD5] MSTEHNENIEEPMLQPENAKDVQAEKRRKTPAPAASASVNPQRPRKKYAKRAVVWQHFLLRDGDESKSYCKYCTAEIACDTKTVGTSPMIGHLSRCKQYKDYEEKENQKVLTGDNKGNLKVVKFSEFNSSSSMNLQSMT >Al_scaffold_0106_3 pep scaffold:JGI8X:scaffold_106:19724:20053:1 gene:Al_scaffold_0106_3 transcript:Al_scaffold_0106_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWE3] MALNSVSSEEFSFPSLASHDPSHHSGLDSPPLWKHSPVNSFRRKDYDFGLARLLIGKDDDHDQRKSFSYVEMRSRWMDDKEEEKMDMLWEDLNEELPPRSQSLRIEPGGD >Al_scaffold_0108_6 pep scaffold:JGI8X:scaffold_108:20031:20618:-1 gene:Al_scaffold_0108_6 transcript:Al_scaffold_0108_6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWE8] ALSHLADLHGFPFPKLKAKVLTLETTQYVISGIVRVLQNSPELKKLIVRTIDCDAMRKENLDNYLDVYGLNPYQCSEARVFGNMYRTSVESKHVALFMELVLKITKTLEKMVVRLGPYRNTRVFEELLQMVPVLSHDNKVSIVLSSTKSRISENKWTTF >Al_scaffold_0109_1 pep scaffold:JGI8X:scaffold_109:1808:2522:1 gene:Al_scaffold_0109_1 transcript:Al_scaffold_0109_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWE9] METTPIWTRIKTEIRNENVTTTGREIEGQIDTVIGIETESKNERETICVSFSSSMLRKLVDKVAEAGFYVVVPDVFHGDPLLVVDCKAKNKTMTYLFVG >Al_scaffold_0109_2 pep scaffold:JGI8X:scaffold_109:35790:42884:1 gene:Al_scaffold_0109_2 transcript:Al_scaffold_0109_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWF0] LPQELISKAITNKNVTEKLSFDWYVDNREDQALIYLHFAEIQTLKGNDTREFDIIWKGNDGNITISAYRPSKFQLETLYNTSPMKCKFMQCTVELVMTKSSTLPPMINAMEAYQIIEFPDAETNPEDGINCSNTNASIPPRIISLNLGWNSLTGPIPLALRNREKKGLKLVVQGNPNLCLSDSCKNKKVLVPVFASLASMAALIALLGLIFVLRKKKPLSKVATRELPRKSSIFAKNKKFTYLEVVELTDNFKRVLGEGGFGVVYHGSLSDTEPVAVKVLSESSVQGYKEFKAEVELLLRVHHINLVSLVGYCDEGGHLALIYEYMANGDLKQHLSECSGPTLKWASRLKIAIEAAQ >Al_scaffold_0110_1 pep scaffold:JGI8X:scaffold_110:9523:10645:1 gene:Al_scaffold_0110_1 transcript:Al_scaffold_0110_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWF1] MRLFSAATADRDSLGKTEISLWVYDGGFDCGFKSVSLVNLATEVYGRRFQRRLLIQVILESKWSFSVWIYGYRRFFKVMEWLSIRVDDRRLLIRLFIGSNGIPRGWIHGHRWILKATESYSMIFGDLCLGLMIVFRSMRIWNQEESEGCCVFRFGFKAKQWGLVPGRDLKAYGPILGFLIDVLLALGLCALVWLDMLVPGGGRYLFRLTLVFCLYWEFEFLEWSLNGIHFSDCDVLSDFEFVLVAVWFWAVDQRFFRLKTIEKRRLDRGVFNRWLFNNRNGRALISFQRASVDGHNFSKRRIKGMKAITRCTMVRCMV >Al_scaffold_0113_1 pep scaffold:JGI8X:scaffold_113:38:3503:1 gene:Al_scaffold_0113_1 transcript:Al_scaffold_0113_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWF7] VSSSSSTYSSVSTSEKRTVSFNETIVSPGNVYELGLLPTDLNWYLGIWHKEDIFKQFIWVANRDKPFSISTGTLKFSENNLVLSDKDNSHVWSANMNRGGVRSPMVAELLDNGNFVVKDSNNDEVLWQTFDYPTDTLLPEMKLGRDKKTGINKVLTSWHPDDPSRIGYSLQVKNQAGLFELSVCGQDTSKCFYRSDPWDGRRFGDIPLDFSLNYVSPNWTRNVEDSNFTFLMTGQNNNSILTMDEYIPQILTWEPERMMWSLSWHPSDFYSEYKICGPNSYSSRTTTFSVCTCIKGFDPAFHENWSLRDWRGGCERTTQLNCTGDHFLQLKNMKLPDTKDVTVDMVIGKKNCEKRCLRDCDCTAYAYVTILKGHAGCVMWTGALNDFQNYSVGGRDLYVKVAAAIDHDETNQTITTKNTKNKGMGRTLEVTVIIIIGVVVVALATFATYYYWKQHNRRTIITHGPSKTMIMNEIARQTRCEFMNLVHVAEATNDFSEANKLGEGGFGVVYKGTLPNGNTVAVKRLAITSSQGFNEFKNEVQTISSVLHINLVRLHGYCWEDREQLLIYEYMENSSLNYYIFETQSSLLNWEKRFCIIKGIVQGLSYLHNYATPSIIHRDLKPSNILLGKDMIPKISDFGMAKLLENDEIQSTTGKAVGTGYMSEEYALHGKLSERSDIFSFGVTLLEIVTGKRNIEYCNYYRGDSLLDYVWRHFDEGNILHVVDPNFVDSSLVEEELWRTIQVGLLCVQNDEDDRPSTESVALMLSTSKMEIPLPKKPNYFYARLIRGEIASSSSVTESTSINQITLSAIKSR >Al_scaffold_0115_1 pep scaffold:JGI8X:scaffold_115:16513:17218:1 gene:Al_scaffold_0115_1 transcript:Al_scaffold_0115_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLT3] MCSSRTYFNLTEFVSILSGIVNPNICVDVFGKIVNVRELIFVPSVEHSQGRYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHCSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDHSITDKMLCWIPENDQVPIITRKENAME >Al_scaffold_0117_3 pep scaffold:JGI8X:scaffold_117:3390:4240:1 gene:Al_scaffold_0117_3 transcript:Al_scaffold_0117_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWG2] MSEMASDDEENIIPFQLQFDKPIPFQIKIAEWNPEKDLLAMVTEDSNILLLQMTRQILIDN >Al_scaffold_0120_1 pep scaffold:JGI8X:scaffold_120:2971:5058:-1 gene:Al_scaffold_0120_1 transcript:Al_scaffold_0120_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWG4] LVERRPPPSQKKSNPLRLVSKPQFQDYSKPLKIGSSSFDSMEVDSRGEHIPEAVPLMASSQVKPPEETWVDRILPEVSVSEASAEAPPNLPVQLSQFNYERISSFSNVVGGATREEAIDCLKLCNWDVDRAVGFYMDGFSEHEMSAEIAMSLKENRELPLPSIELPSQSQFQASLGYLKEGSTSSLMEVDPTKSERSLEEAGKENAAVAILGKASSQVDGEGVEKDSSMETFPDHVAYQDMIVVGPQAAGSTITITIILANGRLVNIPFRSNQTVRDIRDAIDELTPDDDKDYFLRSGAEVDYMDPDITVHEISTGDTTLLQIYL >Al_scaffold_0120_2 pep scaffold:JGI8X:scaffold_120:5608:8313:-1 gene:Al_scaffold_0120_2 transcript:Al_scaffold_0120_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7MWG5] MTVMDHRHKPCHFLLLLLLLITVFSAVLAGVSSETDVGRFDYGEALSKSLLYFEAQRSGRLPYNQRVTWRDHSGLTDGLEQGVDLVGGYHDAGDHVKFGLPMAFTVTMLSWSVIEYGDSLASTGELSHALEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRRAFKIDENNPGSDIAGETTAAMAAASIVFRSTNPHYSHLLLHHAQQLFGFGDKYRGKYDESLKVVKSYYASVSGYMDELLWGATWLYRATDNEHYMSYVVDMAHQLGGLSWAMSEFSWDVKYAGVQLLASMLLKEEKHKQHSKVLQQYKSKADHYLCSILNKNINGTNVQRTPAGLLYVRQWNNMQYVSTASFLLTVYSDHLRKSNTDLECHEGTVTPDEMLGFAKSQIDYILGSNPMETSYLVGYGPKYPTRVHHRGASIASFKEHKGFIGCTQGYDNWYGRSEPNPSVLVGALVGGPDEHDEFDDRRDNYVQTEACTYNTAPLVGVFARLIELEEQKLEEEDVSLVATYKR >Al_scaffold_0123_2 pep scaffold:JGI8X:scaffold_123:16294:17008:1 gene:Al_scaffold_0123_2 transcript:Al_scaffold_0123_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWH0] VLTLTGSDSTSITLTWAVSLLLNNPATLKAAQEEIDNCVGKGRWVEESDIRNLNYLQAIAKETHRLYPRAPLTRIREAREDCFVGGYRVEKGIRLLVNIWKLHRDPMIIWPDPKTFKPERFMEEESQCGKGDFEYIPFISGRRSCPGINLDLRVVHIVLARLLQGFELRKVSGEPLDMAEGPGLALPKINPVEVVENKLDYF >Al_scaffold_0123_3 pep scaffold:JGI8X:scaffold_123:18127:18552:-1 gene:Al_scaffold_0123_3 transcript:Al_scaffold_0123_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWH1] RIILTSSYFDLFRFRGSPNFESWKGGISLAPGPSADDVSDYLVLAAHRTKRPDILRAFKPYHGGWNITNNHYWASVGFTGTPGFILAATWLFKWRVCDRRPTKPSSTANFFMFHRR >Al_scaffold_0125_1 pep scaffold:JGI8X:scaffold_125:277:832:-1 gene:Al_scaffold_0125_1 transcript:Al_scaffold_0125_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWH2] MSQSNMVPVVNNGDGDNNENNNVSNNNGGADNTNAGNHSGDQELESGNTSSGNHGEGLRNNQAPPPKKKRYHRHSQIQIQELESFFRECPHPDDNQRNALSVQLGLDPVQVKFWFQNKRTQSKAYE >Al_scaffold_0125_4 pep scaffold:JGI8X:scaffold_125:10486:12879:-1 gene:Al_scaffold_0125_4 transcript:Al_scaffold_0125_4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWH5] MELKPEVQSGEEFVHIDDPKPSRDFLLSESIVNVEKEELLREEVDSNASSVITGDDSIGESGDDDICGVADYVECAFETRTEKLELPEEFAKSVMVLTCESTVEGGSCDVYLVGTAHVSQSLLESCREVETVIRSLKPQAAKKLEVFPGAEFRVAFEEANKYGGAVFPGDRPVQLKDMSDADMLTMMIQEMSKEFPSLMETLVHERDKYMAYLLLRLASEHSSVVAVVGRGHLQGIKKNWNQPINIKELLELPTNESIFTVKNILKYLVVVVAGTAIVSGMYLAK >Al_scaffold_0125_6 pep scaffold:JGI8X:scaffold_125:17869:18200:-1 gene:Al_scaffold_0125_6 transcript:Al_scaffold_0125_6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWH7] VSSSSSSANQHEQVNSVVPPYLHHPLTIDRSQDREEAKQRYFEKKSRRKFMKLHRYESRSNAAVKKKRRRGKFVKEDDEIGNDPPAQQ >Al_scaffold_0126_2 pep scaffold:JGI8X:scaffold_126:3302:6086:-1 gene:Al_scaffold_0126_2 transcript:Al_scaffold_0126_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWH9] MGKTGNRTSGKGKLVAKRTTRASPRTSKAKSTQQDSLNYRPYFAQIKVVPTRYTDEATLERLHLSQTVSTLFEKMGLSDFVNKRHLTYTELTKDFLATVEVLQEPDCRLTGKNGAIHFVVANENHIVTFDDLANIFGLQKGFEMKYSKVQDPQQYWDAIGGTGRYNSGKVKAAQLRHPAVRYAHRVLANTFFARQFTNNVRTEEMHLLYTGLEGTTWRDVHMGSVLGHHLASYKQWAKEASTKLKVNVKRKKPTISIGGIITPILDFVGINLSKHKYTDGVRTIDEFYLYKCDILAGRVHDKVAYNLELPNTQKVMVLLPNWSITTASVDRRLQFTPSSEHHFVQSPNNMLVPITSTLGVTSDNGSDGESQNEDDCEDPTTSTAAPSFTLPPLITQAKSKMDKWTFEVATQQQEQLNYMRKQMETTQGELAEPCNLQLPNESWSHPHILEVIIPEPTRYSSVDPLNPSRYTNHGDPVPLIAAALTLVMGQRYLLTGKIMPAGLVAGISDEGMQDSFARIWKPEPSLRLCAMILLQKLEKGLCPDEKGNLS >Al_scaffold_0126_3 pep scaffold:JGI8X:scaffold_126:11697:13081:-1 gene:Al_scaffold_0126_3 transcript:Al_scaffold_0126_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWI1] MADTLRRMLESGKLTDEQCQSILRAIDLDEEMGGCTSEITPVDSRKRKKIAIQGTNEEEEVDNRKSLPQLLDMRKLPNEEKKRIFCQYEELGVEEDMDYIRQIAYTQGFDFKPDVCIPRLKGYSPFYFGENEEQPPTEMVLYGRLGVHWFNFEQKRKLKFIRIPKLNTEHPFSFSYFITVEVKDDDAAAADSLTLQTLVRRPSFPELKLLMERCRIKPAEISDHSFNCFYLSFRGCMPTFLSELPEEADDDDGVRFYEVQAKDIDNNDWLRLYTEFALFQVCEAGSHSFLPKEMNIKKILVETRETHTDPSLKLESMNAIFHISFRANSCDYTSVVRRTTDEISGHMFLEVENFSPQVPS >Al_scaffold_0126_5 pep scaffold:JGI8X:scaffold_126:16511:17111:1 gene:Al_scaffold_0126_5 transcript:Al_scaffold_0126_5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWI3] MRAYGKLTGYHTLQEKIHVQTRIKLILKLCLADGTFDMFRTRMGIHGHPLQIQDLTHEKWDDLVANMPLNSQDTSGGLDGSADVSTRPASGRVIRMGGLDGTADVSTRPASGQVNRTGGLDGSADVSTRPASGLVNRSGQLI >Al_scaffold_0130_1 pep scaffold:JGI8X:scaffold_130:44:223:-1 gene:Al_scaffold_0130_1 transcript:Al_scaffold_0130_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWI8] MSQQLKIMTDQCRILSIDHQLGTSVSDSLWPPNSSSSSPSKSSSSSSSSSSGRGEKRSLI >Al_scaffold_0130_3 pep scaffold:JGI8X:scaffold_130:9500:10543:1 gene:Al_scaffold_0130_3 transcript:Al_scaffold_0130_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWJ0] MYGKVTHSISLSFSSNLPFSSFSVKSEPDLDVNDCSEPAGLEETFDLNITSVSCKPGLDVRYDFEINTDLSKPGLDKRTVFDFNPDFPKPGFGERTGSEFNKDFSKPVLDEIVDSEIITKFSKPGLEERAGSEFNKVFLEPGLEERADSEVNKVFLKPGLEEIVDSEIIMEFSKPDLEERTESENITGFCKPGFDLSWEPGLELPMRIKMMMKLQKRNMNKELEVVLLTSSITESIIIFFNQKNREEKEREREKLRKNKKKDSFCERDGFVRPLN >Al_scaffold_0133_2 pep scaffold:JGI8X:scaffold_133:9742:10417:1 gene:Al_scaffold_0133_2 transcript:Al_scaffold_0133_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWJ5] MAQQEYGYLLICFCARGGYQVTGSSTCSDGSPKKTTRAIGDATETPAKDRGTRKGRAIRALTDYLKFFNSSQGTTVPYFRCNKNDDGAIFVRACSSSRQQ >Al_scaffold_0135_2 pep scaffold:JGI8X:scaffold_135:18660:19156:-1 gene:Al_scaffold_0135_2 transcript:Al_scaffold_0135_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWK0] MVSFTVQDRKLSEIEQKEIDDRVILWAKNKNFIFMMSSLHQIIWSNSSWEIVHHFNLVNNDNEIGLAKRKALLALHPDKQHGASAEQKYLATRLFSVIKQEWDIYIRKKEV >Al_scaffold_0136_3 pep scaffold:JGI8X:scaffold_136:6126:7247:-1 gene:Al_scaffold_0136_3 transcript:Al_scaffold_0136_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWK3] MDNTNRLRRGRSFRQTKFTRSRHDSEEVSSIEWEFISMTEQEEDLISRMYRLVGDRWDLIAGRVVGRKANEIERFWIMRNSDFFSHKRRHFNNSPFFSSPP >Al_scaffold_0136_4 pep scaffold:JGI8X:scaffold_136:12069:14909:-1 gene:Al_scaffold_0136_4 transcript:Al_scaffold_0136_4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWK5] MAITRGGGNLRHSNRQAGRGVTPVVVKKISKKSKKKEVREVISFESDDQVVEEPMSTAVGGEEQSSVRDVEKPMSEGEKEDGQVEMDEAAAGKEDEPVMAEGEKEDEDEHVMAEGEKEDEELEVDSDKKQRGVDGENDQEPVEGGEQSQPAGGGEQSQPAGGETVDASTGGGSPQKSNSTTKRTRGQTKMRKVAKDPLAKNTSTNSGSSKGVKKKCILLDCKNPGKTVAEGRVCFH >Al_scaffold_0144_1 pep scaffold:JGI8X:scaffold_144:302:6630:-1 gene:Al_scaffold_0144_1 transcript:Al_scaffold_0144_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWL8] MLTGFITAKGRESEEDTSGFVFKNCEIKGSGKAFLGRVVFYETNMSSVIVHPGWDAWKYKGQDYLIAAFNQQGIISAFVDGKSSHDEAVEREMGPEEFSKLRVVVVVFSKNYALHVSFLEKQILEYSYRNNNDFVVVPVFYGVSVSSVKQHMERFVEEFDAVQRSMIKWRPGHEYDCKR >Al_scaffold_0146_1 pep scaffold:JGI8X:scaffold_146:1157:1547:-1 gene:Al_scaffold_0146_1 transcript:Al_scaffold_0146_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWM1] IVMLALVEQNMGCMAVLGSCGVITDCSGTCKTKFGQDASGDCDRDGGVGTCVCGYSCPSPHKPHM >Al_scaffold_0152_1 pep scaffold:JGI8X:scaffold_152:11570:12161:-1 gene:Al_scaffold_0152_1 transcript:Al_scaffold_0152_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWM4] MRKLKNLRMAKIHTRFVPVEKSGGGSENVDVVVDCEEKKEDVIEKSVGASETAVEDVFEEDKSRSGDENQIMGDESSDGSHGGSDPEEGQDDEAVVHAEANKAWPPELLKSPRAADVQQVDSQEKIAGIVS >Al_scaffold_0161_2 pep scaffold:JGI8X:scaffold_161:7867:10474:1 gene:Al_scaffold_0161_2 transcript:Al_scaffold_0161_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWN4] MGTFTSFRKAYGALKDTTTVGLAKVNSEFKDLDIAIVKATNHVESPPKERHVRKIFSATSVIQPRADVAYCIHALSKRLSKTRNWVVAMKVLIVIHRTLREGDPTFREELLNYSHRRHILRISNFKDDTSPLAWDCSAWVRTYALFLEERLECYRVLKYDIEAERLPKASGAASKTHRTRMLSGEDLLGQLPALQQLLYRLLGCQKLKKFQLRLQGYLCLQPGGFDNLLLDSLYEDDTARRQIQLTNAGYGFGATAIPGEPALSNPNPFGMQQDPFAMSNNMAPPTNVQMAMQQQQMMMMNNRSPYNNNYSPYHHHQFSPNPSTSSPNPFGDPFLALPAPPSSATQQQHSHNHMLL >Al_scaffold_0163_1 pep scaffold:JGI8X:scaffold_163:151:384:1 gene:Al_scaffold_0163_1 transcript:Al_scaffold_0163_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWN6] VLEQLKEKALKRAGLEEEDVLQRVQERTDARKNKEYERSDAIRKDLASVGIALMDSPEGTTWRPAIPLALQEPVTTP >Al_scaffold_0164_2 pep scaffold:JGI8X:scaffold_164:15155:15427:-1 gene:Al_scaffold_0164_2 transcript:Al_scaffold_0164_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWP1] VLTFCCDPIPVFKNLTQLSIKTGPELGWESLPGLLNKSPLLETLFLKVINETSVPPQVERRFECGGGADEDRKTLMEQVKHFLEEMKLEE >Al_scaffold_0166_2 pep scaffold:JGI8X:scaffold_166:7528:8054:1 gene:Al_scaffold_0166_2 transcript:Al_scaffold_0166_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWP4] MTSSDQSPSHNVFVYGSFQEPAVSLVRLKGRLHPCISPSENGVINGKILTGLTDAQLENLDMIEPLF >Al_scaffold_0169_1 pep scaffold:JGI8X:scaffold_169:3239:9870:-1 gene:Al_scaffold_0169_1 transcript:Al_scaffold_0169_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWP9] MFKNNEEKEHIFSDLERGTMLTRPMGEEYIQIRLSTDTTTQPDSKIPGLWSSSVKPEFCCIYRVPDRLRKVNPEAYTPQMLLIGPLHHSKKVEAFKRYKTELRYLNYLNMELHKKKCLDRSTLNFSKKEDILFNQSRLINSTAILEDLILLENQLPYALLEKLFEPFFSNLDTKETFRDITLRAFGFEGKIKEEVRFY >Al_scaffold_0170_1 pep scaffold:JGI8X:scaffold_170:5296:6015:1 gene:Al_scaffold_0170_1 transcript:Al_scaffold_0170_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWQ0] MAPKRGRGRGGRGRAPKVSPNRPVANRPTASGTSNRRPSTLPSQYTFTPANPEAPETQQRRGSVGSIHRLASRSNQPPAPVQPPAPVQPPASTQPAASNRQIPSRQQRPSPQPRASVSHHSSQAQNSHEESEDEEAEGESEEDVLRDSTLPEDVLADLHATLLIPGREKYTTVISPNLEPETTW >Al_scaffold_0173_1 pep scaffold:JGI8X:scaffold_173:21:890:-1 gene:Al_scaffold_0173_1 transcript:Al_scaffold_0173_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWQ3] MADVATKTSVEDEVKKTEPSSLLGKLETDVEIKASADKFHHMFAGKPHHVSKASPGNIQSCDLHEGDWGTVGSIIFWNYVHDGEAKVAKERIEAVEPEKNLITFRVIDGDLMKEYKSFLLTIQVTPKPGGPGSIVHWHLEYEKISDEVAHPETLLQFCVEVSKEIDEHLLAEEEEVKTTETPSLVGKLETDVEIKSSAEKFHHMFAGKPHHVSKASPGNIQGCDLHEGDWGKVGSIVFWNYVHGKSMLYKFLVHK >Al_scaffold_0178_3 pep scaffold:JGI8X:scaffold_178:14973:15869:-1 gene:Al_scaffold_0178_3 transcript:Al_scaffold_0178_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWR4] MFGALPAALTYYWRMKMPETARYTALVAKNIKKATQDMSKVLQVDIEVEERAEDPKLNYGLFSKEFLRRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKAATMNAIHEVFKIAKAQTLIALCSTVPGYWFTVAFIDIIGRFAIQLMGFFFMTVFMFAIAFPYNHWILPDNRIGFVIMYSLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAATGKAGAIVGAFGFLYAAQPQDKTKTDAGYPPGIGVKNSLIMLGVINFVGMLFTFLVPEPKGKSLEELSGEAEVDK >Al_scaffold_0180_2 pep scaffold:JGI8X:scaffold_180:11571:14661:1 gene:Al_scaffold_0180_2 transcript:Al_scaffold_0180_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWR6] MINVLRSSPATIILKEYQVISHIPGPSFPQGSLGWPVIGETIEFVSSAYSDHPESFMDKRRVMYGRVFKSHIFGTATIVSTDAEVNRAVLQSDSTAFVPFYPKTVRELMGKSSILLINGSLHRRFHGLVGSFLKSPLLKAQIVRDMHRFLSESMDLWSEDQPVLLQDVSKTVAFKVLAKALISVEKGEELEELKKEFENFISGLMSLPINFPGTQLHRSLQAKKNMVKQVERIIEGKIRSAKNKEEDGTVIAKDVVDVLLKDSSEYLTHNLIANNMIDMMIPGHDSVPVLITLAVKFLSDSPAALNLLTEENMKLKSLKELTGEPLYWNDYLSLPFTQKVITETLRMGNVIIGVMRKAMKDVEIKGYVIPKGWCFLAYLRSVHLDKLYYDSPYKFNPWRWQERDMNTSSFSPFGGGQRLCPGLDLARLEASIFLHHLVTRFRWIAEEDTIINFPTVHMKNKLPIWIKRI >Al_scaffold_0190_1 pep scaffold:JGI8X:scaffold_190:1:318:1 gene:Al_scaffold_0190_1 transcript:Al_scaffold_0190_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWT1] DYNRPIIQQSREKPHLVEWVSFMITKGDIRNIMDPNLHHNYDIGSVWKAIEIAMSCVNLSSTRRPNMSQVVNELKECLISETSRIGEGRDMESKGSMDYSKDIYNE >Al_scaffold_0190_3 pep scaffold:JGI8X:scaffold_190:11327:13574:1 gene:Al_scaffold_0190_3 transcript:Al_scaffold_0190_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWT2] MHFAEIQTLGANETREFDIILKGNFNYSGFSPTKLETCSLQLVRTPNSTLPPLINTLEAYTILEFSILETGLSDVAAIKKIKAAYGLSKISYLTGNVPAFLANMKSLSFINLSGNNLSGSVPQTLLDRKKEGLVLILEGNPELCKFSSCNQKEKKKFLLPVAASVASVLVVLVVVVLFFIFRKKKVPSDLHAPPSVPIADVGHSKPSQTSFLSKKIKFTYIEVQEMTNNFQRILGEGGFGVVYHGCVNDTQQVELLMRVHHINLESVADFVLSWESRLKIAVDAALGTM >Al_scaffold_0206_1 pep scaffold:JGI8X:scaffold_206:1254:1923:1 gene:Al_scaffold_0206_1 transcript:Al_scaffold_0206_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWU3] MASPKSFHPLPDPISTKDNPQRSEKSQGREKYERFQKSVQGVGEDSEVSNDFCSPSSSETLGSLAPSSLRSRAPPPLGSVKRAETTDLLVFRNLLFSSLTLDDECCCK >Al_scaffold_0210_1 pep scaffold:JGI8X:scaffold_210:540:5769:-1 gene:Al_scaffold_0210_1 transcript:Al_scaffold_0210_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWU6] MGPKKVTNRGGRKKKKDSEEPEFLGTINPPQPDHNLQHNQRLEGVQPLEEQDQHPSEEQPVEAQPLEEQDQHPSEEQPVEAQPSEEQAQHPLEEQPVEDQPGVNDGTDEHDHASNKPLKGKREPEDDVDTKVSLKKQKEDVIAAVQKYKAVKKVTKKFESSDSPDSESEEDEKAKKVPAKKAAAKKHAAAAAKKAKNAAATKAASSSDSSDEDSDEESEDTTECLHGAIVVEKMRR >Al_scaffold_0210_2 pep scaffold:JGI8X:scaffold_210:12381:12587:-1 gene:Al_scaffold_0210_2 transcript:Al_scaffold_0210_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWU7] KEVIKITSRGMIPCDKYQPDDHEPGKSRDNTKFTFKLGQELINSPVISDRDKLISSDIHIPIFIGRWK >Al_scaffold_0211_1 pep scaffold:JGI8X:scaffold_211:695:3455:1 gene:Al_scaffold_0211_1 transcript:Al_scaffold_0211_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWU8] MWEVEEIQIIVVKKDKWFFDSNKESWIRKLRIDIPNSSHSKSFPTRWIDAVSTRRIEHLDVHFAFCRPEESPSLNIYVCQTLVQLQLQGVALASAEFVTLPSLKIMHLEYISYPNESTLEKLISGSPVLEELTIRTCFFFYKEKVLQVRSHTLKRIHINESSTQFVIDAPLLQCLKAEVIFTKKFQIINSAFPGRLDIDINSTSESLICDILTDISRVRDLVINRRTWKELVKDRLRRHNNLETNGQIESSCVLRRLEPRTLGAPRSTPKRIS >Al_scaffold_0211_2 pep scaffold:JGI8X:scaffold_211:4347:7611:1 gene:Al_scaffold_0211_2 transcript:Al_scaffold_0211_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWU9] MVDSEKPSTILCQRLEEDRISQLPDPLICQILSHLLPKEAVTTSVLSTRWRSLWLLVPNLELYSKEFSDSNAFASFGDKFFHFDRVSCLDKLELAINGNEYTSFIISWIEAAVKRIVSLKLVEAVLGDVEFVSFPRLKTMHLICVWVSKDAAFNKLVSCCPVLEDLLISGCANAPQPLRVHSRSLKRLYIGHCYYSTQVDSVPGVVIDAPHLCCLSILDSTSKSFIINNLEYNAKFDVSVTFCLKVFDEASILSRRGLIRSFLSGILKVRDLTIYWQTFQNPPDPEGTSQTVAEAQNPPDAEDPNSPPPYFKTLIGPKKSYTIKSILKVESIIHGDEDGELEDYSWGEDETGDVAVEYMSRMIKEGHKFKKEDWHGGVENQPLITACLRTKAEKLTAKQKGKAKCKPKMKTKPQGEGKSDGGECSKIHVKNLTAEYIFREVERRNYEHSLKVQEMNYEHSLKGERCVW >Al_scaffold_0211_3 pep scaffold:JGI8X:scaffold_211:7776:9295:-1 gene:Al_scaffold_0211_3 transcript:Al_scaffold_0211_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWV0] MKNPPDPEGTSQTVAEAQNPPDAEDPNSPPPYFKTLIGPKKSYTIKSILKVESIIHGDEDGELEDYSWGEDETGDVAVEYMSRMIKEGHKFKKEDWHGGVENQPLITACLRTKAEKLTAKQKGKAKCKPKMKTKPQGEGKSDGGECSKIPLENLTAEYIFREVERRNYEHSLKVQEMNYEHSLKVQQMIFLDRKKMKDEVVNAVVDAGFECMMEKG >Al_scaffold_0215_2 pep scaffold:JGI8X:scaffold_215:7918:8909:-1 gene:Al_scaffold_0215_2 transcript:Al_scaffold_0215_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWV7] MTSESATSPAIRPPQVEQPPPQEIPVISEHISAAPPSPAAQITINWLLKNHPFTMDEYEETTTIDGETICSSVWPCARWYADPDASFLDPPQCIEEEEEDEVEEMEEVEEVEEEVEEVGDVEEEQEEVIDSASAEIPNGEISNGGTVLEDTCDVGKKPDMPI >Al_scaffold_0222_2 pep scaffold:JGI8X:scaffold_222:7930:10752:-1 gene:Al_scaffold_0222_2 transcript:Al_scaffold_0222_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWW4] MVKETLIPPSSTSMATGTATSSSLSMTLSSTNALSFLSKGWREVWDSADTDLQLMRDRANSVKNLASTFDREIENFLNNSARSAFPVGSPSASSFSSEIGIMKKLQPKISEFRRVYSAPEISRKVMERWGPARAKLGMDLSAIKKAIVSEMELDERQGVLEMGRLRRRRNSDRVRFTEFFAEAERDGEGNFGDWEPIRSLKTRFKEFEKRNSLEILSGFKNSEFVEKLKTSFKSSYKETDEAKDVPPLDVPELLACLVRQSEPFLDQIGVRKDMCDRIVESLCKCKSQHLWRLPSAQASDLIENDNHGVDLDMRIASVLQSTGHHYDGGFWTDFVKPETSENKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSAKQSVTLVVPWLCESDQELVYPNNLTFSSPEEQESYIRKWLEERIGFKADFKISFYPGKFSKERRSIFPAGDTSQFISSKDADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFFVNHVNNWVTRAYCDKVLRLSGATQDLPKSVVCNVHGVNPKFLMIGGKIAEERSRGEQAFSKGAYFLGKMVWAKGYRELIDLMAKHKSELGSFNLDVYGNGEDAVEVQRAAKKHDLNLNFLKGRDHADDALHK >Al_scaffold_0225_1 pep scaffold:JGI8X:scaffold_225:2058:5417:1 gene:Al_scaffold_0225_1 transcript:Al_scaffold_0225_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWW5] MRSILSGIQKFVQSTPQSDSVSESSQQPKSSSPVMNKSESGLNDKLQSPQRTQFGDSKMVRNESVTASDVQSRSPQRTEIGDPEKAIMVIREHQTEGKASVEQVASPIPPVIVELTDDGDSSVAPQYFIGSAFNCKNKMMCPSCRKVEKGEWTNPGLTSFSAWRGRHVHVLPDDETHNIQNISNHPALPTPLEPRNDFWVHDHASEARLDPEFVEEFSRRRLNDLVNGNGGRSVGTSNGRGTSNDGGASNDAAASNGRESHDRVTSWDW >Al_scaffold_0226_1 pep scaffold:JGI8X:scaffold_226:306:1259:-1 gene:Al_scaffold_0226_1 transcript:Al_scaffold_0226_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWW7] MAATAPAVTRSDQTWDFSWHFEAIEARLLRASFSAFVDVLTLATRTIQEFGQK >Al_scaffold_0228_2 pep scaffold:JGI8X:scaffold_228:10971:11973:-1 gene:Al_scaffold_0228_2 transcript:Al_scaffold_0228_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWX0] ASPKSDYEPLELSWTSGYPNTLFYTYLYFAVASRKIKTSTAQSLNEFSTTIKDHAIESIKSTYKVNKVWTGNPCSPRLFPWEGTSNYQIKSMSLSTSWLQGPIAVSFRNLSLLESQGSHVALQTTSKVTGKGGFGKNSKNIRNRNQISLDIVLWLLKCKSLQFIGYCDDGYEYMANGNLQH >Al_scaffold_0230_4 pep scaffold:JGI8X:scaffold_230:9703:11955:-1 gene:Al_scaffold_0230_4 transcript:Al_scaffold_0230_4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWX6] MEEEKLRLIRHEELMQPEIPFLPVFASMKRLARYNLGSVALGSLIVSFVESVRFILEAIRRRTKVSGTTPDHWFWRMAHYTSRGCLKSVEWTIKSVNRNAYIMIAITGKSFCKSSAIATELIISNILRIGKVNVIGDVILFLGQLCACWALGYIVATLFFPVLEMSIDTIILSFCQDSEENQGNAQHAPPLLLETLDSNQEEEVQRLTH >Al_scaffold_0231_1 pep scaffold:JGI8X:scaffold_231:1188:4005:-1 gene:Al_scaffold_0231_1 transcript:Al_scaffold_0231_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWX7] MVRCYGTSLISTEPFTISSNKTIVSHNEVFELGIFKPEYSSPDEDRWYLGIWYKKISERTYVWVANRDNPLSNPIGTIRVWNSNILLSDQSNTVVWSTSITEESERSSIVAELLNEGNLVLRQSNNKDGGNKVLWQSFDFPTNTLLPGMKLGWKLRTGRYSFLTSWKDLTDPSSGEFTYQIEAARRTRGFPALFLWSGRSKVKRVSPWDGVVSLGVPRNQPLTYITFTLTANKEEVSFSFQTSDSKYTSRLTLTSVQQLMWNETSLKWDLLWHSVAEECDIYGICGPYSYCDILMACKCMRCFEPKDQEAWALENKGDGCVRKAPLSCSDDKLIATPTENMSGENIVENTELPLLSFETISRATDDFSVFNKLGEGGFGVVYKGILDGQKIAVKRLSNTSDQGTDEFKNELKLITKVEHLNLVKIFGYCINGEENGYMSPEYSEEGTYSVKSDVYSFGVLVLEILSGKRNRGFAEANDGLSLLSYAWKKWSKGEWACVIDPMIDPSSDEVKRCFQIGLRCVQGRQEDRPVMSSVLLMLLSQTEIIPEPNPPGFYGDL >Al_scaffold_0231_2 pep scaffold:JGI8X:scaffold_231:11595:12018:1 gene:Al_scaffold_0231_2 transcript:Al_scaffold_0231_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWX8] MESDLGKLFIGGISWDTDEERLRDYFSNYGDVVEAVIMRDRATGRARGFGFIVFADPCVSERVIMEKHIIDGRTVEAKKAVPRDDQQ >Al_scaffold_0233_3 pep scaffold:JGI8X:scaffold_233:6419:9240:-1 gene:Al_scaffold_0233_3 transcript:Al_scaffold_0233_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWY0] PHSPEKNSETAPSSSTGIKSSSGDISKLFFAPCEKRDLSPLHHHQEQKSSHGQISTGATKAARKRQQEKRRRESGPLRQPPKRKNRKKKRREKRRKKKE >Al_scaffold_0234_4 pep scaffold:JGI8X:scaffold_234:10253:10849:1 gene:Al_scaffold_0234_4 transcript:Al_scaffold_0234_4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWY4] VMCDPYTPAGVPIPTNKRHNAAKIFRHQSLPPRSLGFILSKEIKPLISTVFEGKDANVIAHGARNCGKTYLIHVLKAIPLRY >Al_scaffold_0235_1 pep scaffold:JGI8X:scaffold_235:11824:12502:1 gene:Al_scaffold_0235_1 transcript:Al_scaffold_0235_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWY5] MVVDDHNPNDFQTPHQDIENEETEESEDGEDTDQVHVEKSGGGSENVDVIVDCEERKEDVIEKSVGASETAVEDVVVETKSQSGDENQIMGDESSDGSHGGSDPEEGQDEEAVVQAEANKSWPPELLKSPRAADVQQVDSQEVCLS >Al_scaffold_0240_1 pep scaffold:JGI8X:scaffold_240:8920:9623:1 gene:Al_scaffold_0240_1 transcript:Al_scaffold_0240_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWZ2] MADPKTPITEPKARSQSSSSSGNDINTLMATDADISRRKKRPVYRGIRCRSGKWVSEIREPKKTTRVWLGTYTTPEMAAAAYDVAALALKGGDTVLNFPDSVGSYPSPLSSSAAHIRCAAAAAAAARGAAAAAVKGGEKKEEEVCDTAGSSTMGFVDEEELLNMPGLLADMAKGMMVAPPWMGSPPSDDSPENSDGESLWSY >Al_scaffold_0242_1 pep scaffold:JGI8X:scaffold_242:17:2284:-1 gene:Al_scaffold_0242_1 transcript:Al_scaffold_0242_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWZ3] MWLGLSPQHKCFLLNSSNSGISGLGSAYVLANQGVKEVVLYEKEESLGGHAKTVTYPNMMEFFENLGVDMEVSDMSFAVSLDNGKGCEWGSRNGVSGLFAQKKNVLNPYFWQMIREIVRFKEDVLKYIEELEGNPDIDRKETLGEFLNARGYSELFQKAYLVRFFSLMDQSKCYLKFSWTR >Al_scaffold_0242_2 pep scaffold:JGI8X:scaffold_242:8503:10941:1 gene:Al_scaffold_0242_2 transcript:Al_scaffold_0242_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyanate hydratase [Source:UniProtKB/TrEMBL;Acc:D7MWZ4] MEAAKKQSVTNQLLAVKTASGKTFSQLAAETGLTNVYVAQLLRRQAQLKPDTVPKLKEALPALTDELIGDMMSPPWRSYDPNLIQEPTIYRLNEAVMHFGESIKEIINEDFGDGIMSAIDFYCSVDKIKGVDGNNRVVVTLDGKYLSHFEQRTENMLSRLTSREFMAVLCTRDVTLSQRS >Al_scaffold_0243_1 pep scaffold:JGI8X:scaffold_243:11248:11715:1 gene:Al_scaffold_0243_1 transcript:Al_scaffold_0243_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWZ6] CCINYLVMNRARSYISLGLLKKSSYVSSHIPWNRCFYMPSKYSLKPSCVTALGLSSVHCYSTRSKTAKSKISTAVSVSDSDKEKDAFFVVRKGDIIGIYKDLIDCQAQVGSSVYDLPVSVYK >Al_scaffold_0249_1 pep scaffold:JGI8X:scaffold_249:21:1450:-1 gene:Al_scaffold_0249_1 transcript:Al_scaffold_0249_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MX01] MNAPIIDPLQGDFPEVIEEYLEHGVIKCVAFNHRGSLLAAGCADGGCVIWDFETRGIAKEIRDNDCSAAITSVSWSKYGHRLLVSAADKSLTLWDVSTGEKIARTILQQTPLQARLNPGLSSPSLCLACPLSSAPMIVDFDIDCTTLLPVAVPEMPDVLAPPQRSKCPESNPPFSPAAACFNKCGDLVYIGNSKGEILIVDYKSVRVLALVPVPGAAPVKNIVFSRNGQYLLTNSHDRTIRIYENLLPAKNVLRSLEDLGKNIDGLDGVEKMKTVGSKCLTLFREFQDSVTKMHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPIIVSVSLAGLVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPETEKVRT >Al_scaffold_0258_1 pep scaffold:JGI8X:scaffold_258:10968:11300:-1 gene:Al_scaffold_0258_1 transcript:Al_scaffold_0258_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MX12] MAVTVMLWINNHKIILKDVGEDSKIWCWIGFYVVIVIFAFFKMTEIPLRGYPIPPQNPNGAQTDAAGVTQATEGGGGLPEQREIVTAKEYYQPQQKEVELVVAAEHVKEE >Al_scaffold_0265_1 pep scaffold:JGI8X:scaffold_265:3:10221:1 gene:Al_scaffold_0265_1 transcript:Al_scaffold_0265_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MX20] KGFYSRDPASKVVYSSNFDLFSSPAANWRDTLGCYTAPDPPRPEDLPAACEMMIEYSKEVMKLGKLLFELLSEALGLNTPHKCSDRHCMDCTNSLLLLGHYYPPCPQPDLTLGLTKHFDNSFLTILLQDHIGGLQVLHDQYWVDVPPVPGALVVNVGDLLQFKSVEHRVLANVAGPRISVACFFSSYLMANPRVYGPIKDIDTTITEYAKFYRSKGFDGTSGLLYLKI >Al_scaffold_0269_2 pep scaffold:JGI8X:scaffold_269:1571:2572:1 gene:Al_scaffold_0269_2 transcript:Al_scaffold_0269_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MX24] MTSESATSPAIRPPQVEQPPPHEIPVISELISAAPPSPAPQITMMDIVNSNGILTDQLVDEEPSFYKYLDSDEYAEKYRRYEADFRKYLMDKHFSQVDEYEETTTIDGETICSSVWPCARWYADPDASFLDPPQCIEEEEDEVEEMEEVEEVEEEVEEVGEVGEVEEVEEEQEEVIDSASAEIPNGEIPNGGTVLEDTCDVGKKPDMPI >Al_scaffold_0269_3 pep scaffold:JGI8X:scaffold_269:5806:6798:1 gene:Al_scaffold_0269_3 transcript:Al_scaffold_0269_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MX27] MTSESATSPAIRPPQVEQPPPHEIPVISELISAAPPSPAPQITMMDIVNSNRILTDQLVDEEPSFYKYLDSDEYAEKYRRYEADFRKYLMDKHFSQVDEYEETTTIDGETICSSVWPCARWYADPDASFLDPPQCIEEEEDEVEEMEEVEEVEKEVEEVGEVEEVEEEQEEVIDSASAEIPNGEIPSGGTVLEDTCDVGKKPDMPI >Al_scaffold_0271_3 pep scaffold:JGI8X:scaffold_271:9784:11478:1 gene:Al_scaffold_0271_3 transcript:Al_scaffold_0271_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MX35] MMGPINVDRLKIIEVKSKIKNSLTRHGNGHDHDVEEEEDEYDEQDPEEHGAPYESSAVRGGVTGKPESLSHTGETNVPAPEEIVPPGTKVFPVVSSEHTKPIEPVSLQDTSYGHEALSAPGGGSDYLSGEAGVPEIAESLSRMKVTDESPDQKSRQGFGEDLPTRSQEFGLKNESDISKDSPARLGGESRAGLGEDFQRRSDDVKAETGLGRDLPTGTHDQFSPELSRPKERDDFEETRDETKQERKPSTYTEQLASATSAITNKAIAAKNVVASKL >Al_scaffold_0278_1 pep scaffold:JGI8X:scaffold_278:6067:6485:-1 gene:Al_scaffold_0278_1 transcript:Al_scaffold_0278_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MX40] MAEEHRCQTSESNRLCVNNSGFLGSSATMNLCSNCYGDLCLKQQQQSSIKSTVESSLSVSPPSSSSEIASISSPIIPPLLKTPSVKLFRSRILLGYSLKLLLCSCERGKVIRNC >Al_scaffold_0278_2 pep scaffold:JGI8X:scaffold_278:8992:10998:1 gene:Al_scaffold_0278_2 transcript:Al_scaffold_0278_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MX41] MEILWRLIEQDDRIRITILLMKLQGQSHLDTYVKSMASSQPFVRFIDVPELEEKPTLGGTQSVEAYVYDVIEKNIPLVRNIVMDILSSLALDGVKVKGFVADFFCLPMIDVAKEVISLPFYVFLTTNSGFLAMMKYLADRHSKDTSVFVRNSEEMLSIPGFVNPVPANVLPSALFVEDGYDAYIKLAILFTKANGILVNSSFDIEPYSVNHFLDERSYPSVYAVGPVFDLKAQPHPEQDLARRDELMKWLDDQPDASVVFLCFGSMGRFRRPLVKEIAHALELCQYRFLWSLRTEEMTNDDLFPEGFLDRVSGRGMICGWSPQVEILAHKAVGGFVSHCGWNSIVESLWFGVPIVTWPMYAEQQLNAFLMVKELELAVELKLDYRVYSDEIVNANEIETAIRCVMSKDNNVVRKRVMDISKMARKATYNGGSSYSAIEKFIHDVIGIKL >Al_scaffold_0283_1 pep scaffold:JGI8X:scaffold_283:168:2081:1 gene:Al_scaffold_0283_1 transcript:Al_scaffold_0283_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MX50] MEIHHHLFVFLLLLLLPLCTSQENTRSLAIDVNGQVETSLISEKLNPKLVYEIKVHGFMLWASMGVLLPIGIISIRLISIKDQPIITLRRLFFLHVISQMVAVILVTIGAIMSIKNFNNSFNNHHQQLGIGLYAIVWFQALLGFLRPPRGGKSRRKWFVGHWILGTLITILGMINIYTGLHAYAKKTSTSAKLWTILFTAQLASIVLVYLFQDKWSYIQSQTTFNRNQSVDHNSNISTAETGHGDGVEEAKPELEKC >Al_scaffold_0284_1 pep scaffold:JGI8X:scaffold_284:4283:7892:1 gene:Al_scaffold_0284_1 transcript:Al_scaffold_0284_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MX54] MVVVSSTSLYGALRPLLISVVGDPLASVNRRRRRMSSSSLGLGQSPTLQFQWKGFNWAWPIFGPSGGCCGPLLFGLQACFVMQTSFLACPNVVHFSPSAPTTDFVFPRLTFCHAFTISDFFTNRFTGYFFGFRLPTLDTSPVNLGCFWFRTTFVGSDSAQIRRRLITGYCSGVPLPVSLADPGCSQPRTTFVGLHLNGYSVWCFVTSFLTANFRIDLVALVADSISRNIALCVFCVGQGVISLLRSSVIKVQGHHDDDYCLGDMIALIYPSIYFSFMYCSLPGDIPETLQNPPSSGAGVAVARRRRTFSSLCCSAPLPKNPNEFRLLFFKLFKFLARPLCLIPSSILSLRLVECRPGSISLQIFGSTPMVVVSSTSLYGALRPLLISVVGDPLASVNRRRRRMSSSSLGLGQSPTLQFQWKGFNWAWPIFGPSGGCCGPLLFGLQACFVMQTSFLACPNVVHFSPSAPTTDFVFPRLTFCHAFTISDFFTNRFTGYFFGFRLPTLDTSPVNLGCFWFRTTFVGSDSAQIRQRLITGYCSGVPLPVSLADPGCSQPRTTFVGLHLNGYSVWCFVTSFLTANFRIDLVALVADSISRNIALCVFCVGQGVISLLRSSVIKVQGRHDDDYCLGDMIALIYPSIYFSFMYWFAFGSGCLIALAPPFVTLPSFEDD >Al_scaffold_0288_1 pep scaffold:JGI8X:scaffold_288:289:745:1 gene:Al_scaffold_0288_1 transcript:Al_scaffold_0288_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MX62] DEKANLRNERSKERNSKERESSKDREKERGSSHERRRDYDRRSRDRDRHHDRDREQDKDYDRSNSRSRRRSRSRSRSRDRPRDYDRHASQPIL >Al_scaffold_0290_1 pep scaffold:JGI8X:scaffold_290:32:823:-1 gene:Al_scaffold_0290_1 transcript:Al_scaffold_0290_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MX67] MDGYYNEASEEPSSSSSSGSLARSLFHEYRQSVIPLQNHVPSMAFMNNLPYVEIRPQEIQRLAFNDAQRLFYQMKIEASLREWFPEDFNRKSSP >Al_scaffold_0293_1 pep scaffold:JGI8X:scaffold_293:13:6358:-1 gene:Al_scaffold_0293_1 transcript:Al_scaffold_0293_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MX68] MAEGFVSFGVEKLWDLLSRESERLQGLDDQVDGLKRQLTRLQSLLKDADAKKHGSERVRNFLEDVKDLVYDAEDILESYVLNKSRGKEKGIKKHVRRLACFLTDRRKVASDIEGITKRISEVIGDMQSLGIQQVIDGGRSMSLQDRQREQREIRQTYANSPEHDLVGVEQSVEELVGHLVENDKHQVVSISGMGGIGKSTLARQVFHHDIVRRHFDGFAWVCVSQQFTQKHVWQRILQELQPHDGEILQMDEYALQGKLFQLLQTGRYLVVLDDVWKKEDWDRIKAVFPQQRGWKMLLTSRNEGVGIHVDPTCFTFKARILNPNESWKLCERIVFSRRDETEIRLGEEMEAMGKEMVKHCGGLPLAVKVLGGLLVNKHTVHEWKRVSDNIGDQIVGKLCLDDNSLNSVNRILSLSYEDLPTHLKHCFLYLAHYPEDYKIYMWNLFNYWAAEGICYGSTIRHSGEDYLQELVRRIWLC >Al_scaffold_0298_2 pep scaffold:JGI8X:scaffold_298:9318:10192:-1 gene:Al_scaffold_0298_2 transcript:Al_scaffold_0298_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MX74] MDITGESKLMLFDSFVEQIIGTPATELLEGTNEAVINNSKKNDQPTDLKVDGVVDLDNPKEHDQPKFLHKLDEAGQEAITKVSEAEQKKVLLKKIKVEKIEGQKGAK >Al_scaffold_0299_1 pep scaffold:JGI8X:scaffold_299:1016:4400:-1 gene:Al_scaffold_0299_1 transcript:Al_scaffold_0299_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MX76] GGSCYDETMCKHDTPNGEVDVECPDRIRFIWEKLQLAGVTQRCVVLGGSKAEDKHLKLVHTKKHVNFVKSISTKQKDSRRNRIASVLDSIYLNGGSSEAAYLAAGSVVNVAEKVAEGELDCGFAIVRPPGHHAEADEAMGFCLFNNVAVAASYLLNERPDLDVKKILIVDWDIHHGNGTQKMFWKDPRVLIFSVHRFKVAGNAYVARYDHGSFYPAGDDGDYNMVGEGPGKGFNINVPWEQGGCGDADYLAAWDHILIPVTKEFNPDIILLSAGFDAAIGDPLGGCCVTPDGYSVMLKKLMEFAQGKIVLALEGGYNLESLAKSSLACVQVLLEEKGIQCSSGAYPLESTRRVVRAENRELRYNVFADSDTLMTSNENLKNLSADKNPADAILHEVEELKSLWAARDGELEARRKELKAKKMELETWLMLVGAREDEFRGLRAKVESLMRERDEAVAKAECLDKELQEERPRRRLENEEMDMDSEDEDMDMDSEELEHDLKTMSREFKKDINLVFEKFKKDNELFIGELKKERELAITAAVITTRYQVCREWKSQGTLSLELKFAAEVYHKLPLFD >Al_scaffold_0301_1 pep scaffold:JGI8X:scaffold_301:1090:1616:1 gene:Al_scaffold_0301_1 transcript:Al_scaffold_0301_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MX80] MEESDAVPKPVVVDPPLYDEAPPSASRRRPVMIAADAAPPEENHLIQRIQRALVELRELRNQFNAILRELELENTLNALISLQKP >Al_scaffold_0303_1 pep scaffold:JGI8X:scaffold_303:3:984:-1 gene:Al_scaffold_0303_1 transcript:Al_scaffold_0303_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MX85] MKSRVILNHRDRRDKNNNNNNNYTQIDTMERKPLEVEPSTTAVNTYSAVDGGGSDGVTSKVDDEQRKIVYRGWKVMPFIIGNETFEKLGIIGTLSNLLVYLTSVFNLKSYTAATIINAFSGTINFGTFIAAFLCDTYFGRYKTLSVA >Al_scaffold_0304_1 pep scaffold:JGI8X:scaffold_304:1233:1781:1 gene:Al_scaffold_0304_1 transcript:Al_scaffold_0304_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MX86] MEYYQPVEINVLSAQDLDSVNLLFRPTVYVSVSVTRGSRDKQVTPAAACGKKLLRWNYRMKFYIEDDKVRRNESVLVFQIKCKRFFGSDQVVGKLFVPGCRLGVWGNIRHEPSAPCEDDIYA >Al_scaffold_0305_1 pep scaffold:JGI8X:scaffold_305:734:1472:1 gene:Al_scaffold_0305_1 transcript:Al_scaffold_0305_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MX90] MTGMPYTKQTEAAKRDNDKRYTQQLKLQQTQTATTPEVKRLDQPSKIAKFKRGERRVEETHTVKRRPDLRPHHPNINLTRTRTSFRPSSPPKVTDAGEQTKSKEETSPGAEEATGHRSQI >Al_scaffold_0310_2 pep scaffold:JGI8X:scaffold_310:1709:3225:1 gene:Al_scaffold_0310_2 transcript:Al_scaffold_0310_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MX96] MSNLLGQGRFGYVHKGVLPCGKEVAVKSLKQGEREFQAEVDIITHYSLAHFLSNLRLCVYQSYFLYTRRWQINFGLAKLSQDNYTHVSTRVMGTFGYLAPEYASSGKLSDKSDVFSFGVMLLELITGRPHVDLTGEMEDSLVDWVSRSPPLHSVSSAAIRHSARRRPKMSQVQTLIPLLDSFIVRALEGDMSMEDLSEGTRPGQSTYLSPGSVSSEYDASSYSADMKKFKKLALENKEKIQEIGVRDEYGLNPSASSREEMNRGSMKRNPQL >Al_scaffold_0320_1 pep scaffold:JGI8X:scaffold_320:3187:5176:1 gene:Al_scaffold_0320_1 transcript:Al_scaffold_0320_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXA0] MTTSTTLLSLFMKEDEEQRKEQRNWLDLPPELTTSILLRLSVTDILFNAQKVCRQWRRVCKDPSMWQKINLRDCLFYRFDFEGMCRHIVDLSQGGLLEINIGHFVSDSLLSYIADRSSNLKSLGLSIYEQMTNEGVMKGIAKFPWLETLEVFHSSFKLDLKAIGHACPHLKTLKLNFSGCPGHEIYLISQLDFIPPPVECDDDALAIAESMPKLRHLQLIWNGLTNTGLNVILDGCPHLEDLDVRKCFNIKLVGNLEKRCLERIKELRRPGDSTADYPYNIGVSTTVLQIMIKCGFIPRHRVAC >Al_scaffold_0320_2 pep scaffold:JGI8X:scaffold_320:5440:5745:1 gene:Al_scaffold_0320_2 transcript:Al_scaffold_0320_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXA1] VCRSWRGVFKDPWMWRSIDLRNRRSSAKMKQKLDIMCRHVVDRSQGGLAEIKIWNYGSNGLLNYIADRFHISLFFHMTHTHASFGFLKVLLISLVQCDESD >Al_scaffold_0322_1 pep scaffold:JGI8X:scaffold_322:5799:6916:1 gene:Al_scaffold_0322_1 transcript:Al_scaffold_0322_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXA3] MENSKIGMHSLLVKLGKDIVRKQFDEPGQRQFFVDSGENCEVLLADEATLRCNMSNANENQQNQYVANDDFSKNKPSFSIFTNDDFFSMDASNGGMYHKSSTHINLKL >Al_scaffold_0334_2 pep scaffold:JGI8X:scaffold_334:8150:8621:1 gene:Al_scaffold_0334_2 transcript:Al_scaffold_0334_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUM9] MANFTTFFFIIALLLCSTLTYASARLTPTSVYPEDISVKKMEQGEGNCEGVGEEECFLIRRTLVAHTDYIYTQDHNP >Al_scaffold_0337_1 pep scaffold:JGI8X:scaffold_337:7:354:1 gene:Al_scaffold_0337_1 transcript:Al_scaffold_0337_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXA9] MAASALALPFQPLVVSAVHTGMMEVAFAKRALEDPDLKMAHDVHKMSSLLGGALFIADDIFPETPFIHAGWHLAAAIGVGTCNKLLQ >Al_scaffold_0340_2 pep scaffold:JGI8X:scaffold_340:8097:8779:-1 gene:Al_scaffold_0340_2 transcript:Al_scaffold_0340_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXB2] MASLFNQVPSVSTVFALYTSLSAISMILPSFTLVIEHKWGFCDNQTFRAAEVYFKMRLAGLSTGQLLVGSSDLKNPEAEPNLGIPVNTKIVDEFEGIHLEWTLHCVELKSYPFEKRYFNLTCKKEFREKIMTDYLTYIATSAEKIMRHREKLFIYSYSREGGWQSAK >Al_scaffold_0350_1 pep scaffold:JGI8X:scaffold_350:792:3118:1 gene:Al_scaffold_0350_1 transcript:Al_scaffold_0350_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXC1] MQLWCEEDAPAQTRSHFPCTPSSSVTSLFQRSTCSSPLGKFQLLDSDSEEDHPSTSRGLSLVTRTYVSSSKGQLSVERKTKRKECVD >Al_scaffold_0357_1 pep scaffold:JGI8X:scaffold_357:3138:6869:-1 gene:Al_scaffold_0357_1 transcript:Al_scaffold_0357_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin/Brf1-like TBP-binding protein [Source:Projected from Arabidopsis thaliana (AT2G45100) TAIR;Acc:AT2G45100] MVWCNHCGKNVPGIRPFDGALSCDLCGRILENFNFSTQVTFVKNAAGQSQASGNIVKSVQSGMSSSRERRIRIAIDELMNLRDALGIGDDRDDVIVMASNFFRTALDLNFTKGRRSELVLSSCLYLSCRQLKFAVLLIHFSSYLRVSVYELGSVYLQFCDMLYITENHNYEKLVDPSIFIPRFTNMLLKGAHRKKVVGTVVDTATDIIASMKRDWMQTGRKPSGICGAALYTAALSHGIKCSKTDIVKIVHICEATLTKRLIEFGNTEAASFTADELSKTERERKKETELRSKRNPISYKEGVVLCMHQDCKPVDYGLCKSCYDEFMKVSGGLEGGSDPPAFQRAEKERMEEKASREENDKQLNSDGHSDESSTLSDVDDRESDRFTVSQLDCYFRNPEEVRQVEIVFDLTNPEYNEVIH >Al_scaffold_0358_2 pep scaffold:JGI8X:scaffold_358:7687:8958:1 gene:Al_scaffold_0358_2 transcript:Al_scaffold_0358_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXC9] MAATTQYKSCLLQPKSGSTTRRLNPSLVNPLTNPTVSVLGKSRRDVFAKASMEMAESNSIPSVVVNSSKQNGPIIVIDNYDSFTYNLCQYMGELGCHFEVYRNDELTVEELKKNPRGVLISPGPGKYFGVCGVAILGLLSFST >Al_scaffold_0363_1 pep scaffold:JGI8X:scaffold_363:2:785:1 gene:Al_scaffold_0363_1 transcript:Al_scaffold_0363_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXD0] LLISITALSATSSSHGGPLRLTRIKLLRPTDTLVLGHVYRLISTKEVMKGLMAKKCSKLKKESKGSEDKLEMVKAINSTKLDNTDQLQMKKQEKERSRISRSWQPSLQSISEGGSS >Al_scaffold_0365_2 pep scaffold:JGI8X:scaffold_365:8359:8907:-1 gene:Al_scaffold_0365_2 transcript:Al_scaffold_0365_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXD7] VGDGLIDTVYHYADDEVGGCGATGDGDTMMRFLPYQVVESMRQGMKPEEAAKDAISRIARKFPDFVGAVVAVDKNGSHSGACHGWTFQYSVQNPDMDDVQVFTVLP >Al_scaffold_0371_3 pep scaffold:JGI8X:scaffold_371:7576:9019:1 gene:Al_scaffold_0371_3 transcript:Al_scaffold_0371_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXE2] MATDMQKLLGTSEEDDDEEMDMDVKEEDDGDRRNRDTRAASGSSNDEFMFQQSMQDQVGTPGGGGSRRSRPLEEKERTKLRERHRRAITARILGADINDVIAALAREAGWVVLPDGTTFPAKSQGTKPTGGSSAVAAGSSASHIASQQTSTPALRVVSSGRRSPVELSACRMKGVFTPASSPYDIFPTQSPELVGSVNKAEGLVGCSVDVINSKQILDIPPNLTEQDFSGTPYVPVYVMLPLGVINMKCELADRDGLVKHLRILKSIHVDGVKVDILHDTNPGPPESADL >Al_scaffold_0372_2 pep scaffold:JGI8X:scaffold_372:961:7055:-1 gene:Al_scaffold_0372_2 transcript:Al_scaffold_0372_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:D7MXE4] MIVARTTLRALLVCGGGNCNMFVSSVSAASVMKSPYERTKPMRIHDWCGRFGDFKIGSKHVQGDFKLRWRRMSSASATENKDENSTVKKDQNGGGSVAVPSYWGIETAKMKITRKDGSDWPWNCFMPWETYQANLSIDLKKHHVPNRQNRLPDSQAPPYSHRYIFPGMTLTIWMQSNDMLETVAAVPGMVGGMLLHLKSIRKFEHSGGWIKALLEEAENERMHLMTMMELVKPKWYERLLVMLVQGIFFNSFLVCYVMSPRLAHRISTMGRSRMLPRPRLLLIIGDCLKMLRWKDVVTVIRADEAHHRDVNHFASDIRNQGKELREAGAPIGYH >Al_scaffold_0378_2 pep scaffold:JGI8X:scaffold_378:5929:7884:-1 gene:Al_scaffold_0378_2 transcript:Al_scaffold_0378_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXF0] DSAVGTNISVADVRAKRCVQQGNNRCFIQDFHVAFNEKGLYVLREEYLIDKLREKRVLVVLDDVRNPMDAESFLGGFDHCFGPESLIIVTSRDKQVLHQCQVDSIYEIPALNRKEAQRLFTRFAFSEKEPSDSNRVEVSKKVVEYANGNPLALCLYGRELGKKKPEEMVAEFEMIKQCPPQEIMHVFKSSYDVLSEDERSIFLDIACFFNGENLDYVIRILEGCGFFPHVGIEHLVERSLLMISKNNKVEMQFLIQDVARNIVNEEKNQIARHRRLWEPSSIKSFLKENKPKGTEVIEGIFLDTTNLTVDVNPKAFENMYNLRLLKIYSSNSESAQEFHLPKRLRSLPYELRLLHWEKYPLRSLPEDFDPRHLVELNMPYSQLQNLWEGTKSLVKLKIINLSHSQKLVEVDVLMKACSIEQIDLQGCTSLESIPHIDQLKNLQLLNLSGCTRLKRKEILEEIKRLDPEGGLRETKFESMVFSTLVELEPEDNTESFS >Al_scaffold_0380_3 pep scaffold:JGI8X:scaffold_380:7954:8762:-1 gene:Al_scaffold_0380_3 transcript:Al_scaffold_0380_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXF4] IAEEMKPSPFVISILTKLISIIPKWKIIPSQDIIEISYKEPEIRKQVRENPLCSKGRPRLKTAYELLRISNDLEKSLKEVSLPFMVLHGGDDKVTDKAVSQELYKVALSADKTLKLYPGMWHGLLNGETPENIEIVFADVIGWLEKRSDYGNDRFESELKQNNDGFNFKE >Al_scaffold_0387_1 pep scaffold:JGI8X:scaffold_387:34460:36706:-1 gene:Al_scaffold_0387_1 transcript:Al_scaffold_0387_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXG3] LGAPIVISETLSSSLLEDCPGHRNRIFRAIHSVREMASLLRSVPFFQIAMVLFCSSLAEAGLHASSMYYLKAKFHFNKDQFADLMIISGATGSISQLLFMPILVPALKEERLLSIGLFFGGAHMFLICVAWSSWVPYMAAIFSLFSVFPHSCMRSIVSKQVASYEQGKAQGIISSIDSLANVISPLAFSPLTWFLSERAPFNFLGFSIMCAGFMMVFEKYKEKVLALFNIQIYYRSCI >Al_scaffold_0398_1 pep scaffold:JGI8X:scaffold_398:988:2665:1 gene:Al_scaffold_0398_1 transcript:Al_scaffold_0398_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:D7MXG9] MSQSTVDVSAKGGFSFDLCKRNEMLTQKGLKAPSFLKTGTTIVGLIFKDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLRLHRYETGRDSRVITALTLLKKHLFSYQGHVSAALVLGGVDITGPHLHTIDPNGLTETLPFATMGSGSLAAMSVFEAKYKEGLTRDEGIKLVAEAICSGIFNDLGSGSNVDICVITKGNKEYLRNYMEPNPRTYVSSKGYSFTKKTEVLLTKITPLLERVEITEVGEAMEE >Al_scaffold_0408_1 pep scaffold:JGI8X:scaffold_408:2121:3240:1 gene:Al_scaffold_0408_1 transcript:Al_scaffold_0408_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXH5] LNGKEKKRCREKIKKLKGEDVHVTLPCELEEEILSRLPPLSLARFRSVCKQWNAICNENRFINNHFARARPQFIFITNSNIYSIEIISLDGVDPTIKLRELPSSRTAYRELNLDYITITTCDGLLFCNYSDYPKVTALWNPWLKQVYKILGSFISGCKVERVAIYECASHAFKSIDSSNEQCPLSQVKRFSVSLNGNLYWRTRIPHTLDFYIRSFDFSRDIFKHFCLLPCRENHFRDVLVLGVYKGDRLSLLKQCYVTRSVEIWVTKKKIDSNNNGTDEVVWIKLLTLPTNNLPNLYNKCYGISYFIYDKTTLIMCCGEDDGGTRAFIYILSGEICSRRFQLILGFS >Al_scaffold_0409_1 pep scaffold:JGI8X:scaffold_409:214:1378:-1 gene:Al_scaffold_0409_1 transcript:Al_scaffold_0409_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXH7] MLLQCVVLCSLLAIVVVSVASISPLGVHPLDEKYFDSDIIKCKDGSKSFSKDRLNDNFCDCLDGTDEPGTSACPNGKFYCRNIGSSPKFVYSSRVNDRICDCCDGSDEYESSIHCPNTCVMGGNVNYIYKPRTNLKSIHFQLGSTLHPKESYTMGNLQDMVKNLQGMKLVFALQMVFIGFLVILWMLTRRARSKRRRYLLKNVSPSNRSAN >Al_scaffold_0409_3 pep scaffold:JGI8X:scaffold_409:8289:8936:1 gene:Al_scaffold_0409_3 transcript:Al_scaffold_0409_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LI58] MKRTHLASFSNTNKTQEEEEEDTNIGDNRVIMNHYKNYEAGLIPWPPKNYTCSFCRREFRSAQALGGHMNVHRRDRAKLRQIPSWLFEPHHHIPIGNPNPNFSSSSSSLTTPAHLEPSLTNQRSKTTTFPSARFDLLDSTTSYGGLMVDREKNKSNVCSREIKKSAIDACHSVRCEISRGDLMNKKDDQVMGLELGMSLKNPKQVLDLELRLGYL >Al_scaffold_0428_1 pep scaffold:JGI8X:scaffold_428:6548:7636:-1 gene:Al_scaffold_0428_1 transcript:Al_scaffold_0428_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXI4] LGYADCDKLVERIHNFNFKELSPILLSVGSDLGGVLIQIHSSCGMKRIHDALSPALREEREESRADQTITLNAYVRRKEGNISFKDLSIVSSREAKCVLPHYYKCHKQLLDVVTAHEPPTYYHLSENSDKPLVYEWDKHVPVISIDPKSEGDGNTSDESTTSSGGFMKRGTKFMVTDDLIITPSNSTSTIGLLKEKQVRLDDVEIQVITIRKEEAIRLLEASLVTFSALSTSLLAMEIASTSVPQSRLFKKPKIET >Al_scaffold_0429_1 pep scaffold:JGI8X:scaffold_429:4610:5376:1 gene:Al_scaffold_0429_1 transcript:Al_scaffold_0429_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXI6] MEESSVKVQESLELNCRKSISTISVEGYDTSLHGYPLKLALRKHFASCGKIASMFVPRDFKRGILKSPLFIDFWGEGARDKALELSGSDVGRWNVVVKAVPRQEDYYDPAGFNFFRGERELVVKVYDLPSSVRKIDVQIGLCNISLRVERSPVLLF >Al_scaffold_0431_1 pep scaffold:JGI8X:scaffold_431:3476:4858:1 gene:Al_scaffold_0431_1 transcript:Al_scaffold_0431_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXI7] RKQQPSSTDHTASKVQQLPWKSEDPMVSISMSLVRSLWLCLKDPMDKKQWQIAEKGNCIEWVFLVILLRRLKKEYTLILLLNLVVMGLEFPLTELFW >Al_scaffold_0436_1 pep scaffold:JGI8X:scaffold_436:4797:5493:-1 gene:Al_scaffold_0436_1 transcript:Al_scaffold_0436_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXJ2] NGWIRSVVSDGDSGIISPCVYGKELPDHWEIEVQNLLKRLNKPAVKSIKSEDGDIIDCVPITSQPALDHPLLKNHTIQVCSSFMMRPSFIPKGNHSTNTKKNAKAITQVWHKNGECPENTVAIRRTNKEEILRSKSIESFSKKTHQSSPGDHEVLITLSCSHGRTIYIFHGGITSCH >Al_scaffold_0449_1 pep scaffold:JGI8X:scaffold_449:6206:6475:1 gene:Al_scaffold_0449_1 transcript:Al_scaffold_0449_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDC9] MVLVFFITWSCSSAQPIDPNACWQAIKQPPHECLESIKGIVHGHVHEIKKECCETVSTVSDLCWPIIFPSMPYIRFLLKGICTVKYSLH >Al_scaffold_0457_1 pep scaffold:JGI8X:scaffold_457:533:1845:1 gene:Al_scaffold_0457_1 transcript:Al_scaffold_0457_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXL4] MNDNTSQKLGTLVSDGGPVNVYVWDMDETLILLRSLLNGTYAESFNGSKDVKRGVEIGRMWEKHILKICDDFFFYEQIEECNEPFLDSLRQYDDRKDLSLYDFKQDDFSTPTDDLNKRKLAYRHRAVAHKYEKGLCPFLDSESMNVLDELYNVTDEYTERWLSSARAFLEQCSCVKEESSDGPSAIDQSSQDIHILVTSGALIPSLVKCLLFRLDTFLRHENVYSSIDVGKLQCFKWIKERFNHPKFRFCVIGDGWEECAAAQAMQWPFVKIDLQPDSSHRFPGVTPKTVSYYFAAVYGNSDADSSKE >Al_scaffold_0460_2 pep scaffold:JGI8X:scaffold_460:6557:7345:-1 gene:Al_scaffold_0460_2 transcript:Al_scaffold_0460_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXL8] MEESQENAVVGGVRVSRRKVAPKKVSKKGLVEQLQKQLEDGFRRINKKFDGFDKRLKCVESDVKSLKEASGKANELDKRGEEKYSELEEDEIEESGGEDKENASELEEDENGEDGEKDKEFEENDNGEDGEKDKELEENENDEDGEKDKELEENENGDNGEKEKEGDLEGNDLDGDNEKEGDLERNDLDEDKEKEKEESSEKRQQVKKTYERKRTRKTSAEEQEEEVQVGKKAKVTKKKVDPKKKKVGVLVRSPIMTRNKKK >Al_scaffold_0467_1 pep scaffold:JGI8X:scaffold_467:405:2100:-1 gene:Al_scaffold_0467_1 transcript:Al_scaffold_0467_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXM5] VAQSFSRSLSLLSSGSGSGSGGNLFPPSRNSSNLLFHPSGSRFSIQAAKGTNTKSLTGVVFEPFEEVKKELELVPTTPFVSLARHKFSDDSESAINDQINVEYNVSYVYHALYAYFDRDNVGLKGFAKFFNDSSLEERGHAEKFMEYQNMRGGRVKLQSILMPVSEFDHEEKGDALHAMELALSLEKLTNEKLLKLQSVGVKNNDVQLVDFVESEFLGEQVEAIKKISEYVAQLRRIGKGHGVWHFDQMLLNEV >Al_scaffold_0468_1 pep scaffold:JGI8X:scaffold_468:2728:3705:1 gene:Al_scaffold_0468_1 transcript:Al_scaffold_0468_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXM9] MVVSGVGGLRNQEKKTGSPSFDSKSFSYLQSSIIQADKCVSVEFLLFEEQASSLSYTQEKINRFLRCLSDLDKCSFQTCIHDGKSLHGLEKRWLQELKDDSGESLQAQIIFMSNIVGSVK >Al_scaffold_0469_1 pep scaffold:JGI8X:scaffold_469:1998:2654:1 gene:Al_scaffold_0469_1 transcript:Al_scaffold_0469_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXN0] MAAMTEKEAMADPVLVEALQNPRHRLTISRMELDRQKFFQNLEQLQFEFPPFPTSYMRVPAHQVAQHCVI >Al_scaffold_0472_2 pep scaffold:JGI8X:scaffold_472:6753:7161:1 gene:Al_scaffold_0472_2 transcript:Al_scaffold_0472_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXN3] MAEERRVPSSVSVTVAHDLLLAGHRYLDVTPEEFRQGHACGAINVPCMNRGVSMSKNPDFLELVSSHFGQSDNIIVGCQSG >Al_scaffold_0481_1 pep scaffold:JGI8X:scaffold_481:1787:3823:-1 gene:Al_scaffold_0481_1 transcript:Al_scaffold_0481_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXN8] MANSWFPNSLVSPPATAGSLNRPPPLPPDPPDPSSPSDFPSLATGRLLPRKIFHKSQIVSAPVKVTSPTSESFPPPTEVVSVAQLLSSYLVSSSNLSQNPRSGTIPTGSETATVATVFSENLNSSTTSTIPSPPSQSLPGILGATPASFPQSSIPPLQSSKLDYVVINGSSISNLTSLPPISNPNPPLPKAATGPPSYASKVKPSVDKSLKRLSPVSFSPSGIPRVEIPDEVFHKGAELHKDFLICRFFGRIPPYHLTQSVLNFMWGKGKHLEIHLSPAGNSVLWSASLDNSSPSLQRIPLWAHLKDVPFDLIHQQGLSHIAGLIGEPIETDDWTINLTSISIAHTTPTSPIAQKGLTSTSEKSEAHVSHVELAPIAVKDSQLVETMVLDISPQKETIQSVVTSESPIAAGSPMDVQADDILLDCVLALKAEFVSRPIVVSDNTLSLPLTNKFDALNSTPTSFNPFIPSKPPYTTPNPPSITTPTPNTNSYSIITTQSHLLPSSPNTKAPPSSPGQSPLFTQKEAHSKTQ >Al_scaffold_0481_2 pep scaffold:JGI8X:scaffold_481:5721:6892:1 gene:Al_scaffold_0481_2 transcript:Al_scaffold_0481_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXN9] MTSSVHELSDNNESHGKKERPDSQTRPQIPSGRSSESIDTTNSVYSEPMAHGLYPYPDPYYRSIFSQQAYLPHPYPGVQLQLMGMQQPGVPLQCDAVEEPVFVNAKQYHGILRRRQSRAKLEARNRAIKAKKPYMHESRHLHAIRRPRGCGGRFLNAKKKNGDHKEEEEETTS >Al_scaffold_0484_1 pep scaffold:JGI8X:scaffold_484:7067:7688:-1 gene:Al_scaffold_0484_1 transcript:Al_scaffold_0484_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXP1] MAEAATELNLSHKMMISRAYHDSLFMARISPMGMIFIPCYKGYSHKPEEYSSPEDMANGVKVLSLALAKLSLD >Al_scaffold_0485_1 pep scaffold:JGI8X:scaffold_485:86:3466:1 gene:Al_scaffold_0485_1 transcript:Al_scaffold_0485_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXP2] MDEGRQPLSRKIPIKSSKINPYRMLIVLRLVILGLFFHYRILHPVKDAYALWLISVICEIWFAVSWVLDQFPKWYPIERETYLDRLSLRYEKEGKPSELSPVDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETAEFARKWVPFCKKYCIEPRAPEWYFCHKMDYLKNKVHPAFVRERRAMKRDYEEFKVKINALVATAQKVPEDGWTMQDGTPWPGNSVRDHPGMIQVFLGSDGVRDVENNELPRLVYVSREKRPGFDHHKKAGAMNSLIRVSGVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPQSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGFDAPKKKKAPRKTCNCWPKWCLLCFGSRKNRKAKTVAADKKKKNREASKQIHALENIEEGRVTKGSNVEQSTEAMQMKLEKKFGQSPVFVASARMENGGMARNASPACLLKEAIQVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHSHGWRSVYCTPKLPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERLSYINSVVYPWTSLPLIVYCSLPAICLLTGKFIVPEISNYASILFMALFSSIAVTGILEMQWGKVGIDDWWRNEQFWVIGGVSAHLFALFQGLLKVLAGVDTNFTVTSKAADDGEFSDLYLFKWTSLLIPPTTLLIINVIGVIVGVSDAISNGYDSWGPLFGRLFFALWVIIHLYPFLKGLLGKQDRMPTIIVVWSILLASILTLLWVRVNPFVAKGGPILEICGLDCL >Al_scaffold_0487_2 pep scaffold:JGI8X:scaffold_487:2021:2959:1 gene:Al_scaffold_0487_2 transcript:Al_scaffold_0487_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXP5] MVRSEDKDRCKSKKDLHMNNKGSQNVATCKPVTTFVQTDTNTFREIVQRLTGPSENNAAAATPEATVIKTAIQKRPTSKLHERRQCMRPKLEIVKPPLSFKPTGTTPSSKSGNTNLLTSPVGTPSSLFSNLSLIEGEKAEPDSCTTNIEEEEKAIKERRFYLHPSPRSKPGYTEPELLTLFPLASPNSSGNHKPDRLIISLFSVYYPPRFVTCRVSQQ >Al_scaffold_0487_3 pep scaffold:JGI8X:scaffold_487:6243:7109:-1 gene:Al_scaffold_0487_3 transcript:Al_scaffold_0487_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXP7] RGMRPNTVTIMNLLPVCAQLASLHLVRQCHGYIIRGRLGDIRLKGTLLDVYAKCGSLKHAYSVFQSDARRDLVMFTAMVAGYAVHGRGKEALMIFSHMIDSNIKPDHVFITTLLTACCHAGLIQDGLQIYDSIRAVHGMKPTMEQYACAVDLLARGGRLDDAYSFITQMPVEPNANIWGTLLRACTTYNRMDLGHSVANHLLQAESDDTGNHVLISNMYAADAKWEGVMELRNLMKKKEMKKPAGCSWLEVDGKRDVFVSGDCSHPRRDSIFDLVNALYLQMKEPVVF >Al_scaffold_0489_1 pep scaffold:JGI8X:scaffold_489:6993:7355:-1 gene:Al_scaffold_0489_1 transcript:Al_scaffold_0489_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXP9] VEFVKRIFEKHPDIALEFFPKNPVVKTAYMNVLLSLIETLGQPPREISKDDLAGAYGLLRSMKEAGFKLDWLENKLNEVLKKKESEEAYETRMREIEEEMKDLKEKVLDVAAPLRLDDVF >Al_scaffold_0491_1 pep scaffold:JGI8X:scaffold_491:122:723:1 gene:Al_scaffold_0491_1 transcript:Al_scaffold_0491_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXQ0] ALADMRSINLFGVQQICRNTIAVEQAMAAIPYIDSETVQQNLDRVRTYFELLNMPFEALLAFIAEHDQMFTPTEYSNLLKVNVPGRDTPSDAQSRLLEILSH >Al_scaffold_0491_3 pep scaffold:JGI8X:scaffold_491:5473:6783:1 gene:Al_scaffold_0491_3 transcript:Al_scaffold_0491_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXQ2] MVTDSYMDTRSNLTSVNRGSNVDLEDRFQRELESLLQQHRNRQTFGRERERDIDVHRSGSAPPTVEGLLRAMDNQYWNNNNSDHRDVGNVNSFSTSNGVELLSDDELRWHPEYLSYYYSNEHSNPRLPPPLLSREDWRVAQRFHNSESVFDPVGEWRKKAVEVDNSSSLFSVQPGVPVEQAENDLMELRNAVAQGRSQKVQRLDQGREDFIGLSGYPGLGPRRKSFADILQEGLERDATLGSQLSRPASCNTFRDMKDSAVLSNFSAGGFDSPLAFHESLHATAKNSPNTMLGSTTSSPVPRNRTPDSHLVGRSPASGLPPIGTRVGPVEKKNTFGTAIQNSESYTAADVADTLSRLSMSEMSQVKAHHMQSQLQVELENQSDVMRYIPNGHKKALRQQDIAM >Al_scaffold_0492_1 pep scaffold:JGI8X:scaffold_492:2:1079:1 gene:Al_scaffold_0492_1 transcript:Al_scaffold_0492_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G04170) TAIR;Acc:AT3G04170] ALASSFVYCYDPSPLQDYCVATNETKGVFVNGRFCKDPKLVTSNDFFASGLNIPGNTSNRLGFFVNPANIPGFNTLGVAIARIDFAPGGQIPPHIHPRASEILLVIKGKLLVGFVSSNEYNYTLFSKILYPGDVFVFPIGLVQFHANIGKKNAIAIGAVGSQNPGFISVGDAVFGSRPSIDPKILSKAFALDINIVRYLRTVLFSPQDDIVE >Al_scaffold_0492_2 pep scaffold:JGI8X:scaffold_492:2516:3460:1 gene:Al_scaffold_0492_2 transcript:Al_scaffold_0492_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXQ4] MNALGHGNVTSEPPTASAAMSSSTLLLPSLAISKPKLSQGSNYFLVVAVFLGSLVSLPIRPIPSSSLHIDQHLLDHQHWSWDMDQGLGMATYWKHDLSLLWPISQLTEQCGLHDLYQKNNRSSCLRLVVQCSS >Al_scaffold_0507_2 pep scaffold:JGI8X:scaffold_507:3973:4485:-1 gene:Al_scaffold_0507_2 transcript:Al_scaffold_0507_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXR1] LTDKNIVFRKLKAKFENNVCFDCSEKNPTYASVTYGIFLCIDCSAIHQSLSVHISFFRSTNLDSWSL >Al_scaffold_0507_3 pep scaffold:JGI8X:scaffold_507:5639:6505:-1 gene:Al_scaffold_0507_3 transcript:Al_scaffold_0507_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXR2] FARQTRHNSWIGESEAAKLFRSAIFSVTTGSNDLINNYFTPVVSTVERKVTSPEVFVDTMISRFRLQLTRLYQFGARKIVVINIGPIGCIPFERETDPTAGDECSVEPNEVAQMYNIKLKTLVEDLNKNLQGSRFVYADVFRIVYDILQNYSSYGFESEKIPCCSLLGKVGGLIPCGPSSKVCMDRSKYVFWDPYHPTEAANVIIARRLLSGDTSDIFPINIWQLANLKINA >Al_scaffold_0516_1 pep scaffold:JGI8X:scaffold_516:72:370:1 gene:Al_scaffold_0516_1 transcript:Al_scaffold_0516_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXR4] MVTPGSSTRSMRRATKEYTRDQDSVIPTTSELEEFFAYAEQQQQRLFMEKYNFDIVNEIPLSGRYEWVQVNP >Al_scaffold_0516_2 pep scaffold:JGI8X:scaffold_516:5510:6382:1 gene:Al_scaffold_0516_2 transcript:Al_scaffold_0516_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXR5] MGGDGKVFTLAEVSQHSSSQDCWIVIDGKVYDVTKFLDDHPGGDEVILTSTGKDATDDFEGFAG >Al_scaffold_0532_1 pep scaffold:JGI8X:scaffold_532:1:1135:1 gene:Al_scaffold_0532_1 transcript:Al_scaffold_0532_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXR8] TSASNLFSMYHQFDRTSRSGPKAFVSEYAVTGKDAGTGSLLASLAEAAFLIGLEKNSDIVEMASYAPLFVNTNDRRWNPDAIVFNSSHLYGTPSYWVQRFFAESSGATLLTSTLKGNSTSLVASAISWENNGKDYIRIKLQAVNFGANSVNMKVLVTGLDPNVMRVTGSKKTVLTSTNVMDENSFSQPEKVVPHESLLEMAEEDMTVVLPPHSFSSFDLLKESAKIKMPISDSSSHQKTTTV >Al_scaffold_0564_1 pep scaffold:JGI8X:scaffold_564:3530:4368:-1 gene:Al_scaffold_0564_1 transcript:Al_scaffold_0564_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXS8] MDNTGESKLMLFDSFVEQIIGIPACDLVDPTTEELDDPMPLPDVVKNIIGKTYQFALCVEQDNISRGNDEYKVLMLTNSSHHDDTTNTSLSTPSSKRKEDTSDRSDQNSTSKKQCTGTQSDVKDNGVIDLDTPEKNDQSTDVKVDGVIAVDNSKEHDQPKFIHKLDEAGQEAINKVAEAEQKKVLLKKIKVEKLEGQKGAK >Al_scaffold_0564_2 pep scaffold:JGI8X:scaffold_564:5526:5789:-1 gene:Al_scaffold_0564_2 transcript:Al_scaffold_0564_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXS9] GNKIQAGIKKEHLQKLQRNITKGEWRIFDEFSVKKATGMYRPTTHNYRIVFEYSTVISPSEKISESIWLDLVPFNSVLSGTLDQNKLV >Al_scaffold_0575_1 pep scaffold:JGI8X:scaffold_575:1:1628:-1 gene:Al_scaffold_0575_1 transcript:Al_scaffold_0575_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXT1] MERVDHLADERNKAEFNVDDMKIVWAGSRHAFDVSNRISRLVANDPIFEKSKRAVMRRKELFKNTLRKSVHAWKMINELRLSDEEGIKLRSFMDQPGFLDLHWGMFVPALKGQGTEEQQHKWLSLANKMQIIGCYAQTELGHGSNVQGLETTATFDPKTDQFIIHSPTQTSSKWWPGGLGKVSTHAVVYARLITNGKDHGVHGFIVQLRSLDDHSPLPGITVGDIGMKFGNGAYNSMDNGFLMFDHFRIPRDQMLMRLSKVTREGKYVASDVPRQLVYGTMVYVRQSIVSNASTALARAVCIATRYSAVRRQFGSQDGGIETQVIDYKTQQNRLFPLLASAYAFRFVGEWLKWLYTD >Al_scaffold_0575_2 pep scaffold:JGI8X:scaffold_575:5255:5849:1 gene:Al_scaffold_0575_2 transcript:Al_scaffold_0575_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXT2] MYIEELTEREEEEKGERSVEDHVADGDKAILVSRGNVIVLTTKRALVGVGARALFYPTLIYNVVRNKLETEFRWWDRVAEFILLGAVPFPSDVPQLKELGVCGVITLNEPYETLVPSSLYKVCLFSIL >Al_scaffold_0584_1 pep scaffold:JGI8X:scaffold_584:73:1243:1 gene:Al_scaffold_0584_1 transcript:Al_scaffold_0584_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXT6] MEEIGSSGIPLSVVAKAAEIQLLYAVDRVLLGSRWFRKATGIQPKLPYLVDSFERRSAFSIQRASGSATRCLGDSVEADTSASLLRVEDNSPSEDEKRQQNLWFPFGDWINHSESKKEHTHHKGPSDGRDMESREREMLRSPFLPKITMVGISTGEAAQMSKANLKKTMEDLTEDLEQSDEGNDHGSKRYDPRKMEERDPLFVANVGDYYSGMAKAGSARLSRRGDDQ >Al_scaffold_0584_2 pep scaffold:JGI8X:scaffold_584:4623:5710:1 gene:Al_scaffold_0584_2 transcript:Al_scaffold_0584_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXT7] MKTTKGGKVMNPTDAYRKQISQERNKASLIHILLFVNKKERQKVREVGILKKDPEQIKEQIRKLDMSKAEGALDKARKHKKRQLEDTLKMVVKKRKEYDEKKKEQGEATTSVMFR >Al_scaffold_0588_1 pep scaffold:JGI8X:scaffold_588:440:808:-1 gene:Al_scaffold_0588_1 transcript:Al_scaffold_0588_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXU0] MAFKNVIFLLAVLCIALSANAQLPQFPAPFPFPFPFLPSLGVPGLPDITKCLSSFMNIPGCIAEFSQSILIGKFGNIGPACCKAILEAETNCIPQLPFNPFFPPMLKEQCSKTVGALPPTKK >Al_scaffold_0588_2 pep scaffold:JGI8X:scaffold_588:4136:4504:-1 gene:Al_scaffold_0588_2 transcript:Al_scaffold_0588_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM09] MAFKNVIFLLAVLCIALSANAQLPQFPAPFPFPFSFLPSPGVPGLPDITKCLSSFMNIPGCIAEFSQSILIGKFGNIGPACCKAILEAETNCIPQLPFNPFFPPMLKEQCSKTVGALPPTKK >Al_scaffold_0595_1 pep scaffold:JGI8X:scaffold_595:6378:6626:-1 gene:Al_scaffold_0595_1 transcript:Al_scaffold_0595_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXU4] AQYPTGTVLFQLVRLELCSCEDNWTNMLVSVLQHAPKLQVLKLELCNALIAEPVPLSSYRS >Al_scaffold_0612_1 pep scaffold:JGI8X:scaffold_612:5552:6059:1 gene:Al_scaffold_0612_1 transcript:Al_scaffold_0612_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXU9] MNSEISQPPELMPSLSPFSGVDISEDTINAAVEAELAELAKSDSNGGGKSKVKGSWSPEQDEALTRLVKKCGPRNWTLMSRGIPGRSGKSCRLRWCNQLDPNLKRKPFSGSLLSYLIHMNFSVEEDL >Al_scaffold_0614_1 pep scaffold:JGI8X:scaffold_614:5:1111:-1 gene:Al_scaffold_0614_1 transcript:Al_scaffold_0614_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXV0] MASSSSRSLVSSYTFDDKDLEDADLWAVIDSAAAAATIAGKSPKPLAIRYPNYNSPPTPVSYPSPQSKVLQIQNRNPNLARGLNEESTRPNKMARSRVLSEVKSESPMALVTTAHRNLTPNIINSTKFSSPESYLSPGIRQSTPFAEVSPSASCVKNDPVNEMRHSLSGSFPSATLFKEYQNTAMAILEKSDYTMISGKAYIKKSGEDYACCPLIVYLIHSKLVLMLHFMEM >Al_scaffold_0615_1 pep scaffold:JGI8X:scaffold_615:3:674:1 gene:Al_scaffold_0615_1 transcript:Al_scaffold_0615_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXV2] LWAFDERNMFSSLDSRVRDMTICANTFKLMQLYSVFKPLPQFGYMSSLYVTLTAYDLKWFPIFLSSPNLKSLILERIGGSFHQLSPKAMERVSISSVTECLLSSLEFVDFKSPIWELAPEMKLVWYFLENSPTLKKLTLHLKSHSITDDFIKKLLKIPRCSTECEVVFL >Al_scaffold_0625_3 pep scaffold:JGI8X:scaffold_625:4784:5468:1 gene:Al_scaffold_0625_3 transcript:Al_scaffold_0625_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXV6] MAEAFVSFGVEKLWDLLSRESERLQGIDEQVEGLKRQLRSLESLLKDADAKKHGSERVRNFLEDVKDLVYDAEDILESYVLNKSRAKEKGIKKHSLGIQQIIDGGRSLSLQDRQREQREIRQTFAKSPDHDLVGVEQSVEELVGHLVENDKHQVVSISGMGGIGKTTLARQVFHHDIVRRHFDGFAWVCVSQQVYAER >Al_scaffold_0627_1 pep scaffold:JGI8X:scaffold_627:4327:5429:1 gene:Al_scaffold_0627_1 transcript:Al_scaffold_0627_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXV7] MDHEEIPSMPSTPATTPGTPGAPLFGGFEGKRNGHNGKYTPKSLLKSCKCFSVDNEWALEDGRLPPVSCSLPPPNVSLYRKLGAEFVGTLILIFAGTATAIVNQKTDGAETLIGCAASAGLAVMIVILSTGHISGAHLNPAVTIAFAALKHFPWKHVPVYIGAQVMASVCAAFALKAVFEPTMSGGVTVPTVGLSQAFALEFIISFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAG >Al_scaffold_0639_1 pep scaffold:JGI8X:scaffold_639:953:1534:1 gene:Al_scaffold_0639_1 transcript:Al_scaffold_0639_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXW6] MDMGMFSQPPPVYGDLQMNFFASNPEMKAFFEKPRRSWVIDVEGFDTSVPADEMEEALINHFKSCGAILRASVRRHPDNGLANIVMVGDDADEKVMQLNGTELGGKKLVVKARPYPRMDYRHLNLPFASSS >Al_scaffold_0658_1 pep scaffold:JGI8X:scaffold_658:9:2513:1 gene:Al_scaffold_0658_1 transcript:Al_scaffold_0658_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXX3] MAELMEYSHLVDMADKNEDPYMSMVYASSWAISVYYAYQRTWKPFNPILGETYEMTNHNGINFIADLQVSHFFRTHIPMTRVTLKRDGVVLDLIPPLTKVHNLIFGRTWVDSPGEMVMTNLTTDQSRGGFARHILQQRDKELRREADREEKRQRFAPKWFDETEEVTPTPWGDLQVYQFNGKYSVHRATADNSEDTTNVKLNQFNPWQFQDLSA >Al_scaffold_0669_1 pep scaffold:JGI8X:scaffold_669:38:355:1 gene:Al_scaffold_0669_1 transcript:Al_scaffold_0669_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXY2] SYSNLCPALVYCRYLVPRDMTVGHFIHMLSKRMHLDRSKALFVFVHNTLPQTASRMDSLYNTFKEEDGFLYMCYSTEKTFG >Al_scaffold_0677_2 pep scaffold:JGI8X:scaffold_677:5482:5778:-1 gene:Al_scaffold_0677_2 transcript:Al_scaffold_0677_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXY8] IMSNRGLTRQRNKDLKNPRKKYRNKHEKKVIDRKGQVRDIRKQTGPYSGETRGINPNTSRSIRIKN >Al_scaffold_0678_1 pep scaffold:JGI8X:scaffold_678:97:703:-1 gene:Al_scaffold_0678_1 transcript:Al_scaffold_0678_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXY9] MGGAVTKSETLQKEWVPETKLEAKIIEAVQRRASRGTTMKSFNSIVLKFPKIDDGLRNCKAIFQEFDEDSNGSIDHTELKNCIKKLEISFDEEEINDLFKACDINEDMGITFTEFIVLLCLVYLLKDDSSTLQKKWTMGCRS >Al_scaffold_0685_1 pep scaffold:JGI8X:scaffold_685:3100:4384:1 gene:Al_scaffold_0685_1 transcript:Al_scaffold_0685_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXZ4] MNYRYALGYVNKNNSCRSHKVLRLIDDYIYLAVNHAPENRFSWYEIYDIETYLWTTLDVPPILEDIVLSACNSGYKLDDHIICFDFTSERVGPLLRLPFCAGLHDYVTLSCVREEKLAALLTHNESHPYEFEIWITTKIEYEKVSWSKILEMDTGPLADRPIAFTHESFFVDEEKNVSMGFDDLNRHTAHIIGEAGYFIELDLGVPVADINCGARRPHLCPYVPRSVQIKQPPGGKRKRKTILEKRQYDQNMLRLAAFIKRTKQEENKWRKRVRVGLKKKTIFEA >Al_scaffold_0686_1 pep scaffold:JGI8X:scaffold_686:635:1661:1 gene:Al_scaffold_0686_1 transcript:Al_scaffold_0686_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXZ6] MASSSLTSFPCLSSLKMTIKPMAALTNPPRTKTPYELKKGQNRLFHKLPSGLKMEVIEQRRSKSERENPPLVFVHGSYHAAWCWAEHWLPFFSSSGFDSYAVSLLGQGESDDPLGTVAGTLQTHASDIADFIELNLGSSPPVLIGHSFGGLIVQYYLANIVNKQTLGTENAFPELSGVVLVCSVPPSGNSGLVLRYLFSKPVAAFKVIIFFCILQG >Al_scaffold_0693_1 pep scaffold:JGI8X:scaffold_693:4281:4978:-1 gene:Al_scaffold_0693_1 transcript:Al_scaffold_0693_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXZ8] AHNQDVNSLGLISSRKTSEAVDILKLMSTTFLVAICQAVDLRHLEENLKQTVKNTVSQVAKKVLTTGVNLLKVVDREQVYTYADDPCSATYPLIQKLRQVIVDHALINGESEKNAVTSIFHKIGAFEEELKAVLPKEVEAARAAYDNGTSAIPNRIKECRSYPLYRFVREELGTELLTGEKVTSPGEEFDKVFTAICEGKIIDPMLECLNEWNGAPIPIC >Al_scaffold_0697_1 pep scaffold:JGI8X:scaffold_697:18:429:-1 gene:Al_scaffold_0697_1 transcript:Al_scaffold_0697_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXZ9] MGNLFVKKPQITEVDRAILSLKTQRRKLSQYQQKLEKVIEAEKQAARDLIREKRKDRALLALRKKRTQEELLKQVDQWVINVEQQ >Al_scaffold_0705_1 pep scaffold:JGI8X:scaffold_705:3:769:1 gene:Al_scaffold_0705_1 transcript:Al_scaffold_0705_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MY05] AFGDQLLTHSNARSLLPKSGFRDTAVILMLIHQFITFGFASTPLYFVWEKLIGVHETKSMFKRAMARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMLTFAPAPSRENAVERPPRVVGGWMGTYCINIFVVVWVFVVGFGFGGWASMVNFVRQIDTFGLFTKCYQCPPHKP >Al_scaffold_0706_1 pep scaffold:JGI8X:scaffold_706:26:2368:-1 gene:Al_scaffold_0706_1 transcript:Al_scaffold_0706_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MY06] MNRSTRSFVSQLLHDLHRIPLFDIPSTSDVDSLCALKVITHILESDSIQYSCFPVSSFLEIHKYAGPAGLCSTSSESPPVTILLINWGCHRDLKLVLKLGPAARVFVVDSHRPIHLHNLSDYNEQVVVLHTDDDERQADLAYDFDVLKLANESFQLHVEEGGESDEEEEEDEEEEDEDDDDDDGDGDRPSKRRKMGDGVKVFKKLKRDYYKMGTFHGKPSGCLLFELSHMLRKNTNELLWLACVALTDQFVHERLTDERYQAAVMELEQHINSSGNIDKITSVTLKDGTKVRAPDCSRISYEEEPRLMLLREWTLFDSMLCSSYIATKLKTWSDNGIKKLKLLLARMGFALIECQQKFPYMSHEVKRKMKQEFDRFLPEYGLNDFYYRSFLRLHGYSSRVSAADVVYGITALLESFLGSGGSSASKQFGEAYDALSLNNLDKLRSGMQQAIKVQRAILRQGSAAITKTGCIRSGRKFRW >Al_scaffold_0707_1 pep scaffold:JGI8X:scaffold_707:3286:3628:-1 gene:Al_scaffold_0707_1 transcript:Al_scaffold_0707_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MY07] MAERRADLNLPMRLQCNTCDHIMSNGTQLNSRVEQVIGETYLGIKILRFHIQCTNCTGEIKFKTDPKNAGFIIESVRVCWTKSGIMLI >Al_scaffold_0721_1 pep scaffold:JGI8X:scaffold_721:68:373:1 gene:Al_scaffold_0721_1 transcript:Al_scaffold_0721_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MY13] EKKAVRVKDEKIEGLEEQLGKLMAQMDGESEVSETKEVQDATVLPLPTTSNSSSASGNVIHANKKKSNRRKG >Al_scaffold_0727_1 pep scaffold:JGI8X:scaffold_727:66:760:1 gene:Al_scaffold_0727_1 transcript:Al_scaffold_0727_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MY17] KRENISLSTGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVTKSESLEFSPVENAIGMIETRTTALRNELEEPRSSDGDHLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >Al_scaffold_0728_1 pep scaffold:JGI8X:scaffold_728:2:855:1 gene:Al_scaffold_0728_1 transcript:Al_scaffold_0728_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MY18] FGCFIEISYLFLYIIYAPREAKISTLKLIVICNIGGLGLLILLVNLLVPKQHRVSTVGWVCAAYSLAVFASPLSVMRKVIKTKSVEYMPFLLSLSLTLNAVMWFFYGLLIKDKFIAMPNILGFLFGVAQMILYMMYQGSTKTDLPTENQLANKTDVNEVPIVAVELPDVRSDNVEGSARPMK >Al_scaffold_0734_1 pep scaffold:JGI8X:scaffold_734:2:1341:-1 gene:Al_scaffold_0734_1 transcript:Al_scaffold_0734_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MY22] MVKESEYYDILGVKIDASGAEIKKAYYVKARQFHPDKNPGDPQAAKNFQILGEAYQVLSDPEKRTAYDKFGKQGVQQDAMVDPAAVFGMLFGSELFEEYVGQLALASIASIDAELESYEPEIRKQMLREKIKAMQNDRVDKLVATLKIKLEPFVEGQTDEFVNWATAEAKRLSTAGFGEAMLHTAWIHLH >Al_scaffold_0759_1 pep scaffold:JGI8X:scaffold_759:858:2547:-1 gene:Al_scaffold_0759_1 transcript:Al_scaffold_0759_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MY30] MTTKFGEDENVDVELELCLSLGGPFKKTEKSKPIGPSNAVECAKGTGVNLEDETTNVTRIKETRKKREAKQQQRSGEEEECKRMRTECNGVTNGEDMDLSFSKMGNGYGSGQLKENRKDVTIGSPICTSSDVSDPSSSSRHEGGSGDIGAQSGQTKPVGPPVNNIGIGTEQTVHSTDGSKDAVVVETQALSNSVTKKTGKPPKPHTNSTGNGSLLPFAQMPCVTSTGNGPEGKTVNGFLYRYSKSEISIICVCHGTSFSPAEFIVHAGGTNVSQPLRHITVVPSTL >Al_scaffold_0766_1 pep scaffold:JGI8X:scaffold_766:3:341:-1 gene:Al_scaffold_0766_1 transcript:Al_scaffold_0766_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MY33] MAELENPSVMSKLIAFLSSLLERVAESNDLTRRVTTQSQRVSVFHGLSRPTITIQSYLQRIFKYANCSPSCFVVAYVYLDRFTHRQPSLPIKSFNVHRLLITSVMVAAKFLDD >Al_scaffold_0769_1 pep scaffold:JGI8X:scaffold_769:49:1063:-1 gene:Al_scaffold_0769_1 transcript:Al_scaffold_0769_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MY34] MSSKGLKSTKRKVTETFDSLKSKKPKLVSGEQQQQHGKPKYFGDREQSTNLSKKERRVQAKELTEARKKKRKPHYNLEQELASLWEKMRRRDIGKEDRSKLISEAIRKMKGKVPEIAVSHVSSRVLQTCVKFCSQAEKDALFAELQPQFLNLASNKYAVHFIQKMLDGASKQQLAACIFSLRGHVAPLLRHLFGSVGM >Al_scaffold_0777_1 pep scaffold:JGI8X:scaffold_777:168:1057:-1 gene:Al_scaffold_0777_1 transcript:Al_scaffold_0777_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MY40] MGEKPRKLLVMYASQTGNALDAAERIGREAERRGFPASIVSTDEFDASSLPHEEAVVFVVSTTGQGDSPDSFKAFWRFLLQRNLGNYWLQQVRYAVFGLGDSGYQKYNFVAKKLDKRLSDLGATTIIEKGLGDDQHPSGYDTVY >Al_scaffold_0777_2 pep scaffold:JGI8X:scaffold_777:2081:3049:-1 gene:Al_scaffold_0777_2 transcript:Al_scaffold_0777_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MY41] GFESNGNDASDIPKRRGFSRISVEGYETSLHAYDLKLALTKHFASCGKIISIYVPRNAEKDTIQRFDFVMPSCILPEKVPLRRRWNLVEVTSRREDGVYTLRSHLIRDNTMILREPNTWSQNASKLISLFVCVLVVMLLILTKLFRIGVTGYDTSLPKIDVQIGLSKHFSSCGEVTNVSIPTDDSGGLYRFASITILGGKGAVDKALKLSGRNVGGWKITVDMVLPPPDMERGSPSL >Al_scaffold_0781_1 pep scaffold:JGI8X:scaffold_781:44:2889:-1 gene:Al_scaffold_0781_1 transcript:Al_scaffold_0781_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MY42] MEKVSSPSSSSSSSSRRSAYLEALTQEIKKKLTRAVVSPAQTRNLLQDLFADIALEVDERAKDVILSKEEDVISSVEADVDGPLCFFDVLADYYVKVSERGKDILDLMVQLWSQSFASHIFSLLFHKWLFEVELENQEILLRYSSALVQGATNVFWIDIQTNTRRFQSLFRYLLEEVALEQMRLKKIPIQAQRELYLLLSRFIFFYNSVDKLDSFLRNFPEFPNAFLIGGPGDFLVIELTDQLQKLKVEPVLLHYLSQMKILQGMELRMTTSTRLKACLYSFTSPGGPMYPTRAVRHAAWDALDSLFPVGRYPRHLISLFFRLLYPWYWPSSCWNFVVLASTTLLYSIVRLIFSRRVNPRQSC >Al_scaffold_0786_1 pep scaffold:JGI8X:scaffold_786:4007:4597:-1 gene:Al_scaffold_0786_1 transcript:Al_scaffold_0786_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MY47] FLFEQYSNSFQLRAIMVNIKESYRQLGLDEKSAQLKLQSHMLSEMLNQKDIMVPNLGVAQERLKDKEIFLVLDDVDRLEQLDALAKETRWFGPRSRIIITTQDLRVLEAHGINHIYKVDFPSTHEAFQMVCIYAFGQKNPEYDFTELVWEVANLASQLPLGLKVMGSHFKRKSKQEWKNALPSLKNRLHADIVSVLK >Al_scaffold_0792_1 pep scaffold:JGI8X:scaffold_792:8:298:-1 gene:Al_scaffold_0792_1 transcript:Al_scaffold_0792_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MY50] MSGEVVIAARVYRELLKAVVKHVGKEDYKSHFIDFVKQEFRKNANSETINLARNYTYLLNSIHSHKVKSFLRFLYHIGHLSYRSLAISAHQCILCV >Al_scaffold_0795_1 pep scaffold:JGI8X:scaffold_795:461:1596:-1 gene:Al_scaffold_0795_1 transcript:Al_scaffold_0795_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MY51] MEINGQEGHRHEESSSEWFVKYLKHQGDWLEKTRGNLMVTATVIAGMSFQVMVNPPGGVWQSDNCSFGNQTGTAPVCKGKAGTAVLEYESSKRIAYLGMVISSTVSFSASMSLILLVISGIRLRNRMIMAILVTFMVVAVLCISAAFFFAIVLVQSDDQIIRDILLIYVGFWVIFPVLILLIQLVRFIGWLICFMCCCCCQRRRRSPQRLLPLAPSPAS >Al_scaffold_0797_1 pep scaffold:JGI8X:scaffold_797:122:1059:-1 gene:Al_scaffold_0797_1 transcript:Al_scaffold_0797_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7MPZ8] MENEAFVDGELESLLGMFNFDQCSSNESSFCNAPNEFDVVSSSDDFFPFSKILQGNYAAVLDGSNHQTNLYDDSRQELVKPRKKQKISSESNLVTEPKTAWRDGQSLSNYNSSDDENALGLVSNTSKSLKRKAKSNKGIASDPQSLYARKRRERINDRLKTLQSLVPNGTKVDISTMLEDAVHYVKFLQLQIKLLSSDDLWMYALLAHNGLNMGLHHNILSRLM >Al_scaffold_0801_2 pep scaffold:JGI8X:scaffold_801:3537:4271:-1 gene:Al_scaffold_0801_2 transcript:Al_scaffold_0801_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MY54] YQSHKWAVYVRGATNEDISVVVKKVVFQLHSSFNNPTRVIEEPPFEVSESGWGEFEIAMTLHFHSDVCDKPLSLYHHLKLYPEDESGPLTMKKPVVVESYDEIVFPDPSESFLSRVQNHPALTFPRLPSGYNLPAPMQVEDTGKKKRGDTKDHSLGQWFMSFSEADELLQLAAARQQVT >Al_scaffold_0804_1 pep scaffold:JGI8X:scaffold_804:1030:2207:1 gene:Al_scaffold_0804_1 transcript:Al_scaffold_0804_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MY55] RWYSRNLCETESNFCEERTKHIDSTEVNIFSERWYSTNLCEAESNFCEERTQHLDSTEVNIFSERWYSENLCEAESNFSEERTQHLDSTEVNIFSERWYSKNLCEAESNFCEERTQHLDSTERWYSRNLCVAESNFCEERTQHLDSTEVNIFSERWYSKNLCEAECNFCEERTQHLDSTEVNIFSERWYSKNLCEAESNFCEERTQHLDSTEVNIFSERWYSKNLCETESYFCEERTQHLDSTEVNIFSERWYSKNLCEAESSFCEEQTQYLDSTEVNIFSERWYSKNLCEAESNFCEERTQHLDSTEVNIFSERWYSKNLCEAESNFCEEQTRHLDSTELNIFSERLYFGKSP >Al_scaffold_0807_1 pep scaffold:JGI8X:scaffold_807:4817:5144:-1 gene:Al_scaffold_0807_1 transcript:Al_scaffold_0807_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MY56] MTYRATILAIFMIFLVLGMETKETQGQETCHDLIMKRDCDEATCVTMCQQKWKGSVGSCFQNVNVMSCLCNFPCQV >Al_scaffold_0810_1 pep scaffold:JGI8X:scaffold_810:99:985:1 gene:Al_scaffold_0810_1 transcript:Al_scaffold_0810_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MY57] PLRKNILDWTLRFRIMEGIIQGLLYLHKYSRLKVIHRDIKASNILLDEDMNPKISDFGMARIFGAQESRANTKRVAGTGYMSPEYFREGLFSAKSDVFSFGVLMLEIICGRKNNSFHHDSEGPLNLIVHVWSLFKDNRVHEVVDPSLGDSAVENPQVLRCVQVALLCVQQNAEDRPSMLDVVSMIYGDGNNAFSLPNEPAFYDGPRRSSPEIDVEPPELENVSANRVTITVMEAR >Al_scaffold_0813_1 pep scaffold:JGI8X:scaffold_813:47:1522:1 gene:Al_scaffold_0813_1 transcript:Al_scaffold_0813_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MY62] MTFASDSMKLVATSGDGTLSVCNLRTSKVQSQSEFSEDELLSVVIMKNGRKVICGTQNGTLLLYSWGFFKDCSDRFVDLAPNSVDTLLKLDEDRLITGCDNGILSLVGILPNRIIQPIGAHDYPIEDLALSHDNKFLGSTAHDSMLKLWNLEEILEGSNGNSGNASGAAGDSDSDKDGMDLDNDSHKSSKGSKRKTKSKPNTLNSTNNFFADL >Al_scaffold_0823_1 pep scaffold:JGI8X:scaffold_823:179:913:1 gene:Al_scaffold_0823_1 transcript:Al_scaffold_0823_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MY68] MGTFGYVAPEYACTGMLTEKSDIYSFGILIMEIITGRNPVDYSRPQGEVNLVEWLKTMVGNRRSEEVVDPKIPEPPTSKALKRVLLVALRCVDPDANKRPKMGHIIHMLEAEDLFYRDERRATREHASRDYNQPQTEVSAAAAETSESDSSKDRR >Al_scaffold_0825_1 pep scaffold:JGI8X:scaffold_825:24:643:-1 gene:Al_scaffold_0825_1 transcript:Al_scaffold_0825_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MY70] MELDKDQTVMSEDKKPQVPNPRFACEGCSVLLDVNDGDRLVFARLSGGAILKIENKNYSLKPLIGAPFGSLFQVETGEDGSFLSRIFPIKQENSSNNVMDDSRDNREIVDTNEAQNLTGEEMKPCGG >Al_scaffold_0830_1 pep scaffold:JGI8X:scaffold_830:2320:2879:-1 gene:Al_scaffold_0830_1 transcript:Al_scaffold_0830_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MY72] MAVHGFPLAIQLFTFRSIPLLLQYLPHSEDDSTFLHKTLTRLPKCKSFHTSNILAVENDPSMVVLYPHPDGPPFGSSESEDDKVGNLERLIFAGFPFTKAFWCSGDGSLPSLYTSRRRKEITATSTTSDSDSSEMQRQRKSSNPKFINTAEDVTTLLD >Al_scaffold_0845_1 pep scaffold:JGI8X:scaffold_845:3598:4478:-1 gene:Al_scaffold_0845_1 transcript:Al_scaffold_0845_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MY82] TERRFRKTLDDYYEESNLSEGSTVLTDDLATGDLTESTTEQSGEVAEKFMIDESNLSDYIGKPVFQAEKIYKQTPVGVVMGLAWTSMGGSTLYIETTFVEEGEGKGGLHITGRLGDVMKESAEIAHTVARRIMLEKEPENKFFANSKLHLHVPAGATPKDGPSAGCTMITSLLSLASKKPVRKDLAMTGEVTLTGRILAIGGVKEKTIAAKRSQVKVIIFPEANRRDFDELAENVKEGLEVHFVNEYEQIFELAFGYDH >Al_scaffold_0848_1 pep scaffold:JGI8X:scaffold_848:3:634:1 gene:Al_scaffold_0848_1 transcript:Al_scaffold_0848_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MY83] VGSKPLQINSTAGFVSPGRRRRRSHGLMMRSNRGLRTTSALSELADTVAETGKSEITWQIIVGTIAGIIPFVVAGVEFSKRIIAQKRCEECGGTGLVFRDKKYFRCPECGGFLPWQSWRRFFTG >Al_scaffold_0851_1 pep scaffold:JGI8X:scaffold_851:2699:3139:1 gene:Al_scaffold_0851_1 transcript:Al_scaffold_0851_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MY85] NVNASFCYEFMKSYPQIATMDISDLAKFLINYDFQKTLDLMKHFQSLTNSTTDRSSKESYKICSELFSLGIHLLESSLKALATNDYDTLDRNVSDMSAYAEECGSELSSVIKPIPQLLKGVSIVENVGHIVLVILECFLRKEKTFC >Al_scaffold_0862_2 pep scaffold:JGI8X:scaffold_862:4018:4524:-1 gene:Al_scaffold_0862_2 transcript:Al_scaffold_0862_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L9X0] MRVHPIPRNLNNTLIHHHHHNPTREPGKNLRRLPHIFNRVLELPLRSEADVTVEERHDCFRFVAETVGLCGGDGEMRAYMVEIHPGITKIVVRTNGSSSLGLSLDELELDVWRFRLPESTRPELVTVACVDGDLIVTVPKNAEEEDDDDDGGGDFGQGMGSGRLVLVQ >Al_scaffold_0871_1 pep scaffold:JGI8X:scaffold_871:451:1630:1 gene:Al_scaffold_0871_1 transcript:Al_scaffold_0871_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MY93] ETFSLDGIIERSNKYNTKEHCPKGTVAILRQRNESKSVHLNTAEYSGQHFATIETMLDGSIYRGAEADISIHDLKLQNNQYSKCQIWLENGPPDQLNSIQAGWTVHPRLYGDSVTRFTIYWTVADFKKTAHFNNVVIINSQYKRVYVEDRKIRRYADSYRCFRVTYWGYTKSTGVAFSFGGPGGNCGV >Al_scaffold_0872_1 pep scaffold:JGI8X:scaffold_872:1812:2166:1 gene:Al_scaffold_0872_1 transcript:Al_scaffold_0872_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molecular chaperone Hsp40/DnaJ family protein [Source:Projected from Arabidopsis thaliana (AT2G40995) TAIR;Acc:AT2G40995] MAITMKSLIALFFFTVFLIISSVHCRMTTTTSPGYGIKQQDRQCFEHAPYLCRGGEGDCRMYCRDLDFSYGTCFSGVCCCQI >Al_scaffold_0877_1 pep scaffold:JGI8X:scaffold_877:50:365:1 gene:Al_scaffold_0877_1 transcript:Al_scaffold_0877_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MY97] NAIEQEIITVSGNSVGTSIGTSTQVAEINFVDVEKLDNNEDNAYNVSTPKPTTKRSLATSKDVQQSSTKPKLMSKAQIKKEKK >Al_scaffold_0879_1 pep scaffold:JGI8X:scaffold_879:1:514:-1 gene:Al_scaffold_0879_1 transcript:Al_scaffold_0879_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MYA1] MDHPEDETHSEKQDDEEALARLEEIKKSVEAKMTLRQNNLNPERPDSTYLRTLDSSIKRNTAVIKKLKQINEEQGLCL >Al_scaffold_0881_1 pep scaffold:JGI8X:scaffold_881:124:2328:-1 gene:Al_scaffold_0881_1 transcript:Al_scaffold_0881_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MYA3] VSEDPLTVKVHHLKDKPYDKDDDVIKATYFQVMSTLACSLHIWHFLRHIGEINYPRLADSGAGISGANKHQNQGFFEELDVHKRQELTLELVKKEVEINKIQESIAKVVEEKISGDRRRIILTEQINAIKKELGVETDEKSACSKFRGRIDPIKDKIPEHVLKVVEEELKSCSCLKPNFDVLRAEKMLDEDHYRLSEVKERILEFIAVGRLRGTSKKIIRLSGPPGVGKTSIGRSIARALDCKFFLFSVGGLFDVAEIKGHRRTCIGAMPGKMVQCLKNVGTENPLVLIDEIDKLGVRCHDGDPASAMLELLDPEQNANFLDHYLDITIGLSKVFMDIFSSLYICNFLSL >Al_scaffold_0881_2 pep scaffold:JGI8X:scaffold_881:3118:3914:-1 gene:Al_scaffold_0881_2 transcript:Al_scaffold_0881_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MYA4] TKEAVVEALIKAIADSGAKVIVSRSSICKMTLNLCELYKIMVLQITSEIDFTSFCCKAGAVASSQLFLPQHLGYTDSISITEIDGARLMIAEIGNSSTIVLCGTKWSLLNKQERYITQGIDTYKGTCISQGMFRHRDRSIVPWKTAMELLVTMKVYAKSHTRLENHAISKFVESLEYVLNNRPDSFTT >Al_scaffold_0883_3 pep scaffold:JGI8X:scaffold_883:3147:3906:-1 gene:Al_scaffold_0883_3 transcript:Al_scaffold_0883_3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MYA7] QSNDKLNGKEDGILTEMLESLFGRNDAVSKPVVVEISSFQSLCALLWRAITRARKLPSSKTTTFRMAVNCRHRLSPKLNPEYFGNAIQSVPTFATAGEVLSRDLKWCADQLNQSVAAHQDGRIRSVVADWEANPRCFPLGNSDGASNLTM >Al_scaffold_0891_2 pep scaffold:JGI8X:scaffold_891:2390:3453:-1 gene:Al_scaffold_0891_2 transcript:Al_scaffold_0891_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MYB0] LYLKRRRPPPSNPTELPRTSSRTNLFSRGNSIERVRASSRAVPLAKPSDSPYYIGLERVKTDPYDRIKNTDGIIQLGLAESTIIALRRAKRRNMERLVLACGGEAVNSVDDLTPDSLCWAGFVYEHVLGKERYTFVEQVKNPHSCTILIKGTQSQTSIS >Al_scaffold_0896_1 pep scaffold:JGI8X:scaffold_896:2880:3172:1 gene:Al_scaffold_0896_1 transcript:Al_scaffold_0896_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MYB1] MISLLSLSNLEQKETEHDGTEDELVEISVAQGVISGKDAFERVKLIGDDKAKELNNCFVY >Al_scaffold_0904_1 pep scaffold:JGI8X:scaffold_904:124:930:1 gene:Al_scaffold_0904_1 transcript:Al_scaffold_0904_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MYB3] KYRSHRKHLLAREAEAASWNLRRHATVAVAGVGGGGKKPWTAPALGYPPHVAPMHHGHFRPLHVWGHPTWPKHKPNTPASAHRTYPIPLVAAAPASWPGHPPYWHQQPLYPQGYGIASSNHSSIGVPTRQLGTH >Al_scaffold_0945_1 pep scaffold:JGI8X:scaffold_945:439:1505:1 gene:Al_scaffold_0945_1 transcript:Al_scaffold_0945_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MYC7] VGKTSLVPLINKGSSIVRPPQTIGCTVGVKQNLRYRSNNEDSADIAFEGEWELWRKRSMQNKVE >Al_scaffold_0962_2 pep scaffold:JGI8X:scaffold_962:3652:4080:1 gene:Al_scaffold_0962_2 transcript:Al_scaffold_0962_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MYD6] TRRRNEINLCFSQCSIICEAVVSDKSPFLKSTPRRTRSLESVRFYVALPLDTVSDCNTVNHTKAIAAGLKALKLLGVEGVDLPIFWGVAETESPGNNQWSGYLAIAEM >Al_scaffold_0975_1 pep scaffold:JGI8X:scaffold_975:1:598:-1 gene:Al_scaffold_0975_1 transcript:Al_scaffold_0975_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MYE2] MATHTISRSILCRPAKSLSLLFTRSFASSAPLVKIPASSLYTSSLLSRSRPLVAAFSSVFRGGLVSVKGLSTQATSSSLNDPNPNWSNRPPKETILLDGCDFEHWLVVVNPPEGDPTRDDIIDSYIKTLAQIVGSEDEARMKIYSVS >Al_scaffold_0987_1 pep scaffold:JGI8X:scaffold_987:1265:1721:1 gene:Al_scaffold_0987_1 transcript:Al_scaffold_0987_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MYE4] MLLKIKRVPTVVSNYQKDETVEEGGCGRNCLSKCCINGARLPLYTCKNLDTFVGEKLESPVTFLESLVLGEWEDRFQRGLFRYDVTACETKVLLSL >Al_scaffold_0994_1 pep scaffold:JGI8X:scaffold_994:118:2862:-1 gene:Al_scaffold_0994_1 transcript:Al_scaffold_0994_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MYE9] MGVNATFWRTLIGLYYIFTLVHTAVSTPPDDPVKCVSGNMNCTVTNSYGAFPDRSTCRAANVAYPKNEAELVSVVAAATQAGRKMRVTTRYSHSITKLVCTDGTDGLFISTKFLNHTVQANATAMTLTVESGTTLRQLIAEAAKIGLALPYAPYWWGLTVGGLMGTGAHGSSLWGKGSAVHDYVTEIRMVSPGSANDGFAKIRVLSEITTPNEFKAAKVSLGVLGVISQRSLTYTMKNDSDFEDQAVTFGKKHEFADFIWLPSQGKVVYRRDDEYPSTHRAMVCSIFCPSVHNSLRR >Al_scaffold_0994_2 pep scaffold:JGI8X:scaffold_994:3675:4160:-1 gene:Al_scaffold_0994_2 transcript:Al_scaffold_0994_2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MYF0] MRYVTSSPAYLGKETEALDFDLTYYRAKNPLAPRLYEDFIEEIEQIALFKYNALPHWGKNRNLAFDGVIKKYKNVPAFFKVKESYDPMGLFSSEWTNQILGIKGNVTIIKDGCALEGLCICSEDAHCAPTKGYLCRPGKVYIEARVCTRVDDISVIQSLSY >Al_scaffold_0998_2 pep scaffold:JGI8X:scaffold_998:1702:2506:1 gene:Al_scaffold_0998_2 transcript:Al_scaffold_0998_2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRQMKFERVGESDFLKTFTYQGGYGSYSSGESTKVIIENAVLWVDERSRDYLVVWSLECFFAYHKKGDNNKSWKVFQLKKNQGCSDMVFRESKLYVLSPSLNITIFDFSCGGSPNECASFTTPDGLTLFPLYGFGHLVITLSGEVLMIKPRAFAIPSFDIYKMDPKSSKWREIYSLGNEALLLDLGTTVAAKDVITFTIFLRGWLSNGFNTLQIYRQCLSRILVGFSQLFVANRCSKAI >Al_scaffold_1021_1 pep scaffold:JGI8X:scaffold_1021:2448:2808:-1 gene:Al_scaffold_1021_1 transcript:Al_scaffold_1021_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MYF7] MKLTFLFFTLLIFVSSCASILIKESSGEETAYYFNQAASPFDPYSLNQKLKQYWIGYCFDCARACMRKGKYIKRCNLERRLCRCSISKIH >Al_scaffold_1033_1 pep scaffold:JGI8X:scaffold_1033:201:611:1 gene:Al_scaffold_1033_1 transcript:Al_scaffold_1033_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MYG1] AERDPTPLHRAAKLIITSQLENGDFPQQEILGVFMNTCMLHYATYRNTFPLWALAEYRKAAFLTHQDL >Al_scaffold_1046_1 pep scaffold:JGI8X:scaffold_1046:2800:3746:-1 gene:Al_scaffold_1046_1 transcript:Al_scaffold_1046_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MYG4] CLVSGCKSSGRLEPDKCRQILPREVFDQWDDALSEAVLMRSKRLYCPYKDCSALLFIDKSEVKMKDSECPHCHRMVCVECGTKWHPEITCEEFQKLAGNERGRDNILLATMAKKKNWKRCYSCKLYIEKSQGCLYMKCSYCAMVCVVEWETAMLPSLLLR >Al_scaffold_1084_1 pep scaffold:JGI8X:scaffold_1084:725:1728:-1 gene:Al_scaffold_1084_1 transcript:Al_scaffold_1084_1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MYH1] MARIIFKGFHKLKYINLSNTVGFDGSFLRVLGHRCNDSPLETLILRNCFSLQESEVLKFLNSLIAGNFISIQYIDVSSNKGLACDGERRTSKPNFPLEKLKEERSDVTFVAEFPSETKSLTDGNILDAIYGSDNLI >fgenesh1_pg.C_scaffold_1000018 pep chromosome:v.1.0:1:82573:83367:1 gene:fgenesh1_pg.C_scaffold_1000018 transcript:fgenesh1_pg.C_scaffold_1000018 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVMNSSCIDFVMFSRGQHDEDNMSRRPWKRERSMPTQHHHHLNLSPNEDEELANCLVLLSNSGDAHGDHHKQHGHGKAKTVKKQKTAQVFQCKACKKVFTSHQALGGHRASHKKVKGCFASQDKEEEEEEEYKEDDDEDEDEDEEEEEDKSTAHIARKRSNAHECTICHRVFSSGQALGGHKRCHWLTPSNYLRMTSLHDHHHSVGRPQPLDQPSLDLNLACQEYSVDPTAMSVGMIERDGGGNSHNATSSSWLKLASGDWS >fgenesh1_pg.C_scaffold_1000020 pep chromosome:v.1.0:1:87206:90301:1 gene:fgenesh1_pg.C_scaffold_1000020 transcript:fgenesh1_pg.C_scaffold_1000020 gene_biotype:protein_coding transcript_biotype:protein_coding MEERHKCKLCWKSFANGRALGGHMRSHMLPSQPESASSSMADPLQDRESETESSKKPTRKRSRLNRRSNEEGKSETAGAAEVKIGVQELSESCTEQEPMSSVCDAATEEEDVALSLMLLSRDKWEKEERGKNNKWFECETCEKVFKSYQALGEHRASHRKRRAETDQLVSDELKKKKKKTSHHECPICSKVFSSGQALGGHKRSHASASNDESTIRRSGIIISLIDLNLPAPSEEEDMASSRLIRLLSRRDVVKEHKKSKGEGRMTISWRLASSTSLLSIHRTPTSAFISAMTFSSSSSSSSLELVLEYHNQTKHSFTGYARGPRGLDWANQPNPFRRYLSAPLLPLQHPNHDDDDNDDSPLYSCLFDSLPPPKPISLATISHLFYHSLALSAWKTTGSSTWPLRVNPSSGNLHPTEAYLIAPPIPSLSQSAFVAHYAPKEHSLEVRAHIPSSFFPENSFLIGISSIFWREAWKYGERAFRYCNHDVGHAIAALSIAAAELGWDLKLLDGFGADDLKRLMGLPEFQIPSSSGKGKLPEIEFEHPDCLLLVFPNGTSRGDLNLDYLGISSALRDFPSLEWNGNPNTLSKEHLCWDIIYRTAKAVEKPSLIYSTSSSFDAPFTSSALFSHTSYNKLTARQVVRTRRSAVDMDAVTCIDMSAFYQILMHCLPSGSTRGEPQKEQLALPFRALPWDTAEVHLALFVHRVLGLPKGLYFLVRNEDHLSDLKTATRPEFEWKKPDGCPADLPLYKLTEGDCQKLAKGLSCHQDIAGDGCFSLGMVARFEPALREKGSWVYPRLFWETGVIGQVLYLEAHAMGISATGIGCYFDDPVHEVLGIKDSSFQSLYHFTVGGPVVDKRIMTLPAYPGPTTTVA >fgenesh1_pg.C_scaffold_1000030 pep chromosome:v.1.0:1:140619:142191:-1 gene:fgenesh1_pg.C_scaffold_1000030 transcript:fgenesh1_pg.C_scaffold_1000030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7KP58] MSVTLHTNLGDIKCEIFCDEVPKSAENFLALCASGYYDGTIFHRNIKGFMIQGGDPKGTGKGGTSIWGKKFNDEIRESLKHNARGMLSMANSGPNTNGSQFFITYAKQPHLNGLYTIFGKVIHGFEVLDIMEKTQTGAGDRPLAEIRLNRVTIHANPLAG >fgenesh1_pg.C_scaffold_1000054 pep chromosome:v.1.0:1:229820:235338:1 gene:fgenesh1_pg.C_scaffold_1000054 transcript:fgenesh1_pg.C_scaffold_1000054 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALNDDDPVYVAVSEDVDESRSTLLWALRTLRVKKLHLLHVYQLISMTPSSSGLEQSEIDAIQELEQTSRNDTLLKYHDICIDEGVIEQDVDMSYFSANNVGEWIVELIYQNNIKKLIMGATADSHYSEGMVHIPSTKAEYVFRHAPHCCNIWLVCNGNLIQTRAGRFEHAGSAHSYSESSSSLHSLDSAPIPYGGAGRAERVTQPHALSSSEELSARGFESMYYEEQRRRLEIDELKREKKQRDKMRREAEDALSSSFGVSQILYNEEVIRRREVEAELNRAKAEIEDMKRVQKELEEQHYADCRLLEMFQKERDEAIKTTEELLRALEKGESSIPLQWSVSNEPPQCFICPISKPMVTPMKQMSSEDGSITEFSGKKKDRTQRRKERTSMAELIAMGNDVVHVAVKSDVRESRSTLLWALRNLGAKKVCILHVYQPKTASPAARKLEELEAIMYETLHDYFDFCQQEGVNEDDIYISCIEMNDVKQGILELIHEGKIKKLVMGAASDHHYSEKMFDLKSRKAKYVYQHAPNSCEVMFMCDGHLIYTKEANLEDCVDETESGAGQSKPKLFSSASPKCSAELVSAIVAYIDTRRDRDMLEPTASEDQSESERNDQLYRQLKQALMEVEESKREAYEECVRRFKAENTAVEAIRRAREYETMYNEEAKLRKEGKEALTKQRKMVEKTKQERDDALIIILNGRKLYNEELRRRVEAEEMLGKEKEEHERTKKEIEEVRAIVQDGTLYNEQLRQRKEMEESMKRQEEELEKTKKEKEEACMISKNLMQLYEDEVRQRKETEELVKRRREELEKVKKEKEEACSVGQNFMRLYEEEARRRKGTEEELSKVAAEKDAASSVCSEILLLLQSYTRRHGIPSGFSDEDSVTRQPPSYFICPISQEVMREPRVAADGFTYEAESLKEWLGNGHETSPMTNLRLAHNNLVPNHALRSAIQEWLQRNS >fgenesh1_pg.C_scaffold_1000067 pep chromosome:v.1.0:1:292057:292705:-1 gene:fgenesh1_pg.C_scaffold_1000067 transcript:fgenesh1_pg.C_scaffold_1000067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ64] MKSFSIIDLSLQRSSANFSVGIDHLIHDLDPLCKTLAELCCGRNYRQCWLLQKHNNQFMKQKQILRTTDVDIRLPLLVHVSREKRPGYIDPQITKIGVVEQQLHKVKTKTKPEIDK >fgenesh1_pg.C_scaffold_1000073 pep chromosome:v.1.0:1:318441:319257:1 gene:fgenesh1_pg.C_scaffold_1000073 transcript:fgenesh1_pg.C_scaffold_1000073 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLAKAKDFVADKATTIPKPEGSVTDVDLKDVNRDSVEYLAKVSVTNPYSHSIPIGEISFTFHSAGREIGKGKIPDPGSLKANGMTALDFPMVVPYSILFNLARDVGVDWDIDYELQIGLTIDLPVVGEFTIPISSKGEIKLPTFKDFF >fgenesh1_pg.C_scaffold_1000078 pep chromosome:v.1.0:1:335605:336924:-1 gene:fgenesh1_pg.C_scaffold_1000078 transcript:fgenesh1_pg.C_scaffold_1000078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ74] MGIRESTALGWPLFTSVGDFHGRQLHWRFHLVLVVLNSIGNNIDLLWTKMVWCGGVGDRLLGLMEFLVTNRSVGLSTAMGYAAMDVASLLMHESQQVSLFRRVSHGYLVLVIVMGLSGSEGHENLEMMMSLLTIHGWVCFNFWSPRRGVLVRVMIMWFIHGVFPGMLGVFDLETIIVDSDLVKICNPRCIWDPGITSKAYVVKISNDVYGVECTIGWAYTGIKPNFLLRAMAPIWTNWYRNVEIIKLITGATSLFYVMWSFKQRMPIRHLYHTRSSHKPGSASLLNSVNMTNTQMRRRRGRGRETDKIEMRNQKRD >fgenesh1_pg.C_scaffold_1000083 pep chromosome:v.1.0:1:359044:360465:1 gene:fgenesh1_pg.C_scaffold_1000083 transcript:fgenesh1_pg.C_scaffold_1000083 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNEPFVRNEVDDVTPKKPDESASASSLHGSDETPKQSTQSNQTDQGSPLVPLQESPNVKDEFPKLTGTIQSKKDLRTAYLKAPKATHGSMPKQSPQINKTFPMGQSSLHLQLQESHLSNSTTTHGSSPKPDLSSLSTTTATQEPSASAEPGSAHTRYRIRLPVFKELCSGVEFQWYGPQEPDLPSSSGKGTMPANSPCAPKVSAEPFTLSTSSPPRQASEQLSCDHQNSGDDSRTSEVAYPKLSIERQRKKDLRSAHLKTPTATHSNTTTTTTHGSTPSVDVPGTVTQEPDMSSASDDKRQDDEITAPDQDHSALDDNL >fgenesh1_pg.C_scaffold_1000109 pep chromosome:v.1.0:1:479138:481385:-1 gene:fgenesh1_pg.C_scaffold_1000109 transcript:fgenesh1_pg.C_scaffold_1000109 gene_biotype:protein_coding transcript_biotype:protein_coding description:T25K16.10 [Source:UniProtKB/TrEMBL;Acc:D7KQB3] MGKKNGSSSWLTAVKRAFRSPTKKDHNNDVEEDEEKKREKRRWFRKPSTQESPVKSSGISPPPPPQESVNANSQTSPETAPSYATTTPLSNAGNPPSAVVPIATSASKALAPRRIYYARENYAAVVIQTSFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLRCMQALVRVQYRVLDQRKRLSHDGSRKSAFSDSHAVYESRYLQEISDRQSMSREGSSAAEDWDDRPHTIDEVKAMLQRRRDTALRHEKTNLSQAFSQQMWRTVRNQSVGGDHEVELEEERPKWLDRWMATRPWDKRASSRASVDQRVSVKTVEIDASQPYSKTRTGSPSRIQRPSSPSRTSHHYQSRNNFSATPSPAQSRPIHIRSASPRCQRDPREDRDRAAYSYTSNTPSLRSNYSFTARSGCSISTTMVNNASLLPNYMASTESAKARIRSQSAPRYRPSTPERDRAGLVKKRLSYPVPPPAEYEDNNSLRSPSFKSVAGSHFGGMLEQQSNYSSCCTESNGVEISPASTSDFRNWLR >fgenesh1_pg.C_scaffold_1000116 pep chromosome:v.1.0:1:511272:518844:-1 gene:fgenesh1_pg.C_scaffold_1000116 transcript:fgenesh1_pg.C_scaffold_1000116 gene_biotype:protein_coding transcript_biotype:protein_coding MEPREDIIKPSYWLDACEDISCDLIDDLVSEFDPSSVAVNESTDDNGVINDFFGGIDHILDSIKNGGGLPNNGVSDTNEVSVTPQVIAKEVTVSVKENGLQKNGGTRDELSKEEGGKDRKRARVCSYQSERSNLSGRGQVNSRERDRFMNRKRTRNWDEAGNNKKRECYNYRRDGRDREGRGYWERDKVGSNELVFRSGTWEADHERGVKKESGRNRECDVKVEENKSKPEERKEKVVEEQARRYQLDVLEQAKAKNTIAFLETGAGKTLIAILLIKSVHKDLMSKNRKLLSVFLVPKVPLVYQQAEVIRNQTCFQVGHYCGEMGQDFWDARRWQREFESKQVLVMTAQILLNILRHSIIRMETIDLLILDECHHAVKKHPYSLVMSEFYHTTPKDKRPAIFGMTASPVNLKGVSSQVDCAIKIRNLETKLDSTVCTIKDRKELEKHVPMPSEIVVEYDKAATMWSLHETIKQMIVAVEEAAQASSRKSKWQFMGARDAGAKDELRQVYGVSERTESDGAANLIHKLRAINYTLAELGQWCAYKVGQSFLTALQSDERVNFQVDVKFQESYLSEVVSLLQCELLEGAAAEKVAAEVSKTENGNANDEMEEGELPDDHVVSGGEHVDEVIGAAVADGKVTPKVQSLIKLLLKYQHTSDFRAIVFVERVVAALVLPKVFAELPSLGFIRCASMIGHNNSQEMKSSQMQDTISKFRDGHVTLLVATSVAEEGLDIRQCNVVMRFDLAKTVLAYIQSRGRARKPGSDYILMVERGNVSHAAFLRNARNSEETLRKEAIERTDLSHLKDTSRLISIDTVPGTIYKVEATGAMVSLNSAVGLVHFYCSQLPGDRYAILRPEFSMEKHEKPGGHTEYSCRLQLPCNAPFEILEGPVCSSMRLAQQAVCLAACKKLHEMGAFTDMLLPDKGSGQDAEKVDQDDEGEPVPGTARHREFYPEGVADVLKGEWILSGKEICESSKLFHLYMYSVRCVDLGSSKDPFLTEVSEFAILFGNELDAEVLSMSMDLYVARAMITKASLAFKGSLDITENQLSSLKKFHVRLMSIVLDVDVEPSTTPWDPAKAYLFVPVSDNTSIEPIKGINWELVEKITKTTAWDNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHNIVFGQKSHPTYGIRGAVASFDVVRASGLLPVRDAFEKEVEEDLSKGKLMMADGCMVAEDLVGKIVTAAHSGKRFYVDSICYDMSAETSFPRKEGYLGPLEYNTYADYYKQKEASTLLILYCYHEDFHGLNPPPVLCKNEKQSLSMYCEISTSMTRIYVQDRLFFFFLHNLRYGVDLNCKQQPLIKGRGVSYCKNLLSPRFEQSGESETILDKTYYVFLPPELCVVHPLSGSLVRGAQRLPSIMRRVESMLLAVQLKNLISYPIPTSKILEALTAASCQETFCYERAELLGDAYLKWVVSRYLFLKYPQKHEGQLTRMRQQMVSNMVLYQFALVKGLQSYIQADRFAPSRWSAPGVPPVFDEDTKDGGSSFFDEEQKPVSEENSDVFEDGEMEDGELEGDLSSYRVLSSKTLADVVEALIGVYYVEGGKVAANHLMKWIGIHVEDDPDEVEGTVKNVNVPESVLKSIDFVGLERALKFEFKEKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTSLPPGRLTDLRAAAVNNENFARVAVKHKLHLYLRHGSSALEKQIREFVKEVQTESSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGKDTTAAWKVFQPLLQPMVTPETLPMHPVRELQERCQQQAEGLEYKASRSGNTATVEVFIDGVQVGVAQNPQKKMAQKLAARNALAALKEKEIAESKEKRVNGNAVENQDDENGNANKKNGNQTFTRQTLNDICLRKNWPMPSYRCVKEGGPAHAKRFTFGVRVNTSDRGWTDECIGEPMPSVKKAKDSAAVLLLQLLNKTYS >fgenesh1_pg.C_scaffold_1000119 pep chromosome:v.1.0:1:536480:538514:-1 gene:fgenesh1_pg.C_scaffold_1000119 transcript:fgenesh1_pg.C_scaffold_1000119 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC001 [Source:UniProtKB/TrEMBL;Acc:D7KQC4] MEDLVGYGFRPTDDELVGYYLHNKILGKNWLVEGAINEVNICSFDPWNLRFQSKIKSRDPMWYFFSRRENKYTNGNRQSRTTDSGFWKVTGEPVDVKDQWGTWCTTPGKIGHKRVLVFLKGRISDKTKSDWVIHEFHDDLLPEHERTYVICRLEYKGNDKSILSANATDPTPTFVPNMTSSAGSVVNQSRQGNSASYNTYSEYDSANHGQQNFNMQLPVQGNLGSLNHFSEYDSANLGGNFDMQQPVEGYAGSFNLLPEYDLANHGGQWLSDYINLQQQVPYLAPYENESEMIWKSVVEENFESLIDERTSMQEHYSDYRPKKPVTGVLPDDSSDTETGSMIFEDTSSSTDSVGSSDEPCHTPIDDIQPLNTSKPSHNYEAQEQPNQLKLPYQNKEKVIYSQKSEYEWKMAEDSIKKPLSNNTVKQSRIVLEKRSQRNAQWNYLKNMIIGVLLFISIIGSIILVG >fgenesh1_pg.C_scaffold_1000123 pep chromosome:v.1.0:1:558177:558994:-1 gene:fgenesh1_pg.C_scaffold_1000123 transcript:fgenesh1_pg.C_scaffold_1000123 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPVGFRFRPTDEEIVDHYLRVKNLESDTSHVDEVISTVDICSLEPWNLPSQSMIKSSDAVWHFFGRKENKYNRGDRQMRKTKSGFWKKTGVTINIMRKRGNREKIGEKKVLMFYFNKSLKSDWVMHEYHATFSSPNLMTYTLCKVKFKGESREGSVSSGSGIELTHSLIPHTNDFGVFSVETEEETQLEDAVRRAINNISTDDWDSLFNDDEQR >fgenesh1_pg.C_scaffold_1000130 pep chromosome:v.1.0:1:589088:590693:-1 gene:fgenesh1_pg.C_scaffold_1000130 transcript:fgenesh1_pg.C_scaffold_1000130 gene_biotype:protein_coding transcript_biotype:protein_coding MELGWRNDVGSFAVKDHDIEEKGRSDEDRLIHGLKWSYDNYFDHDQTDNHLQLVPEIHKVEEEVPKTDLLVVVPDEHSETGDHHDHISDNRYYLRNKHEKPKRRRSQVFSDEESDGFTREVPSVIRKGSKRRRSDELCNKMRTLQQLVPDCHKTDKVSVLDNAIEYMKSLQLQFKVMSMMGMNPYFPSAILDYGIMNNHQLTAMAVARQNVANQMMSSQLIPASNWPLPPFTNFSFPHSPNQPLFLTTPPPASSPQCLHGLVPCFPSFLDFSSHAMRRL >fgenesh1_pg.C_scaffold_1000131 pep chromosome:v.1.0:1:594032:595496:1 gene:fgenesh1_pg.C_scaffold_1000131 transcript:fgenesh1_pg.C_scaffold_1000131 gene_biotype:protein_coding transcript_biotype:protein_coding MADCVIFGGGNCGGPNCFQPSDFKLTIVVRLEENGDSIIGGTLKAIQERNRAPKENRDPLGSKVKVSWKLSSITKLKLQKGSVQSKNFPMMSDLMIKLLKLCHSSSGHDGIFLGGNYDAAGVALGRCVERTYETATQVNDFISRYAYK >fgenesh1_pg.C_scaffold_1000172 pep chromosome:v.1.0:1:756485:758576:1 gene:fgenesh1_pg.C_scaffold_1000172 transcript:fgenesh1_pg.C_scaffold_1000172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7KBC2] MESPLLILITLSFLFQSVVVSSQTLSNSSTICKTTPDPKYCKSVFPHSQGNVQQYGRFSIRKSLSQSRKFIRTVDRYIKRNAHLSQPAVIRALQDCRFLAGLTMDYLLTSFETVNDTSAKASFKTLSFPKADDIQTLLSAALTNEQTCLEGLTTAASSSATWTVRNGVALPLVNDTKLLGVSLALFTKGWVPKKKKRAGFAWAQPRSGSSTHTKPYRLFRNGALPLKMTEKTKAVYESLSRRKLSEGDGNGDGDDGSMVLISDIVTVSQDGTGNFTNITAAVAAAPNNTDGSAGFFLIYVTAGIYEEYISIAKNKRYMMMIGDGINQTVVTGNRSVVDGWTTFNSATFAVTAPNFVAVNITFRNTAGPEKPGVVFQNCNLYPRKPMPNQFNAITAQGRSDPNQNTGTSIQNCTIKPADDLVSSNYTVRTYLGRPWKEYSRTVYMQSYIDGFVEPVGWREWNGDFALSTLYYAEYNNTGPGSNTTNRVTWPGYHVINSTDAANFTVTGLFIEDDWIWKTGVPYTSGLIS >fgenesh1_pg.C_scaffold_1000186 pep chromosome:v.1.0:1:808213:809208:-1 gene:fgenesh1_pg.C_scaffold_1000186 transcript:fgenesh1_pg.C_scaffold_1000186 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNASEVRITCYHHCNQTFESQELAHKDEYKIYGYPYSTNTRRVLAVLHEKGLSYDPITVDLKAGDQKKPRFLSINPFGQVPVFLDGDLKLTESRAISEYIVTVHKSRGTQLLNYESYKKMGTQRMWMAIESFEFDPLTSILTLEQSIKPMYGLKTDYKVVNETEAKLEKVLDIYEERLKKSSFLASNSFTMADLYHLPNIQYLMDTHTKRLFENRPSVRRWVAEIIARPAWKRACDVKAWYHKKKN >fgenesh1_pg.C_scaffold_1000207 pep chromosome:v.1.0:1:912940:913637:-1 gene:fgenesh1_pg.C_scaffold_1000207 transcript:fgenesh1_pg.C_scaffold_1000207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCC0] MQLALDDPLVDFRVEFVLQTYDKTGVPKQLADDRIMEHINSWMEARVQTQTTYSVLVLFSHDADFADIMRIAQQRFRTVFIHKSNFDVDNGDNGGSDEGDDSGDSGDSGDEDGGRGQRRVRRRDPSYPPLGARRRGGVFYRSKKRKHPMRMEAVAAILVAWVINKCPVPVAFGELTDVSSDIQRVCCCHDNFRKHFASLSKFIYY >fgenesh1_pg.C_scaffold_1000229 pep chromosome:v.1.0:1:1000541:1004955:1 gene:fgenesh1_pg.C_scaffold_1000229 transcript:fgenesh1_pg.C_scaffold_1000229 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKGKGKNSGLLPNSFKIISSCLKTVSANATNVASSVRSAGASVAASISAAEDDKDQVTWAGFGILELSQHVTRHVLLLGYQNGFQVFDVEDASNFNELVSKRGGPVSFLQMQPLPARSGDHEGFGNSHPLLLVVAGDDTSGTGLGHSFSQNGSLARDGKSDSKAGDAINYPTTVRFYSLRSHSYVYVLRFRSSVCMIRCSSRVVAVGLANQIYCCDALTLENKFSVLTYPVPQPVRQGTIRVNVGYGPMAVGPRWLAYASKSSMTMKTGRLSPQTFTSSPSLSPSSSSGGSSIMARYAMESSKQLANGLINLGDMGYKTLSKYCQDMLPDGSTSPASPNSIWKVGGVTGSDAENAGMVAVKDLVSGALVSQFKAHTSPISALCFDPSGTLLVTASVCGNNINVFQIMPSRSHNAPGDLSYEWESSHMHLFKLHRGITSAIVQDICFSQQSQWVAIISSKGTCHIFVLNSSGSDAAIQPCEGEEPTRLPASSLSWWFTQSLSNNQQSLLPPPAVALSVVSRIKYSSFGWLNTVSNAATAATGKVFVPSGAVAAVFHKSVTHDHQLNSRTNALEHVLVYTPSGHVVQHELLPSVCTESPENGSTVQRTSHVQVQEDDLRVKVEPIQWWDVCRRSDWLETEERLPRSITEKQYDLETVSNNLTSHEVACLSLDINSHFGEDKYLISCSEKPPERSHCYLSNFEVKVTSGMLPVWQNSKISFHVMDSPRDSSSTGGEFEIEKVPAHELEIKQKKLLPVFDHFHSTKATLEDRFSMKCYHTSASGSHQVNGKICQDIINCHSKPGSVESAESSEEGLTKQMENLRDSDHLNNSFKSSLALYPTVNGIYKEIEKKNTNGWIENPVTANLSTLKETRITNGFTTPPIHTDSTVNEQMLSTGKPPMGFGFALHEEHCKAVADPKEEHLKKKLDEVTNGHHLNVNNIEKLQGDEMVYGMVSFVGD >fgenesh1_pg.C_scaffold_1000254 pep chromosome:v.1.0:1:1090733:1091529:1 gene:fgenesh1_pg.C_scaffold_1000254 transcript:fgenesh1_pg.C_scaffold_1000254 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPSDGDMTDEHETTESTEASSEDNGSIQKNRKIDTDSVVLSEEEEEEEKKDEDEDRCESDQVWGFDSFDDTDFDTEQSDDDVEFEWNRYLYHVYKSRGFKVDSEIVPKGSFNGCRPLDFDKKFMLNISGREYMDNMAKLALAKYNQHNQTNVMFDHVVRAVVKRCSGIKSYITFMAKESPQGDLIEYQAKTEWKAWQRNAHAILCRPALQMKPIPARYLPNPLPTDS >fgenesh1_pg.C_scaffold_1000266 pep chromosome:v.1.0:1:1150184:1150856:1 gene:fgenesh1_pg.C_scaffold_1000266 transcript:fgenesh1_pg.C_scaffold_1000266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD79] MANVTRKSSLAPEIQEMPPSANSLGSNDQLPTSTSPTLSQHILKKIPNPTVPAIRDVEHPPNDPTERVLNFVTRAHEFLRWALGSVILFVPIQYLIEAQKKEDKKPDGGFSPHQLISIIKASFIKFLFGLSRVPLLWLAGCVRDVSSGGLLSVILGCLGIRWCILRYCREEKKDVEAAILV >fgenesh1_pg.C_scaffold_1000279 pep chromosome:v.1.0:1:1222990:1226762:1 gene:fgenesh1_pg.C_scaffold_1000279 transcript:fgenesh1_pg.C_scaffold_1000279 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGKSKRGRGGSQKKRRDESESESESYTSDSDGSDDVSPPRSSRRKKGTTSSRRTRRHSSSDDSSDSDGGRKSRKRSSSQDVSEEKLKEYMSKKAQKKALRAAKKLKTQSVSGYSNDSNPFGDSNLTETFVWRKKIEKDVHRGVPLEEFSVKAEKRRHRERMTEVEKVKKRREERAVEKARHEEEMALLARERARAEFHDWEKKEEEFHFDQSKVRSEIRLREGRLKPIDVLCKHLDGSDDLDIELSEPYMVFKGLTVKDMEELRDDIKMYLDLDRATPTRVQYWEALIVVCDWELAEARKRDALDRARVRGEEPPAELLAQERGLHAGVEADVRKLLDGKTHAELVELQLDIESQLRSGSAKVVEYWEAVLKRLEIYKAKACLKEIHAEMLRSHLHRLEQLSEGEEDLEVNHGLTRVVEENEEEINGMNHSDAEEAFSPEPIAEEEEADEAAEAAGSFSPELMHGDDREAAIDPEEDKKLLEMKRMVVLEKQKKRLKEAMDSKPAPVEDNLELKAMKAMGAMEEGDAIFGSNAEVNLDSEVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKIKAPIYTIEKDGTSAETCMIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHLYFNFKRHRYRR >fgenesh1_pg.C_scaffold_1000285 pep chromosome:v.1.0:1:1249602:1252288:-1 gene:fgenesh1_pg.C_scaffold_1000285 transcript:fgenesh1_pg.C_scaffold_1000285 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPCS2 [Source:UniProtKB/TrEMBL;Acc:D7KDA1] MSMASLYRRSLTTPPAIDFASVEGKQIFNEALQKGTMEGFFRLISYFQTQSEPAFCGLASLSMVLNSLSIDPGRKWKGPWRWFDESMLECCEPLEIVKDKGISFGKVVCLAHSSGAKVEAFRTNQSTIDDFRKYVVKCSTSDNCHMISTYHRQVLKQTGTGHFSPIGGYNAERDMALILDVARFKYPPHWVPLKLLWDAMDSIDQSTGRRRGFMLISRPHREPGLLYTLSLPANFNQFIKWMAEIRRIEDVNQNLSSEEKSRLDSKQELLKQVQETKLFKHVDKFLSSVGYEDNLPYVAAKVYYKGDEILSGYESDESCCEETCVKCIKGLGEEKVTVVAYPSENDVFTALLLALPPQTWSGIKDQSLLQEMKQLISMVSLPNLLQQEVLHLRRQLEMLNRCQENKEDEILSAPA >fgenesh1_pg.C_scaffold_1000291 pep chromosome:v.1.0:1:1270789:1272486:1 gene:fgenesh1_pg.C_scaffold_1000291 transcript:fgenesh1_pg.C_scaffold_1000291 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSGCFGGRKNRRRQRRRESDQARDNKLAAESAKLDDRVHTVDEIPKSSVIPITEICDEAEEKCSPSSITRKRVTFDSKVKTYEHVVSEESVELSEEKNEEVESEKRSLKSSKTDDQSSSEIIEVASNSSGSYPSNHRYKNCRESDDDIEEDDFDCSDSDLDEDEEYYSDIGFSEDSLHIPTKKVCTEEIGDKTEETDAKLRRSNESVRDGNHYDGQGVLNPVENLTQWKSAKSKGRTMQKQSQKENSNFIADQEERRDSSSFGTDSQIDDITLSFKPKCRTEPKKLRNQELAVDASLSTWLSTSESGSECNSVSRYTSTPEKHKSSCYSKPVKINHDDRPVLCALTLEDIKQFSATSTPRKSPSKSPDETPIIGTVGGYWGNYSKAIDCGSASSFKGIPNTTSKYREDKSVNWHSTPFEARLEKALNNIDK >fgenesh1_pg.C_scaffold_1000294 pep chromosome:v.1.0:1:1282305:1289844:1 gene:fgenesh1_pg.C_scaffold_1000294 transcript:fgenesh1_pg.C_scaffold_1000294 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSEAMVSEGYTSAPYGDYNASAATVESTGQETAPIVDSSHSVNDDSVVNGTVPVENGNATDNVAVTAPAAEHGDNPGSTLSPEEERLWNIVRANSSEFNAWTALIDETERIAQDNIAKIRKVYDAFLAEFPLCYGYWKKFADHEARVGAMDKVVEVYERAVQGVTYSVDIWLHYCTFAINTYGDPDTIRRLFERALVYVGTDFLSSPLWDKYIEYEYMQQDWSRVAMIYTRILENPIQNLDRYFSSLKELAETRPLSELRSAEESAAAVVAGDASESAPSEPGGKADEGRSQVDGSTEQSPKLESASSTDPEELKKYVGIREAMYIKSKEFESKIIGYEMAIRRPYFHVRPLNVAELENWHNYLDFTERDGDFNKVVKLYERCVVACANYPGYWIRYVSKMRASGSTDLAENALARATQVFVKKQPEIHLFAARLKEKNGDIAGARAAYQLVHSEISPGLLEAVIKHANMEHRLGNLDDAFSLYEQVIAVEKGKEHSTILPLLYAQYSRFSYLVSRDTEKARRIIVEALDHVQPSKPLVESLIHFETIQPPPRQIDYLEPLVEKVIKPDADAQNIASASEREELSLIYIEFLGIFGDVEAIHKAEEQHVTLFLPHRSTSDLKKRSADDFLSSDRTKMAKTYNGTPPAQPVSNAYPNTQAQWSGGYAAQPQTWPPTQAAPAQPQQWNPAYGQQAAYGAYGGYPAGYTAPQAPTPVPQAAAYGAYPAQTYPTQSYAPPVAAAAPAAAPGFARSEKNMLGYKCLHWNNLIDLPPLKDPETFSLPASIPHWPPGQGFGSGTINLGKLQVIKITDFEFIWRYRSTEKNKSISFYKPKGLFPKDFHCLGHYCQSDSHPLRGYLLAARDLVDSLEQEEKPALVEPVDFTLVWSSNDSVEDECSSKSERGYFWLPQPPEGYRSIGFVVTKSSVKPELNEVRCVRADLTDKCETHNVIVTAVSESLGVPLFIWRTRPSDRGMWGRGVSAGTFFCRTRLVPAREDIGIGIACLKNLDTNVHAMPNVDQIQALIQHYGPTLVFHPGETYLPSSVSWFFKNGAVLCEKGNPIDELINENGSNLPQGGSNDKQFWIDLPCDDQQRDFVKRGNLESSKLYIHIKPALGGTFTDLVYWIFCPFNGPATLKLGLVDVSLISIGQHVCDWEHFTVRISNFSGELYSIYFSQHSGGEWIEAYDLEFIPGSNKAVVYSSKHGHASFPKAGTYLQGSTMLGIGIRNDTARSELFVDSSSRYEIIAAEYLGGNGVVAEPPWLQYMREWGPKVVYDSREEIERLVNRFPRTVRVSLATVLRKLPVELSGEEGPTGPKEKNNWYGDERW >fgenesh1_pg.C_scaffold_1000350 pep chromosome:v.1.0:1:1559372:1560351:-1 gene:fgenesh1_pg.C_scaffold_1000350 transcript:fgenesh1_pg.C_scaffold_1000350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KE62] MAFINRRAVRDRCSSLSKSFYTDEQYEKWSIKNSTLTMSLLTILMLFFMANPGTYMEDDTPLLDVAGISIFDELSLVAAYVCLWALIFDKTAFWVFIAVTCAMVALGGVFAICYDDNLDDPKFGEIKDKQTTDFIAALSTSNNPAAANALTTMALFALSTKPDDPVTKALGFRFSDFLCDGGASHSALIYMVTTSTSVIDPPVSVSCRGPEKTLNGGQFPVRDHVT >fgenesh1_pg.C_scaffold_1000377 pep chromosome:v.1.0:1:1690461:1694050:-1 gene:fgenesh1_pg.C_scaffold_1000377 transcript:fgenesh1_pg.C_scaffold_1000377 gene_biotype:protein_coding transcript_biotype:protein_coding description:TAFII59 [Source:UniProtKB/TrEMBL;Acc:D7KE95] MSIVPKETVEVIAQSIGITNLLPEAALMLAPDVEYRVREIMQEAIKCMRHSKRTTLTASDVDGALNLRNVEPIYGFASGGPFRFRKAIGHRDLFYTDDREVDFKDVIEAPLPKAPLDTEIVCHWLAIEGVQPAIPENAPLEVIRAPAENKIHEQKDGPPIDVRLPVKHVLSRELQLYFQKIAELAMSKSNPALFKEALVSLASDSGLHPLVPYFTNFIADEVTLCTTKVSNGLKDFRLLFNLMHIVRSLLQNPHINIEPYLYQLMPSVVTCLVSRKLGNRFADNHWELRDFASNLVALICKRYGPVYITLQSRLTRTLVNALLDPKKALTQHYGAIRGLAALGHNVVRLLILSNLEPYLSLLEPELDAEKQKNQMKNYEAWCVYGALLRAAGLCIHDRLKIFPPLPSPSPSFLRKGKGKIINTDPHKRKLSIDSSENQSPQKRLITMDGPDGVQSQDQSGSAPMQVDNPVENDNPPQNSIQPSSSEQASDANESESRNGKVKESGRSRAITMKAILDQIWKDDLDSGRLLVKLHELYGDRVLPFIPSTEMSVFL >fgenesh1_pg.C_scaffold_1000388 pep chromosome:v.1.0:1:1739600:1740293:-1 gene:fgenesh1_pg.C_scaffold_1000388 transcript:fgenesh1_pg.C_scaffold_1000388 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNNVTRFCKVTSACKDAAFYYLEGFDWNLEDAISGFLGDQLPPLKMRATPRRVNEWRYRSRSPLRRRYSATSVSELKFKMHQDEITITRSSDTAALATSLDDSRRELHDSDDISHGEKIVSIANPVNQEFKEEGSSVQATNICESTSIEIDLPSPDPDSDSD >fgenesh1_pg.C_scaffold_1000431 pep chromosome:v.1.0:1:1936916:1939486:-1 gene:fgenesh1_pg.C_scaffold_1000431 transcript:fgenesh1_pg.C_scaffold_1000431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol-1,4,5-trisphosphate 5-phosphatase CVP2 [Source:UniProtKB/TrEMBL;Acc:D7KF45] MKLAWSKKMVRKWFNIKSKTEEFQADDPTSARVEVEHRSSFSAEKAPSTIKNTKTEKLSKNWEQQARQRRMNYENPRIIDVQNYSIFVATWNVAGRSPPSDLNLDEWLHSSAPADIYVLGFQEIVPLNAGNVLGAEDNGPAQKWLSLIRKTLNNRPGTSGTSGYHTPSPIPVPMAELDADFSGSTRQKNSTFFHRRSFQTPSSTWNDPSIPQPGLDRRFSVCDRVFFSHRPSDFDPSFRGSSSSHRPNDDNGLGDSPSTVLYSPGSAANDNGYRIPWNSSQYCLVASKQMVGVFLTIWVKSELREHVKNMKVSCVGRGLMGYLGNKGSISISMLLHQTSFCFVCTHLTSGQKEGDELKRNSDVMEILKKTRFPRVKSSEEEKSPENILQHDRVIWLGDLNYRIALSYRSAKALVEMQNWRALLENDQLRIEQKRGHVFKGWNEGKIYFPPTYKYSRNSDRYSGDDLHPKEKRRTPAWCDRILWFGEGLHQLSYVRGESRFSDHRPVYGIFCAEVESAHNRLKRTTSYSTSRVQAEELLPYSRGYTELSFF >fgenesh1_pg.C_scaffold_1000487 pep chromosome:v.1.0:1:2231244:2232673:-1 gene:fgenesh1_pg.C_scaffold_1000487 transcript:fgenesh1_pg.C_scaffold_1000487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7KFB6] MEGGGMFEEIGCFDPNAPAEMTAESSFSPAEPPPTITVIGSNSNSNCSLEDLSEFHLSPQDSSLPASASAYVHQLHVNATPNCDHQFQSSMHQTLQGPSYPQQSNNWDNGYQDFVNLVPNHTTPDLLSLLQLPRSSLPPFANPSLQDIIMTTSSSVAAYDPLFHLNFPLQPPNGTFIGVDQDQTEIENQGVNLMYDEENNNLDNGLNRKGRGSRKRKVFPTERERRVHFKDRFGDLKNLIPNPTKNDRASIVGEAIDYIKELLRTIDEFKLLVEKKRTKQRNREGDDVIDENFKAQSEVVEQCLINKKNNALRCSWLKRKSKFTEVDVRIIDDDVTIKIVQKKKINCLVFVSKVVDQLQLDLHHVAGAQIGEHHSFLFNAKICEGSSVYASAIADRVMEVLEKQYMEALSTNNGYHCYSSD >fgenesh1_pg.C_scaffold_1000493 pep chromosome:v.1.0:1:2263100:2265674:1 gene:fgenesh1_pg.C_scaffold_1000493 transcript:fgenesh1_pg.C_scaffold_1000493 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding bromodomain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KG11] MASEPVNVGEGIDGARDKQSIKVYKRKGKGQRKQSPFFAFEASVGAIDKPEGQLDNENDVSPPETLARESVDPISVVKNSVEEAALGTNSQGDNVATIAKNSTVLGDDSDKVIDKPLIEAFPQAEPQDDTSLVAMETSVEVPSQRHKSQDDVNTVVVDENSIKEPPKILAQEDDSTVIVDKNPIEAPSQTLSVEDGNTLVVDKNPIEVSSEEDVHVVDADNLIKEAHPENFVERDATDAQQPAGLTSDSARATAAGSMPMEEDADGRIRIHVASTTKQQKEEIRKKLEDQLSVVRGMVKKIEDKEGEIGAYNDSRVLINTGINNGGGRILSGFASAGLPREVIRAPRPVNQLSISVLENTQGVNEHVEKEKRTPKANQFYRTSEFLLGDKLPPAESNKKSKSSSKKQGGDVGHGFGAGSKVFKNCSALLERLMKHKHGWVFNAPVDVKGLGLLDYYTIIEHPMDLGTIKSALMKNLYKSPREFAEDVRLTFHNAMTYNPEGQDVHLMAVTLLQIFEERWAVIEADYNREMRFVTGYEMNLPTHTMRSRLGPTMPPPPINVRNTIDRADWSNRQPTTTPGSTPTSATPSGRTPALKKPKANEPNKRDMTYEEKQKLSGHLQNLPPDKLDAIVQIVNKRNTAVKLRDEEIEVDIDSVDPETLWELDRFVTNYKKGLSKKKRKAELAIQARAEAERNSQQQMAPALVAHEFSREGGNTAKKTLPTPLPSQMEKQNNETSRSSSSSSSSSGSSSSSDSDSDSSSSSGSDQT >fgenesh1_pg.C_scaffold_1000497 pep chromosome:v.1.0:1:2274118:2275174:-1 gene:fgenesh1_pg.C_scaffold_1000497 transcript:fgenesh1_pg.C_scaffold_1000497 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAKYEAVTGVIWDIKRCPVPTGFDARRVGPCIRRLLENLGYTGPLTITAVGILTDVSDDFLRAITSTGITLDHVPYDYKSIVTVMYNWTDSNPPPANLMKSSGDTEALGEDKCSGKSESVPWVCSVCEDVDGQGLDEFKSHLSSQKHELEVSNWFPEKQQHSAPSESHDEEDQEEAVEAGVESPLDSHPNNSTTMLRKQPKKKRKKRST >fgenesh1_pg.C_scaffold_1000545 pep chromosome:v.1.0:1:2466563:2466871:1 gene:fgenesh1_pg.C_scaffold_1000545 transcript:fgenesh1_pg.C_scaffold_1000545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KG69] MVVMVISAMVVPALFRRRRSRSGDGGGSGDGGSAVVVPATEFWQWWFRRQSSDGGGADDESPAVVIPATELWRWWFRRRKTGSGGSGDGGPAVVLGWGGGDE >fgenesh1_pg.C_scaffold_1000553 pep chromosome:v.1.0:1:2501086:2501697:1 gene:fgenesh1_pg.C_scaffold_1000553 transcript:fgenesh1_pg.C_scaffold_1000553 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKASLISLKSKLSKSCNRLISFFHARFHNVNTTTQSSSSSLLSRLCFLNTDKEQRMSQIRPRSSPSSLIDDSKLLHSRKMFPLAVTQRNKKARTSQRHMLKEDGVKEACTNFENYMIHMIVEDGKLDDLMDMEELLYYWKNLNSPIFIDLVTRFYGELCTDLFPSDNDG >fgenesh1_pg.C_scaffold_1000572 pep chromosome:v.1.0:1:2581049:2582830:-1 gene:fgenesh1_pg.C_scaffold_1000572 transcript:fgenesh1_pg.C_scaffold_1000572 gene_biotype:protein_coding transcript_biotype:protein_coding description:F10K1.23 [Source:UniProtKB/TrEMBL;Acc:D7KGY5] MSESMITDQTYLFLNRVQDRRFDEESLRILELSLVAMNAKSFYEVRSRLRDFLKSESVAIFGELTGESMVAKLSVLEFFARAFALIGDMESCLAMRYEALNLRELKSPSCLWLRVSHSEWTKFAVQSMENGFPSIAGKASENALLSLKKDSLDEPKLEDYSEILNAAEKVRRLRDSAASLTSSHSVQARGAEYLRSKELRIQTRQTLPTKNTDCTGSNLFREGIKKRNERMLQDLRSIRMNRDLEPDTRCV >fgenesh1_pg.C_scaffold_1000578 pep chromosome:v.1.0:1:2607981:2611125:-1 gene:fgenesh1_pg.C_scaffold_1000578 transcript:fgenesh1_pg.C_scaffold_1000578 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSIRNICSSSSSLMSSSSWVRGACIGRGCFGAVSTAISKTNGEVFAVKSVDLTTCLPTQSESLENEISVLCSLKPHPYIVKFLGDGVSKEGTTTFRNIYLEYLPEGDVANYTAGGINDETLLQRYTACLVSALHHVHSHGFVHCDVKARNVLVSQSSMIKLADFGSAFRIHTPTAQITPRGSPLWMAPEVIRREYQGPESDVWSLGCTIIEIFTGKPAWEDHGIIDSLSRIGFSDELPVFPSKLSEIGRDFLEKCLKRDPNQRWSCDQLLQHPFLSQCHNSSPAESSPRCVLDWVNSGFDLEEEEEEVGRSEFEDAAMARICNLATTGGAIWESDCWVEVRCHSSEEEGTRMEYSESTRVVSAYNTSSDPYDDVPIIDVYVSPPGNGGSAAALLPYELVMLLHLLMEITVYTTCVFSKIVLIMNCCYQYHRDNNNIKLETLSFNHSLKFCLFAHPTKLSFTREQLNSRGNLGNTMATNEPEHEHRDEEEAGANEDEDTGAQVAPIVRLEEVAVTTGEEDEDAVLDLKSKLYRFDKDANQWKERGAGTVKLLKHKNTGKIRLVMRQSKTLKICANHFVKSGMSVQEHVGNEKSCVWHARDFADGELKDELFCIRFASIENCKTFMQKFKEVADSEEEKEESKDASDTAGLLEKLTVEETKTEEKTEAKAVETVKTEVKAEEKKESEAEKSGEEKKIEETGPST >fgenesh1_pg.C_scaffold_1000580 pep chromosome:v.1.0:1:2618017:2620047:1 gene:fgenesh1_pg.C_scaffold_1000580 transcript:fgenesh1_pg.C_scaffold_1000580 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRICDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYELVSSARLTFSFSENEKMMMVKGRSLMVSTNDYDGPSANARHNPPGRRGGGRRG >fgenesh1_pg.C_scaffold_1000581 pep chromosome:v.1.0:1:2635234:2636842:-1 gene:fgenesh1_pg.C_scaffold_1000581 transcript:fgenesh1_pg.C_scaffold_1000581 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAEKPILKLTLCVDPEKNKVVFADVGKDFVDVLFGFLALPMGTIVRLLEKYKQNQPPIGCFNNLYKSVLDMDKDDFITEASKGMLLYPRHVKEKQCKRLKLNIDDDMCNLMTEEFKVPEGGCDELFVTPKSAFIITENMDEVKHASIILARRTLLRLGYNDLSMLKFMSVDVNHEEAISLLHCLFSSETPFTDVFLNKHISCGMTRLHDMPTLPVQDGGHEAEAGSDGVLSLTSLSSSGGTNSMLPQHYGFHKSLIGVGYERNKLDVDVDDVEAISLLSAMNTKSDLVAEHTLPVSSGFVKRGSTFMISDDLIVTASNLSSTLGLLKKLDTDLDDIEEQVISITGAEAINLLKASLVTSTPLTTALGSLLLKKPKVESL >fgenesh1_pg.C_scaffold_1000595 pep chromosome:v.1.0:1:2687904:2689761:1 gene:fgenesh1_pg.C_scaffold_1000595 transcript:fgenesh1_pg.C_scaffold_1000595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 2 [Source:UniProtKB/TrEMBL;Acc:D7KH13] MAVGSMNVEEGTKAFPAKLTFQVFLCCVIAAVGGLMFGYDIGISGGVTSMDTFLLDFFPHVYEKKHRVHENNYCKFDDQLLQLFTSSLYLAGIFASFIASYVSRAFGRKPTIISASIFFLVGAILNLSAQNLGMLIGGRILLGFGIGFGNQTVPLFISEIAPAKYRGGLNVMFQFLITIGILAASYVNYLTSTLKNGWRYSLGGAAVPALILLIGSFFIHETPASLIERGKDEKGKQVLRKIRGVEDIELEFNEIKYATEVSTKVKSPFKELFTNRENRPPLVCGTLLQFFQQFTGINVVMFYAPVLFQTMGSGNNASLISTVVTNGVNAVATIISLVMVDLAGRKCLLVEGAIQMTATQMTIGGILLAHLKLVGPITGHAVPLIVLVLICIYVSGFAWSWGPLGWLVPSEIYPLEVRNAGYFCAVAMNMVCTFIIGQFFLSALCRFRSYLFFFFGVMNIAMGLFVVYYLPETKGVPVEEMAEKRWKTHSRWKKYFKD >fgenesh1_pg.C_scaffold_1000618 pep chromosome:v.1.0:1:2815357:2815972:-1 gene:fgenesh1_pg.C_scaffold_1000618 transcript:fgenesh1_pg.C_scaffold_1000618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase family protein [Source:UniProtKB/TrEMBL;Acc:D7KH42] MAANMMRPAFAYTVVYVKDVAKSVEFYSRAFGHNVRRLDESHRWGELESGQTTIAFTPLHQHETDDLTGKVQATQSARERAPIEVCFCYPDVDAAFKRAVENGAVTVSEPEDKEWGQKVGYVRDIDGIVVRIGSHVK >fgenesh1_pg.C_scaffold_1000622 pep chromosome:v.1.0:1:2837364:2838278:1 gene:fgenesh1_pg.C_scaffold_1000622 transcript:fgenesh1_pg.C_scaffold_1000622 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLVLIVLLVFVSLSLTCGYSPRTLRDAVSKQDDDSYVMATSDQESSMVVVEDIVKGRLLARTVVNKSASKSPPSKSLTKKHKSFKIGPLNVALGVFIGIIIAAVVVFIAIVGVICFFIVLCIRHKTKKSTEEGSATEKTNGSLENQTSKAEDHV >fgenesh1_pg.C_scaffold_1000630 pep chromosome:v.1.0:1:2875248:2875821:-1 gene:fgenesh1_pg.C_scaffold_1000630 transcript:fgenesh1_pg.C_scaffold_1000630 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKQNFILIKFLSSVASGSPKPNTKKNSSLLCACFTTSVCLGTRQGHYVLVIRAHDSSGRGSTLVTPPSSPAVKDGGGGKLSRWKLAVGNVIGSMIGAFLLRLLVVGMVVKGKKKTMREEMERRAYEEEALSVSMVGHVRANPNASRTRTVPRFDNTRYSKSVTSNNNRKLGRSSFLI >fgenesh1_pg.C_scaffold_1000649 pep chromosome:v.1.0:1:2954771:2957722:-1 gene:fgenesh1_pg.C_scaffold_1000649 transcript:fgenesh1_pg.C_scaffold_1000649 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDARSKINSTQGSSHSPKPSKFSVYRNPALAAASTANSLRPSKSVLLFIFLLSTASAFSLISFMAGEKWLTNALTFGKISQEAAYVTVKAWQGLVTLFCIGAMMALSKGISLHRAKFAARGETKSSSKETKDQFSLSNRQLELLGIKKKADQNVSDFPNSRPASKPSQSLEPLVPIHHLTLTGSAHKSSGGGDKLNSRTGNQISSFSTPSKQMGSPSMYLVPSSSPVSSNHASSGQDKAVSSPWSGRRSSTKDIATEEQLEQLLAEIDEPITESAGKMRTPPPTVGSFAMASPSTVGVQLVHLGLQSTPLRPVRMSPGAQKFTTPPKKGEGDFPNPMSLEGAIEGFIHLGVYPQIEDWRDRLRQWCSSVLLTPLLNKVETSHIQVMQTASKLGVNVTVSQVGSDLPTNGTATTALPVDRTKGWQPSYSLDEDALLHQLRANLVQAIDASMQKLRTENQQFQQQQQQQQAALIPVMQECVDAISEHRRLQGLMKGEWVKGLLPRSSIPADYTVQRIRALAEGTCVKNYEYNGRADTRERNKKWSLEPPTDSHLLLYLFCAFLEHPKWMLHLDPSSYTGTQASKNPLFLGVLPPKERFPEKYIAVVSGVPSTLHPGACVLAVDKQSPPTFALYWDKKVQFTLQGRTALWDSMLLICHRIKVGYGGVVRGMNLGSSALNILQVVDSDTDD >fgenesh1_pg.C_scaffold_1000650 pep chromosome:v.1.0:1:2958333:2959806:-1 gene:fgenesh1_pg.C_scaffold_1000650 transcript:fgenesh1_pg.C_scaffold_1000650 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKKPKEKKARSDAVVNKAGGRNTRSSGSKARKATNKVNIVKKVPEIHEISESSSSDSVEEAIRGDAAKKSNGVVSKGRNGKSGGVPTKTSKNREEDDGGVEDAKMFKFPMNRIRRIMRIDNSAPQIMQDAVFLVNKATLLHPELVDTKPISKSLYDLGSYCESLPDDCDLDYPEMFIKRFSEEAYDSSVQDKKKFIHYKHLSSVVSNDERYEFLADSVPEKLKAEAALEEWERGMTDAG >fgenesh1_pg.C_scaffold_1000655 pep chromosome:v.1.0:1:2974605:2977950:-1 gene:fgenesh1_pg.C_scaffold_1000655 transcript:fgenesh1_pg.C_scaffold_1000655 gene_biotype:protein_coding transcript_biotype:protein_coding MQMNPIWRLALGLLLLGSVIGSFAELDFGHCERLVKRWADSSSSREEHVNKDKRSLQDLLFFLHVPRTGGRTYFHCFLRKLYDSSEECPRSYDKLHFNPRKEKCKLLATHDDYSLMAKLPRERTSVMTIVRDPIARVLSTYEFSVEVAARFLVHPNLTSASRMSSRIRKSNVISTLDIWPWKYLVPWMREDLFARRDARKVKGVVIIEDDNPYDMEEMLMPLHKYLDAPTAHDIIHNGATFQRSPEHFHFISQIAGLTNNSHLSEAHEVRHCVQKFKSLGEPVLQVAKRRLDNMLYVGLTEEHRESASLFANVVGSQVLSQVVPSNATAKTKTLRSEASVTISESGSDKSNIQNGTSEVALNNTETKSGNMTVKTLMEVYEGCITHLRKSQGTRRVNSLKRISPANFTRGTRTRVPKEVIQQIKSLNNLDVELYKYAKEIFAKEHELVSNKLVSTSKRSIVDLPSELKSAFGEMGEEKLWKFVPVALMLLLIFLFFLFVNARRRRTSKVKI >fgenesh1_pg.C_scaffold_1000662 pep chromosome:v.1.0:1:3006069:3007489:-1 gene:fgenesh1_pg.C_scaffold_1000662 transcript:fgenesh1_pg.C_scaffold_1000662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase family protein [Source:UniProtKB/TrEMBL;Acc:D7KHY6] MVNYSSIQCIFFVALFSMFAIVSISSAASSHGEVEDEHEFNYKKNDEKGPERWGKLKPEWEMCGKGEMQSPIDLMNERVNVVSHLGRLNRDYKPSNATLKNRGHDIMLKFEYGAGSIKINGFRYELQQLHWHSPSEHTINGRRFALELHMVHEGKKGRMAVVTVLYKIGRADTFIRSLENELEGIAEMEEAEKNVGMIDPTKIKIGSRKYYRYTGSLTTPPCTQNVTWSVVRKVRTVTRKQVKLLRVAVHDDANSNARPVQPTNKRMVHLYRPIV >fgenesh1_pg.C_scaffold_1000663 pep chromosome:v.1.0:1:3016475:3018254:1 gene:fgenesh1_pg.C_scaffold_1000663 transcript:fgenesh1_pg.C_scaffold_1000663 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATNRT2:1 [Source:UniProtKB/TrEMBL;Acc:D7KHY7] MGDSTGEPGSSMHGVTGREQSFAFSVQSPIVHTDKTAKFDLPVDTEHKATVFKLFSFAKPHMRTFHLSWISFSTCFVSTFAAAPLVPIIRENLNLTKQDIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLSAPTVFSMSFVSGAAGFITVRFMIGFCLATFVSCQYWMSTMFNSQIIGLVNGTAAGWGNMGGGITQLLMPIVYEIIRRCGSTAFTAWRIAFFIPGWLHIIMGILVLTLGQDLPDGNRAALEKSGEVAKDKFGKIMWYAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFFDRFHLKLHTAGLIAACFGMANFFARPAGGYASDFAAKYFGMRGRLWTLWIIQTAGGLFCVWLGRANTLVTAVVAMVLFSLGAQAACGATFAIVPFVSRRALGIISGLTGAGGNFGSGLTQLIFFSTSHFTTEQGLTWMGVMIVACTLPVTLIHFPQWGSMFLPPSKDPVKGTEEHYYGSEWNEQEKERNMHQGSLRFAENAKSEGGRRIRSAATPPENTPNNA >fgenesh1_pg.C_scaffold_1000665 pep chromosome:v.1.0:1:3024380:3026615:1 gene:fgenesh1_pg.C_scaffold_1000665 transcript:fgenesh1_pg.C_scaffold_1000665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase [Source:UniProtKB/TrEMBL;Acc:D7KHY9] MGSYSIAIAISRISPLIRFVKPYSTGFSFITCPCNSTRRPKRFDQLRVFSMASEARESPANNPGLSTVRDEATKGYIMQQTMFRIKDPKASLDFYSHVLGMSLLKRLDFSEMKFSLYFLGYEDTTTAPADPTERTVWTFGRPATIELTHNWGTESDPEFKGYHNGNSEPRGFGHIGVTVDDVHKACERFEELGVEFVKKPNDGKMKNIAFIKDPDGYWIEIFDLKTIGTTTVNAA >fgenesh1_pg.C_scaffold_1000684 pep chromosome:v.1.0:1:3116111:3118824:-1 gene:fgenesh1_pg.C_scaffold_1000684 transcript:fgenesh1_pg.C_scaffold_1000684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein [Source:UniProtKB/TrEMBL;Acc:D7KI09] MSLMNPYKKVLSFESPSFIEWLKPPSSTSSQSILYRMKTRDAISRSNHHQSQMNMLEPLNTSIQCLPLLNKLMENKSRQALDDIKEENKDDVVTLQIGFPKYHRGSSDDDSDTTFDHQKKPIKREIIEDGVVMMKKRRKMKFDEEITDSDVEVCGKRFWIPSPAQIHVGPMQFACSICSKTFNRYNNMQMHMWGHGSEFRKGADSLKGTTQPAAILRLPCYCCAEGCKNNINHPRSKPLKDFRTLQTHYKRKHGSKPFSCGKCGKALAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIRSFGSGHSPLPSLSFDSFEEDTECVTTE >fgenesh1_pg.C_scaffold_1000697 pep chromosome:v.1.0:1:3172991:3175276:1 gene:fgenesh1_pg.C_scaffold_1000697 transcript:fgenesh1_pg.C_scaffold_1000697 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVREIVREGRRVGKEDPRRVVHAFKVGLALALVSSFYYCQPLYDNFGVNAMWAVMTVVVVFEFSVGATLGKGLNRAVATLVAGGLGIGAHHLASLSGPTVEPILLAIFVFVQAALSTFVRFFPRVKARYDYGVLIFILTFALISVSGFREDEILDLAHKRLSTVIMGGVSCVLISIFVCPVWAGQDLHSLLASNFDTLAHFLQEFGDEYFEATEDGDIKEVEKRRRNLERYKSVLNSKSNEEALANFAKWEPRHGQFRFRHPWKQYIAVGALLRQCACRIDALNSNINSDMQIPMDIKKKLEEPLRRMSSESGKSMKEVSISLKKMTKSSSFDIHVVNSQSACKTLSTLLKSGILKDVEPLQMISLMTTVSLFIDIVNLTEKISESVHELASAAKFKNKTKPSRSDSGRIGHDMPNKSHDDHHRHRVVTILCDVDTSNNVDQSHGEISVDSCHHVAIKIDDDDSIHEKHEDGDIHVHTSRVSCEHTNASDLLVSGVKKN >fgenesh1_pg.C_scaffold_1000714 pep chromosome:v.1.0:1:3259165:3265112:1 gene:fgenesh1_pg.C_scaffold_1000714 transcript:fgenesh1_pg.C_scaffold_1000714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji family protein [Source:UniProtKB/TrEMBL;Acc:D7KIT8] MGTELMRICVKEDSDDLPSVPPGFESYATFTLKRVVPGTSDKAKTAAIESVSATEQAKMEVESDEAKAARAVRRRPWINHSGCDDDDDDGAKIDNAASQNPDQSCAVKLSLPKGVVRGCEECKDCQKVTARWHPDEARRPDLEDAPVFYPTEEEFEDTLSYIAKIRPEAEKYGICRIVPPPSWKPPCPLKEKQVWEGSKFTTRVQRVDKLQNRSSMKKISKLSNQMRKKKRKCMKMGMDSVTNGVSDPCSVSTGMSELETFGFEPGPGFTLKDFQKYADEFKAQYFKKSETSTDDKCKVDISIDCWEPALEDVEGEYWRIVDKATEEIEVLYGADLETGVFGSGFPKTSSSHNASSSEEKYAKSGWNLNNFPRLPGSLLKYEGSDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGAPKLWYGVGGKDAVKLEEAMRKHLPDLFEEQPDLLHKLVTQLSPSKLKTAGVPVHRCVQHAGEFVLTFPRAYHAGFNSGFNCAEAVNVAPVDWLPHGQIAIELYCQQGRKTSISHDKLLLGAAREVVKADWELNLLKKDTVDNLRWKAFSGKDGILAKTLKARIDMERTRREFLCNSSLALKMHSNFDATNERECCICFFDLHLSAAGCRCSPEKYSCLTHVKQLCSCPWVTKYFLFRYDIDELNVLVEAVEGKLSSVYRWARQDLGLALSAQVSGSKMEIDEEEKVHKDLSPQVAALSGKDLQLKITSREDLSKELEKTSKLSDINLLLKDKEEQLTSSHCMKPVKEETVYDSSDPNVSGCQPSEEGIISVTAAKSASGKKNSQSLPNDVILLSDDEHDIPRKRGSVRRDAISSGKQLEVRDRPTHVLALEASVKIAAPICQRKGDSLRDTRTTISLPTNDQRKMSRDVPSSISHAEVKAEAAGLAQDICNRMDTNSHGGGKPTSCKSKNSGGVAIVDVVDGTRSNSGTPSCSQNNSPDKFIRQKGPRIAKVVRRINCNVEPLSYGCVLSGKSWCNRRAIFPKGFRSRVRYMNILDPTNMCFYISEILDAGRNSPLFMVYLESNPGEVFVHLSPTRCWEMVKDRVNQEISKQHKAGKSDLPPLQPSGSPDGFEMFGYSSPAIVQAIEALDVTRVCTDYWDSRPYSRPQVQFPANPLLREANTSVRSDVAKLQLNPGHHSLPTGINSILKVLFKKANLEELSSLQQVLSETNSNLVTEIVKEEIQNRR >fgenesh1_pg.C_scaffold_1000720 pep chromosome:v.1.0:1:3286748:3287798:-1 gene:fgenesh1_pg.C_scaffold_1000720 transcript:fgenesh1_pg.C_scaffold_1000720 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLMQNPVLHELKKQASFFIKEKIKTARLAVTDVTAEELLTEEVTGSDHSSIDSRSMAVITRASFEVDQFQRIVKILRQRMVMFDRREWRGMYNTLSMLNHLLLNGPLSVFNEFQHEKVIIEDAIKIEWIDERRFDCGLKVRNIAEKVLRLLEDDMFFKDERERNRKQSIGRITGFGNSSFTIHSETNNGRDGSLMLSNHQTCENDCIMDDHPFVENEHKTAPLLLSSSTLS >fgenesh1_pg.C_scaffold_1000726 pep chromosome:v.1.0:1:3326113:3327278:-1 gene:fgenesh1_pg.C_scaffold_1000726 transcript:fgenesh1_pg.C_scaffold_1000726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin subunit 4 [Source:UniProtKB/TrEMBL;Acc:D7KIV3] MQQQGSKSGSEMEVTWEDQQNINTFSRLNNRFHDLDDEIKSAKEKCENLDDAGNELILADEEMVRFQIGEVFAHVPRDDVETKIEEMKEATCKSLEKLEEEKKSIVTQMAALKKVLYAKFKDSINLEED >fgenesh1_pg.C_scaffold_1000741 pep chromosome:v.1.0:1:3403038:3404481:1 gene:fgenesh1_pg.C_scaffold_1000741 transcript:fgenesh1_pg.C_scaffold_1000741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate/bisphosphoglycerate mutase family protein [Source:UniProtKB/TrEMBL;Acc:D7KIW7] MVNEKKILPKRIILMRHGESAGNIDAGAYETTPDHKIPLTEEGRAQAREAGKKMRALISTHSGSACGENWRVYFYVSPYERTRTTLREVGKGFSRKRVIGVREECRIREQDFGNFQVEERMRAVKETRERFGRFFYRFPEGESAADVYDRVSSFLESMWRDVDMNRHQVDPSSELNLVIVSHGLTSRVFLTKWFKWTVEEFERLNNFGNCEFRVMELGASGDYTFAIHHTEEEMLDWGMSKDMIDDQKERVDGCRVTSNDSCSLHLHEYFDLLDVTDDDEE >fgenesh1_pg.C_scaffold_1000770 pep chromosome:v.1.0:1:3536331:3537679:1 gene:fgenesh1_pg.C_scaffold_1000770 transcript:fgenesh1_pg.C_scaffold_1000770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta [Source:UniProtKB/TrEMBL;Acc:D7KJN2] MTFMIPIENGNGVKNAEADSQRTLYPYVTGTSVVAIKYKDGILMASDMGGSYGSTLRYKNIERMKAIGKHSLLGASGEISDFQEILRYLDELMLNDNMWDDGNSLGPKEVLNYLTRVVYNRRNKFNPLWNTLVVGGVKYGEKYLGMVSMIGVSFEDNHVATGFGNHLARPILRDEWREDLSFEEGVKLLEKCMRVLLYRDRSAINKLQIAKMTEEGVTVSEPYSLKTFWDFKAFTNPTVGAEGSW >fgenesh1_pg.C_scaffold_1000783 pep chromosome:v.1.0:1:3588687:3590732:1 gene:fgenesh1_pg.C_scaffold_1000783 transcript:fgenesh1_pg.C_scaffold_1000783 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7KJP8] MATVSLHSHSFILVLFPVILILRHNLAVAGGCRLPPVIFNFGDSNSDTGGLVAGLGYSIVLPYGRSFFERSTGRLSDGRLVIDFLCQSLNTSLLNPYLDSLVGSKFQNGANFAIVGSSTLPRYVPFALNIQLMQFLHFKSRALELASTSDPLKEMLISDSGFRNALYMIDIGQNDIADSFSKGLSYSRVVKLIPNVISEIKSAIKILYDEGGRKFWVHNTGPLGCLPQKLSMVHSKAFDKHGCLASYNAAAKLFNEGLDHMCRELRMELKEANIVYVDIYAIKYDLIANSNSYGFEKPLMACCGYGGPPYNYNVNITCGNGGSQSCEEGSRFISWDGIHYTETANAVIAMKVLSMQYSTPPTPFHFFCGG >fgenesh1_pg.C_scaffold_1000822 pep chromosome:v.1.0:1:3721247:3722429:-1 gene:fgenesh1_pg.C_scaffold_1000822 transcript:fgenesh1_pg.C_scaffold_1000822 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELKKSFSWEIDNLSDRNHDMISSEPFSSGGCEWYLIVHPKGHPGYDHHLSLFLSVLNLRSLRLGWHRRVRCFFVILNQSGKELCRTTNGGRCTLFCAEAPQWGLMKMLPLAKLLEDDDNNKLTIQVYLEVVEVVHQGQSTENYILPYNGVQILASQALSWTHILSRHPDFEVDFVPKYEELRSVYLTLFFCLIETLSKSPQSLSAAELTNAQNQLSELTKVGFKLDWLKSKLEEVSLERNKAVTDGCHVLEERVKNVELTLSGLQVKLDKDKIKYTAAAAGVSSFGFIGFVIKRFFLSCFSV >fgenesh1_pg.C_scaffold_1000828 pep chromosome:v.1.0:1:3741506:3742345:1 gene:fgenesh1_pg.C_scaffold_1000828 transcript:fgenesh1_pg.C_scaffold_1000828 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSSSWSIYSPRDGESEGPWRSSTSMNAISFGFVATAILISMFLIMAIFEHLFRPENSSFDSPHQIRQRQRDGSSQFQKLADQASMVPVNTVVDVSVVMPGEKLPSHIALPAPLPCRREGIHWPLHL >fgenesh1_pg.C_scaffold_1000833 pep chromosome:v.1.0:1:3758946:3760091:-1 gene:fgenesh1_pg.C_scaffold_1000833 transcript:fgenesh1_pg.C_scaffold_1000833 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPUP16 [Source:UniProtKB/TrEMBL;Acc:D7KJV3] MEEFQGPEPRSQMMSENSRSLELNQRKWWISVFFCGFMIFTGDSLVMLLLNFFYVQDNRSESDQDRQYKGTWTQALIQNAAFPILIPFFFKFSSPNLETVSNQTNNGWFRVLPLYVSLGVLVSVYSKLYALAKLYVGWGILVSTQLILTSLFSAFINRLKFNRWIIISIIFTLAADFFGSPAFAGTPDEDETDAYDIKAWLILIFPTLAFSLSLCLMQLGFEKVLVKTKRYGNKKVFRMVLEMQICVSFIATLVCTVGLFASGEFKELKGDSERFKKGKTYYILSLVGLALSWQVWAVGLLGLVLLVSGLFTDVVHMCASPVVALLVVLAFDFMDDDFGWQRRGALLGAVLALASYFYSLHKTKKKEIAELNKRENNNSEA >fgenesh1_pg.C_scaffold_1000836 pep chromosome:v.1.0:1:3768986:3772718:-1 gene:fgenesh1_pg.C_scaffold_1000836 transcript:fgenesh1_pg.C_scaffold_1000836 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKLQLHDKGNHVVLDNGIARVTLSKPDGIVTGIEYNGIDNLLEVLNEEVNRGYWDLVWGGSGTAGGFDVIKGTNFEVIMKNEEQIELSFTRKWDPSQEGKAVPLNIDKRFVMLRGSSGFYTYAIYEHLKEWPAFSLAETRIAFKLRKEKFHYMAVTDDRQRFMPLPDDRLPDRGQALAYPEAVLLVNPVEPQFKGEVDDKYQYSCENKDITVHGWICTEQPSVGFWLITPSHEYRTGGPQKQNLTSHVGPTALAVFVSAHYTGEDLVPKFSEGEAWKKVFGPVFVYLNSSTDDDNDPLWLWQDAKSQMNVEAESWPYSFPASDDYVKAEQRGNVVGRLLVQDRYVDKDFIAANRGYVGLAVPGAAGSWQRECKEYQFWTRTDEEGFFYISGIRPGQYNLYAWIPGFLGDYKYDDIITITSGCYIYVEDLVYQPPRNGATLWEIGFPDRSAADFYVPDPNPKYINKLYQNHPDRFRQYGLWERYAELYPDKDLVYVVGSSDYRKDWFYAQVTRKKDSKTYQGTTWQIKFELKNIDKNHSYTLRVAIASATFSELQIRVNDANASPLFTSGLIGRDNSIARHGIHGLYWLFNVEVAGSKLVEGENTLFLTQPRSTSPFQGIMYDYIRFEAPS >fgenesh1_pg.C_scaffold_1000840 pep chromosome:v.1.0:1:3782673:3785233:-1 gene:fgenesh1_pg.C_scaffold_1000840 transcript:fgenesh1_pg.C_scaffold_1000840 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOPT2 [Source:UniProtKB/TrEMBL;Acc:D7KJW0] MAAIELHKPEINADDDEDESPVEEVRLTVSNHDDPSLPVWTFRMWFLGLLSCILLSFLNTFFGYRTQPLMITMISVQVVTLPLGKLMARVLPETKYKIGSWEFSFNPGPFNVKEHVLISMFANAGAGFGSGTAYAVGIVDIIMAFYKRKISFLASWILVITTQILGYGWAGIMRKLVVDPAQMWWPTSVLQVSLFRALHEKDHARMSRGKFFVIAFVCSFAWYIFPAYLFLTLSSISWVCWAFPKSITAQQLGSGMSGLGIGAFALDWSVIASYLGSPLVTPFFAIVNVLVGYVLVMYMVIPISYWGMNVYEAHKFPIFSSDLFDKQGQLYNISTIVNNKFELDMESYQQEGRVYLSTFFAISYGIGFAAIVSTLTHVALFNGKGIWQQVRASTKAKVDIHTRLMKKYKDIPSWWFYGLLAISLVLSLVLCIFMKDEIQMPWWGLLLASFMAVTFTVPVSIITATTNQTPGLNIITEYLMGVLLPGRPIANVCFKTYGYISMSQAISFLNDFKLGHYMKIPPRSMFLVQFIGTVIAGTVNISVAWYLLTSVENICQKELLPPNSPWTCPSDRVFFDASVIWGLVGPKRIFGRLGNYPALNWFFLGGLIGPLLVWLLQKAFPTKTWISQINLPVLLGATAAMPPATSVNFNCWIIVGVIFNYFVFKHCKKWWQRYNYVLSAALDAGLAFMGVLLYFSLTMNGKSIEHWWGAKGENCPLASCPTAPGIHVEGCPVF >fgenesh1_pg.C_scaffold_1000849 pep chromosome:v.1.0:1:3833827:3835804:1 gene:fgenesh1_pg.C_scaffold_1000849 transcript:fgenesh1_pg.C_scaffold_1000849 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGPCSEEDCFILLKQFEHVLESDPLIDEVGFIHPSQFNLLDEEAGFSNVYQNELQPNNGTSRKFWNQDHKLGISTDILVQLCKYAKHAFLLALDEYKRHGNISCSPSTPESEVMKHSQAVLLLSSDFGTAWNARKLILSKKDQLSAFTQELRLSGLILSNSPKSESTWSHRRWIIKMISLGFPTLQVIITKESELVESIGEALWLHRRFLSLNWIMYFTCNDSDVSPEPGESIIMNEEIAIFINNEIRLLESAMTVPDTKFEDFQAQALHTGVYMLWLTKKMPELWRMLEEKLGTEKVKCVMSTIAQERPSHHLVNV >fgenesh1_pg.C_scaffold_1000868 pep chromosome:v.1.0:1:3936132:3937781:-1 gene:fgenesh1_pg.C_scaffold_1000868 transcript:fgenesh1_pg.C_scaffold_1000868 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSISVEIHESGFTAFSTIVPGAYSGLGAHYFNTKLTLIGRVTTDNTLTTKMKAVITDKLTVKAQTQLIDESRLFDTLVSFEYMALNYKAQFQLGSKGLTAATYIQVSLATDFVYNCFSRDVKASVGRDCEISRFWSRVQGKIDSNGVAYACLEKQLKMGLECVLSASLDHKNKDYRLGLGLAYGSPPLSSDDLQR >fgenesh1_pg.C_scaffold_1000874 pep chromosome:v.1.0:1:3977219:3978323:-1 gene:fgenesh1_pg.C_scaffold_1000874 transcript:fgenesh1_pg.C_scaffold_1000874 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGNHMVTEASVEKHEVELEEGELSPPPVVSKAILRLMDRMASSLYISDGHCVIKCCTREYKTTKKHSEKKWKAPDNTTLDSYPRFMDALYNLLDGSIDNTKFEDECRAIFGEQSYVLFTLDKLVQKFVKHLHAVASDETDTKLLQLHAYENYRKPGRLFDIVYHENACAILHEANIYQIRYSSAETRLSIQLMNSGNNQPEVMGVATTG >fgenesh1_pg.C_scaffold_1000889 pep chromosome:v.1.0:1:4028979:4029350:-1 gene:fgenesh1_pg.C_scaffold_1000889 transcript:fgenesh1_pg.C_scaffold_1000889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKQ3] MAKKINTKLRLAQYDPWVITTTVKVEETPYIIRLPTKETQEEIIQRWGYKFDINKCVVVKLYDWDTEKTYDITINYDEVGYFLNLEWLLVVFDRQLKEGDKVGFFWDNLNPTLNFKVLQKKVA >fgenesh1_pg.C_scaffold_1000928 pep chromosome:v.1.0:1:4225424:4225919:-1 gene:fgenesh1_pg.C_scaffold_1000928 transcript:fgenesh1_pg.C_scaffold_1000928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLH9] MVRVKGRDNRVYESTEGSREGAANSDPTKASTDASVPTEASTDAAIPKDAPTDAASPTEAPMDAAVLPTEEPTDAAVIVPTVESTEATTEELEVFSVPELSDKEEKEEASESKEEEVGEHDKEVCELKKRELLMLRVKMLLVIMRRKMLLVLLR >fgenesh1_pg.C_scaffold_1000929 pep chromosome:v.1.0:1:4229685:4232242:-1 gene:fgenesh1_pg.C_scaffold_1000929 transcript:fgenesh1_pg.C_scaffold_1000929 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEQGSPSQEHITPSQEDLSDSSEEEDTKQIILDSSPENEEYEDLTITQATPLVSPSIHPIGRYTVADVAERVGPSVVRVVVPSSDKRKKSQGSGFFIDRKRVLTCAHVVVDTDEEGEILSYPDKVVLKTHNGNFVDAKLLTHTVSLHYDIAVLEITSEETFQPVMFGNSRQVLLGGWVIAFGSPNYMDRTLSLGTINCIDRTDVELRLPKHKRIFYFQCDCMLNVGNMGGPLVDLEGNVIALISMAFVGGIGISVPIHTVRKFLKDKF >fgenesh1_pg.C_scaffold_1000931 pep chromosome:v.1.0:1:4237776:4239208:-1 gene:fgenesh1_pg.C_scaffold_1000931 transcript:fgenesh1_pg.C_scaffold_1000931 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRSFLRIAPMLLHSRLKAKISPPLLLRRFYSTTTFDPPSSSDVETPSDPTFPRFNSEILDSSLLHSRLKAKISPPLLLRRFYSTTTFDPPSSSDDETPSDPSFFPENEEYEDLTITQATPLVSPSIHPIGRYTVADVAERVGPSVVRVVVPKRSFSSDERKGGQGSGFFIDRKRILTCAHVVAHISKRGRILSFADKVKIKALNVDGVINAYVLRNSVSVHLDVAVLQITSTKEFKPLEFGISRDMRWGDWVVAFGSPLKLDRTITAGVISCIDRTDVELRLPKLERTYFQSDCPTNPGSSGGPLVNLDCRVIGLNSMGMPIATGVGFSVPIDNVREHLELKNLWWDSPCQLTTSANI >fgenesh1_pg.C_scaffold_1000972 pep chromosome:v.1.0:1:4442066:4443636:-1 gene:fgenesh1_pg.C_scaffold_1000972 transcript:fgenesh1_pg.C_scaffold_1000972 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAENTEKPVFSLRLLVDKKKNKVVLAEAGKEFVDVLFSFLTLPMGTVVRLFENYQKKNQKAEATVIGCFNNLYKSVLDIGTAIFMKEACKDMLLYPRSVKENQRKQLKLNIDDIEVLKYYTCPTEHSWGLYSNFCSSRCPCGKLMTKEYKTSHGVAYGEIFVSHKPLFTITDNLKVGFTSISLTLKTLKVSGYSDVDQLHEMHVDVDHEKVLDLLKCIFSSDTPLTDVFLTKERPCVISKVLNMPNLEESGGVVQSNIVMSVSVFTRKQDKKVLYIESEKDFVDLLFTFLVLPLNSAWKLAGSNLVLGCINNLCESFNSLSSIEGSNAFNSRCVLPWYYSCQQPLLDVCYAERDKDLSLATYPYTHKPMDPRCDKTAEVGVCSGFVKRGTTFMVSDDLTIKPMDLSSTICSLKKWNMDLDDIEEQVINISKAEAIRLLRASFVTSTALNTVFESLLQKKPKEEKV >fgenesh1_pg.C_scaffold_1000986 pep chromosome:v.1.0:1:4516413:4517275:1 gene:fgenesh1_pg.C_scaffold_1000986 transcript:fgenesh1_pg.C_scaffold_1000986 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGFIGMSTRLGLFFRVTVKLSHCASILPVIAPLGSTDDPFPVFLRIVTAACSPWKLVYFQIFGYPFDTSGSDSLVLTPVLHDISPPSVLRASITLSLAQNRLWFHTCVTYSMASILVCPPPIQNFASVRSITAICSFFVFIMAFGAVILVSLSWWQVERPPPMNLDMLGIWCPGSSLTEQFLFPKFPPMWSGLDVEALSVLQGSSSRLKLLSAFDADYVISRVTTDAVFQESMEIVLVVRFPLCYSYDLYRLSIYLLTIVICFLSVGCNSSL >fgenesh1_pg.C_scaffold_1001003 pep chromosome:v.1.0:1:4593421:4595459:1 gene:fgenesh1_pg.C_scaffold_1001003 transcript:fgenesh1_pg.C_scaffold_1001003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 family protein [Source:UniProtKB/TrEMBL;Acc:D7KME8] MGEDMRGEKVVSKWPPIIVMLISQVAMGSVNALVKKALDVGVNHMIVGAYRMAISSFILAPIAYILERTEKIKSLKTQAGMIKVMGTLICISGALFLAFYKGPHISNSHSHQEALSHNKSDHNTKNWLLGCLYLTIGTVLISLWILFQGTLSIKYPCKFSSTCLMSIFAAFQCALLSLYKSRDVKDWIIDDRFVIGVIVYAGVIGQAMSTVSVTWGVKQLGAVFVSAIMPIALISATLFDFLILHTPLYLGSLIGSVGPIIGLYVFLWGKNKEMEA >fgenesh1_pg.C_scaffold_1001005 pep chromosome:v.1.0:1:4597608:4598290:-1 gene:fgenesh1_pg.C_scaffold_1001005 transcript:fgenesh1_pg.C_scaffold_1001005 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLRRMVSGSLHLWKREMKISTPMRTTAPFSSSSSAAENASTPPLTIEAPSCDTENAKSSIFEKLRSLTRETLDFIIREEEKKGVSVTQADLVSSAKQLLMEGKRLDALQIFMWIYRKKMSFSTSELALFVDNIARTIGLPTAHAYLKKVDPDCDRMHNPTENWPAYVDLLLLDTELLEKRGIVPAVRNTPPRPRK >fgenesh1_pg.C_scaffold_1001008 pep chromosome:v.1.0:1:4609582:4610313:1 gene:fgenesh1_pg.C_scaffold_1001008 transcript:fgenesh1_pg.C_scaffold_1001008 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g11500 [Source:UniProtKB/TrEMBL;Acc:D7KMF4] MESELGFLVSVVIICADITATVLGIEAEIAQSKAPHHHHQQHLRHSDSGCRRNPSAEAFAEGVAAMVLLSIVHVLANVLGGCTYIRSKQDFNRATANKILAMAFLVLSWIFFGVSYSTLMIGTLGNSRSNRFCSLPHRWFFLIGGIFCLGHGVVTSAYYVSAIAAKKEDKENAQQENPSNRSRA >fgenesh1_pg.C_scaffold_1001011 pep chromosome:v.1.0:1:4613906:4615626:-1 gene:fgenesh1_pg.C_scaffold_1001011 transcript:fgenesh1_pg.C_scaffold_1001011 gene_biotype:protein_coding transcript_biotype:protein_coding MTINLVPLLLSIITIFNPTAIADQNQILNATSQWLHFPPNLNESTIKFSIPTIIAAVLSFFAASISSAGGGALFLSIMTTISGLEMKTASSFSAFMITGVSIANVGCNLFARNPKSRDKTLIDFDLSLTLQPCLLLGVSIGVICNRMFPNWLVLSLFAVFLAWSTMKTCKKGVSYWNLESEREKIRSRRDDDRIKVARSPLLANEGEAEVERGMIRFPWMKLGVLVIIWLVFFSINLFRGNKYGQGIISIKPCGGLYWFLSSLQIPLTIFFTLCICFNDNVQSNHTSHSNQDSEKVIAKYGRASIIVFAVGIVMALSTVLMTTHGALNVWNDFVSGGYMGFKLPC >fgenesh1_pg.C_scaffold_1001013 pep chromosome:v.1.0:1:4619420:4621539:1 gene:fgenesh1_pg.C_scaffold_1001013 transcript:fgenesh1_pg.C_scaffold_1001013 gene_biotype:protein_coding transcript_biotype:protein_coding description:T23J18.22 [Source:UniProtKB/TrEMBL;Acc:D7KMF9] MVIHTNLSYRSFLLIAFLFTLANPESDSDLINELVSLRSAAESGLIPLNDEDVSKFITSVATPRPYSLIIFFDAIHLHGNSQLRLPEFRREFGLVSATFIANNNNGTNGKKLFFCEIESTHSVASFQRFAVENLPHISLVSPMTENLTESDQMDGGDFTGLAESMAEFVERLTKLTVGPIQRPPLLSKTQIGIIVAFLIISTPILIKKILKGETLLHDRRIWLVGAVFVYFFSVSGTMHNIIREMPMYIKDYEDSNKFVFFIEESEMQLGAEGFFVGFLYTVVGLLLAFVTNVLVRVKKLEEQRMAMLLSLSISFWAVRKVVYLDNWKTGYEIYPYWPSKTNKGIEEEVASAFVNHYYHIFDNDRSSLSSLYNPTSLLTFEGQKIYGVENISNKLKQLPFDQCRHLISTVDSQPSSIAGGCGGILVFVSGSLQLHGEDHPLRFSQTFHLIPVLQGSFFVQNEMFRLNYG >fgenesh1_pg.C_scaffold_1001019 pep chromosome:v.1.0:1:4664996:4666710:1 gene:fgenesh1_pg.C_scaffold_1001019 transcript:fgenesh1_pg.C_scaffold_1001019 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KMG8] MATMELSSDLVEEILSRIPARSLVRLKSTCKQWKTLITEPRFVNKHLSHMRCREQQFTVFNNEHIVSPLFGSTISYVGIDFNKPEKCGMNLPFPIAFSPANNISHCDGLLLYVTKSMLLVANPLLNQKRWIKCSQGFDHSMDAYGLGYIFNQLSGSYDYKVVTFRCGVRIKDLYPSSRVEVYAFESDSWKVVVDKNFDGFDGFPLSSVCLRGTPYWLGYNKYGNQLMSIQSFDFSKERFEPLFLPPPSIGSRNLVKYISLGIFRGDQLSLLLECHETSKLHLWVMKQQHWSRLMTVDVPQYPIYGKYFSSFIERNGRLALFIESGNISIYIGGENQDFEKIEYFTGLGRTLSDCCYIQSLLQTTMAIELPSDLVEEIISWVPAISLVRLRYSCKEWKTLIPDPRFVKKHLSHMRCREQQTIHTLQQD >fgenesh1_pg.C_scaffold_1001045 pep chromosome:v.1.0:1:4770561:4771632:1 gene:fgenesh1_pg.C_scaffold_1001045 transcript:fgenesh1_pg.C_scaffold_1001045 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLFAVVLFEVVVIMALSFKTPMRKLLIMSLDRSKRGRGPVVIQTVSATVIVLLVTSVYNMMAIQKRWIEDGVVNPTDEVIMAKHLLESTLMGGFLFLGLMIDRLHHYMRELRIRRKNMEVIKKEGALLEGVKARGLDEVKNLMEEITSLRKRQEQLDSELEARSKEIRTERTSAVALQKQSEGFLIEFNRLLEENQVLRDQLHAVDSKLSRSSSKKNT >fgenesh1_pg.C_scaffold_1001058 pep chromosome:v.1.0:1:4849743:4850599:1 gene:fgenesh1_pg.C_scaffold_1001058 transcript:fgenesh1_pg.C_scaffold_1001058 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKDLVGSVFTQGGWSNFLFSEKKLEQRVDVALVFIGRELLSSDVSSKRNSDSALVNTLSISDIVFCFKLHNTLTSKSSFQQNLFTASNFSLAFPYIAASEEERMENLLLSGLKEACPNNVGVSNIVFSDSCFVEHGTIQKLSDLQSFKVMLNSLMFLFYLIPCLLSGITP >fgenesh1_pg.C_scaffold_1001065 pep chromosome:v.1.0:1:4874993:4876368:-1 gene:fgenesh1_pg.C_scaffold_1001065 transcript:fgenesh1_pg.C_scaffold_1001065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GDP-dissociation inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7KN89] MVLKDEEKAGESSEDPKGQGLSRKNSHSSMCPTDDDEEEEDKKLELGPMIALKEQLEKDKDDESLRRWKEQLLGSVDLEEVGETPDPAVKILTLTIRSPDREDMVLTIPENGKPTSKGPWFTLKEGSKYTLIFTFRVTNNIVSGLRYSNTVWKTGIKVYSRKEMLGTFSPQAEPYNHVMFEETAPSGLLVRGSYSVKSKFVDDDDKCYLENNYTFDIRKNWL >fgenesh1_pg.C_scaffold_1001072 pep chromosome:v.1.0:1:4922706:4924705:1 gene:fgenesh1_pg.C_scaffold_1001072 transcript:fgenesh1_pg.C_scaffold_1001072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7KN97] MAPARTRVNSLHVAVIGAGAAGLVAARELRRENHSVVVFERDSKVGGLWVYTPNSEPDPLSLDPNRTIVHSSVYDSLRTNLPRECMGYRDFPFVPRPEDDESRDQRRYPSHREVLAYLEDFAREFKLVEMVRFKTEVVLVEPEGQKWKVQSKNSDGISKDEIFDAVVVCNGHYTEPRVAHVPGIDSWPGKQIHSHNYRVPDQFKDQVVVVIGNFASGADISRDITGVAKEVHIASRSNPSTTYSKLTGSNNLWLHSMIDSVHKDGSIVFQNGKVVQADTIVHCTGYKYHFPFLNTNGYITVEDNCVGPLYKHVFPPALAPGLSFIGLPWMTLQFFMFELQSKWVAAALSGRVTLPSEDKMMEDVTAYYAKRESYGQPKRYTHRLGGGQVEYLNWIAEQIGAPPGEQWRYQEIDGGYYRLVTQSDTFRDKWDDDHLIVEAYEDFLRQKLISSLPSQLLES >fgenesh1_pg.C_scaffold_1001079 pep chromosome:v.1.0:1:4945426:4956021:1 gene:fgenesh1_pg.C_scaffold_1001079 transcript:fgenesh1_pg.C_scaffold_1001079 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCISVSLSCDRVVNQISQCLCVSGNYIHNLSENLASLQKAMGVLKAKRDDVQGRVDREEFTGHRRRLAQVQDSMLDKVWNCLMEDKVGIVGLYGMGGVGKTTLLTQINNKFSKLGGGFDVVIWVVVSKNATVHKIQRSIGEKLGLVGKKWDEKNKNQRALDIHNVLRRKKFVLLLDDIWEKVNLNVIGVPYPSGENGCKVAFTTRSKEVCGRMGVDDPMEVSCLDTRNAWDLLKKKVGENTLGSHPDIPQLARKVSEKCRGLPLALNVLGETMSCKRTIQEWCHAIEVLTSSATDFSGMEDEVLPILKYSYDSLNGEDAKSCFLYCSLFPEDFKIRKEMFIEYWICEGFIEEKQGREKAFNQGYDILGTLVRSSLLLEDKDFVSMHDVVREMALWISSDLGKHKERCIVQAGVGLDELPEVKNWRAVKRMSLMNNNFENIYGCPECVELITLFLQNNYKLVVISMEFFRCMPSLTVLDLSENHSLSELPEEISELVSLQYLDLSGTYIERLPHGLQKLRKLVHLKLERTRRLESISGISYLSSLRTLRLRDSKTTLETSLMKELQLLEHLELITTNISSSLVGELVYYPRVGRCIQHIFIRDHWGRPEESVGVLVLPAITNLCYISIWNCWMWEIMIEKTPWNKNLTSPNFSNLSNVRIEGCDGLKDLTWLLFAPNLINLRVWGCKHLEDIISKEKAASVLDKEILPFQKLECLNLYKYYLPFLDMNGKVTVAVDDNRDRCINMHPAIAPGLSVTALPWQVNNLTCIYINKIETATFFGEIRPVVGDGWVLSTNVYSMMHTVASLDSCTKAKGSYIHNLSENLASLEKAMGVLKGRQYDVIRRLEREEFTGRQQRLSQVQFDDLLRSKEVELQRSSTVRKIQRDIAEKVGLGGMEWGERNDNQTAVDIHNVLRRRKFVLLLDDIWEKVNLKAVGVPYPSKDNGCKVAFTTRSRDVCGRMGVDDPMEVSCLQPEESWDLFQMIVGKNTLGSHPDIPGLARKVARKCRGLPLALNVIGEAMACKRTVHEWSHAIDVLTSSATDFSGMEDEILHVLKYSYDNLNGELMKSCFLYCSLFPEDYLIDKEGLVDYWICEGFINEKEGRERTLNQGYEIIGTLVRACLLMEEKRNKSNVKMHDVVREMALWISSDLGKQKEKCIVRAGVGLCEVPKVKDWNTVRKLSLMNNEIEEIFDSHECAALTTLFLQKNDMVKISAEFFRCMPHLVVLDLSENHSLDELPEEISELVSLRYFNLSYTCIHQLPVGLWTLKKLIHLNLEHMSSLGSILGISNLWNLRTLGLRDSKLLLDMSLVKELQLLEHLEVVTLDISSSLVAEPLLCSHRLVECIKEVDIKYLKEESVRVLTLPTMGNLRRLGIKMCGMREIKIESTTSSSSRNKSPTTPCFSNLSRVFIAKCHGLKDLTWLLFAPNLTFLEVGFSKEVEDIISEEKAEEHSSATIVPFRKLETLHLLTSAKKMALSLQSPPCATLSASIQKGRWKTLAAGFSATPLPAVNFSIRRSIPRILASASSSSSPSSSSLEAGENNELNAVSAFSEIVPDTVVFDDFERFPPTAATVSSSLLLGICGLPDTIFRAIVNVGGDLVKLVPGRVSTEVDARLAYDTNGIIRKVHDLLRLYNEIDVPHDRLLFKIPATWQGIEAARLLESEGIQTHMTFVYSFAQAAAAAQAGASVIQIFVGRLRDWARNHSGDTEIESAIKSGEDPGLALVKRSYNYIHKYGYKSKLMAAAVRNKQDLFSLLGVDYVIAPLKVLQSLKDSPAIPGDEKYSFVRKLTPETATHYHFTNKELIKWDQLSLASAMGPASVELSSAGVEGYANQAKRVEELFGKIWPPPNV >fgenesh1_pg.C_scaffold_1001102 pep chromosome:v.1.0:1:5076112:5082289:1 gene:fgenesh1_pg.C_scaffold_1001102 transcript:fgenesh1_pg.C_scaffold_1001102 gene_biotype:protein_coding transcript_biotype:protein_coding description:T12C24.2 [Source:UniProtKB/TrEMBL;Acc:D7KND1] MDQGRQAFSVDLLERNATKNRGMITCMAAGNDVIVLGTSKGWIIRHDFGLAGSSEIDLAVGRTGEQSIHKVFVDPGGSHCIATVTGVGGAETFYTHTKWPKPRVLSRLKGLVVNAVAWNRQQITEVSTKEIIVGTQDGQLFEMAVDEKDKREKYIKFLFELDELPEAFKALQMETANISSGMRYYVMAVTPTRLYSFTGIGTLESVFASYKERAVHFMELPGEIPNRQVFDYLGLILRMKEITIELHFYIKQRRAVHFAWLSGTGIYHGGLNFGAQHSYPNGDENFVENKALLDYSKLSDGTEAVKPGSMALSEYHFLLLIGNKVKVVNRISEQIIEELQFDITSDSVVRGIIGLCSDASAGVFYAYDQNSIFQVSVIDEGRDMWKVYLDLKVYAAALANCRDPLQRDQVYLVQAEAAFTNKEYLRAASFYAKVNYVISFEEVTLKFISINEPEALRTFLLHKLDNLSKEDKCQITMISTWATELYLDKINRLLLEDDTAIENRDSEYHSVIQEFRAFMSDCKDVLDEATTMKLLESYGRVEELVYFANLKEQYEIVVHHYIQQGEAKKALEVLQKSSVSVELQYKFAPELIMLDAYETVEAWMANKNLNPRRLITAMMRYSSEPHAKNETHEVIKYLEFCVHRLHTEDPGIHNLLLSLYAKQEDDSALLRFLQCKFGKGRENGPEFFYDPKYALRLCLKEKRTRACVHIYSMMSMHEEAVALALQIDPELAMAEADKVEDDEDLRKKLWLMVAKHVVKQEKGAKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNKQIEQLKEEMNDATRGADNIRNDISALTQRYAVIDRDEECGVCKRKILMMAGDFRMAQGYSSAGPLAPFYVFPCGHSFHAQCLITHVTSCAHEEQAEHILDLQKQLTLLGSETRRDINGNRSDEPITSTTTADKLRSELDDAIASECPFCGELMINEITLPFIKPEDSQHSTSWDLRPAQTNLANQRTISLPV >fgenesh1_pg.C_scaffold_1001116 pep chromosome:v.1.0:1:5145392:5147339:1 gene:fgenesh1_pg.C_scaffold_1001116 transcript:fgenesh1_pg.C_scaffold_1001116 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNFRRIAAGSLHLLQKSATRPALFPKPSDSGVIARLRWTTRALSTTESAETAAAASVFSSKDNLMSRVNRLHKEGKDELALHLFDSMDANKVEFTPSEFAFHIEILAKVKGLAAAKAYFKKADPDFNDGDIHAKNWPAYATLLRLTSEELDQLANHLHPLRISFSRIAELVSPSVVYVYGTRGEGDGYVLSSGFFIDEKTIITSSRDILDGQKLFAVLRDGTQLDGKLVKISESLELALVRVDTKDLQPGCYGTANLGFSNRVRTGEWVGALGAPLGLRNTLTVGIISCPNRCDHEIGWENQGRQFLQFDCLIHESSFGGPLVGLDGLVVGVIMMGYGPAWMDRSLGRHANLIGIAVPIDTVRDVLLVD >fgenesh1_pg.C_scaffold_1001128 pep chromosome:v.1.0:1:5219568:5221711:1 gene:fgenesh1_pg.C_scaffold_1001128 transcript:fgenesh1_pg.C_scaffold_1001128 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSPPLTTKEAFEKVDNLNLTCPVGYDYVDNEAYDLLNPHYFKGLYLEYYPTFFNEKLHISSTMGTKHYQLCASYLNPKLVFMGKVNSHGRLSARVKAVITDKLIVHAHSEALNYRAQFQLGSNSIIGATYIQRVTPRLSLGGEFFWATMAQESGVGYAARYETNKMVASAKVISTGSVIMTYVQKISKKVSLATDFVYNCFSRDVKAKVGYECDISRLSRVQGMIDSNGVAYALLKKEMKMGLASLLSACLDHMKKDYKLGLSLTYH >fgenesh1_pg.C_scaffold_1001134 pep chromosome:v.1.0:1:5240343:5241262:-1 gene:fgenesh1_pg.C_scaffold_1001134 transcript:fgenesh1_pg.C_scaffold_1001134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPB3] MWSAIRNGARNVGRFASKYIQKKGDAEIIDKVMTAAEEGKKAWEKVKFWKERAKAVEKPAEEDISDPTPERAEAVEKPAEEESAQTSWLRKFGDRCSSLVFDYGERLGWDEKF >fgenesh1_pg.C_scaffold_1001155 pep chromosome:v.1.0:1:5330535:5333165:-1 gene:fgenesh1_pg.C_scaffold_1001155 transcript:fgenesh1_pg.C_scaffold_1001155 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQSSQILDDEEDEEAESEGEEEEEEDVNGGLNNRRIELDNLLVKKVLEQEPEMLPCHASASPLSPQLSSLGTPRIGPSIKVWDPYNVLAPPPSSPPLFSRISAAAEHDRSAVTEVYFISHGECDLNLRPDLIGGRCHVATLTPNGKRQARALAVFLNSEGVRFTSVFSSPLDRARSMAVSVCQEMNFPEEHLQVSDAVVEMSLGDWESCHRSEIYTPETLSLIERCQPDFSAPSGESLRQVEFRMVQFLNGTVSGLSEKLRSELLPSTHHTNSRGFSLANSVHRPILTRKKSGKSRFQVMNATGDHEGSEEIFSNHNDEQHLGDINIKSSSSQLSTCIGVFTHSLPIKCLLTGILGCSSLMTHKICVEDSSVTVLQHSWKTGWQHHQILLLSKSSNKQRAGKLFQDLHKSSESAEEDQQACRLHATATSLLTEEKETPMALFSSFSVSAFLLIVVSVQWTLVCSESTILASPAVLPYINAPDMSSFFPSPTKDWPVETATSPVPEPEAPGPSSGQLNGKISGRSMRLHPDLSLVLAIVGICSFLCVNESMLVRFLHLLML >fgenesh1_pg.C_scaffold_1001177 pep chromosome:v.1.0:1:5434148:5435704:1 gene:fgenesh1_pg.C_scaffold_1001177 transcript:fgenesh1_pg.C_scaffold_1001177 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B29 [Source:UniProtKB/TrEMBL;Acc:D7KPG0] MVFFLCFLFLLPLIFIFLKIFKPSKYKWKLPPGPPKLPIIGNLHQLQELPPRNLSHKYGPVMLLRFGFVPVVVISSKEAAEEVLKIHDLECCSRPETAGTRKISYNFKDIGFAPYGEEWKAMRKLSVVELFNAKKHQYFRSIREEENDLLVKTLTELALTRSPVNLKKTLFTLVGSIVCRIGFGLNLHECEFIDEDSISDLVDKSEILEMTSMFSDFFPGGIGRFIDWISGQNKRFNNVFSDLDTFFENILNDHLKPGIVESSDIIDGMINMMKKQERDGDSFKLTTDHLKGMISDIFIAGVSTSASTLIWAITELVRNPKVMKKVQDEIRTTLGDKKERITEQDLTKLHYFKLVVKEIFRLHPAVPFLLPRETLSHVKIQGYDIPAKTQIMINVYAIARDPELWINPDEFNPDRFLDSSIDYKGLNFELLPFGSGRRICPGMTMGITLVELALLNLLYFFDWGLPEKEEVDKIITDNEVALGLVQVLLH >fgenesh1_pg.C_scaffold_1001192 pep chromosome:v.1.0:1:5538884:5539873:1 gene:fgenesh1_pg.C_scaffold_1001192 transcript:fgenesh1_pg.C_scaffold_1001192 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAASISLITLRNLSRNHQSHLSSLLGFSRSFHNQRISSNGPGFSKRARSTTSSTKVFFRTICSSSSSDNSRPTKIQELNVYEFNEGDRNSPAVLKLGKKPDQLCLGDLVPFTNKLYTGDLTKRIGITAGLCVLIQHVPKMNGDRFEASYSFYFGDYGHISVQGPYLTYEDTFLAITGGSGVFEGAYGQVKLRQLVYPTKLFYTFYLKGVVADLPVELTGKHVEPSKDVKPAAEAQATQPGATIANFTN >fgenesh1_pg.C_scaffold_1001201 pep chromosome:v.1.0:1:5595144:5596526:1 gene:fgenesh1_pg.C_scaffold_1001201 transcript:fgenesh1_pg.C_scaffold_1001201 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSDNTEAIVLNFVNEQNKPLNTQNAADALQKFNLKKTAVQKALDSLADAGKITFKEYGKQKIYIARQDQFEIPNSEELAQMKEENAKLQEQLQEKKKTISDVESEIKSLQSNLTLEEIQEKDAKLRKEVREMEEKLIKLREGITLVRPEDKKAVEDMYADKINQWRKRKRMFRDIWDTVTENSPKDVKELKEELGIEYDEDVDLSFQAYADLIQHGKKRPRGQ >fgenesh1_pg.C_scaffold_1001229 pep chromosome:v.1.0:1:5747702:5750075:1 gene:fgenesh1_pg.C_scaffold_1001229 transcript:fgenesh1_pg.C_scaffold_1001229 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKFSFAVTILVMFHPGAISFGASYGSFQLGDQCSSDEDCNVGLGCFKCGVDVARCVRSNITDQFSVVNNSMPFNKYAFLTTHNSYAIEGKPFHVATQEDTIVQQLNSGVRALMLDTYDYEGDVWLCHSFDEQCFEFTKFNRAIDTFKEIFAFLTANPSEIVTLLLEDYVKSQNGLTKVFTDSGLKKFWFPVQNMPLGGQDWPLVKDMVANNHRLIVFTSAKSKQETEGIAYQWNYVVENQYGDNGVKPDECSNRADSALLTDTTKSLVLVNHFKTVPVKILTCEENSEQLLDMIKTCYVAAGNRWANFVAVNFYKRSDGGGTFQAVDKLNGELLCGRDDVHAC >fgenesh1_pg.C_scaffold_1001243 pep chromosome:v.1.0:1:5813306:5814712:1 gene:fgenesh1_pg.C_scaffold_1001243 transcript:fgenesh1_pg.C_scaffold_1001243 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKIEKIIVTADKPSAVCSKARRQNREFWKSHIRRPVVLVGPSLGAAVNHPEAVSQKTLILWGEDDQIISNKLAWRLHGELSNARVEQISNCGHLPHVEKPAAVAKLIAEFVRETCSRCNEVESIS >fgenesh1_pg.C_scaffold_1001248 pep chromosome:v.1.0:1:5829915:5831260:1 gene:fgenesh1_pg.C_scaffold_1001248 transcript:fgenesh1_pg.C_scaffold_1001248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptosomal-associated protein 30 [Source:UniProtKB/TrEMBL;Acc:D7KQK9] MFGFFKSPGNNKLPNESSNNKGGTITAGRRTSSEPILITPDFDDDDKYKNGFSDSGGLQSQTTEELENYAVYKAEETTKGVNNCLKIAEDIRSDATRTLEMLHQQGEQINRTHVMAVDMDKDLSRGEKLLNNLGGMFSKPWKPKKTKNITGPMITPDKPSKKSENHKEEREKLGLGAKGRSSSQPSGLGQPTNALQKVEQEKAKQDDGLSDLSDILGDLKSMAVDMGSEIDKQNKALDHLGDDVDELNSRVQGANQRARHLLSK >fgenesh1_pg.C_scaffold_1001263 pep chromosome:v.1.0:1:5922947:5924654:-1 gene:fgenesh1_pg.C_scaffold_1001263 transcript:fgenesh1_pg.C_scaffold_1001263 gene_biotype:protein_coding transcript_biotype:protein_coding MEADPKTKGYQAITELLPLILLVAMFMVIVLPFNFFDRSSRFFFFTCLFHCLGAPLYKFELPISIRSYISDGMGITSSADLRPENSDPKSQKEKQVQPEKVVDSSSLWRDQLQVFMKEGPCKETYTAFQDCVEETAEKKKESIGKCFPMLDKCMEAHSDYYQPILAAENAALELLMKEEERLLAMLKGGGCKESFMAWLDCSEEAEKNKQDFITKCAGVSAKLDKCLDAHSEYYQPYFAVVKTAEEHMENEVQAFFSPKQP >fgenesh1_pg.C_scaffold_1001271 pep chromosome:v.1.0:1:5957252:5958407:-1 gene:fgenesh1_pg.C_scaffold_1001271 transcript:fgenesh1_pg.C_scaffold_1001271 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVNGVIPSYKKSGVIPTIDLEEVNDQILNQKIRQASEKWGCFTVINHGVSLSLMAEMKKTVRDLHERPHEMKVRNTDVLLGSGYKPLSELNPFYESFGSFDMASPQAVNNFCDQLDASADQREIMLKYAKATDDLARNLARRLAESYGVVDQHFLRGWPSHFRMNKYHFKPEAVGKLGVRLHTDPGFLTILQGDEDVGGLEAMDNSSGSFFPINTLPNTLLVNLGDMATIWSNGRLCNVKHRVQCIEAKMRITTATFLLGPVDRDLEAPDEFVDAEHPRLYKPISDGGLRKIRLSKHLHAGESLKFITIKDLNDVPKIN >fgenesh1_pg.C_scaffold_1001273 pep chromosome:v.1.0:1:5961761:5963259:-1 gene:fgenesh1_pg.C_scaffold_1001273 transcript:fgenesh1_pg.C_scaffold_1001273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7KBH1] MERSRVAGEAPTGTRILLASLSAMVAESVTFPIDLTKTRMQLHGSGSASGTHRIGAIGVVSEIARKEGVIGLYKGLSPAIIRHMFYTPIRIIGYENLKGFIVGSETNNGESLPLATKALVGGFSGVIAQVVASPADLVKVRMQADGRLVSQGLKPRYSGPVEAFTKILQSEGVKGLWKGVLPNIQRAFLVNMGELACYDHAKHFVIDKKIAEDNIFAHTLASIMSGLASTTLSCPADVVKTRMMNQGENAVYRNSYDCLVKTVRLEGIRALWKGFFPTWARLGPWQFVFWVSYEKFRQLAGISSF >fgenesh1_pg.C_scaffold_1001278 pep chromosome:v.1.0:1:5976450:5978098:1 gene:fgenesh1_pg.C_scaffold_1001278 transcript:fgenesh1_pg.C_scaffold_1001278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase family protein [Source:UniProtKB/TrEMBL;Acc:D7KBH7] MSHFVFLFIIFMFINLSEGAQMPYMTTDPKEVSGKSFDYIVVGGGTAGCSLAATLSEKYSVLVIERGGSPFGDPLVEERRYFGYSLLNTDEYSSVAQSFTSVDGIENYRGRVLGGSSAINGGFYSRASDEFVKKTGWDKDLVQDCYKWVESKVVFMPELTQWQSVVQFGFLEAGFYPYNGYSLEHTQGTKIGGSIYDQCGKRHTSADLLGFGKPNYITVLLNATVQSIIFDANKTRAVGVRFMESDENSNKSYKAHVEKHRGEVILTAGALGSPQILLLSGIGPENHLKDFDIPVIVNLKEVGRKMSDNPAISLLVDRFSQNRTLEPPQVAAIAEGYKFILESAVLPTDITTTRISIAAKIAFPKSKGRLKLNSTNPMENPAVKFNYLKNKEDLDACQEMVLHLQHVARSECVTFFLGTQAQDKLVAGDEDLKNFCKQNVRTYYHYHGGCIVGPVVDEAYKVNGVKRLRVIDGSTFEESPGTNPMATVLMLGRYQGIKILKEREEQENMFLSPQGSPQPQP >fgenesh1_pg.C_scaffold_1001307 pep chromosome:v.1.0:1:6103046:6104930:1 gene:fgenesh1_pg.C_scaffold_1001307 transcript:fgenesh1_pg.C_scaffold_1001307 gene_biotype:protein_coding transcript_biotype:protein_coding MADESLDDKPIRFHIPYQVIHHEAYMSLHKELSEPFRFDDTKLNKRFGANHRFSLLTEPAVIYPRSRSSLTSEYLRGGYDFCVNFTNPSLMVIGNSMLDGNLLARMKYDYTDRLTMYSQIMNNQTLCSSLFSFDYKRAGYKTQVQAGSDVPFKASCSKNVTPELSLHGEVSWNGNDDISLIFISVKTYETFFYRQIAVAQVFTKSAIMSYFQKISEKVMFVTYLTYNYMSRDVRARVGCVYSVRRGRVLANIDSNGVLATHLEERWVEGLNFILSTELDPKRWECKVGCGITITT >fgenesh1_pg.C_scaffold_1001309 pep chromosome:v.1.0:1:6117153:6118295:-1 gene:fgenesh1_pg.C_scaffold_1001309 transcript:fgenesh1_pg.C_scaffold_1001309 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLSNAVRNSFNTKVAYTDVSSLNPFVHEWRLRVKILRKFVRCFGNHNTVDLILVDEKGQKIHAVIDGDYIDRVCRRITVGDWISLRGFKLTLALYPFRPVPHRFMLRWQDTTVMKKISPVSSNNFFSFASFDDVNSGILDPAVCVDLIGEIIKVGNPKEDGGPNNDWNEIYFQLQDKTDNILQCRLPKDYANDFFNKWRHCTDDILICIMRFAKLEVNSGSWLATSAYTCTEIMINFPCQEVTDMKHAVLTRECMQR >fgenesh1_pg.C_scaffold_1001310 pep chromosome:v.1.0:1:6122298:6124150:1 gene:fgenesh1_pg.C_scaffold_1001310 transcript:fgenesh1_pg.C_scaffold_1001310 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVVESAVFLSFVGASCYLFGRKIKRRVDYLGSITRVDGLKSLDDLLAKNTTNLLVLVSGRVGSAAPLDCKHNGLLGVLVEETAKLDCKIELEGGGLIEKSLTFLLHQKETPWYLEDCTGQVNVVGVQDALGFNSILNKYVFKMPASELLKTVVIPDGTKVLKHNCHGRALNIGTYLTFVGEAVRDKAGTVMIQRPKEQSFLVYSGEGSFENMVAELKSMVYIGLGKIIGTVGVALAVMYGVHCIRRVLLPFEWEKEELRNRSEKAKSDRGRTHQRKESDMGRTHQRKESDASRLPIGLAFLLVKGKDC >fgenesh1_pg.C_scaffold_1001312 pep chromosome:v.1.0:1:6132503:6133646:1 gene:fgenesh1_pg.C_scaffold_1001312 transcript:fgenesh1_pg.C_scaffold_1001312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KBL6] MVDELKGLGEFLETKPLNNTVVVFGTVGSTSTVETMCKSALGVFVEETATVTYDRRNCVGLIREHESTLVNRKEVSWYLEDGTARVNVTNYQHAKGFDDILRTYSSTVPVSKHFKRLLSVEEITICDPDSCESWEDVLEIGTPLTIVGGAGRDKDGNITIRHVYQVFNKRIELKKLISNMESKSVNYGNFSIYFAAMGMVLLALSLLQDDD >fgenesh1_pg.C_scaffold_1001369 pep chromosome:v.1.0:1:6411916:6413179:1 gene:fgenesh1_pg.C_scaffold_1001369 transcript:fgenesh1_pg.C_scaffold_1001369 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine:carboxyl methyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KCN1] MNGGDGASSYARNSSYQRGAIEAAEALLRNEINTRLDITNHSFSSFTIADFGCSSGPNTILAVDIIIQALYHKFTSSLPNTTTPQFQVFFNDVPHTDFNALFALLPPQRPYFVAGVPGSFYGNLFPKAHLNMAYSSCALCWLSDLPPELTDISSPAYNRGRIHYTGASAEVAQAYSYQYKKDIKLFLHATSQELAENGLMALIVPGVPDGFLDFQEASTGSEFDLLASCLMDMAREVRGGNNKGGGGGQFQPSHILPNSKELEDIIRSNGELKIDKMETLGSMDAQDTMPDLQSRVLYLRAVLEGLVRTHFGHKILDDLFDRYALKLAHSSVILQPRTHKSIMIFALLSRCHDI >fgenesh1_pg.C_scaffold_1001378 pep chromosome:v.1.0:1:6442022:6443649:1 gene:fgenesh1_pg.C_scaffold_1001378 transcript:fgenesh1_pg.C_scaffold_1001378 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDDSSHYFTEFTLAEIRDSCFVILLYCSSSAKIVDMENLYKELGDQSLHKDFCQTVASTFSFMSSSIVSQSCSVNRNGKSTVTWKQIQSWFQEKLKQQSQPKFKTLPSPPLQIHDLSNPSCYAANATFVQTRKGKASDLADLAFEAKSARDYAWYDVSSFLTYRVLRTGELEVRVRFSGFDNRHDEWVNVKTSVRERSIPLEPSECGRVNIGDLLLCFQERDDQALYCDGHVVNIKRGIHDHRRCNCVFLVRYDLDNTEEPLGLEKICRRPDE >fgenesh1_pg.C_scaffold_1001391 pep chromosome:v.1.0:1:6499458:6500445:1 gene:fgenesh1_pg.C_scaffold_1001391 transcript:fgenesh1_pg.C_scaffold_1001391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase [Source:UniProtKB/TrEMBL;Acc:D7KCQ9] MGLHRDQATAMGTLFRVSLGLLPVSAAVTCRSIRFPVSRPSSSHLLNRKLYNLPSSSSSSSLSTKAGWLLGLGEKKKKVDLPEIVAAGDPVLHEKAREVDPEEIGSERIQKIIDDMIKVMRLAPGVGLAAPQIGVPLRIIVLEDTKEYISYAPKEEILAQERRHFDLMVMVNPVLKERSNKKALFFEGCLSVNGFRAAVERYLEVVVTGYDRQGKRIEVNASGWQARILQHECDHLDGNLYVDKMVPRTFRTVDNLDLPLAEGCPKLGPQ >fgenesh1_pg.C_scaffold_1001397 pep chromosome:v.1.0:1:6516687:6519959:-1 gene:fgenesh1_pg.C_scaffold_1001397 transcript:fgenesh1_pg.C_scaffold_1001397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anion exchange family protein [Source:UniProtKB/TrEMBL;Acc:D7KCR6] MEEERLDSSKRLFRGIVADLRGRALCYKEDWVAGLRSGFGILAPTTYIFFASALPVIAFGEQLSRDTEGALSTVETLASTALCGVIHSILGGQPLLILGVAEPTVLMYVYLYNFAIGRPELGKQLYLAWAAWVCVWTALLLFLMAILNAADIINRFTRVAGELFGMLISVLFIQQAIKGMVSEFGMPKDEDSKLEKYRFEWLYTNGLLGLIFTFGLLYTALKSRKARSWRYGTGWYRSFIADYGVPLMVVVWTALSFSTPSKLPSGVPRRLFSPLPWDSASLSHWTVIKDMGEVSPGYIFAAFIPALMIAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILLLGFMTLICGLLGLPPSNGVLPQSPMHTKSLAVLKRQLIRRKMVKSAKESIRKRETSSQVYENMQEVFIEMDKSHLAQTDLAVIIELQDLKEAVMKSNEEEGEGDKESGFDPEKHLDAYLPVRVNEQRVSNLLQSLLVAGAVLAMPAIKLIPTSILWGYFAYMAIDSLPGNQFFERTMLLFVPTSRRFKVLEGAHASFVEKVPYKSMAAFTLLQIFYFGLCYGVTWIPVAGIMFPVPFFLLIAIRQYILPKLFNQAHLRELDAAEYEEIPGTPRNPLELSFRSNDSKRGVQEGDAEILDELTTSRGELKVRTVSLNEDRGNQIYPKKTVNAGDGDMSTTRE >fgenesh1_pg.C_scaffold_1001408 pep chromosome:v.1.0:1:6581411:6582542:1 gene:fgenesh1_pg.C_scaffold_1001408 transcript:fgenesh1_pg.C_scaffold_1001408 gene_biotype:protein_coding transcript_biotype:protein_coding MESESDNDNDEHIIEITNDDGSLSGSSLDERSYSSLSSSVSTDDETSDEGASSSTRGCGSLWNTMELVVTLVQIVASLVVLTVAKDEHLQALLLTWVIGYTCGCIANTLVLLLSCVRKYNRIGVYSRTRIDGVMDALKMGIECFFVVWLVLGILWICYGHSSPSDAPKLYRLCVVFIAFSCIRFAYAVLLCAGEGLRGGFVFQKPSHDDCCCICLGKYGEEEGVALRKLECSHVFHSECIDKWLRIKSSCPLCQSQVR >fgenesh1_pg.C_scaffold_1001415 pep chromosome:v.1.0:1:6616418:6617252:1 gene:fgenesh1_pg.C_scaffold_1001415 transcript:fgenesh1_pg.C_scaffold_1001415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDI2] MSTRKRGPPPPSEPPPPAKIPSTSQARIYGVSNRLVWELDHRHAGKRKIEIVLGNVSSMQFSFENNATGVMEVELENPPKFSLETDHVDGNRKIETIGDFTTNTSASLYLHHKLFFGNDVLRKIQKTITSFKKQEWDNVLNRQFPTKTDLLFSQDAQLNQGEDDADAVETKDLNVVQDGEDDGDADVVATKDLNIDLNVVQDGEDDGDADAVATKDLNIDLNVV >fgenesh1_pg.C_scaffold_1001426 pep chromosome:v.1.0:1:6666431:6668282:-1 gene:fgenesh1_pg.C_scaffold_1001426 transcript:fgenesh1_pg.C_scaffold_1001426 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMSKKDKSIAGFKWKCEARGAFSRGTNQNDNLPLPFTLNHFPTVEMEVRSLGADEAWDFFCERIGDTLESHPDIRELARIVVERCRGSPLALSVIGVTMTGKTLVQEWRYAIDTLTLSAAKFSENKFGNIVTMPTLVREMALWVASNLGEEKENFIVKAVAKLNHTPNVKDWRGVSRISLWGNRIKGISCSPDCPKLTTLFLQFNGLGKISSGLFMFMPNLVVLDLTANIGLELPEEISRLVSLQYLNLSHTKIKELPRGLKELRKLIHLNLEFTGWLKGIAGISSLSNLQVLKLYCSVELNMELVEELQLLKHLKVLTVSGGDAYVWERFMSIPRLASCTRSATLTHCEAGADGISIAATSSRLSVLQIYESNIKEIKIDQKDDDHCKQ >fgenesh1_pg.C_scaffold_1001435 pep chromosome:v.1.0:1:6695492:6697650:-1 gene:fgenesh1_pg.C_scaffold_1001435 transcript:fgenesh1_pg.C_scaffold_1001435 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGDQATANNTNPNNSYEITPPATDSISSLSFSPRADILVATSWDCQVRCWEITRSDGSIASEPKVSMSHDNPVLCSAWKDDGTTVFTGGCDKQAKMWPLLSAAQPFTVAMHDAPICEIAWIPGMNLLVTGSWDKTLKYWDARQATPAHTQQLPDKCYALTVKESLMVVGTGDRNLLVFDLKKPQMEFKRIESSLKDQTRCLAAFPDQKGFLVGSIGGSVGVHHIDDAQVSKNYTFKCHRVGNTICSVNSLNFHPIHGTFISTGSDGTFSFWDKDSKTRLKAMSRCDQPITYSTFNHDGALFAYAVMHLFLSFVTMQTPEKHYNYATETPFEFVDNVGYDWSKGAENHKPDTAKTSIYLHLPEEADVKPKSTYKVGRFTVKKEQI >fgenesh1_pg.C_scaffold_1001436 pep chromosome:v.1.0:1:6703879:6704262:1 gene:fgenesh1_pg.C_scaffold_1001436 transcript:fgenesh1_pg.C_scaffold_1001436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDK6] MRKREYVIKPRVLQNQEDVLKERNWTWEPTDSGGRGITNMKETSKHEYVIKSRVRQNKEDGPKDRTGRGEPTDSGGRGVANMKETSKHEYVIKSRVRQGKEDGPKDRDWTWEPMDSGGRGVANILLV >fgenesh1_pg.C_scaffold_1001466 pep chromosome:v.1.0:1:6811689:6813624:1 gene:fgenesh1_pg.C_scaffold_1001466 transcript:fgenesh1_pg.C_scaffold_1001466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein [Source:UniProtKB/TrEMBL;Acc:D7KDP0] MGLCHSRIDKTTRKVTGATSTATTTMVERQSSGRLRRPRDLYSGGEINEIQQVVGRLVGNGSSEIACLYTQQGKKGTNQDAMLVWENFCSRTDTVLCGVFDGHGPFGHMVSKRVRDMLPFILSTQLKTTLRKEQSGSKNGLESATCVDEEQWFELQPNEEDEKLLPEMYLPLKRALLKTCQQMDRELKMHPTINCFCSGTTSVTVIKQGKDLVVGNIGDSRAVLATRDQDNALVAVPLTIDLKPDLPSESARIHKCKGRVFALQDEPEVARVWLPNSDSPGLAMARAFGDFCLKDYGLISVPDINYHRLTERDQFIILATDGVWDVLSNKEAVDIVASAPNRNTAARAVVDTAVRAWRLKYPTSKNDDCAVVCLFLEDTSAAASVEVSETVNHSHKESTESVTITLSKDSDRKEEASTETNEIVPVWEIKEEKKLQSCRIESKKTTLAECISVKDEEEWSALEGLTRVNSLLSIPRFFSGELRSSSWRKWL >fgenesh1_pg.C_scaffold_1001492 pep chromosome:v.1.0:1:6902616:6903610:-1 gene:fgenesh1_pg.C_scaffold_1001492 transcript:fgenesh1_pg.C_scaffold_1001492 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB58 [Source:UniProtKB/TrEMBL;Acc:D7KEF9] MGKGRAPCCDKSKVKRGPWSQDEDFKLISFIHKYGHENWRSLPKQAGLLRCGKSCRLRWINYLRPDVKRGNFTAEEEETIIKLHQSFGNKWSKIASKLPGRTDNEIKNVWHTHLKKRLSSKTNLNVDEAASKGSLNEEENSQESSPNASMSFAGSNISSKDDDAQISQKTFEHILTFSDFTEMLQEVDKPELLEMTFDIDPDIWSFIDGSDSSFQQPENRALQESEEDEVDKWFKHLECELGLEENDNQQQQQQHKQGTEDENSSSLLESYELLIH >fgenesh1_pg.C_scaffold_1001499 pep chromosome:v.1.0:1:6957325:6957818:1 gene:fgenesh1_pg.C_scaffold_1001499 transcript:fgenesh1_pg.C_scaffold_1001499 gene_biotype:protein_coding transcript_biotype:protein_coding MTILWQNTNGGWGESYLSCSEKRYVALEGERSNLLQTAWAMMGLIHTGQAERDPMPLHRAAKLIINLQLENGDYPQQEMTGVFMKNCFSKLRYLQKHLPHMGTCRVP >fgenesh1_pg.C_scaffold_1001511 pep chromosome:v.1.0:1:7011026:7011737:-1 gene:fgenesh1_pg.C_scaffold_1001511 transcript:fgenesh1_pg.C_scaffold_1001511 gene_biotype:protein_coding transcript_biotype:protein_coding MENHHRTSATKSDGEAVSDGVGQGKLYSPNHAIKIAPKSDENVGQGNLYSPDHAIKIATNPNSVDPSSEEEEGEKQRKTMMLGLKNLASVKDKVLEKLAAASVPSESLENAKQFLESAIKDFAGAAQGMTKDALHRIKTHLAVIVPSVSPAATGKIVDDAEKEATNGDEEDESKSKESSGDEVAGKLPYVSPTSSFLGSLAKPFSKL >fgenesh1_pg.C_scaffold_1001521 pep chromosome:v.1.0:1:7050135:7050683:-1 gene:fgenesh1_pg.C_scaffold_1001521 transcript:fgenesh1_pg.C_scaffold_1001521 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVNPGQVFNLLCIFSIFFFVFVLSVNVSADVDSERAVPSEDKTTTVWLTKIKRSGTNYWAKLRETLDRGQSHFFPPNTYFTGKNDAPMGAGENMKEAATRSFENSKATVEEAARSAAEVVSDTVETAKEKVKRVTNQPEGTDEL >fgenesh1_pg.C_scaffold_1001534 pep chromosome:v.1.0:1:7110873:7112788:1 gene:fgenesh1_pg.C_scaffold_1001534 transcript:fgenesh1_pg.C_scaffold_1001534 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVNGSCRLIKRLVIILSCLSYSFVRSDFTETTNINYVSALGDPGMRNDNLRVAIEAWNQCNEVGEEATNMGSPRMADCFDIDNSSLPVKIIHKVDERDNRLGVGNGTYGGISAGDNVDIYAAQKEIYLGNKCQVMDKPNPWQFWMIMLKNGNTDTLAAICPENGKKAKPFPQTGRFPCFGKGCMNMPSMHHEYTSFVDKEGHMSGSFYGTWDLDNDHKDPVGNNSYYKVKWEKKIGGNESWVFHHLLKTSSKYPWLMLYLRADASRGFSGGYHYGTRGMMKMTLESPNFKVRFKLEILKGGGSGSQFYLMDMGSCWKNDGRDCDGDVTTDVTRYSEMIINPGSTAVCNRNRLGACPPQHTFPNGTKVHRTDKEKFPYEAYHYYCVPGNARFAESPYEVCDPYSNPQPQEILQILPHPVWEQFGYPTKKGQGWIGDPRTWELDVGKLSQSLYFYQDPGTKPVERHWSSIDLGTEIYMSKNQIAEWTVTDFDIVIPKADI >fgenesh1_pg.C_scaffold_1001594 pep chromosome:v.1.0:1:7398254:7400788:1 gene:fgenesh1_pg.C_scaffold_1001594 transcript:fgenesh1_pg.C_scaffold_1001594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KFH7] MVHASLRRIRLQQIPWHRRNGWFHTCQCQYTWTSSPNIVSVSSYYSLTTNNDQSLFHNLDHLLRLCLTAQQCKQVHAQVLVSDYIYRSGSLAANFVSVYSRLGLLLDARNVFETVSLVLWSDLRLWNSILKANVSHGLYENAFELYRGMRERGLTGDGFILPLILRACRYLGRFGLCRAFHSQVIQIGLKENLHVANELLTLYPKAARIGDAYNLFVEMPVRNRMSWNVMIKGFSQEFDCESAVKIFEWMQREDFKPDEVTWTSLLSCHSQCGKFEDVIKYFHVMRMSASAVSGEALAVFFSVCAELGALSIADKVHGFVIKGGFEECLPSRNALIHVYGKQGKVKDAEQLFRQIRNKGIESWNSLITSFVDAGKLDEALSLFTELEEMDDVCNVKANVVTWTSVIKGCNVQGRGDYSLEYFRRMQFSKVLSNSVTICCILSICAELPALNLGREIHGHVIRTSMSDNILVQNALVNMYTKCGLLREGSLVFEAIRDKDLISWNSIIKGYGMHGFGEKALSMFDRMIKSGCHPDGIALVAVLSACSHAGLVEKGRKIFYSMSKRFGLEPQQEHYACIVDLLGRVGFLKEASEIVKNMPMEPKVCVLGALLNSCRMHKNMDIAEIIASQLRVLEPERTGSYMLLSNIYSAGGRWEESAKVRALAKKKDLKKVSGSSWIELKKKIYKFSSGSIVQSEFASIYPVLEDLVSHMVKEGPTHDGNNDEDDLDSWTAKRKALASPELETNPCRAGLEPRVLALERSIWH >fgenesh1_pg.C_scaffold_1001595 pep chromosome:v.1.0:1:7401134:7402712:-1 gene:fgenesh1_pg.C_scaffold_1001595 transcript:fgenesh1_pg.C_scaffold_1001595 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNSDRGYDDSEQLQPSDYEVETFDDRRNGGAAVDTGGIQMKHSIEHRHSSSSMSSPGKLFVGGVSWETTEETFANYFGKFGEVVDSVIMTDRITGNPRGFGFVTFADSAVAEKVLEEEHVIDDRKVDLKRTVPRGDRDTDIKAVSKTRKIFVGGLPPLLEEDELKNYFCVYGDIIEHQIMYDHHTGRSRGFGFVTFQTEDSVDRLFSDAKVDIKRAEPKRTGRDNSFRSYGASGKYDQEDCYGGKANEDYNMYSGYGGYGGYGAYAGNPMFNAAGFYGYGGGYGYGYGYGGQMFNMGYGAGGYSHMGGGYGVAAAAAYGGGKVHGNGNSGSSSGKGNGTNGSGPARYHPYQK >fgenesh1_pg.C_scaffold_1001597 pep chromosome:v.1.0:1:7411810:7414991:1 gene:fgenesh1_pg.C_scaffold_1001597 transcript:fgenesh1_pg.C_scaffold_1001597 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLGSTSKTSATTKEFPKLLFGHGKVPEVEKINNSCRLSILRKIKDALPIEYEKVKSDPLFAQVFAIYENDTWKDDKGFWSKLLKREEKICIKTMMSTHLGAVHKWGKVDRIRFVYLCVIAGLVIAKDEKKAIPVHYIKLVMDLEKLRAYPWGLHSFDYLVESITNAKKDLKKTKSYALDGFSIALQIWAMEAVPKIGGILGVKLNKNLGASLRCSNWKGAAKVSYQEIIELETGMTAEDIVYPYISITGNYDVYESVDFLRQGENSDVALKNLNALIRTGYDFSDFEWDAVESPDVEEETIDEDLVEDGYVEGQGEIHTNDKEEANVTVEGSLLSGLQSNEHEMATSSKKRRSKDGDHGAQTRKMKLLCQRAPAAPHGFDEEMKTFIREMFETSFKHFGQEVSNKLGKIELDVATLKKAVITMDENSKKDKAPPFGNGQPVDDNCLFHELFTRPGEIDLNMDSQDPDFLQKEMGHLSQKSQAPGFDPSQGIFSQNLEKQQRKDDTAEFMDWNDKGDSGKYDADAVLVYLPEARWTAFEAWFRNVNRDVPKLGPSHLTDALFKRLIDQKEWLGNDFSARIKNEYPKFMKNKKTHKWDSRLIDFVTGESPSHGKTGKSWALDFDRIYAPVNVNNSHWISICVNFVLRTVEVFDCFGNNNRRNVEMFAYIIPRIVKDVHGKVYGKVPLLTQYEIINGKVPKNLNTTMCDCGVYALKHIECHMLNLSMDLINDGNIKEARMKFAVDLWEAAHDPILIERMKNYKPPHQSSDILEID >fgenesh1_pg.C_scaffold_1001665 pep chromosome:v.1.0:1:7729859:7735041:-1 gene:fgenesh1_pg.C_scaffold_1001665 transcript:fgenesh1_pg.C_scaffold_1001665 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFSCCTKRAIFCSSPGSSHGGSTPRSPFSPSSAHERHKDPSSPGSMLHGGHKSHEAFQMKQCRFDLQAAKISELMKSNNLDNAPTQSLLSIVNGILDETIERKNGEIPQRVASLLRKVVQEIERRISTQSEHLRTQNSVFKAREEKYQSRIKVLETLASGTSEENEIATRQLRRIKVTLFATVHFNHVKLLTGSLSGINLILTEKSKLEEKKKDKEEDMVRLEKENGHYNLEISTLRRELETTKKAYEQQCLQMESQTKVATAGIEDRVKELEQMREDASTAKIALEERVRELEEMGKEANAVKMTLEEKVKELQQFKKETLTVTTSLEAKNRELEQFKKETMSVNTSLEAKNRELEQFKKETVTFNTSLEAKNRELEKNLVHWKSKAKEMEEKSELKNRSWSQKELSYRSFISFQFKALQELRFCSNSIKQEILKVQDKYTTEFSQLGRKLLELGDAAANYHEVLTENQKLFNELQELKGNIRVYCRVRPFLRGQGASKTVVEHIGDHGELVVLNPTKPGKDGLRKFKFNKVYSPASTQAEVFSDIKPLVRSVLDGYNVCIFAYGQTGSGKTYTMTGPDGASEEEWGVNYRALNDLFKISQTRKSNIAYEVGVQMVEIYNEQVRDLLSGILSTTQQNGLAVPDASMYPVTSTSDVLELMSIGLQNRAVSYTALNERSSRSHSIVTVHVRGKDLKTGSVLYGNLHLVDLAGSERVDRSEVTGDRLKEAQHINKSLSALGDVIFSLASKSSHVPYRNSKLTQLLQSSLGGRAKTLMFVQLNPDITSYSESMSTLKFAERVSGVELGAAKSSKDGRDVRDLMEQLGSLKDTIARKDDEIERLHLLKDINYPQRLQRKSLGQSDDFNSEAGDSQLSIEDDSRIQQDYTRQSRHSVTDGETLASSIDAEYDDETESIDAPSAEGRKPLKYSDKPKPVTPRSSTTTSRPLDKLKQVATRTSNIAKATSGLSSPSSQGMKKTGSASNLLKSSKDSKRWS >fgenesh1_pg.C_scaffold_1001666 pep chromosome:v.1.0:1:7736046:7739013:1 gene:fgenesh1_pg.C_scaffold_1001666 transcript:fgenesh1_pg.C_scaffold_1001666 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLKNLPPPANSTTTTLRYSDHSINLSFIESDAEISSVKAVPIYPKRQGFCPRNFEDFGDGGAFPEILVAQWPLGMGSARPNKPESKVIPVTVDAHGNVVFDTIVKQNENLRKIVYSQHSDLIPKMLKSEGDVDEDEELQKDTTQETKAAIEKIVNAAQPNNVVKQLGDPKYIKYKPSLQQSVAFNSGARVRIVRVSDMPVDPLDLPKFRHKRVPKASGSSEIFPVMHSPPRAVTVKEQQDWKIPPCVSNWKNGKGYTIPLDQRVATDGRVLREGQVNDNFVKLSEAFDVAREKASEAVLMRLKVEREMAMKEKEGKEQELRNLAQKARSERIFAASESVDVPRGDYDYDHKRGMGKEEQIQREEIREERRRERQRERRMEAKNSKITRDRNRDVGEKVALGMAFTGGRGGDVMYDQRLFNQEKGMDSGFATDDQNNVYDKHLFTAKPTLSTLYQPKKNLYDEMYGNADEQLDKIKNTERFKPVKAFSGLGSERAGKRDRPVEFEKEDEQDPFGLVQWASDLKKEANGGFIYLRSKQMNWLEYYKAEAIGELRSGSGCCSMAEQGAGQNPARMDHMEPRLATRKYKKLEDSDLERDMVG >fgenesh1_pg.C_scaffold_1001676 pep chromosome:v.1.0:1:7779953:7782707:-1 gene:fgenesh1_pg.C_scaffold_1001676 transcript:fgenesh1_pg.C_scaffold_1001676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7KGF3] MPVSTRSKVMKQERNEQENTNLNLPLRNPHQGLKEKMRALTLLYEQQKRASFSLRNPNQSPKPDDQRFKTQLLDSCKKGDRFHRQDGKDSKFIEEETKENNVFEADRIFGVNSVPVKPSSGVIRKLSMGIGARNVTEAEKLDSLDASVSRILVFVRLRPMGKKERENGARCCVKILNKRDVYLTEFTNENDYLRLKRLRVRHFTFDSSFPETTTQQEVYSTTTGDLVEAVLEGRNGSVFCYGATGAGKTYTMLGTMENPGVMVLAIKDLFAKVRQRSLDGNHVVHLSYLEVYNETVRDLLSPGRPLILREDKQGIVAAGLTQYRAYSTDEVMALLQRGNQNRTTEPTRCNETSSRSHAILQVIVEYKTRDASMNIISRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGSCNTVMIANISPSSQSFGETQNTLHWADRAKEIRMKGCEVNEEVVQMGEEEGADQAKLLLELQKENCELRVQLAKQQQKLLTLQAENLAAANNNNNNNISLTPPSISSLMTPPSALTAQQKKKPRHSLLSGTCFTPESSKRTKAEEAVKELQLTVKALKMEMERMKREHGLQMKKQKEELMKDLCSRKSEKTPERGKETRRIVTRGSLRPKEKEKELKSPSHRFASPAAAAKKRSFWDITVANTSPASDRRKTRSHGLVHQEAPSKLLQPGFARPQMKH >fgenesh1_pg.C_scaffold_1001691 pep chromosome:v.1.0:1:7877873:7880530:1 gene:fgenesh1_pg.C_scaffold_1001691 transcript:fgenesh1_pg.C_scaffold_1001691 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB47 [Source:UniProtKB/TrEMBL;Acc:D7KH58] MGRTTWFDDEGMKKGEWTAEEDQKLVAYINEHGICDWRSLPKRAGLQRCGKSCRLRWLNYLRPGIRRGKFTPQEEEDIIQLHAVLGNRWATIAKQMQNRTDNDIKNHWNSCLKKRLSRKGIDPMTHEPIIKHLTIKTTNADCSSSSTTTSPSLESPHSSGSARLLNKLAAGISSRQHSLDRIKYIFSKPIIESNDQVKEEEEEKEEGEEKEEGEEKDSMMDQKIDGSEGDDIQIWDVEEVRRLMEIGAMEYEMTSYDAVMYDSTHILDHLF >fgenesh1_pg.C_scaffold_1001692 pep chromosome:v.1.0:1:7885801:7887419:1 gene:fgenesh1_pg.C_scaffold_1001692 transcript:fgenesh1_pg.C_scaffold_1001692 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPTWFDADGTKRGEWTEEEDQKLVAYIDEYGIGDWRFLPGRAGLRRCGKSCILRWFNYLRPGIKKGKFTPQEEQAIINLHSVLGNRWAAIAQQMPNRSDNDIKNHWNSCLKKRLERNGVDPMTHQPIINNLAVKTPSFNTECSSSSSATASPYSSSFSSPSGSAHLLNKIATGISSRQHCVDNVIKNIFSDPRITSIHGQDDLEVEELKKDDEKILANDYQEDDFLMWDDEKIRRFMEEIGVMDLETMSYDGVYRL >fgenesh1_pg.C_scaffold_1001707 pep chromosome:v.1.0:1:7965284:7967624:-1 gene:fgenesh1_pg.C_scaffold_1001707 transcript:fgenesh1_pg.C_scaffold_1001707 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPARWLKSVLLGKKPSKSSGSKDKERIVNGKEVVVISKIEESDVVSDLPSIGNAAIYTSGMAETQNLEHEDVSDNEIQVSEVQPTDSQDAASVPDDSLSESEKNQQEIAAVTVQAVYRGYLARRAFKILKGIIRLQALIRGHMVRRQAVSTLCCVMGIVRLQALARGRVIRHSDIGVEVQRKCRLYHQPLENKAKSVVDTHTYLGIKKLTANSFAQKLLASSPNVMPLSLDNDSSSSIWLENWSASCFWKPVPQPKKVSVRKTQKKFASNSQIVEAEFARPKKSVRKVPTSNIDNSPVAQASFEFEKPKRSFRKVSTSQSVEPLPAMDNSQVYLEKVKRGLRKVHNPVVENSIQPQVVPQIAIEKPNAGLEETVNAFNGEKEDEVAETVVEQQPEELIQTHKPLGNNEALDSTLVNQIEESEETVMAEEKEDAKEERTPKQNHKENSAGKENQKSGNKASSVTTTQTAECQESGNGNQTSSPGIPSYMQATKSAKAKLRLQGSSSPRQLGTAEKASRRYSLPSSGNSARVTSHSPKTRVSHSGGKNGNKTEKPLLSSREGNRKTTPVEWKR >fgenesh1_pg.C_scaffold_1001709 pep chromosome:v.1.0:1:7975906:7977915:1 gene:fgenesh1_pg.C_scaffold_1001709 transcript:fgenesh1_pg.C_scaffold_1001709 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKEENRRLKSSLSKIKKDFDILQAQYHQLMVQHKELNKFSSKGHHQDKEKDENEDKEIVNEREELVSLSLGRRLKSPVSSGLMTNKEEKSKDIMEEAGDNKNLDDNEKGSNQGLSVGIEYKALNNPNEKLDIDHIQETMSLKISNNNKILSENSYGFKNDGDDNEDEDEILPQNLVKKTRVSVRSRCETPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTIAASCPVRKQVQRSSEDMSILISTYEGTHNHPLPMSATAMASATSAAASMLLSGASSSSSTAADLQGLNFSLSGNNITPKPKSPFLQSSSSPSSSGHPTVTLDLTTSSSSQQPFLSMLNRFSSPPSNVSRSNSYPSTNLNFSNNTNTLMNWGGGGGGNPNDQYRAAYSNINTHQQSRTAGSSFDPFGRSSSSHPLQTNLDHIGIKNIKTPQVPYIPAETIKAITTDPNFQSALATALSSIIGGDLKIDHNVTRNEAEKSP >fgenesh1_pg.C_scaffold_1001721 pep chromosome:v.1.0:1:8038414:8040333:1 gene:fgenesh1_pg.C_scaffold_1001721 transcript:fgenesh1_pg.C_scaffold_1001721 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPEKLTALKKAYAETILNTAKEAAARVMITEKKAREYQQELAAVRDEALRTCLRLKQMYDSKVKEAEMISLKKQQKIEELEAQLGEAEDIVGELRMELRQSRYLLEKLTNGCQTNLSKEEKTPNEAVSLQVREDSSNHERSVVANGIKPHMTDRDLSINRCSYKENKDPCLHTLPSILSRRRDAEALERNMGNGDCADVESLTEAGKEEEKENDIELSTTPLSPSEKPCIKFSYKRKRSNSNSPEGGGSSSQDDDDDDESRNRRQKTGEKDNAYLDSFTSTEPSRDSRRVAQVARQLLPFSEKKVLQQSQCDDVP >fgenesh1_pg.C_scaffold_1001725 pep chromosome:v.1.0:1:8053402:8055011:1 gene:fgenesh1_pg.C_scaffold_1001725 transcript:fgenesh1_pg.C_scaffold_1001725 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEEGMEGITGEALAILVVEKLCDILNVVVIMTGAEYQASDMGLLVENATIVGAATETELEDRELPIDDAETMTFAAIEDGIVPGGGATWVHLSTAITAIKETVSTGIMTLVPRMLVILKDIMHVPSAMRDFEDKDRKAFLSNSGRDMKNILTKVKESEKKVQVNVPLISALVCLMREVQSLSYSRKDLSKSYVWELKNSV >fgenesh1_pg.C_scaffold_1001739 pep chromosome:v.1.0:1:8106547:8110920:-1 gene:fgenesh1_pg.C_scaffold_1001739 transcript:fgenesh1_pg.C_scaffold_1001739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:D7KHB3] MKAPSNGFLPTSNEGEKKPINSQLWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKQTDFIPNYPNLPSKLICLLHSVTLHADTETDEVYAQMTLQPVNKYDREALLASDMGLKLNRQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQEIVAKDLHDTTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFVRIGLSSSHKGTWVCRDEKSQLMLGIRRANRQTPTLSSSVISSDSMHIGILAAAAHANANSSPFTIFFNPRASPSEFIVPLAKYNKALYAQVSLGMRFRMMFETEDCGVRRYMGTVTGISDLDPVRWKGSQWRNLQVGWDESTAGDRPSRVSIWEIEPVITPFYICPPPFFRPKYPRQPGMPDDELDMENAFKRAMPWMGEDFGMKDAQSSMFPGLSLVQWMSMQQNNPLSGSATPQLPSALSSYNLPNNFASNDPSKLLNFQSPNLSSANSQFNKSNTVNHISQQMQAQPAMVKSLQQQQQQQQQQLQQQQQQQLQISHQQLQQQGNYSNGASAVANQVSCQNPNQPTGFSQSQLQQQSMLPSGAKMIHQNINSVGNKGSSQMTSFVQEMQFQQQLELHNSSQLLRNQQEQSSLHSSQQNLSQNPQQLQMQQQSSKPSPSQQLQLQLLQKLQQQQQQQSVPPVSSSLQPQLSVLQQTQSHQLQQLLSSQNQQPLAHGNNSFSASTFMQPPQIQVSHQQQEQMNNKNLVAAGQSHSGHTDGEAPSCSTSPSANHTGHDNVSPTNFLSRNQQQGQAASVSASDSVFDRASNPVQEHYTKTESRFNQGMVNLKSGGEQFRFKGAVTDQIDVSTAGATYCPDVVGPAQQQQTFPLPSFGFDGDCQSHHPRNNLAFPGNLEAVTSDVLYSQKDFQNLVPNYGNAPRDIETELSSAAISSQSFGIPSIPFKSGCSNEVGGINDSGIMNGGGLWPNQTQRMRTYTKVQKRGSVGRSIDVTRYSGYDELRHDLARMFGIEGQLEDPLTSDWKLVYTDHENDILLVGDDPWEEFVNCVQNIKILSSVEVQQMSLDGDLAAIPITNQACSETDSGNAWKVHYEDTSAAASFNR >fgenesh1_pg.C_scaffold_1001789 pep chromosome:v.1.0:1:8375201:8380843:-1 gene:fgenesh1_pg.C_scaffold_1001789 transcript:fgenesh1_pg.C_scaffold_1001789 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLFVPSFPKTFLNYQTPAKVENSPEVHPKSRKKNLSFTKKKEPNIIPDEQLDYLCRNGSLLEAEKALDSLFQQGSKVKRSTYLNLLESCIDSGSIHLGRILHARFGLFPEPDVFVETKLLSMYAKCGCLVDARKVFDSMRERNLYTWSAMIGAYSRENRWREVSKLFRLMMEEGVLPDDFLFPKILQGCANCGDVETGKLIHSVVIKLGMSSCLRVSNSILAVYAKCGEWDFATKFFRRMKERDVVAWNSVLLAYCQNGKHEEAVELVEEMEKEGISPGLVTWNILIGGYNQLGKCDAAMDLMQKMENFGITADVFTWTAMISGLIHNGMRYQALDMFRKMFLAGVVPNAVTIMSAVSACSYLKVINLGSEVHSIAVKMGFIDDVLVGNSLVDMYSKCGKLEDARKVFDSVKNKDVYTWNSMITGYCQAGYCGKAYELFTRMQDANVRPNIITWNTMISGYIKNGDEGEAMDLFQRMEKDGKVQRNTATWNLIIAGYIQNGKKDDALEIFRKMQFSRFMPNSVTILSLLPACANLLGTKMVREIHGCVLRRNLDAIHAVKNALTDTYAKSGDIGYSKTIFMGMETKDIITWNSLIGGYVLHGSYGPALELFNQMKTQGIKPNRGTLSSIILAHGLMGNVDEGKKVFYSIANDYHIIPALEHCSAMVSLYGRSNRLEEALQFIQEMNIQSETPIWESFLTGCRIHGDIDMAIHAAENLFSLEPENTVTENIVSQIYALGAKLGRSLEGKKPRRDNLLKKPLGQSWIEVRNLIHTFTTGDQSKLCTDLLYPWVEKMCRVDNRSDQYNGELLIEEEGREETCGIHSEKFAMAFGLISSSRAPKATIRILKNLRMCRDCHNTAKTQDACTTLRMEIVPVKIIGRVISQPLRKSPTTNSVEGKPASVGPWGGQCGHAWDDGMYTTVKQIIIAHGSGIDSIQVEYDKNGSSVWSEKRGGKGGKKFDKVKLDYPHEYLISINGTYGSFDVWGTLCVRSLTFESNRRKYGPFGVESGTFFALPKSGSKIVGFHGKAGWYLDAIGVHIQPVPKENNPSSKILLHSHQSFPQGDKKHEYSVIQGSVGQNFDIVVALRKKDPTLPSFESRDSAGAEITKHKLVTDTEKSQSKVEGGAKTYGPWGGTGGIMFDDGIYTGIRQINLSRSVGIVSIKVCYDFRGQAVWGSKHGGMGGFKHDKIVFDYPSEVLTHVTGTYGPLMYMGPNVIKSLTFRTNRGKHGPYGEEQGPSFTHQIDEGKVVGFLGREGLFLDSIGVHVMECKISSLKPASPNNSIVPHNNSGTAQIENSPWANKLVLAANGHGEEVDRGVVKEPTPSGSGPWGGDGGQAWDDGVFSGIKQIFVTRGNDVISSIQVEYDRNGQSVWSTKHGGDNNGVATHRIKLEYPNETITCISGYYGPLNNSDRYNVVKSLSFYTSRGKYGPYGEETGTFFTSTTTQGKVLGFHGRSSSHLDAIGVHMQHWLGNNKPYYSRASCFKLFS >fgenesh1_pg.C_scaffold_1001793 pep chromosome:v.1.0:1:8386642:8389342:-1 gene:fgenesh1_pg.C_scaffold_1001793 transcript:fgenesh1_pg.C_scaffold_1001793 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSQYIGGQREKFVRLDDTDSRVSMSSNATGMKKRSCFGLFNLTSRGGGKTKNTSKSFREGVKIGSEGLKTIGKSLTSGVTRAVFPEDLRVSEKKIFDPQDKTLLLWNRMFVISCILAVSVDPLFFYLPIVDNSKNCIGIDSKLAVTTTTLRTIIDVFYLTRMALQFRTAYIAPSSRVFGRGELVIDPAKIAERYLTRYFIVDFLAVLPLPQIAVWKFLHGSKGTDVLPTKQALLHIVITQYIPRFVRFIPLTSELKKTAGAFAEGAWAGAAYYLLWYMLASHITGAFWYMLSVERNDTCLRSACKVQPDPKVCVQILYCGSKLMSSRDTDWIKSVPDLFKNNCSAKSDESKFNYGIYSQAVSSGIVSSTTFFSKFCYCLWWGLQNLSTLGQGLQTSTYPGEVLFSIAIAVAGLLLFALLIGNMQTYLQSLTVRLEEMRIKRRDSEQWMHHRSLPQNLRERVRRYDQYKWLETRGVDEENIVQSLPKDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPSLYTESTYIVREGDPVNEMLFIIRGRLESVTTDGGRSGFFNRGLLKEGDFCGEELLTWALDPKAGSNLPSSTRTVKALTEVEAFALEAEELKFVASQFRRLHSRQVQQTFRFYSQQWRTWAACFIQAAWRRHLRRKIAELRRKEEEEEMDYEDDYEYDDNMGGVVTRSDSSAGSSSRLRSTVFASRFAANALKGHKLRVSESSKSLVNLRKPSEPDFEALDTEDLG >fgenesh1_pg.C_scaffold_1001798 pep chromosome:v.1.0:1:8415670:8419004:-1 gene:fgenesh1_pg.C_scaffold_1001798 transcript:fgenesh1_pg.C_scaffold_1001798 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKSWPWKKKSSEKAATVTEVVDQENGKKPSYIQISFDQYTNLNGLKDEVKNYEEKVIKLEDQIKDLDLKLSTANADIVAKEVLVKQHSKVAEEAVTGWEKAEAEASALKTHLETVTLAKLTVEDRAAHLDGALKECMRQIRSLKEENEQKLHDVIATKTNQMDNLRAEFESRIGEYEEELLRCGAENDALSRSLQERSNMLMRISEEKSQAESEIEHLKNNIESCEREINTLKYETHVITKELEIRNEEKNMSMRSAEAANKQHLEGVKKIAKLEAECQRLRTLVRKKLPGPAALAQMKMEVESLGYGDHRQDHRQRRSPVRPSSPLMSPMSHMSQVSEFSLDNMQKFHKENDLLTERLLAMEEETKMLKEALAKRNSELQVSRNICAKTANRLQTLEAQMVNKSPTKRGFEMPAEIFSRQNASNPPSMASMSEDGNEDARSVAGSLMSELSQSNKDKNNAKIKKTESANQLELMDDFLEMEKLACLPNGSNANGTTDHSSADSDGEILPATQLKKRISTVLQSLPKDAAFEKILAEIQCAVKDAGVKLPSKCHGANLNGVTEEKEIAMSNETTEEKVTIVEVITQELSDALSQIYQFVSYLAKEATACQDTFSENRTFSQKVEEFSVTFERVLAKEKTLVDFLFDLSRVLVEASELKIDVVGFHTSTLEIHSPDCIDKVALPENKALRKDSSGEHYQNGCSQSSDSEIPDDCNGTSGYEPKLAARKFTSEEFEGLKLEKEKAETNLARCEADLEVTKTKLQETEQLLAEVKSDLESAQKSNGMAETQLKCMVESYRSLETRSSELEIELTSLKGKIENLEDELHGEKENHREALAKCQELEKQLQRNNQNCPNCSVIEADPKSKQDNELAAAAEKLAECQETILLLGKQLKSMCPQTEQVASSPSQEQQSLNPEEDEYATFTNPQDNKLSSPSDKDTPSMTTMKSPVASKHRHTKSNSSSSSSGLTPEKHSRGFSRFFSTKAK >fgenesh1_pg.C_scaffold_1001821 pep chromosome:v.1.0:1:8562861:8568481:-1 gene:fgenesh1_pg.C_scaffold_1001821 transcript:fgenesh1_pg.C_scaffold_1001821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7KI91] MEEKQKSLSPPPCPSTVTVRRNPSRRARATPYTTATKPPFSSLASAITHDVPTFPIDEILSIQIPQSEPKQSIPESLKIFLRIKPLRSFTKVTTTKARPRNVWPQNLSKKNIAKENRNPEITKKVKKKDEEACITLNDPYSVTLTPPQSLQELKRSKTEVYEGFSHVFPADCSQNDVYDKMVQPLLEDFMKGKSGMLAALGPSGSGKTHTVFGTLKDPGIVPITLHRIFKKSDESSSGPLRLFNLSIFEICSERGKGEKAYDLLGGESSELSVQQSTIRGLKEVPIQNLEEAESLIGQAMLKRATATTNSNSQSSRSQCIINIRASCNGFSNETKMQSSDAMLTIVDLAGAEREKRTGNQGERLVESNFINNTSMVFGQCLRLTRYLRDYLEGKKRMALILTVKAGEEDYLDTSYLLRQASPYMKIKFDNTEEPCNKRQLKTFPRAEKNKKIKLSAPKTSQGKKADPADRSSPRLEPVSHDKNEREHIIMRNFSKVLWNVLKQYNEKLKVAEGEICTLKDSLRREQLKSLVLETELSSLKSSCLAEPCIPEVEAFVHAKENFEVAASMTNVVRNVDDDSCNLIKARKEAGAEESSESPVPYVVRNVDNDSCNLIKARREAGAEESSESPVLRNVDDDSCNLIKARREAGAEESSESPVPRNVDDDSCNLIKARKEAGAEESSESPVPYVVRNVDFDSCNLIKARREASAEVSSESPMPSKNVKDAELVRCHLSSQNDAEPRQSVNSEENVGIPSATTHVEAEVTDFPRDQAIQNQDDPTPSPEQVEVGQDFINSQISNVQTKSAVSRRFPDSEKKERNRRLLPVSSRSLTEEMNDLNIKENQIEKPQVKTAKTCVQKKAESIQGQEIAVPAREAEPASTKKQRNGQKPKRFTQIENLCSCDRRLQPASSVLLTREINTLEIEDDIAEPKGTRGGKKTTVSQPRSQGSVTLLHLLTNNLHL >fgenesh1_pg.C_scaffold_1001871 pep chromosome:v.1.0:1:8791613:8792960:1 gene:fgenesh1_pg.C_scaffold_1001871 transcript:fgenesh1_pg.C_scaffold_1001871 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEASGHIVTVELKSGELYRGSMIECEDNWNCQLEDITYTAKDGKVSQLEHVFIRGSKVRFMVIPDILKHAPMFKRLDARIKGKSSSLGVGRGRAAMRGKVKAAFLGSVIYALGYAVKPNKREQERYVQMH >fgenesh1_pg.C_scaffold_1001873 pep chromosome:v.1.0:1:8797657:8798035:1 gene:fgenesh1_pg.C_scaffold_1001873 transcript:fgenesh1_pg.C_scaffold_1001873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ34] MARVRNAEGEYESTAARPREPVDSSTMVEPTEETGEQREPGDAEPGSETVGMQIDLIEDAAIDASESQPAKETVELERVTTEGETEDSDSQPANESLIEPTIAQVQLVQLVN >fgenesh1_pg.C_scaffold_1001878 pep chromosome:v.1.0:1:8838057:8838766:-1 gene:fgenesh1_pg.C_scaffold_1001878 transcript:fgenesh1_pg.C_scaffold_1001878 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSILGVFGEHQVLTLGTGKKPSWRKIKCGMAHSPVPVDYEANYRYPRPLYDGICINEDAEKHQWSSYIYVLPPPLKNIIEEAKLRFVGMSDTGDIVLSPYNISDSFYLLYYNPERNTITRVEIQGMEAFKPHKAYAFLDYYAENVVKLKPRS >fgenesh1_pg.C_scaffold_1001881 pep chromosome:v.1.0:1:8865835:8867215:1 gene:fgenesh1_pg.C_scaffold_1001881 transcript:fgenesh1_pg.C_scaffold_1001881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein [Source:UniProtKB/TrEMBL;Acc:D7KJ49] MEKEKSKLIEEMERETQNGAYNGRVMTEEQMEILRKQIAVYAVICDQLVLLHNSLSSFNPLSSGVRPVVGGYFDPMGTSSSSHRISTRHRWTPTSTQLQILESIYEEGSGTPNRRRIREIATELSEHGQITETNVYNWFQNRRARSKRKQPQTTTANGQADDVTVTAEERRSCGDSGGLESYEHILFPSPDLGIEHLLSIGKFMES >fgenesh1_pg.C_scaffold_1001895 pep chromosome:v.1.0:1:8935420:8937838:-1 gene:fgenesh1_pg.C_scaffold_1001895 transcript:fgenesh1_pg.C_scaffold_1001895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7KJ67] MPIKVLSSLDAARIQWYHFKAIIVAGMGLFTDAYDLFCIAPVLKMISHVYYNGDSINTAVLSTSYAIALLGTATGQLLFGYLGDRVGRRRVYGLCLIIMILSSFGCGFSVCTTRRSCVMVSLGFFRFILGLGIGGDYPLSATIMSEFANKKTRGAFIAAVFSMQGLGILVSSAVTMAVCVAFKSGGGGLEVDAAAPTEADVAWRLILMIGALPAALTFYWRMLMPETARYTALVENNIVQAAKDMQRVMSISHISDEATTEPPPPPPPPPSYKLFSRCFFRLHGRDLFAASFNWFLVDIVFYTSNLLLSHIFSHYSNKPSSSTAENVYDAAFEVAELGAIIAACSTIPGYWFTVYFIDKIGRVKIQVMGFFFMAVIYLVAGIPYSWYWSKHEQNNKGFMVLYGLIFFFCNFGPNTTTFIIPAELFPARFRSTCHGISGAAGKLGAIVGTVGFLWATKKMEGDDKNQVYTEVNRMRVAFLILGGVCIAGIFVTYFFTKETMGRSLEENEHEQDNNDEIEVEPQIVDGQSSASTLLQS >fgenesh1_pg.C_scaffold_1001906 pep chromosome:v.1.0:1:9010978:9012551:-1 gene:fgenesh1_pg.C_scaffold_1001906 transcript:fgenesh1_pg.C_scaffold_1001906 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTISSPPPISCLRSLRFFSGFISGKREPEDDVDTKAGILGNMQNLYETVKKAQMVVQVEAVRVQKELAVAEFDGYCEGELVKVTLSGNQQPIRTDITDAAMELGSENVAATKAASSSDSSDEDSDEESEDTTECLHGAIVVEKMRR >fgenesh1_pg.C_scaffold_1001952 pep chromosome:v.1.0:1:9253923:9255544:-1 gene:fgenesh1_pg.C_scaffold_1001952 transcript:fgenesh1_pg.C_scaffold_1001952 gene_biotype:protein_coding transcript_biotype:protein_coding MDILLDLSSRIAARKAVALHESRRKELLLLRNPSRLFLRMLFERFTEEAMKAIMLAEEDTRRFGHVYVATDHILVGLLLGPENIAAKVLESIGINAKDARVEAIKIVGQRGFNEPIEVEKMETRAINIPFGPRAKHILELSYEEARKLGHDYIGCGHLLLGMLSDERCLAAQSLANCGANASNMRTEVIRMMAENNNETVLERSCEPNVVDDAQIDEEVREREKKINLKIQERYKLMCESPDFEKAGSLLGEIKALRMEIYHLQAKKEKQIMWSRMWSC >fgenesh1_pg.C_scaffold_1001965 pep chromosome:v.1.0:1:9351496:9353115:1 gene:fgenesh1_pg.C_scaffold_1001965 transcript:fgenesh1_pg.C_scaffold_1001965 gene_biotype:protein_coding transcript_biotype:protein_coding MAHADPFSTSTMLNASHHLRFAAAREPISEGYPAVAPPHPRGFSVKFPPPGFTPSPSPLTNSFFTALTPKMDVLHDLKVRPKRASNDGVIADIRFRLLLDDRKKQERLQTQQKLLGITDDVDTLFAATILRRFLHIITDKYASYVARRGIAVFNKKKKEAIYERILHYALYIARDKHGCLALNDIITDADDLYYKNKLFDVIAHKAILLSNDAYGNFVIQQVLKLNDLRCKNNIVASLRGHFVDLSIQRYGSYIVNLLLETEESMVVVVEELLEGDMLMRLTRNVYGNFVVCKALRVTQKEMVRTDLYWGLVHKLKPFHNPLRRSRGSNSWTQFNPKSFKNAD >fgenesh1_pg.C_scaffold_1001976 pep chromosome:v.1.0:1:9415837:9416352:1 gene:fgenesh1_pg.C_scaffold_1001976 transcript:fgenesh1_pg.C_scaffold_1001976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKT7] MSTSSDDMTVEVISNDNKSFTVPAKRLMQSKLLSFMIGDLFIEPKKIPEKKATAYLAIDWLLELLVEAFANRRQEKFVCDTRVVAVVLLLMYSVTHYLQAQ >fgenesh1_pg.C_scaffold_1001997 pep chromosome:v.1.0:1:9566981:9568433:-1 gene:fgenesh1_pg.C_scaffold_1001997 transcript:fgenesh1_pg.C_scaffold_1001997 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQAKLTRTQSSLLRSSSNLRSSFQSLSSIVEGEQDLEAGEKEEKQRRKPPKPFGSSSPKSGLTRINPGLAFTMSRNSKSKPVQWYIGDDTKPEKKIIKRFVKEGVQFYRKCNGSGVYYYFVRGRYEGDWIDGRYDGHGIESWARGSRYKGQYRQGLRHGNGVYRFYTGDCYAGEWFNGQSHGFGGQSCADGSSYVGESRFGVKHGLGSYHFRNGDKYAGEYFGDKIHGFGVYRFANGHCYEGAWHEGRKQGFGAYSFRTGDAKSGEWDSGKLVTSLPLASEPVSRAVQAARETANKAVNRRRVDEQVSRAVAAANKAATAARVAAVRAVQNQMDGKFCQS >fgenesh1_pg.C_scaffold_1002023 pep chromosome:v.1.0:1:9726620:9729107:1 gene:fgenesh1_pg.C_scaffold_1002023 transcript:fgenesh1_pg.C_scaffold_1002023 gene_biotype:protein_coding transcript_biotype:protein_coding MAIADPKDCEGVFDYLLDWDYNVLEPFPFSSLDTLIMEDSEPLVPETYEPPLWDCQTCARDPPGRIFDNLITHLSDDEHHELLLRWHPRVAPPAMGTLDPPVASSGMETLHPLVAPPAMETLDPSVEALSEQLQISKEFFEGNPNDAVTLVCWDIKKCPVPRDCDPRRVGPCIKQLLENKGYSGPLKIIAIGPLEGVPKGILSGVYSSGISLYCANIVVISEAYSLPSGYAANIQSEGYNFAKPIPPDSFESFFRAESGALEEDKCSETSGSALLICSVCDEKLVYQDIEKFTTHVASSRHQRTPWILALRNSPTRSETEKKNLDDEAKRQSVRSSLKLTYKELFSIVISLDLLDYSFVLRQIFA >fgenesh1_pg.C_scaffold_1002032 pep chromosome:v.1.0:1:9762935:9764854:1 gene:fgenesh1_pg.C_scaffold_1002032 transcript:fgenesh1_pg.C_scaffold_1002032 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGEFGEASSPSSLSRSPSSPLQTQSHHHSPKFYRNHYVFPGDSSYNSSTRFCLRSPSDYSLSSYFSNGLCSSEDGSSQFASPPLDGLMTKYNLGGDDLGLCESFHLLNVAEEEYKTHHQTQRSNFGNYDANGDGGLRFYSGFGVDQKDQTLLFPNQRDHQIPNLGLQSCYMNNYSVPVYRKSGVGTLFDDQGSSSNTNQSLPKVSEFQGYVYLMAKDQHGCRFLQRIFEDGSALDAMVIFNEVIPHVVELMMDPFGNYLMQKLLDVCNEEQRTQIILMVTSEPGQLIRISLNAYGTRVVQRLVESIKTRKQISLVKLALRPGFLNLIRDLNGNHVIQRCLKCLSTKDNEFIFEDATKFCIDIATHRHGCCVLQKCIAYSSGLQREKLVTEISRNSLFLAQDPYGNYAVQFVLELRDFSAIAAMLAQLKGHYVELSMQKFSSHMVERCLTHCPESRPQIVRELISVPHFDILIQDPYANFVIQAALAVTKGSLHATLVEVIRPHSILRNNPYCKRIFSRNLLKN >fgenesh1_pg.C_scaffold_1002035 pep chromosome:v.1.0:1:9778182:9778874:1 gene:fgenesh1_pg.C_scaffold_1002035 transcript:fgenesh1_pg.C_scaffold_1002035 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLRDGTLCSKKRDHMKVFGESFHGSFKRSKQEDQTQTKLEKNSTTLFFQRSKSESAMLIKPDVQLHLEAKTLSETFEDHRTDLDLNLNLSSSSSFNMKKTIMEKDECSKGVSLIMTTPSKKVRSGDIGLSRSPSWLAFEGDDDDDSQKKQEMVTTVCMKCHMLVMLCKSTLVCPNCKFMHHDDHSSTKQFKTLNLFKLLC >fgenesh1_pg.C_scaffold_1002043 pep chromosome:v.1.0:1:9808987:9810545:-1 gene:fgenesh1_pg.C_scaffold_1002043 transcript:fgenesh1_pg.C_scaffold_1002043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KLQ2] MESHVVLNAIKPHVVCVPYPAQGHINPMLKVAKLLYAKGFYVTFVNTVYNHNRLLRSRGPNALDGLRSFRFESIPDGLPETDGDRTQHTPTVCVSIEKYCLAPFKELLLRINDRDDVPPVSCIVSDGVMSFTLDAAEELGVPEIIFWTNSACGFMTFLHFYLFIEKGLSPFKDESYMSKEHLDTVVDWIPSMKNLRLKDIPSYIRTTNPDNIMLNFLIREVERSKRAGAIILNTFDELEHDVIQSMQSTLPPVYSIGPLHLLVKEEIDEASEIGRMGLNLWREETECLDWLDTKTPNSVLFVNFGCITVMSAKQLEEFAWGLAASGKEFLWVIRPNLVVGEAMVVLPPECLTETIDRRMLVSWCPQEKVLSHPTIGGFLTHCGWNSTLESLSGGVQMICWPCFSEQPTNCKFCCDEWGVGIEIGRDVKREEVETVVRELMDGEKGKKLREKAEEWQRLAEEATKHKLGSSVMNFETLINKVLLRNLKS >fgenesh1_pg.C_scaffold_1002057 pep chromosome:v.1.0:1:9884646:9886762:-1 gene:fgenesh1_pg.C_scaffold_1002057 transcript:fgenesh1_pg.C_scaffold_1002057 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEAVVYPQDPFGYLSNCKDFMFHDLCSQEEVLAQDTKNNIDKLGQEQRFVEQGKEEDRQWRNYNQYPLLIPSLEEELGLPAIDVDNHPPPQQRRKRRRTRNCKNKEEIENQRMTHIAVERNRRKQMNEYLAVLRSLMPSSYAQRGDQASIVGGAINYVKELEHILQSMGPKRTRTTSTTHDPEGANTSTSSLVGPFSDFFSFPQYSTKSSSEVPESSSSPAEIEVTVAESHANIKILVKKKPRQLLKLIASLQSLRLTLLHLNVTTLHNSILYSISVKVEEGSQLNTVDDIATALNHTIRRIQEESYFSK >fgenesh1_pg.C_scaffold_1002058 pep chromosome:v.1.0:1:9904455:9905221:1 gene:fgenesh1_pg.C_scaffold_1002058 transcript:fgenesh1_pg.C_scaffold_1002058 gene_biotype:protein_coding transcript_biotype:protein_coding MKTENTSDNDLYDSEFSEPPHLSKWFPDYVYESPMLDTCYGFEFSDLKESESIKDLEIKKETLTKIDDLVSSKIDDMTDSQAAYSELVVEDSDIDDAVIDKNRRSLFRRVAKRKPTIPTVEEELTYLKNRVSDLEDKVSYLYDVISRLIISNGNNSN >fgenesh1_pg.C_scaffold_1002073 pep chromosome:v.1.0:1:9991158:9995305:1 gene:fgenesh1_pg.C_scaffold_1002073 transcript:fgenesh1_pg.C_scaffold_1002073 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLPINTLINLPKPLFLISPFRYRNPNRSLTVAFRTSSSLLRVSGVPSRLCCYWFSTKAMTTNVGEEDKQSIPPIELKENIELTDKERKIFDRLLSTLRYCNLDTQLRVAGGWVRDKLLGKESDDIDIAIDNMSGSEFLDKFKEYLSSRDEEVQGDTIIERNPDQSKHLETAKMRIYDQWIDFVNLRSEEYTENSRIPTMKFGTAKEDAFRRDLTINSLFYNINSGSVEDLTERGIDDLKSGKIVTPLPAKATFLDDPLRVLRAIRFGARFGFTLDDELKEAASSEEVRVALGEKISRERIGNEIDLMISGNGPVSAVTYLSELKLFPVVFSLPSSAEPSPSENCGSLSQAYLEAMWSLLQTPWLGNFSAEQRRLGMYAAMFLPFRKTVYKDKKGKSIPVVNHIFKFSMKRKSSDAETVMNIHQTTERFRSLIPSLEAKNDVELDELDWAAYIIDHWKAITLNDPLIPATSKIRVLTGFLLRDIKDFWRVSLLTSLLLSATVDVMNEDQDIGQLNFQLEKMRETYLTVEATIHDLGLDSIWDAKPLVNGREIMQIAELKGGSLIREWQQKLLTWQLAYPNGTAEECKEWMRYIKAKRQRTE >fgenesh1_pg.C_scaffold_1002079 pep chromosome:v.1.0:1:10040517:10043708:1 gene:fgenesh1_pg.C_scaffold_1002079 transcript:fgenesh1_pg.C_scaffold_1002079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:D7KMJ2] MAAAVATGVAPATMVDQIPSPTAQTSVQVPVSIPAPSPAAVADQTHPNSSLYAGDLDPKVTEAHLFDLFKHVANVVSVRVCRDQNRRSLGYAYINFSNPNDAYRAMEALNYTPLFERPIRIMLSNRDPSTRLSGKGNIFIKNLDASIDNKALFETFSSFGTILSCKVAMDVTGRSKGYGFVQFEKEESAQAAIDKLNGMLMNDKQVFVGHFIRRQERARDENTPTPRFTNVYVKNLPKEIGEDELRKTFGKFGVISSAVVMRDQSGNSRCFGFVNFECTEAAASAVEKMNGISLGDDVLYVGRAQKKSEREEELRRKFEQERINRFEKSQGANLYLKNLDDSVDDEKLKEMFSEYGNVTSSKVMLNPQGLSRGFGFVAYSNPEEALRALSEMNGKMIGKKPLYIALAQRKEDRRAHLQALFSQIRAPGPMSGFHHPPGGPMSGPPQHMYVGQNGASLVPSQPIGYGFQPQFMPGMRPGSGPGNFVMPYPLQRQPQTGPRMGFRRGATNMQHHIQQQQLMNRNPTPGMRYMNGAGNGRNGMDSSVPQGILPPIMPLPIDASSISHQKAPLLPISKLTSSLASASPADRTRMLGEQLYPLVERHEPLHVAKVTGMLLEMDQAEILHLMESPEALKSKVSEALDVLRLSVDPPDHELGFSTT >fgenesh1_pg.C_scaffold_1002088 pep chromosome:v.1.0:1:10088081:10089889:1 gene:fgenesh1_pg.C_scaffold_1002088 transcript:fgenesh1_pg.C_scaffold_1002088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7KMK4] MASPFFFVFLLLSALSLENTYASPNYREALSKSLLFFQGQRSGRLPGDQKLSWRSSSGLSDGSSAHVDLTGGYYDAGDNVKFNFPMAFTTTMLSWSSLEYGKKMGSELQNSRVAIRWATDYLLKCARATPGKLYVGVGDPNGDHKCWERPEDMDTPRTVYSVSSSNPGSDVAAETAAALAASSMVFRKVDPKYSRLLLATAKKVMQFAIQYRGAYSDSLSSSVCPFYCSYSGYKDELVWGAAWLHRATNDPYYTNFIKSLGGGDQPDIFSWDNKYAGAYVLLSRRAVLNKDNNFEPYKQAAENFMCKILPNSPSSSTKYTKGGLMYKLPQSNLQYVTSITFLLTTYAKYMKSTKHTFNCGNSLIVPNALINLSKRQVDYILGVNPLKMSYMVGFSSNFPKRIHHRGSSLPSRAVRSNSLGCNGGFQSFRTQNPNPNILTGAIVGGPNQNDEYPDQRDDYTRSEPATYINAAFVGPLAYFAAGRSP >fgenesh1_pg.C_scaffold_1002089 pep chromosome:v.1.0:1:10091549:10093597:1 gene:fgenesh1_pg.C_scaffold_1002089 transcript:fgenesh1_pg.C_scaffold_1002089 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRRVSVNNFNGRNSFYKVSLSLVFLLWVLLFLSTLLISLGDGAKDTPLNDSVGMADPDDGQSGEKVVSFDGPLSLESASVHVTSDLSRNDDITLSEDSEDKEKSVKEAEIKSTVSGNDLESKDSYNSKQSEITKKDTGIDAGSKEDDFLMQSQMSIDNDTESKDNVFLKQNQVNKTDPGNDTEINASKVDQPSRAVPLGLDEFKSRASNSRNKSLSDQVSGVIHRMEPGGKEYNYASASKGAKVLSSNKEAKGAPSILSRDNDKYLRNPCSTEGKFVVVELSEETLVNTIKIANFEHYSSNLKEFQLQGTLVYPTDTWVHMGNFTASNVKHEQNFTLLEPKWVRYLKLNFLSHYGSEFYCTLSLIEVYGVDAVERMLEDLISVQDNKNAFKTREGDFEQKEKPVQQTESLEGDDSASRSMQRENEREAPPENMLAKTEASMAKSSNKLADPVEEMRHHQPGSRMPGDTVLKILMQKLRSLDLNLSVLERYLEELNTRYGNIFKEMDREAGVREKAIATLRLDLEGMKERQERMVSEAEEMKEWRKRVEAEMEKAEKEKENTRESLEEVSKRLEWMEKKGLMVFTVCLGFGTIAVIAVVVGVGTGRAEKTGIGAWLLLLISSTFIMFVLSL >fgenesh1_pg.C_scaffold_1002110 pep chromosome:v.1.0:1:10203839:10204266:1 gene:fgenesh1_pg.C_scaffold_1002110 transcript:fgenesh1_pg.C_scaffold_1002110 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAMRQSSLQGELEVDVEIKASAKKFHQMLSRRPQDIAKATPDIQGCALHEGEFGKVGNGQPKVGKERIEAVDHEKNLIALRVVDGDTYESVYKSFLRPIDYKQ >fgenesh1_pg.C_scaffold_1002115 pep chromosome:v.1.0:1:10227381:10231528:1 gene:fgenesh1_pg.C_scaffold_1002115 transcript:fgenesh1_pg.C_scaffold_1002115 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRGYGCNGGSGCWRPPDQRRELSTGPFSWWQRQTSRPCGGLFSRMHSSGIIEIQLDKESTAETKLVEAKKQYDLMLESKQLELSRHLKELSQRNDQAINDIKRKYDVEKQEIISSEKGKVEETIKELSTKYDKELSDCKEESKRQLLTIQEEHASLIILTFHTLEIIYELLEKILSIREEHESKELNLKAKYDQELRQNQIQAESELKERITALKSEHDVQLKAFKCQYEDDCKKLQEELDLQRKKEEKQRALVQLQWKVMSDNPPEEQEVNSNKNYSVSKDSRFGGSKRREHIRPEEFVLFYLNKVSQPRRELHVPLYLTEGFTIGHAAYSEICFSGFKYEDVDLSETPAAEDLTALMCMRRAGGQMRSLVIGCPKIRPNYLYTTRVLKPFQYLGKLLTKLAFFGLSLSTSSEDMLPVFAQCSALQDFEMKNASSWNAVPIPLVNVMQNLATHCARLERLVYDDINGNRHMEVDDDILLQFVQNCPAVNHLGLRGVSLLDSQVQIIFEILWKIYQGLRRLTSLDLSSSGGFTGISLVQVANTMNDARLKVLVLQTCADLTEEHLRTFFMRLREGSYSHLHKLAELEEIYTKVSKQGQGLLSCVVLEWLTEDTLNQLIAGPDYVVELAPLAEEEEDLEDLDEEEPPDLEDLDEEDVVARKRFIHW >fgenesh1_pg.C_scaffold_1002117 pep chromosome:v.1.0:1:10236968:10237533:1 gene:fgenesh1_pg.C_scaffold_1002117 transcript:fgenesh1_pg.C_scaffold_1002117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I allergen family protein [Source:UniProtKB/TrEMBL;Acc:D7KMP3] MAQAMRQSSLQGELEVDVEIKASAKKFHQMLSRRPQDIAKATPDIQGCALHEGEFGKVGNGQPKVGKERIEAVDQEKNLIAFRVVDGDMLNGFKSFLITVQATPKLRGSGSVVKCHFKYERIDEEVAHPEKLLALFVKAAKDMDEMLLSEV >fgenesh1_pg.C_scaffold_1002128 pep chromosome:v.1.0:1:10294343:10296305:1 gene:fgenesh1_pg.C_scaffold_1002128 transcript:fgenesh1_pg.C_scaffold_1002128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7KMQ9] MAGNSLIAPAIMLALLLLISPEIYAGHDYRDALRKSILFFEGQRSGKLPPDQRLKWRRDSALRDGSSAGVDLTGGYYDAGDNVKFGFPMAFTTTMMSWSVIDFGKTMGPELENAVKAIKWGTDYLMKATQIPGVVFVQVGDAYSDHNCWERPEDMDTLRTVYKIDKDHPGSEVAGETAAALAAASIVFEKRDPIYSKMLLDRATRVFAFAQKYRGAYSDSLYQVVCPFYCDFNGYEDELLWGAAWLHKASKKRVYREFIVKNQVILRAGDTIHEFGWDNKHAGINVLISKMVLMGKADYFQSFKRNADEFICSLLPGISHPQVQYSQGGLLVKSGGSNMQHVTSLSFLLLTYSNYLSHANKVVPCGEFIASPTLLRQVAKRQVDYLLGDNPMKMSYMVGYGSRFPQMIHHRGSSVPSVVDHPGRIGCKDGSRYFLSNNPNPNLLIGAVVGGPNITDDFPDSRPYFQLTEPTTYINAPLLGLLGYFSAHS >fgenesh1_pg.C_scaffold_1002142 pep chromosome:v.1.0:1:10355145:10356945:-1 gene:fgenesh1_pg.C_scaffold_1002142 transcript:fgenesh1_pg.C_scaffold_1002142 gene_biotype:protein_coding transcript_biotype:protein_coding description:F26F24.17 [Source:UniProtKB/TrEMBL;Acc:D7KNE7] MIGCENSKKSYSDSNKEKCLSDDVINLDQGDPTAFQEYWMKKKDRCTVVIPAWDLMSYFSDTTNVCWFLEPELEKAIKALHGAIGNAATEERYIVVGTGSSQLCQAALFALSSLSKVKPVSIVVAVPYYSTYVEEASYVQSSLYKWEGDARTFDNKGPYIELVTSPNNPDGTMREPVVNRREDGKVIHDFAYYWPHYTPITRRQDHDLMLFTFSKITGHAGSRIGWALVKDIEVAKKMVHYLTINSIGVSKESQTRATTILNELTKTCRTQSESFFEYGYEKMKSRWERLREVVESGDAFTLPNYPQAFCNFFGKTISTSPAFAWLGYKEERDLGSLLKEKKVLTRGGDRCGCDRKYVRVSMLSRDDDFDVFIHRLATIKDLKCNQP >fgenesh1_pg.C_scaffold_1002150 pep chromosome:v.1.0:1:10394053:10396691:-1 gene:fgenesh1_pg.C_scaffold_1002150 transcript:fgenesh1_pg.C_scaffold_1002150 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVASLRDINLFSSLPSTPPMADSSSGTFRPAPPIPIPKYAPSTRNRNRKTNHQIQTDTQTKKPQSNPALKLPHHRTRYYKPVKEGVISSDGDRTIVIGESGVSYQLPGAPFEFQFSYSETPKAKPVGIREPAFMPFAPPTMPRPWTGKAPLKKSKKKIPLFDSFNPPPAGKSGVKYVEMPGPLPFGRYPKEGMNREEILGEPLKKWEKGMLIKPHMHDNRQVNLGRDGFTHNMLELIHSHWKRRRVCKVRCKGVPTVDMDNVCRVLEEKTGGEIIHRVGGVVYLFRGRNYNYRTRPQYPLMLWKPAAPVYPKLIQEVPEGLTKEEALEFRVKGKSLRPICKLSKNGVYVSLVKDVRDAFELSPLVKVDCPGLEPSDYKKIGAKLKELVPCVLLSFDDEQILMWRGRDWKSRFVDNPLIPSLSETNIANELDPSDKPSDEQTVSDPSSTISSPKMISLWKRALESSKAVILEELDLGPDDLLKKVEELEGTSLAAEHSYTAMVLSNTDGAAEDYVDDKDRSEEYSDIDDDFDDECSDDESLDPVGPVGTLPVDKIVRKLRERLK >fgenesh1_pg.C_scaffold_1002152 pep chromosome:v.1.0:1:10399364:10401011:1 gene:fgenesh1_pg.C_scaffold_1002152 transcript:fgenesh1_pg.C_scaffold_1002152 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLNHLLDLPGQICHVQCGFCTTILLVSVPFTSLSMVVTVRCGHCTSLLSVNLMKASFIPLHLLASLSHLDETGKEEVAATDAVEEEAWKVNQEKENSPTTLVSSSDNEDEDVSRVYQVVNKPPEKRQRAPSAYNCFIKEEIRRLKAQNPSMAHKEAFSLAAKNWAHFPPVHNKRAASDQCFCEEDNNAILPCNAFEDHEESNNGFRERKAQRHSIWGKSPFEYNNLGYEILTKK >fgenesh1_pg.C_scaffold_1002167 pep chromosome:v.1.0:1:10452251:10453428:-1 gene:fgenesh1_pg.C_scaffold_1002167 transcript:fgenesh1_pg.C_scaffold_1002167 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIPRFSGSINPHVEEPHEVESKNPASNSVWAVDPKYYDLAEVKKESKLWRAAEKKHPWYDAPAKVKVTTKKGLCHLNIEFKLGLPPEAVYEMFTNPNNFPFFKEDKDGRQRLENKSTKVLKKDGPRQTTEVEKALSWNFLGCSGDIPIHLIIHENHKNLTAKYTTKKMILMKVFEGSWKVEPDYVDQERLCKPRLPKSRKEYKICSGGQGKVGSKVIMEQIFQPSSLLNLPPVSWIICGITIRTTKILLEDLRKAGTSLRKV >fgenesh1_pg.C_scaffold_1002201 pep chromosome:v.1.0:1:10615610:10616128:-1 gene:fgenesh1_pg.C_scaffold_1002201 transcript:fgenesh1_pg.C_scaffold_1002201 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGVLTRDFDVESPVDKFFKAFLEISNLPVEDNATAVVTMDDGNLEERKVKIKISSVDISKCYKKLEGIITVTPKNKGGSHVIWTVEYEKTRPEIEDPHSIIDTSIKYFQGIDAILVKERDEKIVKEIWRCPRNFLNLFVDP >fgenesh1_pg.C_scaffold_1002227 pep chromosome:v.1.0:1:10748072:10749121:-1 gene:fgenesh1_pg.C_scaffold_1002227 transcript:fgenesh1_pg.C_scaffold_1002227 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRDLSPDLCMEDAMSYIDAVRDALQDAEPEKYEEFLRTFLDFGADRIGIAAFSASMQELLKDHVNLLLGFNVFLPLQFQINIPPEASTEFHKVVRRSVPPKPTMDDATSYLIAVKKAFHDEPTKYEEIIKLLNDLKARRLDAASVIARVEELMKDHLNLLLGFCVFLSAKMSFITKLKVRFQGDGSHVVDSVLQIMRMYSEGNKSKNDVYQEVGALIQGHGDLLMELSEIFSDS >fgenesh1_pg.C_scaffold_1002261 pep chromosome:v.1.0:1:10970692:10971873:1 gene:fgenesh1_pg.C_scaffold_1002261 transcript:fgenesh1_pg.C_scaffold_1002261 gene_biotype:protein_coding transcript_biotype:protein_coding METEVDFKQIGGTTDHAVVVVDEKLYIVGGSRNGRYLSDVQVFDLRSLTWSSLKLKTESSSTENIQEDDGSSLREAFPAISDHRMIKWGNKLLLIGGHSKKSSDNMSVRFIDLETHLCGVIDVSGNVLASRGGHSITLVGSRVLVFGGEDKNMRLCSRT >fgenesh1_pg.C_scaffold_1002274 pep chromosome:v.1.0:1:11054165:11056099:1 gene:fgenesh1_pg.C_scaffold_1002274 transcript:fgenesh1_pg.C_scaffold_1002274 gene_biotype:protein_coding transcript_biotype:protein_coding MGERTDDGDQKMEDVLLPGFRFHPTDEELVSFYLKRKVQHNPLSIELIRQLDIYKYDPWDLPKFAMTGEKEWYFYCPRDRKYRNSSRPNRVTGAGFWKATGTDRPIYSSEGNKCIGLKKSLVFYRGRAAKGVKTDWMMHEFRLPSLSEPSPSSKRFFDSPVSPNDSWAICRIFKKTNTTTLRALSHSFVSSLPPETSTDTISDQKPSNTYHFSSDKILKTSSHFQFHHENMNTPKTSNSTTPSVATISPFSYLDLTSYDKSTNVFNPVSCLDQQYLTNLFLATQETQPQFPKLPSSNEIPSFLLNTSSDSTFLGEYTSHIDLGAMLAQEQCPPLVSLPQEYQETGFEGNGVVKNMRGSNEDHQGHCDTLRFDDFASTIDENHRHHQDLKQNMTLLESYYSSLSSINSDLPACFSTT >fgenesh1_pg.C_scaffold_1002287 pep chromosome:v.1.0:1:11154766:11156141:-1 gene:fgenesh1_pg.C_scaffold_1002287 transcript:fgenesh1_pg.C_scaffold_1002287 gene_biotype:protein_coding transcript_biotype:protein_coding description:T24P13.16 [Source:UniProtKB/TrEMBL;Acc:D7KQL7] MFITEKQVWMDEIAARRASSSWDFPFNDNNIHRRHCNTSHEFEILKSPSGDVAANEEESNNHNPNFSNSESGKKETTDSGQSWSSSSSKPSVLGRGHWRPAEDVKLKELVSIYGPQNWNLIAEKLQGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLMQAHRLYGNKWAMIARLFPGRTDNSVKNHWHVVMARKYREHSSAYRRRKLMSNNPLKPHLTDNHHPNPNPNYHSFISTNHYFAQPFPEFNLTHHLVNNAPITSDHNQLVLPFHCFQGYESNEPPMVVSMFGNQMMVGDNVGDTSDALCNFPYIDPRSQEKAEPNEAMHLIGMEAVDEEVVEKAKQQPHFFDFLGLGTA >fgenesh1_pg.C_scaffold_1002310 pep chromosome:v.1.0:1:11259280:11260206:-1 gene:fgenesh1_pg.C_scaffold_1002310 transcript:fgenesh1_pg.C_scaffold_1002310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7KQP4] MGKDAKAGGKGKGKQASGSDEAPSKGKGKAGKAADGLGTCTYVKARHVLCEKQGKINEAYKKLQDGWLSNGDKVPPAEFAKIAAEYSECPSGKKGGDLGWFPRGKMAGPFQDVAFNTPVGVTSAPFKSTHGYHIILSEGRKN >fgenesh1_pg.C_scaffold_1002324 pep chromosome:v.1.0:1:11332537:11333546:-1 gene:fgenesh1_pg.C_scaffold_1002324 transcript:fgenesh1_pg.C_scaffold_1002324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQQ9] MAELTHADVVYSPRWFQVWKTLVNWLAFFYQILCAVGYHPLLSSSAKASADGFKPLPAIELLDRASSDCEHSRFGSSLGILHLGILSSNIVEIHGFLTYGRCCGHEFSYFERLEAFDQEESDLHVICDEEGSRKTLKEEEASSLSFIHMLDSIGRFDSIKLSILIASLTLKAYFYWIKEPLIA >fgenesh1_pg.C_scaffold_1002360 pep chromosome:v.1.0:1:11547386:11549248:-1 gene:fgenesh1_pg.C_scaffold_1002360 transcript:fgenesh1_pg.C_scaffold_1002360 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLQGFTKSLALTFVSEIGDKTFFAAAILAMRYPRRLVLAGCLSALIVMTILSATLGWAAPNLISRKWTHHITTLLFFGFGLWSLWDGFKEGGGGSEELAEVEAELDADLKANGKSPKDSSKIEDENKKQKRAFLTQFFSPIFLKAFSINFFGEWGDKSQLATIGLAADENPLGVVLGGVVAQFLCTTAAVIGGKSLASQISEKIVALSGGMLFIIFGIQSYLTSVEA >fgenesh1_pg.C_scaffold_1002401 pep chromosome:v.1.0:1:11782912:11783707:1 gene:fgenesh1_pg.C_scaffold_1002401 transcript:fgenesh1_pg.C_scaffold_1002401 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKNKGSNGGSSSHGGIGADSPYLQKARSGKTEIRELEAVFKKFDVNGDGKISSKELGAIMASLGHEVPEEELEKAITEIDRKGDGYINFEEFVELNTKGMDQNDVLENLKDAFSVYDIDGNGSISAEELHEVLRSLGDECSIAECRKMIGGVDKDGDGTIDFEEFKIMMTMGSRRDNFMGGGPSSTFGLE >fgenesh1_pg.C_scaffold_1002421 pep chromosome:v.1.0:1:11883962:11885185:1 gene:fgenesh1_pg.C_scaffold_1002421 transcript:fgenesh1_pg.C_scaffold_1002421 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVPEDREKTNPRKRYRIVNGSAGKNLELSSVKKYNKSTHSAASSYHITLDAIALHPFETKVYEISYGKLALSCPIARPLGETTIDEIKRCSSSLYKNFSPIDAMPEWPPENPFEHSKLLNSYELQDNDWIRLYLELAVATTNRGTITDHNDLSNLKIIQVAIDTTPQNVDLVLNRTNFAIVYIRYKDSCEARVGKDVDRVAIVRRAFHEQLGCFSLVGKTLSLPKE >fgenesh1_pg.C_scaffold_1002467 pep chromosome:v.1.0:1:12099533:12101135:-1 gene:fgenesh1_pg.C_scaffold_1002467 transcript:fgenesh1_pg.C_scaffold_1002467 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAFGGSDESAFISASGSYLDIVCNDAWDVKIDPWSMRRVSVKMSDIIDKTYEASDNESDYTVDVSSNIMRKVVTYCVKRNYQSEDDPDKPFSTEDEKWIREEFSKEKDPKKLFDLYKASVYLGFPHLRDLIRTIGMENEIFQRCLGDNTDRIRKEKENESFLATHQKILSSVVLPKLDNQSLVRFGALCKGASKVAEPLIISRGIFLNHLIPELNQYRHNHIRLETQVILVSSDGVNFPITERAALESTVLRVLIAENYEHLPTRHAPKKQQITHTVRINNKILTEVIAFCNLASTNKDEAMVWVIKSLDSSSKSTLGLIKAAQELQIDGLLDWIADEIATKLKAQTVARICQTLGLENEESEEEYEQVVGLCYNSEEEEEESEKEEDDKDEDEEEDEVSEESPKSDDSAK >fgenesh1_pg.C_scaffold_1002478 pep chromosome:v.1.0:1:12171608:12172231:1 gene:fgenesh1_pg.C_scaffold_1002478 transcript:fgenesh1_pg.C_scaffold_1002478 gene_biotype:protein_coding transcript_biotype:protein_coding MARVMLWFLVSLYLCLIGHMIAEAAREGASMKKEAHSTEIGRESASMRNQARSTEIGKESASMMNQARSTEIGRESKLMRNGPHSSAQIGKSMGHVTGFKGELTAGGYGGGGPGYGGGGGYGPGGGGGGVVIGGGFGGGGGYGSGGGLGWDGGNGGGPGYGSGGIGGGVIIGGGGGGGCGGSCSGGGGGGGGGYGHGGVITKGSGKN >fgenesh1_pg.C_scaffold_1002484 pep chromosome:v.1.0:1:12201417:12202038:-1 gene:fgenesh1_pg.C_scaffold_1002484 transcript:fgenesh1_pg.C_scaffold_1002484 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSIITKAVSKIEKEWLESRKAKTDGTPSTTRSSGRAGRWTKPERRWIKCNYDAAHREGNDISGLGWIIRDSHGTFLHCGWGKFQGRVSPEEAECSALIWAIQATWALGYRTVVFEGDNLNLNNTINKDKVDLRLQHYIREIQQWSKCFTATSFTFKHREQNVCADNGEPSIGFI >fgenesh1_pg.C_scaffold_1002501 pep chromosome:v.1.0:1:12284988:12285757:-1 gene:fgenesh1_pg.C_scaffold_1002501 transcript:fgenesh1_pg.C_scaffold_1002501 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRERKKVARLHLIDNVLGLEEAEKFFETIPQDKRDDSDLYTTILSFYTRSAKTLEKAELIFHKMRKLGYLSKPCPFNHMMSLYNQIGKRDMVHQILSQMEKNNAKSDNRTLNIILGLLAESHSSTLDWRMSCEMAKTYLKQGLVVEAIKMLRRAEESVVDPDSKKYDCKQVVRERCQNHNYDGICLLRERAAKRR >fgenesh1_pg.C_scaffold_1002524 pep chromosome:v.1.0:1:12420133:12421257:-1 gene:fgenesh1_pg.C_scaffold_1002524 transcript:fgenesh1_pg.C_scaffold_1002524 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPUP3 [Source:UniProtKB/TrEMBL;Acc:D7KCU0] MVKVLVIINCIILAIGNCGGPLIMRLYFNNGGKRIWFSTFLETAGFPVIFIPLLFSYIARRRSNNVGDDTSFFLIKPRLLIVAVFIGILSGFDNYLYAYGIAYLPVSTAALIIASQLAFIAIFSFFMVKHKFTPFTINAVVLLTVGAAVLGMHTETDKPVHETHKQYIIGFLMTVAAAVMYAFILPLVELAYQKARQPMSYTLVLEFQLILCFLASIVSVIGMFIAGDFKALPKEAREFKLGEALFYVVAVFSAIIWQGFFLGAIGLIFCTSSLVSGIMISVLLPITEVLAVIFYHEKFQAEKGLSLALSLWGFVSYFYGEIKSGKDKKRIQQEESPETEQSSLSSEC >fgenesh1_pg.C_scaffold_1002568 pep chromosome:v.1.0:1:12725103:12726569:-1 gene:fgenesh1_pg.C_scaffold_1002568 transcript:fgenesh1_pg.C_scaffold_1002568 gene_biotype:protein_coding transcript_biotype:protein_coding description:F1K23.5 [Source:UniProtKB/TrEMBL;Acc:D7KCZ0] MEPAISTATSSFGGGSSRLSALAQQLRRYKPPPSSSFDDAEEMQTDQETAGKVVSQVGFQESIAPVSKDPERFKPKRAAVLICIFEGDDGDLRVILTKRSSKLSTHSGEVSLPGGKAEEDDKDDGMTATREAEEEIGLDPSLVAVVTSLEPFLSKHLLRVIPVIGILRDKTKFNPTPNPGEVEDVFDAPLEMFLKDENRRSEEREWMGEKYLIHYFDYRTGDKDYMIWGLTAGILIRAASVTYERPPAFIEQCPKFKYPKMVEKHTCMP >fgenesh1_pg.C_scaffold_1002569 pep chromosome:v.1.0:1:12728462:12729810:1 gene:fgenesh1_pg.C_scaffold_1002569 transcript:fgenesh1_pg.C_scaffold_1002569 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEEKKEKGEEIITAVYKVHLHCRKCACDIKKPLLRFQGVHNVDFDLEKNEIKVKGKIEVVKIHKQIEKWSKKKVELIAPKPSEVKKTTTTTTTTTSVEEKKTTEVKKEVIRTTVLKVHIHCPQCDKDLQHKLLKHKAIHIVKTDTKAQTLTVQGTIDTAKLLTYIKKKVHKHAEIVSSKTEEEKKKEEEDKKKKEEEKKKEDEKKKEEEKKKKEGEEKKEEVKIEVTKTITQVVEFKEKVKVEGQKDKDGNIPYFVHYVYAPQLFSDENPNACCIV >fgenesh1_pg.C_scaffold_1002584 pep chromosome:v.1.0:1:12807900:12811247:1 gene:fgenesh1_pg.C_scaffold_1002584 transcript:fgenesh1_pg.C_scaffold_1002584 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFILFLASALCFTTLIHFTAADADDFDKFHIKGSVYCDTCRVQFITRLSKFLEGAKVKLECKGRENQTVTLTKEAVTDNAGNYQMEVMGDHEEEVCEIVLVQSPDAECGEVNNQEFLRNAARISLTANDGIVSNETRTINPLGFMRKTPLAEYSKDKYTLEKIVKLREDRPFIFPFKPKISRIFYFFSTVVESFTKISRPRRFCRFIETISLALEANSSEAILILYQVLEDPSSSPEAIRIKEQAITNLCDRLTEEKRGEDLRKLLTKLRPFFSLIPKAKTAKIVRGIIDAVAKIPGTTDLQITLCKEMVEWTRAEKRTFLRQRVEARLAALLMENKEYVEALALLSTLVKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTGYSYFFEAFESFNALGDPRAVFSLKYMLLCKIMVSQADDVAGIISSKAGLQYVGPDLDAMKAVADAHSKRSLKLFENALRDYKAQLEDDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIAELIGLPLDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKADAIYSATLDTIANMGKVVDSLYVRSAKIMS >fgenesh1_pg.C_scaffold_1002589 pep chromosome:v.1.0:1:12855395:12859138:1 gene:fgenesh1_pg.C_scaffold_1002589 transcript:fgenesh1_pg.C_scaffold_1002589 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVSQSKSLSLFFIDNRSDDKSLTIVYLSSVSDCREGLSTRNASGSEEIQLRIDPMHSDLDDEIIGLHGQVRQLKNIALILSLSIIVECNNLFKGKDDQLSVIWKTCGWCLCCHDIYFGIVQCKLFQVALSMLRDEGITSFYYGSRTFSSGDSSIHCSVLSAGIATLTCYPLQTVRRQMQMRGTPYKSIPEAFARIIDRDGLIGLYRGFLPNALKTLPKSSIRLTTLDMVKGLIATSEKQFQKINDDNGNREQAQ >fgenesh1_pg.C_scaffold_1002596 pep chromosome:v.1.0:1:12903516:12904393:1 gene:fgenesh1_pg.C_scaffold_1002596 transcript:fgenesh1_pg.C_scaffold_1002596 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 65 [Source:UniProtKB/TrEMBL;Acc:D7KDS0] MKRGLEMARNYNDHESSQETGPESPNSSTFNDMKALISSHSPKRSRRSIEKRVVNVPMKEMEGSRHKGDTTPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSTKGCPARKQVERSRDDPTMILITYTSEHNHPWPITSSTRNGPKPKPEPKPEPEPEVEPEAEEEDNKFMVLGREIETTPSCVDEFAWFTEMETTSSTILESPIFSSEKKTAASAADDVAVFFPLGEEDESLFADLGELPECSVVFRHRSSVVGSQVEIF >fgenesh1_pg.C_scaffold_1002601 pep chromosome:v.1.0:1:12938587:12939307:1 gene:fgenesh1_pg.C_scaffold_1002601 transcript:fgenesh1_pg.C_scaffold_1002601 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRRVMASGLHLWKRACPKAAALPLAGIKTSNTAPTAAAGVKVDDALKRAKVAYAKNQKRMMFEELLNMDKSRVKETIDQYKSEELTYVSVTKSDLHQWAKRFDKQGKYEHALAIFEWMDGKKMSFTGNQFADYVALIAETKGMEAARRYFKKVDPNFNRMDSNCKNWPAFQKLLRFQHESLEKKGLMYLNYVGKVRPISDYE >fgenesh1_pg.C_scaffold_1002615 pep chromosome:v.1.0:1:13000737:13001942:1 gene:fgenesh1_pg.C_scaffold_1002615 transcript:fgenesh1_pg.C_scaffold_1002615 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATTSSALHLFTKDQRYKTKGVRYNNLINFLNISLLPVNPKIELDDPHILSRTRRDSREKYDVVAASKKRRHSGEEKPPTKRQKKIIEEVESTTKAKSIRRTLTPSLHKSSVSESKQETKRKVTKPVDARAITTTKVTKPEKSITTSSKESLHESETKKPNGIDLRNLIAKAQDKIQNKRQIDHRRDDITRQRIAARLALNQMVATISFDDHLNYHRELEQLGHTFIQEEVDHLSMFNLWSRSDYNGITNSVLEKNKTPWPDEDSTRKKHRQSSTKGNSMEQHSLQITKNGQSAESGNQSHSSTSVIIQ >fgenesh1_pg.C_scaffold_1002660 pep chromosome:v.1.0:1:13216184:13217239:1 gene:fgenesh1_pg.C_scaffold_1002660 transcript:fgenesh1_pg.C_scaffold_1002660 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKKTKGKQKITIKKIEKDEDRLVTLSKRRNGIYTKLSELSILCGAEVAFLGYSCSGKPYTFGSPSFQAVAERFLNGESSSSSSSLQRSVMNAHQQAKIQELCKVYNRMVEKAKAEEVKVKKAAALAEKMPLNEDAWWKVDPKEVKDHEEAKKILEKCEGLYEKLCDEAAARIQRGDGELERDKVLQRLFIHFSRLDWFVGVWSCGVSASQKPSKPKL >fgenesh1_pg.C_scaffold_1002690 pep chromosome:v.1.0:1:13466644:13469282:-1 gene:fgenesh1_pg.C_scaffold_1002690 transcript:fgenesh1_pg.C_scaffold_1002690 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLRVNRILRRALIRFSPCSISNGEADDASFSTSSSKNPHGPEIQGDSSSQEDGHFGEFSQLGFRKSPALSATSVGDAEFGEIRNPRFNEIDELGEDEDDEEGSVTSGDECDDDFAVLKSVGKIPQSREDVGRFDVEEDESRHPLVREIGRLIGLRSSWNPKHEGQMRNLLRSLKPSQVCAVLRSQDDERVALKFFYWADRQWRYRHDPMVYYSMLEVLSKTKMCQGARRVLVLMKRRGIYRTPEAFLRVMVSYSRAGQLRDALKVLTLMQRAGVEPNLLICNTTIDVFVRANRLEKALRFLERMQVVGIVPNVVTYNCMIRGYCDLHRVEEAIELLDDMPSKGCLPDKVSYYTIMGYLCKEKRIVEVRDLMKKMAKEHGLVRDQVTYNTLIHMLTKHDHADEALWFLKDAEEKGFRIDKVGYSAIVHALCKEGRMSEAKDLINEMLSKGHCPPDVVTYTAVVNGFCRLGEVDKAKKLLQIMHTHGYKPNTVSYTALLNGLCRTGKSLEAREMMNMSEEQWWSPNSITYSVLMHGLRKEGKLSEACDVVREMVLKGFFPGPVEINLLLQSLCRDGRTHEARKFMEECLNKGCAINVVNFTTVIHGFCQNDELDAALSVLDDMYLINKHADVFTYTTLVDALGKKGRIAEATELMKKMLHKGIDPTPVTYRTVIHRYCQMEKVDDLVAILEKMILRQKCKTIYNQVIEKLCGLGKLEEADKLLGKVLRTASRSDAKTCYALMEGYLKIGVPLLAYKVACRMFNRNLIPDVKMCEKLSKRLVVEGAGNDNNMLLYFSLVSDNLQIPSEATRLSLR >fgenesh1_pg.C_scaffold_1002710 pep chromosome:v.1.0:1:13629111:13630795:-1 gene:fgenesh1_pg.C_scaffold_1002710 transcript:fgenesh1_pg.C_scaffold_1002710 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNGMSIFGNVEQQNFNVLHDPKKETVLSIERGSRNPVGRKIRSDRFAYRNDPYRGDSLLAKVIRAASDLVILLAIVLMSQSGTIMAFLGLHIRIAHLFLIGLFSQFTQIQAVVRDELIDNYFSRLIVDEWVSIKNFDVSRVNSILRPVPHRFKIVFRLDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKVVNVRELVFVPSVEHSHGGYFELYFGLRDTECIHLEYSLTGDLAVEFYDLWKRRSRNTVICIIRFVKLELSQERRWRCTNVSGCTRIMLNPNLSITDEMLCWNPENDQVPIITRKKNAME >fgenesh1_pg.C_scaffold_1002712 pep chromosome:v.1.0:1:13649832:13652545:-1 gene:fgenesh1_pg.C_scaffold_1002712 transcript:fgenesh1_pg.C_scaffold_1002712 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRDDKKGRLCSISIPSKLDPIPFVLKMVLATAKFLNKTPQKKHLCREERDINKYDEKDTSPSKVDSIPLDLEVEILTRLPAKSLIKFQCVSKTWSSIIRSQRFIDSYYALSSTMRSDRFIIAFSNGESAKREDKRLFIFSSSYEGHESSSSLVTNLDMTIPSVTVICFSTCASVHGLIGSTRSGPFLVCNPCTGKVTMLPCSGAHTSFGYDPVDGQFKALTQVSPYSYQEPDFLVHEVLTLGGGESSWIVKKVTTPVYYTATRKLCINGFVYFGAWTPRSRIDPVIVCFDVRYERLSFIKAPMDVVCLEGDSILIEYKGKFASIVRHPYADFHSFDLWILEDVKTHDWSKQTFQLPFSLGLGTKMTSPGINKAGEIIFAPKTLSRDVQPFYIFYYNVERKDMRKVMLKGIADDEQFRRRYGLAGNCYVHISPEHVENYAFVEFFGKQCFQYLSSKWRTCLTTISPISLVESMMFAYDNHISLYEYPFEDREVYRLDDVGEVVPHVTIAIQEWIQRVAVSPVDGEEGPADICITELG >fgenesh1_pg.C_scaffold_1002723 pep chromosome:v.1.0:1:13725281:13726510:-1 gene:fgenesh1_pg.C_scaffold_1002723 transcript:fgenesh1_pg.C_scaffold_1002723 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSMKLKKRSRLKKGIKSTIKKENGSSHKITKYWIQRYHLFSRYDQGIELDEEGWYSVTPEVIAIKQAQRCRGKVVIDCFSGVGGNTIQFAKVCSSVVAIDIDPVKVELAMNNAMVYGVANRVDFVIGDFIQLAPSLKGDVVFLSPPWGGPMYRDVESYKLDMLQPRDGYSLFQIAQSITPNIIMFLPRNVDLAQVEELAWLSSPPLTLEIEENFVGGRMKAVTAYFSCNAV >fgenesh1_pg.C_scaffold_1002736 pep chromosome:v.1.0:1:13814571:13819006:1 gene:fgenesh1_pg.C_scaffold_1002736 transcript:fgenesh1_pg.C_scaffold_1002736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toprim domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KFM9] MRFLLRLPQTHLRKLSCSMSVLMGSKQFLEFCLLPSFAAYPSSSSSSSSSSSSSSSISPSYSSSRQVSSVSRRFRPVLASRPVSKNSPYYQRTNGLSSYNSISRVPTPVDTEEEADKRAVLSRLVTLRRKLAEQGIDAENCPPGQSSGLICPTVSISVFLTYLFICQDLSTMWMLLSLMLSVLKKANLDCPLFLWVHQCEGGNSGEKSLSLFIAPDGSSATWNCFRGKCGLKGGVRADGRLASADPIEKVERKITVEGIELEPLCDEIQDYFAARAISRKTLERNRVMQKRIGDEFRNPDLSSYMIGSSPVCPTLLIVIAFTYWQRGELVSCKYRSLTKRFFQERNTRRILYGLDDIEKTSEIIIVEGEIDKLAMEEAGFRNCVSVPDGAPASVSSKETPSEDKASRIVIATDGDGPGQALAEEIARRLGKERCWRVKWPEKSEDEHFKDANEVLMSKGPHLLKEAILNAEPYPIRGLFPFKDFFDEIDAYYHRTHGHEYGVSTGWKNLDNFYSVVPGELTVVTGIPNSGKSEWIDAMLCNLNHSVGWKFALCSMENKVRDHGRKLLEKHVKKPFFDADYGRSVQRMNVEELDEGKQWLNDTFSLIRCEMDSLPSIEWVLERAKAAVLRYGIRGLVIDPYNELDHQRTSRQTETEYVSQMLTKIKRFSQHHSCHVWFVAHPKQLQHWDGGAPNLYDISGSAHFINKCDNGIIVHRNRDEKAGPLDLVQIGVRKVRNKVAGQIGDAYLCYDRATGLYSDSPVTPEIPERRPSNRY >fgenesh1_pg.C_scaffold_1002753 pep chromosome:v.1.0:1:13902191:13905873:-1 gene:fgenesh1_pg.C_scaffold_1002753 transcript:fgenesh1_pg.C_scaffold_1002753 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNLSVAPLESEIKEDMSLKNHPPDEDKDKDTIMEQPSSPRHRKVVARWLPDEAQRPIIDEAPVFTPSLEEFEDTLAYIEKIRPLAEPFGICRIIPPSTWKPPCRLKEKNIWEQTKFPTRIQNVDLLQNREPMTKKPKSRKRKRRRNSRMSSSKRRSGSSPSESTSSPEAEEKFGFNSGSDFTLDEFEKYALHFKDSYFKKKDSGGDIVKWTPSVDEIEGEYWRIVEQPTDEVEVYYGADLENGVLGSGFYKRAEKLTGSDMDQYTVSGWNLNNLPRLPGSVLSFEDCDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYHHFGEPKVWYGVPGSNATALEKAMRKHLPDLFEEQPDLLHGLVTQFSPSILKDEGVQAYRVVQNSGEYVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLTHGQNAVELYSKETRKTSLSHDKLLLGAAYEAVKALWELSASVGKENTTNLRWKSFCGKNGTLTNAIQARLQMEEGRIAALGRDSSILMKMEKEFDSNCERECFSCFYDLHFSASGCKCSPEEYACLKHADDLCSCDEKDGFICLRYTMDELSSLVRALEGESNDLKIWASKVLGVEHSNEDQTKTSSVISEEKKLKEVSVISEERKLKEGSVISEEKKLKEGSFDLNIDLELDYQEDLKEEVSTSGGELTASENLGVSVEPINLGFLIFGKLWCNKHAIFPKGFRSRVKFYNVLDPTRMSNYISEVLDAGLMGPLFRVTLEESPDESFFNVSAQQCWEMVLQRVKDTSTSLGLPTLSQFEGINGLQMFGFLSPSIVQAIEVLDPNHRLVEYWNHKDQTSSDSKDHFISSNCSASLTKGKLFGVDLM >fgenesh1_pg.C_scaffold_1002767 pep chromosome:v.1.0:1:14010281:14010664:-1 gene:fgenesh1_pg.C_scaffold_1002767 transcript:fgenesh1_pg.C_scaffold_1002767 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLEDVEKEEWSKYVYTLPHNDIGVYNVFVVGMTARGEIVLAEKYTSKPFYVLYFNPEKNTLQSVEIQGVGPNGEAFETDCRVYAFVDHVEDLSVHSHIEHHSEGYGYGDGDGYEDEYEYDEEDES >fgenesh1_pg.C_scaffold_1002768 pep chromosome:v.1.0:1:14016255:14018340:-1 gene:fgenesh1_pg.C_scaffold_1002768 transcript:fgenesh1_pg.C_scaffold_1002768 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRRFLAAKKIIGGSVAELRKENSAILGFLAVYVSYASGLIYFPDFRISKDGFDKVICNPSTGQSAILPPDLTRTFRNFSGLLGFDPIGKQFKVLVFNDRTDDEFIYHILTLGTENVSWREIICPLTYGFRWEKICINGVLYYIASDPDEEDEKIGCFDVRLEKFKFLYVSPNLINYKLINYKGKLGLINLDYAYDGGFPLELRMRVLEDVEKQEWTTYVYTLMAENKAVMVNNNLSVVGATASGEIVLAKDNAYKPFYVFYFNPERNTLRSVEIQGVREEEEWFGDHRVYYFVDHVENLRFDVMKTTSAATSLGPPE >fgenesh1_pg.C_scaffold_1002771 pep chromosome:v.1.0:1:14039478:14045069:-1 gene:fgenesh1_pg.C_scaffold_1002771 transcript:fgenesh1_pg.C_scaffold_1002771 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPKEDEDEKRVLCDPLTGQYVILPELRGHSYSYSYLGFDPVDKEFKVLFMNTSDFMAYNYTDHHILTLGAGELKWRKIQCPFTHEPFWERICINGVLYYSAQHSDSSRKRSYVIVCFDVRSEKFKFIRAERCHGQLINYKGKLCGVNVEYNYGGGFPLKLSMWVLEDVEKPEWSKYVYSLWADIKVARYLSVSGMTATGDIVLSMENTSNPFYVFYFNPGRKTLQCVEIQGFGGNRVCTFVDYVEDLSINVEMQNKSSPLQQCRNIVKEKQKPQQRGHTSRDLCNSAPSVKNKQHNKFPFIACEIFTCEIEMILKTLVEDEELMLLLFSFLEDKETHNSLLAGYFSKVVICLLVRKTIPFMQFIKDHQEILKQLVDLIGITSIMELSKGISQYNCSYASGLIYYSIRSKDEDEKRVICNPLTGQYVILPELRVGHSYSYTMFSYLMFDPIDKEFKVLFMNLNRYTAYNYVDHYILTLGSGKLRWRKIQCPFTHELFEGRICINGVLYYSAEHSDSDGRRSCVLVCFDVRSEKFKFIGARNCHYQLINYKGKLCEINVEYAYDGRFPPKLSMWVLEDVEKPEWSKYVYSLDVESKVASYLYVSGMTATGDIVLLMDNTSNPFYVFYFNPERKTLQIVEILGIGSNRVCTFVDYVEDLSVNVALQNKSSPLQERRNIVTEKLKTQQRRHTSHDLCKFAPSVKNKQHNKLLKFIHGIKREPG >fgenesh1_pg.C_scaffold_1002774 pep chromosome:v.1.0:1:14054588:14055325:-1 gene:fgenesh1_pg.C_scaffold_1002774 transcript:fgenesh1_pg.C_scaffold_1002774 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPKEDEDEKRVLCDPLTGQYVILPELRGHSYSYSYLGFDPVDKEFKVLFMNTSDFMAYNYTDHHILTLGAGELKWRKIQCPFTHEPFWERICINGVLYYSAQHSDSDGKRSYVVVCFNHAYDGGFPLELRMWVLEDVHKPEWSKYVYTLRDDNKVVKVNSNLSVSGMTATGDIVLSFKYASNPYYVFYFNLERNTLQVQSVESKVLKLIVIA >fgenesh1_pg.C_scaffold_1002781 pep chromosome:v.1.0:1:14089210:14090343:-1 gene:fgenesh1_pg.C_scaffold_1002781 transcript:fgenesh1_pg.C_scaffold_1002781 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHFSSEDKDGKRVICNPITRKFEILPKPRRLTHRSYDELLGFDPIGNEWKVLSMNNLAKDYEKAHYILTLGTEKVRWRWREIQRPFNHDLQGAGICISGVLYYLAYESDDWIYVIGCFDVRTETFKSLNLNCSYGWSSTLINYKGKLGVINLRHANDVIFPLQLSMTVLEDFEKQEWSTYVYTLMAENIVVKEKHYVSVVGVTATGEIVLVNKNACKPFYVFYFKPESNTLLSVEIQGVGEDHKWFNFHTVCAFVDHVEDLQFNIVKTPEQKRYVKAKL >fgenesh1_pg.C_scaffold_1002792 pep chromosome:v.1.0:1:14183168:14183956:1 gene:fgenesh1_pg.C_scaffold_1002792 transcript:fgenesh1_pg.C_scaffold_1002792 gene_biotype:protein_coding transcript_biotype:protein_coding MRKERKQISFLGFDPIDKQFKVLLINSLVNETVHHILTLEAGIMRWRKIQYCPVTYKPFRKGICINGVLYYFANPTIVCFDVSLKYENDKGWHSGWRSEWETRELHMWILEDVEKQEWSQHVYPSPENGYGKRLSVVGMTTTGEIVLSENLSSKPYKVFYFSPEKNTFQCVNFQYVGANLEEHKYFGTVDAFGDHVEDLSVNNAKQLKSSVFDLRNLGSFESLNKFDALCRLDDD >fgenesh1_pg.C_scaffold_1002797 pep chromosome:v.1.0:1:14202043:14203518:1 gene:fgenesh1_pg.C_scaffold_1002797 transcript:fgenesh1_pg.C_scaffold_1002797 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVKETTNPLQRSQAKESSALEQRPSKISKDGFDNVICNPSTGQFAILPPDLTTYRNFGGLLGYDPIGRQFKVLVHNPKIDDELVYHILTLGTENVKWREIKFPLFSDLSSEMICINGVLYYITLGSHKNIGCFDVRSEKFKFLYANPDYFYNWSRKLLNYKGKLGVTKMENDYEGGFPLKLRMWVLEDVEKEEWTTYSYTVRAENLIKDNDYICVVGATASGEIVLAKLNAYKPFYVFYFNPEKNTLLSVEIQGVGKEKEGFDHHSVYYFVDHVEDIKFDVMKKTYAATSIS >fgenesh1_pg.C_scaffold_1002798 pep chromosome:v.1.0:1:14210007:14210968:1 gene:fgenesh1_pg.C_scaffold_1002798 transcript:fgenesh1_pg.C_scaffold_1002798 gene_biotype:protein_coding transcript_biotype:protein_coding MCIPKEDKDTKRVLCDPSTGQYAILPPDMRTTNNSNYHDFLGFDPIDKQFKVLVFNHTVDDKLVYHIVTLGTENLRWREIICPLTYGYCWEHICINGVLYYLAFDPNKEHDMIGCFDVRSEKVKSLNLNPDCFRNWSTKLINYKGKLGVINLEDDCDGRFPLKLREIVLAKGNVYNPFYVYYFNPEKNTLLSVEIQGVREGEDWSNIHRVYYFVDHVEGLQFDVMKTTYAATSISPLEQSTSTSSREDHQVRTLAHQKEDRLTFESVNKFDALSLLEDD >fgenesh1_pg.C_scaffold_1002802 pep chromosome:v.1.0:1:14224355:14225266:1 gene:fgenesh1_pg.C_scaffold_1002802 transcript:fgenesh1_pg.C_scaffold_1002802 gene_biotype:protein_coding transcript_biotype:protein_coding MRISNENDDVVRMICNPSTGQYAILPPDLRTGYQEVGGFLGFDPIGKQFKVLVYKRRVDDELVYQILILGTENMKWREIICPLTYGPYGYRWEHICINGVLYYIADDPDEEHDMIGCFDVRFEKFKFVHLAPYCLPNWSTKLINYKGKLGVINLEDDYDDGGFSLKISMWVLENVEKHEWTTYAYTLRAENKVVKVSQNLSVIGVTGSGDIVLANHNLYKPIYVFYFNPERNTLLCVEIQGVREEEEWFKNHKVYCFVNHVEDLRFDVMKTTSISPPEQSTSTSSREAEAHQVRTVAHLKQDR >fgenesh1_pg.C_scaffold_1002808 pep chromosome:v.1.0:1:14259838:14262329:-1 gene:fgenesh1_pg.C_scaffold_1002808 transcript:fgenesh1_pg.C_scaffold_1002808 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFVVWGYNLSFQSLGVVYGDLGTSPLYVFYNTFPRGIKDPEDIIGALSLIIYSLTLIPLLKYVFVVCKANDNGQGGTFALYSLLCRHAKVSTIPNQHRTDEELTTYSRTTFHEHSFAAKTKRWLEKGISRKNALLILVLVGTCMVIGDGILTPAISVLSAAGGLRVNLPHINNGIVVVVAVVILVSLFSVQHYGTDRVGWLFAPIVFLWFLFIASIGMFNIWKHDPSVLKAFSPVYIYRYFKRGGQDRWTSLGGIMLSITGIEALFADLSHFPVSAVQFAFTVIVFPCLLLAYSGQAAYLRKYPHHVEDAFYQSIPILLFTVLSLVVECTYFSAVLFKVNQGGWVPLVIAAAFLVIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPATHSVVIFVCVKNLPVYTVPQEERFLVKRIGPKNFHMFRCVARYGYRDLHKKDDDFEKRLFESLFLFLRLESMMEGCSDSDDYSVCGSQQRQSRDGVTGNGNENRNLSTFDTFDSIESVIAPTVTKRTSHTVTGSSQMSGGGDEVEFINGCRDAGVVHIMGNTVVRARREARFYKRIAIDYVYAFLRKICRENSAIFNVPQESLLNVGQIFYV >fgenesh1_pg.C_scaffold_1002844 pep chromosome:v.1.0:1:14537343:14540056:-1 gene:fgenesh1_pg.C_scaffold_1002844 transcript:fgenesh1_pg.C_scaffold_1002844 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNNILYHTFIKSPKLVWFMIQYYLIDAASVRRIASLLACLFLNIICRSVLKILPLRSLTGRTVEKRKHYCNLHNCPPLRKSEIEYYAMLAKVGVHHYNGKFSEVSDLSKWFSSYVYESPMLDTSDGLEFLGESKGTKEMELVSSQAKDMSQSQVDFQMKTAVFGGNLREKVAVFFENLRERKRLQQPVKLS >fgenesh1_pg.C_scaffold_1002854 pep chromosome:v.1.0:1:14592408:14593845:1 gene:fgenesh1_pg.C_scaffold_1002854 transcript:fgenesh1_pg.C_scaffold_1002854 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHALPGTLFLVVGVWHIWSSVVRFISNPSSFRVRVWHPVPGFNDRIKYLELYVVTIGSFIDLCIEFLYSTHLKFFVDGVLNPSHMNDFEHSGMLLMFFILGFIALLSEKTRLLPLPQEALCLIAATAFTAECLLFFFHSTSHKGLEGYYHLLLVFLIGLCVISSIAGAICPTSFPVDLCNGIAMTLQGLWFYQTAFTLYGPMMPQGCSLKQNSVVCRSVDSEVSGEFLANFQLFSLVLAVLVCVVGSYVFAASRFGVSR >fgenesh1_pg.C_scaffold_1002869 pep chromosome:v.1.0:1:14680274:14681467:1 gene:fgenesh1_pg.C_scaffold_1002869 transcript:fgenesh1_pg.C_scaffold_1002869 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLLDGLHKDLNKLQQETYIEAKYSEGLLINEVAVEAWKNYKDQIDSLIVDAFHGQSSSTMICQDCGKTSFKFDPFKSLLLPLVGFRSIMVTVFDGNGSNLPIPYTVIVAKNGVFNDLSKAISDVCLLNSQERLELSQVDEYKSYFVNPLDLLSTIEDTDYLVGYRFNLVNKGRELFKLEVYHGEEDTCNTYTLQKETLSGIDIDACVKILMSPLRRGHDDSELPSFSLLLADFRASSFEPLESYSLVTPSAVTKVFLKWNQKVGDFEDIQSPYRSSLSYIKSSRSSRSETAVCEWIILIPSGAKIQR >fgenesh1_pg.C_scaffold_1002878 pep chromosome:v.1.0:1:14733483:14733898:1 gene:fgenesh1_pg.C_scaffold_1002878 transcript:fgenesh1_pg.C_scaffold_1002878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7KHI5] MDKHIFCQFLVLMMLMSSSQIQGELRKGCYDSGITVLMGCPDSIDKKLPAPPTPSEGCCTLVRTIGMKCVCEIVNKKIEDTIDMQKLVNVAAACARPLAPGSQCGSYRVPGA >fgenesh1_pg.C_scaffold_1002886 pep chromosome:v.1.0:1:14812497:14813674:1 gene:fgenesh1_pg.C_scaffold_1002886 transcript:fgenesh1_pg.C_scaffold_1002886 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSENSRGIGGGDRTAKAIVADQISQAVNSTANLLQLMRQSSSSQAQLAKLPKNLLAKASLTKSTGQALAQLPPVISSLDAHIESGLHSGVHLNTVTQLLENMESTQLRALRQSNISPVV >fgenesh1_pg.C_scaffold_1002917 pep chromosome:v.1.0:1:14987188:14989296:1 gene:fgenesh1_pg.C_scaffold_1002917 transcript:fgenesh1_pg.C_scaffold_1002917 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAISQNITPDILVGDGVQHNWKQYREVFGYPGQLGVHDVVDGFVEDSIERTTFKTPETLATVHVSEYVIDTPVEPMDEDNPLKPLVFAEDVPIKETLVSVIASQEIIDTPMQPISEDNPLQDHVKIVHTPDTEISQANLNMVYLKTSQTLIIEALVLVGDPVFDTTSKSEISNQSSPTEGKIFLRENIDGQNIVDNQVYL >fgenesh1_pg.C_scaffold_1002924 pep chromosome:v.1.0:1:15071639:15072830:1 gene:fgenesh1_pg.C_scaffold_1002924 transcript:fgenesh1_pg.C_scaffold_1002924 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAQHHSSNLILFNKRNGKEKEDNFTLQSQAGDFLDQTNMLFNNGSSNQRKRRRETNNHQFLPLQSHQFPQVIDLSLLHNHNHPPSNMVHTGLRLFSGGDQAQKISHRLSFVSDSSEDVFAAHINRQSEELDEFLHAQAEELRRTLVEKRKKHYKALLGAVEEPLVRKLREKEAEIERATRRHNELVTRDSQLRAEAQEWQERAKAQEAAAASLQAQLQQAVNKCGRVSAQDSRAAEDGTAGISGLDDAESVYVDPERMRRPSCKACREREATVVVLPCRHLSICPECDRTALACPLCLTLRNSSVEAILG >fgenesh1_pg.C_scaffold_1002937 pep chromosome:v.1.0:1:15172850:15173855:-1 gene:fgenesh1_pg.C_scaffold_1002937 transcript:fgenesh1_pg.C_scaffold_1002937 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHPTVKWAQRSDKVYITVELPDAEDVKLKLEPQGKFFFSATSGASKTPYEVDLDLFDNVDVNESKASVNSRCICYMVKKAESKWWNRLIKQEGKPPVFLKVDWDKWVDEDEDKGGGADMDFGDFDFNSLNMGDTDGIGDEEGGSDMEEEIMAESKVAEKIIEEGDGEKDEAASDVKKD >fgenesh1_pg.C_scaffold_1002945 pep chromosome:v.1.0:1:15231151:15232193:-1 gene:fgenesh1_pg.C_scaffold_1002945 transcript:fgenesh1_pg.C_scaffold_1002945 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESKTWSWHEKLYIIMMHVLIVSSGLAAFLFIRLNNIPLLLCPNVGVLVLLMLYSSTGFLGSTDDCFFDLQCLSHVFGLALVFHLLYNFSHAPMLALWIAIPSSKKPRNPVLFTIWELTITFSSTCAMFGYKDLSITRLVRKKTGWFYKAMIEAPMGVNVTVTPSTLVFNTLRPESSRFKVRVLTNQRVNTRYYFGSLTWTESVHNAVIPVSVRTQIMQRYYNEN >fgenesh1_pg.C_scaffold_1002976 pep chromosome:v.1.0:1:15433075:15435178:1 gene:fgenesh1_pg.C_scaffold_1002976 transcript:fgenesh1_pg.C_scaffold_1002976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KIH8] MGTRVTQFTYLHAPSSHMAEELVNQFISAAISKSRHLNHLKQVQSFLIVSGLSHSHFLCFKLLRFCTLRLCNLSYARFIFDRFSFPNTHLYAAVLTGYSSSLPLHASSAFSFFRLMVNRSFPRPNHFIYPLVLKSTPYLSSAFSTPLVHTHLFKSGFHLYVVVQTALLHSYASSVSHITLARQLFDEMSERNVVSWTAMLSGYARSGDIFNAVALFEEMPERDVPSWNAILAACTQNGLFVEAVSLFRRMINDPCIRPNEVTLVCVLSACAQTGTLQLAKGIHAFAYRRNLSSDVFVSNSLVDLYGKCGNLEEASSVFKMSSKKSLTAWNSMINCFALHGRSEEAIAVFEDMMKLNSHDIKPDHITFIGLLNACTHGGLVSKGRGYFDLMKKRFGIEPRIEHYGCLIDLLGRAGQFDEALETMSTMKMEADEAIWGSLLNACKKYGHLDLAEVAVKNLVALNPNNGGYVAMMANLYGEMGNWEEARRARKMIKHQNAYKPPGWSQIEIDNKLLLLSNSELPASIYTGYMASEKLWKLEIFFIDLSTGPWTIKHSRRGSLLRQALVLVSYDFKLSNTSSCIFIGNDQ >fgenesh1_pg.C_scaffold_1002986 pep chromosome:v.1.0:1:15499949:15503308:-1 gene:fgenesh1_pg.C_scaffold_1002986 transcript:fgenesh1_pg.C_scaffold_1002986 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSIWLQRRTIKNLEVDNLVVMAKKLKDLSDYDRCNSSDLSSLGMRTTFIPGNSLSTIELGLSKELREMLPIVVFRESFTVMDSQCSVCLGDYQANDKLQQIPVCKHTFHMDCIDLWLTSHTTCPLCRLALIPSRSHQSQEDPVPSLVSPDGEVLSQPESEPVNHRGVSSQPESEPVNHRGVSSQPESQPVNHINDGQEKQCDQDGEGFKEMQEAERNSSGSSNACCSCRPVHYCCVSRDNQILYSYNNAGDHRNNESLAALCLEKTPSFHKWYFETISKRTFGFLMEDGFVYFTIVDDVFKRSSVLDFLEKLKDESKKANKKNSRGSFSGSISFSNVQDQIVRRLIASLENDLTCLPISLPSIDGAEQSDAANSTKAPLLGRSNKQEKKKGRDHVHSLRGIEIEEHRKSNDRGNVTECSNASSAAATYVSRRGRLGGSQSIERKWRRQVQIVLAIDAAICLTLLGVWLAICHGIECTRS >fgenesh1_pg.C_scaffold_1003013 pep chromosome:v.1.0:1:15749617:15751214:1 gene:fgenesh1_pg.C_scaffold_1003013 transcript:fgenesh1_pg.C_scaffold_1003013 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKELLSKAPWRGDDDDESDKFSNAKLKVTKDSDGMSKMHVPSRGTKKGSLADDDDDDSLEIDPQLRYSFNRNYQFLQSVFTIDTLVKPLPPAMAFNVSRNLSFFTRIFTQFFDPEGIANAQKSLGLGQEDKARRVR >fgenesh1_pg.C_scaffold_1003021 pep chromosome:v.1.0:1:15808990:15811489:-1 gene:fgenesh1_pg.C_scaffold_1003021 transcript:fgenesh1_pg.C_scaffold_1003021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Avirulence-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7KJC1] MAEDQNTTDRWELPSASEPVKNVVLVGRTGNGKSATGNSIIGRKVFESKYQAVGVTTKCKTFRAVTPDGPIINVIDTPGLFDLAVSAEFISKEIVNCLILAREGLHAVVLVLSMSTRISQEEENALCTLQMLFGASIVDYLIVVFTCGDMLEERNMTLEDYLSNGCPEFLKKVLRLCGGRRVVFDNRTKDEGVKAKQVHELLVHVAAIERETGGNPFTDTMHRRIQEEAERVKREEKEIEEKNIADEEKAKLKKQLDKSYSQNMNMMAQMMERIFKESAAANERQMNMMKDFMEISIIGKDAQRKRDEEKREQQAARKQECNIL >fgenesh1_pg.C_scaffold_1003025 pep chromosome:v.1.0:1:15837743:15839092:1 gene:fgenesh1_pg.C_scaffold_1003025 transcript:fgenesh1_pg.C_scaffold_1003025 gene_biotype:protein_coding transcript_biotype:protein_coding MNHWEQPSAYKAVKNIVLVGRTGNGKSATGNSLIGKDVFVSEAKATGVTQTCQTYKAVTPAGSRINVIDTPGLFDLSVSAEFISKEIINCLRLAEGGLHVVVLVLSVRTRITQEEENTLSTLLVLFGTEILDYLIVLFTGGDALEENNQTLDDYFHQGCPDFLKTVLGLCGDRKVMFNNMTKDKRKKLEQVQQFLALVAKVEEHNDRKPFKGKMYREIKEETKWLKEQKKAVEARNLGEAELAKMKKEIQMEYDTRMSQMEEMVKNTLKETSAAHERMVFMLNENLEKAQRQNIDLRKEHDHEQKKRMLIQLGFGAIGPAAAALPLCSIL >fgenesh1_pg.C_scaffold_1003036 pep chromosome:v.1.0:1:15933788:15934887:1 gene:fgenesh1_pg.C_scaffold_1003036 transcript:fgenesh1_pg.C_scaffold_1003036 gene_biotype:protein_coding transcript_biotype:protein_coding METTKLKRHLGFLNILSGVDETYQKRECILALATFLVIVCITYDTTIDISAKNTRGLEAVDLINVDDEDYDKISGWLLGKYNIGNTSEYKMQMFEAPPSSESKMHAEALQNARNTITIVAVLIASVAFTCGINPPGGVYQDGPYKGKSTAGTTLAFKIFSISNNIALFTSLCIVILLVSIIPYRTRPLMNFLKLTHRMLWVAVASMALAYVAAASTIIPHIEGTRWFFTTVLSISTVMLGGLFAFMTYKLFRHWLRKFVWNLRVTSLLAPVISSKMFFISVATDRQVTDNDGYYFY >fgenesh1_pg.C_scaffold_1003048 pep chromosome:v.1.0:1:16006947:16009093:1 gene:fgenesh1_pg.C_scaffold_1003048 transcript:fgenesh1_pg.C_scaffold_1003048 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC017 [Source:UniProtKB/TrEMBL;Acc:D7KJF5] MADSSPDSCFKGGKFSAPGFRFHPTDEELVMYYLKRKICRKRLRVNVIGVVDVYKMDPEELPGQSMLKTGDRQWFYFTPRSRKYPNAARSSRGTETGYWKATGKDRVIEYNSRSVGLKKTLVFYRGRAPNGERTDWVMHEYTMDEDELGRCKNPQDYYALYKLFKKSGAGPKNGEQYGAPFQEEEWVDDDNEDENAIAVPEQPVVRYEDTRRVDGRRLFNPVSLQLEDIDELLNGIPNAPGVPPRCIPQVNSEEELQSTLMNNSAREFLPNDQPYNRPSSFDSLETAEVTSAPKTSGIAPLVFEKEDYIEMDDLLIPELGASSTEKAVQLSNHGGFGDFDEFDQLFHDVSMSLDMEPIDQGLLRICLIRQFLYQQFQDQTPENQLDNIMDPSTTLDQFTNDIWFQDDQAILFDQQQSFSGAFASPSSGVIPDSTNPTMSVNAQGQEHQNGGGPTSQFSSALWALMDSIPSTPASACEGPLNRTFVRMSSFSRMRFNGKANVTPVTTTIAKKGIRNRGFLLLSIVGALCAIFWVFIATVRVSGRNVFS >fgenesh1_pg.C_scaffold_1003049 pep chromosome:v.1.0:1:16010759:16011903:1 gene:fgenesh1_pg.C_scaffold_1003049 transcript:fgenesh1_pg.C_scaffold_1003049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase family protein [Source:UniProtKB/TrEMBL;Acc:D7KJF6] MSGDNQIRFGLLTCTNIVRKLSRAINLSPNATISAIATTSSIEEAKSFTKSNNFPPNTKIHISYESLLEDPDVDAVYFPIPTRLHLEWATRAARKGKHILLDKPVALNVSEFDQIVEACEVNGVQFMDGTQWMHNPRTYKIKEFVNDFESFGQIKSVHSCFSFAANDDFLKHDIRVKPGLDGLGALGDAGWYTIQAILLANDFKLPKTVTALPGCVLNDAGIVLSCGALFDWEEGISATMYCSFLGNLTMEITAIGTKGTLRVHDFVIPYRETEAAFTTSARGCVSEHKVMTELPQEACMVIEFARLVGEIKNRGAKPDGFWLSISRKTQLLVDAVKESIDSNLEPVFLSGR >fgenesh1_pg.C_scaffold_1003053 pep chromosome:v.1.0:1:16029587:16030716:-1 gene:fgenesh1_pg.C_scaffold_1003053 transcript:fgenesh1_pg.C_scaffold_1003053 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCEKIGVKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTVLIGLLQIIPTNAGVRIDTLRGFLVVFMIYFVLLVCEVKFRRNQALIYYCVGNFSHLFGVFAADMLVSLIFPIFALVIATLYLFWFFFVMYRSFAKNMPPKVDQSGTANPVDQLPPV >fgenesh1_pg.C_scaffold_1003054 pep chromosome:v.1.0:1:16041605:16043257:1 gene:fgenesh1_pg.C_scaffold_1003054 transcript:fgenesh1_pg.C_scaffold_1003054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KK41] MDIRNFRVEEPQNAQVNHIDFLTKKDALNIYTKNMSNQKDYDRNLESEIDTNSFLHDDLALSLSGGTFNIKEPLENNISSSHGIHTQDSNERGIDKHLTTIDIENTSGMEISNFCVQETLYAQLDRFLLNDDFFASPYSKNQSCQKDYEHTNSYFHDDLALSLVGGTFNRKYPLESYISSSHNIHRQYSDEMAIDQDITAIDFENTSWVMNAH >fgenesh1_pg.C_scaffold_1003055 pep chromosome:v.1.0:1:16047183:16048336:1 gene:fgenesh1_pg.C_scaffold_1003055 transcript:fgenesh1_pg.C_scaffold_1003055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KK42] MGYKIVLDFGPYNQPEGGSGGLFGTWLGKYCLDHPFGLTYEDWRNDTFHFDASNEEYKKSMMKILGQKAKNHKNLLWNKHKRDTLEESIENRPKNISEEEWQDFVHLQFTEKIKILRKRNTESSHHKTPHKLGKKSIARKSKEDLVNISSLSRRVNVQVEKPMEINPLDSCSIICILLVAVRKKKKIIGVESIRNVPISSSSSPRQNPKDDQTILLMSLHQANDKIATLEESQAAYQQKMQAKLDHYEHLFDIIANRDPTVEVALKEKRRQQELQQANN >fgenesh1_pg.C_scaffold_1003068 pep chromosome:v.1.0:1:16183444:16189901:1 gene:fgenesh1_pg.C_scaffold_1003068 transcript:fgenesh1_pg.C_scaffold_1003068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:D7KK63] MTLGLRGSNKIFYALDGTHHTISHTLPCKAKQPPPLQRRVIAIQLKVERNSDETYAEITLMPNTTQVVIPTQNENQFRPLVNSFTKVLTASDTSAHGGFSVPRKLAIECLPPLDMSQPLPAQELLTIDLHGNQWRFKHSYRGTPRRHLLTTGWNAFITSKKLVAGDVIVFLRGETGELRVSIRRARYQQGNIPSSLISIESMRHGVIASAKHAFDNQCMFIVVYKPRFIFCVCISIRSSQFIVNYDKFLDAVNNKFNVGSRFTMRFEEENFSERRYFGTIIGVSDFSPHWKCSEWRSLKVQWDEFASFPRPDKVSPWEIKHSTPSSNVLPSSMLKNKRSREFNEIGSSSSHLLPPILTQGQEIGQPSMTSPMNVPLSYRDAIEDDSTPSRLLMSYSVQTMPRLNYNNDQIVTPIEGNITNNGGASCRVFGVSLATPPVIKDPIEQIDSDPNLEISKLSQEKIFGLGQMRSTREIQSKQLSSTRTCTKVQMHGVTLGRALDLSVLNGYDQLILELEKLFDLKGQLQNRNQWEIVFTDNEEDEMLVGDDPWPEFCNMVKKIIIYSKEEVKNFKSGNSRSN >fgenesh1_pg.C_scaffold_1003070 pep chromosome:v.1.0:1:16300058:16303020:1 gene:fgenesh1_pg.C_scaffold_1003070 transcript:fgenesh1_pg.C_scaffold_1003070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KK65] MKKIHVPPETGKFMFCLFFTFFSCCHVCFSELSLNQTNTMIELSRFLNISDWNLPGSEGNPCSWNGVLCSRPGNSSVISLSLSNFDLSNSSFLPLVCNLQTLESLDVSNNRLSSIPDGFVTNCEKLIGLKHLNFSTNKFSSSPGFRGFSKLAVLDFSHNVLSGNIGDYGFDGLVQLRSLNLSFNSLTGSVPVHLTKGLEKLEVSDNGLSGTIPEGIDDYQELKLIDLSDNQLSGSIPSSLGNLSKLESLLLSNNYLSGLIPESLSSIQTLRRFAANRNQFTGRIPSGITKHLENLDLSFNKLAESIPDDLLSQLKLVSVDLSFNHLVGWIPQSISPSLVRLRLGSNKLTGKVPSTAFESLQNLTYLEMDNNSLTGNIPPSFGNLVILNLLNLAMNEFTGNLPPAFGNLTRLQVIKLQENKLTGEIPDTITFLSNLLILNISWNSLSGSIPPSLSNLTRLSSMNLQGNNLSGTIPDNIRNLEDLIELQLGQNQLRGRIPVMPRKLQISLNLSYNLFEGSIPTTLSELDRLEVLDLSNNKFSGEIPDLLRSLLSLTQLILSNNQLTGNIPKFTKNVLLNVSGNPGIKLNNDDKFSIPKSSSGKSKLVFVIIFVASGICAIVAGIITVILLKLSRRFKGINNIEAEQNEEGSTVLPEVIHGKLLTSNALHRSNINFTKAVEAVAHPESALYQTMFWSYYRVVMPSGSSYFIKKLNTRDRIFQQASSEQLELELEMLGKLHHANIMVPLAYVLYSEGCLLVYDFAHTCTLYDVLHNPTSDVVDWTSRYSIAVGIAQGISYLHGSISNGRDPILLPDLSSKKIILKSLTEPLVGDIELFKVIDPSRSNSSLSAVAGTIGYIPPEYAYTMRVTMAGNVYSFGVILLELLTGRPAVSEGRDLAKWVQSHSSHQEQQNNILDLRVSKTSTVATKQMLRALSVALACINISPGARPKMKTVLRMLTRL >fgenesh1_pg.C_scaffold_1003073 pep chromosome:v.1.0:1:16310985:16311835:1 gene:fgenesh1_pg.C_scaffold_1003073 transcript:fgenesh1_pg.C_scaffold_1003073 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTYTNKGDNIRSLNQSGIQASQLEQQLVLTTKTEQMIPLRLNEGMNHQWRAHDYTHLHYGAIRLALTLHGRKGLHVVARVALLDTRYREYQHACIATIQTTLNAGTVFVTLFPNFNVALEDPHVYQNMQIQLQITGAPQIGNTYAATLHHQMAYRDQNYAMDLSLPRDTEDALLIQLESQHSPSCIHIPRQIPKEELVKLLPES >fgenesh1_pg.C_scaffold_1003092 pep chromosome:v.1.0:1:16536105:16536689:1 gene:fgenesh1_pg.C_scaffold_1003092 transcript:fgenesh1_pg.C_scaffold_1003092 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSPVSFSAAIAVFLLSISYFPGALSEFDEEAFDLKLIAIFSILTTSLIGVCLPFFARSVSAFQPEKSLFFIVKSFASGFIHVLPDSFEMLSSHCLNDDPWHKFPFTGFVAMMSAVVTLMVHSITTSVFSRSSRNEPCADVASADTLDQEMGRLQAHAHHGHGLNLSDDKELGSSLQFL >fgenesh1_pg.C_scaffold_1003098 pep chromosome:v.1.0:1:16555884:16560740:1 gene:fgenesh1_pg.C_scaffold_1003098 transcript:fgenesh1_pg.C_scaffold_1003098 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVRRDRRERQRFTPQTTQQTWVPRGTSSTSVVVNETTFPFDANTNFGSLDAGARPGPVYQQRQHYESGPLLNNPQQRSNVLGPPPFNRQRRNNGSRTVPDNRQRGGSRGRLVQTVNEGTGLDKERSGHKENTVLTNPNLPQLLQEIQDKLMKGSIECMICYDMVRRSANIWSCSSCYSIFHLNCIKKWARAPTSVDLLADKNQGSNWRCPGCQFVQLTSSKDIQYRCFCGKRKDPPSDPYLTPHSCGDICGKPLEKEFATAAMANENTCPHVCVLQCHPGPCPPCKAFAPPRNCPCGKKMIRTRCSERKSNHTCGQSCDKLLYCGRHRCERACHVGACDPCQVQVNASCFCKQTVESVICGDMNVKGEVKAEDGVFSCKLNCRKQLECGNHYCRDVCHPGPCGDCEFLPSRIKSCYCGKTSLEEERRSCLDPIPSCSNICSKFLPCGLHNCKEMCHSGGCSPCLVQVRQKCRCGSTSRTVECYKTVLETEKFICAKPCGRKKNCGRHRCNERCCPLLNHPKNDDLSGDFFDLHFCHIPCGKRLRCGQHSCETLCHTGHCPPCLEMIFTDLACACGKTSIPPPLPCGTPVPSCQLPCSVPQPCGHSATHGCHFGDCMPCSVPMEKKCIGGHVVLRNIPCGLKDIRCNKICGKTRCCGMHACARACHSKPCDNGGNASVTGLRVTCGQTCGAPRRDCRHTCAALCHPSAPCPDQRCEFPLKIACSCGRITATIPCDVGGSAGGFSGDSIYETSILQKLPVPLQPVESNGNRIPLGQRKLTCDEECAKVERKRVLQDAFDITPPSLDALHFDENTAMTEIISDLYRRDPKWVLAVEERCKFLVLGKARGSTSSSLKLHIFCTMEKDKRDAVRLIAERWKLAVSNAGWEPKRFAVVYVTQKSKPPTRIIGVKGVASAGGPHAPAFDPLVDMDPRLVVCFSDLPREANISALVLRFGGECELVWLNDKNALAVFHDPLRAATAMRRLEHGSAYQGAVVVVQNQGQSPSLSNAWGIPGSSVKRGNPWHKAVIKESDDSWGIEDSPIGGSMLKSAKDNALITPLVNRWSVLESDKTVSVEPLAQTEGTSSSKAAGKEPEETAVVDDWEKIKSNKLSIYALPFVGHSSIFYNFVSPTKEKSGFWSNRKAWILQPSGLNFVWGGDSRYWVIPKEPRCLPIHFDLTDKPNFGLTDTKSLKLIHLIVGFRMPAELKMVSWLEVTGCFEKIEPAKTYRIGFKISFKTDATGWDEAPLFMSAKIGKKGKTVWKRIKSVNQNFGKLIDGSEPINIPDESDGQFEISVSPASLNQDTMLQFGLYEVWTGRWKTGLLIHEAFVHEV >fgenesh1_pg.C_scaffold_1003102 pep chromosome:v.1.0:1:16576186:16577592:1 gene:fgenesh1_pg.C_scaffold_1003102 transcript:fgenesh1_pg.C_scaffold_1003102 gene_biotype:protein_coding transcript_biotype:protein_coding MHPELIDFNEISNNLDEEENMTFPMGGDTEDYDKPRVDTLDYFDFDGSISRSFLLRAYHCEGDECRKHTYLALVKLYARAGLHLYNMLNGKNLKLDRLKRFNKDMHFLSSYYITLLAFDPTSRLQETFQVIVDDNCFGSLDFICPTARPKPLVITKGRPFIPLQDDTSVPPFYKGSLPEWPPEDAFNDRKWVYVVNESELQNTDWIYLYLELVICSRHREISDGDLSKLKIVNVAIETSEEVEPPNERLNAKHAFVYITFKGLAIGRTGEHVERKVIVRRVINEREGYLYLKGDIWS >fgenesh1_pg.C_scaffold_1003103 pep chromosome:v.1.0:1:16583227:16584577:1 gene:fgenesh1_pg.C_scaffold_1003103 transcript:fgenesh1_pg.C_scaffold_1003103 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSRPDALNQLPDVNLQQIQAEAYCEIDEQYVESLIGKWGFTQATSKPRKSPYYLNDDGKLAVLCWETEEIKDIVGPVRDQKQHLLCWAYAASDLVSAQRILRQWENDYYPLCPHYLCRFVHPGWLGKDEKAEPGHFCYRCSIKDALKHIKKEGIPREINSVFECSSDSRSRDPIPRGHGEVKSIRTLEDTLEAALKELPLQPIGADLIVFSDLWKSGRNIYHGPKSIGSKFEGYHAVIIVGVELIGNEVVAVCKMSNGETAADLGYAYVSLSLRYMIVGGNDEMKRYVRPTLQPMPLLSNFIVPEMDNMAGSSHAGSTYKNQTGMEGSTYPLSSAPKDESSGSSANLGVDHVEHHKDVFKQEIDRFEDDEYNTGSKKCG >fgenesh1_pg.C_scaffold_1003104 pep chromosome:v.1.0:1:16591367:16592862:1 gene:fgenesh1_pg.C_scaffold_1003104 transcript:fgenesh1_pg.C_scaffold_1003104 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRDKPKSIGMHPELIDFTEISNNLDEEENMTFPMGGDTEDYDKPRVDTLDYFDFDGSISRSFLLRAYHCEGDECRKHTYLALVKLYARAGLHLYNMLNGKNLKLDRLKRFNKDMHFLSSYYITLLAFDPTSRLQETFQVIVDDNCFGSLDFICPTARPKPLVITKGRPFIPLQDDTSVPPFYKGSLPEWPPEDAFNDRKWVYVVNESELQNTDWIYLYLELVICSRHREISDSSKSICNAFA >fgenesh1_pg.C_scaffold_1003106 pep chromosome:v.1.0:1:16608443:16609903:1 gene:fgenesh1_pg.C_scaffold_1003106 transcript:fgenesh1_pg.C_scaffold_1003106 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFFVFFRNFSTVGRVAQSVRVTSRIFFSTGELIRVLPYTRSSRSICSTRAAHNEEAAAKAAASVADTGAPTIFDKIIAKEIPSDIVYEDENVLAFRDINPQAPVHVLVIPKLRDGLTTLGNAEPRHVEVLGQLLHASKIVAEKEGILDGFRVVINNGVEACQSVYHLHLHVLGGRQMKWPPG >fgenesh1_pg.C_scaffold_1003113 pep chromosome:v.1.0:1:16635690:16636095:-1 gene:fgenesh1_pg.C_scaffold_1003113 transcript:fgenesh1_pg.C_scaffold_1003113 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSVKTPTLIYNSAALAVHNRQPSFYGLRLKPTKFRCSASALTPQLKDTLEKLVNSEKVVLFMKGTRDFPMCGFSNTVVQIVKNLNVPFEDVNILENEILRQGLKEYSNP >fgenesh1_pg.C_scaffold_1003115 pep chromosome:v.1.0:1:16641477:16642176:1 gene:fgenesh1_pg.C_scaffold_1003115 transcript:fgenesh1_pg.C_scaffold_1003115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKB2] MEIVTGLARIIAATTTTMAFSPNPLSLSVLDPAFESWLRDSSYLELLDHRTSAAAAAASSSASVSSSAAATSAASDDEHPLPVVSSLLFCLDASLSLLFLQLTLSPSSPMIFPEIRHRGPPVSLAIVTLIRFQRLLSKRELEFMSKSNDSLGITLRYSSSSSLVHYIKCIGEIVSKLSPLGAIQIVQCQMEI >fgenesh1_pg.C_scaffold_1003121 pep chromosome:v.1.0:1:16666805:16668497:1 gene:fgenesh1_pg.C_scaffold_1003121 transcript:fgenesh1_pg.C_scaffold_1003121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxylic ester hydrolase/ lipase [Source:UniProtKB/TrEMBL;Acc:D7KKC0] MASLDSHVLMKLVSLFLSSLFVTIGSSESQCQNFESIISFGDSIADTGNLLSLSDRYNLPMSAFPPYGETFFHHPTGRFSDGRLIIDFIAEFLGLPYVPPYFGSINGNFEKGVNFAVASATALESSFLEERGYHCPHNISLGIQLKSFKESLPNICGLPSDCREMIGNALILMGEIGANDYNFPFFELRPLDEVKELVPLVISTISSAITELIGMGGRTFLVPGGFPLGCSVAFLTLYQTSNVEEYDPLTGCLIWLNKFGEYHSEQLKEELKRLRQLNPHVNIIYADYYNASLRLGQEPTKYGFINRHLSACCGVGRPYNFNFSRSCGSVGVESCNDPSKYVAWDGLHMTEAAHKSMADGLLNGPYAIPPFNWSCLSSKIKKKEVIRNTIFFDE >fgenesh1_pg.C_scaffold_1003133 pep chromosome:v.1.0:1:16713921:16715098:1 gene:fgenesh1_pg.C_scaffold_1003133 transcript:fgenesh1_pg.C_scaffold_1003133 gene_biotype:protein_coding transcript_biotype:protein_coding MERQYEKKITWTIKNFSTLQSNEFYSDNFVVGDSKWRLLAYPKGNGDGFNKSFSLFLAVADSESLPNGWKRHIKYRLTVVNQMSEKLSKQEELQSWFDQNSLSWGYPAMLPLTKLVDENDGFLVNGEVKVVAEVGVLEVVGKSDVLVETLLVQESIDVNGFQVLPSQVDSVNNLFKNYPDIASKFCLENQLLRATYMNSLLCLTEILSQSPEKLSSVDLANAHCTLSSLTKAGFKLDWLEKKLKELRDTRVQQLEQDLKDLKEKFTLDDEDDFCRLCLRLWI >fgenesh1_pg.C_scaffold_1003140 pep chromosome:v.1.0:1:16755372:16755999:1 gene:fgenesh1_pg.C_scaffold_1003140 transcript:fgenesh1_pg.C_scaffold_1003140 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSSPGFFDHLKAIRDAIEWHQSQLGKETKTSTTTPVVRANPLPCSSALIVETDASWLEASQGCGLGWVGGDKQSTICFKNSTTEQYVASVLQDEALAIKAGLQTAIAMGFTTVDIKSDSKSLIELLRSNKVTNELVGLLHDIRNLASTLASVFFQFIHRTANVIADEMAKRALSTLVSSSNGAFPS >fgenesh1_pg.C_scaffold_1003166 pep chromosome:v.1.0:1:17071847:17072281:1 gene:fgenesh1_pg.C_scaffold_1003166 transcript:fgenesh1_pg.C_scaffold_1003166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL58] MTRNLDNEMRIYGLEKNNEALKETNEALKENMVELKSGIGTVMDELATTRLALNAIMQSLGIQLVPSDVMARAAAAAGLGFAGRDGPPAACRDVLLLLRLLLCLLLCLLLLRLSAVVSPAATPTDLPTRTQQGNLDAWCASAGL >fgenesh1_pg.C_scaffold_1003170 pep chromosome:v.1.0:1:17139665:17140493:-1 gene:fgenesh1_pg.C_scaffold_1003170 transcript:fgenesh1_pg.C_scaffold_1003170 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDDISVISKRMESMSMTARVRVLRHNKRLQQYRYSPYTIRTKEKEKEKQKEEAIRLGVDLSIFVAEAMFLLSDDIRSMLVFCFWLFKYAGKRKFDGPVVGRVFRVIQYVFVTYIKPKNGVYQDGGKSIQWEQFKTSSYHFAFGVRVLARIVSILEMGGLVKPSGFERYKQEIKNLEENLKSVKDVSAANGFSREAIESNIFHLWKSLFETTPEMINPTKSIVIEIFRPLLNEASRDACSRRLTSLRI >fgenesh1_pg.C_scaffold_1003195 pep chromosome:v.1.0:1:17439357:17441003:1 gene:fgenesh1_pg.C_scaffold_1003195 transcript:fgenesh1_pg.C_scaffold_1003195 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRDAKKSLIYKKKHVPDQKRYQSDKACPNIDGDIGVDGDSREGDECGPSRDGDIDVDGDNKEDDECGPSESSANKGKDKEKEGISVSETNNEACKKSSESKKYCRKKRYVRERKETNKRKQGDNSYNDIPTRKQPQRKKCKNTDKVSKNM >fgenesh1_pg.C_scaffold_1003209 pep chromosome:v.1.0:1:17552131:17552628:-1 gene:fgenesh1_pg.C_scaffold_1003209 transcript:fgenesh1_pg.C_scaffold_1003209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLX5] METSVKYLIDRTKFEPTNAVLGLTQPGSAANADMKTSVKYPINKLCRKKAKSEPEEVGEVSSEEAGEVSAEVAEEVSYDARDEGGLVERRRTTDTNSSMVLASQLGHSKIILSLGQRLNRPTKMIIL >fgenesh1_pg.C_scaffold_1003214 pep chromosome:v.1.0:1:17577775:17580065:1 gene:fgenesh1_pg.C_scaffold_1003214 transcript:fgenesh1_pg.C_scaffold_1003214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLY0] MLGAEMYGNAVPEMTAAEMYGNIVSETPIAEMNAEDNCNSEDERVLREFEAIEERERQLAKDKGKGVVTETEDLNLECDECYTDGLNGLSSNSDDHYGNCNWSDYLRSEYPQDNGECGLEETGPSEVPVPQDGECKRMPDDPDSLLQRGSDVGDEVLSYVTTARNPRIPRPETEDLMNYEQSLPTEDAEPVFDDTFDLTNTNDLIYPVPNQGDEDVPPVLVETQFNPPTNPPGPGRRRKRRIPSAGEQVVMLYT >fgenesh1_pg.C_scaffold_1003218 pep chromosome:v.1.0:1:17593503:17594571:-1 gene:fgenesh1_pg.C_scaffold_1003218 transcript:fgenesh1_pg.C_scaffold_1003218 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMHCWNPENDQVPIITRKENAME >fgenesh1_pg.C_scaffold_1003220 pep chromosome:v.1.0:1:17608659:17609279:-1 gene:fgenesh1_pg.C_scaffold_1003220 transcript:fgenesh1_pg.C_scaffold_1003220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLY6] MEVNKQNTNSTHISQTDECIVAIQQAASWVLSIDRLRGLFVMLMDVFCEADPLSVWDATWDVLFKDVLFPQQIIFNDHEPEYAYNEIQNFETFMRKENSTFNVYAELRKRVALAGGPTCDPSLEYKMRDQFNNLKID >fgenesh1_pg.C_scaffold_1003223 pep chromosome:v.1.0:1:17615649:17616842:-1 gene:fgenesh1_pg.C_scaffold_1003223 transcript:fgenesh1_pg.C_scaffold_1003223 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYPLSKLNPDTSEWRIRAKVLAIWQEYYDHYSTVDVVLVDDKGGKIHGIIPMELMPQFSSRIVENRWIVITDFILRPVVDALKPVAHRFELERSGDGFYDFVDFGRILNGAHDTSICVDIIGKAINVSKITSFGCHVYEDQVEHIVFDLQDTSERVLRCVLSITDALPLYRLWMTDPSDVIICVLRFVRVEFREGMWICSGVRCSKLLLNPSIPGVKKMKSVFSIKHGPVAKKQKIKD >fgenesh1_pg.C_scaffold_1003252 pep chromosome:v.1.0:1:18099691:18100182:1 gene:fgenesh1_pg.C_scaffold_1003252 transcript:fgenesh1_pg.C_scaffold_1003252 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGRSNTNSSRHRDTNAPSETTARTRQRYRWSPEQEKTLIELFDHAISMTNYTLKDPPPIGREYMVEKFNLAFNMSLSYGFFKNKLDEFKKAYKRWKELTRYTGITVDPETSTIYASDEWWMRESW >fgenesh1_pg.C_scaffold_1003268 pep chromosome:v.1.0:1:21641676:21642264:-1 gene:fgenesh1_pg.C_scaffold_1003268 transcript:fgenesh1_pg.C_scaffold_1003268 gene_biotype:protein_coding transcript_biotype:protein_coding MISSGGQSNNSDDYGGVEFWSNPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDFDVTRVSRPRGVVPVASCLTAKGAEDVLNKQNAFELSTRDETMYFIADSEKEKEDWINSIGRSIVQNSRSVTDSELILLIPNFGLMGTCFVDWSYLRRRGEKTRALH >fgenesh1_pg.C_scaffold_1003293 pep chromosome:v.1.0:1:21994044:21995189:-1 gene:fgenesh1_pg.C_scaffold_1003293 transcript:fgenesh1_pg.C_scaffold_1003293 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTMIPFTSDSCDGGYFHIASLNPNMGEWSVSMKILNCWSVSRGSGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNNILRPIPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVFGKIVNVRELIFVPSVEHSQGRYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHCSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDHSITDEMLCWIPENDQVPIITRKENAME >fgenesh1_pg.C_scaffold_1003297 pep chromosome:v.1.0:1:22085796:22088029:1 gene:fgenesh1_pg.C_scaffold_1003297 transcript:fgenesh1_pg.C_scaffold_1003297 gene_biotype:protein_coding transcript_biotype:protein_coding MNELMKTCLFIKFINKLFTSIAEHCADAEVIYKFLEVNEIDKTTVVSGDDLFVHQSISSEKFRSLAVDEAVEFKVEVDNASRLKTVEVSGPEGEDVMVEIIKEDMVVMVLALGVVNQVIWREIVLKVVEDTVVVEVVEGTIKSIGYDIYDSYTKDQSLQKNL >fgenesh1_pg.C_scaffold_1003298 pep chromosome:v.1.0:1:22089752:22091076:1 gene:fgenesh1_pg.C_scaffold_1003298 transcript:fgenesh1_pg.C_scaffold_1003298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMY8] MNLYVSRAKIPLKRELRFLRFSFSNLRVILGSRLRQFASKVLRASNRGTEQGFSGLIESHVSDMIFALSLCYHQPESAMVNYIVKQYVVAIEGDTTLVAVESMYVIGKVIENTKVMIVSIEE >fgenesh1_pg.C_scaffold_1003318 pep chromosome:v.1.0:1:22365930:22366490:1 gene:fgenesh1_pg.C_scaffold_1003318 transcript:fgenesh1_pg.C_scaffold_1003318 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNPAPLLGFRIAHRQEQETDTKDLTINVVTEILKHEFGSIKSSFSFSPQKFFEEDLKKQELYFFLIAVGIEEDDAKFMMMDMISYVLRNIDELRGVLTLLFKVQFPSLEPIEEHYLLETFMA >fgenesh1_pg.C_scaffold_1003321 pep chromosome:v.1.0:1:22372867:22383051:-1 gene:fgenesh1_pg.C_scaffold_1003321 transcript:fgenesh1_pg.C_scaffold_1003321 gene_biotype:protein_coding transcript_biotype:protein_coding MDGELDKTLMVAKSLTRKGTALVKMAKCSKGYEPVIETYQEALAEQQDYFDPSIGVEEGTDQHKYPGPVPVMAFRPSLEAILRGLLYKICLHEIKLCSDALKEFVKLLKGETGGHLLRLYFHNSPKFKELLDVWKFLHEKKQGVSYIFSLFQTLLSHPEGKGTSADIGRAINQLGRSLIDEKLHDIYNALNSKEGKQQNAALSLLASIVRRGPRMASHMANKFDFKGFAKLGEYKTGGADKVRKHSTRQAFVGFAISFLEVGQPHLSDSILWQKKMYSNVLKGLGEDDEDTAAYVLSTLKDKILVKDSVGSEIQTIMNCICPRPFSRSLITRGMLHSDLLVKHGTLRFLWETLRLLDSFVTAWKLRSSHSCSVEQIQASLERDVMGEKKMYSNVLKGLGEDDEDTAAYVLSTLKDKILVKDSVGSEIQTIMNCICPRPFSRSLITRGMLHSDLLVKHGTLRFLWETLRLLDSFVTAWKLRSSHSCSVEQIQASLERDVMGESLSGSSETQKLPLKREAVLDSGLVGKRKRFKPSEKCVLEKEAGDIVICGVGSDKDFFLEEDTGDAQMTDQADAEKEYLGIVSEIWGSEFWSKPFDSVEEAEMLFHIKLLDTLRIYMRSVPNILEGSFDVFMKFLSTSSGLPAELQRAHLSLVNEYISWTPKSQSERESVPTRIPPLMFKHLHVFINLLPFSSHDEVKDLSYNLALVAMSSTGAFDKNPSEIGAWFRFLPGFGKIKPPLMVQEAVQSMSSVVISFLCDAVTTVGNNLFKQWEIVRSRLSHLKGDSIGFSPLIVCILENCVRLLKSESKKYSLPDKSAISLYVCSTLKYLLQTQVDSSGLSCLVQSVLSEVVDGSKDSLCEWRPLRMLLLFSQSLSDKKTFILHSRRTTSLLADTSFADSLDEIKGLVRRSISLDEIAGIVKAFSSALICATPESILENFASVMAISWDLYGTSFSFLQSIVFLEENFLGNLSKLSPDLFVRGLELTRSRNLREGIVDSEIDFADYSSVTEAIKSKMEIRDIYSSALSMFFEQAPFPVLLNEIMSMDISCVPEFPRLAELLLQVWQPKSDSIESDIRLILFWLFQIRSSYKIQPASVLCRLSEICLRLLKHLFSQISERGFVSGPSSDKLVAPFAKWKHQVAQTVLCHPVVMALLESPLDCGTLPPVHNVKIFSETSLTTSRLVICEIDQHILDLLVSICEHFLFDERHIVQEGDLRENKSSTVFKDLVQRLLLLFRDKFELCVGSQSYAPLLQPSQLIHALLRFISPFKILELARSMLSKIDEEELASPNSSMIISLGLDIAGGAFEMLISYSHLPAAKRGLYDLLWELKEENYDSILIEEVYSMACRFSTSFGLVSADTCLLKVGSSIFRGKHNRHCNVHPLTVIISQIVGRTPKDLIIHYINQPSMTRAKILFYLVESSPLHLSVFGHSFFSMLSKQQDGSDQFIMLPPAVLSYLASVYAKIETPCSRCLDITSLYSNKLTNGFHQWPSFLSGWIFEEKYEEILMSTTEDIDTMFNASLLGMAVRMFQCHFALNESPTKIDDLLKVFYSMFPHASAGKEMFDYEIKEMDAQSVHYMFNVAIRVVAKVEFSRICLFPEDSSICHFKSQAVSCARESFPEMGSCRESLLNALVESWPCVVKRSDGYFKGNSERKQDKCWFLCKSLENFILRSIRKILKYMCEELINLDSLPFLEKLMKSVLLYRFEDSKTLKILRDIFSLLSRGKYSCTLYIQLLVSHSQFTPTILSASHTGELLRPVSSILKHLSIPSPNSVGVGSCCLEAPDYVKQLEIVKILRVLLSKCGKGSGINLKELRFLFLCSYGATMSEIDLELYKLMHDIELIEDEQRLNVSETDYLWGKAALKIREGLRFSQDAYYGGEAGLVENLQQILFKENLWIDPKICAQTLLYFPYQRTAEVSDNSYISDDPVSEKCSPVIERYDPAYILPFSIHSLSMGCIEPVKFASSGLLAVALASTSSADLGMRKLGYETLGIFVHALKRCEKNENVMGLMLLLMHVENGVDKRWKRIPTVCAYFAAVTSLILLDSSHELYAPINKLLKSSSTLNLKGIPLFYDFFWSSTVVLRSQRLWELRLVCVGLESEDDAQLYIRNSVLDTLMSFSSSPLADDETKGLILQVVRKSVKFHKIARHLVENCGLLLWCSSFISMFATKPIGDEDSRLVAVLEVITDTLASRNVTEWLQRSALEELMEISSRLYRFLGGGLVSMKENGTLVDLILQILSATLKISQKRKLYQPHFTITIEGIFQLFEAVANCDSPQVEASVERGLDVILMSTPPIDIICMDVDKLRRFLFWGSSTALKSDFKKGSKPILSETKPETLLEYLKQRNIEGSMTKSEHILGEVIVYLQKLLCTNNMVLLPSVVFALSLMLLRNGLFLTAGVKAARFCQPKSEIVLSFCIDTESEGDYKLIRSLCSRISCPPEAIPVWRWSYYQGWKDLSTGPATDPKKIDACQQLLLIFSDMLGTMPQESQQVLLRDFF >fgenesh1_pg.C_scaffold_1003322 pep chromosome:v.1.0:1:22407906:22409259:-1 gene:fgenesh1_pg.C_scaffold_1003322 transcript:fgenesh1_pg.C_scaffold_1003322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNQ3] MSFSFSWPLSSFSDVFGCVLRSEPLRPPPAPPPESLSPPTPPEPPDPPDPQICRSFDESLAQPPWMTSLPLLPAKFLNSIGLLLLDESLFAIWFGELDARLYPTTSTTAIFGVHCYLADALTFSPQMFLSTLIALMRSLTAVCRFCLDLAWIEIVSWQLRLRSLFQFNRPVDRVHRSFHSSHLSFMELFILLTTSLVFSGSVTRSIVIKTVLLDAEAKIVVHDYSRSAFAGCLALSTREALFPPLCCLEVFKFHVAGRASCLCSLGWTFTSYDCTITASFSAIGAFVASALVAEALALCSALLSAAG >fgenesh1_pg.C_scaffold_1003331 pep chromosome:v.1.0:1:22542358:22549045:1 gene:fgenesh1_pg.C_scaffold_1003331 transcript:fgenesh1_pg.C_scaffold_1003331 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KNR5] MTSEIDDPEKAAVTITRLIEQLHAKKSSAQEKELSTARLLGLAKGKKESRKIISQNVNAMPAFISLLRNGTLLAKLNSASVLTVLCKDKNVRSKVLIGGCIPPLLSLLKSDSVDARRAAAEAIYEVSLCGLDGDSVGTKIFVTEGVVPNLWDQLKTKKKQDKTVEGHLVGALRNLCGDRDGFWAITLEDGGVDIILKLLQSSNPVSQSNAASLLARLIRIFTSSISKIVESGAVQVLVPLLGEENSVFVRASVVNAIEAIISAKIVARDLDGIHLLISTVVASSKESVDEETERVLQSYGTQALANLCGGMSGLIVYLGRLSLSPRLTEPIADILGAVAYALRKFQLSCGDARETFDPTLTEGILVKLLKPRDTQLIQERILEAMASLYGNADLSKLLNNVEAKRVLVGLTILATAGPRERMITCLSNLCKHGNVWDAIGKREGIQILIPYLGLSSEQHQELSVEFLAILTDKVEESRWAVTSAGGIPPLLQILETGVSQKAKEDAVRVIWNLCCHSEEIRLCVEKAGAIPALLGLLKNGGPKSQESSANTLLKLIKTADPRVIEQVQALFLGDAPKSKAHLIRVLGHVLASASLEDFVTKGSAANNGLRSLVQRLASSNEKMKENAASVLADLFSSRNDLCGGLGFDEDDNPCTKLLSGNTHAVATQLAHALGSLSNPTKKKTGPKKLSGPEVEVIKPLIKSAKTNPIESTENPMSTLANLLSDPNVAAEALNDDVVSALTRVLREGTLQGKRNASHALHQLLKHFQVSDVFKGNDQCRFAVSELIDLLNATDLNNSAFIDVLEVLSLLAKAKYGANLSHNPFSAFAGVPSNLDSLVRGVAEGHPLVQDKAIEILSRFCKTQFVLLGQLLVTQSKSISSLANRTINSSSPEIKVGGAMLLVCAAKNDKKLWAEAIERTGYLKSLVNTLLDMSKQNSKCASYGIEIQRPRSFITINLCLRMDDSEMVDPVTVLGSTVSMWLLSIICSSHPTNRLVVMEANGLEIIAEKLQRNKSNTQENTSDSEEKWIAMSFLAVMSQEPNVVSSPATENLLPTLAPFMQSDQMIDGYFTAQVLAGLVRHKNDRIISEIMNSDIVETTINLVGCAESDTGSFCALAEELSLVQNPFEATLAVLFEDERVRRGSFTKKCIPLLVNLLKPYADKAGAIPVAVRLLSRIAECGDSSKLHIADAGALDTLAKYLSLSPQDSTEIIVSELLESLFRSPEITRHKTAISSMKQLIGILNLASRSTRYNAARVLCELFSSEHIRDSELAWKALSPLIEMLNTTLESERVAALTALVKLTMGTSPRSDILTSLEGNPLDNIYKILFSDSSSLELKTSAARICRFLFTSECLRTSSSAAGCMVPLISLVRSGTSTGIEAGMFALDRLLDIKRFSEVAEEYDCVNLFFGFVASENYLISEAAIYCLMKMAKDNTPRKMDLIKMGIIEKCLGQLSRSPPSSLCSVIAEFFRVLTNVGVVARSQEAIKMVQPLLLILLRQDLDFQGQLGGLQAIANILEKPMVLESLKIASSAIIMPLIPLLESESIAVQNATTELLTSLLEMQRFQEEITTKNLIPPLVKLVGIRVRNLQEIAVMGLEKSSVTWTKEVADAGGIQELSKVIIDEDPLLPVYLWESAAFILCNILRFNPEHYYFSVTIPVLSKMLFSTAESTVILAIDTLIICANQESSSVQEMAEAGALDALLDLLRSHHCEELSARLLELILRNPKVRETKICQFVLTPLSEYILDPDTVSESAKILIAMALGDISQHEGLAKATDSPVACRALISLLEEEPSEEMQMVVMRALGNFAMHSRTSRKAMAEAGGVYWVQEMLKSCNPQVSTQAALIIKSLFSNHTLQEYVSGEIIKSLTNAMEREFWTTAAMNVEIVRTLNAILTTFPKLRSSEAATACIPHLIGALKSGEQEARDSAMDTIYTLRQSWITMPTETARSQAVLAAEAIPVLQLMMKSKSKSPAPSSFHERGNSLLNCLPGSLTVAIKRGDNLKRSNAFCRLIIDNCPTKKTKVVKRSSSPVWKESFTWDFASPPRGQFLEIVCKSNNIFRNKNLGKVRIPIDKVLTEGSYSGIFKLNDESKKDDSSDRSLEIEIVWSNQSF >fgenesh1_pg.C_scaffold_1003347 pep chromosome:v.1.0:1:22705641:22706824:-1 gene:fgenesh1_pg.C_scaffold_1003347 transcript:fgenesh1_pg.C_scaffold_1003347 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQNKSSTSSCNIHPVEFIEGICPLCLNERLLVLASLQRLRPPSPPSYQTIQEPKTILQNSSRKKPIRIFSFLSFFELRHHKSDHKNTSIISPEDSFISINFEDNRATSWEKGKESFQPSWDRQYQHVMLHTKKEIIPQPMPRPLTWRKRIRRLLHVISFKSRSRACHLSAKVKGEDDLSRSWLRPLSQTNKKL >fgenesh1_pg.C_scaffold_1003370 pep chromosome:v.1.0:1:23017754:23019844:1 gene:fgenesh1_pg.C_scaffold_1003370 transcript:fgenesh1_pg.C_scaffold_1003370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole methylase family protein [Source:UniProtKB/TrEMBL;Acc:D7KPR9] MVRLCLPCVSSIRASSLSILFRSPILSRTTAISFCSSLEFVDSVTRDDSKRGPLKPGLYLVGTPIGNLEDITLRAIRVLRSADVILSEDTRHSGKLLQYYNIKAQLLSYHKFNEAQREQAVMTRLKQGEIVALISDAGTPGISDPGTQLAKMCAKENIDVIPIPGACAVVAALSASGLDTDEFTFVGFLPKHSGTRKERLVVSSNETRTQIFYVPPHKLSQFLEETSPYFGESRQCVIAREITKLHEEFWRGSIREAKEEFLIRQPKGEITLLIEGKEETKAENPTESQLEEELRGLISDGHSLSTAVKTVAERTSMRKKEVYSLALKKFGKQIQVKDEADE >fgenesh1_pg.C_scaffold_1003371 pep chromosome:v.1.0:1:23021596:23022496:1 gene:fgenesh1_pg.C_scaffold_1003371 transcript:fgenesh1_pg.C_scaffold_1003371 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRHSASECLKHYEDLTRIRAPQCVIAREITKLHEEFWRGYIGEAKQEFLIRQPKGEVTLLIEGKEETKAENPTESQLEEELRGLISDGHSLSTAVKTVAERTSMRKKEVYLMALKKFAKQIQVEDEVDE >fgenesh1_pg.C_scaffold_1003375 pep chromosome:v.1.0:1:23067101:23069312:1 gene:fgenesh1_pg.C_scaffold_1003375 transcript:fgenesh1_pg.C_scaffold_1003375 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSRLRRLGSRLENTGCTRLEIVLSTLSLVSKSFRSLIASPQLYQARSLLRRTESCLYVCLRFDDNPRWFTLFQKPDRTLTKSSGNLLVPITSPQSHSAYLSDTSRLWHHLARVLVLDCLSHTWREAPSLQVKIKYPCASVFDGKIYVVEGFVENVSECSKSMHVFDTKTQIWDHVPIPYRVGDEYSDWLTKNRCIEGKLYLRIGRKVLAYDPKEGRWDLVEQEMSNGWKWCFNCAIENVLYCYNQGALEWYDKKVRLWKQIKGLRGLPDEFARLSLVKLADYGGKMAVFWDKYERKSEGKMIWCAMIALERHNTEDIWGNVEWCDAVLTVPRSTFFECALAATV >fgenesh1_pg.C_scaffold_1003385 pep chromosome:v.1.0:1:23189911:23191773:-1 gene:fgenesh1_pg.C_scaffold_1003385 transcript:fgenesh1_pg.C_scaffold_1003385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KPT8] MLFLLSAAAAAVKSLRLLDSLKEMGKRGVFPLPKVNGGWNLLGELQSGADEIKGDLFAQCFWRLLRFLMNIRTGLQKRLCYKSELQGLIYKSGCVINQNYKVYFRWYYRPTVQQRKQAVFHLLGIPLASKPEMNSYVKIHPKVSHGWTVRYSIDDPEAVKAAEEAHKEMLDWFVTYVK >fgenesh1_pg.C_scaffold_1003395 pep chromosome:v.1.0:1:23287048:23290582:1 gene:fgenesh1_pg.C_scaffold_1003395 transcript:fgenesh1_pg.C_scaffold_1003395 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIRTSLGDIVTDLYTDKAPKTCNNFLKLCRMKYYNGCLIHTVKDSIAETGDPTGTGSGGDSIYKFLEGDHARFFSEEICPELKHSKTGTVAMVSSGENLNASQFYITLCDDLDHLDGKHTVFGEVVEGFDVLTSLAKVSVDAKNRPCKNIRIEHTYILEDPFDDLPQLAELIPDTSPEGKPKEEVTDDGRLEYDWVPTDEELGPQELEEVINKNAAHSSAVLLETKEDIPEAVEDSSTLLYIWGLNPCTDADGLNTIFSRFGTVISVRVLRDRKTGESLGCALIQFENEVASKEARLVMDNCTIDDRRISVEIEDCFVESIKESDTNTGLSLPSQWDLIADKQMIVDEQPLHVATCTQIISPNTEDAKYVVDMEKIGKFVVGLGDKSSPTEIEVGMRVGVDRKKYQIQIPLPPKTDPRAIMMTVEEKPDITYCDIGGCARSRLRRLERYVVELPMLHPEKFVRLGIDPPKGVLCYGPPGTGKTLVARAVANRTGACFIRIIGSELVQKYIGEGARMVRELFQMARSKKACILFIDEIDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDILDPALLRPGRLDRKIEFCLPDLEGRTQIFKIHTRTMSCERDIRFELLAGLCPNSTGADIRSVCIEAGMYAIGARRKAVSEKDFLDAVNKVVKGYQKFSATPKYMAYYI >fgenesh1_pg.C_scaffold_1003396 pep chromosome:v.1.0:1:23290838:23293469:-1 gene:fgenesh1_pg.C_scaffold_1003396 transcript:fgenesh1_pg.C_scaffold_1003396 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLETQSSGIEIPIDVLMDIFSRVPAKSIAMFRCVSKFWDSILCHPDFTELYMNTSSIRQPLLFFTLEDNGKLFFFSSPHPQNPPDENTSLVPTRYHVHHNHSPTDFSSEVSFPLRGFVCSRDKGSLDTMVICNPVTGEFVSLPKVELKSVNTETRPYLGYDPIDKQLKVLCIKFDDIPNTCDEHQVLTLENGKHLWRTIQCKPHYPKSDGICIDGTLYYTAGFDMRISVSMIVCFDVRSEKFSFINIDECMLMTASCTLINYKGKLGALQFSFLPPKRLEFWVLQDAQKYIWSKDIYTLPPFWNNVVVKRTELVIVGMTPGGEVVLTPYCLVDAFYVYYFNLESKSLTRVQVQGLKMFKRTRVYTSLDYVENLKLMQLLWHRDTHRIAYPRDHVCMTMHPICQLYHEVVAFGCMRRKSFTKSNLKHHKEEAIKKIYWNNVQLCTKFLLYHTTREPKMQSKEVEFHHTRTSRSNLCTVALDEKFKAL >fgenesh1_pg.C_scaffold_1003403 pep chromosome:v.1.0:1:23402078:23403713:1 gene:fgenesh1_pg.C_scaffold_1003403 transcript:fgenesh1_pg.C_scaffold_1003403 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEVMAEVNKSLGMVAGHESAPEKQNKEHKQRILRCNFELRYQPKTNTNIEITLNPSSTTGDTPPVMGGMDRESHIHRHARISSHCSLSTSTEFSEVPDLSKWFSSYVYESPMLDTSDGLEFLGESKGTKEMELVSSQAKDMSQSQVEFSEDIMNLVVEDSEIDEDCSIWGKPKRKGSSIFRKPKRMEETTTSYEAELTSLRNRVQYLENEVRILHDLINNFLGKSSI >fgenesh1_pg.C_scaffold_1003433 pep chromosome:v.1.0:1:23632514:23633008:-1 gene:fgenesh1_pg.C_scaffold_1003433 transcript:fgenesh1_pg.C_scaffold_1003433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAS6] MTKTRQEERLEELEKNQEMMITKIDWLSSKVDGLFLELLEKLLMASNQKNSFIELPYDPAILSTANSTNTKQPSAVGGDDTNTQQSLHDKNLCSMKKVEMTNFDGELRVQAVGEKQQDFHDVQFSKEVSEQHRLKLVPESQQVLNYVTPNSAANENDSIITSSD >fgenesh1_pg.C_scaffold_1003455 pep chromosome:v.1.0:1:23928025:23929367:-1 gene:fgenesh1_pg.C_scaffold_1003455 transcript:fgenesh1_pg.C_scaffold_1003455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAW5] MIYDSVKEAYARAKSAKSPTNPQALFIEERSCVWSYVDLRLRSLHLRGNDDLVLGELEAEEGTRMDISVSVPQSDTCSTPEKGQGNYSLFLPPARDSTVIPIGKPHPLVGTSSISAAHENQKEPHLSVETSFISTAHENQKEPHPTVGISSISVPYNDTCSTVEKGIGNKSLDLLPAHDRSPIPIGGVHSTQEDEDMGVGV >fgenesh1_pg.C_scaffold_1003470 pep chromosome:v.1.0:1:24104413:24106003:1 gene:fgenesh1_pg.C_scaffold_1003470 transcript:fgenesh1_pg.C_scaffold_1003470 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTTQILQQQSRRLLANHSINHCLTRRSYKTLSAVKAHLERWWSEQGDQVKPSYVRGVIKNFHDFNQCSKALEASEWMCEQKFFNIFSEDYAARLHLVETVLGLEEAEKFFESIPENMRDYSVYDNLLRSYTKSEKTLDKAEATFEKMRDLGFLLKPSPFNSMISLYRHLKKKDMVKKLEREMMENDVRFDSHKELNVLTILSTCKDSMYSVWNYIKKRGKMTDEEYRSRISYLLKLDDVQGAEKLYEEWKPVGPKLDMSIPSLLISRFCEEGNGSKVEELVDSIRKKKIGMLKKIIAKNISSNLKRFL >fgenesh1_pg.C_scaffold_1003478 pep chromosome:v.1.0:1:24211537:24215295:-1 gene:fgenesh1_pg.C_scaffold_1003478 transcript:fgenesh1_pg.C_scaffold_1003478 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKASDKSILVIDSAADASHSQIEKEAIKKPKFVQISVEQYTHFTGLEEQIKTYDVQIKSYDVQVKTYENQVESYEERVKDFEEQIDAYDEKVHEYEEQVQKLNEDIDDLNEKLSVANEEIVTKEALVKQHSKVAEDAVSGWEKADTEALTLKNTLESVTLSKLTAEDRAAHLDGALKECMRQIRNLKKDHEVKLHDVALSKTKQIEKMTMEFEKRMCDYEQELLRSAADSDALSRTLQERSNMLVNISEEKSRADAEIETLKSNLEMCEREIKSLKYEVHVVSKELEIRNEEKNMCIRSAESANKQHLEGVKKIAKLEAECQRLRSLVRKKLPGPAALAQMKLEVENLGRDSGDARQKRSPVKVSSPCKSPGGYSSTGSEFSLDNSQKFQKENEFLTERLLAMEEETKMLKEALAKRNSELLESRNLCAQSTSKLQSLEAQLHQNNSQKSSLEVCPNLNTSNPSSSISVSEDGNDDSGSCSESLSTNPSQQNKKEKEMAALERVESVNSHAELMDDFLEMEKLACLPNQSSSNGSMDSKDGSGDQKSELVILAAHTELEESDRGSPTVMEFRSRVSKVLESVSTDADLQKIVGDIKCILQDVNACMDQEKPSEVQVHAEEVSDLCAEQNLVEDCHLAEQKLQSIHQDLKNAVSRIYDFVLLLRNEVRAGQDSPIEGNDFVELIEGFSVTFNQVLSGDKKLDDFVSELANVFNEAMELKVNFRGLASSEVETLSPDCIDKVALPESKVVDKDSSQEIYENGCVHNEPGVPCDENRVLGYESDSRLQEIEELKSEKEKMALDIEGLKFQLQESEQLLADIRSQFDSAQRSNRLADTQLRCMTESYRSLESRAADLEIDVNQLKEKIETLEKELEDEKHNHQEAIVRCRELEEHIQRHRNTSMVAEDDEEADIKSKQERELSAAAEKLAECQETIFVLGKQLKSFRPQPEQLRSPKRQNESYSEEEEPGTTTTSVPKNYAVADEGDSTETWVNEVPRFMESPKCPSDSENSDTMTSPSRVGSRLSRSGSSTNATPEKPSRGISRFFSSKSGY >fgenesh1_pg.C_scaffold_1003480 pep chromosome:v.1.0:1:24245218:24245925:-1 gene:fgenesh1_pg.C_scaffold_1003480 transcript:fgenesh1_pg.C_scaffold_1003480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBZ0] MDSPPIANPPPPVSPETASSFLILLRDLRVMVYGGKFSVGFKVWKWRSNNDQATDNEQAALEAIETLSSEAYAIETLTTLLMQRNSKAGLFRSPLSYHESCALFGCNLPLREVCG >fgenesh1_pg.C_scaffold_1003484 pep chromosome:v.1.0:1:24269663:24271035:1 gene:fgenesh1_pg.C_scaffold_1003484 transcript:fgenesh1_pg.C_scaffold_1003484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBZ6] MDHHSAMTIAVPFSDALSPVSLEVNTDVKDILDASLSQKLSADSVTLHYLNGFRDPSERNLSCAASVLLKNQKIQVGMPTLEDQNIFNTYPWDQTNMFKLTRPLPKRCDWLFFHKSPLVWRRISKNVFWKGNAKRSFSMVGGEVITTQSINLRFEGVDSETLKSKEKLKVTDKKKEDGDGNEKPNQDNAGNTKQKQGKPKKRKSIDDESSPASAIPEMPSLALGLVLKHQKGISNENKALVSGSTFDSHHEFDAEYDDSKLTSSVSLSKLIEGRESYFKGTRCKKHDVNTDTKPEYTKPEVCESFSYRYKWNHF >fgenesh1_pg.C_scaffold_1003496 pep chromosome:v.1.0:1:24372710:24373899:1 gene:fgenesh1_pg.C_scaffold_1003496 transcript:fgenesh1_pg.C_scaffold_1003496 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGEEKNENVTRKSGRRSEPSSLSKSASSLLLDLTSEILLRLPAKSAVRFRSVSKLWSSITTNPYFINSFQSRPNLLLFFREGERLLVFTLPQHNRNPNGSSSQPIDSYHINYPNIKAGNPLVWNPTMRKLLPLPLRKPEKSWKSMNFFLGYEPVEGKHKVVCMPCGRAYYDECQVLTLGSDQESWRTVKTNHKGGPVTVHRTICYGQCRCINGVIYYLAGINFVLVIMSFDVRSEKFDVIELPWDNKLWPTMLISYEGRLVCLSSNGNNSRSMWVLEDAEKQEWSSYNLLPLSHYDPGSEDLFKLIGITIDGELIYVPNAVFESFDVIYIDPKRKTFRRVGYRGIGDKDFRQRNELGEKPLRGLQFYPNHIETLMSL >fgenesh1_pg.C_scaffold_1003520 pep chromosome:v.1.0:1:24559875:24560717:-1 gene:fgenesh1_pg.C_scaffold_1003520 transcript:fgenesh1_pg.C_scaffold_1003520 gene_biotype:protein_coding transcript_biotype:protein_coding MERGLLELHLHAYRHYFDIETELLTSKTLVKLTLSGLYAIEVKYVFFPALKSLSLLSVLGLDYDNYCSLLDGCPALEELYIRDDDLLGSPCCGAFVKSASIKRLVVFTNCPDYKYDHEVSCFEAPNLVYLDYSSYVFEKYQYVALDSLVEARYFISVVIQCRFSTNSLSYLLRVTRKKVGK >fgenesh1_pg.C_scaffold_1003527 pep chromosome:v.1.0:1:24590124:24592313:-1 gene:fgenesh1_pg.C_scaffold_1003527 transcript:fgenesh1_pg.C_scaffold_1003527 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-cysteine desulfhydrase [Source:UniProtKB/TrEMBL;Acc:D7KD10] MRGRFLLTLSSVKLELARRRMSATSVPSMADFLTKKTYSPPSWASHLLPIPSHTFSLAHLPTPIHRWNLPGLPNGTELWIKRDDFTGMELSGNKVRKLEFLMAEAVDQNADTVITIGGIQSNHCRATATASNYLNLNSHLILRTSKLLADEDPGLVGNLLVERLVGANVHLISKEEYSSIGSEALTNALKEKLEKEGKKPYVIPVGGSNSLGTWGYIEAAREIEEQLKSRPDSLKFDDIVVACGSGGTIAGISLGSWLGALKAKVHAFSVCDDPDYFYDFVQGLLDGLQAGVNSRDIVSIHNAKGKGYAMNTSEELKFVKEVASSTGVILDPVYSGKAVYGLINEISKDPKNWEGRKILFIHTGGLLGLYDKVDQMASLMGNWCRMDVSESVPRKDGVGKMF >fgenesh1_pg.C_scaffold_1003528 pep chromosome:v.1.0:1:24596157:24599976:-1 gene:fgenesh1_pg.C_scaffold_1003528 transcript:fgenesh1_pg.C_scaffold_1003528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroxyacetone kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KD11] MVPPAKKFINNPNDVVTEFIEGLVETYPGLQYLDGLPEIKVVLRADISAANYDKVAVISGGGSGHEPAQAGYVGEGMLTAAICGDVFASPPVDSILAGIRAVTGPKGCLLVVTNYTGDRLNFGLAAEQAKTEGFEMETVIVGDDCALPPPLGIAGRRGLAGTILVHKVAGAASAAGLSLAEVAAEAKHASEMVGTMGVALSVCALPGQVTSDRLGPEKMELGLGVHGEPGAAVVDIQPVEVVVSHVLQQILSPETNYVPITRGSSVVLMVNGLGGTPLMELMIAAGKAVPKLQLEYGLAVDRVYTGSFMTSLDMAGFSISIMKADQSILERLDAPTKAPSWPASTDGSRPPAKIPVPLSFRSTKNKEFLGRPQELSHQGKILEAAIEAAVTVVINLKDSLNEWDGKVGDGDCGSTMCRGATAILEDMKKYYPLNNAAETVSEIGSSIRRVMGGTSGIIYNLLCKAAYAELKANVQSETTPKHWSEALKSSISAVSKYGGATAGYRTMLDALIPASKVLEEKLSVGEDPIAAFVLSAEAATAGAEYTIHMQAQAGRSSYVSAEILASIPDPGAMAAAAWYSAAARAVKEQSQRI >fgenesh1_pg.C_scaffold_1003534 pep chromosome:v.1.0:1:24638274:24644279:-1 gene:fgenesh1_pg.C_scaffold_1003534 transcript:fgenesh1_pg.C_scaffold_1003534 gene_biotype:protein_coding transcript_biotype:protein_coding description:T1N15.10 [Source:UniProtKB/TrEMBL;Acc:D7KD21] MVFKSKLFFSSKKSGSSSPNSNNSPRSVGSNSPSGSDKKKPKSNSKEETRSPNTKKDGSSKGKEASFEVQSSSPGKSNLSSSGSEVKKPIVETPAPSNVKEESASVSPIMASSLGLNRIKTRSGPLPQETFFGFENDNAIPVLPCYKLSKLDTGKKEAGSSKLEVDHISSDIGLLRSSNPALLASGTGMASDAQNSLDHVVECQFKVSPSIPGPVGSSEVCTLENSYELENPKESESPRYQALLRMTSAPRKRFPGDIKSFSHELNSKGVRPFPLWKPRRSNNLEEILNLIRTKFDKAKEEVNSDLFAFSGDLLDIYDKNKESHPELLETIEDLLVLAKTCAKTTSGEFWLQCEGIVQELDDRRQELSPGVLKQLHTRMLFILTRCTRLLQFHKESWEQEEDALQLRQSRVLHSADKRVPTGEVRDGSGSSTANALKAPSTKKAYSQEQRGLNWNEGFVVRPAPLSSPYNEMSKDSESPANIDKMSSWKRLPSPASKGVQDAAVSKEQNDIKFEPPQVVKKLVAISDDMAVAKLPDVSSAKLSQEHMPKNRHNISWGYWGDQSCISEESSIICRICEEEVPTTHVEDHSRICALADKYDQKGVSVDERLVAVAVTLEKITENFIQKDNIAAVESPDGMKISYASLTEEFDVLSPKLSDWSRRGSEDMLDCFPEADSSVFMDDMRCLPSMSCRTRFGPKSDQGMTTSSAGSMTPRSPIPTPKPDPVELLLGGNGTFHDQDDFPQMSELADIARCAANPIPVDDQSIQLLLSCLEDLRVVIDRRKFDALIVETFGTRIEKLIQEKYLQLCELMDDEKGTMIDEDAPLEDDVVRSLRTSPVHLRDRISIDDFELKETISRGAFGHVVLARKNTTGDLFAIKVLRKADMIRKNAVESILAERDILINARNPFVVRFFYSFTCSENLYLVMEYLNGGDFYSMLRKLGCLDETNARVYIAEVVLALEYLHSEGVVHRDLKPDNLLIAHDGHVKLTDFGLSKVGLINSTDDLSGPVSSAVSLLVEEKPKLPTLGHKRSAVGTPDYLAPEILLGTGHGATADWWSVGIILYEFIVGIPPFNADHPQQIFDNILNRNIQWPLVPEDMSLEARDLIDRLLTEDPHQRLGARGAAEVKQHIFFKDINWNTLAQQKAAFVPDSENALDTSYFQSRYSWNYSDEQCFPPNENEDCSDGDSLSGSSGRLSNHHDEGVDKPRGPAEFETSVSENYTFDNFSFKVLYHDFLSMIPCHPSIRLIIVEVPLQVSFLD >fgenesh1_pg.C_scaffold_1003535 pep chromosome:v.1.0:1:24646412:24647239:-1 gene:fgenesh1_pg.C_scaffold_1003535 transcript:fgenesh1_pg.C_scaffold_1003535 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLKNELKTLDVAHVGNNKSMFSLIMPYSDPISRVINKLNTEYGSARDIQNNEDRSRYFWAINSAKKMLKELDTVHYAGLAVYTRTVLNEEGHEVTYTRKFEPNFEPFGPMTASLYQFDSRFHIAALQRLLDEDDCYGVIVMDRKCIMFATIRDNRHEFHDTYTFLIELMWSHQVRVDMAYLSYYDKVEELATKYFTSNSHPNMKGCSRQR >fgenesh1_pg.C_scaffold_1003542 pep chromosome:v.1.0:1:24692133:24694284:-1 gene:fgenesh1_pg.C_scaffold_1003542 transcript:fgenesh1_pg.C_scaffold_1003542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (Ran-binding) family protein [Source:UniProtKB/TrEMBL;Acc:D7KD29] MSSSRIFLVGNTIFRPHKPSFPLSFNRFPSVSLRFRCFSSDAATAVTTTTVDSDSPYLAPHPWPEWITFVDRLKTKGYFTKDTEDDTVYQEMNLVKDACLSFARDRYDVLRSLSSGDVQALVERGCPNLFRKTVNSSKRIRAHVRLNEGDVCGSCDLRSSCDRAYVILKDTESDARTVDVMRLLLFNALDSIVISRGEIPPGKELVHESARRLLLELVEFSEKPLSPALPKPSSKESLSPKERVFKLRNGDEPSQRVAFKSRNDESSQRVAFKSRNDEPSQRDRPLYSADWACPKCDFVNFARNERCRECNEVADRRPVAAVVKEGDWLCPECSFLNFTRNQSCLKCKAKGPKKTSMVNVVEMKKGDWNCTGCGYMNFASNKQCRQCREQRHKTLAEPGDWECPSCDFVNFRRNDVCKKCECKRPSEANNDQEDHTWKRPALV >fgenesh1_pg.C_scaffold_1003551 pep chromosome:v.1.0:1:24720532:24725417:1 gene:fgenesh1_pg.C_scaffold_1003551 transcript:fgenesh1_pg.C_scaffold_1003551 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHFESIQMIVDSTTLPHAIQYLSIRISEEIDVSYVMDRLDKGKGMLSSPEKLQLWDELKILSFTRMVLSLWSVTMLSLYIRVQVNILGRHLYVDTARALGSSHILEELDLIDRDDEQKFLSSADFLVTNAMPSLISDMQGAVEEVLRGKQLKDVITTRVLQETVMRVLEVFMSTGSPHHWVDYLMMPQDTKLSGTTSGSSDETVSKFHQLMVETREVLINTEFTNIVEISLKCFTDALVEEMETQTIAGGLATGKPLAKVLPHIEKTMNVITAEPSKNRFLQIIRDLPEIEELERQKDIDDWLPITSSRNAKWWYSTFHNVTAMVGAGVLGLPYSMAQLGWGPGIAVLILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQFAFGERLGLYIIVPQQIIVEVGVCIVYMVTGGQSLKKFHELACQDCSPIRLSFFVMIFASSHFVLSHLPNFNSISGVSLVAAVMSLSYSTIAWTATAAKGVQEDVQYGYKSGTTASTVLSFFTGLGGIAFAYAGHNVVLEIQATIPSTPSTPSKGPMWRGVVVAYVVVALCYFPVALVGYGVFGNAVLDNVLMSLETPVWAIATANLFVVMHVIGSYQIFAMPVFDMVETFLVKKLNFKPSTILRFIVRNVYVASLHNVAANL >fgenesh1_pg.C_scaffold_1003555 pep chromosome:v.1.0:1:24766015:24768055:1 gene:fgenesh1_pg.C_scaffold_1003555 transcript:fgenesh1_pg.C_scaffold_1003555 gene_biotype:protein_coding transcript_biotype:protein_coding MREDIPTKPGFTDQIPADFATAPVLQYLNLSTNSFHSKLPANIWKAPNLQIFSASFSNLIGEIPNYVGCKSFYSIELQGNSLNGTIPWDIGHCEKLLCLNLSQNHLSGIIPWEISTLLSIADVDLSHNLLTGTIPSDFGSPKTITTFNVSYNQLIGPVPSCSLAHLNPSFFASNEGLCGDVIGKPCNSDRFNTGDADLDGHHNEERPKKTAGAIVWILAAAIGVGLFVLVAATRCFLKSYGNRVDGGGRNGGDIGPENENDYYSTI >fgenesh1_pg.C_scaffold_1003559 pep chromosome:v.1.0:1:24810410:24812859:-1 gene:fgenesh1_pg.C_scaffold_1003559 transcript:fgenesh1_pg.C_scaffold_1003559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7KD49] MAVDGDGTVGGGDSQPPVTTAAATTEMAIARLSRFPNMEHISDNYEDLKLEFSSSMLSSLEKYLPPAMLTANREEKAKFMSDILRKYISREECSKANWRKTYSQRIKSNYQPLCRELYNFDPELFLLPSFRKAISDNTEESFRRIISEPFPGVFVFQMFQPDFFQKLIVEVENIKKWAHEKKFQIRRPYHTSRYGVVLDDFGLDIMLKTLMEDFIFPICKGKEVFYLNALERLLFFPELCGTMFDSHHGFIVENGEDRDAPLDHHFDDSEITLNVCVRKQFEGGEISFIGTRCVKHMRTNIKPEEVFHYSHTPGQAILHRGRHRHGPRTTTNSCSRANMILCCRNSLFREMETYEKEFPEWCGQCAHEKKEKESQSLAAKRKGMIRIVGGGKAR >fgenesh1_pg.C_scaffold_1003571 pep chromosome:v.1.0:1:24901836:24907145:-1 gene:fgenesh1_pg.C_scaffold_1003571 transcript:fgenesh1_pg.C_scaffold_1003571 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFTSSSIERYNDFSRLNPAIVGWHVHVKVLRRFHTDDYISKGGLGLLLVDDKGNQIEALICSPLTSHYSTFIEEDEFYTIMNFRVVENSGFTKLTRSDFKIMFYDGTIVKEASSFSQDDYTVATPFGAIFNGYHDTSYLITLIGRVVESSDLTNVTDEPSVIGGYRYSFTIEDQEKKTLKCCAYGGVAIECHDRFRNVIGSMESFRCHGWPFDVMTYNRNMFTISSLNPLIHEWSTCVKILHVWHELEDVSNALNLILMDNQGTKIRAVIRESLVTRFSPLLIEGLWMILRKFSLIPDVDLVRTTPHRFKIQFSPDTCVEYLNYLACDYDYFNFARFRDIRTGISNPYICVDLVGKVDNVNDIQLVQMGSGCDDGVLSQFLDVTSDSSCLPDVEENVKEYLDDVSAAVDLSSVLHVEASAAVLSLDEFKDVLSDLGALSVDKDYVLAVLYLESRTQLKSLYLRYLLSRYLQEAKSLDPLMQAKKNYADLRIKYFGDGRFVAAGMKPYPSDGLECDEILMNTNEDTLECINDIVISSWRLCPAYLRLRFVESLILYKSINVHTVWDACYRVLSGDVLAEQKIARNNPGLELSDVQLEWYGFRVMRKVMGDLGFHHAGFEGVRLGIVRRLLTYKCDDMSM >fgenesh1_pg.C_scaffold_1003572 pep chromosome:v.1.0:1:24914200:24914820:-1 gene:fgenesh1_pg.C_scaffold_1003572 transcript:fgenesh1_pg.C_scaffold_1003572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD63] MEVNKQNTNSTHISQTDECIVAIQQAASWVLSVDRLRGLFVMLMDVFCEADPLSVWDATWDVLFKDVLFPQQIIFNDHEPEYAYNEIQNFETFMRKENSTFNVYAELRKRVALAGGPTCDPSLEYKMRDQFNNLKID >fgenesh1_pg.C_scaffold_1003573 pep chromosome:v.1.0:1:24915832:24918215:-1 gene:fgenesh1_pg.C_scaffold_1003573 transcript:fgenesh1_pg.C_scaffold_1003573 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRKSKNSLTFIDDINPIHDQNKIKVLILRLWKAYQRDAGNTIEMVLVDEKGSRIHATVEDKNIKKFDSVLKEGDVVTLNPFKLIKYSGDYKSNSLSFKILFYRTTQVKPCDDFPKEVPEKYFVEFGDVLNGSRDTRVFVDVIGQIVNVGPIEDIKIRGKSTPKLDVELRDRGNVRLICTLWADFAKQVKVYTEANPAAVVCVIRCAQVKEWKGNWTISNAMGATRVLLDPPGVFVDEFRSGLPTDGVVLTNHDNSELFAGSTVSIRDQFLVKNHKRTVRDIVEALEEGMCVTMVTVGSVERTSKWYYVSCKMCNKSVEPYPENSGDDGKPPLYYCGVCDKDVSAVVFRYRLVLEVSDATNYKARFLLFDAMGSTLLRRTAQELYNAVSENDPSILPSEIGALVGRRFLFKVSIGGDNLKSDRSHYVVQLFSDDDELIKDYSDGLDSEVLVPLPVTPITKEASVRRGGVSVENPKPPAKKIKIEGKKEESFATT >fgenesh1_pg.C_scaffold_1003576 pep chromosome:v.1.0:1:24931390:24933492:-1 gene:fgenesh1_pg.C_scaffold_1003576 transcript:fgenesh1_pg.C_scaffold_1003576 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERSEVVDDVFFDSSDVLSIGEQVKEEEEEAEFQVWSGEPVSVEERRVRFLKKMGLLEERFESMYLERMISDYSDEVTTSSSSDSYLCDSELQCCVREENYGSTTSMSDEELEGEDDDESEENSTDVCSSPSRSFSKKSAKKWFFNCFVGVKDKDFKYKSSEATMSKVKVKTNKKSHVELSAAYMVQKINGHKGKIWVLKFSPDGKFLATGGEDGVVKIWRITLSDSLLASFMRQQEPNNQQEALVIFPQKAFHIEEHRFKNFMLLLSASKDKTARLWRIGCDQCLHVFHHNNYVTCVEFNPVNKNNFLSGSIDGKARIWGLSEERVVAWTDVRDSISAICYQPNGNGFVVGCITGNCRFYQISGNDVIMDEQILSRGRNNITAVEFCPGSSEKLMVSTDESKVRIFNKTQIFHKFKAPSKYGKQSSASFVSSTGKHILSVRRGLGVYLWNNDGFPSRKGAKSSRSFEYFHSPGVSAAAAWTPPGAKVSKTAGDDEESRRALRQIQSSGKLSRSCRVTATWPVEKLLMSSSDVGIYDNTIPWRLVIVTASLDGMIRTFHNYGLPRRL >fgenesh1_pg.C_scaffold_1003590 pep chromosome:v.1.0:1:25030116:25030714:1 gene:fgenesh1_pg.C_scaffold_1003590 transcript:fgenesh1_pg.C_scaffold_1003590 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDLCVANISYTLKLNGFPCIDAASVTSLDFFSQGLAMRPMPGLTNNTFGSSVTGANEPLTYVGFLTTANKLISQSFKKGDVFAFPKRLVHFQKNNGHVHASVIAAINSRLPGTQSLGATLFGSTTPVPDNILSQAFKTSPGTVKHIKSMFQPKK >fgenesh1_pg.C_scaffold_1003595 pep chromosome:v.1.0:1:25074676:25075865:1 gene:fgenesh1_pg.C_scaffold_1003595 transcript:fgenesh1_pg.C_scaffold_1003595 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDRSPSPRMNNNYNPIFHAPEEKQVQAEQKHPNNGGRVDQPSGVPMGRPGQPTNINTGGMGSQPYGVQMVRPPPVINQPSNWTSGLFDCMHDGENAIITFCFPFVTFGQIAEVVDEGATSCGTSGMLYGLICCLFGIPCIYSCTFRAKLRNKYGLPDAPAPDWITHCFCEYCALCQEYRELKNRGLDPSIGWIGNVQKQRMGQPQEMMAPPMGQRMMG >fgenesh1_pg.C_scaffold_1003604 pep chromosome:v.1.0:1:25141131:25141625:1 gene:fgenesh1_pg.C_scaffold_1003604 transcript:fgenesh1_pg.C_scaffold_1003604 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSSDGEAKDGSSSETQSTEYMEISTGDESSMLGKRKVETPILEDLSENEGDIEGVDDDSDSEWDKDSFDGLEYHSWDDQKEYIDKYFEKRARFYKRTVIETKGFFEATDEFPPYLLAGIATVPG >fgenesh1_pg.C_scaffold_1003605 pep chromosome:v.1.0:1:25146388:25146909:1 gene:fgenesh1_pg.C_scaffold_1003605 transcript:fgenesh1_pg.C_scaffold_1003605 gene_biotype:protein_coding transcript_biotype:protein_coding MQFNSAIKAEAAPSVITILNKHEKDMTNQKISDPMEILEGLAVRLHRIEGKVNQMEEKMDMLISLIRSNNEVKIGFVKAEAESMFEDESSFDDEPYSRFGPFVGGRGVGPFVGGRGVGPFVGGRGDDPFVFGHGGDPFVFGRGGGPFVGGRGLFVGGRYAGGRCGGQGFCGAC >fgenesh1_pg.C_scaffold_1003613 pep chromosome:v.1.0:1:25220933:25224208:1 gene:fgenesh1_pg.C_scaffold_1003613 transcript:fgenesh1_pg.C_scaffold_1003613 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase [Source:UniProtKB/TrEMBL;Acc:D7KE22] MFSSSSQHRSAFDVLMSNSSAKRKRKFEETADAPSSRVNKTLVGISDSAKPKSDRVTELKSKIGLLKKKPADFDPSKVSCWDKGERVPFMFLSLAFDLIALESGRIVITDILCNMLRTAIATTQDDLLSTVYLAANEIAPAHEGVKLGIGKGSSIIKAISEAFGRTKAQVKQQYTQLGDLGLVAKGSRSSQTVIFMHKPLTVYNEEHSKPPPNIKNPLDEAATIVKEVFSVLPVYDLIVGALLTSGVWNLPKTCKLTPGVPVRPMLAKATTSVDLILEKFGDTVFTAEYKYDGERAQIHYMEDGTIEIFSRHAERNTGKYPDVALALSRFKKPAVKSFILDCEVVAFNREEKKILPLQTLSTRAHKNVNVSDIKVGVYVFAFDILYLNGQLLIQENLNIRREKLYDSFEEDPGYFQFATALTSNDIGELQEFLKASIDIGCEGLMIKSLYSNATYEPAKSIGDSVDLVPIAAFHGRGKRTGFFGAFLLACYDVDKEEFQSICKIGTGFSDAELQELSSSLFSKVIAIPKQYYQVDDGLNPDVWLEPTEVWEVKAADLTISPVHRAAIGIVDPDKGISLRFPRLVRVRKDKNPEEATSSDQIAEMYQAQKNTINQAIKAKVTTVEQ >fgenesh1_pg.C_scaffold_1003632 pep chromosome:v.1.0:1:25415622:25419402:-1 gene:fgenesh1_pg.C_scaffold_1003632 transcript:fgenesh1_pg.C_scaffold_1003632 gene_biotype:protein_coding transcript_biotype:protein_coding METRNSSKRVRDSPETSRQLNPTKGANGPKFPWAKLISQYPERPHCVITSAVFTVGSHECDLLIPDLFIVPGVLCELTLMKHRDGGPSVPTLQIKGSGVGPVVVNRKPYLKDTCVDLQGGDEVVFSTPWKHAYIFQPLKYENLSASSVHESSRRQAHTDSLRASVLNPQDIEFSFENFPYFLSDTTKDDLITSTFARLKFGGKFANYGPKLSTICPRILLSGPAGSEIYREVLAKALAKHYGAKLMIVDTLLLPGGSTSKEADSTKESDSRGAEQAAPTSTTTSKSYTFKTGDRVEFVFSRTAFASFRLAKLRWPTLGFKGKVILAFEDNESSKLGVIFDRPIADGNDLGGLCEKDHGFFCAASSLRLDSSSNDSSSNDDADKLAINEIFEVVSNESETSSLILMLKDIGKSELGNTELYFTLKSKLENLPENAVVIASQTQLDSPEEKSQPGASYMFSSVLLCLAYPDICRDKMFLVERNGDGEEILPERLPKPVRPITTLFPKEVTICLPEDEAWPSGSKKKLERDTEILKAQANITSIRAALSRHRLECPDLETVCIKDQSLSTDSADEVVDCAWRHQLMSSSEMEMKDDRVIISAESITHGLQMIQNKNKSTE >fgenesh1_pg.C_scaffold_1003647 pep chromosome:v.1.0:1:25518219:25521420:1 gene:fgenesh1_pg.C_scaffold_1003647 transcript:fgenesh1_pg.C_scaffold_1003647 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMFHQGVIENLDGTCLIPIQYSSSPLSFLPSESFICLCLGSDPSRVTFEGSSEDYKEHDAVLFFDGEKFRLERLHRAVKQLRHLRTPGESAAASSQAAMPVEHNRLSPVDRAAKSPQVNRSLLPDVPVEVERIEIGKPENSG >fgenesh1_pg.C_scaffold_1003648 pep chromosome:v.1.0:1:25568581:25569418:-1 gene:fgenesh1_pg.C_scaffold_1003648 transcript:fgenesh1_pg.C_scaffold_1003648 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHTKLSAVFTVIIMFSQAHSDLVRLEDDNINGFITTVIGVCKGYDDKQSCQNLLLELKRVSSSLSEMKCRELLIIVLKNSVWRIDMAMIGVMEDTKLLEQMENDMLGVKEDTNLFEEMMDSAKNRMIRSVEELLGGESLNLGSYENVHTWLSGVLTSYITCIDGIGEGAYKRRVEPELEDLISRARVALAIFISISPRDDTELKSVVSNGPSWLSKVDKKYLYLNPEILIA >fgenesh1_pg.C_scaffold_1003663 pep chromosome:v.1.0:1:25705518:25707206:1 gene:fgenesh1_pg.C_scaffold_1003663 transcript:fgenesh1_pg.C_scaffold_1003663 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGDGASEEYRLHRMRLRNRPLRDIDPFDAKGITETYDRTLYEVTCNNPRFTDLKARIVNHYARMGVHRYNLLQGKHYQFLRVEKYNVTTRFGPNIYYITSSAIDPANGAPRFFQTKIDEHTRFRARLDLTCYIARLRGDPKIYTKKCFDYDDSLPEWPPENPFKDTKRFYVVKKSELQDNVNDWIRLYLELAVSSKRNMFFKDEDLSNLEIVKVAIETTTTSQDPNEGHLNAMNTTVYISYRDPSEARVGKDVDRIAIVRRSFDEQSSCFSLVGHNLSSETINEPLGSRDPSEARVGKDVDRIAIVRRSFDKQSSCFSLVGHNQSSETISKALVAKDVDRASIVRRSFHEQSACSRLVGQNQSSDPIPKKGKSQSAYSQRLGVRKPWLLCIHKWRKAYQTRCVRMTRRIR >fgenesh1_pg.C_scaffold_1003664 pep chromosome:v.1.0:1:25718619:25725576:1 gene:fgenesh1_pg.C_scaffold_1003664 transcript:fgenesh1_pg.C_scaffold_1003664 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRRRPSSFSSPRFFSLSSSSLKSYLVFLPQVAVQNLKEAEITEQDSLLLTKDLLRIAKLNIRVLFHENDALDMKIKTLRQVDTEPRRLIDWNWMEKGVNDALQRKYLKTLVFCIRDGPMIEEYSFSFSYSDSGSQHVTMNVNRNGNKKHEGTPNQMSTSACKMVPTLVQLLRTLDKTPDERTIVMKLLYYDDVMPPDYEPPFFRSCTEEESNYVWTKDPMIMEVGIIYRKHLVLALTVKSLLDPSEDENDDMQEHGKSTKPDCVHDNQPSDTDTDSEVERVFLFSSDVYLIYTSNVHYKKQADANNGEVDEDATQNPLENEQQLARVKNSRQLDTLELTDILSYFQDTSLVLSEDFMDQLEKEGVLSKTGEDTYIRNRDKAASLRIAGGPRIPKVQRQYLKREVNVLGSSRDVDFSRPRPRKDRGRQNVQCIYFNSGYCRYGNSCKFSHRISGEEKTSSVATIMKIPERKRILSELPEKIKKDMESVNISEKYAKTCLGEKRYGFIKETKRIGSLKVSDDKKFIVIYWMTQGVLEVFLLDEETRERLKDASGYIRDQGTHDLCWTYVSSDMVSASRKLHGWDLKYTPLCTRYLCQNLDPEDYRGSLKRCDEDGGGHRCYENDVESTLAYIQKFGIPEEEEGKEVFVCRDRRVLCKEDKLHKITGIFKYPTLEEALVRLRTHPVGATLLGFDGWDGDQIYRGPCSKKAKLAGLHAVIMIDCLKIHGETVVVCKSSSGTDLGMEGYKGYIVVSVQVMLMIAGKTETEENRGHRNCQVKPQHLLYDFYSVDMDYKEPRFDKEDTEQKRKNEKFKVKIERYSQQVPPRIQGDHKRKQHQKHGKPQKRHNNLSLRGTKDSDSVVMDYVENVSGVKVFDPHHHHIDQMTIPSSLVEDVYDCWFESGSMPYAHIHYPFENKELIEKNFPGHFVAEGLDQTRGWFYTLMVLSTALHRKSVVRNVICNGLVLAEDGEKISKKLQNYPPPLDLIDEYGADALWLYLINSLVVHAEPLRFKKGVLGVVKDVLLPFTWYNADGFLVQNARRLEIESCGPFVASDLEALQSSNVLDQWIQSATQSLVHFFRQEMDGYRLYTVHVIFIMNHDMVCSLLLAKFLHLSALKQRGEMIEQRVTWMMTIIDLSRNIHERHSVKEMIVAHRDAEFLNDM >fgenesh1_pg.C_scaffold_1003680 pep chromosome:v.1.0:1:25786089:25789272:1 gene:fgenesh1_pg.C_scaffold_1003680 transcript:fgenesh1_pg.C_scaffold_1003680 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLGSTSKTSATTKEFPKLLFGHGKEPEVEKINNSCRLSILRKIKDALPLEYKKVKSDPLFAQVFAIYENGLHYSGRLVHSLMCRQLYDLDTWKDDKGFWSKLLKREEKICIKTMMSTHLGVVHKWGKVDRIRFVYLCVIAGLVIAKDEKKAIPVQYIKLVMDLEKLRAYPWGLHSFDYLVESITNAKKDLKKTKSYALDGFSIALQIWAMEAVPKIGGILGVKLNKNLGASLRCSNWKGAAKVSYQEIIELETGMTAEDIVYPYISITGNYDVYESVDFLRQGENSDVALKNLNALIRTGYDFSDFEWDAVESPDVEEETIDEDLVEDGYVEGQGEIHTNDKEEANVTVEGSLLSGLQSNEHEMATSSKKRRSKDGDHGAQTRKMKLLCQRAPAAPHGFDEEMKTFIREMFETSFKHFGQEVSNKLGKIELDVATLKKAVITMDENSKKDKAPPFGNGQPVDDNCLFHELFTRPGEIDLNMDSQDPDFLQKEMGHLSQKSQAPGFDPSQGIFSQNLEKQQRKDDNAEFMDWNDKGDSGKYDADAVLVYLPEARWTAFEAWFRNVNRDVPKLGPSHLTDALFKRLIDQKEWLGNDEIDAVLYLHRESTSLRRLNSARVGFMSCEFSARIKNEYPKFMKNKKTHKWDSRLIDFVTGESPSHGKTGKSWALDFNRIYAPVNVNNSHWISICVNFVLRTVEVFDCFGNNNRRNVEMFAYIIPRIVKDVHGKVYGKVPLLTQYEIINVKVPKNLNTTMCDCGVYALKHIECHMLNLSMDLINDGNIKEARMKIAVDLWEAAHDPILIERMKNYKPPHQSSDILEID >fgenesh1_pg.C_scaffold_1003684 pep chromosome:v.1.0:1:25799511:25804256:-1 gene:fgenesh1_pg.C_scaffold_1003684 transcript:fgenesh1_pg.C_scaffold_1003684 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP protein [Source:UniProtKB/TrEMBL;Acc:D7KF06] MEIKPGLSALVTGGASGIGRALCLALADKGVFVTVVDFSEEKGQEITSLVRKANAKYHPGLSSPSAIFVKCDVTNRGDLVAAFDKHLATFGTLDICINNAGISTPLRFDKDDTDGSKSWKHTINVDLIAVIEGTQLAIKAMKAKQKPGVIINMGSAAGLYPMPIDPIYAASKAGVILFTRSLAYYRRQGIRINVLCPEFIKTDLAEAIDASILQAIGGYMSMDMLIKGAFELITDEKKAGACLWITNRRGLEYWPTPMEETKYLVGSSSRKRPSFKVSSKIELPQSFEKIIVHTLSHKFRNATRIVRAPLKLPIGPHQVLLKIIYAGVNASDVNFSSGRYFTGGSPKLPFDAGFEGVGLIAAVGESVKNLEVGTPAAVMTFGAYSEFMIVSSKHVLPVPRPDPEVVAMLTSGLTALIALEKAGQMKSGETVLVTAAAGGTGQFAVQRFSMQLAKLSGNKVIATCGGSEKAKLLKELGVDRVIDYKSENIKTVLKKEFPKGVDIIYESVGGQMFDMCLNALAVYGRLIVIGMISQYQGEKGWEPAKYPGLCEKLLAKSQTVAGFFLVQYSQLWKQNLDKLFNLYSLGKLKVGIDQKKFIGLNAVADAVEYLHSGKSTGKVVVCIDPAFEQKTSRL >fgenesh1_pg.C_scaffold_1003686 pep chromosome:v.1.0:1:25811172:25812081:-1 gene:fgenesh1_pg.C_scaffold_1003686 transcript:fgenesh1_pg.C_scaffold_1003686 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLYNHDKILHHITATIWEHLQRESPNFFDEYNKRCELARQIAKFNDLLAQQKYLIDLSTSAPVTKLQKLNDHHDDQDKVHDQWFVSDDFADIEDAFPSLIDPVAASVTKLQKPNDQDQICDQWINGTNDLASIGEIVSSLIDPSPLAETPQSDPPTTGLRYDIDSDDSIFEQWARDLEDTQQRMQKKIQRPPTRCVLSSAT >fgenesh1_pg.C_scaffold_1003696 pep chromosome:v.1.0:1:25875372:25877272:1 gene:fgenesh1_pg.C_scaffold_1003696 transcript:fgenesh1_pg.C_scaffold_1003696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7KF18] MTNAQELGQEGFMWGISNSDDSGGGCRGIEKQSPPSQPSHPSPKIQTATVKKGKKRTKRNDKNHEEESPDHEIHIWTERERRKKMRDMFSKLHALLPQLPPKADKSTIVDEAVSSIKSLEQTLQKLQMQKLERLQYSSASTNTTPTTTLAYDPSSSSSPTTLLTPISNHPIGATAADSYPRAAFLPYPCNDPIVTFDTWSSRNVVLNICGNEAFFNLCVPKHKSGVFTSVCYLFEKYNMEVLFANVSSNVFRSTYVIQAQVNPSYESQLLGNGFGVGDIFKQVAQELVLYLSSP >fgenesh1_pg.C_scaffold_1003705 pep chromosome:v.1.0:1:25911262:25913616:-1 gene:fgenesh1_pg.C_scaffold_1003705 transcript:fgenesh1_pg.C_scaffold_1003705 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATNHD2 [Source:UniProtKB/TrEMBL;Acc:D7KF25] MALLFGIGYVFIIFEECLSFSKCGIALLMAVSLWVVRSIETSLEIVTLELQHATSEVSQIVFYMLGAMTIVEIIDVHQGFKLVTDCITSRKPKILLWMIGFATFFLSSVLDNLTTTVVMVSLLRRLVPPSEYRKLLGAVVVIAANAGGAWTPIGDITTTMLWINGHISTFSTIKNLFLPSAISLVVPLALMSLTSEVNGMGLNTPPTPLLAYDHTAPRGKLVFGVGFGALLFVPLFKSLTGLPPYMGILLGLGVIWILTDVIHYGDLERQNLKLPHALSRIDSQGALFFLGILLAMSSLDAAGILKVIANYLDAHIANVELIASIIGVVSAIIDNVPLVAATMGMYDLSRFPQDSEFWQLISFCAGTGGSMLITGSAAGVAFMSMEKVNFFWYFRKVSGFAFAGFTAGIVTYLVVHYLSLFNL >fgenesh1_pg.C_scaffold_1003716 pep chromosome:v.1.0:1:25980957:25981748:1 gene:fgenesh1_pg.C_scaffold_1003716 transcript:fgenesh1_pg.C_scaffold_1003716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFT6] MGSEWNSPSSVTVVMNWLTWKRNNPIHGLQKAIDLLNKTFERSEQEEKFHLEKAAMYFEIAKQQYRANNKRGALSYIKLKVKMEETAQRCRDCQLLLHKELMLTEHRKKKLIWDERVEKKKKQLIEALLLKPTHVFVCFCSFLIMLTFI >fgenesh1_pg.C_scaffold_1003757 pep chromosome:v.1.0:1:26295917:26298159:1 gene:fgenesh1_pg.C_scaffold_1003757 transcript:fgenesh1_pg.C_scaffold_1003757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porin family protein [Source:UniProtKB/TrEMBL;Acc:D7KFZ3] MDGFPPPIYTAQVDAKTKLDEKVDYSNLPCPVVYEEIHREAHMALKPDLFEGFRLDYNKLLNQKFFLSHSVLMGPAEVQSPSSEIIKIPTANYEFGANYVDPKLWLFGRITTDGRLNARVKYDLTDNFSIKANALLTDEQDKSQGHFTFDYKGSDYRTQLQLGNNSLYGANYIQHVTPHLSLGAEAFWLGQQLMSGVGYAARYETDKMVASGQIASTGLAVMNYVHKVSEKVSFATDFIYNFLSRDVTASVGYDFIFRQSRLRGKIDSKGVAAAYLEEQLPSGLRFLLSAEVDHVRKDYKFGFGVNIG >fgenesh1_pg.C_scaffold_1003793 pep chromosome:v.1.0:1:26572039:26574010:-1 gene:fgenesh1_pg.C_scaffold_1003793 transcript:fgenesh1_pg.C_scaffold_1003793 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHAPTWKKAGIFDAIKASTYNISKNVSLILSVTEKCTITLEDVMVLLGFSVLGSPVFTPLKSSEMRDAVEKLEKERFENRCSDGTLKETPWISSFLGRGGQMEHEAFLVLWLSLFVFPATSRRALAPVVLSILYRDLGRICDFGREKCADKVNLKSLLKLVQVWTWERFRNIRPKAREIPKDLKNWKPLRFYVEEAMWVTVDESIDDEFAYFARCVRVSQLAGNGFVKDYFPNRVAMQFGLAQDLPGLVTRHRIFTEKEAWGEYSKSFDGLKLYMPSRLDRGSVTSRYRVWWRKSVSKFLGSAEMHKESTETFNARKKVEHYDEDVDDIDTSPKVLPLSHVVQRLKEGFSAKHRRSVMHRSVKQDKIGGLVNSSVSSGWKMNKAKKKSMMDDKPRNGSIMQSIGSNGAKKAECLVHEDGEKHKCNEKLCSEVKKEEEIDERLKQRKLAIKEMELKLEARIIEMEKTLAMIRKWKTRGNMTGNGVSSV >fgenesh1_pg.C_scaffold_1003800 pep chromosome:v.1.0:1:26621407:26624590:-1 gene:fgenesh1_pg.C_scaffold_1003800 transcript:fgenesh1_pg.C_scaffold_1003800 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLGSTTTTKEFPKLLFGHGKEPEVEKINNSCRLSILRKIKDALPIEYEKVKSDPLFAQVFAIYENDTWKDDKGFWSKLLKREEKICIKTMMSTHLGAVHKWCKVDRIRFVYLCVIAGLVIAKDEKKAIPVHYIKLVMDLEKLRAYPWGLHSFDYLVESITNAKKDLKKTKSYALDGFSIALQIWAMEAVPKIGGILGVKLNKNLGASLRCSNWKGAAKVSYQEIIELETGMTAEDIVYPYISITGNYDVYESVDFLRQGENSDVALKNLNALIRTGYDFSDFEWDAVESPDVEEETIDEDLVEDGYVEGQGEIHTNDKEEANVTVEGSLLSGLQSNEHEMATSSKKRRSKDGDHGAQTRKMKLLCQRAPAAPHGFDEEMKTFIREMFETSFKHFGQEVSNKLGKIELDVATLKKAVITMDENSKKDKAPPFGNGQPVDDNCLFHELFTRPGEIDLNMDSQDPDFLQKEMGHLSQKSQAPGFDPSQGIFSQNLEKQQRKDDTAEFMDWNDKGDSGKYDADAVLVYLPEARWTAFEAWFRNVNRDVPKLGPSHLTDALFKRLIDQKEWLGNDEIDAVLYLHRESTSLRRLNSARVGFMSCEFSARIKNEYPKFMKNKKTHKWDSRLIDFVTGESPSHGKTGKSWALDFDRIYAPVNVNNSHWISICVNFVLRTVEVFDCFGNNNRRNVEMFAYIIPRIVKDVHGKVYGKVPLLTQYEIINVKVPKNLNTTMCDCGVYALKHIECHMLNLSMDLINDGNIKEARMKIAVDLWEAAHDPILIERMKNYKPPHQSSDILEID >fgenesh1_pg.C_scaffold_1003809 pep chromosome:v.1.0:1:26686202:26689525:-1 gene:fgenesh1_pg.C_scaffold_1003809 transcript:fgenesh1_pg.C_scaffold_1003809 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLGSTTTTKEFPKLLFGHGKEPEVEKINNSCRLSILRKIKDALPIEYEKVKSDPLFAQVFAIYENDTWKDDKGFWSKLLKREEKICIKTMMSTHLGAVHKWGKVDRIRFVYLCVIAGLVIAKDEKKAIPVHYIKLVMDLEKLRAYPWGLHSFDYLVESITNAKKDLKKTKSYALDGFSIALQIWAMEAVPKIGGILGVKLNKNLGASLRCSNWKGAAKVSYQEIIELETGMTAEDIVYPYISITGNYDVYESVDFLRQGENSDVALKNLNALIRTGYDFSDFEWDAVESPDVEEETIDEDLVEDGYVEGQGEIHTNDKEEANVTVEGSLLSGLQSNEHEMATSSKKRRSKDGDHGAQTRKMKLLCQRAPAAPHGFDEEMKTFIREMFETSFKHFGQEVSNKLGKIELDVATLKKAVITMDENSKKDKAPPFGNGQPVDDNCLFHELFTRPGEIDLNMDSQDPDFLQKEMGHLSQKSQAPGFDPSQGIFSQNLEKQQRKDDTAEFMDWNDKGDSGKYDADAVLVYLPEARWTAFEAWFRNVNRDVPKLGPSHLTDALFKRLIDQKEWLGNDEIDAVLYLHRESTSLRRLNSARVGFMSCEFSARIKNEYPKFMKNKKTHKWDSRLIDFVTGESPSHGKTGKSWALDFDRIYAPVNVNNSHWISICVNFVLRTVEVFDCFGNNNRRNVEMFAYIIPRIVKDVHGKAFYESKFLEFVSNISY >fgenesh1_pg.C_scaffold_1003817 pep chromosome:v.1.0:1:26827631:26832816:-1 gene:fgenesh1_pg.C_scaffold_1003817 transcript:fgenesh1_pg.C_scaffold_1003817 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQGSSLPFRKSIFDFRNWDVPIITDVREKLENIKQLLKSHPSVREELVTNFCSRELTSYEQKFLGAELTKLDEIQRIYNQINCHIRTNQEIWKIFPASWHVPYGLCIKMCKITRDQVESIMVNMKEKPTVARLLQELKRTLNFELELEMKFGGGVPTKNIGDDIEETVDGENYSPNVSEIHMMYEMKFAANHDLVETQKTGIKDLSDSGGRFNFRGIISSCFEPHLIPYIEEELMQCLEKVVQEETWDIDEENLNNILSSSRELFLAIKKSYDKCKALTKNLTLFKLFKVFQRVLQAYATKLFFKLSTGGTHKQIKVSGIDERVICYIVNSAEYCCKTSGDLAEEVSTIIDPHYADGVDMSEVQDKFSCVITKALMTLVRGLETKFDTEMQEMARVSWGTLESVGDHSQYVNGIYTILKNSVPVLGELLTPVYFQFFLEKLASSLGLRFYANIFRCKKISETGAQQMLLDTQAMEMILLNIPSLDRQTVSAASYSEFVKRQMSRAEAVLKGLTKATQQSILDDFNKHAPRITQPLVAAENPQPVPIPPAPPSEITIRL >fgenesh1_pg.C_scaffold_1003836 pep chromosome:v.1.0:1:26989561:26990113:-1 gene:fgenesh1_pg.C_scaffold_1003836 transcript:fgenesh1_pg.C_scaffold_1003836 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLMNLWNLSTRFSFFLLLQTAYSRISLIEKPTAFLCEKYTSLPSPQLSQILNIEASNHLNVFCISPSKPDDPEDIVSLEDEDDPELSLLVELLGKGYKMKSEDWTKCSTTT >fgenesh1_pg.C_scaffold_1003837 pep chromosome:v.1.0:1:27003816:27004199:-1 gene:fgenesh1_pg.C_scaffold_1003837 transcript:fgenesh1_pg.C_scaffold_1003837 gene_biotype:protein_coding transcript_biotype:protein_coding MYFGINEACKKNHVVIHNELGPGIDLNIACRQLSIERTPSRFHTLKYKDPFYIIEFADNNQLPHGEKWYCLLSHGTRPKYWFDIEVYAQAYYPRCGQLRSWIARKDGIWFTRRYHSPPGHVLDWKIQ >fgenesh1_pg.C_scaffold_1003839 pep chromosome:v.1.0:1:27010281:27013340:1 gene:fgenesh1_pg.C_scaffold_1003839 transcript:fgenesh1_pg.C_scaffold_1003839 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIKRLRKILFCIFFCICSLPEVKPGFSNIVVVDHLPVTEEIYNQIKITLGSDFRFVKFNTPQEAQFSKEMNHNINFYFINKLDHIDRPMNVKEECGPPQTKQSLPEYWTESYVKWSPLGTYLVTLHKNGVAVWGGADTFHRHMRYRNFMVKLVDFSPCEKYLVTYQSQEPSNPRDASEVDIKIFDVRSGKTIKDFKGRADDFSVRGAVASWPVFKWAGGNDDKYCAKLSKNTISIYETKTFNLLGDKPMEAVDVVDMCWSPTDSILALLKGGGKQPAKVVIVEIPSKVELRQKYLVGAIDCKMYWHNNGEYLAIKVDGHTSSRFEIFCLKERDIPIEVLEVENKVLAFAWEPNGQRFSVIHGDQPKPDCSFYSMHGRISKLEFFNVDKLQTMAKTEHLMATDIAWDPTGRYVTTTVTSAQETDNGFNMWSFDGKLLYRILKEDPLFQLDWRPTGTKHREGGKLRSALEE >fgenesh1_pg.C_scaffold_1003846 pep chromosome:v.1.0:1:27068237:27070575:1 gene:fgenesh1_pg.C_scaffold_1003846 transcript:fgenesh1_pg.C_scaffold_1003846 gene_biotype:protein_coding transcript_biotype:protein_coding MSENVYNTDFPRNPLYIFFSDFRSVLKFNELGLEIARITYPAALALTADPIASLVDTAFIGQIGNNNNSKQRKMLVALSKTQSKIMKNVLKMVITIQRRKLKNLFLKTMKILYQMNRKPVVAKRIIPSASSALIIGGILGLLQAMFLISSGKPLLSFMGVKHDSPMLRPAQRYLSLRSLGAPAVLLSLATQGVFRGFKDTTTPLYATVIGDATNIILDPIFIFFFGLGVTGAAIAHVISQYLMCGILLWKLMGQVDIFNMSTKHLQLFRFMKNGIAILASAFANKDYKRAAATASRVLQLGLVLGFLLAVIRGAALHFGARVFTKDDEVLRLISIGLPTQPINALAFVFDGVNFGASDFGYAAASLVMVAIISILCLLFLSSTHGFIGLWFGLIIYMSLRAGVGFWR >fgenesh1_pg.C_scaffold_1003890 pep chromosome:v.1.0:1:27317462:27319400:1 gene:fgenesh1_pg.C_scaffold_1003890 transcript:fgenesh1_pg.C_scaffold_1003890 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKKNPKLKTSKNEKTKRTEEIKKSPESPPQTPTTFSSLPYDLALNCLARVSRFYQWSPRFRSLMASPDLEATRTCMGITENYLVVCLDRGKHKDSCRWFTLAPIHKQEKLLPIPSFPYLNPKYSTVVSMGSEIYIIGGSMSDNIDDWGEVYDPKAQTWEPVLPTTQDLTSQMSLVPGNNLVMGGRVYSMNAHYRLSFIEKKFVIKIDNMPCIIRFQFGKFLWCDLKESWRWTMVKGLQGLPNFEYIIPCSDGRGRSVTVWWKTKVYPSEGPNWFRVAITNIWCAEISFKRRCSGMLWGFVEWSKIVFTLDQCDFFPYPFLLQSSIVTYWLIMRGF >fgenesh1_pg.C_scaffold_1003895 pep chromosome:v.1.0:1:27366392:27367090:-1 gene:fgenesh1_pg.C_scaffold_1003895 transcript:fgenesh1_pg.C_scaffold_1003895 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFHDVSILRPCITGWHIRVKVLRMITVCINPRNALRLVLVDDKGFKIDCWINSEYAKHYAEFLKEDRWFSFTAFRVLENSDRVRLTNHHFRMSVFGTTVVLPADPPSTEPRDSFTQFSSIIDGTVDESVLIDLIGVLSDVGELVNVGTNPSDLTGFRLSFRIRGPWLVNRYFLPL >fgenesh1_pg.C_scaffold_1003897 pep chromosome:v.1.0:1:27371926:27373234:1 gene:fgenesh1_pg.C_scaffold_1003897 transcript:fgenesh1_pg.C_scaffold_1003897 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEMEEGVKENGASTPKSRVTNQTRALLSMRLLQILLLFLVLTLGISVVGIHMIKFLKIQHLDPVAPTTVLSMYDHETVSLDSFIRPPSNVWHSMNDTELLWRASIEPQRNGYPFKRVPKLAFMFLAKGPLPFAPLWEKFFKGNEGLYSIYVHSLPNYKSDFSRSSVFYRRYIPSQAVAWGEMSMGEAERRLLANALLDISNEWFVLLSESCIPLRGFSFIYSYVSESKYSFMGAADEEGPDGRGRYRTEMEPEITLSQWRKGSQWFEINRKLAVEIVQDTTYYPKFKEFCRPPCYVDEHYFPTMLSMKHRLLLANRTLTWTDWSRGGAHPATFGKADITESFLKKLPGAKSCLYNDQQSQICYLFARKFAPSALEPLLQLAPKILELGSNISSTRHSWFLF >fgenesh1_pg.C_scaffold_1003899 pep chromosome:v.1.0:1:27386085:27387595:-1 gene:fgenesh1_pg.C_scaffold_1003899 transcript:fgenesh1_pg.C_scaffold_1003899 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGIVPDNPRGFVDFGWIPDDPSLEFFVYLYFTELQQPSSNSAETREFVILLNGKAFGGPLSLNYFQTLALITPNPLKAQSFQFSLRQTQSSSLPPLINAMETYFTKKLPQSSTDQNDRKLSHSSAFPFQLLALCNRNLSSAGLTGEITSDISRLSQLQLLDLSNNNLTGPVPAFLVQLQFLRVLHLANNQLSGPLPSSLIERLESFSINGNPSICSTNACEEVERLTGQLQLRVMDRHYRGEIPVQCVHLCSEEKSGFQQDHLYRE >fgenesh1_pg.C_scaffold_1003900 pep chromosome:v.1.0:1:27390274:27390843:1 gene:fgenesh1_pg.C_scaffold_1003900 transcript:fgenesh1_pg.C_scaffold_1003900 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIFTTGWGLASPAGAGVMSHRILRTSLNNHYSQNVYTNRTRGFATKPKEKDNRTQKVKKTNKVEEKMKNHSQREWEEKNQPNPEEARTLEDGNVLVDNVLVVPLGRPVNVNGHQSCLWHNEYIVYDVGQIRMRYLINVKIQP >fgenesh1_pg.C_scaffold_1003901 pep chromosome:v.1.0:1:27395041:27398209:1 gene:fgenesh1_pg.C_scaffold_1003901 transcript:fgenesh1_pg.C_scaffold_1003901 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTYLTRQGSLQTFIRADVGATVNQGYRYGIDVFDRVWTPYNFGNWNLSATGLTGEILELISDLTSLEVLDLSNNSLTGSVPEFLANMETLKLINLSGNELNGSIPATLLDKERRGSITLSIEGNAGLCSSASCATTKKKKKNTVIAPVAASLVSVFLIGAGIVTFLILKRKKRSKLGLNPNSGTDHNILKEYLLCKVSPELSGRIQKLLYF >fgenesh1_pg.C_scaffold_1003906 pep chromosome:v.1.0:1:27542923:27543624:1 gene:fgenesh1_pg.C_scaffold_1003906 transcript:fgenesh1_pg.C_scaffold_1003906 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTFSYSLSSSSSASSIRSRDRVNGNFGIPRRCFCHGRIVLNTSTDLDEPNRLYYTCENEDASIDRKHVFKWWDAAILDEFEDLRHLVQEGENRLGCMLRFPNGDNVDPPCMWNQVTENNVDINHLKEIMNEFDVRIDEVKGMVLEMEGNRAARPSGLGGRNALLIMVVLAVFAILISVGVCFY >fgenesh1_pg.C_scaffold_1003908 pep chromosome:v.1.0:1:27545460:27548665:-1 gene:fgenesh1_pg.C_scaffold_1003908 transcript:fgenesh1_pg.C_scaffold_1003908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIL5] MEDGCLDKTRNSVNNKSIGKRKMVDDILTTPIRCGRKYGDINGDSDVGTKNASSDGSLDKGVSGPNNVPRTPHLSPIGFSLQIDYESVEVEELMVEDSGGVRKEIITQRTRARNPHGHVLRMSSLEDVDLREPHDRPPPTILLGKENIDTNIVPVDCHVKTWSTDKDRGQPSPIGVDMDKIDNVDLREAQDIPHSTSLLGCDTIDNNIGPVSCRGKTRSADIDHTLQTNIVADTLSIVGDTNNPPDDRHERLDGQTPIILSATDNIDANVAPVNCSARTSSADNARGPINEALEQFTAVLRNMDPSGHNSQPLSTDDVYVPDSGITNFMGMERGQSSNADNTIQTRNPTHFTQNGKGGKKSKPNKCTTCGKNGHNRATCKNPI >fgenesh1_pg.C_scaffold_1003928 pep chromosome:v.1.0:1:27699024:27699409:-1 gene:fgenesh1_pg.C_scaffold_1003928 transcript:fgenesh1_pg.C_scaffold_1003928 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLIREGLIVIRDGLPDSGRTYSNSGRKMGDSFPIDLTLPKLLYNIGHEPNSDVRINQCARYEYIDKVQRILSATEFQRIGYFSKLRDIDSEDIRNKLAMDIFNECDKIS >fgenesh1_pg.C_scaffold_1003939 pep chromosome:v.1.0:1:27778889:27780269:-1 gene:fgenesh1_pg.C_scaffold_1003939 transcript:fgenesh1_pg.C_scaffold_1003939 gene_biotype:protein_coding transcript_biotype:protein_coding MQHILLDIPSLGFFTTYAVLILFWAMIHYQARAISTDGLKPSFFTINAIVYVVQISLWLVLWWNPIRVMVILFLSKMFLAGVSLFVALGFLLYGGRLLLMLHRFPVESKGRRKKMKEVGCVTTICFTGFLIRCIMMCFAAFYEGANLDVMDHPILNLIYYLLVEILPFSLVLFILRTLPPKRHVHES >fgenesh1_pg.C_scaffold_1003944 pep chromosome:v.1.0:1:27806427:27808477:-1 gene:fgenesh1_pg.C_scaffold_1003944 transcript:fgenesh1_pg.C_scaffold_1003944 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQESYKSRLFHFKNMNENSASRHIKSWSSDCAMRMDGSDNLDDDNDMMMFRSQPGKFGSVDRPSLPLGGVTPNRNDKLPRVASSESMEALLVLQAAMEQMKEKFSKLLLGEDMSGGGKGVSSALALSNAITNLAASAFGEQRRLEPMAADRKTRWRREIGWLISVADHIVEFAPTQQTNKDGTSMEVMSTRQRTDLLCNIPALKKLDAMLLDCLDKFKDQDEFYYVKKDSPDSSETRNDEKWWLPAVKVPPNGLSEISRRFLQSQKECVNQVLKAAMAINAQVLSEMEIPESYLESLPKNGRASLGDVIYRMITVEMFDADQFLIEMDLSSEHKILDLKNRIEASIVIWKRKMVQKDTKSPWGSTVSIEKREQFEERAETILLLLKQGFPGISQSSLDISKIQFNRDVGLAILESYSRVLESLAHTVMSRIEDVLYADQLTQEPTNNAPSKNRYSLKENEKLREERLTFTEDMASGTLSDVMQWGNKNNEMKKESFFGDREKPLLSKVTGIMTNNKKSSYLENLGAMRSPTARYS >fgenesh1_pg.C_scaffold_1003945 pep chromosome:v.1.0:1:27811246:27813971:1 gene:fgenesh1_pg.C_scaffold_1003945 transcript:fgenesh1_pg.C_scaffold_1003945 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISKPTSKVSTFTFILLLLFSFVIIVAYSSPDTNLEANEPGSDSDLEQLLAVDEQLQEDRLEQQSEAETVSKAQRIVLELNGDNTKRVIDGNEFLMVLGYAPWCARSAELMPRFAEAATALKEIGSPILMAKIDGDRYSKIASELEIKGFPTLVLFVNGTSQTYNGGSSAEDIVIWVQKKTGAPIITLNTVDEAQRFLNKYHTFVLGLFEKFEGSEHNEFLKAAKSDDEIQFVETSGSDVAKLLFPDFKTNNVFIGMVKNEAERYTVYDGSYKMEKILEFLGSNKFPLITKLTETNTVWVYSSPVKLQVMLFSKADDFQKLSQPLEDIARKFKSKLMFIYVDITNENLAMPFLTLFGIEAGNKTVIAAFDNNLNSKYLLESVPSPNNIEEFCSGLAHGTVSRYYKSEPVPDNDNASIVTVVGKTFDELVLNSRENVILEVHTPWCVNCEALSKQVEKLAKHFKGFEKLVFARIDASANEHTKLQVDDEYPMILLYKSGEKEKPLKLSTKLSAKDMAVFINEELKPKDGSAKDEL >fgenesh1_pg.C_scaffold_1003949 pep chromosome:v.1.0:1:27827563:27829050:1 gene:fgenesh1_pg.C_scaffold_1003949 transcript:fgenesh1_pg.C_scaffold_1003949 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWCFKPKFYKKSKSTTSYMKIRLDIVRKKRIAMVKNYKTDIVNFLNNGQDIEAYKRTELLLEELRIISCYDLIERFCDCISENLSLMLKKRECPEECREAVSSLIYATAWVPDVPELKDLRAVFTRRFGTFIASSVNHELVEKTELRRLPSRELKIQTVKDVANEFSINWDPTPLKIMLLRESSALQIKDKVETRADYLNKDTEKSMIDDQSEDESVLSESWRRDSLSKGSLSSSSSSSSSSLRRESVRKKKRKKILPYGIITPPGAGNDEKAQEEKEENSKSIDQENSRLLGIQGGRSLTSTSNNEVSTTRGNERTSSFQRPKLLDYDDVVARLAALHRR >fgenesh1_pg.C_scaffold_1003954 pep chromosome:v.1.0:1:27846352:27847377:1 gene:fgenesh1_pg.C_scaffold_1003954 transcript:fgenesh1_pg.C_scaffold_1003954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIS1] MQAGLCKTRNALCSVQNLLFFIRENPIPDFNRLEDRALLAEKISHVMAATTAINKCRVVWNKKITAARARAEAGATRATAAKATAEDAAKQECIDDLELDEIVVVPNAVLPPSPPPTPINQNGSSEDFKGVVSASESSINHQIMPANVPRFIARQKQNSVRYIINRQ >fgenesh1_pg.C_scaffold_1003956 pep chromosome:v.1.0:1:27852887:27854163:-1 gene:fgenesh1_pg.C_scaffold_1003956 transcript:fgenesh1_pg.C_scaffold_1003956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJG1] MVMDREERRRRIMERGSDRLALITGQLHNLDPSSPSSSSSSSASHNRTYSESFMPQTKSDHHLIKESPSLKYQFKEEVNARSEEPKLSTVLHKPLKSEPTKPEEATRSVKSQNQRPRSFCSSKKLNASIISSERTRSLSSLTIAAFVVLLPRLNIISSDTILALRPLWLLILTDCAIVMSHLTMETSGGGLSHEMEDEGKSKDGNSGENWSDAEKLLERGVVVYQALRGMFIDCSLYMVVVVIFGASLF >fgenesh1_pg.C_scaffold_1003958 pep chromosome:v.1.0:1:27907730:27909450:1 gene:fgenesh1_pg.C_scaffold_1003958 transcript:fgenesh1_pg.C_scaffold_1003958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22 family protein [Source:UniProtKB/TrEMBL;Acc:D7KJG5] MAGWQRNLQIVIRQVGTRVKNSHISTTANYSSTRNLESPFSQGYLQSLLRPTCSSRPLYYHLQQLGISTSRQLQAGEEPVSSPLSSPALLGSGKEEEQKIIPKRQKVQAVLKSIKQSPKKVNLVAALVRGMRVEDALMQLQVTVKRASQTVYRVIHAARANATHNHGLDPDRLLVAEAFVGKGLFGKKVAYHAKGRSGIISIPRCRLTVIVRETTPEEEAEIARLKVHNFKKLSKRQRQLVPHKLIETSPIWNRRGTKGSYRSSELVPSH >fgenesh1_pg.C_scaffold_1003971 pep chromosome:v.1.0:1:27999037:28000587:-1 gene:fgenesh1_pg.C_scaffold_1003971 transcript:fgenesh1_pg.C_scaffold_1003971 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFSCFCCGKGFDRQKKVKTEPSWRIFSLKELHAATNSFNYDNKLGEGRFGSVYWGQLWDGSQIAVKRLKAWSSREDIDFAVEVEILARIRHKNLLSVRGYCAEGQERLIVYDYMPNLSLVSHLHGQHSSESLLDWTRRMNIAVTSAQAISYLHHFATPRIVHGDVRASNVLLDSEFEARVTDFGYDKLMPDDGANKSTKGNNIGYLSPECIESGKESDMGDVYSFGVLLLELVTGKRPIEKVNLTTKRGITEWVLPLVYERKFGEIVDQRLNGKYVEEELKRVVLVGLMCARREPEKRPTMSEVVEMLMNESKEKMAQLEANPLFNGNNEGEIIDESSEIISEETDHV >fgenesh1_pg.C_scaffold_1003973 pep chromosome:v.1.0:1:28003293:28004312:-1 gene:fgenesh1_pg.C_scaffold_1003973 transcript:fgenesh1_pg.C_scaffold_1003973 gene_biotype:protein_coding transcript_biotype:protein_coding MALARLALRNLQQKLSPSLMGQSCERCLVGNRHNPMKLNRFMATSAGEQEDKKNTEVSVSEKKSPRRNFPRRRGRKSLWRNTDDHGYFVPTLNEFFPPTLGNALMQATENINRIFDNFNIRPSQLMGQVKEQDDCYKLRYEVPGLTKDDVKITVDDGILTIKGEHKAEEEKGSPEEDEYWSSKSYGYYNTSLSLPDDAKVDDIKAELKNGVLNLVIPRTEKPKKDVQEISVE >fgenesh1_pg.C_scaffold_1003975 pep chromosome:v.1.0:1:28010014:28010479:-1 gene:fgenesh1_pg.C_scaffold_1003975 transcript:fgenesh1_pg.C_scaffold_1003975 gene_biotype:protein_coding transcript_biotype:protein_coding MTCILSCSHGVVIATAMVFSSTALFLAISRQFSGNQTSDQEILRSCLSSEEKKRQRKNKKKKVKFAENVKETKGNGEEYRKKRERLRRIVPEQMIKPKKTGSVCRNDMPANRIALYNGILRDRDHRIQCSY >fgenesh1_pg.C_scaffold_1003976 pep chromosome:v.1.0:1:28021703:28023496:1 gene:fgenesh1_pg.C_scaffold_1003976 transcript:fgenesh1_pg.C_scaffold_1003976 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDKFITKFNTSRQSVPKNQIPLLILFPEEEDDDDNNNNKDNKEKNFSCTLFNPEEKHKLYKTQDLGVEFAKSVCMATYGSWLLMRDPRLYPLYNMYIVNLFTHERIDLPPVELLWKDYEIRVASHERTWYRENQRLSSCLGIRDWCVVYIKKGDTFWNQIPQTSDCYHMVYKDHKLYFLSYIMGIFKIFDFSGETPQQTFHSCVRVELFQIRRQLVVTQLVVTVTGNVLKVEKWYRARDRSLSFRVFKVYSSGFLKKPEQIYSLGDEFMLLDQGITVLANNSDGFIRNSIYFSTSHGKNTNDIFIFNLETQEMERLLHTLFDSSPIPFSRVQWFLPSFTHI >fgenesh1_pg.C_scaffold_1003985 pep chromosome:v.1.0:1:28046450:28047772:1 gene:fgenesh1_pg.C_scaffold_1003985 transcript:fgenesh1_pg.C_scaffold_1003985 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDFKSLVTRCIYVGKMNDNAKKLKIATEELKDLGSNVMKRVKICEEQQQMKRLDKVQSWLRQADTVIKEAEEYFLMSSSSSSSGLISSSHKMEKKICKKLKEVQEIKSRGMFEVVAESIGGIGGGGGDGLTVKDSDEQTIGLEAVSGLVWRCLTMENTGIIGLYGVEGVGKTTVLTQVNNRLLQQKANGFDFVLWVFVSKNLNLEKIQDTIREKIGFLDRTWTSKSEEEKAAKIFEILSKRRFALFLDDVWEKVDLVKAGVPPPDAQNRSKIVFTTCSEEVCKEMSAQTKIKVEKLAWERAWDLFKKNVGEDTVKSHPDIAKVAQEVAARCDGLPLALVTIGRAMASKKTPQEWRDALYILSNSPPNFSVLKLLDRN >fgenesh1_pg.C_scaffold_1004009 pep chromosome:v.1.0:1:28157164:28157784:-1 gene:fgenesh1_pg.C_scaffold_1004009 transcript:fgenesh1_pg.C_scaffold_1004009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJL8] MANNEVQANQFGRQNAAVSDVLAKPLRTCCCDKRWIYQLIIMQVLITGTYVTLYLHLRKNLDDDYHRFVVYLMSLHLLSAIIQIRSTNWFLAAGSFSRVSGITAIFLLLLKISPLIAMNVCLPILLWFGITLIYHLCLMCFGAIVDVDDVEAQLAAQGLP >fgenesh1_pg.C_scaffold_1004042 pep chromosome:v.1.0:1:28340504:28343747:1 gene:fgenesh1_pg.C_scaffold_1004042 transcript:fgenesh1_pg.C_scaffold_1004042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKE7] MKKAKAVHNSLAEESRCRNTIPDSSNSDTTATIGEGTTPVDVSSSQAQSESSSPRSPTSTSGSNIDKTITSSSDSLSRKDVPDSADGETSVAGVLSSSTVGEKVRNHNIQINKLQQKTLVIHGFPIAIQLLLFQSIPLLFRYLPSSKDAQTFYDMSLSVLLTLKTYHTNNILLVENDKDLIVSQSVVSTEEDCVSVGDPKVSHLLSLIRRGYRFSKGDWRGGDASLGKLCICDKKKYCRCNCGPDYSPPNTCTPAPVLGLLSSNADSEAIAKLTTEVAHLKNTYAELYVKLKADVVVEVKSFLEARTCGNCSRNCGVAASRADSLSAAVVDTLKPVSSSKPLEEEENVALPSEVKTGKPKVFSSDTGRVYGCVENCSKDVTVTPESRLQTGDPSFQPSVREDDVPSNLQLGQKYLKPMKGRNKRGMIASTRCNVNKSPKRQKQGLPGHVDYIPFHPVPQRLSATFKNQLLSYRKSTYDIDGCEVGKTFFSDIYTPQKWIFSTVSYLLCLCPHHSVT >fgenesh1_pg.C_scaffold_1004063 pep chromosome:v.1.0:1:28487630:28489206:1 gene:fgenesh1_pg.C_scaffold_1004063 transcript:fgenesh1_pg.C_scaffold_1004063 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVEQHFSEDLLITQSSNAVRNYSYLIPFEILIDIFSRVPARSIARFRCVSKLWESILGSPDFTELFLTKSVARPRLLFALEVDKELFVFSSPQPQNPDENSSLVATPYKYFPKDFPINICPPLNGLVFLQDLKRKLQVVYNPVTGESITLPEVTATTSFKRSYFSFDPISKKWKVLYMEWSRDGTPKSTYVLTLKTGKCLWRKIQDPVFPHTPRCDEICINGVLYYGASAKGYYSYKIVCFDFRFEKFGRIKINGDHTWTLFNCKGKLGAHQYNLWGYEKLTLWVLEDAGKHQWSKSICILPSIVYKKNMIVGMTSSGELVFTPYACYLSNIFFYNIERKTYTRVNIKGFEEFNHQFTLVHTFLDFVENINFINIDGMFDVYNNWTLFNCKGKLGLHYYAAWYRQEEELVLYVLEDAGKHKWSKSICILPPIVHNNNIVGMTCTERLCFRRTHAIYQALSAFSSTTWRGRLVQESILRDLKSLSITLLSLTPF >fgenesh1_pg.C_scaffold_1004082 pep chromosome:v.1.0:1:28602920:28604198:1 gene:fgenesh1_pg.C_scaffold_1004082 transcript:fgenesh1_pg.C_scaffold_1004082 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRKQQVYKDNLIVARSNKRSSTSGEETCYFDPIPVDLIINILSRLPLECIARCRCVSKLWSSIVRRPNYNLLFPFKSPATPRLLFAFKVSEELLFNSSPQPHNPCRNLSLVATSLQRTSSANFFKFCRPVHGLVCRQHIENNYSVAVISNPITGESFTLPKLRMEGMNSERRNGKVRYSFGYDPIEKQFKVLRITWLRSGSHERSSEYQVLTLGFGNHSWRKIQCRIVHYPLEDNVAKLCFTLGVHKILSSSFYYNLESNILTRVKLEVSLLFIGCDVHAFTNYVEDLKLINGTPSRDAEHLY >fgenesh1_pg.C_scaffold_1004092 pep chromosome:v.1.0:1:28667945:28669416:-1 gene:fgenesh1_pg.C_scaffold_1004092 transcript:fgenesh1_pg.C_scaffold_1004092 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFVIVIIIVCACIVLPLFILCCVLTHIKKKPSVSQPPDLETGKTGTKDGGLVVLTGNDATTSVVTAAVITADPGGGSGCCCRCDDGGGGGDGGGCGGCGGCGASSPSFCRDWPGFGCGSPSRPVSLVVVFGGSLSRGLGGSRLRCRRCLGLLEDGVESGKLLRRLLLVSSPSRVGFSESGCGFVELGWVCFFSSRRDSCPDLTFRWWRNPRLVVCGGCWRGLPHRRVSSRLFVWVVGDAWFALRSAPVALSNPWEMVPVAPRSGMMVRGGFSGCP >fgenesh1_pg.C_scaffold_1004107 pep chromosome:v.1.0:1:28801455:28804344:-1 gene:fgenesh1_pg.C_scaffold_1004107 transcript:fgenesh1_pg.C_scaffold_1004107 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVDGEDVSSEDEEDPRATGTAHNSSQSAADDGRYVSVQALIKETTDAVEERYLRLHHIDKMEMKGYIDSAMSDLKKDIAVLIEASGCECKKRMPTEETEPEGFEVPQTGAVGDKEPGSAGSSKSRKKPSQSSVTRSKSKTNKKPRVGASVTQLPRPEKEPRKKVVEEEAGDAEMSGLEDIVPPTFSVGLTQQAVGGPVLAEENEPATVYSTKSPAELDAPTFSLGLTQEGVAMRTNKSTRKRKAGVGNSQLPSRHSLRVRDSITKICVDATPIGQGRNKRHRSGKEDDCVDVSRTREIPTAMLFTGDFDPFTPPSKVKVQAFLKQMERSTCYDVAGGMVVDNIEFLQIYEAIGFLDESN >fgenesh1_pg.C_scaffold_1004110 pep chromosome:v.1.0:1:28809624:28810409:-1 gene:fgenesh1_pg.C_scaffold_1004110 transcript:fgenesh1_pg.C_scaffold_1004110 gene_biotype:protein_coding transcript_biotype:protein_coding MGELVPLPKVKIDRTESRSYFGYDPVGKQFKVLCMTWCRCGIYDISPRVLTLGNRKQLWRNTEFCKVHYPLFDGICINGVLYYPAAKVRGYGVSVIVCFDVRSEKFSFVNITHDMVIKRDSILINYNGKLGLLEAQNDQGDYHPLFNYNYEGINRKTRAILMWILEDADKHTWSKRIYEFPNGWWEPDDTDLRFVGLTRTGEIVLSPYFLFNPFYVFYYNPERNTIRRVEIRGFEASEHPLVHVFIDYIENVAPMKVLRTS >fgenesh1_pg.C_scaffold_1004111 pep chromosome:v.1.0:1:28811521:28812609:-1 gene:fgenesh1_pg.C_scaffold_1004111 transcript:fgenesh1_pg.C_scaffold_1004111 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLEQQFSEDLSITQSSNSWGRNWRRIKARVICNPLTGKSKTLPKVKATGVGESYFGFDPISKQFKVLCMTWSRYGIPNTHRILTLETGKRLWRTIQDPVLPHFYIGDGICINGVLYYEASFKESRSYEIVCFDFKIEKFSFIKLDKDMVRGKKLTLFNYKGKLGAHQDIRWRTGKFALWVLEDAGKHKWSKRICALPPFCDKIVNTHMMVGMTVTGEIVYSPYAGYLSNPFDIFYYNIERNLLQV >fgenesh1_pg.C_scaffold_1004123 pep chromosome:v.1.0:1:28906187:28907664:1 gene:fgenesh1_pg.C_scaffold_1004123 transcript:fgenesh1_pg.C_scaffold_1004123 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRSTSIIFFAYTTIVLIDSINCRDNNNNNLVTNQSALFVFGDSVFDAGNNNYIDTLPSFRSNYWPYGQTTFKFPTGRVSDGRTIPDFIAEYAWLPLIPPYLQPSNGQNQFTYGVSFASAGAGALAGTFPGMVIDLKTQLDNFKKVEELLRFKLGEAQGKRVIATAVYLFHIGVNDYQYPFSTNSSVFQSNPREIYVDFVVSNTTAVIKEVYRIGGRKFGFLNMGAYDCAPASLIIDQTKIGSCFKPVTELISLHNDKLRDGLRRLERELSGFKYALHDYHTSLSERMNNPSKYGFKEGKKACCGTGPLRGINTCGGRMGVSQSYELCENVTDYLFFDPFHLTEKAHQQIAELIWSGSTNVTEPYNLKALFELH >fgenesh1_pg.C_scaffold_1004125 pep chromosome:v.1.0:1:28913055:28914779:1 gene:fgenesh1_pg.C_scaffold_1004125 transcript:fgenesh1_pg.C_scaffold_1004125 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase 3 [Source:UniProtKB/TrEMBL;Acc:D7KLD4] MGSSRLVSIIFFVYTVILSIGSINCKNKNNLVTNQAALFVFGDSLFDAGNNNYIDTVSSFRSNIWPYGRTTFKVPTGRLSDGRLIPDFIAENAWLPLIPPNLQPSNGNNQFTYGVSFASAGAGALVESFPGMAINLGTQLNNFKDVEKRLRSELGDADTKTVFSRAVYLFHIGVNDYFYPFSANSSTFQSNSKEKFVDFVIGNTTSVIKTLYKMGGRKFGFLNVGPYECAPSSLIRDRTKIGSCFKPVTELIDMHNKKFPDVLRRLQRELSGFRYALHDYHSSLSERINNPSKYGFKEGKKACCGSGPLRGINTCGNRRGPSQGYELCENVTDYLFFDSSHLTEKAHRQIAELIWGGSPNVTGPYNLKALFEFRLT >fgenesh1_pg.C_scaffold_1004130 pep chromosome:v.1.0:1:28930155:28931689:-1 gene:fgenesh1_pg.C_scaffold_1004130 transcript:fgenesh1_pg.C_scaffold_1004130 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFQGGWIKVEQRGGKGPGPRCSHGMAVIGDKLYCLGGELKPNEAIDKDLYVFYFNTHTWSIAPANGDVPRISTFGVRMVAVGNKLYVFGGRNEKREFSDFYSYDTVKNEWKFLTKLDVEGGPEARTFHSMASDENYVYVFGGVSKGGGLMKTPERFRTIEAYNVADGKWVQLPDPGENFEKRGGAGFLVAQGKIWVVYGFATSSLPGGKSDYESNAVQFFDPALGKWTEVETTGAKPSARSVFAHAAVGKYIIIFGGEIWPDPKAHLGPGTLSNEGYALNTETLVWEKFGGCDEPEMPRGWTAYTTATLYGKNGLLMHGGKLPTNGRADDLYFYAVNSA >fgenesh1_pg.C_scaffold_1004195 pep chromosome:v.1.0:1:29324545:29329926:1 gene:fgenesh1_pg.C_scaffold_1004195 transcript:fgenesh1_pg.C_scaffold_1004195 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVQTAVYQYGAMDSKGQVPSFDRSLSPMLPSDALDPSVFYVPNVYQQPYFYGYGSDYTGYTNSESVDMTSGAYGENASLAYPQGYGYATYPYSPATSPAPQLGGDGQLYGAQQYQYPFPLTASIGPFVSSVPASTQSKLSKNKAANSASAGASKGGFHKGMNGSAPVKPSNQSALGGGLAAGYQDPRYTYDGFYNPVSWHDGSNFSDVQRSVSASGVASSYYKANNNVPASRNQNYRSNSHYTSMYQPASMTGYAPQGYYDRVYPNKSYGQYGSTVRSGMGYGSSGYDSRTNERGWLPTDNKYRSRGRGNSYFYGNENIDGLNELNRGPRAKGTKNQKDTIEVSLEEVKEQTFDESNTEETVTCVLPDREEYNRDDFPVEYKDAIFFIIKSYSEDDVHKSIKYNVWASTPNGNKKLAAAYQEAQQKSGGCPVFLFFSINASGQFVGLAEMKGPVDFNKNIEYWQQDKWTGSFPLKWHIVKDVPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLKVVKIFKEHNSKTCILDDFSFYEARQKTILEKKAKQQQSQKQAREETVWEGKTNDENQTAVVSLNRD >fgenesh1_pg.C_scaffold_1004198 pep chromosome:v.1.0:1:29337169:29338209:-1 gene:fgenesh1_pg.C_scaffold_1004198 transcript:fgenesh1_pg.C_scaffold_1004198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KMA3] MEEAIATRYWCHMCSQTVDPVMEAEIKCPFCQSGFVEEMEDDDHDSSDPADVRANNSLWAPILMELMTDPVRRRRNQSVESVEDNQNEAQTESNENNGEENDLDWQLQEILRRRRRHSAAVLQLLQGIRAGLSVESESTGNGDNNPGRVILINTSNQTITVQSSADMDSLPAGSLGDYFIGPGFEMLLQRLAENDPNRYGTPPAKKEAVEALGTVKIEDTLQCSVCLDDFEIGTEAKLMPCEHKFHGDCLLPWLEIHSSCPVCRYQLPADEPKTDSVTTTSDNNGGSGAPATSSHGAENSRRQEEEEEEDAEEENEDNDGSGFSIPWPFSTLFTSSQDSNAPTDSS >fgenesh1_pg.C_scaffold_1004200 pep chromosome:v.1.0:1:29342898:29351316:-1 gene:fgenesh1_pg.C_scaffold_1004200 transcript:fgenesh1_pg.C_scaffold_1004200 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVEIEEDMEGDRISTNDYYFEKIGEPISIKEDDAQYDIENPPSQPLAISERHGVVFVAHSSGFFVGRTKDVISASKNSNGNGDKVYIQDLSLVDVPVGDVRILSLSADDSILAVTVAADIHFFSVDSLLKKDAKPSFSYSPDESGFVKDFRWIRKDKHSYLVLSNTGKLFHGIDNAPPRHVMDAVDAVEWSSKGSYIAVAQDNSLRILSSKFNEKRCIALSFDDWIGDSDEDCSVKVDSIRWVRNNCILLGCFQLIDGREENYLVQVIRSPDGKISDGSSNLVALSFSDLFPCSMDDIVPVGVGPHLLFSYIDQCKLAVTANRKSIDEHIVLLDWSPGDNKTAVSVVDIDRETFLPRIGLQENGDDNTIMGLCIDRVSVAGTVNVRSGSDELKELPPYFVLVCLTLEGKLVMFNVASVAGPPASSDADLASSSDIEDAYTPLIEDDLSKQSSEEPEEHQQLNVSVQNEQKHLNAEKFSTEQSFPNENIFSKEFESVKSSVSEDNKKKQEPYAEKPLQVEDGQQSMIPRQFGTSFGQSPVSLGYDTNKFSGFGPALSVSDKLQKDVSAQSKSMHLQANVESKSTPALFGSPGLQNSIFQSPLNTSSQPWSSGKGVSPPDFVPGPFPSVKDTQHKQSVQSGTGYVNPPMSTKETPVQVVETGRASALSNLSSPLGQNWDTNEGVEKIEPIPSIRASQLSQQVKSSFEKSASHQQHKTPLSAGPLRLEHNMSKQPSNINEMAREMDTLLQSIEGPGGFKDSCEVLLKSHVEELEQGLESLAGRCQTWKSTIHEQQAEIQHLLDKTIQVLAKKTYMEGMYKQTADNQYWQLWNRQKLNPELEAKRQHIMKLNKDLTHQLIELERYFNRLELDRYHEDGGHPVARRGVPSRSAPSRRVQSLHSLHNTMSSQLAASEQLSECLSKQMTYLKIDSPVKKNVKQELFETIGIPYDASFSSPDAVKAKNASSAKNLLLSSIPASINAQSRQRQSSAMKNSDPETARRRRESLDRNWAAFEPPKTTVKRMLLQEQQKTGMNQQIVLSERLRSVNNTQERSLLRLKNHASPVVSSNKGIMESFQQDTSEAQSTLFKTRPPMPQSNSPFTISPISASKPSFNWSGNKSSSTTSYAEESAPSQNKDTRTVSQPGGSNFLLKRPVASTVFEQTEKKAGEFKFSEAKANAFVETAAGSVQHLSTTSSGSDYEFSKGFGAQLSPMSSGGPASSFPSKSLFGFKTSSSIYGDKVTFPAATVSVSSSPLSSTPLDSTSTLSTPSSPPMSSSTQDSVPASIPISSAPVMQTFSVASTSTVSATGFNVPFGKPLTSANVDLSQAAPSTPSPSPGPTTGFSFNLPALSPSSPEMVSSSTGQSSLFPLSSPASQVSSDQASATSSLTDSSRLFSSNSLSSTPITSTPPDAFQSSQAFTPSSAVPITEPVSEPKKPEVQSSSILSTQSTVDSVANATKTQNEPRPVKSEISNLETTVTPVSSSGFLSGFSSGTESSLASMAAPSFSWPGSSQPQQQSSTPVPFPASLPTSASPFGEKKDTVDTQEDEMDEEAPEASQATELSMGSFGGFGLGSTPNPAAPKSNPFGGPFGNATTTTTSNPFNMTVPSGELFKPASFNFQNPQPSQPAGFGAFSVTPSQTPAQSGFGQPSQIGGGQQALGSVLGSFGQSRQIGAGLPGATFGSPTGFGGSNPGSGLPNAPTSGGFAAAGSSATGGFAAMASAGRGFAGASSTPTGGFAALASGSGGFAGAAPGGGGGGFGGLGSGTGGFGGFAPQGSGGFAGAGGGGGFGGFGGQAQGQAGGGGFSAFGGNSGATGKPSELFTQMRK >fgenesh1_pg.C_scaffold_1004201 pep chromosome:v.1.0:1:29352651:29353349:1 gene:fgenesh1_pg.C_scaffold_1004201 transcript:fgenesh1_pg.C_scaffold_1004201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMA6] MEPSDVKRESPDGQEEDNTAELRGIKTVGDPIGPVELVVLKTETKKSDNDDKGSSSKIVAESESKYEMQQILRVKLDDCQRQLKMLERMIPKIGDSERKNALQLYLDDMKPSLESTEALLGKFKFEEKDFSKIVENMKNVKSAVLDRLSFEKKMIIQDKRKK >fgenesh1_pg.C_scaffold_1004208 pep chromosome:v.1.0:1:29398224:29400455:-1 gene:fgenesh1_pg.C_scaffold_1004208 transcript:fgenesh1_pg.C_scaffold_1004208 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY10 [Source:UniProtKB/TrEMBL;Acc:D7KMZ8] MSDFDGNFIEMTSYWAPPSSPSPRTILAMLEQTDNGMNPISEIFPQTNIPRDHDTDQSGQRSGLRERLAARIGFSLPTLDTENMSPLDAFFRSSTVPNSPVVAISPGFSPSALLQSPNTVSDSSQIIPPSPATNYGPPEMVETSGEDNAMTMVFNNDLPYQPYNVDLPPLEVFDDIPTEESFYIPSYEPDVDPIGTPLVASFESELSDVDPIGTPLVASFESELGDDAHIDIISIEDSESENENKDEDDEDYQFDYEDEDQGQDQDVYLDEEEEEDEDNVALDDAQPSSRKRRRFDQASNNIGATRTSKTQRVILQMETDEDNPNDGYRWRKYGQKVVKGNPNPRSYYKCTNNECKVKKHVERGADNNKLVVTTYDGIHNHPSPPARRSNTGSRNRSAGTTMSQNQVDQTSRLARAPPPSSRTPVEMRPFSSMAPQVDLTQVYTTGISKLPNVPVYQNPGFMYRTDEPMMNVMPDGADVYGGIMHRLFVKFGVDI >fgenesh1_pg.C_scaffold_1004221 pep chromosome:v.1.0:1:29502448:29503087:-1 gene:fgenesh1_pg.C_scaffold_1004221 transcript:fgenesh1_pg.C_scaffold_1004221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7KN19] MAESILMEIQSVTEPEPIPGKPIFKPLKAHEMSDGKVQFRKVAVPPNRYSPLKKAWLDVYTPVFDQMKIDIRMNLKSRKKSADFVHAFMLGFDIPDAISLLRMDELYVESFEIKDVKTLKGKHLSRAISRLSGKGGKTKFAIENSTKTRIVIADTKIHILGAFSNSKVARASLCGLIMGSPAGKVYSKLRAVSVRLAEQC >fgenesh1_pg.C_scaffold_1004231 pep chromosome:v.1.0:1:29534123:29536763:1 gene:fgenesh1_pg.C_scaffold_1004231 transcript:fgenesh1_pg.C_scaffold_1004231 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEDDRVRPVHEGDGEPLFETRRKTGRVIAYRVFSASVFGCICLIWFYRMTVPVEIGENRTGLDRLISLVMLVVEIWFGFYWVVTQASRWNPVWRFTFSDRLSRRYGKDLPKLDVFVCTADPVIEPPLLVVNTVLSVAALDYPAEKLAVYLSDDGGSELTFYALTEAAEFAKTWVPFCKRFNVEPTSPAAYLSSKANGLDSTAEEVAKMYKEMAVRIETAARLGRVPEEARLKYGDGFSQWDADATRRNHGTILQILVDGREESEIAIPTLVYLSREKRPQHHHNFKAGAMNALLRVSSKITGGRIILNLDCDMYANNSKSARDALCILLDEKEGKEIAFVQFPQCFDNVTRNDLYGSMMRAIADVDFLGLDGNGGSLYIGTGCFHRRDVICGRMYGEEEEESERIQEPEMVKALAGCTYEENSQWGKEMGVKYGCPVEDVITGLAIQCRGWKSAYLNPGKKAFLGVAPTNLHQMLVQQRRWSEGDFQILLSEYSPVWYGKGKISLGLILGYCCYCLWAPSSVPVLIYTVLTSLCLFKGIPLFPKVSSLWFIPFGYVTVAANAYSLAEFLWCGGTFLGWWNEQRMWLYRRTSSFLFGFMDTFKKLLGVSESAFVITAKVAEEEAAERYKEEVMEFGVESPMFILLGTLGMLNLFCFAAAVMRLAYGDGGEFKGMGLQFVITGVLVVINWPLYEGMLLRKDRGKMPTSVTVKSVVIALSACTCLAFL >fgenesh1_pg.C_scaffold_1004235 pep chromosome:v.1.0:1:29556659:29557346:-1 gene:fgenesh1_pg.C_scaffold_1004235 transcript:fgenesh1_pg.C_scaffold_1004235 gene_biotype:protein_coding transcript_biotype:protein_coding MMISSSFAEFSLIFKHRVPKLSLLILSLSFLKNLCILITIPILFLSNVFHTIDVFNKKSKSYLHDQEELEGQETKANLHEEEEGLIEISLVIEAKEEKLERMKKRRMSREEYYEEMMIMEIWEEMEAEDNLIDISIGSIV >fgenesh1_pg.C_scaffold_1004245 pep chromosome:v.1.0:1:29613404:29614280:1 gene:fgenesh1_pg.C_scaffold_1004245 transcript:fgenesh1_pg.C_scaffold_1004245 gene_biotype:protein_coding transcript_biotype:protein_coding MYFMVSKIAVSGSNKHLINGKLAQPSQVQNLFHSVQLNNVNNPHFLIMQGRITKVLNMKPPEILWVLEQECMKTRKKECSTVEEEEEEDETWRSEIVTRVMRIVRVSFGSSLYQMTAEGKVDAALDPSHTHNIGRSSFPSLADNGNMLELGLDLLSASSISS >fgenesh1_pg.C_scaffold_1004250 pep chromosome:v.1.0:1:29632011:29638154:-1 gene:fgenesh1_pg.C_scaffold_1004250 transcript:fgenesh1_pg.C_scaffold_1004250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase HAC4 [Source:UniProtKB/TrEMBL;Acc:D7KN57] MNNNVPQNSVAVARRTALRNRIYAIVKHKQQQQHQIFLHKKNQQPTQDAILEKQDQKCIAATRMIEEKLLKSSRSFDEYSDLTTFDARVQTMLQQLGTILSQRRAAMNNGGAQCITPTRAVHTSTSVSNNSFQSGRSLVPINCTTAAAGGLFSIGPDMHTHHSTGANHQMVEVNRPNMNQITCGISSPLITGFNGNCVPVSANIPMTSQDLFNATLLNCVPPDQSHMHRYSMSNVASFGQSNPYPCGIVVSSSSMAVSQNSTPWNPNPMQGLDATVTSYHSNLQPMQQTPLPKRQLHHPLWNTNFQSAPNNRENLPQVSQQLLNHGSHQHRGQHSQNLYPGQLQNQDHLLPNLTQQAMALAAPVMHVPSLESYEEALQKHATKNGFKPSKLQCQQTAVQDHYIGAQSVSVHSSQMNSSPSLQPHPHQKQSESIPVQRQTSLQNSTEILQNNNGQREHTHMQPEPVHRVSSPIAPINSQTVSPRNTVERKRPRDGNDTSSKQVNEDCGQTSSNTVLRWIPFMFHARHCKAKKDKCASKFCFQARKIVKHIDCCKVPNCKYRYCLGTRMWLDHFKQCKSISCRTCVAVREYMEKNKYTIVPLRRAKCSSASSKCQPKKSSKSRKAYKKGGAEAPSVDADLQRSIKRPKLQRPSQNITPETKSISVTGCGVVCKPHSLMNMQEKDGLQSLKVEAMPMDIDVPDASEIPVTRELVKHVAEDTPKGNNCGGFVMDEKTSCLLAQGKSKCMNEMSAPKEENVKQSVEVVDASKMEISSLVELFTPEQVKEHIRSLRQWVGQSKTKADKNKAMGCSMSVNSCQLCAVEWLVFEPVPLYCSPCGIRIKKNALHYSIAAGESRHYVCAPCYNEARENLVFLDGTSIPKTRLEKKKNDEQVPEGWVQCDKCEAWQHQICALFNSRRNHGETTKYTCPNCYIQEVEQRERRPLPLSAVPGATNLPVTALSNHLEERLFKKLREERQERARLQGKTYEEVPGAESLTVRVVASVDKVLEVKQRFLELFREKNYPTEFPYKSKAILLFQKIENVEVCLFGMFVQEFGTDSGPPNERRVYLSYLDSVKYFRPEVRTVSGEALRTFVYHEILIGYLDYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKTDKLREWYLAMLRKASKEDVVVECTNLYNHFFVQSGECRANVTAARLPYFDGDYWPSAAEDLLRQMNQEDDGETKLNRKGLTKKVISKRALKAVGQLDLSLNASKDRLMMQKLGETICPMKEDFIMVHLQHCCKHCTTLMVSGNRWVCNHCKNFQICDKCNEVEQNRINIERHPINQKEKHALFPVAIKDVPVKIEDTDDNLESEFFDNRQAFLNLCQGNNYQYDTLRWAKHSSMMILYHLHNPTAPAFATFCTICQQEVENSQGWHCEVCPGYDVCSACYSKASINHSHNLINRSSSTESPVVQENRQASQNYQVQLEKLKEVLVHVAACRSTLCQYQGCRKFKTFFRHCIACKTGPGRCPHCKRVWNLLRLHARSCRDSQCTVPKCRDFREISSRQQQQSDKRRRAAVMEMMRERAAEATRTG >fgenesh1_pg.C_scaffold_1004261 pep chromosome:v.1.0:1:29682828:29684354:-1 gene:fgenesh1_pg.C_scaffold_1004261 transcript:fgenesh1_pg.C_scaffold_1004261 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGESSKSRVVFVKTSIDTRLGLLLDSDDSVSAFKDKICKEHEQCFPSVGKITISSALKVNLGGNDYHLPDSMILKKALQGISNNNWFLSVDVMHVEEKGELQIGEVALANHDLHVTKNNDPSEVVEKKTRKRKPKSSGKSSKKPSVETPTEAKDVESGEGNVTIMGENQHAYQEELVDGHANDVEANLDLMGTMEQDLQKDVANADLVMIDEDKDLEKDNLLTELYQTSDDAENEGLIDPVNATCQAIENYETGMGTKEEDGDEEAKSEKPKKKKRARKDKNLAKEDGLVASSSRNAEEDVEKAARKSKKKSKKQESSNVVEEDA >fgenesh1_pg.C_scaffold_1004273 pep chromosome:v.1.0:1:29768407:29769210:1 gene:fgenesh1_pg.C_scaffold_1004273 transcript:fgenesh1_pg.C_scaffold_1004273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNX1] MKRTVFSSKAMRGEHKPEDKLIVSDTESETSEDSTSSEESGEVQSSTKELNTDKTGSESFQNKGLSKESDEDSSGSEEESSSDDEGAKITSQPVSLKMDSKPEMIPKKTGSDSCQNKAGSVSASKSPKDRSQALAVNPKETVSETCRNKVGSGSPPEPRTEVPDVKPNVPVKRLFEEIGQDNSETKRKPRLGKEVASLMKTDTGYRCGLDELTLSQIWDTEVEIEKRRELEVKLMKVRETQFTVYLEQAQFVAEATKLIVDALRKRQ >fgenesh1_pg.C_scaffold_1004278 pep chromosome:v.1.0:1:29788710:29792183:1 gene:fgenesh1_pg.C_scaffold_1004278 transcript:fgenesh1_pg.C_scaffold_1004278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7KNX6] MILMITAPVFTTCPPHLLLRHSFPCDHSSSSSSLRHESIGNFHRKKTPRVRTVSSSSLLPQPSVRPDKASELKTLWKKFYKVASPYWFSEDKDQARLRLAAVFALTLATTGISVGFNFLGRDFYNSLANKDQEQFTKQLFYYLCAFAGGIPFFVLRDYTKETLSLRWRSWMTKYYLQRYLKDQTFYKIQSQSMIDNPDQRIVDDLSSFTGTALSFSLTLVNATIDLISFSNILFTIYPPLFLVLLLYSFGGTAISVFLGKGLVNLNFLQEKKEADFRYSLVRVRENAESIAFYGGEQNEMQLLLQRFRSAFDNLTELLIASRNLEFFTDGYRYLIQILPVAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLVVYQFQAISSFSAVIDRLGEFDDLLDNSIFRDPSGTADEIELTYQSEMNSSLLDTNGSIKSQLNQKRLEIEELTLQTPTNGTTLVHNLSVDIYDKDHLLIMGPSGSGKTSLLRAMAGLWRSGKGKITFYLDSEDDLTQEASNTQENSEKRSSGDVLFLPQRPYMVLGSLRQQLLYPTWSATVEEATPGGSNIDGSPPLLIRDDGNEKCNKPTTDDLMRTLEKVCLGHIADRFGGLDSIHEWSSVLSLGEQQRLAFARLLLSQPKLALLDESTSALDEANEALLYQQIQSAGITYISIGHRRTLKKFHNKILQISTADPKSKESNWRIEDVDAQDCLYGRLNQEEVPSES >fgenesh1_pg.C_scaffold_1004291 pep chromosome:v.1.0:1:30218060:30219373:1 gene:fgenesh1_pg.C_scaffold_1004291 transcript:fgenesh1_pg.C_scaffold_1004291 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNMEGGNTHFYDEIEPFCRWRRMEDIDILELHLPSGLKKEHLKIQINHSGVLTITGGCHVDQTKSIRFMKETKVAKNCKRNEIRAKFSKGVLYVTMPKTSPITAGPSVALKGATSQTRDPKTDEDMRNVANCSSEFYIKFGSLKQRLWRKTVVEGVAAVVVVVAAVLGVVKAYQYFMASPV >fgenesh1_pg.C_scaffold_1004295 pep chromosome:v.1.0:1:30388351:30390058:-1 gene:fgenesh1_pg.C_scaffold_1004295 transcript:fgenesh1_pg.C_scaffold_1004295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNZ7] MGGPPMGCPCPTPNGPVMDKPTLTWPVMDWTIMDMGFIGLDSNGIGRPNRQKMLGFQFDASPAISKIEHQSFSGDFSGDFFATELDACLHGFIASISATSFDSGVPVEFLDEDKGVKEGEEEV >fgenesh1_pg.C_scaffold_1004296 pep chromosome:v.1.0:1:30487749:30488666:1 gene:fgenesh1_pg.C_scaffold_1004296 transcript:fgenesh1_pg.C_scaffold_1004296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNZ8] MVEKAKECWTMLQNWKSIHVLQRYQKQHFSSTSNKELSWATSNKEHKKADHFPVLHRRSFHHLRLSPPYNCVTRNLCKSCGPASISVIAFPSTVFMAYFTEASSSPFDAAASPSSLCKIPAGSMLGEISWFVGDLDLFFKTFNLVATPFLVVVKPPAFPASQSDNYSDVVARPAGPSAFFSDFGLPHPRPLVALSRLSDASYR >fgenesh1_pg.C_scaffold_1004298 pep chromosome:v.1.0:1:30527245:30529469:1 gene:fgenesh1_pg.C_scaffold_1004298 transcript:fgenesh1_pg.C_scaffold_1004298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KP02] MSRCFSVDWGFRNGLFRVIFGLEKGFVVIVFLRDLDWLCSRQVKISFHLVFRGLESRINQLRISQIYRFGELKWICFKDSSYLLITDGNSEIFRWSFGKLIFKISIDGFSSAKSGYGETLVGARLDQLEESMSDLVLIQMRRRLGGCYLGISMRIAVIKVLNIGNFKSSKIIEIVWLVVGSKNVSQFGSYGSTSVEQRQGCDGDEVVVSLDYEKLVNFCQHCSRLTHDMVVCPELQKKAGNRLYEEYGDRRGGQRQHNNAKLVSQGQDGGWEKPRKPAAKRALEFSGEEMNGGFRYHSEMGDSNKQSHQKIEKNQGPIWGQKKSFPGTWAESSETLEKVVARDSFKEAHSQSQGTSYGRKGAGPAWPKPLYQPKTVSKETQETKNSEQGDDLGDLAMEDVPEMEDKDINAGIQISESADDLLEDGECQVDEETDNQETMEEITDADGQIIPEGEKIGPQGNEWYGIG >fgenesh1_pg.C_scaffold_1004299 pep chromosome:v.1.0:1:30535747:30540255:1 gene:fgenesh1_pg.C_scaffold_1004299 transcript:fgenesh1_pg.C_scaffold_1004299 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDHIAEVKSLEALIGGSFPANLSKLSSSSRTIPTNRDFHSLYKSDEFKRPIDEIARTSQCVLETIGGKKSMTFPGDDDAYESLVKVNDEILEKFDDSIDEFKRNRKMEEDSKKAIDVKVAESNKRFEKHGKAKAPFHLPTITKPQEEYKILVENANKPFDHVLLEKSEDGLRFVHPLEKLSVMDFVDKNLTEIIPVKPLPLEETPFKLVDEVKDLEDLAATLQSVEEFAVDLEHNQYRSFQGLTCLMQISTRTEDYIVDTFKLWDHIGPHLRELFKDPKKKKVMHGADHDIIWLQRDFGIYVCNLFDTGQASRVLKLERKSLEFLLKHYCGVAADKQYQNADWRIRPLPDVMTRYAREDTHYLLYIYDVMRIDLHTMAKEDEQSDSPLVEAYKGSYDVCMQLYEKELLTGDSYLHTYGVRSGNLNAVQLSIVAGLCEWRDRIARADDESTGYVLPNKTLFDIAKDMPITTAQLRRLLKSKHPYIERNFDAVISVIRRSMQNAAAFEPVVQSLKDWRPETVVEKNIEPKIEKTGTEASSSSLSLKKFSVDDSKKQSSSGFGNLPSKRKLESDKKVKEEVKVSKSKPNEVIIVVDDDDDDDDESYEQSSETADALNRVSETPSNGSLSMTQKPKICNKEIIVLDDDDESENDSESREEEEMRRRSEKHRRFMNMKRGFLNI >fgenesh1_pg.C_scaffold_1004301 pep chromosome:v.1.0:1:30578689:30580817:-1 gene:fgenesh1_pg.C_scaffold_1004301 transcript:fgenesh1_pg.C_scaffold_1004301 gene_biotype:protein_coding transcript_biotype:protein_coding MGRELVDKHMDKKANSLTASSTGSSDDNKVRNPSTIEAAEVKECTEQNLVPDDARMRPLETIDTPGSHKTSDNEKKLNSSSVKTRVTAKTTVPKPFSLSAEKPRRAVVDNNSLGNGASHYSSSASRVSQLNSPLPARRTPDHKKHHDEEDSFSVASSSATSIRSFKPKITVGVAPTFSSTARLERRREFYQKLEEKQKALEAEKIENEKRLKEEQEAVTKQLRKNMAYKANPVPSFYQEGPPPKQPLKKFPLTRPKSPNLNRRKSCSDTVNASYQEVKGKHCARHRHSVGGCKEEPKTNSVPRTPNSSSKDHMRKSTKETPKSEEVHENLVRKNKSGHDGETDENGVGVVE >fgenesh1_pg.C_scaffold_1004316 pep chromosome:v.1.0:1:30836718:30838017:-1 gene:fgenesh1_pg.C_scaffold_1004316 transcript:fgenesh1_pg.C_scaffold_1004316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:D7KPX8] MASIAASSSTSLLARPRQLVIAANQVKCFSYGRRSYLSFNLRQLPTRLTVSCAAKPETVEKVCAVVRKQLSLKEEDEVTAATKFLALGADSLDTVEIVMGLEEEFGIEMAEEKAQSIATVEQAAELIEELLLEKAK >fgenesh1_pg.C_scaffold_1004319 pep chromosome:v.1.0:1:30859251:30862567:-1 gene:fgenesh1_pg.C_scaffold_1004319 transcript:fgenesh1_pg.C_scaffold_1004319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:D7KPY2] MSSGAGSGTTKGGRGKPKATKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAELLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGSVTIANGGVLPNIHQTLLLIKVCMIYIELNNIINMLIQNQRIVDATDNSLDKIKQQLESRVIGTCFWDLLFKRAETEASTIRRHQDKNLKPRRIKSTSASMQG >fgenesh1_pg.C_scaffold_1004336 pep chromosome:v.1.0:1:31157291:31157782:1 gene:fgenesh1_pg.C_scaffold_1004336 transcript:fgenesh1_pg.C_scaffold_1004336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ02] MAERSNPHHIARDKWRRRYTLVKSVLLIGGELQPLSRRLRQMAEHPYSRQIVSSDWRRASTHVASLTTNGGTTILSSNRFLRLAESLYPCRITYDKWRNNHTLVKSFSLIGGELLPMSHRLRQMAEQPYSRQIVSSDWRKASTYVASLTTNGGTTILSSNRFL >fgenesh1_pg.C_scaffold_1004341 pep chromosome:v.1.0:1:31296351:31299215:1 gene:fgenesh1_pg.C_scaffold_1004341 transcript:fgenesh1_pg.C_scaffold_1004341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KQ11] MGTKTHNSRGKIFMIYLILTSLSLLVLGLILSFKPLLRIRSPSSTLRIDDLPPPQVNKNPKWFRLIRNYLPEKRIQVGFLNIDQIERERYEARGPLIVKNIHVPLDPIPKNVTWKSLYPEWINEEVSNCPEIPLPQPEGSDANVDVIVARVPCDGWSENKGLRDVFRLQVNLAVANLAVQSGLRRVDQAVYVVFIGSCGPMHEIFPCDERVRRVDDYWVYKPYLPRLKQKLLMPVGSCHIAPPFAQFGQEAWRPKHKDNLVSEAVTALPRRLRVAYVTVLHSSEAYVCGAIALAQSIRQTGSHKDMILLHDHTITNKSLIGLSSAGWNLRLIERIRSPFSQKDSYNEWNYSKLRVWQVTDYDKLVFIDADLIILKKIDYLFYYPQLSASGNDKVLFNSGIMVLEPSACMFKDLMEKSFKIESYNGGDQGFLNEIFVWWHRLSKRVNTMKYFDEKSQRRHDLPENLEGLHYLGLKPWVCYRDYDCNWDMSERRVFASDSVHEKWWKVYDKMSDQLKGYCGLNKNMEKRIEKWRRIAKNNSLPDRHWEIEVRDPRKTNLLVQ >fgenesh1_pg.C_scaffold_1004347 pep chromosome:v.1.0:1:31383071:31384257:-1 gene:fgenesh1_pg.C_scaffold_1004347 transcript:fgenesh1_pg.C_scaffold_1004347 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERGLQCVSAFSSIGRFMDDLTEVDDYTTSNTSRLSTNASSPSFALYKIARITPLVLTYYRLCLGNENYNVSLHFSEIIITDDSSFYSFGKRVFDIYVQERLVVKNFNIKEAADRSGKPIIKTIVVHVSRL >fgenesh1_pg.C_scaffold_1004348 pep chromosome:v.1.0:1:31389286:31390581:1 gene:fgenesh1_pg.C_scaffold_1004348 transcript:fgenesh1_pg.C_scaffold_1004348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ20] MAQGETSTEIVPLATKKSKASESQCDASPQRVNLSKEAAMEEPMDATTAANGDNEEVEDKNAEGEEKTEENPRETETMATEEEPEQIKATDRDQEHHGEKLDVDERRSNYHEAHDEKESINVARCVLTSDSSARKFSPATTQCKFEYYYIDASKGFVLIGRHPTAYSVWDPKTNNQYRLPRVPFSFKEFTIALIIEDSPVNGYSLA >fgenesh1_pg.C_scaffold_1004375 pep chromosome:v.1.0:1:32129742:32130468:-1 gene:fgenesh1_pg.C_scaffold_1004375 transcript:fgenesh1_pg.C_scaffold_1004375 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPKVSRLWLLYAVLLFHSQRHSVNAQAGGVIGGAGILVQRAAFCFNNNLLYRGCNEAFRLNQRGEFKVPPEETDKFCNGPCSAETELVLTCINSVMSDFIFYNRATPRDVRNTLRGGCSSSFTRGNFNVGDYAQGLYFGKAGKKLPGLFVGLVVGFVPLIL >fgenesh1_pg.C_scaffold_1004376 pep chromosome:v.1.0:1:32130858:32132480:-1 gene:fgenesh1_pg.C_scaffold_1004376 transcript:fgenesh1_pg.C_scaffold_1004376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretion-associated ras [Source:UniProtKB/TrEMBL;Acc:D7KAZ4] MFLFDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKRNMVFSLLIESLFGCIKVDAVVYLVDAYDKERFAESKRELDALLSDEALATVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVALGDSGVRPLEVFMCSIVRKMGYGEGFKWLSQYIN >fgenesh1_pg.C_scaffold_1004379 pep chromosome:v.1.0:1:32141775:32143122:-1 gene:fgenesh1_pg.C_scaffold_1004379 transcript:fgenesh1_pg.C_scaffold_1004379 gene_biotype:protein_coding transcript_biotype:protein_coding MANRQNLQEIIVPDAFDLHCIWISFSMEEKPGSTDINTYIEKEYPKRLLRGANEYQVRQINNTCRMSILRNIKDALPIEYEIIKRDPLFAHVFAIHENELGYSGRIIHNIICG >fgenesh1_pg.C_scaffold_1004386 pep chromosome:v.1.0:1:32190878:32192657:-1 gene:fgenesh1_pg.C_scaffold_1004386 transcript:fgenesh1_pg.C_scaffold_1004386 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KB02] MTSKRIASSIQLDGLSNLPDVILITIISYLSFKECLRTSVLAKRWRYLCRETRNIAFKESEYVDHSVSDKISKRISFVHYMRQWISRYHGRYIETLEINFSIPSGFVAEIQSLIEFAVSRQVKNLVLDFKDPSWISTSWASRYDHVVVQLPVCVYSLTTLESLKIYSCGFDPSKFSNSRLPRKLSIGWIKLPEVDSLLSNSPTLKSLSLDYCWGVEIKNIAGDMKEFVFDRCDFSSFMACSFDLPNVEIFKYSGQILSFDVKRMNMSIKDVYLDFTAEGEYDKRNQRTKLEGSVLSAFLNNLRGARTLSVCPYLLQTIQECEDPLDLLRPMETQHLVLRTRLHVTEFKGIRLLLDNCPNLEMLTFDIFNRSIFSYNKSYYGVGPRSYWKKNLTYKSLPKTLKVVVVRNFRGRFGELNVLKFLIQSGRGRWPGREHGPMLERVELYMHSSMAESQKELADDGAAMLQSISGHVQIIVHDP >fgenesh1_pg.C_scaffold_1004400 pep chromosome:v.1.0:1:32369366:32369906:1 gene:fgenesh1_pg.C_scaffold_1004400 transcript:fgenesh1_pg.C_scaffold_1004400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KB22] MLVLVESIFLIPCAIAKYKFLGKYLDIAKNNIKTYPWKKILSTYAQIWCNEESKGPKVTCVFSSFNDAVDLSALLDEQEDDDLGGISLSWKKTEEFISQMDHEVEFDVGDYVSPRLEACVLPRLEAPTHHLSASVRLVGDDREVKFDEMLDRTMGDDRQTH >fgenesh1_pg.C_scaffold_1004429 pep chromosome:v.1.0:1:32769929:32773752:1 gene:fgenesh1_pg.C_scaffold_1004429 transcript:fgenesh1_pg.C_scaffold_1004429 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLKLILHRAYSTSTGVNNSFEISRLSRIGQINEARKFFDSLRYKAIGSWNSIVSGYFANGLPREARQMFDEMPERNIVSWNGLVSGYIKNRMIEEARNVFEIMPERNVVSWTAMVKGYVQEGMVVEAELLFWRMPERNEVSWTVMFGGLIDGGRIDDARKLYDMMPGKDVVASTNMIGGLCREGRVDEAREIFDEMRERNVITWTTMITGYGQNKRVDVARKLFEVMPEKTEVSWTSMLLGYTLSGRIEDAEEFFEVMPMKPVIACNAMIVALGEVGEIVKARRVFDQMEDRDNATWRGMIKAYERKGFELEALELFAQMQRQGVRPSFPSLISILSVCATLASLQYGRQVHAHLVRCQFDGDVYVASVLMTMYVKCGELVKAKLVFDRFPSKDIIMWNSIISGYASHGLGEEALKVFHEMPLSGTMPNKVTLIAILTACSYGGKLEEGLEIFESMESKFCVTPTVEHYSCTVDMLGRAGKVDKAMELINSMTIKPDATVWGALLGACKTHSRLDLAEVAAKKLFEIEPENAGPYILLSSINASRSKWGDVAEMRKNMRTKNVSKFPGCSWIEVGKKVHMFTRGGIRNHPEQAMILMMLEKTDGLLREAGYSPDCSHVLHDVDEEEKVDSLSRHSERLAVAYGLLKLPEGVPIRVMKNLRVCGDCHAAIKLISKVTEREIILRDANRFHHFNNGECSCRDYCWVRTEIEKSANFILYVDKKQVYVSVVLMGSEGPTGVTIHITGFKKFHGVAENPTEKMANNLKEYLAKNCVSKDLILGSCTVLETAGQGALASLYQMLQSAVNTKESELLTGKTIWVHFGVNSGATKFAIEQQAVNEATFRCPDELGWKPQNLPIVPSDGPISTVRKTNLPIKEIRKALEKKGFEVITSDDAGRFVCNYVYYHSLRFAEQNKTKSLFVHVPLFVAVDEETQMRFTVSLLEVLASICK >fgenesh1_pg.C_scaffold_1004450 pep chromosome:v.1.0:1:33004712:33006285:-1 gene:fgenesh1_pg.C_scaffold_1004450 transcript:fgenesh1_pg.C_scaffold_1004450 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRYNPRRRLRRRLHEKKRAKDLISSLPDEILQHILSFSPTKFAIRTSLLSKRWRYIWCDTPSLSFSNCRQRPASIDKTQMCYKAPKMKYFRLKNNLKNNNYYIKKWITFAMSRNVENLSLEVYGTGFKIPASFFINSSIKQLNIELAYISSQCLVSWPSLKKLSLRCKDIKVLDLSKLLHLRTLEINSHIKFLGPTQIVAPHIHCLRLIASQLPCTLVDISSLTEAKLDICIKSRDEIFKADFPQLQAMVLEMLEKLQNVEKLTFGGNFLQILSLAGVRGVPFPMLKVKTLILETVIFQYVIPGIERLLQNSFELKKLMVRARDCNTIPGSYLTNYLDSLGLDPNICWRSKDGKVILNKSHRNIETKHVTSFIEFTLKNTKSLDKMVVQLDERYISFRFKDLVPTLIPNNNVSIGLSTKPMILGEW >fgenesh1_pg.C_scaffold_1004453 pep chromosome:v.1.0:1:33021842:33023787:1 gene:fgenesh1_pg.C_scaffold_1004453 transcript:fgenesh1_pg.C_scaffold_1004453 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKAKSLSNLLGFAFKYRQNSASATANHAGISYRSAEIPRCLHTYSNDEPASASTAEEPKIEIETWNQDIETLRRLGVIVSVESCKSVAIDNIEESIFPNPNRRLSFRIIPRIDENSVLSSEGREKLMSVFEFMKKQGLSIEEEMYSSDLCELINEHSKEEFQFLIRKIKEAFPDSSSGLGYYEMLSWIKIDDEEKIEEICRRIIDRWDRLSSLEVNYLEAFCVTCLYEYLQRLLKTVDITKATSLDQLTPIFEYLGRLDLMIDAKRLLGELKGTAWAEHISHLIFSYATSSVHENEDIPGKANAFEMCRVLHTEVGFKPSFEFFEKLIAFECDSQDDHHYSQHDHRKIPLAAKIVDEMRENGLIEDSEDGRYFHDTIEKIYGIDWIVLIHY >fgenesh1_pg.C_scaffold_1017000001 pep scaffold:JGI8X:scaffold_1017:46:1071:1 gene:fgenesh1_pg.C_scaffold_1017000001 transcript:fgenesh1_pg.C_scaffold_1017000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MYF5] CPRQLEKPIVLPEQPLLSAEDLPWLIGSPKAQKKRFKFWQRTLERTKSLRWILANSFKDEYDDVNNHISSYKMSKSFNKENNGQNPQILHLLCPLHNQEATNNIAITKTSFWEEDISCLGWLQEQNPNSVIYISFGSWVSPIGESNIQTLALAIEASGRPFLWALNRVWQEGLPPGFVHRVTITKNQGRIVSWAPQLEVLRNDSVGCYVTHCGWNSTMEAVASSRRLLCYPVAGDQFVNCKYIVDVWKIGVRLSGFGEKEVEDGLRRVMEDKDMGESLEKLRDRAMGNEARLSSEMNFTLFKNEIK >fgenesh1_pg.C_scaffold_1031000001 pep scaffold:JGI8X:scaffold_1031:97:1232:1 gene:fgenesh1_pg.C_scaffold_1031000001 transcript:fgenesh1_pg.C_scaffold_1031000001 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFTSDPCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFDLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >fgenesh1_pg.C_scaffold_1048000001 pep scaffold:JGI8X:scaffold_1048:224:3803:-1 gene:fgenesh1_pg.C_scaffold_1048000001 transcript:fgenesh1_pg.C_scaffold_1048000001 gene_biotype:protein_coding transcript_biotype:protein_coding MAISWDLYGTSFSFLQSIVFLEENFLGNLSKLSPDLFVRGLELTRSRNLREGIVDSEIDFADYSSVTEAIKSKMEIRDIYSSALSMFFEQAPFPVLLNEIMSMDISCVPEFPRLAELLLQVWQPKSDSIESDIRLILFWLFQIRSSYKIQPASVLCRLSEICLRLLKHLFSQISERGFVSGPSSDKLVAPFAKWKHQVAQTVLCHPVVMALLESPLDCGTLPPVHNVKIFSETSLTTSRLVICEIDQHILDLLVSICEHFLFDERHIVQEGDLRENKSSTVFKDLVQRLLLLFRDKFELCVGSQSYAPLLQPSQLIHALLRFISPFKILELARSMLSKIDEEELASPNSSMIISLGLDIAGGAFEMLISYSHLPAAKRGLYDLLWELKEENYDSILIEEVYSMACRFSTSFGLVSADTCLLKVGSSIFRGKHNRHCNVHPLTVIISQIVGRTPKDLIIHYINQPSMTRAKILFYLVESSPLHLSVFGHSFFNYLWGKAALKIREGLRFSQDAYYGGEAGLVENLQQILFKENLWIDPKICAQTLLYFPYQRTAEVSDNSYISDDPVSEKCSPVIERYDPAYILPFSIHSLSMGCIEPVKFASSGLLAVALASTSSADLGMRKLGYETLGIFVHALKPVLILKVSSESLRYYHSFSSNW >fgenesh1_pg.C_scaffold_1061000001 pep scaffold:JGI8X:scaffold_1061:2703:3014:1 gene:fgenesh1_pg.C_scaffold_1061000001 transcript:fgenesh1_pg.C_scaffold_1061000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast 30S ribosomal protein S10 [Source:UniProtKB/TrEMBL;Acc:D7MYG7] MAPKQKIRIKLRSYWVPLIEDSCKQILDAARNTNAKTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRMIDILYPTAQTIDSLMQLDLPAGVDVEVKL >fgenesh1_pg.C_scaffold_1072000001 pep scaffold:JGI8X:scaffold_1072:154:1370:1 gene:fgenesh1_pg.C_scaffold_1072000001 transcript:fgenesh1_pg.C_scaffold_1072000001 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRLIKIASTLQREQSLRNNKAIIRAQAIATSTPSVTKSSLDRKKTLRKGNVVVTGASSGLGLATAKALAETGKWHVIMACRDFLKAERAAQSAGMPKDSYTVMHLDLASLDSVRQFVDNFRRAEMPLDVLVCNAAVYQPTANQPTFTAEGFELSVGINHLGHFLLSRLLIDDLKNSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSAMIDGGDFVGAKAYKDSKVCNMLTMQEYHRRFHEDTGITFASLYPGCIATTGLFREHIPLFRTLFPPFQKYITKGYVSETEAGKRLAQVVGDPSLTKSGVYWSWNQDLGFI >fgenesh1_pg.C_scaffold_108000004 pep scaffold:JGI8X:scaffold_108:14248:15379:-1 gene:fgenesh1_pg.C_scaffold_108000004 transcript:fgenesh1_pg.C_scaffold_108000004 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVGNGDNATASVRIPSQYVDHHRRKIKRARVSSEDLDSISSLPDEILQVILAFIPTKLAIRTSILSRRWRHVWSDTPSLSFFGGRCRPDSDSIDKTLSRYKARKMMSFELRFFDDYYPYVYIDVSSLTKAELDIDSVSPERLNTRFLETIVIKILEKVQNVEKLTLGGNFLKALSHADLHGFPFPKFKAKVLTLDTTISRCVISGIVRVLQNSPELKKLTLRTMDCDAIKEENLDSHLDLYCWNPYLCLEARVFGHIYRRSAES >fgenesh1_pg.C_scaffold_1105000001 pep scaffold:JGI8X:scaffold_1105:90:1125:-1 gene:fgenesh1_pg.C_scaffold_1105000001 transcript:fgenesh1_pg.C_scaffold_1105000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MYH3] NPARVVYVMDSSIGQAAFDQAQAFKQCFPRGVAIITNIHGNAKACGAISAVAAAKCPMILAETGEKREDFEAFEAEAFVRSLLKNPWDKPYEYTLRLMYHCYRRELGLEKNVFEAKPEKYRRCTTIMESMTDEELDNPNVTQMSEERMMEIAEASKVDVKQVVQMMITFKIKAKGVMELEERSRNESDRPPKLNCAFPTSELTNYA >fgenesh1_pg.C_scaffold_112000002 pep scaffold:JGI8X:scaffold_112:6806:8077:-1 gene:fgenesh1_pg.C_scaffold_112000002 transcript:fgenesh1_pg.C_scaffold_112000002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWF4] MERDLADNRRQSYSTGRCSSSPLSCFIHTETEYARISNHGKKTRPSPKLRDLMRRLLLVRSCGLENNKSKTLVTFHYDAVSYSQNFDDGFYLRDDDPKVPIRSHRTGKASNFNTSFSFKIDARNLSADGHGICFFLAPQLPAYSVGGFLSLFTRKNIGLRSRIPAASLATLASIINLMFKYISNLIMSLFFSLIAQILIRDRQEQQVNSSPLFIQLTEHRFKAERDSLASILILEQFSFFPRFFHCRDSNRVASSSVSAITSCSFV >fgenesh1_pg.C_scaffold_117000001 pep scaffold:JGI8X:scaffold_117:217:617:-1 gene:fgenesh1_pg.C_scaffold_117000001 transcript:fgenesh1_pg.C_scaffold_117000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWG0] MAEKQLGVLKALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCVSLVTKLLGRIYYFNPTSAKPGSLPPHVAAAVNGVALCGTLSGQLFFGWLGDKLGRKKVYGLTLIIMIVCSVASGLSFGHEAKGVMTTLCFF >fgenesh1_pg.C_scaffold_125000003 pep scaffold:JGI8X:scaffold_125:9079:9881:-1 gene:fgenesh1_pg.C_scaffold_125000003 transcript:fgenesh1_pg.C_scaffold_125000003 gene_biotype:protein_coding transcript_biotype:protein_coding MEISEQESVLEVKKRLGQFLQIPASSLTLFVSCWELIDGLDIEDYPIISHGTRIDLTVTPLFTAPSFINPAIRKIHVTVKFPSKQFTVEVDRTETVSSLKDKIHIVENTPIKRMQLYYSGIELADDYRNLNEYGISEFSEIVVFLKSINRAKDVAPVRKLCFLVQTSSSLFNGARIPVEIKDTCTISEMREGLQANKTLPRDEYIFVHKQRIMRENCSLRWHGVENCDTLFVFKGSISREGY >fgenesh1_pg.C_scaffold_128000002 pep scaffold:JGI8X:scaffold_128:18294:18729:1 gene:fgenesh1_pg.C_scaffold_128000002 transcript:fgenesh1_pg.C_scaffold_128000002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWI7] MTRILVQRGSSGSSSNSSRPSSSGTEPQINNTIQVPPVTIDEEITDEKQEEVTIVEKVECSDAKNVALDSNEPVDREDDEGLVVSENVHVESEGIDCDSPVSGGSNPDSPPVPAPPPKPSSTVNPGNNRSVLGSFDALRTGPTRR >fgenesh1_pg.C_scaffold_132000001 pep scaffold:JGI8X:scaffold_132:2463:3973:-1 gene:fgenesh1_pg.C_scaffold_132000001 transcript:fgenesh1_pg.C_scaffold_132000001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGKRLKRCSTSTDVEAADHKGTKSLQKAERELQNSEPDVNPKKLKMKSESKQIDEDELELKKTSEKTEMKKLRKSFEEMTETMKNLQDFFLGKADLLGPSLKEMFLSKVPYTSFKEEEPLEKTEELATHECNFETDDSDGNNEYTVFVRGLDTSLPRVDIKNALWKHFESCGCEVTRVYVPIECQTGVPLGFAFIDVDDEEKALDLGRGYMGRCWLYVMMAIHQPEYDKLPNFSGCEHCGTFLLERRKKRFLARPRC >fgenesh1_pg.C_scaffold_132000002 pep scaffold:JGI8X:scaffold_132:11396:12952:-1 gene:fgenesh1_pg.C_scaffold_132000002 transcript:fgenesh1_pg.C_scaffold_132000002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MWJ2] MAPKKRKASAIESEEGSEKMEQMKKIFEQFKEKINDLNKELLTIADLDFSSLHKSNSGAAEFLLSWRSKKPELINDHSVQLKDECWAHAFARGLSAAMKQRGCTDNFPTAELLVKQIDRRCLSKSNALIKSINAAPSLREFYGCDLVVHHRPKMTLLKENEEFERFIERRLAIGQVAMCFPYLPGYSRFNHKNKAVFRPNAIDIQAMRYELLDDHCAVITGRGVLFENGKAIEFWEIQETRGPHFADGGYTRLERYKGLITEVYEFKFDRP >fgenesh1_pg.C_scaffold_132000003 pep scaffold:JGI8X:scaffold_132:16000:17721:1 gene:fgenesh1_pg.C_scaffold_132000003 transcript:fgenesh1_pg.C_scaffold_132000003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7MWJ3] MENKTEEDKVSIIKVSALTCIDLDNSNLHQSAVLLKQACLDSGFFYVINHGISEELKDEAFEQSKKFFALPLEEKMKVLRNEKYRGYAPFHDSLLDPKNQFRGDYKEGFTIGFEGGKDGPHGDKPFHSPNIWPNSDVLPGWRETMEKYYQEALRVCKSIARIMALALDLDVDYFNTPEMLGNPIADMVLFHYEGKSDPSKGIYACGAHSDFGMMSLLATDGVMGLQICKDKDVMPQKWEYIPSLKGYNNEMFGEILDFEKVM >fgenesh1_pg.C_scaffold_139000001 pep scaffold:JGI8X:scaffold_139:1250:2458:1 gene:fgenesh1_pg.C_scaffold_139000001 transcript:fgenesh1_pg.C_scaffold_139000001 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYLHRIFKNVRPALYEICYLNVQQDYTTFGGRCWIKWSVEELEAAGHRVFLLYREIKGNKVSSGALCKQVKSFRSDWRRFLKLEEIEPRHVRNNKRQQKRIERFKEKKKKDKLAKEEEKKNAENNQRRITLVSSIGMEEIDVVGDGNCQFRALSNQLYDDENHYNYIRQQVIEELRAHPERYRRFAEAEAGISYEEYLTSMARIFKNVRPALYEICYPNVQQDYTTFGGRCWIKWLHTSIRGK >fgenesh1_pg.C_scaffold_139000002 pep scaffold:JGI8X:scaffold_139:3362:4096:-1 gene:fgenesh1_pg.C_scaffold_139000002 transcript:fgenesh1_pg.C_scaffold_139000002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDRRREEETYLWISFQFLDQTLIAIFKCLGILCQTAKETASSPVTLNLPEEENDVAMKDDVVLSTRGKKPKAKKRDKENTSKGRPGQTNKITLFSSINGSEIENGDLGE >fgenesh1_pg.C_scaffold_142000001 pep scaffold:JGI8X:scaffold_142:145:855:-1 gene:fgenesh1_pg.C_scaffold_142000001 transcript:fgenesh1_pg.C_scaffold_142000001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTPTQIVSELGSRLLQLSRPNKDSLVKLLREVANTLSQIDQPSATNKEKGLKLLEAELRPLKKSIIKHGLLKNRDNDVSLLVTVCVSELFRILAPNRPFEDEYLRV >fgenesh1_pg.C_scaffold_144000003 pep scaffold:JGI8X:scaffold_144:41208:42119:1 gene:fgenesh1_pg.C_scaffold_144000003 transcript:fgenesh1_pg.C_scaffold_144000003 gene_biotype:protein_coding transcript_biotype:protein_coding MLAISSTKVETGPEVFINFRGAELRTNFVSHLHAELKLQGINAFIDTDEDAGEKLENLFRRIEESRVALAILSSRYTESRWCLDELVRIKECVDRCTLWVIPILYKLKPATVKKLEGDFGDKLWNLWRQRGHHRGDTIIKWDAALQDVAARSLMVLEEHRDYCTIVHFS >fgenesh1_pg.C_scaffold_146000001 pep scaffold:JGI8X:scaffold_146:12419:14677:1 gene:fgenesh1_pg.C_scaffold_146000001 transcript:fgenesh1_pg.C_scaffold_146000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7MWM2] MAMEKSSSKYRTQFWYVAMASFLLWLVLLYLSSSSAKTVHNHARLFRQENVIDLPIENVPQNHESDEAPVVVSEDISVDNSSLPVSVDISPDDQMSEDKKVVTDLVEELEKERVENEKKRVDSVITIGRSSRARRGHREPRKARSSGRLEAEKKKVRQKDDDESNVENSDENHQALDKEPNFFEPRKDVEPKKAQVDDNDDDLESKRGKELPNDLSSDDVEDDNTSEPEPKTQRKFSSNNNNNSEAKNRVISKRNQPKRVGKVMLRPRETRSNDPCKGKYVYMHEVPALFNEELLKNCWTLSRWTDMCELTSNFGLGPRLPNMEGVSGWYATNQFTLEVIFHNRMKQYKCLTKDSSLASAVYVPYYPGLDLMRFLWGPFPFMRDAAALDLMKWLRESPEWKRMDGRDHFMVAGRTTWDFMRTPENESDWGNRLMILPEVRNMTMLLIESSPWNYHGFAVPYPTYFHPSTYAEIIQWQMRMRRINRRYLFSFVGAPRPNLGDSIRTEIMDQCKASTRKCKLLECVSGSQKCYKPDQIMKFFLSSTFCLQPPGDSYTRRSTFDSILAGCIPVFFHPGSAYAQYIWHLPKDIAKYSVFIPEKNVKEGKVSIENVLSRIPRTKIFAMREQVIRLIPRLMYFNPSSKSEDTGRFEDAFDVAVEGVLERVEGLRKRIEEGKEEIFDFPEQYSWKYNVFGNVERHEWDPYFDRP >fgenesh1_pg.C_scaffold_159000001 pep scaffold:JGI8X:scaffold_159:63:1412:-1 gene:fgenesh1_pg.C_scaffold_159000001 transcript:fgenesh1_pg.C_scaffold_159000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWM7] MRMLLRPGIIFHTDIKSSRVVAVCKHLSSLSDNGENHEKPYTFEGNRQIVNDICNVLETGAWGSSAENALSALFFKPQPEFVIGVLRRLKDVNRAIEYFRWYERRTELPHCPESYNSLLLVMARCRNFDALDQILGEMSVAGFGPSVNTCIEMVLSCVKANKLREGFDVVQNMRKFKFRPAFSAYTTLIGAFSAVNHSDMMLALFQQMQELGYEPTVHLFTTLIRGFAKEGRVDSALSLLDEMKSSSLDADIVLYNVCIDSFGKVGKVDMAWKFFHEIEANGLKPDEVTYTSMIGVLCKANRLDEAVEMFEHLEKNRRVPCTYAYNTMIMGYGSAGKFDEAYSLLERQRAKGSIPSVIAYNCILTCLRKMGKVDEALR >fgenesh1_pg.C_scaffold_161000001 pep scaffold:JGI8X:scaffold_161:737:4756:-1 gene:fgenesh1_pg.C_scaffold_161000001 transcript:fgenesh1_pg.C_scaffold_161000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase proenzyme 2 [Source:UniProtKB/TrEMBL;Acc:D7MWN2] MGNGNSREAKESRRSKLRQKLQKFRIHRRHLRCSRNSAGMVIQRAVSAEDFSGIALLTLIGADMKFKDKWLACVSFGEQTFRTEISDTTEKPIWNSEKKLLLEKNGPSLARVSVFETNRLSRNKIIGYCELDIFDFAVQEPESACKSFDLLDPTASNVVGTIFLSCAIEDPVETERRFAKRILSIVVIDRKSKRLVEELIDSKIVLSMRAIYQSKIGLRLMDQGAKEILQRLSEKQGKKMSSVESAQKIPRFLEFFKAWKDQINMAEVKYPLQHFKTFNEFFIRELKPGARPIACMKGDDVAVCAADCRLMAFQSVEDSTRFWIKGKKFSIRGLLGKSTVNTNAFLDGSLVIFRLAPQDYHRFHVPVSGVIEKFVDLSGSLYTVNPIAVNSKYCNVFTENKRTVAIISTAEFGKVAFVAIGATMVGSINFERKEGEHVKKGDELGYFSFGGSTVICVFEKDSIRIDEDLLVNSGRSLETLVSVGMQLGVSTRTFARSTLT >fgenesh1_pg.C_scaffold_164000001 pep scaffold:JGI8X:scaffold_164:274:980:1 gene:fgenesh1_pg.C_scaffold_164000001 transcript:fgenesh1_pg.C_scaffold_164000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L122] MCSSRTYFNLTEFVSILSGIVNPNICVECRLTGDLAVEFYDLWKQRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNTENDQVPIITRKENAME >fgenesh1_pg.C_scaffold_167000002 pep scaffold:JGI8X:scaffold_167:43300:46045:1 gene:fgenesh1_pg.C_scaffold_167000002 transcript:fgenesh1_pg.C_scaffold_167000002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWP7] MVKVGAKIETKADLINKLKQSTMVVHGFPLAIQLFAFRSIPLLLQYLPHAEDQSTFLHQTLMHLPKCKSFHTSNILSVENDPSLLVLYPHPDGPPFCSSESEDEKVGNLERLIFAGFSFTKTFWCSGDGSLPSLYTSRKRKQRTTRSGTSDFESSEMPPQRKCSKPKFIKTAEDVNTLLKKKLKCFKASLLADFRGMMRANASTPIVQSPKGKSSSHVSKARSSEPSCVTRSGRAGQYVRVSSGPAASLTLRDGTISAPVEPSGSTPATCTAKKPEHPSCSHATVGTAETHLGSEPRSPCSTARQRQPEPPSTSPVKLRFRFSQKRTPAKAFSLLDEANHVSTARHCSTVVPEMPPSVPPVTSHLNKLSETSESSTTAGVRCLASYSSLFLYLEVPPVAPANMPQPILVDPPTEALHGFPPMDAPSAVMPTTTVDRVIPVLPSPSTLPTSRPQPRRSKRLHSSAAPQVSTPAPAPKLRLRDTSEDVKLKTSSSSVISTLAKPSPTKVTALMSQLRRYTKSEYSISGSVFPATLFFDILKPQKWVSSMMLPQLIRSVCGSIPLSWPDDAFTFTRVPELAQNTRGGDCGPLSVKFMEITMHGLQTSLANLTTAQIDNVRLRYALDIYETYVKKL >fgenesh1_pg.C_scaffold_167000004 pep scaffold:JGI8X:scaffold_167:48746:49423:-1 gene:fgenesh1_pg.C_scaffold_167000004 transcript:fgenesh1_pg.C_scaffold_167000004 gene_biotype:protein_coding transcript_biotype:protein_coding MVESIRTKLMQWFCLRRAKAKRLALLADPITPNVNKLMIRYHTASAGLNAAYEEFIFPVPNQGDAEVPDVVEQEQYNPPRNPPGPGRRRKRRIPSTGEHVVFSVSHGGKRRKSGPHKCSICYEAGHNRATCSNPAV >fgenesh1_pg.C_scaffold_181000001 pep scaffold:JGI8X:scaffold_181:57:428:1 gene:fgenesh1_pg.C_scaffold_181000001 transcript:fgenesh1_pg.C_scaffold_181000001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYADTQLHEFVTGNQKLKEQGKPKIPNLDTGLWHYSRHPNYLGEQLWWWGLVIFAWNLGQGWTLIGALVNTLCLVYVTILVERRMVKQQYRAEAYRAYQKTTSVWIPWFKSHVAATKDKNT >fgenesh1_pg.C_scaffold_181000002 pep scaffold:JGI8X:scaffold_181:918:1292:1 gene:fgenesh1_pg.C_scaffold_181000002 transcript:fgenesh1_pg.C_scaffold_181000002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWR8] MNKTNPSAAFKFDLNEPYYEEDEEIHLGKASEQEKKKSKDVLLNEISPSSTHKFDLNKPYFEEEEEIHPNEAMEQQVSKSANVSSTNKTNLSTTRNFDLNELPPKEEMDEALVEMLKLLLPGYL >fgenesh1_pg.C_scaffold_2000004 pep chromosome:v.1.0:2:17934:19092:-1 gene:fgenesh1_pg.C_scaffold_2000004 transcript:fgenesh1_pg.C_scaffold_2000004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase/ zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7KS65] MKAGDHVLPIFTGECGDCRVCKQDEANLCERFRVDPMKKVMVIDGKTKFFTSKDNKPIYHFLNTSTFSEYTVIDSACVLKVNPLFPLEKISLLSCGVSTGVGAAWNVADIQPGSTVAIFGLGAVGLATLTLNALLIIGEKVAEGARVRGASKIIGIDVNPDKFPLGREAGISEFINPKESDKAVHERVREITEGGVECSFECAGSIEALREAFLSTNSGVGVTVLLGVHASPQLLPIHPMELFQGRSITASVFGGFKPKTQLPFLITQCLQGLLNLDLFISHQLPFHDINKAMQLLHQGKAIRCLLRL >fgenesh1_pg.C_scaffold_2000010 pep chromosome:v.1.0:2:57887:58582:1 gene:fgenesh1_pg.C_scaffold_2000010 transcript:fgenesh1_pg.C_scaffold_2000010 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTRLKLHSMGFSRWLNRTVGFFVFFILDIVDFLLCFTYKTLDFFFESEWKPCYCCPPPEAKPIAAGGSRVGKMIVSERSGEYSKVVSLTRTKIHLDEISDTLYSRPSLLTRLTKLVKCFKKDVVKCCDESKKRSPSTKKTLLTVNSTVVEKLRRTPRWSDCHCTFCTSWLSSSNHSLFVNVQQPKACILI >fgenesh1_pg.C_scaffold_2000018 pep chromosome:v.1.0:2:114642:119601:-1 gene:fgenesh1_pg.C_scaffold_2000018 transcript:fgenesh1_pg.C_scaffold_2000018 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7KS82] MKSLDQIETLIPGPPSEPSSLNRDLDEEEEEEECEYDDEEEDVDFNPFLKDSPSREASSSLSSEVETLDGEIVNSITVPQTLEGREGKEEIAMPSNVSCQEPIPEDSPDPGSLKGGGFVRDEVSSADERTVEASNENGEQLGDMMIQTQKQDLMSTEEDDAICKRTRARYSLASFTLDDLEAFLQETDDEDDIPNVDDEEEYRKFLAAVLHSGDTEVPLAQSGTNDDDDDDEDNDLDFEIELEEALETDDEEIIPEKVTTGDNISTKRRPVTRQKRRQNISIHHKDNSPEQAGRLLRPLVPILPIAPPGRRFSATEAVASSENRTINGFTQAQMGELHCLIHDHLQLLIQVYSLCALDHSRQRIGTHVQGLLSEMLKQHQGYISRRSHLLVTGSASSVLDVVGLAGRYLVDVSDAVQDYRRCQVESGFDTSSQRVPLFTLPHQEVGGEIVNNPLSSPSSSKSPSGQQQSKKTLAAILVESAQKQSVALVHKDIAKLAKRFLPLFKVSLYPHKPPHAAVANRVLFTDAEDELLALGIMEYNSDWKAIKQRFLPCKGEHQIYVRQKNRRSSKAPENPIKAVLRMKSSPLTPEEIVRIQEGLKYFKYDWTSVWKFVVPYRDPSSLPRQWRTALGIQKSYKLDAVKKEKRRLYDTKRKFREQQASAKEDRHGASKANEYHVGDELVESSGEAYLHEGFLADWRPGMPTLFYSTSMHSFDKAKDVPGDRHESVQTCIVEGSKNSELGGAQILTCTQRLAPSFIPLYHHTSGTAPGASKASIITRPYRSRKLFNRSVVRLAPDLPPLNLPSSVRVISQSVFAKNQSETSSKTCIIKGGMSDVSRRGILGIETPCFSADGDNNVPPNEKVVDLQEDVPAESSSGMGERSNDSDLQMHPLLFRTPEHGQITCYPASRDPGGSSFSFFPDNRPQLLSLFNSPKQINHSADQLHKNSSPNEHETAQGDSCFHPLLQRTEHETSYLISRRGNLDPGIGKKDKLCQLQDSSCAVEKTLIPGRNDVSLKPFSSSKHSKNVNLDIYLSSSSSKVNNCGRVSAANISEAPDICMTQCNDGSEVPGSTAPSDTISRCIDEMADQSNLGIVMEQEELSDSDEEMMEEEHVEFECEEMADSEGEEGSECEETIEMQDKDNRSSVVGIASTDVDSGKELGKDSPNSPWLSLDPSSRRLSSNVKDIEKIEVENETTMSQLGPSRSRKKGTPVKSRDAELKEEAGVARLRLGPVALPPMKKPRICGGQAETSPSTETT >fgenesh1_pg.C_scaffold_2000022 pep chromosome:v.1.0:2:153040:154521:-1 gene:fgenesh1_pg.C_scaffold_2000022 transcript:fgenesh1_pg.C_scaffold_2000022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KS86] MKYYGLEYDLGDPCLFAQGLAEFQTVPYFHAKYKVEEIPAHYIDRWQNKDIGDPSLSLPPLNEFMPSLFFEEIKLISLRDEDDVNGDTRFDICLNEVSSKLFHREDRSALLSNASFNLYTNVSDIKAHMRALIIIEMIKEDLSAILFKGEDVYINTSLAFGDNNIVELRFEGFKEKFKILFQKFGRELNLLFPRKNSLRYNIMDKMQREFTSWDIVQQASTLLSNVLIEGNITLDNKFKVLQSITFEDVKHFNFLSEVVGVCSGNISSEELKEIISIFEATGEFKIPYELGKKIVLTPGTPLQINVKPKIEFETNSLA >fgenesh1_pg.C_scaffold_2000029 pep chromosome:v.1.0:2:173806:174918:1 gene:fgenesh1_pg.C_scaffold_2000029 transcript:fgenesh1_pg.C_scaffold_2000029 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSTRHFGFVGAALGRTTRNRRRNDSSHFDDRLLSLAIAKYKQVLMKLEDKLRSAEDVSAANGFARETNISDLWKSLFDEEAGEATHKVIKDRILSELFQPILGESWHSEIQTIPVYSPYIVSEFFFNSLRSNFATQEMREEIVRLGVELSLFVAESMFLLCDNIRKNIKLKNGVYHTGGKLGKWELIRTTWKDFDEGIRDLHDIYLTIEVKGY >fgenesh1_pg.C_scaffold_2000053 pep chromosome:v.1.0:2:348690:350005:1 gene:fgenesh1_pg.C_scaffold_2000053 transcript:fgenesh1_pg.C_scaffold_2000053 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVLNQDIIQEVLSYFPASKIGKFRLLNKECNKRSYESWFLNLNFHRTNYISGYFLERYERGYKLDTSFVHERRDLENKGVSIDFLPQGEGKIKACDASHGILLCVNDTGIIPEYIVCKPTTKQYQIIPNPKVGRCDKSLGIMVTGLNPFRYKVFRLSKSPGMNRSLRTFACEVFDSDSFMWKRIKNLRLPRTDGLIFSNPVQASGFLNWISRNNNVIRLCLKTETWSFLHTPNVGIFPELVRYEGKLGVIRHWINNNQEDVHGLWVLKSSFEKSWIKVKDIKSIGVDHIVWTPSNDVVMLSSWDRVCLYNINTEKLNLVHTNKDFASYVCFPFCSDYEKIDLDSGRKKKWTYKCKLVNKAQRGAQNIGIFNLLYGYSVQNFFS >fgenesh1_pg.C_scaffold_2000059 pep chromosome:v.1.0:2:406374:408210:-1 gene:fgenesh1_pg.C_scaffold_2000059 transcript:fgenesh1_pg.C_scaffold_2000059 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFFFSLILCFVLISSQTLDDDKKALLDFLSNFNSSRLHWNQSSPVCHRWTGVTCNENRDRIVAVRLPAVGFNGLIPPFTISRLSSLKFLSLRKNQFTGDFPSDFRNLKNLTHLYLQHNRLSGPLPVILSELKNLKVLDLSNNGFNGSIPKSLSGLTSLRVLNLANNSFSGEIPDLDLPKLSQINFSNNKLIGTIPKSLQRFQSSAFSGNKLNERKKQNKTPFGLSQLAFLLILAAACILCVSGFSFIMITCFGKTRISGKLRKRDSSSPPGNWTSRDGNTEEGGKIIFFGGRNHLFDLDDLLSSSAEVLGKGAFGTTYKVSMEDMSTVVVKRLKEVVVGRREFEQQMEVIGMIRHENVAELKAYYYSKDDKLAVYSYYSHGSLFEMLHGNRGEYHRVLLDWDARLRIATGAARGLAKIHEGNNGKFIHGNIKSSNIFLDSQCYGCIGDIGLTTIMRSLPQTTCLTSGYHAPEITDTRRSTQFSDVYSFGVVLLELLTGKSPASPADSVTTEGENMDLASWIRSVVAREWTGEVFDTEILSQSGGFEEEMVEMLQIGLACVALKEQERPHIAQVLKLIEDIRSIDAE >fgenesh1_pg.C_scaffold_2000082 pep chromosome:v.1.0:2:552569:553750:-1 gene:fgenesh1_pg.C_scaffold_2000082 transcript:fgenesh1_pg.C_scaffold_2000082 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMMNVFDEIAKERVIRTVASCSGITTITMDSKEGKLTVIGEFDEMQILKKLKKRWESAKMVTFGPFDPKKEAETAAAAEKKKKEESEREALYRSQAYREIPVCPSHHHTTIVCDHDHGCIIS >fgenesh1_pg.C_scaffold_2000089 pep chromosome:v.1.0:2:595318:596882:1 gene:fgenesh1_pg.C_scaffold_2000089 transcript:fgenesh1_pg.C_scaffold_2000089 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPEKMEFPRRLRLLHWEAYPRKSLPPTFHPEYLVELNMRESQFEYLWQGTQALKNLKKMDLLGSSNLKELPDLSNATNLEILVCVVISAQQQEYGLPHSNRLLCRHIAKGGLYPAENSIVVGGVHKFRREHLCIFIIHHRSLFIDPSDVNRERVFEFSSELQDLDIIECGVQILTDEWDYEFGLYQDDIEFASSEDDIEFASSEDEIEFESSEASEDGIEHGDYKEDEYLEGGKHTTDCWSWLFLCFDLSQIVRKVGSLISGRRRPNPDHV >fgenesh1_pg.C_scaffold_2000098 pep chromosome:v.1.0:2:684094:685528:-1 gene:fgenesh1_pg.C_scaffold_2000098 transcript:fgenesh1_pg.C_scaffold_2000098 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRDLRYRQAIGFVVDDESDTIRRTTPNLTLQISESEHDWADDRLARERAREDRAWHNDLLASGAKCPFPSQNISTHCYGHSTLEVQHLAGVIATLEPPVDKSIKTLVVCGFNSRILEQTILDKFSAYGVIKSIRFFAREGRALVTYTDREGAEKATQGLSKWLLINGQRLKLAWGRPQDHETDQDSLNQQGTVADSGLLSQQQNRPSAMDLGAVTSTQEVGGSSTSEPNEASSSNIPIICEYCRVVERDRAWWTREMEHACNEASSSSSSYERPPQLPLPSEDEYRTSLRRSKI >fgenesh1_pg.C_scaffold_2000114 pep chromosome:v.1.0:2:807350:808408:-1 gene:fgenesh1_pg.C_scaffold_2000114 transcript:fgenesh1_pg.C_scaffold_2000114 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPHHMHTFCDDQLSDNFTQTSSYKSNRETLLSSLRDHSSLGTYSNATIGLSPDTVYGMFLCRGDLPKTSCSDCVKTATVEITKNNCTSRKTALIYYEECMVRYSNVSFFTLVEDGPYAARYSVASFPTSIFSSFQQTLSNKVEQLIILIGSKSSLSASTPYYEKDISRVNALEGSYTLDTVVQCSPDLDSANCGVCLRLVVNSLSGCCTNARWGQFNLPKCLLKYDTTGNEMFRRIFITALTTSVLALVGL >fgenesh1_pg.C_scaffold_2000130 pep chromosome:v.1.0:2:926024:927198:1 gene:fgenesh1_pg.C_scaffold_2000130 transcript:fgenesh1_pg.C_scaffold_2000130 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNKQVQKDSPLYEQSTIILASSQNEKLVEIEKEKRLALIDAWEENEKAKAQTKAYKELCSIESWENNTKTALELDLKKMEESLEVEKTEYSKKFKKKIPEIEKIAEAKREKIEKQKEQESIKVEKISEKLIATPNAYPPNTKTCGCF >fgenesh1_pg.C_scaffold_2000155 pep chromosome:v.1.0:2:1112804:1113585:1 gene:fgenesh1_pg.C_scaffold_2000155 transcript:fgenesh1_pg.C_scaffold_2000155 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTEKDKPELTPEQELALMTKEVNASDGFDIDFSSFRCVFNYHPTVLHSDQFADDDSETTEDFLKMLAQEALDVYNGRHVTEYELVKVVKANYHFACAIMFLITFQVKDPYDNMIKLFQTRVRQGKHITTHYVFCRPKPNQGVKYIGIKKVVKRDIEQVVKSHVPKDVNKQK >fgenesh1_pg.C_scaffold_2000157 pep chromosome:v.1.0:2:1123178:1125347:-1 gene:fgenesh1_pg.C_scaffold_2000157 transcript:fgenesh1_pg.C_scaffold_2000157 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRGKDIMVDATPSELPEFFSCNKDLPDGCSYNMSWRNPKNKKTVLLPIIDQGRVGYCWTIVFSMVVASHLYIHQKVGVLLNLSAKHHLFANIEEKFSNGFLKSYNGVKIFLKENGMVLERECKCNVGKYKISKSACDKVKDKMAFKIRDFIVVEEKIDEKQIIRLLQTRGPIAAHIRVSKQDEGSRKDEIYYGPKNSNTDAENHIVLITGIGTRNGVHYFEYQGTWGTTWGAFLIKFLKCKGEKSAG >fgenesh1_pg.C_scaffold_2000170 pep chromosome:v.1.0:2:1178277:1180744:1 gene:fgenesh1_pg.C_scaffold_2000170 transcript:fgenesh1_pg.C_scaffold_2000170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7KU23] MENRNGEPGLQQLSSLFIQQLRGRSSVVSVRDDRMLAGCSSSSLLSPTRRLRSEAVAATSATVSAHFPMNTQRLDLPCSSSFSRKETPSNRPLGRSISLDNSNKPVERKTSGCSLKQNIKLPPLATTRGNGEGFSWNNDNNNNRGKSLKRLAEEDESCLSRAKRTKCENEGGFWFEHFTGHDSSSPALPFSLTCSGDDEEKVCFVPSEVISQPLPNWVDSVITELAGIGDKDVESSLPAAVKETSGSSTSASSESRSLSHRVPEPTNGSRNPYTHRGATEERTSGNIINNNNNHRNDLQRDFELVNLLTGCLEAIRSRNIAAINHFIARTGDLASPRGRTPMTRLIAYYIEALALRVARMWPHIFHIAPPREFDRTVEDESGNALRFLNQVTPIPKFIHFTANEMLLRAFEGKERVHIIDFDIKQGLQWPSFFQSLASRSNPPHHVRITGIGESKLELNETGDRLHGFAEAMNLQFEFHPVVDRLEDVRLWMLHVKEGESVAVNCVMQMHKTLYDGTGAAIRDFLGLIRSTNPIALVLAEQEAEHNSEQLETRVCNSLRYYSAMFDAIHTNLATDSLIRVKIEEMLFGREIRNIVACEGNHRQERHVGFRHWKRMLEQLGFRSLGVSEREVMQSKMLLRMYGSDNEGFFNVERSGEDGGGEGGRGGGVTLRWSEQPLYTISAWTIGGIGGN >fgenesh1_pg.C_scaffold_2000172 pep chromosome:v.1.0:2:1208717:1209807:1 gene:fgenesh1_pg.C_scaffold_2000172 transcript:fgenesh1_pg.C_scaffold_2000172 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPEFEDYDDGEEEKDYEPKNKGGLSQRKKARHKGPLDRFITSPPPNLLNARKERKGIFGACDKELREKTCRGIARFFYDFGLAFNAATLDSFKEMLELVGQYGPGLKPPTMHELRVLLLKKEVDGTKSQIVEYKKEWASKGCSLMSDGWRDSVVQKDLVNFLVNSPKGSVFVRSLDVSEVVKDANLFFDLLDKMVDEVGEENVVQVVTDNASNYVKAGGRNLHRPAITRFATSFITLAQFHRLKDNLRKMVHYDEWNASKWPKEA >fgenesh1_pg.C_scaffold_2000176 pep chromosome:v.1.0:2:1222986:1223715:-1 gene:fgenesh1_pg.C_scaffold_2000176 transcript:fgenesh1_pg.C_scaffold_2000176 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTKSVALLMVVMMVTMGMENILVQAHRHHHHHHHSPSPSPSPSPSPDFDDIFSESPSPTPDSDSPSSSLDFDLSSKSPSHSPKSKEKSSSYCMIGCSFEKCFHHGKSSMMRTGHEEKFESCMKKCSKICNKKKESDDIYV >fgenesh1_pg.C_scaffold_2000195 pep chromosome:v.1.0:2:1399483:1401969:-1 gene:fgenesh1_pg.C_scaffold_2000195 transcript:fgenesh1_pg.C_scaffold_2000195 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYQRDSKGERGGDCYFELWLRLWLWRGKGIAYAALFPFFGENYGEVSAMVVASDCHRQNQGDRLLALISDLYHVCTLLSISELGLHERIQSNDDINLVKKGVHKARIMQQLEFSISVENDINPTLLFGSSIFMDVHQIQVEDKCSNVFFLVLYLHDCPRCLGIGSARISSEVTSAKTSFQKMVLRLVVSVSSKQPLHFVFVSYSYFLYLYPSNNGTHLQLILVFTIDCQSC >fgenesh1_pg.C_scaffold_2000196 pep chromosome:v.1.0:2:1404460:1405460:-1 gene:fgenesh1_pg.C_scaffold_2000196 transcript:fgenesh1_pg.C_scaffold_2000196 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENRGEIKVFLSSWIYITDSSSCSPWIRTSSKACSFVFEEQDQLSDLDRQREAAAVLDDSRESSETVDDDGSSDYDSESSIDSDCSSDGDERTVSNGVNDPGAPLIQISVTSVFNDPED >fgenesh1_pg.C_scaffold_2000214 pep chromosome:v.1.0:2:1573675:1574531:-1 gene:fgenesh1_pg.C_scaffold_2000214 transcript:fgenesh1_pg.C_scaffold_2000214 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAYVGRLSVQNPLAFLAWMSGFLSGDVSSLWQRLIGSRSDTVRFSLRRWSACVWLPLASTAALLLKAPSAYSLCRCSSEFGNQLPLGGCFLCRFDDENWWDSLIKNRWTSLLEALAVSGGFGVSSSCSAMTGDDICICPRVSTLLHSLTRGSALDSLNLLLPQRV >fgenesh1_pg.C_scaffold_2000216 pep chromosome:v.1.0:2:1587619:1588056:-1 gene:fgenesh1_pg.C_scaffold_2000216 transcript:fgenesh1_pg.C_scaffold_2000216 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQQQYGNFMKNHRATYSHYRVGSNDGRETINLPYLKTKPEPNKESLLPRLYCSILKPLARVEASKSLKTRSLPLKEEISSIEDTYHIRTRGDQAIEAANILKTSKPPFQPEDSSHEVISPSPRRLPLLSITGTNASPLLIPNG >fgenesh1_pg.C_scaffold_2000218 pep chromosome:v.1.0:2:1600743:1604529:-1 gene:fgenesh1_pg.C_scaffold_2000218 transcript:fgenesh1_pg.C_scaffold_2000218 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVSLSIPLDQSVNKVSQWLEEKRGYTHNLKKNLVALETTMEELKAKRDDLERKLTREEDRGLQRLSEFQVWLNRVAKVEDKFNTLVSDKDVEIKRLCLCGFCSKSLLSSYRYGKNVFLTLGEVEKLKSKDIKEIVAKPLTPELEERRLQPIIVGQEAMLEKAWKHLMEDGVSIMGMYGMGGVGKTTLFSQIHNKFSNDRRGFDFVIWVVVSKELHVEKIQDEIAQKVGLGGEQWNQKDKNQKADRLFNFLKKKRFVLFLDDIWEKVELTEIGVPDPRSQKGCKLSFTTRSQEVCARMGVKDPMEVKCLTENVAFDLFQEKVGQITLDCDPGIPDLARTIARKCCGLPLALNVIGETMSCKKTIQEWRHAVEVFNSYAAEFSGMDDKILPLLKYSYDSLKGENIKSCLLYCALFPEDTSILKEELIEYWICEEIIDGSEGIERAEDKGYEIIGSLVRSSLLMEGVNRFGQSFVTMHDVVREMALWIASELGKQKEAFIVRAGVGLPEIPKVKNWNAVRKMSLMENKIRHLIGSFECMELTTLLLGSGLIEMISSEFFNYMPKLAVLDLSHNERLYELPEGISNLVSLQYLNLRLTGTRRLPKKGLRKLKKLIHLDLEYTSNLQSIAGISSLYNLKVLKLRNNSWFLWDLDTVKELESLEHLEILTATINPGLEPFLSSHRLMSCSRFLTISGKYLSSPINIHHHRCRESFGISLSGTMDKLSQFRIEDCGISEIKMGRICSFLSLVEVFIKDCEALRELTFLMFAPNLRKLYVSGANELEDIINKEKACEVQISGIVPFQKLKELILFQLGWLKNIYWSPLPFPCLQTVKVKRCQNLRKLPLNSKSGKQGDNGLVITYDETRWIEEIRSLVISNGDLASLETIRNYLYWKDITEDIISKDKASSVSEGSGIVPFRKLKFLRLSSVPELINICWTPLPFPCLKTIVAIRCRKLKSLPFNSTSGWEGEKGLVIRYREKEWIEGVEWDQDEATRTRFLRSCVQV >fgenesh1_pg.C_scaffold_2000227 pep chromosome:v.1.0:2:1653956:1654444:-1 gene:fgenesh1_pg.C_scaffold_2000227 transcript:fgenesh1_pg.C_scaffold_2000227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUP5] MSLLVDLLCKGYKMKAEDWRRGSLEVVVVMEEVTMKSYLFFNKEAVRPSSLGESVMEKLDKLHKLVEDEFKSTNKRLSNPSSRNLGRISRISGRPSLEKSIHTPSQGIEPKALVFVLEQEELFEISVDLLKIGTLMSL >fgenesh1_pg.C_scaffold_2000239 pep chromosome:v.1.0:2:1740363:1741636:-1 gene:fgenesh1_pg.C_scaffold_2000239 transcript:fgenesh1_pg.C_scaffold_2000239 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTAEQKYLALISHLTRSCITSDILKSVTTLIFDKAILEPTFCPMYAQLCCDICDKMPTFPSSKITFKRVLLNTIQNVFEGTDELSNEIRKMNAPDQEEERRDTERLSKLRTLGNLRLCGELFLKRKIRGKIVHHIVQKLLGDDEKMCPSEENLEAVCLFLKTVGKKLDGSESVESSLSLTSSKLVNDVYFRRLESLSPRPQMSMRIKFMIRNIIDLRSNHWIPAGKSPTSTL >fgenesh1_pg.C_scaffold_2000245 pep chromosome:v.1.0:2:1789347:1792308:1 gene:fgenesh1_pg.C_scaffold_2000245 transcript:fgenesh1_pg.C_scaffold_2000245 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSNSTSCPMAFAGDNSDAPPPQGTKNKVASSSSPSSCAPAYSLMEIHHNEIVAGGINPCSSSSSSASVKAKIMAHPHYHRLLAAYVNCQKVGAPPEVVVRLEEACSSAAAAAASMGPTGCLGEDPGLDQFMEAYCEMLVKYEQELSKPFKEAMVFLQRVECQFKSLSLSSPSSFSGYGETAIDRNNNGSSEEEVDMNNEFVDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWSRHYKWPYPSEQQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDATHPHHYFMDNVLGNPFPMDHISSTML >fgenesh1_pg.C_scaffold_2000249 pep chromosome:v.1.0:2:1815483:1818856:1 gene:fgenesh1_pg.C_scaffold_2000249 transcript:fgenesh1_pg.C_scaffold_2000249 gene_biotype:protein_coding transcript_biotype:protein_coding description:F24O1.4 [Source:UniProtKB/TrEMBL;Acc:D7KUS0] MATLADIGVAAAINILSALIFLLLFAILRIQPFNDRVYFPKWYLKGVRSSPVNSGAFVSKIMNLDFRSYVRFLNWMPAALKMPEPELIDHAGLDSAVYLRIYLIGLKIFVPIALLSWSILVPVNWTSDGLQLAKLRNVTSSNIDKLSISNVERGSDRFWAHLVMAYAFTFWTCYVLMKEYEKIAAMRLSFLQSEKRRADQFTVLVRNVPPDSDESIRENVQHFFLVNHPDHYLTHQVVYNANELAKLVEEKKKMQNWLDYYQLKYTRNKEQRPRVKLGFLGLWGKKVDAMDHYTAEIEKLSEQIMEERKRIKKDDKSVMPAAFVSFKTRWGAAVCAQTQQTKNPTEWLTEWAPEAREMYWENLAMPYVSLTVRRFVMHIAFFFLTFFFIIPIAFVQSLASIEGIQKSAPFLSPIVEKKFMKSLIQGFLPGIVLKLFLIFLPTILMIMSKFEGFISISSLERRAAFRYYIFNLVNVFLGSIITGSAFEQLDSFLKQSANDIPRTVGVAIPIKATFFITYIMVDGWAGVAGEIFRLKPLVIFHLKNFFFVKTEKDREEAMDPGQIDFYATEPRIQLYFLLGLVYAPVTPVLLPFIIFFFGFAYLVFRHQIINVYDQKYESAAAFWPDVHGRIISALIISQILLLGLMSTKGKVQSTPFLLVLAIVTFGFHRFCKGRYESAFVINPLQEAMIKDTLERAKEPNLNLKGFLQNAYIHPVFKDEEDEDEEGLIEDSDDEDCVVVPTTRQRSRRTTVASSNASRGSSQSPPFNQLDTGKGKPEP >fgenesh1_pg.C_scaffold_2000255 pep chromosome:v.1.0:2:1869422:1875291:1 gene:fgenesh1_pg.C_scaffold_2000255 transcript:fgenesh1_pg.C_scaffold_2000255 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPSQEIHIKIDNPRSSSNKRKTNLADAEPIVLMSVLSSLHAGYFRISLSLCSQALLWKIMVHLHSELPSMAYYLMWYLALATQVSLCLLYAFKCIFLFDMVKEEFLHYIGVNYLYAPYISCLLLLQSAPMIKPHSVLYQTLFWIFVVPVLTLDTKLYGQWFTTEKRFLSIMANPASQVSVIANLVAARGAAEMGWKECALCLFSLGMVHYLVIFVTLYQRLPGGNNFPTTLRPVFFLFFAAPATASLAWNSICGTFDTIAKMLFFLSLFIFMSLVCRPNLLKKSIKRFNVAWWAYSFPITFLALDSVQYAQEVKDHVASGLMFIFSSISVLIFFGVMLLTAANSKRLLRRDHVLWKVLYRSSCLKCLYANSFSTSVSSSLGFRATNKELNQMIRSGYIAEAREIFEKLEARNIVTWNTMISGYVKRREMTQARKLFDEMPERDVVTWNAMISGYVSCGGIRFLEEARKLFDEMPSRDSFSWNTMISGYAKNRRISEALLLFEKMPERNAVSWSAMITGFCHNGEVNRAFDLFRRMPVKDSSSLCALVAGLIKNERLEEAAWVLGQYGSLDSGREDLVYAYNTLIVGYGQRGQVEAARCLFDQIPDLCDDDDHGGAFRERFRRNVVSWNSMIKAYLKVGDVVSARLLFDQMKDRDTISWNTMIDGYVHVSRMDDAFALFSEMPNRDAHSWNMMVSGYASVGNLELARHYFEKTPEKNIVSWNSIIAAYDKNKDYKEAVDVFIRMNIDGEKPDPHTLTSLLSVSTGLVNLRLGMQMHQIVVKTVIPDVPVHNALITMYSRCGEIMESRRIFDEMKLKREVITWNAIIGGYAFHGNASEALNLFWSMKCYGIHPSHITFVSVLNACAHAGLVDEAKEQFLSMMSVYKIEPQMEHYSSLVNVISGQGQFEEAMYVIKSMPFEPDKTVWGALLDACRIYNNVGLAHVAAEAMSRLEPESSTPYVLLYNMYADMGLWDEASQVRMNMESKRIKKERGSSWVDSSTFKTAPFGPLISCSVSPRSIVPCRNVDCYHSFFSPKGQIQPRRRWMFCNSRNNSISSDDEYRSSRNIAISLLRRYRTVIGRGEGETLKEFISAGVNAYALGCTDEDLRKELMAMKDSGLEIERMESYGGSTQTKSKILLSEVDECILWLRIVFITILCTPQPTVVRWSSTPSVSDEILSKWRGFCAVIANAYYIRGMAWLPVKTLQLEQMAVTGQAEEPSVVASRMRLVFSTLEVVSPQWPRV >fgenesh1_pg.C_scaffold_2000260 pep chromosome:v.1.0:2:1908296:1909220:1 gene:fgenesh1_pg.C_scaffold_2000260 transcript:fgenesh1_pg.C_scaffold_2000260 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLLDTLKKQYEVYRKITFGSTGLGTNQRTGSLDAPDHWWKDKIKAYPEAAKLRSHPLRFIPLLDVVFRDETVVVEESWQPRRGVYRRAPIMDLSDNEWVNNNGEDKREVIFMASQPNLLASTSKTRSHMLYFNGLLWRNKRKKPKKNPKSDF >fgenesh1_pg.C_scaffold_2000268 pep chromosome:v.1.0:2:1959170:1961401:1 gene:fgenesh1_pg.C_scaffold_2000268 transcript:fgenesh1_pg.C_scaffold_2000268 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGEVISAISQLISMDDPWEVDNGDANTEIIARIIKRSYVSSVFCEYAMLLMQQKPESELMLLITQTISIYNSMDLDSQPEPLRKLISFIAKKADLDYYVDPLLYQDLEVLPLFGKTLSLEPEPELISLIRQIVSLVVSMDPKWKKLISLCPQVAVRLEKGKLLVDEEFLWETNDKWCCFPLFWLKFMSTGEDSTHFFCRGCNGKNHNENNKAQVEIKHPLHPRHSLRLALLRKSHETRQCYCCDESLQELFYYCSACDYAMNIACVEKPPDLYVKPGSSWISFSFNGAKINVRPNNHHMTRPFCSHCKKRCPHKIVLESSGSKFCSTSCVGEFLSTKVNTAGHREYLQRRGYGNYEFSALT >fgenesh1_pg.C_scaffold_2000271 pep chromosome:v.1.0:2:2003553:2004933:-1 gene:fgenesh1_pg.C_scaffold_2000271 transcript:fgenesh1_pg.C_scaffold_2000271 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLILGARRFVGLQKWRNLRVSLQNGSAFSNSFSSATAADVNPKDGGKGETFKASSFLDSLRLAAKLTSKVNADSVLDLLRSYGFTDSQISSIIRSDPQVLIANSATSLGSKLEFLQSRGASSSELTEIVSTVPKILGKRAGKSISRYYDFIKVIIEADKSSKYVKLSHSLPQGNKIRNVLVLRDLGVPRKRLLSLLISKFQPVCGKENFDASLKKVVEMGFDPTTSTFVHALHMLYQMSDKTIEEKVEVYRSIGFTVDDVWAMFKKWPRSLRHSEKKVANSVETFLGLGFSRDEFLMMFKRFPQCIGYSTELVKKKTEFLVKEMNWPVKAVASVPQRLAFVYECLNLLP >fgenesh1_pg.C_scaffold_2000302 pep chromosome:v.1.0:2:2278447:2279902:-1 gene:fgenesh1_pg.C_scaffold_2000302 transcript:fgenesh1_pg.C_scaffold_2000302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVH1] MVNRVSFICLGVGAAVDEVAMDEASISISIMQELAKYENAEREVQRLQWIIMENESNDEKEILKSHFEVVKTFVREMLQASDKERALEVVKKVRRTSDQGVQKFKLEPLRFPIGAANEKTRCYVYMKGSKDDSWNLNCSVILQSIANGEDSTRITKVLCRCSHGFKISSTGTGQYLYVCAAASSARSPCSVTKNARSISEFVNNAIQAELTSLGIKIL >fgenesh1_pg.C_scaffold_2000303 pep chromosome:v.1.0:2:2280668:2282607:1 gene:fgenesh1_pg.C_scaffold_2000303 transcript:fgenesh1_pg.C_scaffold_2000303 gene_biotype:protein_coding transcript_biotype:protein_coding MIYYKVIILSVYVLCIDKGRQYDLPDTNEVVGLIVGDMSTTTGYRDVILQFQSNHLQEIKDDHPLYMSLQYPLLFPYGEFGFHTEIPYVVAEARQRKKSFISIREFYAYQIQTRLTEGKTIIKAGRLLHQYVVDAYAAIEAERLRWNRNNQETLRADLYNNVFDAVGKVTLDY >fgenesh1_pg.C_scaffold_2000319 pep chromosome:v.1.0:2:2433922:2434891:1 gene:fgenesh1_pg.C_scaffold_2000319 transcript:fgenesh1_pg.C_scaffold_2000319 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTRFACFLLFTLLLSFSYAAITPMNPLSLGQTLSSSNEVYELGFFSPNKSHNQYVGIWFKDTIPRVVVWVANREKPVTDSTANLAISRNGSLLLFNGKHGIVWSSGVAFASSGCRAEILDSGNLVVIDIVSGRTLWQSFEHLGDTMLHSSSLMYNLATNEKRVLTSWKSYTDPSAGDFVGEITSQVPSQGFIRRGSTPYWRSGPWAKTRFTGLPVMDGSFTDPFSLHQDVNGSGYLSYFQRNYRLTRLILTSEGSIKIFRHNGKDWEPYFEVPANSCDIYGYLQSVNASKGLFLNPLRSGKEETGLVVA >fgenesh1_pg.C_scaffold_2000328 pep chromosome:v.1.0:2:2498822:2499184:1 gene:fgenesh1_pg.C_scaffold_2000328 transcript:fgenesh1_pg.C_scaffold_2000328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KW11] MVTWHLWRLRENRRSEVSCGNVMKEAVISLTQKREKGRRGERESHEEEERVGEVAQVVEITGGSISTCHGRGNSRWWSRIKLGADQRWKRRYVAHEFIANGLHMRLLVAPEEMSMGRRII >fgenesh1_pg.C_scaffold_2000346 pep chromosome:v.1.0:2:2584969:2586138:-1 gene:fgenesh1_pg.C_scaffold_2000346 transcript:fgenesh1_pg.C_scaffold_2000346 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTMKIIRGGFEGIQYIKFDYVKSGQPKIGSVHGLSGRGFSQARVTTTTSLEPFKTNRKTSELLGYEKDKKFSLAHKGRKIIGFHGYAEKNLISLGAYSTTVSVSKSVCHGSKLNEYWDDGVFDGIRKFELDYPNEFITSVDGTFKYSSIRKVNCVTSLVFKTSKGKISPTFGSVTGTKFVLETKGCALVGFHGWTFLPYLTAIGAYFSPLPLPPTAEKLESQGYDRGAFWDDGVYDGVRKIYVGQRENGIAFLLEKEGYKIAGFHGKASSMIRQLGVHVMPIIH >fgenesh1_pg.C_scaffold_2000349 pep chromosome:v.1.0:2:2624930:2628149:-1 gene:fgenesh1_pg.C_scaffold_2000349 transcript:fgenesh1_pg.C_scaffold_2000349 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGVSFQCSCDQTLNHIFRWFCGKGYIRNLKKNLTALKREMEDLKAIKDEVQNRVSREEIRHQQRLEAVQVWLTRVDSIDLQIKDLLSTCPVQHQKLCLCGLCSKNVCSSYSFGKRVFLLLEDVKKLNSESNFEVVTKPAPISEVEKRFTQPTIGQEKMLETAWNRLMEDGVEIMGLHGMGGVGKTTLFHKIHNKFAEIPGRFDVVIWIVVSQGAEISKLQEDIAKKLHLWDEVWKDKTESVNAADIHNVLQRKRFVLMLDDIWDKVDLQALGVPIPTRENGCKVAFTTRSREVCGRMGDHKPVEVQCLGPKEAWELFKNKVGDNTLRRDPVIVELARKVAEKCGGLPLALNVIGEVMASKTMVQEWEDAIDVLTTSAAEFPDVKNKILPILKYSYDSLVDENIKTCFLYCALFPEDFNIGMEKLIDYWICEGFIGDYSVIKRARNKGYTMLGTLIRANLLTEVGKTSVVMHDVVREMALWIASDFGKQKENFVVRAGVGLHEIPEIKDWGAVRRMSLMKNNIKEITCGSKCSELTTLFLEENQLKNLSGEFIRCMQKLVVLDLSLNRNLNELPEQISELASLQYLDLSSTSIEQLPVGFHELKNLTHLNLSYTSICSVGAISKLSSLRILKLRGSNVHADVSLVKELQLLEHLQVLTITISTEMGLEQILDDERLANCITELGISDFQQKAFNIERLANCITDLEISDFQQKAFNISLLTSMENLRLLMVKNSHVTEINTNLMCIENKTDSSDLHNPKIPCFTNLSTVYITSCHSIKDLTWLLFAPNLVFLRISDSREVEEIINKEKATNLTGITPFQKLEFFSVEKLPKLESIYWSPLPFPLLKHIFAYCCPKLRKLPLNATSVPLVDEFKIEMDSQETELEWEDEDTKNRFLPSIKRRVWVMVNFVKS >fgenesh1_pg.C_scaffold_2000357 pep chromosome:v.1.0:2:2692319:2698692:-1 gene:fgenesh1_pg.C_scaffold_2000357 transcript:fgenesh1_pg.C_scaffold_2000357 gene_biotype:protein_coding transcript_biotype:protein_coding MKFENCFTSDLYVLAEEIKRETFELDNFNMKPYSFVSPSAYDTAWLAMIEDVGTQTPMFQGCVDWILSNQNVVEGLWGRHQDDNGDETLTSTLACVVALRKWNIGSLHVHKGKRYIERSTERILGKYINSNKKGSCPRWLVLMFTGLVELSQQLGLQFLFSTRVKQMIKTCSSNAKRFSTGKIVDGRYQRQSLLTYLEVLPSTFYAENQEQIFEILGDSDGSLFQSPSATAAAYMLSGNTKCLAYLQSLVQKCPHGVPQIYPLNEELIKLTMVNIIDNFGLGEYFGEEIDRFLLQIYKSHEKEDVEKMPICLQVDQLHKASLEFRMLRMNGYDVMPRSFCWFLNDEEIRDHLETNIECLFFVMLSVYRETDLIFPGECELEEAREFSRKLLDKSQFIDEPIVPTFHIKHEISTPWMTRLKHLDHRMWIEDKDSNAFSIGKASVISIYSDKLTHLALRNFELRQAIYRHEMEDLMTWVKKSGLNDIGFGREKTTYCYFAASSSTSLPIKAATNIRKLMAKSGILITVADDFFDEEGSFDELEALTKAVIRWEGEELKGYGNIIFKALDDLVKVTAETCFKGHGTDITNHLRNIWSETFESWLREAKWSKKGYIPSMEEYLRNGMISIATHTIVLPTLCLMESFFPQHKLKPGNYDNITTLLMTVTRLLNDLQSYQKEQEQGKINSVLLHMKNHSGLEIEDSVACIEKIIDLKRKEFMEHVLRNEFSDLSKPCKDIHMSLCKVFEMFFNKKNRYDSDTEMLEDIKKALYDPINIRK >fgenesh1_pg.C_scaffold_2000378 pep chromosome:v.1.0:2:2843952:2845016:-1 gene:fgenesh1_pg.C_scaffold_2000378 transcript:fgenesh1_pg.C_scaffold_2000378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:D7KW74] MSFSNPLSLSPMESHTTVPSNHEAETEPTEFEKNQKRYKDLIATFPHTKGWRPKGPLIEYHGCWFVQPLLEGCLHAQEFFQARPIDFLICSSAKTGTTWLKALTFAIVNRTCLDNSSNPLLKRNPHELVPFIENEFAFFPQVDVIKDKGNTLFSTHIPYGLLPKSIANSGCKMVYIWRDPKDTFISMWTFFQKQRSDFGPLNSLEESFDMFCRGTSVYGPYLDHVLGYWKAYQENPNQILFLKYETMRADPLPHVKRLAQFMGYGFTAEEEKKGVVEKVVNLCSFETLKNLEVNEGEKIREDIASVHWPNSAYFRKGMVGDWHNYLTPEMAARIDGLMHEKFKGSGLLEHDIDD >fgenesh1_pg.C_scaffold_2000383 pep chromosome:v.1.0:2:2876679:2878388:1 gene:fgenesh1_pg.C_scaffold_2000383 transcript:fgenesh1_pg.C_scaffold_2000383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWN7] MEVPKSRRDQKYQRIQCLKIYDTIRIRERAQQLIDEIQQELDPENIISRLRKSLQYALGFYENWKTTDCDQLACLKIELDKLTFAHGACPDKKNVHNLNHRMLHGVHDMDAERYLLKMFYSKKHKESGSPLKKLEQQDKLREFNRSSVLSKKEIQKQIQVLEKRREEDIKVIIDRRKKLELIKREITRARPCSLMVWGFCSHPKINKFDPFLWGLCGCTSQKPLSKKNRETTIAAMRMKSLREKLESIREQRQRIFVTLLFQMDDLEYLKAEQDG >fgenesh1_pg.C_scaffold_2000386 pep chromosome:v.1.0:2:2891864:2892832:1 gene:fgenesh1_pg.C_scaffold_2000386 transcript:fgenesh1_pg.C_scaffold_2000386 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVELSTTGSTTGDLVEGPDRIFVGGLPHYFTDAQIREILECLGPLRGFNLLKDRQTGDSKGYAFCVYQDPSVTDIACAALNGIKIGDKTLAVRRAMQGTIQPKPEQEEVLQQIAQQQIALQRLMLEPGGIPTKIVCLSQLVTIDNLRNYEEYADIMRQEGGKFGNLVNVVIPRPNPDHGPTPGVGNVFLEYADVDGSSKARLEMNGRIVGGYQVVAVYYPEDKYAQGDYED >fgenesh1_pg.C_scaffold_2000394 pep chromosome:v.1.0:2:2955479:2956276:1 gene:fgenesh1_pg.C_scaffold_2000394 transcript:fgenesh1_pg.C_scaffold_2000394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWQ0] MAPKGKASRGRGGGRSGVRVFNGRNPKVAVGTSSNASNPSSSTATVPSQTTSMSQTSRPTVPSQYPASSQPPPPARLPSPQPPPHQQPPPNYQQQPPLYQPPPPNYQQPPLYQQPGPYHQPPPYQDQDHPHDQVHPQQIPQPHMPPQENPNAPPPDYQQMLENLLVLPGREHLPRLSNVPIPGVETIWYKPPLCLA >fgenesh1_pg.C_scaffold_2000421 pep chromosome:v.1.0:2:3108639:3111073:1 gene:fgenesh1_pg.C_scaffold_2000421 transcript:fgenesh1_pg.C_scaffold_2000421 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein [Source:UniProtKB/TrEMBL;Acc:D7KWT0] MRGTKKHVVTRASPSLAIVEVKPHDQKDAVPIEAPIVSSYNDQIRPLLDTVDRLRNLNVMREGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQRSSSPEPEIWLEYNDKVVQTDEEHIANAICDATDVIAGSGKGVSDTPLTLHVKKAGVPDITMVDLPGITRVPVNGQPENIYEQISGMVMKYIDPQESIILNVLSATVDFTTCESIRMSKQVDKTGEGTLAVVTKADMAPEGLLQKVTADDVSIGLGYVCVRNRIGEETYEEARMQEELLFRNHPMLSLIDDDIVGIPVLAHKLTQIQGMMISRCLPEIERKINEKMEISVLEFNKLPIVMASTGEALMALMDVIGSAKESLLRILVQGDFSEYPDDQNMHCTARLAEMLNGFSDNLQAQSQVASEFLMDEIKILEECKCIGLPNFIPRSSFLAILSQHVDGIHAKPVEFIKEIWDYIEVVLSSVITKYSDNFPQIQSSIKRAGCNLMSKTKERSVNREKPDYFSLTGFGNVKISHLRHYHAHLLQQAFDMKMRITSYWTIVLRRIVDNLALFLQFSVKNLVNSQFQKEIVAEMVDTRAGGGIERMLEESPSVASKREKLKNCIKLLKESKDVVAAIVDQNSGYGDR >fgenesh1_pg.C_scaffold_2000422 pep chromosome:v.1.0:2:3112298:3114603:-1 gene:fgenesh1_pg.C_scaffold_2000422 transcript:fgenesh1_pg.C_scaffold_2000422 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGKMLEVCETSVGMSSLAIVNTSPHHHVQIKAPIISSYDDRIRPLLDTVDKLRNLNVMSEGIQLPTIVVVGDQSYGKSSVLESLARISLPRGEGICTRVPLVMRLQQSSSFEPEIWLEYELYGKNKVDKTDEEHIAEAIRKATDAIAGSGKGVSDTPLTLHVMKAGVPNLTMIDLPGIVRIAVDGQPENIYEQISAMIMKYIEPQETIILNVLSAQVDFTTCESIRMSRQVDKTGERTLAVVTKVDLAPEGLLHKVTANDVSIGLGYVCVRNRIGNETYEEARLQEELLFKKHPLSMIDDEIVGIPVLAQKLTQIQATMITRCLPDIVQRINEKMDNSIAELNKLPKVMTSTREALFTFLRIIGSTKESLIKILVQGDFSEFSDDQCMHSTARLADMLGQFSANLQAHPQNVTDEFLMDEIKVLEECKSIGLPNFIPRSAFIAILSQRIDAIHTKPVEFIKETWDYIEGVLLSVLTKYSENFPQIQSSLKRAGRNLITKIKEQSVSRVIEIIEMEKLTDYTCNPEYMTVYTEKIAAQGSFITAVHSNNSNNCNLNASGFGIVQISHLRKYDAQLLRQAFDMKVRITSYWIIVVRRIVDSLALYLQFSVKNLVNSQLQKEIGEELVNSSGGGEVEKMLEESPSMASKREKLKNSIKLLKECKDAVAAIVDQNSGFGDR >fgenesh1_pg.C_scaffold_2000425 pep chromosome:v.1.0:2:3121454:3122815:-1 gene:fgenesh1_pg.C_scaffold_2000425 transcript:fgenesh1_pg.C_scaffold_2000425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin family protein [Source:UniProtKB/TrEMBL;Acc:D7KWT4] MGGSKMPTVSEINVEAGMSSLSIVNTIPTEAPIVSSYNDRIRPLLDTVDRLRNLNVMKEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQRSLSPEPEIWLEFNDKRVDTDEEHIAEAISITTEAIAGSGKGVSDTPLTLHVKKNGVPDLTMVDLPGITRVPVNRQPENIYEQISGMIMKYIEPQESIILNVLSATVDFTTCESIRMSRQVDKTGERTLAVVTKADMAPEGLLEKVMADDVSIGLGYVCVRNRIGDETYQEARMQEELLFKTHPLLSMIDHDIVGIPVLAKKLMQIQGTMIARCLPDIVQKIKEKMESRSFDDFHEYHWFCQGVSTKDSCTRRLL >fgenesh1_pg.C_scaffold_2000426 pep chromosome:v.1.0:2:3125511:3127967:-1 gene:fgenesh1_pg.C_scaffold_2000426 transcript:fgenesh1_pg.C_scaffold_2000426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin family protein [Source:UniProtKB/TrEMBL;Acc:D7KWT5] MGGSKKHVVTRTSPSLAIVEAHPHDNKAVVPIEAPIVSSYNDRIRPLLDTVDRLRNLNVMREGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRIWLEYSDKVVPTDEEHITEAICAATDVIAGSGKGVSDAPLTLHVKKAGVPDLTMVDLPGITRVPVNGQPENIYEQISSMIMKYIEPQESIILNVLSATVDFTTCESIRMSRQVDKTGERTLAVVTKADMAPEGLLQKVTADDVSIGLGYVCVRNRIGEESYEEARIQEELLFRTHPMLSLIDDDIVGVPVLAQKLMFIQATMISRCLPEIVRKINHKMETAVLELNKLPMVMASTGEALMALMDIIGSAKESLLRILVQGDFSEFPDDQNMHCTARLADMLSQFSDNLQAKPEDVTEFLMDEIKILDECKCVGLPNFIPRSAFLAILSQHVENIHVKPVEFIRKIWDYIEVVLSSVITKYSENFPQIQSAIKRAGRNLITKIKEQSVERVMEIVEMEKLTDYTCNPEYMTSWTQKTAEQQNFIHAVLYDAKKPEHFSLTGFGNVKISHLRKYHAHLLQQAFDMKMRITSYWRIVLRRIVDSLALYLQLSVKYLVNSQFQKEIVAEMVDPRAGGGVERMLEESPSVASKREKLKNSIKLLKESKDAVAAIVDQSSGYGDR >fgenesh1_pg.C_scaffold_2000441 pep chromosome:v.1.0:2:3220162:3223548:1 gene:fgenesh1_pg.C_scaffold_2000441 transcript:fgenesh1_pg.C_scaffold_2000441 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAYDRIKAELLNAEDEVIISRYLKRMIVNGDSWPNHFIEYADVFNKDPNEIFSSERPRFVIVKPRTGNCGKTDGCDESGCWRIMARDKLIKSEETGKILGFKKILKFCLKWKPREYKRSWVMEEYRLPNNLNWKQDHVICKIRFLFEAEISFLLAKHFYTTSESLPRNELLPAYGFYPHSEQEDDESYLLTIMTSEGNDWPSYVTNNVYCLHPFELVDLRDRMFCDYGTCIYANKTGGETDIYNGGYWKILHRDRPIKSKSGKTIGFKKVFQFYEPERERIFCNGQEVKIAWIIEEYRLSEKVKQNKVLFSFIMENDAAYDLIKHELLNAEDEVIISRYLKGMIVNGDSWPDHFIVDANVFNKNPNEVFNSERPRFVIVKPRTEACGKTDGCESGCWRIMGRDKLIKSEETGKILGFKKILKFCKKTKPREYKRSWVMEEYRLTKNLNWKQDHVICKIRLMFEAEISFLLKKHFYTTSESVLGNGLLPSYGYSSTTQEEDESYMETIVTCEGNYWPSYVTNDVYCLHPMDLVDPQDPMFHDYGTCIFANGTCGETDKCDGGYWKILHHDKLITGKVNGFKKVFKFYETRRYVWEGEYVNVTWTIEEYRLSEKEKEDKVICVIKFTLDN >fgenesh1_pg.C_scaffold_2000446 pep chromosome:v.1.0:2:3250091:3250977:1 gene:fgenesh1_pg.C_scaffold_2000446 transcript:fgenesh1_pg.C_scaffold_2000446 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVCHTCRHVKAVIRCVTETLNYCLTCDYLHHCNNLHAGHVRYQLCDNCTINPSILLCYEDGKALCQSCYSTHYNCAPNGHHIQIVRRIQYPNNNTQHHDHAHMPHVVDHNNNNNRQQHHQEHVQHEGGHQQRRAGMFERSCHGDNNCERWMFAMGCELCLASSSNAVVYCSAHNKLLCDDCDRMTHIQEATVPPHSRCKLCVNCKRPSSKFLIGGYHFNFPRVHPPAAEEIPASPPRELPQQDINGFQDDDDDFSWFEFSWGFNSRCIIAFR >fgenesh1_pg.C_scaffold_2000455 pep chromosome:v.1.0:2:3343446:3343928:-1 gene:fgenesh1_pg.C_scaffold_2000455 transcript:fgenesh1_pg.C_scaffold_2000455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KXC0] MPTNRYTDEARFAAETEGYTKSGRLTCLHWWCSQIHKEWIKHERLLRMVIYGFIRAYRGDTRLVLGCTLSISAILIAGDLPNSLLIGTLIFSRALPRFLVPQGTTAFLGSQGTTAFLGSQGTTELQRDLSSSF >fgenesh1_pg.C_scaffold_2000470 pep chromosome:v.1.0:2:3475889:3477390:-1 gene:fgenesh1_pg.C_scaffold_2000470 transcript:fgenesh1_pg.C_scaffold_2000470 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEEPPQKKNKLPIEPPTLNLSLPEDLIVSILARVSRLYYPTLSLVSKRFRSLLTSPELYQTRSLLGRSDNFLYVCLRFSRTDRIPRWFMLCRRPNQILTSDTKKKKKKKSSGYVLATIPIHHSPPAHGSGLVAVGSNIYNIGGSIYDSPSSNASILDCWSHTWLKAPSMHVERDYPSANFLDEKIYVTGGCYKHYNPSNWMEVFDLKTKTWEPVLSRAGIYKRLTLYRCHDETHNVVVDGKLYIIGDKGVAYNPKDDTWNSLESEMELGLIWSSSCVIENVLYYYYYGEEIKWYDTKARSWRNLNGTKKLPKFARHANVRLADYGGKMALFWDKFVASGGVRGYENRMICYVLLSKSHK >fgenesh1_pg.C_scaffold_2000487 pep chromosome:v.1.0:2:3606603:3607873:-1 gene:fgenesh1_pg.C_scaffold_2000487 transcript:fgenesh1_pg.C_scaffold_2000487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXF9] MRRWISVVSCFWNGLLRLFLSLVSGFNLIVLLRLISGSKWFSIRLISVFRIDLVDLGLFSNLLDGINLGTVLEFLGIRYIRFSFWAVEIIEISRSRWISIKLLPVSRFDLVDFGLILVLSDFNIIVVDGKILGKRFKRLGLWEEEIKMGSCDGVMWVSSPVGKSKDPANLEGCFSASTTRWFAGDRRRRALSTPPWVIVGGRNISKSYDVGRLILDFECMEWSFIGCNKRFYNSLISGFSWLDVDILRVRISMVICNLVSLRNNQGNEGHNPTHHGTVKRLTGGRNPLNRKVDNK >fgenesh1_pg.C_scaffold_2000489 pep chromosome:v.1.0:2:3620700:3621569:-1 gene:fgenesh1_pg.C_scaffold_2000489 transcript:fgenesh1_pg.C_scaffold_2000489 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDKKPNKSCDDDDLQRKKKQNFFKQRFPGFKKKATELSVLCGNSVGFICYGPDNDLHVWPQPQDHNPQTLTQIVAKFNALSDHKRKHHACDLYDFPNLKGLSGDQLRNHLVNLDSHLVGVKQHKINSSLLDPFTLGFSGHDYFLADSMAVTDNWEVCANNGVWDPSWLDFDFSSTLFTDDWTVSGYNPLLAATDSFTYETPVTDNLGSVF >fgenesh1_pg.C_scaffold_2000490 pep chromosome:v.1.0:2:3622214:3622964:-1 gene:fgenesh1_pg.C_scaffold_2000490 transcript:fgenesh1_pg.C_scaffold_2000490 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDISRFYAHLMCKPNEFEREDHPYITRTEKDEPQYTIEQELYMMEEQIEESEGFDIDFTLFRCLFNYYPVDLNANRFVEEQKPLGIYLVGCLRNHLTATMKWSVQSMNLSRLSKPICPNPIKMVRLGLVVLPSTLHMLRFLSMTSSLVLAWEGCQIPRDRSYMSDP >fgenesh1_pg.C_scaffold_2000491 pep chromosome:v.1.0:2:3624057:3626185:-1 gene:fgenesh1_pg.C_scaffold_2000491 transcript:fgenesh1_pg.C_scaffold_2000491 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQTEIKFEEGWSFLEKGVTKMIRILEGEPEPPFESNQYMNLYTTAYSMCTQKPDYSAQIYDKYREMIEDYVTQTVLPSLREKHDEYMLRELVKRWDNHKVLVRWFIRFFNYIDRYYVIRRKVQSLREVGLTCFNNLVYREMQSTATEAVIALFHKEREGEQIDRELVKNVLDVYVENGLGTMKKYEEDFESFMLQDTASYYSRKASKWIKGDSCPAYMLKACLRLCFHSIQESKYILTFIIFYCIQSEECLKRERERVTHYLHSSTEPKLVEKVQNELLVVVAKQLLENESSGCCALLRDKKMDDLSRMYMLYCPIPQSLEHVADLFKQHITTEGYTLMKQADDAANKQLLIELHDKFMVFVTECFENHSLFNKALKEAFEILSNKTVAGISNDLQQFAIIS >fgenesh1_pg.C_scaffold_2000493 pep chromosome:v.1.0:2:3634366:3635098:-1 gene:fgenesh1_pg.C_scaffold_2000493 transcript:fgenesh1_pg.C_scaffold_2000493 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNSVDSISVVEHSLENTILVDVENLHLDDVSVGGDDNADEFDDSDNSKQGFSKLCKQSGDQEAPVIFLKHNVVDEYDEQSEVAERLRIKINKSEIEHG >fgenesh1_pg.C_scaffold_2000496 pep chromosome:v.1.0:2:3656362:3657204:-1 gene:fgenesh1_pg.C_scaffold_2000496 transcript:fgenesh1_pg.C_scaffold_2000496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXR2] MPKQKGKVIFQRESQWLPKPISPPPQSSSPPQSSPPTVSLSPVDQIVIETAEASVVAMDTEAAEQAVLETANASIVGMETESGVDPSVSPADVVGSSVPLEADNTNVIIIRKDEITHLLALPAQNRIIPTSQVSVPIKEDSSWTQVSSKPAAKNHVVKKQLVTTKRNYFSCLANLEDAPASSSSLMEDYPSSNLVVSPNFTISNTQASPQTQTHQPFQPSITITQPQNSFEPPAPLTSFSNTTSKSSHMPDLSLPILSIPNAFKSTQSSGSSLSKGESHS >fgenesh1_pg.C_scaffold_2000497 pep chromosome:v.1.0:2:3660457:3662445:-1 gene:fgenesh1_pg.C_scaffold_2000497 transcript:fgenesh1_pg.C_scaffold_2000497 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNKQTNNEAIRRTPGGHEIIISLHNKNYSKESDKNSAAKLKTIGGKIHKEREGEQIDRELVRNIINVYVENGMGSIKQYEEDFESFMLQDTASYYFSKASRWIEEDSCPDYTLKAYLYISLLGLFFNLISRKYPQECLQRERERVTHYLHPTTEPKLFKVLKEAFQILKRNGGSEKLK >fgenesh1_pg.C_scaffold_2000498 pep chromosome:v.1.0:2:3662840:3663521:1 gene:fgenesh1_pg.C_scaffold_2000498 transcript:fgenesh1_pg.C_scaffold_2000498 gene_biotype:protein_coding transcript_biotype:protein_coding MIFMIQNFLNLLDHLSKWFPDYVYESPMLDTCYGFEFSDLKESESIKDLEIKKETPTKIDDLVSSKIDDMTDSQAAYSELVVEDSDRDDAVIDKNRRSLFRRVAKRKPTIPTVEEELTYLKNRVSDLEDKVSYLYDVISRLIISNGNNGN >fgenesh1_pg.C_scaffold_2000500 pep chromosome:v.1.0:2:3675904:3676818:-1 gene:fgenesh1_pg.C_scaffold_2000500 transcript:fgenesh1_pg.C_scaffold_2000500 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHTEITFEEGWLYIQKGVTKLIKIIEGEPEPPFDAEEYMNLYTTIYKMCSHSPGYSKQLYEKYREVIEDYTIQTVLPSLREKHDEDMLRELVKKWDNHKVLVRWLSRFFLDVDCYLARRGIPRLREVGLTCFHELIHKEREGEQTDKALVKNILDIYVENGMGTMEKYEEDFERFMLQDTASYY >fgenesh1_pg.C_scaffold_2000524 pep chromosome:v.1.0:2:3872158:3873461:1 gene:fgenesh1_pg.C_scaffold_2000524 transcript:fgenesh1_pg.C_scaffold_2000524 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKLPLDLEEEILIRVPPRSLVRFRSVCKEWNTLFHNKRFADKNFACGRPEFMLKTHSHIYSISVNLNDNPTVKVRDLCFDLCGSRYHYYGNCDGYFFMYDYDEGGVVWNPFLRQTKRIAADESSCGKEMGYDGSRPEKSYKIIGRSSSNSIHRVAVFEFATNAWEVTHRTSFGEVLTSHDDSSTVSLNGNVYWTGYKYPETGQYFIEMLDFSKEVIKIFCVLPCKGKKCASHHRMLSIYKGDRFSVLQQCTRTSEIKIWVTEKKIGNGDDGDNVVWIKFMTVSRPDLPMLLSHTSYFVDDNIYGKSFVLCCNSKKPRQAWVYIVRGDMCKKIKIDGVSFSLVEEVADHLADECLGLLEEAEGA >fgenesh1_pg.C_scaffold_2000527 pep chromosome:v.1.0:2:3918818:3920510:1 gene:fgenesh1_pg.C_scaffold_2000527 transcript:fgenesh1_pg.C_scaffold_2000527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXU6] MKKRNSLVDKGLTDATPSSKRKCSTPKSRVISNLQQPNSSEKQTSVPVKSIFGRVFTDITNLTHVVLEESLCPRGKNLSVTDTGSLDENSYLTPVCVRQSLSSQEPYSLCSVPITASIHSSQCQGYINSTELSECLINDGSNLTDIPIKQSTSSLASKDFLSSIRSEAIKSTLADENVQNLSSVRISQSYAQLGADTFLSDIRREATKIEYSEQQPKQRKSKKTPNLEDCRVNLFDSTPFEEEEISDLEQEYDVSSQEDMGSDSSSGYETELSVETDEVIHVQNKRGTCSKGRKGISLKRRKYTKGASNHIKRKTGYY >fgenesh1_pg.C_scaffold_2000531 pep chromosome:v.1.0:2:3941451:3943168:-1 gene:fgenesh1_pg.C_scaffold_2000531 transcript:fgenesh1_pg.C_scaffold_2000531 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLAGFTCCLGGIALYLLSRNTGGVVKSITRVNHLKDLEQLVESKVFPLIIAVSGIVGSETPIKCEHSDILGVFLKKTAEQQVLSRNWRFSWVRKSTLMQPMTKEVPWFLDDGTGRVNVAVSQGEIGLALTVGSDVFEKAEPVSLVQGTLDYLKGLKILGVRRVEHVVPIGTPLTIVGEAVKDGMGNVRIQKPEQGPFYVSYVPLDQLISKLGEWSRRFKYASMGLTVVGVILLSKPVIKYILKKIEDTLERRRRQLLQKRVVDVPDLCVICHDQKYNTAFVQCGHMCCCLTCSLRLTTCPLCREQIQQVLKIYRH >fgenesh1_pg.C_scaffold_2000553 pep chromosome:v.1.0:2:4084678:4085863:1 gene:fgenesh1_pg.C_scaffold_2000553 transcript:fgenesh1_pg.C_scaffold_2000553 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPIQKNKHENPSFLSLPEEIILTCLARIPRSYYPKLSLVCKTFRSLIVSKELNDARFHLKTQETVYQVCLQFTDNPHPSWYTLWIKPGQILTNQLEEKTKSNHIIRLVTSSCYSYVPLRIVSVGSEMYGISRSSAPSSNMWSSSATVLNGKIYALGGGADESVNWGEVFDPKTQTWEPLRDPGAEHCFSSIREIQVCEGNINVISNENCFWYDENREKWTVVRGLATLNKNYRSGMIEIAKYKGKLLILWDKFNLCNLVLGNTRIFGVP >fgenesh1_pg.C_scaffold_2000558 pep chromosome:v.1.0:2:4109107:4112820:-1 gene:fgenesh1_pg.C_scaffold_2000558 transcript:fgenesh1_pg.C_scaffold_2000558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7KY54] MDSSAIALGAPQITSSDDEELDEEEGEVIESAPPLQIGEERVLGSLHIKKKMLELGTGYETPEHDAEVTVHYVGTLLDGTIFYSTRDKSEPVTLTLKVDEVRAPRGLGHCIMTMKKGEIALFTLPVDQGYGAEGYDNVPPNSVIQFEIELFSWIDVVDVRRDGGIIKKIIEKGDKNGKPSDLDEVLVKYQVELLDSTIVAKSPDQGIQFCVNDGHLCPALPLAIVSMHPGEKVKLIVQPQYGFGEEGRSSSGIINAVPPNSVLNIDVLLVSYKPVIDVVGDSKVFKKILRDGEGSSVADDGATVTVSYVAKLEDGTIFERKEVGEEEPLVFVTDEEQVITGLDKAAATMKKGEKAVLKISPEYGFGNVEVQRDLAKVPQCSTLIYEVEMLDFVKEKTPREMNNEEKIEAANRKKEEGNLLYKNQKYQRAAKKYNKAADFIETGKFEGDEEKQLKALRVSCFLNAAACSLKLKNFRETIILCSEVLDIEFQNVKALYRRAQSHIEVGDLISAEMDIKKALEADPENREVKSLYKTLKFAKAESDRRDAKLYANMFALSKKLKVVAEEEKMATNIETTEHSNEQSS >fgenesh1_pg.C_scaffold_2000600 pep chromosome:v.1.0:2:4686843:4689764:1 gene:fgenesh1_pg.C_scaffold_2000600 transcript:fgenesh1_pg.C_scaffold_2000600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYB8] MIDFAALVLRRIREIRFRDTTRKSSGPRSDRWRRELRQLGEIERGGGKLRSFLGISSSLFFSKLDSRFNWNKKKFFYFVFFLSLCVNCNHMSFQTCHLCGSSSTMNYCWRHQAPRICQGLHMNYARTEQGHIQSPLCQVCRQNPALIACFDENMMFCYICFRTYNTCVPASHSLNVIPFSYHTACRGLNRLPIIQSVGDGRDGGHGRGRRPRGRHSHVVGPDLELRLGAGPPRPPYQAGGQHQVAGINRSFYLVVGLQSTIGSVHVRNFGGELIVRTRPQLSMIGSDIVGFQVLADEQTQIQEMLIASSLAEVKRNTTQKKEITDMLSEFSDDLQAQSKVTEFLMDEIEVLKIQGSIKEAGEKLIIKMKEQSVEQVIKILEMEKLSDYTYFASEEVSSSFTGAGIQYETEDIIILAYCPAKLSVRYLVNTQFKSEIVAKMLAPRGGGVVERKLLGIGDQDEEQFPALEGV >fgenesh1_pg.C_scaffold_2000620 pep chromosome:v.1.0:2:4937884:4939019:-1 gene:fgenesh1_pg.C_scaffold_2000620 transcript:fgenesh1_pg.C_scaffold_2000620 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFTSDPCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVLHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFDLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITREENAME >fgenesh1_pg.C_scaffold_2000621 pep chromosome:v.1.0:2:4954626:4955909:-1 gene:fgenesh1_pg.C_scaffold_2000621 transcript:fgenesh1_pg.C_scaffold_2000621 gene_biotype:protein_coding transcript_biotype:protein_coding MFSEDIPSILDGDSLILGELYYLTKDKTDYAWYTTSIKIEDDDIPDQKGQKTILRVAGLGHALIVYVNGEYAINLRTRDNCISILGVLTGLPDSGSYMEHTYAGPRGVSIIGLKSGTRDLIENNEWGHLVYTEEGSKKVKWEKYGEHKPLTWYKTYFETPEGENAVAIRMKGMGKGLIWVNGIGVGRYWMSFVSPLGEPIQTEYHIPRSFMKEEKKKSMLVILEEEPVAKMVPTSSPTKMINDLLN >fgenesh1_pg.C_scaffold_2000625 pep chromosome:v.1.0:2:5002525:5005617:-1 gene:fgenesh1_pg.C_scaffold_2000625 transcript:fgenesh1_pg.C_scaffold_2000625 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDTQFPCDPAGVCMRCKSTPPPEESLTCGTCVTPWHVSCLSSPPKTLESTLQWLCPDCCGETDALPVSGVAPGYGSVGSDLVVAIHEIEADDSLSAEEKAKKRQQLLSGKAVVHEDDEEEKKRKSKGKKPADEDVLSHFHCSICMQLLQKPVSTPCGHNACLKCFLKWMRQGNRSCGTCRSVVPESMISNPHINLSIVSAIRLARLSQRVDASTSKVVHVISNEDRPDKAFTTDRAKKLGNANASSGKIFVTIPRDHFGPIPAEHDPVRNQGVLVGESWKGRHTARQWGVHFPLVSGIAGQASYGAQSVVLAGGYEDDEDHGEWFLYTGSGGRDLSTRNLRTNSVQGFDQKFEKSNEALRLSCKLGYPVRVVRSSKDMHSAYAPEGELLRYDGVYRIEKCWIKVGVQGSYKVCRFLFIRCDNEPAPWTSDEHGDRPRPLPNVPELNMATNLFERKESPSWDFDEGEGRWRWMKPPPASRKAVNNVKDPEERKFLRKAMTSARSNTMRARLLKEFKCQICQQVMTNPVTTPCAHNFCKACIVSKFAGKTLMRERSRGGRTLRAQKNVMKCPCCPTDIAEFIQNPQVNREVAEVIEKLKNQEEEDNTEHVDEGECSGTSHEEETLPVSGDAEQPKKRIKLDIDAAVSATVVESDMK >fgenesh1_pg.C_scaffold_2000628 pep chromosome:v.1.0:2:5036013:5036491:1 gene:fgenesh1_pg.C_scaffold_2000628 transcript:fgenesh1_pg.C_scaffold_2000628 gene_biotype:protein_coding transcript_biotype:protein_coding MDITSIRSETVSPLLNPTNACFLSLATIVLWSSYCCCKELMFAPNLRKHVVHKADEVEDIINKEKACLDNLPELKNIYLRPLPFPCLTKIRVISCPKLRKLPLNSKSGPSGEKRLAIDAEANREWEDEATKARFVHPSIEV >fgenesh1_pg.C_scaffold_2000647 pep chromosome:v.1.0:2:7311427:7312135:1 gene:fgenesh1_pg.C_scaffold_2000647 transcript:fgenesh1_pg.C_scaffold_2000647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYR1] MDTILFALMFVFLLVFLSRVLTKNEANSSEDLLPKRSPATFPLRTSLPPAAASSPVSSPASSPASSLGSRLHSFSYRGMMELAINISEFEETSMNLINMKLEEKRNELGVQEIT >fgenesh1_pg.C_scaffold_2000649 pep chromosome:v.1.0:2:7329626:7330334:-1 gene:fgenesh1_pg.C_scaffold_2000649 transcript:fgenesh1_pg.C_scaffold_2000649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYR1] MDTILFALMFVFLLVFLSRVLTKNEANSSEDLLPKRSPATFPLRTSLPPAAASSPVSSPASSPASSLGSRLHSFSYRGMMELAINISEFEETSMNLINMKLEEKRNELGVQEIT >fgenesh1_pg.C_scaffold_2000659 pep chromosome:v.1.0:2:7453694:7459046:1 gene:fgenesh1_pg.C_scaffold_2000659 transcript:fgenesh1_pg.C_scaffold_2000659 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANFVYIFYILRYQWPLRIPQGEHIIHALLRNPSTYSKSPTTCMKNGVARDTTIELETKAKRNKALDLDDDVIWMLDTLRLRRFMESIRREIYEEETRQFLATVSLAFPRTSSPLARDGILYFTINGNHFNISIPHLGRALGFDYQDAIDFGPEEHGDAWKRIGKGPFSSGKTKSALISHPAIRCVHKLLATSIFARTAHNNVLGDELLVLKIPFVDFPRINYASLFAKRLVKIKHEAIHYTNNDAFLSFGGIITAILEAAGVDLTDRPFTAEENYFDLERLSTMRIFEGSRIDPDCFGYRYNVSPRLIRTIMLPCPTIPRLRNGATRWDPESSEFLSLQTGERLPFTLAGYVKKKELDSRRATQAARSHETDSSCLGEERALEQRLAEQIALMAQMERMIRDPRHSFVTPPDLQPPRRSRVFIYSFYVFLNHLFTYND >fgenesh1_pg.C_scaffold_2000666 pep chromosome:v.1.0:2:7547340:7547714:1 gene:fgenesh1_pg.C_scaffold_2000666 transcript:fgenesh1_pg.C_scaffold_2000666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ15] MTEKELAEDDLVEDQTSSSEQVDEALEETEEEAALAHDGVVETGKRARTSGVLKGVSSKKRKAQILLSPKRWVTRNNKDQAGEGKNREASKGMPGRTKPQKPKIR >fgenesh1_pg.C_scaffold_2000688 pep chromosome:v.1.0:2:7811733:7812879:-1 gene:fgenesh1_pg.C_scaffold_2000688 transcript:fgenesh1_pg.C_scaffold_2000688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ36] MRKSKVPKSNSANLEQAYRSLISASRGLSRTLSPFLPESQPPPPQLESQSPSTVVSSFLAPVTPSPPSQEEIQTRRRNREEIRRVHDCYKRLKSSIGQRDGGGCSANLEQLESQSQDEGTYNKKKTKQDEASLKSEEDLELKQNLELYVERVQDPNPELQKAALESMRLSRDWDGIARVVFHHVYEAYPPADVQDIAPTQVTLLLIELSSARGGR >fgenesh1_pg.C_scaffold_2000692 pep chromosome:v.1.0:2:7922170:7922973:1 gene:fgenesh1_pg.C_scaffold_2000692 transcript:fgenesh1_pg.C_scaffold_2000692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ42] MKRRKNETVKENNNVMQTPNTKRSRSQLYDENINPNLQNQQDRSDVQVKGIFNRLRSGIGNIPAQQCDSEALQTVTGPSSSAAIQKETQGFASTVTYNTSVRSAKKTARTQRRPFQDVQNIINTSQLHSEVHQTPLNPHKPPEKKGKKWSPPSVNSKQAAKGIILTNSRINLRFPKSLAKEKKTSDKSYDTTIEEDSDEILNSKEETYINMSK >fgenesh1_pg.C_scaffold_2000700 pep chromosome:v.1.0:2:8032455:8034199:-1 gene:fgenesh1_pg.C_scaffold_2000700 transcript:fgenesh1_pg.C_scaffold_2000700 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYQSNESEDKRSWIWSKAVSVGKKVLTAGVIVSSAPLLVPSLFVASTIAFLSSVPFCFFLANYACTQKVMSTLLPDTEETGGVDKDDDESRFDEYSKIGHREGAAEVGEGALFMGTEETIPIQVKEDEEMAKESTSLLEKIRDEGRTDRETSEKELQDDKKSGNAKSEEVQEQPEKREAPETGREGELGATKTETSTGKDDEETSSNEPIDQASGAQGTGEEKRKNTTKKKKKTGRAASVKVQICMALDLQVYSEEQIWEKMETLRKVVGYSVASSATCAEELKALYVFTGVVEPPHSSLNHDTHDIAHLTIRLRFLMSVIGIN >fgenesh1_pg.C_scaffold_2000702 pep chromosome:v.1.0:2:8074695:8075221:1 gene:fgenesh1_pg.C_scaffold_2000702 transcript:fgenesh1_pg.C_scaffold_2000702 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHGFPLAIQLFAFRSIPLLLQYLPHVEDQSTFLHQTLTHLPKCKSFHYSNILSVENDPSVFRLVKPFGVVVMAPYLHCTLPANGNKELQLVAPLILNLLKCHHRGSVQSPSLSKLQKM >fgenesh1_pg.C_scaffold_2000703 pep chromosome:v.1.0:2:8087068:8088798:1 gene:fgenesh1_pg.C_scaffold_2000703 transcript:fgenesh1_pg.C_scaffold_2000703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ63] MFQPRSPCSTARQRPPEPPSTSPVNLRFRFSQKRTPAKAFSLLDEANHVSTARHCSTVVPEMPPSVPPVTSHLNKLSETSESSTTAGKHYIISPIDVPSAVMPTTIVDRVVPVLPSPSPLPTSRPQPRRSKRLHSSAAPQVSTPAPAPKLRLRDTSEDVKLKTSSSPVISTLAKPSPTKVTALMSQLRRYTKSEYSIYESVFPATLFFDILKPQKWVSSMTSLANLTTAQIDNVRLRYALDIYETYVKKL >fgenesh1_pg.C_scaffold_2000711 pep chromosome:v.1.0:2:8129037:8130105:1 gene:fgenesh1_pg.C_scaffold_2000711 transcript:fgenesh1_pg.C_scaffold_2000711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRW8] MSQNSSHDITSDVDQPDVCVDFADLGPGMVTMRVKVRIIRRFVCPAYGARFVEFVLEDPKGQKIHAVLGGDVAQRFSSILIEGNCIILSDFVVRMALGRFRPSSHRFRLGSNALTSVNLIRPFSQSDNFHFAKFSDIKEGHLNPCFCVDLIGRLLVTFDFRRSESNRVNHRFFFQMKDRSGVRLIFRLPDVFVDSFMNERKKWIGDFQILIVRFAKLEVVEGNVTATTACTCTQFFFDHECPEFLVMRRFFTRK >fgenesh1_pg.C_scaffold_2000714 pep chromosome:v.1.0:2:8136531:8137724:1 gene:fgenesh1_pg.C_scaffold_2000714 transcript:fgenesh1_pg.C_scaffold_2000714 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYPLSKLNPDTSEWRIRAKVLAIWQEYYDHYSTVDVVLVDDKGGKIHGIIPMELMPQFSSRIVENRWIVITDFILRPVVDALKPVAHRFELERSGDGFYDFVDFGRILNGAHDTSICVDIIGKAINVSKITSFGCHVYEDQVEHIVFDLQDTSERVLRCVSSITDALPLYRLWMTDPSDVIICVLRFVRVEFREGMWICSGVRCSKLLLNPSIPDVKKMKSVFSIKHGPVAKKQKIKD >fgenesh1_pg.C_scaffold_2000716 pep chromosome:v.1.0:2:8144108:8144728:1 gene:fgenesh1_pg.C_scaffold_2000716 transcript:fgenesh1_pg.C_scaffold_2000716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD63] MEVNKQNTNSTHISQTDECIVAIQQAASWVLSVDRLRGLFVMLMDVFCEADPLSVWDATWDVLFKDVLFPQQIIFNDHEPEYAYNEIQNFETFMRKENSTFNVYAELRKRVALAGGPTCDPSLEYKMRDQFNNLKID >fgenesh1_pg.C_scaffold_2000725 pep chromosome:v.1.0:2:8265593:8266887:-1 gene:fgenesh1_pg.C_scaffold_2000725 transcript:fgenesh1_pg.C_scaffold_2000725 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKARHIKIVSKPYKSLTESLKGSKLSLLLRLTFFVFSEEEKKYLSIQDVEVKRFNSSKTVWGLSQALSIEALKDRAKGFILYGELHEFGAHVKIVSRPDSFGEDLPFHKFSWTIRDFSLLRQNDCVSKTFHMGEKDWTLTLYPKGDSRADGELSQHLHLTDNDTLLKGELIFVRVNLKVLDPRGSNHLSVWLKSWLLNSNKAWGKTQSMSLDKIQGAYLDREGTLEVEIECEVVNSIKNHPCF >fgenesh1_pg.C_scaffold_2000727 pep chromosome:v.1.0:2:8304582:8306979:1 gene:fgenesh1_pg.C_scaffold_2000727 transcript:fgenesh1_pg.C_scaffold_2000727 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDRSVTQDDAEESVVKRNATCVSALNMIIKSLWDINVFASSREDEPVFHEFLLNDLSLKHPLEANGVFDLFLNILEALPNWGSYFEVYEMAKKICNRCKMDLEYPTERSFGLIINASSLREVKASLFFSYTLKSVFKHFTFENIVKVIKMNLKMPCDKEGCGKRNYVQRMINKLPSVFTIALEWTKNETAGEIYDTASFLATEIDVSVIYQYKGDSTCTKYRLVSMVCSHGERYNCVAYENNRWVRYLRSEIEVIGDWDSVVSICLTQSIRPQILFFESNPDIGTLVRSLIGFASIYGVKARAGNEYDTGVWVGDRKIAAIGVRISSGITCHGLAFNIYPDLKYFEHIVPYGIPDKQVTSLRKETDTLLPSEEVIHEQLVSCLAKVFSYDDIVWKEDPSVILVTPDNEFLGLIRF >fgenesh1_pg.C_scaffold_2000732 pep chromosome:v.1.0:2:8427288:8428199:-1 gene:fgenesh1_pg.C_scaffold_2000732 transcript:fgenesh1_pg.C_scaffold_2000732 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKQLRGRRERSRGKPEKETGAGETPLPQIYQLTARDELTLLMSQNPSVGGSNNITASLDDEYSKVFGPERSGRVRCVGCGPTPSKLPKCSPTPILDATNSEAVELRSQVSGLQSQVQNLAGIIQQLVGASTTQTTGSTPNLAALLANLANQPNFTTILSSLANPPNSQAMKDGHENRNVGNSGT >fgenesh1_pg.C_scaffold_2000739 pep chromosome:v.1.0:2:8479748:8480895:-1 gene:fgenesh1_pg.C_scaffold_2000739 transcript:fgenesh1_pg.C_scaffold_2000739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQX8] MEKNDNTHGLSSSEVTPTPKRKRTTSNVLGENYVPPSAESHTKTAVAINSVFRRVLTDISNSPRNSSGLSASDQITFSCSTARGQENVSNNPYQAPSSKKARNLSPIPCKSYSSLLFDSLKILYLTSTIIDDSSYLAESSYSQNDDISGASAADHRNKDNPSGSVLTLQKDSVTDDFLDSHHDFIEDNDLNTDTDEDDHFSDYQDSTKGEYEDNYQEDLYCSSQEELSTDSSSDEDDYAKECAYNPKEAIHRAKERFDILTMLENAFGKPKETPVIPPKQKNGIIPIFVIYC >fgenesh1_pg.C_scaffold_2000752 pep chromosome:v.1.0:2:8585227:8589539:-1 gene:fgenesh1_pg.C_scaffold_2000752 transcript:fgenesh1_pg.C_scaffold_2000752 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFVVDPIFQSTEVDRGKKKLHESIEEDDDALAESDRPLKRLRRRGEGGSALTSPSLGSPTLEEPSTYDEENAPILLPYHPVPTKNDHDAGELIIPKVEPITNMPLSSIHPDSVERENSSVPMLEMEKTNGHVEEGAGETVSTADGTTNDVSPTTVGRFSEHKLAATIEEPSALELASSASGEVKINLSFAPATGGSNLYLPSMEELRRAMEEKCLRSYKILDPNFSVLGFMNDICSCYMDLATKGKDSANQLPKNLPFVTANIDALKKSAARMAFTSQGSNDHMRDVENGAVGDSMAVRAVEISRPGGRDGPHSSFVAEVKMLVVVLVLGFGDWCDILGLRWRGVKDGKLNCGAKQFAMAEISSSASSVCH >fgenesh1_pg.C_scaffold_2000780 pep chromosome:v.1.0:2:9096934:9097845:-1 gene:fgenesh1_pg.C_scaffold_2000780 transcript:fgenesh1_pg.C_scaffold_2000780 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVEFGDAVDGVSDRVFYRHVKPVFLWRLQYWIGVGLEKRLKRGLAVFDQLLEKIITAKREEIKMARDTTSSALTWFFWLLSKNPEAMNKIRQEVNKKMPRFDPADLDKLVYLHGAVCETLRLYPPVPFNHKSPAKPDVLPSGHKVDENWKIVISMYALGRMKSVWGDDAEDFRPERWISYSGRLKHEPSYKFLAFNAGPRACLGKKLTFLQMKTVAAEIIRNYDIKVVEGHKTEPVPSVLFRMQHGLKVNITKI >fgenesh1_pg.C_scaffold_2000789 pep chromosome:v.1.0:2:9173754:9175280:1 gene:fgenesh1_pg.C_scaffold_2000789 transcript:fgenesh1_pg.C_scaffold_2000789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP47 reaction center protein [Source:UniProtKB/TrEMBL;Acc:D7KRQ9] MGLSWYRVHTVVLNDPGRLLSVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWNITGGTITNPGLSSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKSSLDLPKIFGIHLFLSGVACFGFGAFHVIGLYGPGIWVSDPYGLTGKVQPVNPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSAGLAENQSLSEAWAKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPVFRNKEGRELFVRRMPTLFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFHSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTKRQAV >fgenesh1_pg.C_scaffold_2000800 pep chromosome:v.1.0:2:9240331:9241317:1 gene:fgenesh1_pg.C_scaffold_2000800 transcript:fgenesh1_pg.C_scaffold_2000800 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWWRKRNKKRTLEEERTLKRGAKLLTDLIEFGHGISNPIKFFSADEILKATNNFSDSNRVSSDWYSDFEMDWLWKKKKKKKPKSDVVSDIVSVRGAKLLKDLIEFGHGISNPIKFFSADEILKATNYFSDSNHVFLSLPFDWYSGKNENHP >fgenesh1_pg.C_scaffold_2000824 pep chromosome:v.1.0:2:9544138:9545331:1 gene:fgenesh1_pg.C_scaffold_2000824 transcript:fgenesh1_pg.C_scaffold_2000824 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYPLSKLNPDTSEWRIRAKVLAIWQEYYDHYSTVDVVLVDDKGGKIHGIIPMELMPQFSSRIVENRWIVITDFILRPVVDALKPVAHRFELERSGDGFYDFVDFGRILNGAHDTSICVDIIGKAINVSKITSFGCNVYEDQVEHIVFDLQDTSERVLRCVLSITDALPLYRLWMTDPSDVIICVLRFVRVEFREGMWICSGVRCSKLLLNPSIPGVKKMKSVFSIKHGPIAKKQKIED >fgenesh1_pg.C_scaffold_2000825 pep chromosome:v.1.0:2:9545839:9547432:1 gene:fgenesh1_pg.C_scaffold_2000825 transcript:fgenesh1_pg.C_scaffold_2000825 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSKTYDSVWRLNPSKYEWVVETKVLCSWTRRLENSGRRLEFVLADREGNKIQCSLWGEVYDKFVSVIVSGSWFRIKDFKVVHQTGDCRATLHRYKMILLKNTTIVKIPGIDNNQFFDFVDFGSILDRRYSDDFLVDLIGEVVDVQTSNIEAETNGTKLREGSVVFNDKGVPLSDEVIPDYDYLLDRSKRYNEGRLVVENKEPTRSLKRSNEDVYGFSSTKRFRPLTGGYKELLEEVGGINDQGHGRLGSEFGEDDEEDDDEEEEEDTEGDEEVEEDVDADEGEGEYEEEETYGEFGYDGDDSIYRTVSFYLRDRRNAMLRCRLFGKVALTFYDTFKEQADGAVICVIRWGLIRCYGGDVAIHATDCSRIEINPDIRGVECFDELVKENM >fgenesh1_pg.C_scaffold_2000828 pep chromosome:v.1.0:2:9559524:9560583:1 gene:fgenesh1_pg.C_scaffold_2000828 transcript:fgenesh1_pg.C_scaffold_2000828 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFTSSSIERYNDFSRLNPAIVGWHVHVKVLRRFHTDDYISKGGLGLLLVDDKGNQIEALICSPLTFHYSTFIEEDEFYAIMNFRVVENSGFTKLTRSDFKIMNAMLRCRLFGKVALTFYDTFKEQADGAVICVIRWGLIRCYGGDVAIHATDCTRIEINPDIRGVECFDEL >fgenesh1_pg.C_scaffold_2000832 pep chromosome:v.1.0:2:9580384:9581529:1 gene:fgenesh1_pg.C_scaffold_2000832 transcript:fgenesh1_pg.C_scaffold_2000832 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTMIPFTSDSCDGGYFRIASLNPNIGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNLDLSITDEMLCWNPENDQVPIITRKENAME >fgenesh1_pg.C_scaffold_2000848 pep chromosome:v.1.0:2:9807840:9808974:-1 gene:fgenesh1_pg.C_scaffold_2000848 transcript:fgenesh1_pg.C_scaffold_2000848 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFTSDPCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFDLRDTECIHLECRLTGDLAVEFYDLWKHRSRKTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >fgenesh1_pg.C_scaffold_2000849 pep chromosome:v.1.0:2:9830540:9836227:-1 gene:fgenesh1_pg.C_scaffold_2000849 transcript:fgenesh1_pg.C_scaffold_2000849 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTGYSNRGRRRLINLMRFRYNRKKGSGYFRERITMPFGGSLDVVFVDTGSLQQEVLGGALKSSRISQLKELTRILKAADGDWRIVVGSDPLLAYNLTKEAEEAKGIASTFDQIMTKYGVVEHCADAKVIYKFLELMLCTWEFKNKVKTAKEIFNLGISRFTDHGILNQNHVRTDELMKKQKKIVKSEKTSKGLKFEMFSEDIPSILDGDSLILGELYYLTKDKTDYAWYTTSIKIEDDDIPDQKGQKTILRVAGLGHTLIVYVNGEYAINLRTRDNCISILGVLTGLPDSGSYMEHTYAGPRGVSIIGLKSGTRDLIENNEWGHLVYTEEGSKKVKWEKYGEHKPLTWYKTYFETPEGENAVAIRMKGMGKGLIWVNGIGVGRYWMSFVSPLGEPIQTEYHIPRSFMKEEKKKSMLVILEEEPVAKMVPTSSPTKMINDLLNYKDGMVALRETQKP >fgenesh1_pg.C_scaffold_2000861 pep chromosome:v.1.0:2:9970150:9970949:-1 gene:fgenesh1_pg.C_scaffold_2000861 transcript:fgenesh1_pg.C_scaffold_2000861 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLDEKPCIGSSSYESEANSNSHGDLFFEYLEAAMPFGREPLTDKVPNIPNTIRSIVTKPRCLLFTIPLFINTLRGTSNEEGQSSSKSVIPSKLPLPTFGLACYKFKLSVWSPESDMDENQRVGTLLRTAEEWLKPLN >fgenesh1_pg.C_scaffold_2000868 pep chromosome:v.1.0:2:10104602:10108021:1 gene:fgenesh1_pg.C_scaffold_2000868 transcript:fgenesh1_pg.C_scaffold_2000868 gene_biotype:protein_coding transcript_biotype:protein_coding MHWIATRNAAVSVPKWRFLFRSSFHTSLKPSSPILLNRRNSEGIYCLRDRKSLKGITTASKKVKTSSDVLTDKDLSHLVWCKENLTDAPNHDLLIFLLCLKDGNLNWEMLQFKSRFPREVLLCRVGDFYEAIGIDACILVEYAGLNPFGGLRSDSIPKAGCPVVNLRQTLDDLTADTQRLFGGELIKENSLPPYHCIVEEVQGPTPARSRKGRFISGHAHPGSPYVYGLVGVDHDLDFPEPMPVVGISQSARGYCMISIFETMKAYSLDDGLTEEALVTKLRTRRCHHLFLHASLRHNASGTCRWGEFGEGGLLWGECSGRNFEWFEGDTLSELLSRVKDVYGLDDEVSFRNVNVPSKNRPRPLHLGTATQIGSLPTEGIPCLRSANLQAKDNMRKAAQ >fgenesh1_pg.C_scaffold_2000878 pep chromosome:v.1.0:2:10146491:10148693:1 gene:fgenesh1_pg.C_scaffold_2000878 transcript:fgenesh1_pg.C_scaffold_2000878 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGFFSAQRNAHRNANAGTTLKRRIDNNRGYGIGDLQEERNRYVPPQKRFRSQTQPQTNFRSGHIPLYHHHGRNNNNMSRVSSQSYNNYGVDVIASNSSFPLRNNDSNINNYQKPFIVGYGNPNPQIVPLPLPYRKLDDDDSLPEWVPNSRTLTPNFVPNTYVQNPMNHSNMVSVVSQTMSHHQPIVLSKELSDLLSVLRNEKEKETSEASKSDSLPVGLSFDNPSSLNVRHESVIKSLYSDMPRQCSSCGVRFKCQEEHSKHMDWHVRKNRMAKTTMRLGQQPQKSRGWLVSASLWLSAATGEGTVEAAKPSFGGETQKKKEEEKEQQQIVPADENQKNCALCEEPFEEFFSHEADDWMYKDAVYLTKNGRIVHAKCMPAPRPANDTREPSRVMSVTVPSVAKAICLDETEEDIHENLSRSASSVELNGDCVFNPSLSSSAGENDRKLGFRVVSEIRRFTIGN >fgenesh1_pg.C_scaffold_2000885 pep chromosome:v.1.0:2:10242597:10243750:1 gene:fgenesh1_pg.C_scaffold_2000885 transcript:fgenesh1_pg.C_scaffold_2000885 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTMIPFTSDSCDAGYFDIASLNPNMGEWSVSVKILNCWSVSRGSDRELNMILGDKHFTQIQAVVRDELIDNYFSRLIVDEWVSIKNFDVSRVNSILRPVPHRFKIVFRLDTLVQSINMCSSRTYFNLTEFVSILSSIVNPNICVDVVGKVVINVRELVFVPSVEHSHGGYFELYFGLRDTECIHLEYSLTGDLAVEFYDLWKRRSRNTVICIIRFVKLELSQERRWRCTNVSGCTRIMLNPNLSITDEMLCWNPENDQVPIITRKENAME >fgenesh1_pg.C_scaffold_2000886 pep chromosome:v.1.0:2:10249731:10250845:-1 gene:fgenesh1_pg.C_scaffold_2000886 transcript:fgenesh1_pg.C_scaffold_2000886 gene_biotype:protein_coding transcript_biotype:protein_coding MADQKPLMVWSEANNYESFLQEDIFSFLDQSLSIDPHSSFINPFKDFQTQTWFSFQDSIVNPISTTFAADHTFLASLDLEAISSTFSLDIMSGWWNDNNGNYNNQVEPILDDISRTNTMGDPKMEKILHEDVNTTIKEKTSQKRIIMKRRYREDGDINSLSREMMKQYFYMPITKAAKELNIGVTLLKKRCRELGIPRWPHRKLTSLNALITNLKDLLGNTEGKTPKSKLRNALELLEMEKKMIEEVPDLEFGDKTKRLRQACFKAKYKQRRLFSSSS >fgenesh1_pg.C_scaffold_2000890 pep chromosome:v.1.0:2:10281754:10282397:1 gene:fgenesh1_pg.C_scaffold_2000890 transcript:fgenesh1_pg.C_scaffold_2000890 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTPKTTSSNKRKADDAPPSAGSNVFEEESRPPGIKAMKAKRNKGKGKVGPALATDDNIWEKKEKDMAQREKLQKMSVYKTLLARSESLDEDEKVQEDMGLDSMYDYGINSPLDYSSEEEADSCYFGGPSPVW >fgenesh1_pg.C_scaffold_2000916 pep chromosome:v.1.0:2:10646822:10647721:-1 gene:fgenesh1_pg.C_scaffold_2000916 transcript:fgenesh1_pg.C_scaffold_2000916 gene_biotype:protein_coding transcript_biotype:protein_coding MALIIIRYIDPPVVNPVPEKAPKKAASKTVVQKYKAPEASSELFGVKGLEKNIEMLLPKSESDFLEYAKTISHGLKPFEKSYHYIALLKTIMRLSMTNMKAADTEDVASSITAIANEILKAEKQAVAGKKKMGGKKKQPIVDKPDDNLVAGPYGPMDDYDYM >fgenesh1_pg.C_scaffold_2000928 pep chromosome:v.1.0:2:10810231:10811832:-1 gene:fgenesh1_pg.C_scaffold_2000928 transcript:fgenesh1_pg.C_scaffold_2000928 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEASLFRLRRFRENYFGHDAFGLDLVLLVWLPRNSFIVRIQSNLRISRRVPYLVVKNKGDHKPLNVGAMLLITETKAPLGRVDGYFFGSVTNPHYIVRLADSEMQVPQGIGLSFIEEFTQHIEEEGLYKRFHYPTGYEYDLIEEEGECGPMEVLGRTSYHPSTS >fgenesh1_pg.C_scaffold_2000950 pep chromosome:v.1.0:2:11012756:11013855:1 gene:fgenesh1_pg.C_scaffold_2000950 transcript:fgenesh1_pg.C_scaffold_2000950 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSEGLRKGAWTAEEDSLLRQCIDKYGEGKWNKLPLRAGLNRCSKSCRERWLNYLKPSIKRGKFGSDEVDLLLRLHKLLGNRWSLIAGRLPGRTANDVKNYWTTHFGKKQEPCCTSKTRKRYITCSAASPAQKLSVIKPRPQSYNRCSQLIGQKEVELGEVSNKDGSITYNKDKFMNSLMDGESMWWESLLEESQVADGMGPVATTTNEADNFFINSMFSTLDVEQLWSLFNSDTREVDKVLYEFNNDNDQRI >fgenesh1_pg.C_scaffold_2000966 pep chromosome:v.1.0:2:11283987:11285508:1 gene:fgenesh1_pg.C_scaffold_2000966 transcript:fgenesh1_pg.C_scaffold_2000966 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVSEKRQESNLLLDFGFSCKREDEVHIGLCSESVVKMIQMDGGDRVRMTLLDRMSTVETNRSSSTGLTLEAILLADKNTTSPQPPSNNQSNRTLLDVMQRDHRHDDRHNRDKTTWETLREKLRLKRNATVWISSNPIPTLDNPIPTRDNVSHQLGFLLSTTGNVTEEVSSVEGRVQLGAVLAEERALSAREEETQLEREVEPARMSLMELLEENEGQISLVSVDGEAEEVAAAETVAVAEISCCVCMKEAKERRLFHVVIRFVGCVQESFEFKEETVLFVIQQF >fgenesh1_pg.C_scaffold_2000969 pep chromosome:v.1.0:2:11328558:11329667:-1 gene:fgenesh1_pg.C_scaffold_2000969 transcript:fgenesh1_pg.C_scaffold_2000969 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNIDHKAMEALLRGQGCANSLKILLENGEISSVSTEPLINTILDSFSLALSFVDSPNPPPYYEKLCGAQGLVNYRDDSPIPCPNDGFAWRKYGQKTIKTSPHQRCYYRCTYAKDQNCNATKRVQKIKDNPPVYRTTYMGKHVCTASVGHDDTHSSKMIQFDQVVSELVMPQLTTIDHQVITMEDKVTHYIMNQECDIDINDYLVGYDQIWVNEFPPFPSDDTMFLDNIAAFD >fgenesh1_pg.C_scaffold_2000992 pep chromosome:v.1.0:2:11634820:11635335:-1 gene:fgenesh1_pg.C_scaffold_2000992 transcript:fgenesh1_pg.C_scaffold_2000992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUB0] MVSSSSRGEMEKMGIDQLKALKEQADLEVNLLQNSLNSIRTATVRLDAAAAALNDLSLRPQGKKMLVPLTASLYVPGTLDEADKVLVDIGTGYFVEKTMDDGKDYCQRKIHLLKSNFN >fgenesh1_pg.C_scaffold_2000999 pep chromosome:v.1.0:2:11718959:11722883:1 gene:fgenesh1_pg.C_scaffold_2000999 transcript:fgenesh1_pg.C_scaffold_2000999 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPKRSRSSDDDSHDFKRQRIADPGRPSKSFTLENGLKVFVFSGGEESSAAMTVRVGSFADPPKIPGLAHVIEHMLFRGSQKFRGENELQDYVAKYDGGTNARTEFDHTTFSFEVDPEHFHGALDRFAHLFINPLMEPKRLEHEIDTVDSEFLLIKYSDADRLDQILAHTSYEDHPFKCFSWGNRDTLTKVPLASLRESALDFFNTHYRASSMILVIVLGSGSGDLDKIQSSVTEFFRDIPKGISPYTPEISRPWDSGKTYFLQSVENNQRVMITWRIPRESHQQNKVAKYVMQLFSEEREGSLSFFLKEKGWIWSLEVYTGGNNGFSADDEDPSAYSSTSFGQLFMLVLELTNEGLEQEYVLINHVYEYLRFLSLNTPPPYLMKEQKDLQDMRFRFLYSDDRLIDSLHVFADRLSANMLWCDADHALSQCFSDPTCDHSEINGFIKEFFTPANMRMYCLVKTLPEKEVPQIEPWFGTSYIEKEIPESCIEDWVGSRFSFPPENLFMPSNENLHGKLGSDDENDEEHDSASEDRDNESVEMDDEEMHDSAGEDSEDGDSDVDNTIKISNTIYYLSGNSSISAAYFYLSMPADHTMNLILVELLKYSLCPLQFTESGFNIPFLTQGRMAYIDCRVSLLDGNKLLLQFDGLHEKFKDFISKIWDKIKSFKPIQQHFKVIKEKLLLELHPRDISEHAKQLFMESLVEEKCKPVALDGVTFSDIQEYAADFSSNLRVHCGVIFGSISEETAKDIANLLDQPSLLLDQPSLAINTNVMALRVERTEDIPRNAFDRNSLTMVVYEIPCIGLSSFFSSLMAYGFERQLKIVENLGYQVDCSPQTEGIRGICFSVISPQYKPHYLLDRIYSFIREFKIEENSFAKYKELAINSLAEGSSIWHVLLSNEYSALLDARGRLASLTIEEARRSYDRLFLSTSSRKRVEVCISSPTGDINY >fgenesh1_pg.C_scaffold_2001001 pep chromosome:v.1.0:2:11747338:11748584:1 gene:fgenesh1_pg.C_scaffold_2001001 transcript:fgenesh1_pg.C_scaffold_2001001 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine:carboxyl methyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KUC7] MSPTPEWVMVGGEGPESYKQHSSYQRDLLKAAKDEINAVIAANLSLDLISSRFSVADFGCASGPNTFMAVQNIIDAVEEKYLRETGQNPADNIEFQVLFNDLSNNDFNTLFQGLPSSRRYYSAGVPGSFFDRVLPKQSIHIGVMNYAFQFTSKIPKGISDRNSPMWNRDIHCTGFNNKVKKAYFDQYSLDSKNILDARAEELLPGGLLLLLGLCLRDGIKMSETYRGIVLDLIGASLNDLAQKGVIEQDKVDSFNITLYTAEEDELRQIIEENGKFTIEAFEDIIQSNGESLDPKILAVSLKSAFGGIISSHFGAEAMRKAFELVEAKAHQEFSRLKNAKPAMQYLIVLRKN >fgenesh1_pg.C_scaffold_2001003 pep chromosome:v.1.0:2:11761206:11762226:-1 gene:fgenesh1_pg.C_scaffold_2001003 transcript:fgenesh1_pg.C_scaffold_2001003 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKLPRELEEEILSRLPPRSLVRFRSVCKHWKHLFNDKRFINNSLARARPQFIILTKSKIYSTIEVNELASELHFEAKKSTYTRITACDGLLFRHFWMQGVTIWNPCLRQELGYDTTKPEKGYKILGYFDSLDWPMLAGLGEYVSLNGNLYWTSYNEETREYFLGSFDFSTEISMRFCLPPCGKHVSGSLYKLVLTVFKGDRFALLKQSRISRNTEVWVTKEKINNCNKDDVVWLNLMTLSIPNFPSLFNQFSGIRYFIYDKTLIMCCGADQTGVACIFIARGDMCKKIQIGSGFDHFSHCVYLPNLISVPSEFKSVQV >fgenesh1_pg.C_scaffold_2001004 pep chromosome:v.1.0:2:11768613:11775845:1 gene:fgenesh1_pg.C_scaffold_2001004 transcript:fgenesh1_pg.C_scaffold_2001004 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase [Source:UniProtKB/TrEMBL;Acc:D7KUD3] MATDSSGVTISGNFSNGENAETLNLDTTELYSSAISSVSTQSPPPKPPFSSLSIPQSKRIPKTNFIVDLFRFPQHSSTSVAFFLSHFHSDHYSGLSSTWSKGIIFCSHKTARLVEEILQVPSQFVFALPMNQMVMIDGSEVVLIEANHCPGAVQFLFKVKLENGGFERYVHTGDFRFCDEMRFDSFLSGFIGCDGVFLDTTYCNPKFVFPTQEESVGYVVSVIDKIDGECVETKKKVLFLVATYVIGKEKILVEIARRCKRKIVVDLRKMSILGILGCGESGMFTEDVNESDVHVVGWNVLGETWPYFRPNFVKMNEIMVEKGYDKVVGFVPTGWTYEVKRNKFAVRFKDSMEIHLVPYSEHSNYDELREYIKFLKPKRVIPTVGVDIEKLDSKEVNKMQKHFSGLVDEMANKKDFLLGFYCQSYQKNEKNDVDVVSGLAEVYAGDDKNACEDGGDNVPSSRGPLLHDTAPSSDSSVTERLLVELRDSLPAWVTEEQMLNLIKKHAGNPVEIVSNFYECEAELYKQSSLPTLSLENQPVLFDDDVTDLQPNPVKSTCPDVQAVQEGFDLPKKMNLTKGTISPGKRGKSSGSKSNKKAKKDPKSRPVSPRQPTIFKFFNKVLDSGSNSVGVGSETEECNTDKKMVHNDATEAYKEVTDQFIDIVNGSESLRDYAASIIDEAKGDINRALNIYYSNPIPGEGGLSSKSIQFSHCPEACSSQEGKKASEKSGHAVNICVQSSAEEIVDKNYVSLPPEQYKPKEHACWRDGQPAPYIHLVRTFASVESEKGKIKAMSMLCNMFRSLLALSPEDVLPAVYLCTNKISADHENIDLNIGGSLISSALEEACGISRPTVRDMYNSTGDLGKEKSIPSQLTYGDVAQLCRQTQKLLVPPPPLLIRDVFSTLRKISVQSGTGSTRQKKNLIVKLMRSCREKEIKFLVRTLVRNLRIGAMLRTVLPALGRAIVMNSFWSCHNKELSENCFREKLEGVSAAVVEAYNILPSLDVVVPSLMDKDIEFSTSTLSMVPGIPIKPMLAKIAKGVEEFFELFQDKAFTCEYKYDGQRAQIHKLLDGTVRIFSRNGDETTARFPDLVDVIKQFSCPVAETFMLDAEVVAIDRKNGSKFMSFQELSTRERGSKDALVTTESIKVEVCVFVFDIMFGNGEQLLALPLRERRRRLKEVFPEIRPGYLEYAKEITVEAEEASLNNQDTLSRINAFLGEAFQSSCEGIMVKSLDIDAGYFPTKRYDSWLKVKRDYVDGLGDTLDLVPIGAWHGNGRKAGWYSPLLMACFNPETEEFQSVCRVMSGFSDAFYIEMKELYSGDKILTKKPPYYRTGETPDMWFSTEVVWEIRGADFTVSPVHSAALGLVHPSRGISVRFPRFIRKVTDRNPEECSTAADIAEMFHAQTRKLNITSQH >fgenesh1_pg.C_scaffold_2001018 pep chromosome:v.1.0:2:11899178:11901309:1 gene:fgenesh1_pg.C_scaffold_2001018 transcript:fgenesh1_pg.C_scaffold_2001018 gene_biotype:protein_coding transcript_biotype:protein_coding MYNCPTSCLVLFFLFSLNPLTCSSSIQELRLCETLFQCGNITAGFPFWGGNRRKQCGVPSLELHCIKNITSLFISSQEFYVLHLNQTSNTLTLSRTDLLGSICDSTFTTTTLPQENFELSPTYKSLTVFYYCFPYTHYLSEYICPMRGSIFMSQNPDQYHTICGDTFTVYVPMSFVARKEFNMTNLESVLSKGFEVKVKIDDISCQECLYSHGSCGFNETFPLGAKCSPLNPPPPTSNKCESLFQCGNITAGFPFWGGNRHKHCGHPLLELRCNQYNSTSLFISDQEYYVLHVDQTSYNLTLARDLLDFFCSFTFTNTTLPSEIFEISSTYKSVTFYHHCEPYLPYLSKYTCPGIGPPITVSGNPEYHESCLASFTTNVPKNFLLEEKELNMSNLERVLRKGFEVKVKIDEKACQECLSSHEHCGFTETFPFEVKCTPPHRQNGKFLMHQASL >fgenesh1_pg.C_scaffold_2001046 pep chromosome:v.1.0:2:12196334:12197344:-1 gene:fgenesh1_pg.C_scaffold_2001046 transcript:fgenesh1_pg.C_scaffold_2001046 gene_biotype:protein_coding transcript_biotype:protein_coding MWISKEGNDQVGVICNPSTGQYAILPPEPSRSCRGILGFDPICKQFKVLVLNNPDIQHILTLGTKNVRWRSMQRSLRYVPREQRPICINGVLYYIAYDLQDSSYDVIGCFHVRFEKFKFLHVNYDMIDSFCVLVNYKGKLGGIYWEYADDGGFPLELSMWVLEDLEKNEWSEYAYTLKADNSVVKVNYNLSVVGVTARGEIVLAKQYACKPFYVFYFNPERNTLLSVEIQGVGEDHRVCVFVDHVEDLHQFDNMKTSISLPQQKLKPTSTSTSTSSKNNHQVMTSILSRKYHQARTSTSSRKNHQLRTVSHPQQDRCTSNKFSALCLLDDDEFSGV >fgenesh1_pg.C_scaffold_2001054 pep chromosome:v.1.0:2:12282796:12285075:1 gene:fgenesh1_pg.C_scaffold_2001054 transcript:fgenesh1_pg.C_scaffold_2001054 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIFSKKKSHSSMIHFTFFVKHFEELFAQTKYKEAAELAADSPRGILRTPDTIAKFRNVHVQAGQNHPLLQYFATLLTKGKLNAFESLELSSLVVNQGKKNLLENWLADDKLECTEELGDLVKTVDNDLALKIYTKARTTPKVIGKIPIYSKQDAPPQHQVFINFRGEQLRQNFVSHLVEALRRNAINVFIDNQELRGEDISILLKRIEDSRIAIVVFSSRYTESRWCLREAVKIKECVEQDMLKVLPIFYKVTTTGVKQLKGEFGDHFRDREWEYRFDKPRIERWKEALAFLSGKLGLTFDEKSSESDFIESIVKEVLRLLASIPSTV >fgenesh1_pg.C_scaffold_2001059 pep chromosome:v.1.0:2:12316063:12317185:-1 gene:fgenesh1_pg.C_scaffold_2001059 transcript:fgenesh1_pg.C_scaffold_2001059 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNDGNNNGAYPLSLYLSSLSGHQDIIHNPYNHQLKASPGHMVSAVPESLIDYMAFNSNNVVNHQGFEFPEVSKEIKKVVKKDRHSKIHTAQGLRDRRVRLSIGIARQFFDLQDMLGFDKASKTLEWLLNKSRKAIKEVVQEKNLNNDDEDFGNNGGDVEEEEEEEEDGDKSFLYGSSPDSCGEKLVCEVKKAEKRKKKSEVSNMSSEGSRAKARGKAKEMAYDHPETVSDITQSEIMDPFNRSIIFNEGEGMTHSFYNEAIEEFDIQESILTTRRFNLPANMGESYNQCNGTFMLIDQGSTSNYNAIDLPQILDYNYDQNPFVDQSFCAVTDTNFPRGFP >fgenesh1_pg.C_scaffold_2001076 pep chromosome:v.1.0:2:12461198:12463010:-1 gene:fgenesh1_pg.C_scaffold_2001076 transcript:fgenesh1_pg.C_scaffold_2001076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7KV31] MYGKTICTIVFFIFLVASFSIYMGTVDPRPYFYLLQSQPNVASPCSSTGKPLRVFMYDLPRKFNVAMMDPHSSDVEPLTGKNLPSWPQTSGIKRQHSVEYWLMASLLNGGDDDNEAIRVFDPDLADAFYVPFFSSLSFNTHGKNMTDPDTEFDRQLQVELMEFLEGSEYWNRSGGKDHVIPMTHPNAFRFLRQQVNASILIVVDFGRYAKDMARLSKDVVSPYVHVVESLNEEDDDGLTDPFEARTTLLYFRGNTVRKDEGKIRLRLEKLLAGNSDVHFEKSVATTQNIKVSTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCIPVIISDKIELPFEDEIDYSEFSLFFSIKESLEPGYILNKLRQFPKEKWLEMWKRLKNVSHHFEFQYPPKREDAVNMLWRQVKHKIPNVKLAVHRNRRLKVPDWWI >fgenesh1_pg.C_scaffold_2001088 pep chromosome:v.1.0:2:12542211:12544008:1 gene:fgenesh1_pg.C_scaffold_2001088 transcript:fgenesh1_pg.C_scaffold_2001088 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSTVPISSKFFVLVIADFFRVLTNVGVVARSQEAIKMVQPLLLILLRQDLDFQGQLGGLQGIANILEKPMVLESLKMASSAIIMPLIPLLESESIAVQNATTELLTSLLEMQRFQEEITTKNLIAPLVKLVGIRVRNLQEIALMGLEKSSVTWTKEVADAGGIQELSKSTVILAIDTLIIHANQDSSSVQEMAEAGALDALLDLLRSHHCEELSARLLELILRNPKVRETKICQFVLTPLSEYILGLDTVSESAKILIAMALGDISQHEGLAKATDSPVACRALISLLEEEPSEEMQMVVIRALGNFAMHSRTSRKAMAEAGGVYLVQEMLKSCNPQVSTQAALIIKSLFSNHTLQEYVSGEIIKSLTNAMEREFWTTAAIPVLQLMMKSKSKSKSPAPSSFHERGNSLLNCLPGSLTVAIKRGDNLKRSNAFCRLIIDNCPTKKTKVVKRSSSPVWKESFTWDFEIVCKSNNILSLSQNQ >fgenesh1_pg.C_scaffold_2001098 pep chromosome:v.1.0:2:12613681:12616928:-1 gene:fgenesh1_pg.C_scaffold_2001098 transcript:fgenesh1_pg.C_scaffold_2001098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KVL5] MAAGRNLRYPDHELRDQESNSRFSRRDSAYSNEDYDHVRNGALDNEKGRVNNLRHVDSGKDRDRFRPGARKQENEMVNSGFRLSKSNPGSREVLLDRGPKRCVFSARSVDREPGELSSESGSDDLIESESLVKNNGGVKEVENRVQSPVEKKRKFSPIVWDRDDHDRSNLSRNEKPVDVTPLPPPPPLIKRSSQSPSVSCGGNSHFSPAKSNMHQDPVEVGVSAVSVIALSPSVEMSSLCVVEQSSNAGQDGKQEDATHLEEEENMPTRHISSSRWAAGNSSPTDEREIVEEVGASKRRKKPFPVQGRLRNKSQTPEVGELVREGYRSSDSDERGHHSLPGSRDDFEEKDAVKGDKMEIDEEEHRRGNTSDSLSETDSDDEYGRHETPEPASTPLRSINMLQGCRSVDEFERLNKIDEGTYGVVYRAKDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKALMETMKQRFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLMKAPLFNGKTEFDQLDKIFRILGTPNESIWPGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGAPVLSDAGFDLLNKLLTYDPERRITVDEALKHDWFREVPLPKSKDFMPTFPAQHAQDRRGRRMVKSPDPLEEQRRKELTQTELGSGGLFG >fgenesh1_pg.C_scaffold_2001123 pep chromosome:v.1.0:2:12749321:12750856:1 gene:fgenesh1_pg.C_scaffold_2001123 transcript:fgenesh1_pg.C_scaffold_2001123 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRSEENITTLSSFMANTLSIASPSISSPLLIPMVSPLKTKVSPLKRKVSPLKRKRPPHLDIPDLEPTSTDYFSVRDFAQQNDAVVCFGGNGFGVVSRNGKKKFMEDTHRIVPCLVGSSKKSFFGVYDGHGGGKAAEFVAENLHKHVVEMMENCKEKEEKVEAFKAAYLRTDRDFLEKGVVSGACCVTALIQDQEMIVSNLGDCRAVLCRRGVAEALTNDHKAGRDDEKERIESQGGYVDIHRGAWRVHGILAVSRSIGDAHLKKWVVAEPDTRIIELEQDMEFLVLASDGLWDVVSNQEAVDTVLHILAQRKTPRESEEENLVQGVVNVSPSSKLRRVSLVKSSVQSPRCAKSPSYYYNSENESPSPHCEIGSSPSNSMKIAQLKRMKMKSESSWAKEACKELANLAVKRGSMDDITVVVIDLNHYKS >fgenesh1_pg.C_scaffold_2001138 pep chromosome:v.1.0:2:12832579:12834917:1 gene:fgenesh1_pg.C_scaffold_2001138 transcript:fgenesh1_pg.C_scaffold_2001138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVR5] MERTKRWGKKKKKRESDEVNLGWSCRNHIELKGTVTKVCLSHHVSHSIYICPILAKESLSFDQTTSTIVEEHTISVNVSTIEKVPTSTTFVSIESPLQRRAQISSVTAHAHANATVHRERAKSADHNLGSNQFASLVSSDEEEDLSDSDNESDSMDLMTHSDKESFERGRLNHQKRLRRCMDKPHVVERAEAVGNEVVVANCLLSNIIE >fgenesh1_pg.C_scaffold_2001143 pep chromosome:v.1.0:2:12859731:12862306:-1 gene:fgenesh1_pg.C_scaffold_2001143 transcript:fgenesh1_pg.C_scaffold_2001143 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPEELAEEEDMRLCGFGPCLHDHDHESSSTLTGLALWLNALGCSLLVSLASLICLVLLPIMFVQGKPSKGFVDSLALFGAGAMLGDAFLHQLPHAFGGGHSHSNDHHENHAHHDHSHSDSPSHSHSIQDLSVGLSVLAGIVVFLLVEKLVRYVEENSSGSNTWGHHHHHHHAGSKKLKDEDDHNNLDQKSSSDEIVNSSEKVSSGSTDGSLRKRKSSAGDAADKSDSGTEITSHGKSDKPEQVETHSSSLVFGYLNLFSDGVHNFTDGMALGSAFLIYGSVGGWSRTMFLLAHELPQEIGDFGILVRSGFTVTKALFFNFLSALAALAGTALVLIWGNEPGQSSLIEGFTAGGFIYIAVAGVLAEMNNSGKSTLKKSACHLISLILGMSVALCISLIE >fgenesh1_pg.C_scaffold_2001149 pep chromosome:v.1.0:2:12891254:12892413:1 gene:fgenesh1_pg.C_scaffold_2001149 transcript:fgenesh1_pg.C_scaffold_2001149 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLKSDSLCDRFVTGNLDLDNWIWVIEYFAKFKTELWMLNDVFEMAPKLPDYLGEYTNEMVAFRCLASLFASDLVSEADSKIEFDSSESCEYVLQCILDEIPLSELKPGAPGLSKWNLQPFIKSKLLCLPKCVLELMIEPSSSENDTEMSPCNEEETLRSDGKETDLTGRTEDGQSFSRGADEISRSHELLQRRNEGYRYEKDGHLSSLAEKIYTCVKCKESGKLLFCSSDGCQVLVHQKCLDSPPAYDDAGNFYCSLCAITCASAEYVQSQDEVAKAKKKLVSFLRLMSEVNKKKSIEGT >fgenesh1_pg.C_scaffold_2001171 pep chromosome:v.1.0:2:12989522:12990549:1 gene:fgenesh1_pg.C_scaffold_2001171 transcript:fgenesh1_pg.C_scaffold_2001171 gene_biotype:protein_coding transcript_biotype:protein_coding MENSMKKKNFTKESEEAELRRGPWTLEEDTLLTNYILHNGEGRWNYVAKCAGLKRTGKSCRLRWLNYLKPDIRRGNLTPQEQLLILELHSKWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKQARQLNIESNSDKFFDAVRSFWVPRLIEKMEQNSSTTTTYCCPQNNNNSLNLPSQSHDSMNMQKDIDYSGFSNIDGSSSTSTFMSHLTTVPHSMDQNNTNIIDVSMCFHEGNVQELGGYVPGMEDYMVNSDISMECHMADDYSAYEDVTQDPMWNVDDIWQFRD >fgenesh1_pg.C_scaffold_2001190 pep chromosome:v.1.0:2:13114259:13115936:-1 gene:fgenesh1_pg.C_scaffold_2001190 transcript:fgenesh1_pg.C_scaffold_2001190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7KWC4] MTYTKRQIKINHWPEKKDKEKEKYPKNSETIKLIVLTLLLSFSICFLFLILHFPFTTEFTASIPRKCYHNFTVYVYDLPKEFNIGILQNCRHLNIYTNMCPHVANNGLGQPLYRSGRTSWFATHQFIAEMIFHARVKNHPCRTCEPNNADIFYVPFYGGLYASSVFREQNLTNRDELAVRLVDYISGQRWWKRSNGRDHFLAIGRTAWDFMRSSDTDDFGANMLMQMPRVKNMSVLTVERQPWKGDNHFGIPYPSYFHPYTSAEMVTWQDKMRRVDRPNLFSFVGGPRKGLEKAAIRDKLIKQCAESSHCELLKCENGGSRCHDPMTVLGVMARSRFCLQAPGDSYTRRSTFDAMLAGCIPVFFSPHTMYTQYLWYLPDDKRSYSVFMDEKNNTHIEQELLRISESEVVQMRETVIDLIPSVTYAHPNATNYDLPDAVDVALEALAKQARDKVVVSL >fgenesh1_pg.C_scaffold_2001195 pep chromosome:v.1.0:2:13175366:13179854:1 gene:fgenesh1_pg.C_scaffold_2001195 transcript:fgenesh1_pg.C_scaffold_2001195 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLRQIRCFNLLRSKGIPQRVKASSTGEHLNVLAVESSYAHYVLEISAQTKAGKDSIEALVQPLENAGRILQEIVSYQKQIEDLEYKLDFRGLWAKTMEEIQSELSTLHSSNLPRWVSKRLKTVGSTVKQETISQLKSSVWKEKLEVPGGKEKNGQVHQQVIEIITDISSTAEKFLRSVSYFALQLYKIMKENKNPKVFSEGLLWMASVIDDFDIRKDVVMQSNIAATRNSRIKLLGALNKFNGSGDYEFHNERFRNDVKHALLSELEAKCVVIAMGPAIEKASKECPRDNLRQMRECTLTACDSFLGVGKISKCVRKSGMMHDRDLESQWTKNCMARVLKGVSKISKSTSNGKLKQGNRGITLKDARDQIQSMESYSRRVGRGKNFFMLHFKVFEESGKIYVSLLRVKKLTQTPNTQTTTTTHENSQHNHRRIDKNSTN >fgenesh1_pg.C_scaffold_2001214 pep chromosome:v.1.0:2:13316993:13321178:1 gene:fgenesh1_pg.C_scaffold_2001214 transcript:fgenesh1_pg.C_scaffold_2001214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein [Source:UniProtKB/TrEMBL;Acc:D7KWF4] MFNTYTNSVLWPIRSRNHQDYCSLLTERSESYQLSKASTSSRCYCVSSRSSCCCCCSTPSSSSFVKPKVLINPGFVLYGVRQSTLIQWPSFQRRLLVGGGRLMGCEERYDCEHCGRIKKRSQLESESRRGSKLVTGEYIEEREVRPRRTKSLSCSSYYSLASSREFESDTENQEEDVEISREKVRRSKKKIVDQSAKGLKSRKEASLMHLRKKRDESSNGVDSRYQKQVFEEGENSNQAVTLNQRRRKKFSQTGNRVSESTGNYEEDMEIHEIHVNNAETSSQNQKLIGEREDYRVHSIRNDSGNDNIESSQEQLKERSETRYSSEDRVSGLRRRTKYSSSQEEGINVLQNFPEVTNNQQHLVEERISKQAGRRRTTEHYSESSEIHDIDIRNTYVSQSEDQISNQEIHAGLVSGLQSERKQQDYHIEHNPLQKTQSDRTSVSVSHTSDAVRYTEIQRKSEKRLIGQGSTTIVQSDSKVEKSGAWKEGSRLDQANSKQEDQTTLGLQSYQSKLSEEASSSQSSLMVSRNKLQLVDLVSEEMQGSETTLIPPSSQLVSRRSGQSYGTGGVSIQEISHGTSEIGNPTAFEHPRAGASSVNSQSAGQLMGFTSHEDAMGSAHRLEQSSEKYVGEFLKRATHEVINPETEEQRAESNQLKRRDSRRSSGGSGAKGPSDEMWVTDSAQGTPHSGATEGNAAEGNVVFKRNGRSLWNVIADIARLRWGSRAGSPDSSAKPAEKSSPNESVSSATWFSGREHDGSSDDNTKGDKVLPQEAPSLNQLEVGQTSPRSQFEYSGTTKLKQRSEQHEGVVSSPSSTILEGGSVSNRISSTSGNQIVGVDEEEGGNFEFRLPETALTEVPMKLPSRNLIRSPAIKEPSESSPTEAPSDQNVTVGEGRRYPGMDADSALIQRKLQRNKQVVRDSFEEWEEAYRVEAERRTVDEIFMREALVEAKKAADTWEVPVGAVLVHDGKIIARGYNLVEELRDSTAHAEMICIREGSKALRSWRLADTTLYVTLEPCPMCAGAILQARVNTLVWGAPNKLLGADGSWIRLFPGGEGNGSEVSEKPPPPVHPFHPKMTIRRGVLESECAQTMQQFFQLRRKKKDKNSDPPTPTDHHHHLPKLLNKMHQVLPFFCL >fgenesh1_pg.C_scaffold_2001228 pep chromosome:v.1.0:2:13420386:13422112:1 gene:fgenesh1_pg.C_scaffold_2001228 transcript:fgenesh1_pg.C_scaffold_2001228 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQEPLEIHQHDFAPSDSHLENDDEVMCFREVSYSDLKTATKNFSSDEIVSEKAEESSNIIYKGESQSSGSIAVKYFKNMAWPYRDQFAEEARKVGKIRHKGVVKMIGCCCDGDERFLVAEFLPNDTLAKRFLQRFTSYNNLNAYTVPFDEVKLNEPFDAYICLLIIQGSVVNPESMTYRFGYFLLTLLTGKQIPLDLRASSQCLQYNDRLNITELTAKLELLQNKAQEEGASSSPQEQLAPLQRPPTKPATRVAGFRRSVPRREQKTTPIQSDEEIQAQNREKLEQMQMREVQKAKNQLEKPKAKNKMGPSKPGQSTKPRRNQF >fgenesh1_pg.C_scaffold_2001237 pep chromosome:v.1.0:2:13470707:13474072:-1 gene:fgenesh1_pg.C_scaffold_2001237 transcript:fgenesh1_pg.C_scaffold_2001237 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7KWW8] MEIQTAESNVVCSIDIFESLSDSVDVAKNLVEKSQESNGAESTTDLRSIEAGFEGVVKQMGETLQSIPESTFDEEEYIGVVIQSLSNEMQNANIGDGSKSEMIQNGQQKISAKHSPEIVSEQMEEDLYPTDPEFSYESYMMYSESQSMTEIPDIPSQSTYVSRQRKHGNHSESQSQVTEIPDIPSQSTYVSSQREHGDLSKSQSQITEIPDIPSQSSNASSQRKYGILSESLSMLPQVTQFMEPPYQAFICPLTKEIMDDPVTTETGVTCERQAVIEWFDSFGNSDEINCPVTGQKLTTELSENVVLKTIIQEWKVRNEAARIKVAHAALSLGGSESMVIDAFRDLQMTCEGKQYNKVRVREAGIIQLLDRYLTYRSKDVRYELLRLLRTLADEDTDDGKEMITKTITMSCIIKLLGSSHQPVRHAAQALLLELSKSQHACEKIGTATGAILMLVTAKYNRELDAFASETSDKILRNLEKCPENIKQMAESGLLEPLLGHLAEGSEETQVAMAAYLVEIDIGHEKKTYVAEKACPALIGLVQSENTDARRAAFKALAHISLYHPNNQILVEVGIIKIMVEEMFTKRVFSDLMNSRNEAATILANILESGLEHETFEVNTHGHTLGSDYFVYNIIQMLKNSSPDDLNINLIRILLSLSKSPRAMATIVSVIKETDASFAMIELINNPHEELGVGALKLLIALTPYIGHTLSERLCKTRGQPENLIQCPVEANQITEKHAVSAKLLAKLPHQNLTLNLALVNESIVSEILHAIHLIQRSGTRTSRYATDFLEGLVGILVRFTTTLYEPQMMYLARNHDLTSVFVDLLMKTSSDEVQRLSATGLENLSSTTMTLSRPPQPRSTKFMGSLSMPRSFSLRSSKKKQIEICAIHRGVCSAKNTFCLVEANAITKLLACLQSDKVEVVESALAAICTLLDDKVDVEKSLSMLSEMNAVQLILNAVKEHKKESLLQKAFWMIDKFIIRGGDKYASEISQDRMLSGMLVSAFHRGDGNTRQMAENILRRLDKMPSFSTYIT >fgenesh1_pg.C_scaffold_2001247 pep chromosome:v.1.0:2:13555235:13559187:-1 gene:fgenesh1_pg.C_scaffold_2001247 transcript:fgenesh1_pg.C_scaffold_2001247 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDNKTKKKPNKKGERRMGPDAVAMKAKTQKVDNPFELIRSRRKFDILGKKRKGEERFVSVSRTRAVDKRKNTLQKEYEQSLKASVFLDKRIGEHDDELGEFDKGIIRSQRQRQLKLAKKSMYNLSDGEEDIYEDGALGGSSVRDDFDSGLLSDEDLQDDDLEASASKRLKHLNRNRQVDASGEEERHKSKKEVMEEIIMKSKLGRMEKAKQKEEKGKLMDELDENFKSLVNSQAMESLTKPFDVEEDTRNPYVLMLNDMAMDIRARPSERTKTPEEIAQKEREKLEALEEERKKRMQETEELSDGDEEIGGEESTKRPRVISGDDLGDSFSVEEDKLKRGWIDDVLEREDDVDNSESDENDSSSEDSESEEKEDDESDGGDEKQRKRHHLEDWEQSDDELGDELEDEEEDDDEEDDEPRVHKKLKNDYAAPNKGEGLSGTVKQKTNMKKLSSTQRDIPFMIDPPKNFEELLALVEDCSNEDVILIVNRIRIAHSIKIKAENRKKMQVFYGVLLQYFAVLTSKKPLNFDLLNMLVKPLIEMSMEIPYFAAICARQRLLKTRAQFCEAIKNPEDGCWPSLKTLFLLRLWSMIFPCSDFRHAVMTPSILLMCEYLMRCPISSGRDIAIGSFLCSIVLLVAKQSKKFCPEAILFIRTLLMAASDKKSPSSAESEFYHFMELKSLTPLLCIQDNVKEVMPLNFLKIMNEPADSPYFSSDDFRASILSSVVDTLGGFVETNGGLSSFPEIFMPISTLLHQIGNQEKIPQTLKEKLEDVAKLIETKTDEHHKERKPLSMRKHKPVAIRMVNPKFEENFAPGRDYDPDKYRSDLKKLKRKLKQEAKGAVRELRKDSYFMSSVKAKEKAAHEQERAEKHGKAWAFLQEQEHAFKSGQLGKGKGKKRRR >fgenesh1_pg.C_scaffold_2001253 pep chromosome:v.1.0:2:13625059:13626470:1 gene:fgenesh1_pg.C_scaffold_2001253 transcript:fgenesh1_pg.C_scaffold_2001253 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSWSYGRSVFMSNETLLPFDSFAENRRFEHRFSNELRNDNDDVLISDMAGNSNGFSAVSITKVVPEEEEEENQSSSSKLSSHELNRIDFKLRSILDLGNDDDTSSRGFALPAKKSRASNLCSQNPLCQVYGCNMDLSSSKDYHKRHRVCEAHSKTSVVVVNGLEQRFCQQCSRFHFLSEFDDGKRSCRRRLAGHNERRRKPAFYFLPGKRHKLLRTSQGVVGNKFLENPSLVLPESFPGSLLYRVIDDDDHRASRLVSFKDEPTCSMFPAIGQNSSRTYESKPAIYSAEVSSIWDLHETAVSRSTCALSLLSAQSQQHLSQIPNTTFSITQPNQNPNHPSQVDYHEMEPLWIDPGKTNSAGSSSCKGKGPSTVDLLQLSSHLQRIEQQRNYTGDVKQEYNELYFPGS >fgenesh1_pg.C_scaffold_2001263 pep chromosome:v.1.0:2:13671146:13675179:-1 gene:fgenesh1_pg.C_scaffold_2001263 transcript:fgenesh1_pg.C_scaffold_2001263 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKTLTIISRRHFSSSSPESPSLYSFLKPSLFSNKPITLTPSLSPPQNLKTLTQEQKSSFESTLHDSLTTHNTDEAWKAFRSLTAASSLPEKRLINSLITHLSNTEESGENTAHRLKRAFASAAYVIQKDPILLEFETVRTLMESMKLAKAAGPALALVKCMFKNRYFVPFDLWGHLIIDICRENGSLAAFLKVFKESCRIAVDEKLDFMKPDLVASNAALEACCRQLESLADADNVIESMAVLGVKPDELSFGFLAYLYARKGLREKISELENLMDGFGFASRRILYSNMISGYVKSGDLDNVSDVILHSLKGDGKESGFGEETYCELVKGFIESKSVKGLAKVIIEAQKLESSSIDADSSVGFGIINACVNLGFSDKAHSILEEMIAQGGGSVGIGAYVPILKAYCKEYRTAEATQLVTEINSSGLQLDVEIYNALIEASMTNQDFISAFTLFRDMRETRVGDLKGSYLTIMTGLLENQRPELMAAFLDEVVEDPRVEVNSHDWNSIIHAFCKSGRLEDARRTFRRMVFLRYEPNNQTYLSLINGYVSGEKYFNVLLLWNEIKGKISSMEAEKRSKLDHALVDAFLYALVKGGFFDAAMQVVEKSQEMKIFVDKWRYKQAFMETHKKLRLPKLRKRNYKKMESLVAFKNWAVCKIVLSFNLVYRQRRIVKRRLLTEGAIAAMETNHKKGKTRKAYHLKKHRKSDNNKSFDPRPTIKEVNDEKPVLFQLGSIASYGVSDVRLEADPEITTRRSTSLSLSSLSPTSSGDILEINAKERKSSLSFDSSVHNKELLSLSSKLCELQGSSDTPGSQVSGVTHASVEPLLMSPSVQMMDREGSDQPQRNSLPTLEKNLSTLSNDSLFSLSIGDNAIARDELFSYRDFKSGEITKSGELLSFCPAIHGPADSSDLGKSFDMEDKASGECEDKSSNSNVSWRNIGDCNNSDEAPSSTQSFSYPITKKKKKKKKAIKKKMTQQQQQKKRCSWLCCKNTGPCFSCCRWPNGQVVITILLVAGSSAAIGAVGVAVPGRLLLPDSHDIGLL >fgenesh1_pg.C_scaffold_2001268 pep chromosome:v.1.0:2:13696333:13702332:1 gene:fgenesh1_pg.C_scaffold_2001268 transcript:fgenesh1_pg.C_scaffold_2001268 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPTAATIRGGTPTESGDYVVTLDQIPRWSDVEQRSSLEGETGDPAHSNPRYANPLASSSEAGSSGNGMVSKFPVDHEINSRIYLWRGEPWNLEVDAVVNSTNENLDEAHSSPGLHVAAGPGLAEQCATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIDSGLQSIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKISAVVFCTTTSSDTEIYKRLLPLYFPRDEHEEEVAISKLPADVGDENGETVIDERKIRIQALPNKPSPRSFPTPLERPSTDLTLLRRNSNHLDSYLDPAFMSLIKDPDERRKEQWEKTAQAQSGFNFVKLLGFGDLGGPPLSAAEEYSLHSRYLAKANSLNLSEIAEMKIVYRGGVDTEGHPVMVVVGAHFLLRCLDLERFVLYVIKEFEPVIQKPYSIVYFHSAASLQVQPDLGWMKRLQQILGRKHQRNLQAIYVLHPTFHLKATILTMQFFVDNVVWKKVVYADRLLQLFKYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYLKMTQYMPLFRSCSSLRLVSQLHAHLLVTGRLRRDPLPVTKLIESYAFMGSPDSSRLVFEAFPYPDSFMYGVLIKCNVWCHLLDAAIDLYHRLVSEKTQISKFVFPSVLRACAGSREHLSVGRKVHGRIIKSGVDDDAVIETSLLCMYGQTGNLSDAEKVFDGMPVRDLVAWSTLVSSCLENCEVLKALRMFKCMVDDGVEPDAVTMISVVEGCAELGCLRIARSVHGQITRKMFDFDETLCNSLLTMYSKCGDLLSSEKIFEKIAKKNAVSWTAMISSYNRGEFSEKALRSFSEMLKSGIEPNLVTLYSILSSCGLNGLIREGKSVHGFAIRRELDPNYESLSPALVELYAECGRLGDCETILHVVGDRNIVLWNSHISLYAHRGMVIEALCLFRQMVTWRIKPDSFTLASIISACENTGLVRLGKQIHGHVIRTDVSDEFVQNSVIDMYSKSGFVNLACTVFDQIKHRSIVTWNSMLCGFSQNGNSLEAINLFDYMYHSCLEINKVTFLAVIQACSSIGSLEKGRWVHHKLIVCGIKDLFTDTALIDMYAKCGDLNTAETVFRAMSNRSIVSWSSMINAYGMHGRIGSAISTFNQMVESGTKPNEVVFMNVLSACGHSGSVEEGKYYFNLMKLFGVSPNSEHFACFIDLLSRSGDLKEAYRTIKEMPFLADASVWGSLVNGCRIHQKMDIIKAIKNDISDIVTDDTGYYTLLSNIYAEEGEWEEFRRMRSAMKSLNLKKVPGYSAIEIDKKVFRFGAGEETCFQTEEIYMFLGNLQNLTLEEDYSQINE >fgenesh1_pg.C_scaffold_2001278 pep chromosome:v.1.0:2:13754125:13757120:-1 gene:fgenesh1_pg.C_scaffold_2001278 transcript:fgenesh1_pg.C_scaffold_2001278 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSALLMSVGINSCLCVLFFILYSVLRKQPRNYEVFLPRRLADGTSKRRRNKVARYIPSVKWIWKSWRPTEKELMESSGLDGVVFMRMITFSLKVFFFAGIIGVFVLLPVNCFGDQLTVIDYADWSANSLDLFSVANLKIRSQWLWVHFGAIYLVTAFVCCLLYFEFRYIALKRIEHFYSSKPQPEQFTILVRNIPSTDGSSVSDTVDRFFGENHFSTYLSHVVIHRTSKLRSVVDKAKKLYKQVKHKKPVKKKPMRFFSRRDTPEGHYENVLQEMEQNIRLGQAEVSAPGKEVRAAFVSFKSRYGAATALHMPQSINPTYWLTEPAPEPHDVHWPFFSASFMQKWLAKILVVFACLLLTILFLVPVVLVQGLTNLPALEFMFPFLTLILSMKVVSQIITGYLPSLILQTSLKVVPPIMEFLSSIQGHICHSDIQKSACNKVIWFTIWNVFFATVFSGSAFYKLSVVLDPKEIPVKLAVAVPAQASFFIAYVVTTGWTDTLTELFRVVPFMVSYIKRSFEPSDDNEFVVPPMRYHRDTPRVLFFGLLGITYFFLAPLILPFILLYFCLAYIIYRNQFMNVYAPKFDTGGMFWPMIHYTMIFSLVLMHAIAIGLFALKKMELATYLLVPLPVCTLLFNEFCRKRFMPIFTAYPAEVLTKRDKEDRNDPRMPEFYNNLVSAYQDPALLPLRFSGSGSRNDSLTSPLLSSSEV >fgenesh1_pg.C_scaffold_2001307 pep chromosome:v.1.0:2:13936895:13939243:1 gene:fgenesh1_pg.C_scaffold_2001307 transcript:fgenesh1_pg.C_scaffold_2001307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-aminolevulinic acid dehydratase [Source:UniProtKB/TrEMBL;Acc:D7KXI7] MATTPIFNASCSFPSTRGIDCKNYIGLRSNVSKVSVASSRIATSQRRNLVIRASESGNGHAKKLGMSDAECEAAVAAGNVPEAPPVPPKPAAPIGTPIIQPLNLSRRPRRNRASPVARAAFQETDISPANFVYPLFIHEGEEDTPIGAMPGCYRLGWRHGLVQEVAKARAVGVNSIVLFPKVPEALKNPTGDEAYNDNGLVPRTIRLLKDKYPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDAEGFQNVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIEAREDEAEGADILLVKPGLPYLDIIRLLRDKSPLPIAAYQVSGEYSMIKAGGVLKMIDEEKVMMESLMCLRRAGADIILTYFALQAATCLCGEKR >fgenesh1_pg.C_scaffold_2001315 pep chromosome:v.1.0:2:14011565:14013515:-1 gene:fgenesh1_pg.C_scaffold_2001315 transcript:fgenesh1_pg.C_scaffold_2001315 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 36 [Source:UniProtKB/TrEMBL;Acc:D7KXJ9] MIKEETISCFNPFDGVMAESDKEEELDVTKAKVEKVREENEKLKLLLSTILNNYNSLQMHVSNVLREQQRASMELDQDKYNDFDVDISLRLGRSEQKISKKEEKVDKISNENKEESKDKRSALGLGFQIQSYEALKLDDLCRQVKNANAENKCLSSRKDVKTVRNENHHQDVLEEHGQAGLKKTRVCVKASCEDPSINDGCQWRKYGQKTAKTNPLPRAYYRCSMSSNCPVRKQVQRCGEEETSAFMTTYEGNHDHPLPMEATHMAAGTSAAASLLQSGSSSSSSSTSASLSYFFPFHHFSISTTNSHPTVTLDLTRPNYPNQLPDDYPLSSSSFSLNFSSPDPPPQSSHDNTLNFSGLRTQAPLSTDSLLARYRTRLSGQQ >fgenesh1_pg.C_scaffold_2001317 pep chromosome:v.1.0:2:14032272:14036740:-1 gene:fgenesh1_pg.C_scaffold_2001317 transcript:fgenesh1_pg.C_scaffold_2001317 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPIESLLHHSHLRDNSKIYRGTRSFFIPCSLNLPSHFTSNKLLHSIRTSVGASSKHRRSVAIRASSSDTAVVETAQSDDVIFKENFPVQRIEKAQGKIYVRLKQVKEKNWELSVGSSIPGKWILHWGVSYVGDTGSEWDQPPEDMRPPGSIAIKDYAIETPLKKLSEGDSFFEVAINLNLESSVAALNFVLKDEETGAWYQHKGRDFKVPLVDDVPDNGNLIGAKKGFGAIGQLSNIPLKQDESSAEVKKKSKSSSDSTKERKGLQEFYEEMPISKRVADDNSVSVTARKCSETSKNIVSIETDLPGDVTVHWGVCKNGSKKWEIPSEPYPEDTSLFKNKALRTRLQRKDDGNGSFGLFSLDGNLEGGEDFYVPFLTSSSSLVGTEATEAAQLSKHTPKTDKEVSASGFTDEIITEIRNLAIDIHSHKNQKTNVKEVQENILQEIEKLAAEAYSIFRSTTPTFSEESILAEAEKPDIKISSGTGSGFEILCQGFNWESHKSGRWYLELQEKADELASLGFTVLWLPPPTESVSPEGYMPKDLYNLNSRYGTIDELKDTVRKFHKVGIKVLGDAVLNHRCAHFKNQNGVWNLFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRKDIKEWLCWMMEEVGYDGWRLDFVRGFWGGYVKDYMDASKPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIVDWINATSGATGAFDVTTKGILHTALQKCEYWRLSDPKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPEGKEMQGYAYILTHPGTPAVFFDHIFSDYHPEIAALLSLRNRQKLHCRSEVNIDKSERDVYAAIIDDKVAMKIGPGHYEPPNGSKNWSVAVEGRDYKVWETS >fgenesh1_pg.C_scaffold_2001336 pep chromosome:v.1.0:2:14196574:14197178:1 gene:fgenesh1_pg.C_scaffold_2001336 transcript:fgenesh1_pg.C_scaffold_2001336 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLAKHSGRGYLDPLPPSSPVRPEIELTCMDFTVLYITQTRLSAKWDLSIRIPYYLPDSYICLNGDIQASLRYKNVIIATSSIQKYNNLRYRSAQLLKVSVVVSEKDISGLIGKDIMEDVKEKKKVRFGSRVLITDCRRNMAENMRFGCHEVTLRFEPGSEMKATMFGNHPTCFNF >fgenesh1_pg.C_scaffold_2001367 pep chromosome:v.1.0:2:14385830:14388315:-1 gene:fgenesh1_pg.C_scaffold_2001367 transcript:fgenesh1_pg.C_scaffold_2001367 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTSFETPPEMHVEILARLPLKSLMKFMSVSKKWESIIRGEQFRRDYLIQSMTRPRVLFVANRRYFHNFKPEALFHSVYQEEPSSLSSVQQMRTYETPLYKVSQPVRGLICHQGDTNIVICNPGLKKFRNLPQIEVPEFASMRSFFGYDEVKNVFKVLCITQLVKYQTTTEGDIHKVRSDVGHQVYTVRSDVESSSWKGIACNYDYSAVTEGLFKGGFLYYGAQSNNDQSVVMSFNVSSEDFSVIELPNEVDFDNNWKLVNYKGGIALVDEDNFDIHLNGNRVFKILFRNEVAGNWEIETIEIPRWKETVDNEDYHFKGTIGTGELLVFAPTHGTRFGRRVLYCDEATKNLRRFDIEERMIDEDHIVRTFFDHANLEDIGMDMADLKTLNYDDLDNFSKLQKSQRYADIIQKVEEALEKGTVLEYKKLIEDCNQLLVDIENEIVIVHNFIREKYRLKFQELESLVHHPIDYVRVVKRIGNEMDLTLVDLEGLLPSAMIMVVSVTASTTKGNQLPKDVLLKTIDACNRALDLDSARKKPSHASETPSSSASGSKSVENIKPIIPHDLHMT >fgenesh1_pg.C_scaffold_2001394 pep chromosome:v.1.0:2:14521944:14523674:1 gene:fgenesh1_pg.C_scaffold_2001394 transcript:fgenesh1_pg.C_scaffold_2001394 gene_biotype:protein_coding transcript_biotype:protein_coding MADCRRERKERFSRESHLINITLHVEATKKISEYVSQLRRLGKGHGNHLFYRATDVKTKEIKDMWKRLRKSQKMFLNLGDLAKDMVTTKYVYKIDETKELSGGCVQMEAKAFETSPLSI >fgenesh1_pg.C_scaffold_2001405 pep chromosome:v.1.0:2:14570217:14572529:-1 gene:fgenesh1_pg.C_scaffold_2001405 transcript:fgenesh1_pg.C_scaffold_2001405 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKKTNKSKREEKLSEKNKKKDVPEKKLEAEELSVTNGGESEQPKVVMTGKDVEEAKYATLKTFAESNLTENVLEKPSPIQSHKWPVLLDGRDLIGIAKTGSGKTLASGILAIMHVLKKNKKIGGGSKNVNPTCLVLSPTRELDVQISDVLSEAGEPCGVKSICVYGGSSKRRQINAIRFGVDIVIGMHGRLRDLIESNELRPSKVSFVVLDEADQMLDMSFEEPVRFTLSKTNKVREMVMFSATWPLDVHKLAQEFMDPNPIKVVIGSVDLAANHDVTQIIEVLDERARKQRLVALLEKYHKSQKNRFLVLALQQGEAKGEHYADKSTFHGKEEKDYQGRSWIKAMRKEILELGYLDDGYNYLEHLREIKNPGGGSTFYADSKFEVDHVESSQEKGKDKAEPTREVMVDKEKKAKAGSDKEKEGEEEKEGLKLEDETEEEKKKREEKEESFENLCKTIKEILGDKVEKVVVSDRIVDSPCCLVTGEYRWTANMERIMKTEALRDSSMSGYMSSKKTMEINPDNGIMEELRKRAEVDKNGKSVKDLVMKLFVTALLTSGFSLDEPNTFAARIHTMLKLGLTLMEIFCFQCIVRTRILKYQCFMLNASLEI >fgenesh1_pg.C_scaffold_2001470 pep chromosome:v.1.0:2:14904895:14907529:-1 gene:fgenesh1_pg.C_scaffold_2001470 transcript:fgenesh1_pg.C_scaffold_2001470 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRDEVDRRNFIDRVSLDRRLIGDVGVEKGFVTEKVPESSSSFGLSSSISDSLLSLYNFALVVNLMCIVMNRSRRALLVRRRVSETTSNGRNRFYKVSLSLVFLIWGLVFLSTLWISHVDGDKGRSLVDAVENGEPDDERADETAKPVDAPSLESASVHSTPDLSLDVDIAAAGEIKGSETILKQIEVDNTIVIAGNVTESKDNESMKESEINNNTVPGDDTETTGSKLDQLSRAVPLGLDEFKSRASISRDKSLSGQVTGVIHRMEPGGKEYNYAAASKGAKVLSSNKEAKGASSIICRDKDKYLRNPCSTEGKFVVIELSEETLVNTIKIANFEHYSSNLKDFEILGTLVYPTDTWVHLGNFTALNMKHEQNFTLVDPKWVRYLKLNLLSHYGSEFYCTLSLLEVYGVDAVERMLEDLISIQDKNILKPQEGDIEQKEKKTIKAKESFESDEDKSKQKEKEQEASPENAVVKDEVSIERRKLPDPVEEIKHQPGSRMPGDTVLKILMQKIRSLDVSLSVLESYLEERSSKYGMIFKEMDVEANKREKEVETMRLEVEGMKEREESTKKEAMEMREWRRRVETELEKAENEKGKVKERLEQVLERMEWMEKKCVVVFTICVGFGAIAVVAVVLGKGRGRAENPGGLAWLLLLISSTFVLFILSL >fgenesh1_pg.C_scaffold_2001493 pep chromosome:v.1.0:2:15020722:15021934:-1 gene:fgenesh1_pg.C_scaffold_2001493 transcript:fgenesh1_pg.C_scaffold_2001493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KYX7] MAQEKHIEKVLFSDEVIAERVGQLGSEITSDFSGDSESPVFVGVATGACLFLADLVRRIDLPMAIDYIRAESYGSGTVSNGLPRISFDLKLDITNKHVVLVEDIVDTGNTLSCLIEHLKLKKASSVSVCTLLDKPSRRKVHFKLFGNGKFYSGFECSDEFVVGYGMDFAEQYRNLSYIGVLKPEYYM >fgenesh1_pg.C_scaffold_2001495 pep chromosome:v.1.0:2:15023556:15026257:-1 gene:fgenesh1_pg.C_scaffold_2001495 transcript:fgenesh1_pg.C_scaffold_2001495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:D7KYX9] MAAAVASGIAPTTAMVDQVPNQPTVAAAPPPPFPAVSQIAAVAAAAAAAEALQTHPNSSLYVGDLDPSVDEPQLLDLFNQVAPVQTVRVCRDLTRRSLGYAYVNFANPEDASRAMDSLNYAPIRDRPIRIMLSNRDPSTRLSGKGNVFIKNLDPSIDNKALYETFSAFGTILSCKVAMDAVGRSKGYGFVQFEKEETAQAAIDKLNGMLLNDKQVFVGHFVRRQDRSRSESGAVPRFTNVYVKNLPKEITDDELKKTFGKYGDISSAVVMKDQSGNSRSFGFVNFESPEAAAVAVEKMNGISLGEDVLYVGRAQKKSEREEELRRKFEQERISRFEKLQGSNLYLKNLDDSVNDEKLKEMFSEYGNVTSCKVMMNSQGLSRGFGFVAYSSPEEASRALSEMNGKMIGRKPLYVAFAQRKEERRAHLQTLFTHIRSPGTMSPIPSPMPGFHHHPPGGPMSGPHHPTMYIGQNGQGLVPPQPMGYGYQVQFMPGVRPGAGPANYMMPFPLQRQTQPGPRVGFRRGANNMQQHFQQQQILQQNASPGMRYMGGAGNRRNGMEASAPQGIIPLPLNASAISHNAPQHPHKPPLLTISKLASDLALAPPEKHPQMLGENLYPLVAQQEPEYAAKVTGMLLEMDQAEILHLLESPEALKAKVSEALDVLRLSANAPAVSSVDDQFALSSTE >fgenesh1_pg.C_scaffold_2001505 pep chromosome:v.1.0:2:15066573:15068698:1 gene:fgenesh1_pg.C_scaffold_2001505 transcript:fgenesh1_pg.C_scaffold_2001505 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTTPSAANLFTGSTRFDFSSADAPPSKLSLSPDQLNHCHQALGVFRGKIQDPDSIAHEFAGLQANRMWPSEMLLNSTVAMNSVNIEKNRYSDVVPFDKNRIVLNPCKDYRSSAKGYVNASLIKTSDSESISQFIATQGPLPHTMEDFWEMVIQQHCPIIVMLTRLVDNNRTVKCGDYFQAEDGSREFGNISVTTKWIKSTETSLMLRNLEVNYKETEDRPMSVLHIQYPEWPDHGVPRDTVAVREILKRLYQVPPSLGPIIVHCSAGIGRTGTYCAIHNTIQRILAGDMSALDLAKTVAIFRSQRIGMVQTMDQYFFCYTTIVDELEDLTAGTDAGTSS >fgenesh1_pg.C_scaffold_2001506 pep chromosome:v.1.0:2:15072884:15075272:-1 gene:fgenesh1_pg.C_scaffold_2001506 transcript:fgenesh1_pg.C_scaffold_2001506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7KYZ3] MADKTQSDDFISHKNPTLPQVIEELKELWAMVLPITAMNCLVYVRAVVSVLFLGRLGSLELAGGALSIGFTNITGYSVLVGLASGLEPVCSQAYGSKNWDLLTLSLHRMVVILLIASVPISLLWINLGPIMLFMGQNPEITATAAEYCLYALPDLLNNTLLQPLRVYLRSQRVTKPMMWCTLAAVAFHVPLNYWLVMVKRWGVPGVAIASVVTNLIMVVLLVGYVWFSGMLQKTVSGGSTVVAVAQSSSVLELVGGLGPLMRVAVPSCLGICLEWWWYEIVIVMGGYLENPKLAVAATGILIQTTSLMYTVPMALAGCVSARVGNELGAGRPYKARLAANVALACAFVVGALNVAWTVVLKERWAGLFTGYEPLKVLVASVMPIVGLCELGNCPQTTGCGILRGTGRPAVGAHVNLGSFYFVGTPVAVGLAFWLKIGFSGLWFGLLSAQAACVVSILYAVLARTDWEGEAVRAMRLTSLEMRKVGKDEESSLLLLDDRNGNDEKLDDVL >fgenesh1_pg.C_scaffold_2001507 pep chromosome:v.1.0:2:15084004:15085281:-1 gene:fgenesh1_pg.C_scaffold_2001507 transcript:fgenesh1_pg.C_scaffold_2001507 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKLGFNLQLKELEEEVKLLKNLSHPNIVVFDELPHYCYECKICLKVHNNERDYLSHLHAHFQEKKFRDEMKTENTSDNDLYDSEFSEPPHLSKWFPDYVYESPMLDTCYGFEFSGLKESESIKDLEIKKETPTKIDDLVSSKIDDMTDSQAAYSGEQIYVIVLALL >fgenesh1_pg.C_scaffold_2001522 pep chromosome:v.1.0:2:15192346:15193110:-1 gene:fgenesh1_pg.C_scaffold_2001522 transcript:fgenesh1_pg.C_scaffold_2001522 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTSIRSVSKIIASSEASVSRSEHILFHCFMEPIKVQILLTKLYQISLLSSSTVSRSFHSTGVKKMSGGGHGYDEYYLHAKHMYNLDRMKYQALKMSLGVFTAFSIGVGVPIFAVVFQQRKTTSG >fgenesh1_pg.C_scaffold_2001532 pep chromosome:v.1.0:2:15224185:15226661:1 gene:fgenesh1_pg.C_scaffold_2001532 transcript:fgenesh1_pg.C_scaffold_2001532 gene_biotype:protein_coding transcript_biotype:protein_coding MASKITPVDGNRRGSSSVSVEMAERFAYFGISSNLIMYLTGPLGESTAAAAANVNVWIGTVSFLPLLWGFLADSFLGRFRTILISSSLYILMVCDDTNLNKQGLGLLSFSAMIPSHCDQDQSQRVSCSSQLQVTLFFCSLYLVAIGQGGYTPCIKVFGADQFDGNDLKEAKDKSSFFNWLMFGNCISILTTRLVSTYIQENLSWSLGFGIPSVFMAALKNRRQPNLDIANYSNETGLLLAHQSSKQFRSISSGLLVPAATLQSISSLSMVVFIPIYDRLLVPIARSLTQKPSGITMLQRIDTGIFLSILAMVVAALVETKRLQTAHDDVTILMSVWWLVPQYVIYGVSNVFTMVGLQEFFYDQVPSELRSVGMALNLSIYGTGNFVSSFMISVIDKVTNQAGQTSWFNNDLSQAHLDYFYWLLACLSFIGFASYLWFAKSYVYNRPNTF >fgenesh1_pg.C_scaffold_2001533 pep chromosome:v.1.0:2:15228357:15230221:1 gene:fgenesh1_pg.C_scaffold_2001533 transcript:fgenesh1_pg.C_scaffold_2001533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7KZA0] MAIACNKLEGTFPLRPTESSMDKPTLRLSSGGWKSARLIIGVEMAEQFAFYGISSNLITYLTGPLGESTAAAAANVNAWTGTVSFLPLLWGFIADSFLGRFRTIVIASSLYILGLGLLSFSAMAPSNSKDPNQLQVILFFCSLYLIAIGQGGYKPCIKVFGADQFDGNDLKEAKAKSSFFNWLMFGSCVSILTTRLVSSYIQEYLSWSLGFGIPSVSMLLALLLFLLGTKTYRFSATRGGKKNPFARISRVFMVALKNRTQPDSYIANPNETLLIYQSSKQFRFLDKAAISCSFAEIEEAKAVLKLVPIWLTCLVYAIVCAQSHTFFTKQGAKMDRSISPGLLVPAATLQCFISLTMVIFIPIYDRLLVPVARSFTHNPSGITMLQRIGTGIFLSILAMVVAALVERKRLQIAHDNVTILMSVWWLVPQYVLYGVSDVFMVGLQEFFYDQVPSELRSVGMALNLSIYGVGNFLSSFMISVIDKVTSQSGQTSWFDNDLNHAHLDYFYWLLACLSSIGLVSYLWFAKSYLYNRLN >fgenesh1_pg.C_scaffold_2001542 pep chromosome:v.1.0:2:15295484:15296748:1 gene:fgenesh1_pg.C_scaffold_2001542 transcript:fgenesh1_pg.C_scaffold_2001542 gene_biotype:protein_coding transcript_biotype:protein_coding MFDCFCNFYGLTKLTYGRWCLKGDQASIVGGAINYLKELEHHLQSMEPPVKTTVEDAGAGCDQINTTAASSSGPFSDFFAFPQYSNRPTSAAVAEGMAEIEVTMVESHASLKILAKKRPRQLLKLVSSIQSLRLTLLHLNVTTRDDSVLYSISVKVEEGSQLNTVEDIAAAVNQILRRIEEESSFS >fgenesh1_pg.C_scaffold_2001547 pep chromosome:v.1.0:2:15318471:15319811:-1 gene:fgenesh1_pg.C_scaffold_2001547 transcript:fgenesh1_pg.C_scaffold_2001547 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGKRKSDRNPTNVSDKLGLKDPLLRRLSSAAALTHSFLQANDLFLSPSQSLRLESLISSLPIPPSPSPSSAVTTATWFNRFLTSATEDDDDPRWCLCFRMSKSTFFNLFSILSPSSLPSFAAAIFRLAHGASYECLVHRFGFDSTSQASHSFFTVCKLINEKLSQQLDAPNPDFSPNLLPNCCGVVGFGRFEVNGKLLGAKGSILVQALVDSDGRFVDISAGWPSTMKPEAIFRQTKLFSIAEEVLNEAPTKLGNGVLVPRYILGDSCLPLLPWLVTPYDLTSNEEEEETFREEFNNVVHTGLLSVEIAFAKVRARWRILDKKWKPETIEFMPFVLTTGCLLHNFLVDSGDDDDSLEECVNGCAAGDNGETRKDDGDEKTRRFEGEAYIESRRIRDAIAENLSRFTA >fgenesh1_pg.C_scaffold_2001580 pep chromosome:v.1.0:2:15506781:15507297:1 gene:fgenesh1_pg.C_scaffold_2001580 transcript:fgenesh1_pg.C_scaffold_2001580 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDVYSGFGERYQMDGKLLQNFQKSFVQVQDILDQNRLLINEINQNHESKQADHLGRNVGLIRELNNNIRTVASLYGDLSHSFARSIDASSEGESTGTLKSDGKANNQKRFRSGYDINIVLFLRVFNSFAYAISLEMLST >fgenesh1_pg.C_scaffold_2001597 pep chromosome:v.1.0:2:15575651:15577070:-1 gene:fgenesh1_pg.C_scaffold_2001597 transcript:fgenesh1_pg.C_scaffold_2001597 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKDSATHSTLPYLNTSISWGVVPTDSIVNRRVTTTESSLSLKMDARPELLQTTKQISFQDQDSSSTQSTGQSYTEVATSEDDNPSRQISFSAKSGSEATQRKGFASNPKPGLMTGFPNIHFAPAQANFSFHYADPHYGGLLAATYLPQAPTCNPQMVSMIPGRVPLPAELTETEPVFVNAKQYHAIMRRRQQRAKLEAQNKLIRARKPYLHESRHVHALKRPRGSGGRFLNTKKLLQEAEQAAREQENDKSDQQENRKTNMSRFEAHMLHSKDLGSTTSGSDITSISDGADLFGHTEFQFSGFSTQTNRAMLVHGQSNDMHGGGDMHHFSVHI >fgenesh1_pg.C_scaffold_2001619 pep chromosome:v.1.0:2:15704834:15707263:1 gene:fgenesh1_pg.C_scaffold_2001619 transcript:fgenesh1_pg.C_scaffold_2001619 gene_biotype:protein_coding transcript_biotype:protein_coding MGPESKGRAWFGKIYNKLETILVEVDSFTSQTTLCLKSSEPPGFESVRGDSKEVAEDRSYGTYSVQGHHDRVDSPSFKSPFDPPSHQNFDIPGHVLVEKRVQGDIVKENVVQRDSFEENSSAASLSDGETDSTSPLLEEYCDANMTSTTSLGAEEQFISDEEESQILPDEEILSTSPLLEEYHDANLTSPTTDEESHIINTLTPQKFSDEPKEVAKDRSSGASIVQQHHDTVDSPGCKSPSDPPLDQNFDISGHVLVEERVQGDIFMETLVDGYSFKENSSASSLDDEEILSTLRLLEESYDANLTSDTSLGDEEPIINDAESQITNTLTTQKFSAGSSSVFPDGESVQDVRVESSLPDGEIFSTSSLFEEYCDANLTPATTLGDEEPIITDDESWITNSLTSQKSSAGNSWVVSGEENVEEVKVKSCRDVVSTEPQSTQSFGTVVECNDDSSLNPLATKVPDTPVQDNVVNMRSSNADNPDDVINGKSDVTPSDTNALYGIEFQKDPSYVDDSVLYAVRARIIAELCGMESREDPYVEDSVLYAIHLRTEKLRSFKRKILDALTSKKRREKEYEQLPIWFGDADMGSDLATKEDSKQVEATDSKSSQLLESEDSQWELL >fgenesh1_pg.C_scaffold_2001628 pep chromosome:v.1.0:2:15748143:15749006:-1 gene:fgenesh1_pg.C_scaffold_2001628 transcript:fgenesh1_pg.C_scaffold_2001628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit beta [Source:UniProtKB/TrEMBL;Acc:D7KR86] MNREKLMKMANTVRTGGKGTVRRKKKAVHKTTTTDDKRLQSTLKRVGVNSIPAIEEVNIFKDDVVIQFINPKVQASIAANTWVVSGTPQTKKLQDILPQIISQLGPDNLDNLKKLAEQFQKQAPGAGDVPATIQEEDDDDDVPDLVVGETFETPATEEAPKAAAS >fgenesh1_pg.C_scaffold_2001631 pep chromosome:v.1.0:2:15754607:15754985:1 gene:fgenesh1_pg.C_scaffold_2001631 transcript:fgenesh1_pg.C_scaffold_2001631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KR89] MARVRNAEGEYESTAARPREPVDSSTMVEPTEETGEQREPGDGEPGSETVGIQIDLIEDAAIDASESQPAKETVELERVTTEGETEDSDSQPANESLIEPTIAQVQLVQLVN >fgenesh1_pg.C_scaffold_2001640 pep chromosome:v.1.0:2:15800414:15802715:-1 gene:fgenesh1_pg.C_scaffold_2001640 transcript:fgenesh1_pg.C_scaffold_2001640 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRLQTESLVYVFAADQNSFVCVEQLIPISYYEHLPRRLPKTAILMGTGGKIWKVAMKSKHEKVYFERGWANFVADNALKDAEFLSFVFDGYRRYAVSIYGYGEKEINDDETKSDTDYSPDSLDTTTILVESVQVFNKSATSRKRANTIENPEAYLDDPNSISFETALKDRPYELPQTQIVQEREEDGVVPKKLYVRGIPYQSTEDEIRSYFRSCGVITKFDCKMRPEDGAFSAFITFETLELVWCLCFSFIDQAIQSGGGVLVHCFMGMSRSLLILVSLGTVVRVVAYLMKKHGMGFSKAVELIRSRRHQAYPNSGFICSSNNLKNPSKTNLFQDH >fgenesh1_pg.C_scaffold_2001642 pep chromosome:v.1.0:2:15814728:15816689:1 gene:fgenesh1_pg.C_scaffold_2001642 transcript:fgenesh1_pg.C_scaffold_2001642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KRI2] MANLNAYDDMEKALDDSVVDLTTPVVCKILQRLQYEEKTAFRFFTWAGHQEHYSHEPIAYNEMIDILSSTKYKNKQFRIVIDMLDYMKRNKKTVVPADILLEILRKYCERYLTHVQKFAKRKRIRVKTQPEINAFNMLLDALCKCGLVKEGEALLRRMRHRVKPDATTFNVLFFGWCRVRDPKKAMKLLEEMIQAGHKPENFTYCAAIDTFCQAGMVDEAADLFEFMITKGSVVSAPTAKTFALMIVALAKNDKAEECFELIGRMISTGCLPDVSTYKDVIEGMCMAGKVEEAYKFLDEMSNKGYPPDIVTYNCFLRVLCENRKSDEALKLYGRMVESRCAPSVQTYNMLISMFFEMDDPDGAFNTWKEMDERDCVQDIETYCVMINGLFDCHRAKEACFLLEEVVNKGLKLPYRAFDSFLMRLSTVGNLKAIHKLSEHMKKFYNHSMARRFALSEKRKSTKLRGKFKSQELLVKTEDMTVGISAFSTRL >fgenesh1_pg.C_scaffold_2001687 pep chromosome:v.1.0:2:16049668:16050396:1 gene:fgenesh1_pg.C_scaffold_2001687 transcript:fgenesh1_pg.C_scaffold_2001687 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVSSGGGGARIRCNDLTDSSRNPFSTFRLVNFPSPSRTCLHVVSAAKKPSTQTGRFDSKKRRTLVPTTTKEQSEEGNGGYDGENPPSEIVINDEDGDRTVVNTRFRGDPKDAPKFALKDLPGLEPDPFEGEKWDGLGFFVQYLWAFGILFALISGGLAAGTYNEGATDFKETPVYKEAIQSRDLLDEAESSNSEDVFESNPTEVAPSLE >fgenesh1_pg.C_scaffold_2001688 pep chromosome:v.1.0:2:16050717:16051475:-1 gene:fgenesh1_pg.C_scaffold_2001688 transcript:fgenesh1_pg.C_scaffold_2001688 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLFIILLISLSPFFLPLVLAQVPATCASRLLSLAPCGPFVQGFAQLPAQPCCDSLNQIYSQEATCLCLFLNNTSTLSPAFPINQTLALQLPPLCNIPANSSSCSSASSAPGGEAPSDSSSVAPPPSSSTSPQISLGAKNNSRVAATPVAQMAPRPTSFMGLGYGVRSSGSKSEIKLTILALAAILPATLLLI >fgenesh1_pg.C_scaffold_2001712 pep chromosome:v.1.0:2:16146876:16148568:-1 gene:fgenesh1_pg.C_scaffold_2001712 transcript:fgenesh1_pg.C_scaffold_2001712 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP78A10 [Source:UniProtKB/TrEMBL;Acc:D7KRZ3] MAIDMYLSFASRSGSSSFLSLELCLSIFLFISLFVFWLTPGGFAWALYKARFHTRPESKTGPAIPGPSGLPIFGLLLAFVNNALTHRILTNIADTCKAKALMAFSVGSTRFVITSEPETAKVLLNSSAFVDRPVKESAYELLFHRAMGFAPFGDYWRELRRISSTHLFSPKRISSFGESRRKIGQDMVGEIKNSMESYGEVHIKKILHFGSLNNVMSSVFGKTYNFNEGIVYSKESIELEHLVSEGYELLGIFNWSDHFPGMRWLDLQGVRRRCRSLVGKVNVFVGKIINDHKSKRSLRDNQEESTYDDDFVNVLLGMHGNSKLSDSDMIAVLWEMIFRGTDTVAILLEWILVRMILHPDIQAKAQAEIDGIVGDPERQVSDSDLSKLPYVRAIIKETLRMHPPGPLLSWARRSIHDTQIGTHFIPAGTTAMVNMWAITHDEKVWPEAHEYKPERFLGAQEIDNFPIMGSDLRLAPFGAGRRVCPGKTMGLATVELWLAQLLGSFKWVPCGEVDLSETLKLSLEMKNTLVCKAIPRV >fgenesh1_pg.C_scaffold_2001720 pep chromosome:v.1.0:2:16215646:16218195:1 gene:fgenesh1_pg.C_scaffold_2001720 transcript:fgenesh1_pg.C_scaffold_2001720 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSPDSSHEQPPLSYRKSVEIKATHDQFFVWREFLWGGIAGAFGEGMMHPVDTLKTRLQSQIIMNATQRQKSIPQMLRTVWVGDGLKGFYRGIAPGVTGSLATGATYFGFIESTKKWIEESHPSLAGHWAHFIAGAVGDTLGSFVYVPCEVIKQRMQIQGTSSSWSSFILRNSVPVKPRGDMYGYYTGMFQAGCSIWKEQGPKGLYAGYWSTLARDVPFAGLMVVFYEALKDLTDQGKKKFPQYGVNSSIEGLVLGGLAGGLSAYLTTPLDVVKTRLQVQGSTIKYKGWLDAVRQIWRKEGPEGFFRGSVPRVMWYLPASALTFMAVEFLRDNFREKGNNNNNVVSNLSIERKTSSTSVHEG >fgenesh1_pg.C_scaffold_2001721 pep chromosome:v.1.0:2:16218533:16220623:1 gene:fgenesh1_pg.C_scaffold_2001721 transcript:fgenesh1_pg.C_scaffold_2001721 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRSEKRCHYEVLGISKESSPDEIRSSYRRLALQRHPDKLMKAGGLSEAEATAQFQELVHAYEVLSDPKERAWYDSHRSQILFADHSSAGGSKSGGMPGGSVPDLFAFFTPTVYSGYSDIGKGFYKVYYDVFNSVYLNEIKFARTLGLRMDSVREAPIMGNLESPYAQVTAFYNYWLGFSTVMDFCWVDEYDVMAGPNRKSRRLMEEENKKVRKKAKREYNETVRGLAEFVKKRDKRVIDMMVKKNAEMEKKKEEERERKKKMEKERLERAMNYEEPEWAKAQEGEEEGAGFNVLEEEDDDAKRKNEQLYCIVCSKKFKSEKQWRNHEQSKKHKEKVAELRESFTDYEEEIEEEETDGPLKPPESVEELHEKLQEELNIDDEENDVEDEVVGEADETDEEYFVAEEDMKGSSESEDEDDEMTLLKKMVSGQKKKRKNAVSKEEDEIEVEIESDTAEFSEVDYQKNTGRNKEAKEERNKQNAGKDMADDTSKVQVPGDDGNPDENVNATDSASGAFEDSQKDEANSMEYDNRKSTGRRRRSKKGKDKNNQGELMEKSSEADDTPYVNRDMEAQDYKKAPRSKKSTRGMKTKGTTKKNSSNECDRCGEEFESRTKLHKHLADSGHATVKSR >fgenesh1_pg.C_scaffold_2001732 pep chromosome:v.1.0:2:16258996:16262736:1 gene:fgenesh1_pg.C_scaffold_2001732 transcript:fgenesh1_pg.C_scaffold_2001732 gene_biotype:protein_coding transcript_biotype:protein_coding MRFILRHLNSLGVINKFDSFLLHYHTNSLKSNHTLKHYLESGEPIKALLNFQHRFRESPSFVDSFSVLFAIKASSAQKASSFDGRQIHALVRKLGFNAVIQIQTSLVGFYSSAGDLDDARQVFDETPEKQNIVLWTAMISAYSENENSVEAIKLFKRMEEEKIELDEVIVTAALSACADLGAVQMGEQIYSRSIKRKRRLAMDLTLRNSLLNMYVKSGEIEKARKLFDETMRKDVTTYTCMIFGYALNGEAQESLELFKKMKMIDQSQDTVITPNDVTFIGVLMACSHSGLVEEGKQYFKSMIVDYNLKPRDAHFGCMVDLFCRSGHLKDAHEFIKQMPIKPNAVIWRTLLGACILHGNVELGEEVQKRIFKLERDHVGDYVALSNIYASKGMWDEKWKMRDRVRKRRVPGKSWIELRSIITEFVSGHDDNDEQLMMGEISVVLRCLVSSVEAERNADSTIDTVSRLIAGAFSGALTGIFAMAGAFTGAVTGAVAGRAAQYGVLRGAALGAVAGAILSVEVLEASRAYWYLELSGSRGPSSMADFVEQLFRGRLVDEQLMSTMINSYHWQLRISDVSYEERDDVYGELEARGLSGDSLRKLPCFIMSSELVKRQVTHCTICLQDIKTGEITRSLPRCDHTFHLVCVDKWLIRHGSCPICRQAVKD >fgenesh1_pg.C_scaffold_2001738 pep chromosome:v.1.0:2:16308440:16309598:-1 gene:fgenesh1_pg.C_scaffold_2001738 transcript:fgenesh1_pg.C_scaffold_2001738 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWP-RK domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KS24] MADHKTKEEKPFSFLAHSPSFDHSSLSYPLFDWEEDLLALQENSASQGFPLTTTSLSLPDLEPLSEDVLNSYSSVSWNETEQNRGDGASSEKKMENGTVKETTKKRKINERHREHSVRIISDITTYTTSSASTALSKETVSRYFYMPITQAAMELNVGLTLLKRRCRELGIRRWPHRKLMSLNTLISNVKELQKTEGEENAEKLQEALEMLEKEKRTIEELPDLEFKDKTKRLRQACFKANHKRKKKRSLKSDQFQVPSCSSSGSVPSDESVDEAGMESDEEVKYLLCGFSSEFSSGL >fgenesh1_pg.C_scaffold_2001749 pep chromosome:v.1.0:2:16348236:16353214:1 gene:fgenesh1_pg.C_scaffold_2001749 transcript:fgenesh1_pg.C_scaffold_2001749 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTDFEHSVSFRRDSTSPLTVELTCTNVLRRRIHLFTDETKTFSLPAEQTIDHHTSFIPLNELTSSPDTQHNVVYDIVRSPDETTVISRQSKITNNYSLGNGCSTILREIDMAITSSSSRGRGVVESKKCKIKTEIYKANKAAEKLLIDSYGPTVITQTNQRRRRMNALFAWKITRKDQYCKNLRALTQIHGFFIKSGVDTDSYFIGKLILHCAISISDALPYARRLLLCFPEPDAFMFNTLVRGYSESDEPHNSVAVFVEMMRKGFIFPDSFSFAFVVKAAANFRSLRTGFQMHCQALKHGLDSHLFVATTLIGMYGECGCVGFARKVFDEMPQPNLVAWNAVVTACFRGNDVSGAREIFDKMLVRNHTSWNVMLAGYIKAGELECAKRIFSEMPHRDDVSWSTMIVGFSHNGSFNESFSYFRELLRAEMRPNEVSLTGVLSACSQSGAFEFGKTLHGFVEKSGYSWIVSVNNALIDMYSRCGNVPMARLVFEGMQEKRSIVSWTSMIAGLAMHGHGEEAIRIFNEMTESGVMPDEISFISLLYACSHAGLIKEGEGYFSKMKRVYHIEPAVEHYGCMVDLYGRSGKLQKAYSFICQMPIPPTAIVWRTLLGACSSHGNIELAEQVKQRLNELDPNNSGDLVLLSNVYATAGKWKDVASIRKSMIVQRIKKITAWSLVEVGKTMYKFTACEKKKEIDIEAHEKLKEIILRLRDEAGYAPEVASALYDVEEEEKEDQVSKHSEKLALAFALARLPKGANIRIVKNLRICRDCHAVMKLTSRVYGVEIVIRDRNRFHSFKDGSCSCGDYCTSSWFWFKSLKSQVSTFESSFPSFSLTRSSKNPNFLDYFTSMAASAAFSISSPPFVPFSCKTRRPFLLLTPKYPKLVSVRASVPSISIDDKSPVQTKTSTWQWKFKGNSIGIYYEEHGREKCESAKNILMIPTISDVSTVEEWRSVAKDIVQRDGEVNWRATIVDWPGLGYSARPKMDYDTDVMEKFVVDFMNSPESPMSQSGNDDLVIMGGGHAATLAIRATQRGLLKPSAIAAVAPTWAGPLPIVFGRDSSMVSRYGMLRGTLRAPGVGWMMYNMLVSNEKSIESQYKSHVYADQTNVTDAIIQSRYELTKQKGSRYVPAAFLTGLLDPVSSREEFLQLFADLEGKLPVMVMSTKGAPKRSKAEMEALRGAKGVSKFVEVEGALLPQEEYPSLVAQELYNFLQETFAKC >fgenesh1_pg.C_scaffold_2001782 pep chromosome:v.1.0:2:16506875:16507814:1 gene:fgenesh1_pg.C_scaffold_2001782 transcript:fgenesh1_pg.C_scaffold_2001782 gene_biotype:protein_coding transcript_biotype:protein_coding description:GNS1/SUR4 membrane family protein [Source:UniProtKB/TrEMBL;Acc:D7KSJ5] MTLNYYLAEHPTIVNFRWSPTQSYASTWSFLFTAVSSYVIAAVTLHLLLLIILSLCHRRRGFSLGPIPALHSLTISIVSAVIFVGILLSAAAEIRDTRWLWRRTRTTALQWFLCFPVGTRASGRVFFWSYAFYLSRFLHLFRTFFSVIRRRKLSFFQLINQSSLLCISFLWLEYSQSFQVVAILLTTVSYAVVYGYRFWTEIGLRGACFPFVVNCQAILLGCMTVCHVGVLCIHLVKRGGCNGIGAWLFNSVLNAVITLLYLKFYCKTRSMMMTKAVHTTT >fgenesh1_pg.C_scaffold_2001794 pep chromosome:v.1.0:2:16558245:16558685:-1 gene:fgenesh1_pg.C_scaffold_2001794 transcript:fgenesh1_pg.C_scaffold_2001794 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEPIRFLRTTAGVAVGGFVIITAVSSAAVGALRYTIEEKRKKSGLACRACRGKGFYICKLCKGNATIKWSPLYDPVCINPCLCPTCDGHRVQKCLNCLGKEYH >fgenesh1_pg.C_scaffold_2001829 pep chromosome:v.1.0:2:16726463:16727384:1 gene:fgenesh1_pg.C_scaffold_2001829 transcript:fgenesh1_pg.C_scaffold_2001829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSP9] MKLKITYTRRHGSIYGQPEKPPPATIPEHEATRTISHEIEKILVDQTAASIQHGEPHLRGGKTSSPTHAISLKTVEPLSQGEKTNKPPERPRTACLTNCHKNQNSKSKTEQPNLSPIANPISDQQCRPQATIETGECEEAKEKRRGNVGEEAEIALAKHQKNYTPSPCHSLKTRKKLEEEAGETPPDRRGKPRSRQKTRGNQIAASGDTEPPSARPEKQTTVRRARKHLCRLKEPPNQNADTRAPREEKPQPWNHH >fgenesh1_pg.C_scaffold_2001846 pep chromosome:v.1.0:2:16838566:16839449:-1 gene:fgenesh1_pg.C_scaffold_2001846 transcript:fgenesh1_pg.C_scaffold_2001846 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSAPSPPRLLQSPFIHRPINFTPSSFRSPATSYPRIKAELDPNTVVAISVGVASVALGIGIPVFYETQIDNAAKRENTQPCFPCNGTGAQKCRLCVGSGNVTVELGGGEKEVSNCINCDGAGSLTCTTCQGSGVQPRYLDRREFKDDD >fgenesh1_pg.C_scaffold_2001847 pep chromosome:v.1.0:2:16840345:16841235:1 gene:fgenesh1_pg.C_scaffold_2001847 transcript:fgenesh1_pg.C_scaffold_2001847 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:D7KT59] MVFGYAYPAYECFKTVELNKPEIQQLQFWCQYWIIVAALTIIERIGDALVSWLPMYSEAKLAFFIYLWFPKTKGTTYVYDSFFRPYIAKHENEIDRNLMKVRTRARDMAMIYLQKAINQGQTKFFEILQYITEHSTPKSKSEEKKETTPPKLVDPILKVKENEVTKMR >fgenesh1_pg.C_scaffold_2001868 pep chromosome:v.1.0:2:16954179:16957734:1 gene:fgenesh1_pg.C_scaffold_2001868 transcript:fgenesh1_pg.C_scaffold_2001868 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKIVLKSSDGESFEVEEAVALESQTIAHMVEDDCVDNGVPLPNVTSKILAKVIEYCKKHVEVAAAKSEAVDGATSDEDLKAWDTEFMKIDQATLFELILAANYLNIKNLLDLTCQTVADMIKGKTPEEIRTTFNIKNDFTPEEEEEHHIVVTRQELKKMEGLKPSAANSSPLTPLGFLERAATVYGDFTSIVYGNSTVYTWQETNLRCLRVASALSSIGIGRSDVVSVLSANTPEMYELQFAVPMCGAILNNLNTRLDAQTVSVLLRHCESKLLFVDVFYSDLVVEAIATLLNPPILVLIADQEEGAAVVTERSKFCYLYSDLVKRGNPDFKWIRPESEWDPIVVNYTSGTTSSPKGVVHCHRGIFVMALDSLTDWAVPKNPVYLWTLPIFHANGWTYPWGIAAVGGTNVCVRKLHAPLLYRLIRDHGVTHMCGAPILLQILSASQESEQPLKNPVKFLTAGSAPPATVLLRAESLGFIVSHGYGLTETAGVIVSCAWNPKWNRLPASDQARLKSRQGVRTVGFSEIDVVDPESGRRVERDGATFGEIVMRGSSIMLGYLKDPIGTQKSFKNGWFFTGDVGVIHGDGYLEIKDRSKDVIISGGENVSSVEVEAVLYTNPAVNEAAVVARPDEFWGETPCAFVSLKPGLTRKPTDKEIIEYCKKKMPRYMVPKTVSFLEELPKSSTGKITKLLLKEIAKKM >fgenesh1_pg.C_scaffold_2001875 pep chromosome:v.1.0:2:16976492:16981425:1 gene:fgenesh1_pg.C_scaffold_2001875 transcript:fgenesh1_pg.C_scaffold_2001875 gene_biotype:protein_coding transcript_biotype:protein_coding description:T4O12.25 [Source:UniProtKB/TrEMBL;Acc:D7KT91] MGFCFSKFCKSQTHEIPISSSSDSSPPHHYQPLPKPTVSQGQTSNPASNPQPKPKPAPPPPSSSSGSQIGPILNRPMIDLSALYDLHKELGRGQFGITYKCTDKSNGREYACKSISKRKLIRRKDIEDVRREVIILQHLTGQPNIVEFRGAYEDKDNLHLVMELCSGGELFDRIIKKGSYSEKEAANIFRQIVNVVHVCHFMGVVHRDLKPENFLLVSNEEDSPIKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLQRNYGKEIDVWSAGVMLYILLSGVPPFWGETEKTIFEAILEGKLDLETSPWPTISESAKDLIRKMLTRDPKKRITAAEALEHPWMTDIKISDKPIDSAVLIRMKQFRAMNKLKKLALKVIAENLSEEEIKGLKQMFKNMDTDGSGTITFDELRSGLHRLGSKLTESEIKQLMEAADVDKSGTIDYIEFITATMHRHRLEKEENLIEAFKFFDKDRSGFITRDELKHSMTQYGMGDDATIDEVLNDVDADNLPSFSLISVKSLRYPSSSPSSSLSLFFSFFPRVSNFVRASSGIPNLVACGPTEIIIPRVNNAGLRIEETVDALKGKIRLDSWISSRVNGVSRARVQSSIRLGLVTVNGRVVDKVSHNVKAGDEVNCTISELQPLKAEAEDIPLDIVYEDKHVLVVNKPAHMVVHPAPGNPTGTLVNGILHHCSLPCVSYSNAEDDDSDEETFSDDEEMTTSSSSYAASVRPGIVHRLDKGTTGLLVVAKDEHSHAHLAEQFKLHTIERVYGRIEIPIGRDSSNRIRMAAIPGGLSGGRARHAASRYKVIETFAGGGSALVEWRLETGRTHQIRAHAKYMGVPLLGDEVYGGTKSMALSLLQKRVSRSDQEEIVELISRMDRPCLHAIVLGFTHPCTGEIVKFSCPPPSDLAEIVGLLRRSGLEKVTQHSLLSS >fgenesh1_pg.C_scaffold_2001899 pep chromosome:v.1.0:2:17074770:17079412:-1 gene:fgenesh1_pg.C_scaffold_2001899 transcript:fgenesh1_pg.C_scaffold_2001899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KTB8] MYRALWLSPLRFIVSSSSSKLTPYVSRGRGLSGIDNGAGCSCSRSVTTLIGNEFIRCQDESKILQVQIVDALRSGERPGASALLFQFIQGNYSLSAHDFHDILHYCARSPDPVFVMETYSVMCKKEISLDSRSLLFIVQSLCNGGHLDKASEFIHAVGEDDSISPILPVYNYFLGACAKTRSVYHASKCLELMDQRRVGKNEITYAALLKRNLSAVNDIWKHYVNHYSLDILPLRKFIWSFTRLGDLKSAYELLQHMVDLASRGELFVKSNRGKLHSTTLDIPVPAKGETGSEKVAFGVNDHNVEYNSSSKVALPKGHNKIPATRVLRWSFNDVIHACGQSKNSELAEQLMLQMQNIGLLPSSHTYDGFIRAVAFPGGYEYGMTLLKVMQQQNLKPYDSTLATVSAYCSKAFQVDLAEHLLDQISECSYAYPFNNLLAAYDSLDQPERAVRVLARMKQLKLRPDMRTYELLFSLFGNVNAPYEEGNMLSQVDCCKRINAIEMDMVRNGFQHSPISRRNVLRALGAEGMVNEMIRHLQKAENLNVHSNMYLGTPTYNIVLHSLLEANETDMVINIFKRMKSCGCPADVATYNIMIDCCSIIHSYKSACALVSMMIRDGFSPKAVTFTALMKILLNDGNFEEALNLLDQAALEEIHLDVLSYNTILRKAFEKGMIDVIEYIVEQMHREKVNPDPTTCHYVFTCYVEKGYHATAIEALNVLSLRMLNEEDKESLQEKKIELEENFVMSEDPEAETKIIELFRNSEEHLAAALLNLRWCAMLGARIIWSEDQSPWARGLSNKYG >fgenesh1_pg.C_scaffold_2001948 pep chromosome:v.1.0:2:17322342:17323175:1 gene:fgenesh1_pg.C_scaffold_2001948 transcript:fgenesh1_pg.C_scaffold_2001948 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLKITRTKDDVSSSTDFRVTSDSFGQLSLSRETNSVFFLILHLKGFKKEGIDIEINKEGNRIKISGSKKVEEMVLVKWVEWKKATEIKEFKKVFRIPEIVNLDKIKARFNEEDGTLTVTMRKKVKGITGLKIKEEEEVKDAVVEEKTEEKTEPEEEIKEEPKPEEEEEEQEEAEEPQREEEEDKIGEEVVEEETRDHEEKKEEEIEDKPRKKRRKKFRLPCFAGSTLLMSIIVFIIQLIQSRKK >fgenesh1_pg.C_scaffold_2001986 pep chromosome:v.1.0:2:17545786:17552008:-1 gene:fgenesh1_pg.C_scaffold_2001986 transcript:fgenesh1_pg.C_scaffold_2001986 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSDVGLDVVKTPRLVKLIAFAFLSISTIFLFNHFSDSFSYPSLRFPVSSSSNVTEAIQTDITSVAAVAPSPPPRPRLKISPPPLPPTVVRTGIIDENGAMSDSFEVGGFDPDSVDELKSATGNSSVEEKESPESGFQIEKLKLCDKTKIDYIPCLDNEEEIKRLNNTDRGENYERHCPKQSLDCLIPPPDGYKKPIPWPQSRDKIWFNNVPHTRLVEDKGGQNWIRREKDKFVFPGGGTQFIHGADQYLDQISKMIPDITFGTRTRVALDIGCGVASFGAFLMQRNTTTLSVAPKDVHENQIQFALERGVPAMVAVFATRRLLYPSQSFEIIHCSRCRINWTRDDGILLLEVNRMLRAGGYFVWAAQPVYKHEDNLQEQWKEMLDLTNRICWELIKKEGYIAVWRKPLNNSCYVSREAGTKPHLCRPDDDPDDVWYVDMKPCITRLPDNGYGANVSTWPARLHDPPERLQSIQMDAYISRKEIMKAESRFWLEVVESYVRVFRWKEFKLRNVLDMKAGFGGFAAALNDLGLDCWVMNIVPVSRFNTLPVIYDRGLVGAMHDWCEPFDTYPRTYDLIHAAFLFSVEKKRCNITNIMLEMDRMLRPGGRVYIRDSLSLMDQLQQVAKAIGWTAGVHDTGEGPHASMFMEEATNNGEGTEYNQRNRLVESEALNHWSAGGGAMANSANPSSRDSKKIQTYKRRKLGRSCSDRISTEGVSHSGSRESVSCELYNQKFSIGTHLTGELPKLSNPNKSSSESKHETVTAGCQHVLSHVLASKEFASLNKLLSENLQGAKIEDLTCRTLIDTRMKEGVYEGSPLLFSTDLQEVWQKIQDVGNDMAVLANSLLELSRTSYKEQLKQFYTGKSKPHPNAENITNDSVYDVCKLCGEKAEARDCLACDHCEDMYHVSCAHPGGKGMPTHSWYCLDCTSKGIGSPHENCVVCERMKTQGMMETENRSVGTSQECKEESNESEENSSCNMNHHVEMNRDSELCRTCGTKVDSGGKYITCDHPFCPHKYYHIRCLTSRQIKLHGVRWYCSSCLCRNCLTDKDDDKIVLCDGCDDAYHIYCMRPPCESVPNGEWFCTACKAAILKVRKARKVFEKKMETLQKQKGIKPRNLEGKPRRKDNGELDKSVGGMDMLLNAADTLKDEEQMTFQSIK >fgenesh1_pg.C_scaffold_2002014 pep chromosome:v.1.0:2:17689391:17689798:-1 gene:fgenesh1_pg.C_scaffold_2002014 transcript:fgenesh1_pg.C_scaffold_2002014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nmr solution structures Of At1g77540 [Source:UniProtKB/TrEMBL;Acc:D7KUK3] MATEPPKIVWNEGKRRFETEDHEAFIEYKMRNNGKVMDLVHTYVPSSKRGLGLASHLCVAAFEHASSHSISVIPSCSYVSETFLPRNPSWKPLVHSEVFKSSI >fgenesh1_pg.C_scaffold_2002024 pep chromosome:v.1.0:2:17738952:17740410:-1 gene:fgenesh1_pg.C_scaffold_2002024 transcript:fgenesh1_pg.C_scaffold_2002024 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKKLTRTQSSLLRSSPTIRSSIQSLSSITECDDFNEISHHRREQDLEAGEKEEKQRRRKPVKSFGSINRIKPGLAFTLACLSFLSLSSFLLFFIDEIFTSENLLLGLIFVALALFFASRNMAVINQTVIAIKQIRVRSRIKHKHKPVQWYIGDTKPEPIKEEAQRLVVKEGVQYFSNGDSTKVNSTEGSVTEVECTIISLMEDMKEIGSMEDTMVMESSVGLKEVNIKDSISKDLDMVMEFTGFTPEILTPVNGLTVKAMALVFKLVLMVALLSENSNLVSNMDLVLTISGKNKQKLARTYKDLFVLFLSSDLWIWCCRNGDKYAGEYFGDKIHGFGVYHFANGHYYEGAWHEGRKQGYGTYRFRTGDIKSGEWDDGSLVNHLSPDSDPVRRAVQSARERAKNGVNQRRVDEHVIRAVAAANKAATAARVAAVKAVQNQMDGKICDN >fgenesh1_pg.C_scaffold_2002025 pep chromosome:v.1.0:2:17742416:17744150:-1 gene:fgenesh1_pg.C_scaffold_2002025 transcript:fgenesh1_pg.C_scaffold_2002025 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFSTQNESTQKPVQVAKRLEKFKTTIFTQMSILAVKHGAINLGQGFPNFDGPDFVKEAAIQAIKDGKNQYARGYGIPQLNSAIAARFREDTGLVVDPEKEVTVTSGCTEAIAAAMLGLINPGDEVILFAPFYDSYEATLSMAGAKIKGITLRPPDFSIPLDELKAAVTNKTRAILMNTPHNPTGKMFTREELETIASLCTENDVLVFSDEVYDKLAFEMDHISIASLPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSYLTFATSTPAQWAAVAALKAPESYFNELKRDYAAKKEILVKGLKEVGFKVFPSSGTYFVTADHTAFGLENDVAFCEYLIEEVGVVAIPTSVFYLNPEEGKNLVRFAFCKDEETLRGAIERMKQKLKRKV >fgenesh1_pg.C_scaffold_2002042 pep chromosome:v.1.0:2:17855905:17857749:1 gene:fgenesh1_pg.C_scaffold_2002042 transcript:fgenesh1_pg.C_scaffold_2002042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KV49] MARRRNPDKDRRTPDMDPVVWSVRVHPGRRVRIRIDRGGFVNIQRVCFTGLEEEAAADVPPADVPPADVQPFQFVVATRKPLRTTISRRSLRLLASIGAFPAEKMLQLMAEHCRSMKRFVYIDERVTGKRRIAKHSTLLEFVNNCGQIESLTLGGIKIADSDLALILQQCRCSSTTCHSSTPRMHKSYPRNFNTGSLTLLGLGGNVDIQIAFTESTVRWETGRQAVTQAVDGTIAEALKSDDGAFVDPVDSDGERDPADRRN >fgenesh1_pg.C_scaffold_2002077 pep chromosome:v.1.0:2:18060918:18062433:-1 gene:fgenesh1_pg.C_scaffold_2002077 transcript:fgenesh1_pg.C_scaffold_2002077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7KV89] MTGFFSLSQAIERTYKSGFKRSGLRPVTIDLKDGTVVNFWVSKTQPETKPKPNLLLIHGLGATAIWQWYDVARRLSRHFNLYIPDLVFFGGSSTTRPERSDIFQAQTLMRALEAQSVKKFSLVGLSYGGFVGYRMAAMYADAVERVVICCAAVCVEEKDMKAGVFKVSDLDEASKILVPESVKKLRELMGYIFYKPALARLVPTCLLHDFIEHALTRDNMEEKRELIKAIPKDRIISEIPKLTQPTLIIWGEHDQVFPLEMGKRLEKHIGDNGRLVIIKRTGHIFNFERPKTFLKLLKSFLLETKPQFPISNGSV >fgenesh1_pg.C_scaffold_2002087 pep chromosome:v.1.0:2:18135470:18135920:-1 gene:fgenesh1_pg.C_scaffold_2002087 transcript:fgenesh1_pg.C_scaffold_2002087 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDEIDIDVEPESVPESVKTRKRSSSSTAMPLKPKKKYAKRAPVWQHFVLKEGDDLHSICTSPMISHIERCKSFKEYDERDKQQKLSGVDGGNMKVVRYDPLSFRRAVNTLVRGGRIFVA >fgenesh1_pg.C_scaffold_2002101 pep chromosome:v.1.0:2:18199939:18200576:1 gene:fgenesh1_pg.C_scaffold_2002101 transcript:fgenesh1_pg.C_scaffold_2002101 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEALRSEAMAAASKVLRSKTVVAASGFLRAETVDAAAIGSSRAETVASASGVPVMVCSKSKELHVCARCLSPAKTRCSRCKSVRYCSGECQTIHWRLSHKDECVPVEYCSTSTGKLSCDEELASLGGSQVDNAPQFKCKKANRESRRKDDVRRFGTSNEFSGGEAA >fgenesh1_pg.C_scaffold_2002155 pep chromosome:v.1.0:2:18466614:18469274:-1 gene:fgenesh1_pg.C_scaffold_2002155 transcript:fgenesh1_pg.C_scaffold_2002155 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIGLVKQGWKWMQSQKHMCSDACSAMRCFGEKIGGLVERHWPLVCSGCGKLLGLLRFSILYWKDCIFRGFHCSAKLGSAALLLIMWSCFLSLTSFSCLVYVLLSMGAAAAVVLNLGCTPGLFIVGLFGILILWMYANFWITGTLFIVGGYLFSLNHARVVVLMAGLYAMYCVKVRLGWLGLFLSINLAFLSNDILNCLLQWCDNLSEKPQHEEPKKPKETIIEEDYSGEFEYPSVPVNDETETKIHENKSSAKPTAPSTVVNTVKEISSVKIVKIEESSSADEMKRILNSLNHYEALGVPRHKMIDAAVLKKEYRKKAMLVHPDKNMGSPLASESFKKLQSAYEVLSDFVKKRDYDEQLRKEESRTRSVCQTSHASSHQSGPDYRSEESRRIHCTKCGNSHIWICTNRTKAKARWCQDCGQYHQAKDGDGWVELKGTLPFERAHKGMACRPNTHRPSFHVNMVGLEKTTQRSNSSRFPWDLDVEMMDEDEEEFELWLQQALASGLFCETSKRRKSWSPFKLSQMKSKKQWRRTST >fgenesh1_pg.C_scaffold_2002185 pep chromosome:v.1.0:2:18615922:18617984:1 gene:fgenesh1_pg.C_scaffold_2002185 transcript:fgenesh1_pg.C_scaffold_2002185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type-II metacaspase [Source:UniProtKB/TrEMBL;Acc:D7KWG8] MAKKAVLIGINYPGTKAELRGCVNDVRRVHKCLVDRFGFSERNITELIDTDDSSTKPTGKNIRRALLNLVESAKSGDVLVVHYSGHGTRLPAETGEDDDTGYDECIVPCDMNLITDDEFRDLVDKVPKEAHITIISDSCHSGGLIDEAKEQIGESTKKKPKKKSEGSSGLGIKGFAREAVEEALETRVIHIPHHKDEKEKNKTKEIELEDGAKVHVVNKSLPLQTLIDILKQKTGNDKIKVGEIRPTLFNVFGDDASPKVKKFMKVILTKMQEGKTEGGVLGMFGKLAQEFLEHKLNDDEEYVKPAMQTHVGNKQEVYAGASNGSLADNGVLISGCQTDQTSADASPQGHPEMAYGAFTNVVQIILEETKGKITYKELV >fgenesh1_pg.C_scaffold_2002242 pep chromosome:v.1.0:2:18889770:18890631:1 gene:fgenesh1_pg.C_scaffold_2002242 transcript:fgenesh1_pg.C_scaffold_2002242 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHVEDGDDEEAKKKMKELNEKLEEKCSELKEVEIRNTILGLREISDDRTSIGVKRDSLVTKFMFSLLCFNYSKWQHNINDLSWVPFKVLEDEDGSKEVVDEEDEKLVKLRDEWGEEVKSAVKRALEEFNEFNPSDRYSVPTLWNFKQGRKATLKEGITYLLHEIKSLKRKRTE >fgenesh1_pg.C_scaffold_2002249 pep chromosome:v.1.0:2:18920189:18921405:-1 gene:fgenesh1_pg.C_scaffold_2002249 transcript:fgenesh1_pg.C_scaffold_2002249 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVRCLRRTLFSNSSPSIAYRSPRIGLPSNQNPKFLISRRCLSSGSYVSEMQKSAFQGNILRLIRNEIEYELDHSPPLQPPDSFGPFTVDERPGEQWISLKRKFGDKEDIKIEATMFDRSVPTSKSTKTEPDYILHITFIVNISKGGASEVLEIMCSAWPDTIEISKLCIRKGINTSASSYGGPEFEELDDQLQDALYQFLEERGISDELAVFLHRYMKNKGKAEYVRWMESVKSYVEQK >fgenesh1_pg.C_scaffold_2002291 pep chromosome:v.1.0:2:19099825:19101271:-1 gene:fgenesh1_pg.C_scaffold_2002291 transcript:fgenesh1_pg.C_scaffold_2002291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family protein 47 [Source:UniProtKB/TrEMBL;Acc:D7KX73] MVYGYIPILLNLEPPKMIDDGPTPTSQSELQLSPSRDPDAGTPSAPASSEVDMGVTRSRFYIWFLFLFVTDLVSCRTLSVDPDPCDSMNQRDFQKLRSDQITVLINGYSEYRIPLLQTIVASYSSSSIVSSILVLWGNPSTPDQLLDQLYQNLTQYSPGSASISLIQQSSSSLNARFLPRSSVHTRAVLICDDDVEIDRRSLEFAFSVWKSNPDRLVGTFVRSHGFDLQGKEWIYTVHPDKYSIVLTKFMMMKQDYLYEYSCKGGVEMEEMRMIVDRMRNCEDILLNFVAADRLRAGPIMVGAERVRDWGDARNEEEQVVDERVRDAGLSSRRVEHRKRRGKCIREFHRVMGKMPLMYSYGKVVDSVGEQGLCRKAGKLVFCDRD >fgenesh1_pg.C_scaffold_2002294 pep chromosome:v.1.0:2:19116939:19118230:1 gene:fgenesh1_pg.C_scaffold_2002294 transcript:fgenesh1_pg.C_scaffold_2002294 gene_biotype:protein_coding transcript_biotype:protein_coding MYHYQEAALLVALLFVSSNVVVSAPIRDGLLPNGNFELGPKPSQLKGSVVKERTAVPNWDITGFVEYIKSGQKQDDMVLVVPQGSSAVRLGNEASISQKISVLPGRLYSITFSAARTCAQDEKLNISVTHESGVIPIQTMYGSDGWDSYAWAFKAGGPEIHIRFHNPGVEEHPACGPLIDAVAIKALFPPRFSGYNLIKNGNFEEGPYVFPTAKSGVLIPPFIEDDNSPLPGWMIESLKAVKYVDKAHFAVPEGHRAIELVGGKESAISQIVRTSLNKFYALTFSVGDARDGCEGPMTVEAFAGQGKVMVDYASKGKGGFRRGRLVFKAVSARTRVTFLSTFYHMKSDHSGSLCGPVIDDVRLVAVRKLRG >fgenesh1_pg.C_scaffold_2002336 pep chromosome:v.1.0:2:19311997:19314065:1 gene:fgenesh1_pg.C_scaffold_2002336 transcript:fgenesh1_pg.C_scaffold_2002336 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKKRRRREEEEEDKKKRENYVEKIWISKRNDKENAGFTIKYGSTMVCIGEMNNSHKSQMPKGHTEEKKPTPLLTTDRVENRLKKPTTFICKLKFRNELPDPSAQLKLMTIKRDKDQFTKYTITSLEKLWKPKIFVEPDLGIPLDLLDLSVYNPPKFKAPLAPEDEELLRDDDAITPIKKDGIRRKERPTDKGVSWLVKTQYISSINNESARQSLTEKQAKELREMKGGINILHNLNNRERQIKDIEASFEACKSRPVHATNKSLQPVEVLPLLPYFDRLIAPYFPFETILNLKSRIRQFFLIIALHVDTTMKPLKADTLRYYLYVGFL >fgenesh1_pg.C_scaffold_201000002 pep scaffold:JGI8X:scaffold_201:4998:5690:-1 gene:fgenesh1_pg.C_scaffold_201000002 transcript:fgenesh1_pg.C_scaffold_201000002 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTPKTTSSNKRKADDAPPSAGSNVFEEESRPPGIKAMKAKRNKGKGKVGPAFATDDNIWEKKEKDMAQREKLQKMHMFLLAKYVQEDMGLDSMALKRRLIAVTLEAHSPVCGPLVM >fgenesh1_pg.C_scaffold_201000003 pep scaffold:JGI8X:scaffold_201:11202:13957:1 gene:fgenesh1_pg.C_scaffold_201000003 transcript:fgenesh1_pg.C_scaffold_201000003 gene_biotype:protein_coding transcript_biotype:protein_coding MGISHVLSRFFHHFMLHKRASEANDKNDNSIIGSDLASSKKRRIDITDSSSSDNKSSIVASGSSSSSSGFHGDSVAHQIDMAFGNSNRQEIDEDLHSRQLAVYGRETMRRLFASNVLISGMHGLGAEIEEDAQKLISIATAINTGQGDLKVENVDQKLLRHFSFGAKAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTEPLDSSDFAPRNSRYDAQISVFGAKFQKKLEDAKVFTVGSGALGCEFLKNMALMGVSCGSQGKLTVTDDDIIEKSNLSRQFLFRDWNIGQAKSTVAASAAAAINPRFNIEALQNRVGAETENVFDDAFWENLTVVVNALDNVNARLYVDSRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSSPVEYTNSMMSAGDAQARDTLERILECLDKEKCETFQDCLTWARLRYYHSLNSYV >fgenesh1_pg.C_scaffold_205000001 pep scaffold:JGI8X:scaffold_205:366:1343:1 gene:fgenesh1_pg.C_scaffold_205000001 transcript:fgenesh1_pg.C_scaffold_205000001 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHVENKLQKKEIKQEISNEMCGFRVAVTSETEPIWGKKKSHGANYNSSELTHVSIVGFDVTELDTENSLSGFMDQVPISLRRWACYPMLLGRVSRSFKHVMLVDAKTSLFIGDPLTRIRNRSPDVALFLYSKHKKASEVNPAILIGGAKGIRRLSSSMHTQIVRARASATMMIKKKNSVTESVVLSQLVGNFPYD >fgenesh1_pg.C_scaffold_215000001 pep scaffold:JGI8X:scaffold_215:4778:5528:-1 gene:fgenesh1_pg.C_scaffold_215000001 transcript:fgenesh1_pg.C_scaffold_215000001 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPLFVRRNCLTSSLRYLTIRGSCSKLFVGGLSYDTNEPVLKNEFEKYGEVIEVKVICDHKSGKSKGYGFVLFDSEEAAASALASMNNQLLEGRNIRVEYAQPKSGLYQNQK >fgenesh1_pg.C_scaffold_22000003 pep scaffold:JGI8X:scaffold_22:31436:33736:-1 gene:fgenesh1_pg.C_scaffold_22000003 transcript:fgenesh1_pg.C_scaffold_22000003 gene_biotype:protein_coding transcript_biotype:protein_coding MNELMKTCLFIKFINKLFTSIAEHCADAEVIYKFLEVNEIDKTTVVSGDDLFVHQSISSEKFRSLAVDEAVEFKVEVDNASRLKTVEVSGPEGEDVMVEIIKEDMVVMVLALGVVNQVIWREIVLKVVEDTVVVEVVEGTIKSIGYDIYDSYTKDQSLQKNL >fgenesh1_pg.C_scaffold_22000014 pep scaffold:JGI8X:scaffold_22:74897:75996:1 gene:fgenesh1_pg.C_scaffold_22000014 transcript:fgenesh1_pg.C_scaffold_22000014 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSSGGGRRSYSTGSRWPKFLKKSSPKPTKAKDEKAPPPEKVETTHSRFYFESFRSSIAGGFRWGFGNALGHRYAELIFGPRTIRREIVLPEKVKEVALVSAKDDNEKLKDYSETCGISYNAFQDCLNVEGNNLSKCHLFMDSLFECKRNSSSFNF >fgenesh1_pg.C_scaffold_221000001 pep scaffold:JGI8X:scaffold_221:11502:12355:-1 gene:fgenesh1_pg.C_scaffold_221000001 transcript:fgenesh1_pg.C_scaffold_221000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWW1] LLLRRECCSFSNGEYVKAGLAELEHWCYNATDEYAGSSWDELKHIRQAIGFLVIHQKPKKTLDEISHELCPVLSIQQLYRISTMYWDDKYGTHSVSPDVIANMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDLSKSMERIEIGDVEPPPLIRENSGFSFLLPCSD >fgenesh1_pg.C_scaffold_230000003 pep scaffold:JGI8X:scaffold_230:7671:8402:-1 gene:fgenesh1_pg.C_scaffold_230000003 transcript:fgenesh1_pg.C_scaffold_230000003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MWX5] MAPNVRSVIAQVHQKSRAMGSRRNFCSHPDHPALNRFTGTRLWPWLLTHGLGLAAGYGLGSLIFGYFTSKSELEKKKQELIAQYEQHFQQQEKLCSDLAASNPKS >fgenesh1_pg.C_scaffold_236000001 pep scaffold:JGI8X:scaffold_236:34:1158:1 gene:fgenesh1_pg.C_scaffold_236000001 transcript:fgenesh1_pg.C_scaffold_236000001 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAKKAVEGELRRWRERDQKKAEEAATRILAEAEMNMASESSPQKHYKAPKQRPVHNKLEKTKTSVVSKKVLLPNLSGIFNRKKNQVEWERLRGEQSKGIRSKIKLLSNDHDSKATSHIKHMNDYIAA >fgenesh1_pg.C_scaffold_242000003 pep scaffold:JGI8X:scaffold_242:11127:12399:-1 gene:fgenesh1_pg.C_scaffold_242000003 transcript:fgenesh1_pg.C_scaffold_242000003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWZ5] ARIEKNHEVLDIGCGWGTLAIEAVRRTGCKYTGITLSIEQLKYAEEKVKQTGLQDRITFKLCDYRQLSDAQKYDRIISCEMLEHVGHKFMETFFSHCEAALAEDGIFVLQFISIPEERYNEYRLNSDFIKEYIFPGGCLPSLARVTSAIQIIDLGFDDKFIRIWEYYFDYCAAGFKTLTLGDYQIFFSRPGNVVAFGDDDPSRSSFSTQKKQR >fgenesh1_pg.C_scaffold_251000003 pep scaffold:JGI8X:scaffold_251:7507:8031:-1 gene:fgenesh1_pg.C_scaffold_251000003 transcript:fgenesh1_pg.C_scaffold_251000003 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAIKEARSWQSAKLFQTKKTHSRRDKTTEAAHCEAFLCYSDASWRSPSQDCGVGWIIKNPQLSVIQKGSSSRPSVSSVLVAETLALKVAITAALALGVSRLACFSDCKELTTLLNSNGQANAIDGLLSDVRDLSSVFVSCTFHHVPRSLNEEADALAKSALDSSLVPSVPEV >fgenesh1_pg.C_scaffold_262000001 pep scaffold:JGI8X:scaffold_262:56:1477:1 gene:fgenesh1_pg.C_scaffold_262000001 transcript:fgenesh1_pg.C_scaffold_262000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MX13] KALERNDAGEHFPVYGICLGFELMSIIISQNRDILERFDAEDNASTLQFVDNVNIDGTLFQRFPPELLKKLSTDCLVMQKHKYGITPANFQGNPALSSFFEILTTCIDENSKTYVSTVKAKRYPITGFQWHPEKNAFEWGSSAIPHSEDAIQVTQHAASYLVSEARKSLNRPPSKKVLSNLIYNYKPTYCGYAGRGYDEVYIFTQPRSRF >fgenesh1_pg.C_scaffold_269000001 pep scaffold:JGI8X:scaffold_269:38:1054:1 gene:fgenesh1_pg.C_scaffold_269000001 transcript:fgenesh1_pg.C_scaffold_269000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MX23] DEYEETTTIDGETICSSVWPCARWYADPDASFLDPPQCIEEEEDEVEEMEEVEEVEEEVEEVGEVEEVEEEQEEVIDSASAEIPNGEIPSGALRSASFCAYVTFLHIPG >fgenesh1_pg.C_scaffold_269000004 pep scaffold:JGI8X:scaffold_269:7886:9449:1 gene:fgenesh1_pg.C_scaffold_269000004 transcript:fgenesh1_pg.C_scaffold_269000004 gene_biotype:protein_coding transcript_biotype:protein_coding MTSESATSPAIRPPQVEQPPPHEIPVISELISAAPPSPAPQITMMDIVNSNGILTDQLVDEEPSFYKYLDSDEYAEKYRRYEADFRKYLMDKHFSQVDEYEETTTIDGETICSSVWPCARWYADPDASFLDPPQCIEEEEDEVEEMEEVEEVEKEVEEVGEVEEVEEEQEEVIDSASAEIPNGEIPSGALRSASFCAYVTFLHIPG >fgenesh1_pg.C_scaffold_269000005 pep scaffold:JGI8X:scaffold_269:9966:10958:1 gene:fgenesh1_pg.C_scaffold_269000005 transcript:fgenesh1_pg.C_scaffold_269000005 gene_biotype:protein_coding transcript_biotype:protein_coding MTSESATSPAIRPPQVEQPPPHEIPVISELISAAPPSPAPQITMMDIVNSNGILTDQLVDEEPSFYKYLDSDEYAEKYRRYEADFRKYLMDKHFSQVDEYEETTTIDGETICSSVWPCARWYADPDASFLDPPQCIEEEEDEVEEMEEVEEVEEEVEEVGEVEEVEEEQEEVIDSASAEIPNGEIPSGGTVLEDTCDVGKKPDMPI >fgenesh1_pg.C_scaffold_27000005 pep scaffold:JGI8X:scaffold_27:48497:49004:1 gene:fgenesh1_pg.C_scaffold_27000005 transcript:fgenesh1_pg.C_scaffold_27000005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJ94] MESINRTRVIAAYENMVSDELRALLNSRPPKDVCCRARARRVAILKSRRIKARTRPPETGLVLPIYEDDYVHFLATDSDYDHGDREDQTDDDNAMDYDFVEHLDNADECIMEQEGFGSIPIINVKPGLTENGTYYGCFFYNF >fgenesh1_pg.C_scaffold_275000001 pep scaffold:JGI8X:scaffold_275:186:2857:-1 gene:fgenesh1_pg.C_scaffold_275000001 transcript:fgenesh1_pg.C_scaffold_275000001 gene_biotype:protein_coding transcript_biotype:protein_coding MALQKLPLMSIGLLLLLIIVGSPVNADGPVCPPTPSNKLSRAHFPEGFLFGTATAAYQEDVQLMKNLNTDAFRLSISWTRIFPRIIPFVTVFHWDTPQSLENEYGGFLSANIVKDFREYAEYVFQEYGGKVKHWITFNEPWVFAHAGYDVGKKAPGRCSPYAKERTVKGECLGGRSGYEAYLVSHNLLNAHAEAVEAFRQCEKCKGGKIGIAHSPAWFEPHDFKDAQNGATVDRALDFIMGW >fgenesh1_pg.C_scaffold_279000002 pep scaffold:JGI8X:scaffold_279:9578:11370:1 gene:fgenesh1_pg.C_scaffold_279000002 transcript:fgenesh1_pg.C_scaffold_279000002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MX46] MKILTSDFFPSSIEGRLVRSYKRSFNGFAARLTESERQRVAEMEGVVSVFPSMNYKLHTTASWDFMGMKEGTNTKRNLAVESDTIVGVLDTGISPESESFSGKGFGPPPKKWKGVCSGGKNFTCNNKLIGARDYTNEGTRDTEGHGTHTASTAAGNAVENASFYGIGNGTARGGVPASRIAAYKVCSGSGCSTESILSAFDDAIADGVDVISASLGGVTTYMYEKDPIAIGAFHAMAKGILTVQSAGNSGPNPTVSVAPWILTVAASTTNRGVFTKVVLGNGKTLVGKSVNAFDLKGKQYPLVYEKSVEKCNNESQAKGKIVVCSYAIGSDVAVAFIFKHKTEFATVSPWPISFLSLEDFGSLISYINSTKSPKAAVLKSEAIFNQAAPKVAGFSSR >fgenesh1_pg.C_scaffold_283000004 pep scaffold:JGI8X:scaffold_283:9731:10529:-1 gene:fgenesh1_pg.C_scaffold_283000004 transcript:fgenesh1_pg.C_scaffold_283000004 gene_biotype:protein_coding transcript_biotype:protein_coding MLADEGRKFDAVLSLEVIEHVANPAEFCKSLSALTIPNGATILSTVNRTMRAYASTIVGAEYILRWLPIGTHQWSSFVTPEELSMILQRASVDVKEMAGFVYNPITGRWLLSDDISVNYIAYGTKRKDLGDI >fgenesh1_pg.C_scaffold_286000001 pep scaffold:JGI8X:scaffold_286:35:784:1 gene:fgenesh1_pg.C_scaffold_286000001 transcript:fgenesh1_pg.C_scaffold_286000001 gene_biotype:protein_coding transcript_biotype:protein_coding AASWPLHGNVTPHFNGFLSFPYASQHMVQHPQIGGLVPCRVPLPHNIPENEPIFVNAKQYQAILRRRQRRAKLEAQNKLIKVRKPYLHESRHLHALKRARGSGGRFLNTKKLQESKSSQAPPFLDPPHVFKNSPGKFRQRDISRGGVGSSGSTTSCSDITGNNNDMFQQNPQFGFSGYPSNHHVSVLM >fgenesh1_pg.C_scaffold_299000002 pep scaffold:JGI8X:scaffold_299:9632:10243:-1 gene:fgenesh1_pg.C_scaffold_299000002 transcript:fgenesh1_pg.C_scaffold_299000002 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDTECLTPSVALKLITESQNTPSRSPEKVNRETSLPPGFEKIWTPPLVKLNIQGTSNPLSDLSGSAGIVRDQSGKWVFGYIRCHRSIPEVAAGLLAIYHGLKFLWDSGFRRIHLETTSFEIINALTTRSSLFCKRKTLLGSCKDMILKEWECDIYHISKEQNSCAEWLAKRSEEQPQELVFFEYPPRGLVDLLEKDRLAAI >fgenesh1_pg.C_scaffold_3000022 pep chromosome:v.1.0:3:82194:84671:-1 gene:fgenesh1_pg.C_scaffold_3000022 transcript:fgenesh1_pg.C_scaffold_3000022 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLIETYLKSLLFFVPLFVKQLSWAETGTNLLTNSSNVNSLGYTFKYPYLLSNGLPVETTFKSPSLLPSMPSDGGNFGKRSIDLGGLEVTQLSISNSTSHRVWRTYEGGPNNMGVSIFEPTTLPRNFLKLGFYAQPNNRQLFGWILVAKDVAGSNLRPPVDYTEVGNTTSLISIKQDGPAYFWQPLCPNGYQAVGLYVTTSPVKPSLGQDSINCVRSELTEKSEADTWVWRIKDMTISSLRPATRGVEATGVYTGTFSFKNLKLLPPPLFCLKNIKFDLSSMPSENQTRVLFQTYSPWIYLHPQEDFLPSSVDWVFANGALLYQKGNESNPVLIHPNGSNLPQGGCNDDLFWLDYLVDEKAREKVKRGDLGSTKVYLHIKPMFGATFTDIVVWLFFPYNGNAHLKFLFIKSMSLGNIGEHVGDWEHVTLRISNFNGELWRVYFSEHSGGTLVDACDLEFIQGGNKPVVYSSLHGHAMFSKPGVVLQGGGKSGIRNDMARSDKFFDASIGYEVIAGPGVVEPPWLNYFRKWGPRVHYNIDKFLNSVAKILPIFLRKGLRKLINKIPLEVLGQNGPTGPKVKNKQRNGKKK >fgenesh1_pg.C_scaffold_3000042 pep chromosome:v.1.0:3:150225:151129:-1 gene:fgenesh1_pg.C_scaffold_3000042 transcript:fgenesh1_pg.C_scaffold_3000042 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRFNLQQYPIQLKPRILRPRITNNISNQTDETDPRPSSSAGKVQRLVLNNEGRTKLNADPDREFYSYPRFVNHVDDGFISSLTELYRNRLRPGSIVLDLMSSWVSHLPEEVKYEKVVGHGLNAQELARNPRLDYFFVKDLNEDEKFEFEDKYFDAVLCAVGVQYLQQPEKVFAEVYRVLKPGGVLIVSFSNRMFYEKAIRVWRDGTEYSRIQLVVQYFQSIEGFTQPEIIRQQPGAQISVMTRLMGFIGLASRPDPFNAVIAYKNFKPIYE >fgenesh1_pg.C_scaffold_3000057 pep chromosome:v.1.0:3:203375:207371:1 gene:fgenesh1_pg.C_scaffold_3000057 transcript:fgenesh1_pg.C_scaffold_3000057 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLSSTVSYSPSFSYYASGEFAAAAVKVSRENQSFNMTDHQSVKVDSFNDEDADFEFETSPLHEETFVPFPTFKTDVVSIINKKDKETAPENISDRFSGNCLWSPLRSPAASKHSESSTSTPKQCRLKKFLTRSHSDGGVLAPSASKRCYIFKDLMRRSHSDGGSDKKSLLDRFMAFLQQISGLGALERSSPSILIGSSFRSGNGRVFDGRGIAYLGSREKVGFNRRSRLVLRVVAMSSSSSPFKMNLNEYMVTLEKPLGIRFALSADGKIFVHAIKKGSNAEKARIIMVGDTLKKASDSSGGSLVEIKDFGDTEKMRVEKTGSFSLVLERPFSPFPIQYLLHLSDLDMLYNRGRVSFVTWNRNLLSSNLRASQGSGNSGYAAFSSKFFTSQGWKLLTRHSNSFQSGTQKNILSPPISPLVSVFSEEVPGDGEWGYGNFPLEEYIKALDRSKGELSYNHALGMRYSKITEQIYVGSCIQTEEDVENLSEAGITAILNFQGGTEAQNWGINSQKINDACQKSEVLMINYPIKDADSFDLRKKLPLCVGLLLRLLKKNHRVFVTCTTGFDRSSACVIAYLHWMTDTSLHAAYSFVTGLHACKPDRPAIAWATWDLIAMVDDGKHDGTPTHSVTFVWNGHEGEDVLLVGDFTGNWKEPIKATHKGGPRFETEVRLSQGKYYYKYIINGDWRHSTTSPTERDDRGNTNNIIVVGDVANVKPTIQQPRKDANIIKVIERVLTESERFRLAKAARCIAFSVCPIRLCPKS >fgenesh1_pg.C_scaffold_3000130 pep chromosome:v.1.0:3:509765:510803:-1 gene:fgenesh1_pg.C_scaffold_3000130 transcript:fgenesh1_pg.C_scaffold_3000130 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:D7KZE6] MDCNKEEASREKKLAEVKMGAGDFAGAQKFVTKAQTLFPKVEPDQEIGAAKKRRKDDVEESSNKRRTKQEDPFAENLPVKPDHIDTKAQELAILWKGKIRIETPRSSLEVLAFLQFIVTFRLQNSIINQDETAQLASSVSHYKEAPTLFKSLGLDNMISEFVEELIDTCQYIPAAEDKDWGKLRDILELMSDYNLKIVMPGDLIVKLMDQPSTSNLAG >fgenesh1_pg.C_scaffold_3000136 pep chromosome:v.1.0:3:554933:556264:1 gene:fgenesh1_pg.C_scaffold_3000136 transcript:fgenesh1_pg.C_scaffold_3000136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KZF4] MGCVSSCFRVEDIDDYMNPNSSVYRNCPCIRCLAHNFLNLYISVFRRGETRSLPSSVQATASITSSSSHDNFLSEAFRSTPRPLPYDADPRYFRSLVSRREKGSSHSHEEVEPLRSDSDADSESFGVGGCKWANNKSTISDKDSKEEYSSKSSLRILRSKSKSIMADSENMYILSEDEDVCPTCLEEYTSENPKIVTKCSHHFHLSCIYEWMERSENCPVCGKVMEFNETP >fgenesh1_pg.C_scaffold_3000149 pep chromosome:v.1.0:3:596844:599522:1 gene:fgenesh1_pg.C_scaffold_3000149 transcript:fgenesh1_pg.C_scaffold_3000149 gene_biotype:protein_coding transcript_biotype:protein_coding MLARRISKLAGFRIHISQCQIRRGIRLLTTSSLPSYPCLLLHMDDVVGGDSPGDGSVERVVNFNYYDLVKKRRVSMEEQRIPESIHLSRNIGSSLPKSARKVISLPPLVPLPYSLDPSESTPLTELVRNVSLSSSPDQEDCIAAIKFWGSCISFCRRSPSDDDSSWSWSHINSLPFAFKASSLIYSNTASIFYITPSTAVRSDHSRNFEQYEHKDKHGFPLVAYFMQLGPFEPPGKLSDSELKLLLGCSGGDSRFLVETPSGDSRFLVETPSGDIFTIMRSQIHSQSHIPPYPSYHAPDLSKSMATSQVRNVSLSSSPDNPDQECILAVKFWGSFVSYCTIRDGQWRHELSLSSRASKSFVFYSNNDATFYLTPPIDTFFRKDGRNMPEPSFPCLTYYRQFGPLKHPKMGEYEFELLRRCTRSKQLVESPSGDLFIIVKYALQTSKGKPVFPGETRDLDRSEVKTGTRWFMLYMRHPTTRVESYVQDIGDLCIF >fgenesh1_pg.C_scaffold_3000157 pep chromosome:v.1.0:3:628881:630196:1 gene:fgenesh1_pg.C_scaffold_3000157 transcript:fgenesh1_pg.C_scaffold_3000157 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRTSKLDLPNPSLSPSSPQVSSILYEPISSSLALTLSDSSISLYPSLSPLSTPSLSYPQTLIPSPCSSASFLLLRSQNPNSNDDSGNEASPRVFFIVAGPYRGGSRLLLRFYGLREGKNKCFVRAKVICDQKGIEFDQKVGVLLNLSHGVSVKIVGSTNYFSMYSVSSSKILIFGLKVVTDGSNCGDDDAVVVKLLITGQPPVDLTGEMEYSLVDWARPLCLKAAQDGDYNQLADPRLELNYNHPEMVQMASCAAAAIRHSTRRRPKMSQIVRALEGDMSMDDLSEGTRPGQSKYLRPGSVSSEYNASSYTADMKKFKKLALENKEYQSSEYGGTSEYGLNPLLQVVKK >fgenesh1_pg.C_scaffold_3000158 pep chromosome:v.1.0:3:634205:636126:1 gene:fgenesh1_pg.C_scaffold_3000158 transcript:fgenesh1_pg.C_scaffold_3000158 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRTSKLDLPNPSLSPSSPQVSSILYEPISSSLALTLSDSSISLYPSLSPLSTPSLSYPQTLIPSPCSSASFLLLRSQNPNSNDDSGNEASPRVFFIVAGPYRGGSRLLLRFYGLREGKNKGFVRAKVICDQKGIEFDQKVGVLLNLSHGVSVKIVGSTNYFSMYSVSSSKILIFGLKVVTDGSNCGDDDAVVVKLVRCGEIECVRPVWSIGIFSGLLILGEDDGVRVLNLREIVKGRLKKGRKDNGRLRNGHIVEVKKKENAVHVNKGLLSKRRQGSSETRMCFVSFQKNAAAVGADLKSETCVVMSLRAISIQALSIKRFLILDSAGYIHVLHVSGRHSLGSNFTCDMQQLPRFMDVQKLALLPEISVGTKSFWISDGDYSVHRVTISDEETTSKEKDEDKKIREERPPIQSSDYGAVTHTIFSPEKIQDLVPLGGNGALILGLRKFLDVLIPVETSFAENKTAKLEMFLTLLAGSLYAYAIS >fgenesh1_pg.C_scaffold_3000217 pep chromosome:v.1.0:3:867491:870773:1 gene:fgenesh1_pg.C_scaffold_3000217 transcript:fgenesh1_pg.C_scaffold_3000217 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKCAIGLISALAASASLAQSKVASADGPFNLSGFSTSANPQQQPPSQTQKPPSTAAEESSAPPRARNDNPRTSSGGFDPEALERGAKALKEINNSSYAKKVFESIKQQEETKQTEFATKAQEFKAMQAQAETERHKVIYDEQKKLAQHQAQTKSQMARYEDDLARKRMQACNSQNNFSTLAENEFHRARNQELVKMQEDSAIRQEQARRATEEQIQAQRRQTEREKAEIERETIRVKAIAEAEGRAHEARLAEDVNRRMLVDRANAEREKWVAAINTTFDHIGGGLRAILTDQNKLVVAVGGVTALAAGIYTTREGARVIWSYVDRILGQPSLIRESSRGKYPWSGSLSRVMSTLRGKEPASKSGQGFGDVILHPPLQRRIEHLANATANTKLHQAPFRNVLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWGKKSKRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRVDEVLEFPLPGEEERFKLLNLYLEKYIAEAGPKKPSLFDRLFKKEQQKIEIKGVTEELLKEAAAKTEGFSGREIAKLMASVQAAVYGSEDCVLDSVLFREVVEYKVAEHQQRRKLAGTDSK >fgenesh1_pg.C_scaffold_3000220 pep chromosome:v.1.0:3:877024:878193:-1 gene:fgenesh1_pg.C_scaffold_3000220 transcript:fgenesh1_pg.C_scaffold_3000220 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFVEALIFSCKTGTPPQSKNVLLSICEEQKSSFHLGFMRDWKRLEVEKTNPKITVKVKCHYSGCFKTEGGVLSYGDGKVDVLEVDSLTIFEDVAMHLVAKDSNLIGKMWFKLPFEDVSDRIPLWENVEENKKKLVAKARWMGEVDIYFEKPIEFSLNEEVTEPANKESTDTTTATKKASKAAGVKVRNKRKLVTKEVVCQDEDSDGQRSDAGLSESSDSDMEADIAEEEAVNVEEEEIAVFNSHNYEE >fgenesh1_pg.C_scaffold_3000247 pep chromosome:v.1.0:3:991334:992324:1 gene:fgenesh1_pg.C_scaffold_3000247 transcript:fgenesh1_pg.C_scaffold_3000247 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLKKSVKLSLRHVRVRLKQDSSSIERDQRIEFLGRDKGVGEEENDGDSSSSEYEEFEEEEEGGGEGEGEEQDDGKSVVSGGKSGCGETEAAVEAAEAEITEAGNRVMVVVDKVIASTGALEWALKHTLQSQDYLFLLYFSKPFRKGKRKNRKSEVKTDELVHTLKKLCQTKRPGIEVEIRRLEGKEKDKGEKIVEEAKEQQVSLLVVGEEKKPPVWRLLKRWGWKKRRGRAGVLKYCLEKASCMTIAVKPKNRKLGGYLITTKRHKNFWLLA >fgenesh1_pg.C_scaffold_3000254 pep chromosome:v.1.0:3:1026577:1028375:1 gene:fgenesh1_pg.C_scaffold_3000254 transcript:fgenesh1_pg.C_scaffold_3000254 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYERFKSFELLRLPKEYGISPNSLFLERYHYNVADSRLAQHVEKGNEDGLFVSCVASAANLWALIMDAGTGFSSQVYELSSVFLHKDWIIEQWEKNYYISSIAGANNGSSLVVMAKGTPYTQQSYKVSNSFPFKWINKKWKEGFHVTSMTTAGSRWEVVMSRNSGYSEQVVELDFLYPSEGIHRRWESGYRITSMAATADQTAFILSIPKRKVTDETQEILRTSAFPSTHVKGQKICTLHQYAMVGQFAEETCMVFQPLSLSL >fgenesh1_pg.C_scaffold_3000268 pep chromosome:v.1.0:3:1071752:1072797:1 gene:fgenesh1_pg.C_scaffold_3000268 transcript:fgenesh1_pg.C_scaffold_3000268 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSLRRSKNNWMQAESVSVKNMSVDGRRVCINVLTAAFMGKSSVNRQRMMYDNKDSFRSLKSLHKCDQSSESVFGPNFSANNRFSETGGRKSKERRNLAAIPSRHGSNKDRDRDNSLWTARVEVYRQCLAHRTQEAHGSTKRLIRY >fgenesh1_pg.C_scaffold_3000272 pep chromosome:v.1.0:3:1087249:1089036:-1 gene:fgenesh1_pg.C_scaffold_3000272 transcript:fgenesh1_pg.C_scaffold_3000272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L164] MPPRNVGSLVVAQYHRRRCGSRYFSGKPPHKPIKDMKEEFQGVVDSRSRQAVDGMAKRFPKLFEISSRALTFIYGGRELTVNKENNHIEVEKLKREVTVNKENNQREVEKLTTEVRLNKEDKEREVEKINLQLHSFRQFLSNHVLIPIHQRNPVTAKVEENLQLSRLQDYMARLRREDNSKPSTGSLRPVKSQNAIGHSKAFAVYHYWMFFWMLLTKKPAFS >fgenesh1_pg.C_scaffold_3000274 pep chromosome:v.1.0:3:1092763:1093336:-1 gene:fgenesh1_pg.C_scaffold_3000274 transcript:fgenesh1_pg.C_scaffold_3000274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L166] MPVNLGSIVSQYRRLVGGRSIGYRQARGLCSSIGRNVYGAGEAVGVQGLILRGIALATGYSLGIILPGRGDSAQVFEEKLMKDVRATEELFDQIDSRAGSVSDRKF >fgenesh1_pg.C_scaffold_3000296 pep chromosome:v.1.0:3:1196979:1200637:-1 gene:fgenesh1_pg.C_scaffold_3000296 transcript:fgenesh1_pg.C_scaffold_3000296 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLVRRKQVDSVHLIKNDGPHQLAKKLSAVDLVAIGVGTTIGAGVYILVGTVAREHTGPALAVSFFIAGVAAALSACCYAELASRCPSAGSAYHYAYICLGEGIAWLVGWALVLDYTIGGSAIARGITPNLASFFGGLDKLPVFLARQTIPGVGIVVDPCAALLIMIVTILLCFGIKESSLVQAIVTSVNVCTLVFIIVVGGYLACKTGWVGYDLPSGYFPFGLNGILAGSAVVFFSYIGFDTVTSTAEEVKNPQRDLPLGIGIALLICCILYMLLSVVIVGLVPYYSLNPDTPISSAFGDSGMQWAAYILTTGAITALCASLLGSLLAQPRIFMAMARDGLLPAFFSEISPRTQVPVKSTIAIGVLAAALAFFMDVAQLSEMVSVGTLMAFTAVAACVLVLRYVPPDGVPLASSSQTLSDTDESGAETETFLVDAIESSDSPLLGNETARDEKYFGKRRKIASWSIALVCIGVLGLASAASAERLPSFPRFTICGVSAVILLGSLITLGYIDEDEERHNFGHKGEQKLVGLTFLKNCCLLKSFYSFAELVITWVSLPIRPIPSSSLHIDQHLLDHQHWSWDMDQGLGMATYWKHDLSLLWPISQLTEQCGLRSKDDLYQKNNRSSCLRLVVQCSS >fgenesh1_pg.C_scaffold_3000297 pep chromosome:v.1.0:3:1202458:1203830:-1 gene:fgenesh1_pg.C_scaffold_3000297 transcript:fgenesh1_pg.C_scaffold_3000297 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVPLFDFIDYIQSYTGREKLLRIHHMVTKGLLEEEDELVALQMAFEELTNGTPKWRLQTDYKFLEIISNLINGRLGQQYIATPEILKRMESLYIEELDKRNYRLRYAMKWARDEEEEAKNQCRMENPEKRKVMESLRHQLGRYQYHSGHLEEAFDTLSKLPVCILLVISALHCRKFEEVCILSIKLDTYAPKLDDGDDRKAIHFKSLCARGLANMARGHYYAAAVLFAHMIKFYVKWSTYTFELFAWEDIILYCTWCGLATMPREELEDIAKHMGSQLVGDQFQHLIREYLGGRFDRCLEFMVTHSKPKALLDIYLHEHVNALGKLIEDKLIVEPEAAPTDD >fgenesh1_pg.C_scaffold_3000301 pep chromosome:v.1.0:3:1219836:1221189:1 gene:fgenesh1_pg.C_scaffold_3000301 transcript:fgenesh1_pg.C_scaffold_3000301 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain protein 20 [Source:UniProtKB/TrEMBL;Acc:D7L194] MADQQRGHHTSDSRRKSLAGKRTSQQTPTPSLSSGGVAMAAATTGTGTASPCGACKFLRRKCVSGCIFAPHFGSDQGAARFAAVHKVFGASNVSKLLHHIPVNRRHDAVVTISYEAQARLSDPVYGCVSTILALQQQVASLQAELSVVQSQLINSRVAMANVMQQQTHHQQQQQQTHHQQQQQQLVVMQQPEYSNNSSASTTLAGAAMNSFTVAAEVAAVSYDVMAPTNLEHSLQPMPPHQQRRGDQHEDEEESGADFSVAVGSAAVASEAIFPADGYHRR >fgenesh1_pg.C_scaffold_3000304 pep chromosome:v.1.0:3:1233450:1234195:-1 gene:fgenesh1_pg.C_scaffold_3000304 transcript:fgenesh1_pg.C_scaffold_3000304 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSNHMACLLKIESPTPGWKKSLEKLLKTINDVSFMIDKQSKTVYLSGKIDPQVILEKITKAGKKAVIVWSNNGQSKQPENRKDHLMEQCYASGYMNVPNGFSNYPPPNYWMNQPQPYNHTLCPPPPYTRQLHPQPPPPPPYEFHQKEPVAKSFPPTPVPPKNFTMGDLTLGCMIM >fgenesh1_pg.C_scaffold_3000315 pep chromosome:v.1.0:3:1287733:1289140:-1 gene:fgenesh1_pg.C_scaffold_3000315 transcript:fgenesh1_pg.C_scaffold_3000315 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPMGSGRTGGGGRDSCPEKKEKEKDPTSRLCSDLSDLQIEKIASTPTFQWISVYNKRPPMKQRFHHNVANSRLHHHVEKANNDGLFISCVASEANLWAFVVDKGTGFTSQVYTVISAFLPKDWIMKQWEKNYYISSIAGANNGGSLVVMSKGTLYTKQSYKTSHSIPLKWMDKKWKEGFHVTSMTTAGSGWGVVMSRNSGFSEQVMELDFLNPSEDINRRLESGYRITSMAATADQAALILSIPKRKITDEIQETLRTSSFPSSYIKDKWEKNMFITSICYGRTVC >fgenesh1_pg.C_scaffold_3000319 pep chromosome:v.1.0:3:1311334:1313084:-1 gene:fgenesh1_pg.C_scaffold_3000319 transcript:fgenesh1_pg.C_scaffold_3000319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7L203] MGRNLGSAFRQELANLDKDPDSHKAAMRNLRSIVKDLDANVIHVFVSQLSEVKEIGSESGGYTVSLFEDLARAHGVQIAPHVDIIMSAIIRTLSSSEGSLRIQQACSKAVAAMARYGIDPTTPEDKKMNVVHSLCKPLSDSLIDSQHQQHLALGSALCLKSLVDCDNWRSASREMVNNVCQSLAVALEATSSEAKSHMALVMALSKHNPFTVEAYARLFVKSGLRILDLGVVEGDSQKRLLAIQMLNFLMKNLNPKSICSELELIYQEMEKHQKDQMHYVKMAAHETMRQAERLIGEADPMFDAENCKPQISFSGSIKSTPSLRADDESVYRRGDGSYVNDQDEYDALFSGVASGRTLVSGSPLVTFGDNNQETDVVIESPRIGDQIQCSGVEDDKIESVWFHQRNRSSEFNESVCSRTNRSRSSRRNTKKRQSGDICSKHHRHGFTQDPFTELLDNRQQLQQYSESSSSSSIYDTSGTTTPTNTTEDICEKPKSDLDSKAELKTGETEIDPRHGQSKRVLKLGLCVFSIAVAGFASFMWMHLQDDMMLPHLVPT >fgenesh1_pg.C_scaffold_3000323 pep chromosome:v.1.0:3:1321509:1324441:-1 gene:fgenesh1_pg.C_scaffold_3000323 transcript:fgenesh1_pg.C_scaffold_3000323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7L207] MNHRPKQSAITNSTGVFIIIYALFFLSGGVSGLGVNWGTMATHQLPPKTVVEMLKDNNIQKVKLFDADTNTMGALAGSGVEVMVAIPNDLLQAMGNYQRAKDWVQRNVSRFNFNNGVKIKYVAVGNEPFLTAYNGSFINLIYPALFNIQTALNEAGVGDFTKATVPLNADVYNSPPDNQVPSAGRFRSDIIQEMTQIVNFLAQNKAPFTVNIYPFLSLYLSSDFPFDYAFFDGQNTVNDNGVVYTNVFDANFDTLLASLNALNHGNMEVIVGEVGWPTDGDKNANVQNAERFYSGLLPRLANNVGTPMRKGYIEVYLFGFIDEDVKSVAPGNFERHWGIFKFDGQPKFPVDFRGQGQKKFLTGAQNVQYLLNQWCMFNPNGRGNMSSLGDNINYACSHSDCTALGYGSSCGNLDANGNASYAFNMYFQVQNQEAQACDFEGLATITTQNISQGECNFPIQIGEPSAGHYDYSYGSMVRLCLVMSGLVFLLIAQ >fgenesh1_pg.C_scaffold_3000327 pep chromosome:v.1.0:3:1334878:1336602:1 gene:fgenesh1_pg.C_scaffold_3000327 transcript:fgenesh1_pg.C_scaffold_3000327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:D7L211] MEKLLAGQTNNGALKSKTKIVCTLGPASRSVEMVEKLLKAGMNVARFNFSHGSHSYHQETLDNLRTAMENTCIPCAVMLDTKGPEIRTGFLKEGKPVELIQGQEITISTDYTMEGDSNTISMSYKKLAEDLKSGDVILCSDGTISLTVLACDKNLGLVRCRCENSAVLGERKNVNLPGIVVDLPTLTEKDQEDILQWGVPNKIDIIALSFVRKGSDLVEVRKLLGEHAKSIMLMSKVENQEGVMNFDKILENSDAFMVARGDLGMEIPIEKMFLAQKMMIQKANALGKPVVTATQMLESMTKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAHPETAVLTMSRICKEAENFIDYDTMHKKIQDIVSLPLSPIESLAASAVSTARSLCAAAIVVLTKGGYTVELVAKYRPSVPILSVIVPEITRTDDFEWSCSETAAHVARRGLIYRGIVPVMATGASARSSNKDSTEETIKFAIEFAKKKGICKAGDSIVALHKIDGSSVVKILNVE >fgenesh1_pg.C_scaffold_3000343 pep chromosome:v.1.0:3:1430203:1431358:1 gene:fgenesh1_pg.C_scaffold_3000343 transcript:fgenesh1_pg.C_scaffold_3000343 gene_biotype:protein_coding transcript_biotype:protein_coding MAILNLPRDLIEEIVSRVPLKYMRAVRLTCKTLNALSQSSSFMKLHIGKEAAAIKEGETRMIMLMDCNLYLISVFFKGVDIDPYTEHKDYFCGIPERQILCYEIYDFDSVLWTTLDVTPHWRIVPFGNRSVFLEGNTYYCASERNSEVDGHHGHKDHIICFDYTKERFGPLLPLPFSAGYDDYVTLSCVREEKLAALLTHNEVNLYEFDIWITTKIDAEKISWNKFLTVDSQPDIPNICGVSSLMRRRKSSWVYENLTAEIVGHMCAFMIQVQCKSNLLQETRSVPSIR >fgenesh1_pg.C_scaffold_3000379 pep chromosome:v.1.0:3:1601107:1602573:1 gene:fgenesh1_pg.C_scaffold_3000379 transcript:fgenesh1_pg.C_scaffold_3000379 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L2W6] MKKRGRKSKKPEEKRDEYDPCSILPLELKTEILLKLLPKSIARLGFVSNHWSSIIRGQDFTNLYMSRSLAQPRLLFSVYRPNMQMQFFHSCSQEDPSSDHRRVSYTLSSDLRYWFSPPMGGLIFGRKGTKAIIGNPSTGQFVSLPRVKTQRKDIFSIFGYDPVNDLYKVLCMTVITKRGSQAFKWEDPMWEEPMSEEHQVFTLGPKQKWRMLECHYLHRHHSGSQGICRDGVLYYLASFKDKRSLMTFDLSSEDFNVAKLPEDYTLQQFGYLVNYSGKIAIVTQAYSGPMDLWVLEDASKEEWSKVAAVIPSITDIVGNDQIVIFSGILPTGEIILALLPTPKPPFFFLCYDPKEKNARKVVIEGIGEDSAAVNVFFDHVESHMVLSKDYYANDFTKVTSSGFVWTSFGCLILFIFGEKKRVG >fgenesh1_pg.C_scaffold_3000386 pep chromosome:v.1.0:3:1621332:1623305:-1 gene:fgenesh1_pg.C_scaffold_3000386 transcript:fgenesh1_pg.C_scaffold_3000386 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKPGFRFQPTDEELINYYLKNKIMGNTWLVDDTICEINILNHHPSSFSSLSRIKSNDSIYYFFVPKQYKTETVRKRNTKEKYWYWKHSGRVKVIEDESGNKIGERQGFLYQKYGDPKTKGNKSRWVMHEYKITSLPHHNLDSYVICKIFDKGKEADIQNGNSLSVASQSLVSDLNTISVSTSILPEVEQPGQESLTPYLAQEDDEFLRGLPPAYRGTAQHFLSDQEMQEMYIDSRPKDSVRAINTEPEIEQPGQENVHDLGVPMNEQEDLFPRNAFDPRNDEYWSGLLSYNGGNFEDVFPDQEFIMQENRNDYRPKISLSGIIVDYSSDSDSYAESMSATSYKGTSSPIDTVGSSNRHFLKTCGDEILSLSKDIQISDEPSISRKTRESQLAQCTISSKLEFLSCSYVKQGMVKTEKKGLLITEEAIERKRENPPYISLINMIIGFIILLALIGDIISVYN >fgenesh1_pg.C_scaffold_3000389 pep chromosome:v.1.0:3:1629929:1630511:-1 gene:fgenesh1_pg.C_scaffold_3000389 transcript:fgenesh1_pg.C_scaffold_3000389 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR4-type protein [Source:UniProtKB/TrEMBL;Acc:D7L2X6] MARLSICLFVLLCTFAAKAAAQTASNVRATYHYYYPEQNGWDLYKVSAYCSTWKGNQPIEWRRKYGWTAFCGPTGPRGRDSCGRCLRVTNTATGTQATVRIIDQCSNGGLDLDDGVFRQLDTNGQGYARGHLIVNYEFVNC >fgenesh1_pg.C_scaffold_3000390 pep chromosome:v.1.0:3:1631476:1631996:-1 gene:fgenesh1_pg.C_scaffold_3000390 transcript:fgenesh1_pg.C_scaffold_3000390 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR4-type protein [Source:UniProtKB/TrEMBL;Acc:D7L2X7] MSRLSLCVFVLLCAFAAKAAAQSAPNVRATYHIYNPAQNNWDLYRVSAYCSTWDGNQPLEWRQRYGWTAFCGPVGPRGRDSCGRCLRVTNTATGTQATVRIVDQCSNGGLDLDEGVFRQLDTNGQGNARGHLIVNYEFVNC >fgenesh1_pg.C_scaffold_3000425 pep chromosome:v.1.0:3:1791038:1791860:-1 gene:fgenesh1_pg.C_scaffold_3000425 transcript:fgenesh1_pg.C_scaffold_3000425 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFEQKSQTFEAEKSEAGDLKKLVEECTEELRSKRNLLTVRLDSLTRVQRELESKDNQLGQVMAEIKRRCTEARNVQERKREVEDETASKKKELSLIVEQIEESDKQLENKSREVELKEKDIEENRKELDLVKSQVKAWERKLIQLRKLVDDDCTRELSPRKDHVDSSNNTHVLLRQSKLLCHHLMLVPSMSPKHPPLFYTHQRKETLKDPEETEEKLRLKGDITN >fgenesh1_pg.C_scaffold_3000427 pep chromosome:v.1.0:3:1800317:1801675:-1 gene:fgenesh1_pg.C_scaffold_3000427 transcript:fgenesh1_pg.C_scaffold_3000427 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWFLSFEAARAKKLAEEMMRAGDFFGDHKFGTKAQRTCNFFADTFWTRCVNCGHGHTYSRECMNTVMHCSICQKSYLACNIGGEPISSTAGEKESQDGETEKRRKTVDDECNKEIKQNAEDYTQLQKKMGEMEKPIDDKLKQHEEFMHDVKPTHVQQPDSCSDHMKKVQGKITSTVVIAMAENEYLSLLRDETIYHTLVDASRQAAEIQNVTDRWNNMPPALKTIRDAIFDKGRMLFAREAQQRQRKQELEAKILSIREIEALGIEIAELHQRKGTLTLESSDLEKESEDSQQQLNTLQEQNTKRLHDHLVLMNQLEEKKTELESLKDQSASTTRKLRRKQKKLDSVVKDVRWIEDQIIEKEEEEREVKEKYERGKTDLTRIKTELDYYKRHSKRIRKKINDDLLALNKANKSIVDISTQF >fgenesh1_pg.C_scaffold_3000429 pep chromosome:v.1.0:3:1811612:1816550:-1 gene:fgenesh1_pg.C_scaffold_3000429 transcript:fgenesh1_pg.C_scaffold_3000429 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTRPVHVRNKSTEPGTPSSPMMTSPLMHRHTRSGSNAGPASNAKRAQTKAAAQRLAAVMSNQTGDEEDSDEDLSFDYNASGTGSIGLASGRSHPSRSPVIRNPMARRPQMATPQLADEDNEDDDFSVDVSSSRPSIGLPGGRAMRPQSPVMTKIAPPRRTQPANEGNKNDGDDDDVVSVDYAIGRPSIGLGSGKAMRPQSSMNKTQPQGRPVMAAHQPVDEDNEDDETPYVYTSGIPSVGLPGGRGARSRSPLTKNPPLRHPQAVAQPPANKDSDADYDESYTSGMPSIGLAGGRSMRPRTPLIFRNPSIKNQFDTLIHHSTITLFIGGLKFAKSIRTKEQPQTGLPTSGSRSSLCEDSTESSAIPSQTTNQVEQSPSARSAFSTKSSQSLSAMDQPPSARSSFSGRPIRTVPLMPSSVPISLKPVTPAFQSDTPTNLRKDKRFSMDMGSSGNLRELGSQRSTSALQDEVDMLQEENESLLEKLRLAEDKCEEADARAKQLEKQVEILGEGVTMDARLLSRKEAALQQREAALRVASQNHGGRREDVSALHTEAEIAREEAASSLEQLHEVELELNSLKTVTKRLVLTQEEMEEVVLKRCWLSRYWGLCVRHGIQADIAGAKHEYWSSFAPLPLEIVLSAGQRARDGVSQSNNTYGEREKSLQNLHETSGEGNLENMIWVEKGLRELASLKVQEAVAFVMAQNRRNTSSKFFVSELSDEEVEDVSFKQAWLSYFWRRAKNHDIESDLADERLQYWINQGTRSATSQDAVDVERGLMELRKLNIESQLWQKSRKGLDHEANPSHLELSF >fgenesh1_pg.C_scaffold_3000440 pep chromosome:v.1.0:3:1860149:1862221:-1 gene:fgenesh1_pg.C_scaffold_3000440 transcript:fgenesh1_pg.C_scaffold_3000440 gene_biotype:protein_coding transcript_biotype:protein_coding MEPENSQPFTFRSNPPDQEPAAAQTEDHSRKRVSAYDLMVVDSDLEGVDKKMDKLRNILEQIQKKASSVLEFSPWWEEIDEELDLLKQRAMEVDLKEASLKTQILELEKKEERLKLVEERAMKIEIESDLKRFLEENVARLVLEKQNEEMVVAQLNAHEKLLQGSMKLKHEELMREVEARREEVALLSKSIDAKTCDLDMKVKAFDLKQTTESERMRMETELIETSLKQLEARENELRLLNETIKEKSTELEKKEENFQLIQQAQARDVEVKIKFLELREKELGEREKELELKQREVQERSIQAGTRKRTRVESGPSLDAESLTQHNQNDEDKEKDSASVLSASTSSREAHEEDIEEVVVSIVRGTHHNDEDHEPLMCVVDSEFNDFSKTMSSIMAGQVWALYDNIDSMPRCYGRIKKVNKCQSSLQVTWLEPKDEESVLAACGRFKWGNTETVKSHLAFSHEIHPIIRGKHFIAVNPSKGETWALFRDWSKSWNNNRKQHKPPYRYDFVEVLVNVDDCLGVGVAYLGKVQGFASVYKQAGQHGVISFMITPEEMQRFSHKVPSFRLNGEEKEGVPVGSFELDPAAIPSSILKHDRSIRKEAEETEKQSEDCGKTGEVEDKDGSRKDFPIILD >fgenesh1_pg.C_scaffold_3000446 pep chromosome:v.1.0:3:1885341:1889451:1 gene:fgenesh1_pg.C_scaffold_3000446 transcript:fgenesh1_pg.C_scaffold_3000446 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENRSIIEKGLLLVNKEESANTTPLLVFTTFIIVSASFSFGVALGHTAGTMASIIEDLDLSITQFSVFGSLLTFGGMLGAIFSATIADSFGCKMTLWISEVFCISGWFAIALAKNIIWLDLGRFFVGIGVGLLSYVVPVYIAEITPKTVRGTYTFSNQLLQNCGVATAYYLGNFISWRIIALIGILPCLIQLVGLFFVPESPRWLAKEGRDEECEVVLQKLRGDEADIVKETREIMISVDASANISMRSLFKRKYSHQLTIGVGLMLLQQLSGSAGLGYYVGSVFDLAGFPSRIGMTVLSIVVVPKAILGLILVERWGRRPLLMASAFGLCLGCISLALAFGLKGVPGINVNVTPTLAFIGILTFVMMFAAGLGALPWIIMSEIFPMDMKVVAGSLVSITNWFTGWIVSYCFNFMLLWSPTGTFIIFATICGATIVFAWCLVPETRGLTLEEIQLRRAH >fgenesh1_pg.C_scaffold_3000465 pep chromosome:v.1.0:3:1974032:1976027:-1 gene:fgenesh1_pg.C_scaffold_3000465 transcript:fgenesh1_pg.C_scaffold_3000465 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRDIILLKRMLGSGGFDQATLTTVLSVCDTPEFSLVTTMMIHALAIISGYDKGCFFRGEQTDHILFQMWMFGFREMAHRNVKQYHPDVNKEGSNSDIMIRRIIQAYEMLTNYSRSEIIEGECLDPFDHPECEALDVFVNEILCVGKRCSYPCFKTASHVFSCDSSGTARAMSQGHGEDYRVQSAVNQCPKNCIHYVTPSQRIILEELLDSVVDKPYDCSAEAEFLYALIVKAQYENNRYQKPKKKQSESSGKHVDWL >fgenesh1_pg.C_scaffold_3000505 pep chromosome:v.1.0:3:2184307:2185271:-1 gene:fgenesh1_pg.C_scaffold_3000505 transcript:fgenesh1_pg.C_scaffold_3000505 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLALATTSLAKRKAESDPADDIEESGDEEYEYVDSEEDENEEPVDEAMAFALALLAKRKTVAEESGYEVDESGDEKEESGVEYSYSGDMLVIKSKWWVEPEWDVDSFDGLEYDSSEEEDEMSNEEDELKWRRVKRQLIESKGFYVDPELMPMQNYSPIKAVADLEWSAGLGQTYREYFAGWLVSVSKHSTNTRFTCDNISFVLPGLNVEFVEVVRGAFSAGPKSKSYITCMAREKPDGPLVEYQCKAWSTFVQHENYPILCRPAPITKLSNQN >fgenesh1_pg.C_scaffold_3000517 pep chromosome:v.1.0:3:2234400:2235139:1 gene:fgenesh1_pg.C_scaffold_3000517 transcript:fgenesh1_pg.C_scaffold_3000517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4N1] MILFNKDVTKLLNISATDLVKYYDQDANNDKDAQTLKIPKKEDRKKDDNSSNTNHHEETKSKTQRLPKKRDNTSTGEIRTVKRKSLPNKRVITSKEEMTNKDENLDDTEDETPIAKRAQRKTKHIINDDFV >fgenesh1_pg.C_scaffold_3000523 pep chromosome:v.1.0:3:2261751:2263583:1 gene:fgenesh1_pg.C_scaffold_3000523 transcript:fgenesh1_pg.C_scaffold_3000523 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPEKTTVDPLLRDLDEKKESFRRNVVSLAAELKQVRGRLVIQEQSFLKETITRKEAEKRGKNMEMEMCKLQKRLEERNCQLEASASAADKVILTEFIILFLRTQFIKELEEFRSKLDATKQTAEASADSAQSTQIQCSLLKKQLDDKTRSLREHEDRVTQLGHQLDDLQRGLSLRECSEKQLREEVKRIEREITESITKAGIDGMDCELQKLLEDVSPMKFERMNRLVEVKDEEITKLKDEIRLMSGHWKHKTKELESQLEKQRRTDQDLKKKVLKLEFCLQEARSQTRKLQRKGERRDMEIKEIRDLISDKQQLNNESWDKQKFWDNSGFKIVVSMSMLMLVVVSKR >fgenesh1_pg.C_scaffold_3000533 pep chromosome:v.1.0:3:2316172:2318185:-1 gene:fgenesh1_pg.C_scaffold_3000533 transcript:fgenesh1_pg.C_scaffold_3000533 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLPIYLIIVAFLCTVGAIALALFHIYKHLLNYTEPIYQRYIVRIVFMVPVYALMSFLALVLPKSSIYFNSIREVYEAWVIYNFLSLCLAWVGGPGSVVISLTGRSLKPSWHLMTCCFPPLPLDGRFIRRCKQGCLQFVILKPILVAVTLVLYAKGKYKDGNFSPDQSYLYLTIIYTISYTVALYALVLFYVACKDLLQPFNPVPKFVIIKSVVFLTYWQGVLVFLFAKSGFIRDEEEAALFQNFIICVEMLIAAAAHFYAFPYKEYAEANVGGARSFSGSLAHALMLNDFYHDTVHQFAPTYHDYVLYNHNDGGEEGTRKYRARTFVPTGQEMDAVRKNKHMFGNKIDGVSVSSQSSETSTPKTSGDPARPESMKSSLLVDASDSVSTMYDMSLIDIDISSYPSKVPFANISGGPK >fgenesh1_pg.C_scaffold_3000562 pep chromosome:v.1.0:3:2468860:2470237:1 gene:fgenesh1_pg.C_scaffold_3000562 transcript:fgenesh1_pg.C_scaffold_3000562 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTQIPDNSSSSSNQSPTKPESLRSLEFQLGSSFTADPIIPPPNQIVIVISGPSGVGKDAVINKLREVREGLHFVVTATSRPMRPGEVDGKDYFFVSRDQFLSMVENEELLEYALVYGEYKGIPKKQIQEFMAKGEDIVLRVDIQGAQTLRRILGNSAVFIFLVAESELAMVERLIDRKTESQEELLVRVATAREEVRHLKNFDYVVVNAKGRLDDAVNRVESIIDAEKSKEDLVQLICLGSFLQKFLGLAMSFRNYGLGIPNANIACCLQSRFHREPRQFWYLYKGNEHSGDSSLLQGILLNQS >fgenesh1_pg.C_scaffold_3000576 pep chromosome:v.1.0:3:2528283:2529439:-1 gene:fgenesh1_pg.C_scaffold_3000576 transcript:fgenesh1_pg.C_scaffold_3000576 gene_biotype:protein_coding transcript_biotype:protein_coding MERNIGAGARSKHRGGGGRGRGPNPLKNPQRGGQEPQGRQHHHREQEFLGEFGDLSVILKEWRDIAHIIGPVLKRRAAICWAIVFARLLEAAHNIALDEETKSFIEISINDLVKKIKKDTKDMEIGSLKKCFKIIRESGLAKKSPTSVQNDELAQKITWVFASEEKANMTFIVTKLNRSPVGIIIEIDEEFGELREGIYKVRRVQPDSNGDILRHALLITGHGHTPNGRHFLITRNSWDTDWGVKGYGRIAIEGDMTCLVFYPTF >fgenesh1_pg.C_scaffold_3000604 pep chromosome:v.1.0:3:2703257:2704877:1 gene:fgenesh1_pg.C_scaffold_3000604 transcript:fgenesh1_pg.C_scaffold_3000604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L5I9] MTSDRFWRGGDRVEVERLVSGATAYFPATVVSAPSVRKKLVWVEHESLTVGGSVSVRMKEYVIPTRLRPSPPRELNRRFKADDEVDVFRDSEGCWVRGNVTTVFEESRYIVEFKGGNRPEIEVDQFDLRLHREWQDGAWVPSLLQQSNFLESKAQSIKLKIKIKRRDQYEKGALVEVRSEEKAYKDSWYCARILCLLGDDKYIVEHLKFSRDDGESIPLRDVVEANNMRPVPPSELPPIVCYEPGVIVDAWFNKRWWIGRVSKVLGGGSKYSVLIISTGEEPTILNFNLRPHKDWINGQWVNPSKEECYKPPLKKLKSCERAEKVFKNGMMVEVRSDERGYEGSWFSAKIVSYLGGNRYTVEYQTLTTDDEGELLKEEARGSDIRPIPPPLIPKAYRYESCEDVDAWYNEGWWSGRVYTINNNYTRYGVYFKTTDERLEFAYNDLRPCQVWRNGKWSRA >fgenesh1_pg.C_scaffold_3000623 pep chromosome:v.1.0:3:2793673:2795946:1 gene:fgenesh1_pg.C_scaffold_3000623 transcript:fgenesh1_pg.C_scaffold_3000623 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKTFWIIVIFTVSILVTISTPVESKCAPPSESESPSPAPAPGQEVMKPITSPANSPAELDTYSPAASPEAPADSISPTNAPAETDIDSPAASPEAPVASPEAPAESSSAAPSGINPPLLSPEIKTICGKTDNPPLCKSSVSPLLTPQLKPDTSSVLILAIQASINATKAAMATVEKAGASDCQELYDDAVVNLEDAVNAVKSRDIATVNTNLSAAMTDYSTCNDGFEEAGEPNPLADVADKLTKMVSNCLAISTLIKGSQLIPSVVYRERGSGNSPRVRQLKVCGPLSIEGGSVAKRIFFRRFKIGDLDLAEGNFQPEIPQTS >fgenesh1_pg.C_scaffold_3000654 pep chromosome:v.1.0:3:2931535:2933104:-1 gene:fgenesh1_pg.C_scaffold_3000654 transcript:fgenesh1_pg.C_scaffold_3000654 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLLDRGVDRICYVQFPQRFEVIDPNDRYANHNTVFFDVSMRALDALQGPMYVGTGCIFRRTALYGFSPPRATEHHGWLGRRKNTTKKRMMMEILNLYFSQRDLVTNSFVAEYQGRLLQDLQGKGKNSRTAGSLAVPREPLDATTVAEAISVISCFYEDKTEWGKRVGWVYGSVTEDERDGYWKMMQKYIGSDVTSMVTLPVIIFEPMTMLQKMAELMEYSHLVDMADKTEDPYMSMVYASSWAISVYYAYQRTWKPFNPILGETYEMTNHNGINFIADLQVCHHPPMSAGHAKNEHFAYDCTSKLKTKFL >fgenesh1_pg.C_scaffold_3000662 pep chromosome:v.1.0:3:2973994:2975624:1 gene:fgenesh1_pg.C_scaffold_3000662 transcript:fgenesh1_pg.C_scaffold_3000662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L628] MNCFSCFYFHEKKKVPRDSDNSYRRNGELTGRDNNKTHPENPKTVNEQNKNNDEDKEVTNNIAAQTFTFRELATATKNFRQECLIGEGGFGRVYKGKLEKTGMIVAVKQLDRNGLQGNKEFIVEVLMLSLLHHKHLVNLIGYCADGDQRLLVYEYMPRGSLEDHLLDLTPDQIPLDWDTRIRIALGAAKGLEYLHDKANPPVIYRDLKAANILLDGEFNAKLSDFGLAKLGPVGDKQHVSSRVMGTYGYCAPEYQRTGQLTTKSDVYSFGVVLLELITGRRVIDTTRPKHEQNLVTWAQPVFKEPSRFPELADPSLEGVFPEKALNQAVAVAAMCLQEEATVRPLMSDVVTALGFLGTAPDGSISVPHYDDPPQPSDETSVEDSVAAEERERAVAEAMEWGVASRAHSRNPSAS >fgenesh1_pg.C_scaffold_3000670 pep chromosome:v.1.0:3:3008333:3009074:-1 gene:fgenesh1_pg.C_scaffold_3000670 transcript:fgenesh1_pg.C_scaffold_3000670 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTARRKIKLFCPSVSKIVEWVAWNDEKIDFRAIAAAFGLEPSTVKLNGHFISRGFDLIATCVTWHSLLTFFSARGLSTGKHEADALLVHGKLSKLGTKRAHSDPQEDLIKTKKLKDKCSVEESPISGCNKRKLLSQDSHSLKKLKLNMDDSFGGSGSKTPLKCSFISDNGLKRTRDDDMIASASCKKIR >fgenesh1_pg.C_scaffold_3000671 pep chromosome:v.1.0:3:3009776:3023558:-1 gene:fgenesh1_pg.C_scaffold_3000671 transcript:fgenesh1_pg.C_scaffold_3000671 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAESSWERLVSAALRRDRTGGVAGGNQSSIVGYVPSSLSNNRDIDAILRAADEIQDEDPNIARILCEHGYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREVGNIDRSQDILRLQEFYRLYREKNNVDTLKEEEKQLRESGAFTDELERKTVKRKRVFATLKVLGSVLEQLAKEIPEELKHVIDSDAAMSEDTIAYNIIPLDAPVTTNATTTFPEVQAAVAALKYFPGLPKLPADFPIPVTRIADMLDFLHYIFGFQKDSVSNQREHIVLLLANEQSRLNIPEETEPKLDDAAVHKVFLKSLENYIKWCDYLCIQPAWSNLEAISGEKKLLFLSLYFLIWGEAANIRFLPECLCYIFHHMVREMDEILRQQVARPAESCMPVDSRGSDDGVSFLDHVIAPLYGVVSAEAFNNDNGRAPHSAWRNYDDFNEYFWSLHSFELGWPWRTSSSFFQKPIPRKKYELKTGRAKHRGKTSFVEHRTFLHLYHSFHRLWIFLAMMFQALAIIAFNKDDLTSTKTLREILSLGPTFVVMKFSESVLDVIMMYGAYSTTRRLAVSRIFLRFIWFGLASVFISFLYVKALKEPNSDSPIFKLYLIVIAIYGGVQFFFSILMRIPTCHNIANKCDRWPVIRFFKWMRQERHYVGRGMYERTSDFIKYLLFWLVVLSAKFSFAYFLQIEPLVSPTRMIVKQNNIPYSWHDFVSRKNYNALTVASLWAPVVAIYLLDIHIFYTIVSAFLGFLLGARDRLGEIRSLEAIHKLFEEFPGAFMRALHVPLTNRTSDTSHQAVDKNKVDAAHFAPFWNQIIKSLREEDYITDFEMELLLMPKNSGRLELVQWPLFLLSSKILLAKEIAAESNSQEEILERIERDDYMKYAVEEVYHTLKLVLTETLEAEGRMWVERIFDDIKASLKERNIHHDFQLNKLSLVITRVTAFLGILKENETPEHEKGAIKALQDLYDVMRLDILTFNMRGHYETWNILTQAWNEGRLFTKLKWPKDPEMKALVKRLYSLFTIKDSAAHVPRNLEARRRLQFFTNSLFMDVPPPKSVRKMLSFSVFTPYYSEVVLYSMAELTKRNEDGISILFYLQKIYPDEWKNFLARIGRDENALEGDLDNERDIIELRFWASYRGQTLARTVRGMMYYRKALMLQSYLERKAGRDDEDATDAEGFELSPEARAQADLKFTYVVTCQIYGRQKEDQKPEAVDIALLMQRNEALRIAYIDVVDTPKEGKSHTEYYSKLVKADISGKDKEIYSIKLPGDPKLGEGKPENQNHAIVFTRGNAIQTIDMNQDNYFEEALKMRNLLEEFDRDHGIRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLAKPLKIRMHYGHPDVFDRVFHITRGGISKASRVINISEDIFAGFNTTLRQGNVTHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLLDFFRMMSFFFTTVGFYLCTMLTVLTVYIFLYGRAYLALSGVGATIRERAILLDDTALSAALNAQFLFQIGVFTAVPMVLGFILEQGFLQAIVSFITMQFQLCTVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVKHIKFSENYRLYSRSHFVKGMEVILLLVVYLAYGNDEAGAVSYILLTVSSWFLAVSWLFAPYLFNPAGFEWQKVVEDFKEWTNWLFYRGGIGVKGAESWEAWWEEEMYGIVYKLQLQGSDTSFAVYGWSWVAFAMSIVLFKVFTFSQKISVNFQLLLRFIQGLSLLMALAGIIVAVVLTKLSVTDIFACVLAFIPTGWGILSIACAWKPVLKRMGMWKSIRSLARLYDALMGMLIFLPVALCAWFPFVSTFQTRMMFNQAFSRGLEISLILAGDNPNSGL >fgenesh1_pg.C_scaffold_3000679 pep chromosome:v.1.0:3:3052642:3055519:-1 gene:fgenesh1_pg.C_scaffold_3000679 transcript:fgenesh1_pg.C_scaffold_3000679 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHPYSAKQVGDEFARQYYQTLQNSPENIYLLYKDNSKISRPGLDGTMRVFTLSDVDENDLKMQSSGGFDSVEVTSVTSQDSHEKGIVVAVYGYFTFNERPARNFTQSFFLAPQEKGYFVLTDMFKFVDIPEANDVIEEKVPETEEAALRVSENVPKLSYASVVMKEIRIGQGQHFSSCDYSPEIKPINGNSRESQMVSEGAAICVKNLPLNATIALVKNALKQFGEIRRGGVKVRSTKYYEGKYAYVEFEEADAANRAIMASPLSIDGYRIYLEKKQPYYKKSGQHSSSCDHSTDIKADAGDDTGNGNSQESQGKKFEEAAGICVQNLPPNATIALVERVFKQFGQIKKGRIQVRNPAKSNYWYAFVEFEEADAAERAIKASPLNIDGYTTDVEKKLPYYKSEYVGESGRYCESPFAGPGNIHRGEGVRGSLGNPFCNRQKMMEEVRGIDVRQKLMMGSRGAEVYDLHKWQKIMDQSEEQMKFQEEEGRQHNQNRYRSEEVRGTEGVVGLEEEENQNWEKLTEEQRKIQEEEGRQYNQNRYTREKTKETGGVGEEVRGTEAHEANNASKLQEEAGQTEVVHSWDYEQIMEEHRKFLEEQEEESKLNLTSYVEDIEAFYLGVL >fgenesh1_pg.C_scaffold_3000680 pep chromosome:v.1.0:3:3056657:3057992:-1 gene:fgenesh1_pg.C_scaffold_3000680 transcript:fgenesh1_pg.C_scaffold_3000680 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKFGEDENVDVELELCLSLGGPFKKTEKSKPIGPSESVECAKGTGVNLEDETTNVTRIKETRKKREAKQQQRSGEEEECKRMRTECNGVTNGEDMDLSFSKMGNGYGSGQLKENRKDVTIGSPICTSSDVSDPSSSSRHEGGSGDIGAQSGQTKPVGPPVNNIGIGTEQTVHSTDGSKDAVVVETQALSNSVTKKTGKPPKPHTNSNGNGSLLPFAQMPCVTSTGNGPEGKTVNGFLYRYSKSEISIICVCHGTSFSPAEFIVHAGGTNVSHPLRHITVVPSTL >fgenesh1_pg.C_scaffold_3000726 pep chromosome:v.1.0:3:3257749:3258537:-1 gene:fgenesh1_pg.C_scaffold_3000726 transcript:fgenesh1_pg.C_scaffold_3000726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L713] MIFVQRLLVTKRSRWDFSIGYLFDFYLSSWDIALQKLREIYGESTEIHDLRDEMWSGLGKSVCSRGENIWSYLSDNAARKWDVDCILDIQIAQLKQADIFQKVEEENPQIDEEEIIKKTGGSWFSWKISSSED >fgenesh1_pg.C_scaffold_3000728 pep chromosome:v.1.0:3:3265941:3267716:1 gene:fgenesh1_pg.C_scaffold_3000728 transcript:fgenesh1_pg.C_scaffold_3000728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7L715] MGTSSGSNLPHQMLPPRQQLQTSLSLVSSDPHLSRSNSGIVRESPAESASSQETWPTSKSIMGNKTESGKRGPDSHDQLVIRHVSIADKVSLRDIARERVDIVAERMHRLPEENLDELKNGLKAILEGNGAQPIDEFMFLQKFVQTRSDLTSKTLVRAHRVQLEILVVINTGIQAFLHPNINLSQSSLIEIFVYKRCRNIACQNELPADGCPCDICANRKGFCNLCMCVICNKFDFAVNTCRWIGCDVCSHWTHTDCAIRDGEISMGVSPKSVSGMGEMLFKCRACNHTSELLGWVKDVFQHCAPNWDRESLMKELDFVSRIFRGSEDTKGRKLFWKCEELIDKIKGGLAEATAAKLILMFFQEIELDSPKSLENGEGGGTIAPQDACNRIAEVVKETLRKMEIVGEEKTRMYKKARMGLEECEREVEEKAKQVAELQMERQKKKQQIEEVERIVRLKQAEAEMFQLKANEAKVEAERLERIVKAKKEKTEEEYASNYLKLRLSEAEAEKEYLFEKIKEQESGGNGGEASQAVMYSKIREMLHGYNASSSPRVDPRSNQRNPFRSNP >fgenesh1_pg.C_scaffold_3000740 pep chromosome:v.1.0:3:3306182:3307752:-1 gene:fgenesh1_pg.C_scaffold_3000740 transcript:fgenesh1_pg.C_scaffold_3000740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor [Source:UniProtKB/TrEMBL;Acc:D7L729] MADESNEIKEEVKHDQEILRRVFNILRENSPELVGVWLLTIIWPPQVLREGTETGTQKTVFVNFMDYCKTMRRPPDHVMAILLGEMGTRGTLDGQQRLVVRGRFTQKHFESLLRRYILDYVMCSGCKSTDAIISRENRLSFLRCEKCGSERPVGANPPEKNIFLSQEDLIYW >fgenesh1_pg.C_scaffold_3000780 pep chromosome:v.1.0:3:3468007:3470966:1 gene:fgenesh1_pg.C_scaffold_3000780 transcript:fgenesh1_pg.C_scaffold_3000780 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L778] MVHPLVDRATSDMLIGPDWAMNLEICDMLNHEPGQTREVVSGIKKRLTSRTSKVQLLALTLLETIINNCGELIHMQVAEKDILHKMVKMAKRKPNIQVKEKILILIDTWQESFSGPQGRHPQYYAAYQELLRAGIAFPQRPQTTPSSGQTGPSTTYPQNSRNTRQEAIDTSTESEFPTLSLTEIQNARGIMDVLAEMMNAIDGNNKEGLKQEVVVDLVSQCRTYKQRVVHLVNSTSDESMLCQGLALNDDLQRLLAKHEVIASGNSMIIKEDKSKKEVPKHTTQIIDVGSSETKDGSVVASTTNGPKIDLLSGDDFETPDADISLALVPLGPPQPSSPIAKPDNSIVLIDMLSDNNCESSTPTSNPHANHQMVQQHYSNGFGPGHQEQSYYGQGSSAPVWNLQITQQHQQPSSPAYGNQPFSPNFSPPASPHYGGQNNNVLALPPPPWEAQSPSSSPQYSPTHPMQVTQVVITTHTHQPLGYNPQGGSPHATNNNNNLFGMFLPPIAGGHMPPFGHNPNVTNNNYNPIMYGGYGGHAQPPQQYLVEQQMYGMSLQENGNNNTNPYQVSSHQPPPMNKKPEDKLFGDLVELSKFKKPTSGRAGSM >fgenesh1_pg.C_scaffold_3000791 pep chromosome:v.1.0:3:3513597:3515202:1 gene:fgenesh1_pg.C_scaffold_3000791 transcript:fgenesh1_pg.C_scaffold_3000791 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVSSNMFDIKKNALKKLSKQQSAYRVKLLSSYKEMVSVTMLNLVNLGNLFSCNLESIKKTLEKCRFGTCHIDMITKKMAEEFVEMFIREVMIKGLLIMELISLSTEVPQPINNTEPVLPRVKENNFGISSISHTNQPTVEILQIWAEFEAEETDRNFSGKIGYVGSWIGEGGLFAVVNTLVCDKLE >fgenesh1_pg.C_scaffold_3000839 pep chromosome:v.1.0:3:3719018:3727753:1 gene:fgenesh1_pg.C_scaffold_3000839 transcript:fgenesh1_pg.C_scaffold_3000839 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCNHCVKNVPGIRPYDGALACNLCGRILENFNFSTEVTFVKNAAGQSQASGNIVSSVQSGIPSSRERRYRIARDEFTNLRDALGIGDERADVIDMAVLFFKSAVEQNFTKGRRTELVQASCLYLTCRELNVPFLLIDFSSYLRVSVYELGSVYLQLCEMLYIADNQNYEKLVDPSIFIDRFSNILLKGTHNKAVVKTAIAIIASMKRDWIQTGRKPSGICGAALYTAALSHGIKCSKSDIVNIVHICEATLTKRLIEFGNTESGNLNVDEITERESHKRSSTMKPTSNKEAVLCMHQDSKPFGYGLCKDCYEDFINVSGGLVGGSDPPAFQRAENERMEKAAREENEGGISSLNHDEQLYDLILKISCAELLTVRLLQYEQKRKTIFCSSLSFERLRIYLCCVAEKGERNKDGDEEHADTSDESDNFSDISDDEVDGYINNEEETHYKTITWTEMNKDYLEEQAAKEAALKAASEALKASNSNCPEDARKAFEAAKADAAKSRKEKQQKKAEEAKNAAPPATAMEAVRRTLEKKRLSLVINYDVLEELFDTSSNEHEKGENEDEAEEDEEEGSVESYDMNTDFQNGEKFYEEDEGEEEDVKCVGPDDFTCSMVLQMDLQEEAGEVKVEDQCVENKQSTPASCSSVSEGSAGSSHKSPTIASPPATVSPTHRYLGRTSGPIRRAKGGWTPAEDETLRRAVGTYKGKSWKNIAKFFPDRTEVQCLHRWQKVLNPDLIKGPWTQEEDEKIVELVEKYGPAKWSVIAQSLPGRIGKQCRERWHNHLNPDINKDAWTSEEEVALMNAHRSHGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKSEFYSMTGRLPPPTTAKNGVPDSVTKRSSSSQKRVFGSVTQTSSGTTDKNNPDEDRNGQINSTVPVEEVVAASRMTGVNEYARSPQLPNPEPLPENGGAANNGYHLYYTPQIEYYMASEVDTQRMYGYECGCSPSASPVSFFTPPPCRNAYSNGSTPRSPESYLREAARTYPNTPSIFRKRRPRVVVEDNNNAEKTDEAKEVDQKVNDGKDSSESPNCEEIQKNGSNAYNLSPPYRIRSKRTAVFKSRQLEFISAEEEKADDETKSSEKDMLIDGDSQLLIPSEEIYGGSEYKIVEYERTVYVRFEAKNVTYKLHKGRWRKADLAIMNHGLNLPYLYHFVIENVFYRYNKRRIDWYDSKERSWTTLKGLERLPSTLSRSNRLKLAIMVEKWYFCGKSMCLLTTIKRR >fgenesh1_pg.C_scaffold_3000850 pep chromosome:v.1.0:3:3757702:3760255:1 gene:fgenesh1_pg.C_scaffold_3000850 transcript:fgenesh1_pg.C_scaffold_3000850 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTAWLERLGLALRTAMACLIVSLTTLYGPKPLRHFTTFPAFSYLTTILIWLSDAEPTYGEVLKCCLDVSYATFQTIAIVLVSVLVVGPASLGNGLVAPVAVALASFLVAFPVSTSLLTKRIAFGQIVVVYVTFVVFNGEVAHVFMLPVHVAGSTALGAIASLIAVLLPFPRLAHSQMSKGCKLYAENALERVNMFVEIMMARDNTTAQALLARAASLSVAAKNTLKNIKIHHERMAWERPDTRFLRRKQKLDPGEKLHATEFLMRGLELALGSCSSFPQSMSRDELTCLLEGPRTQIASNSASTLKSQDSLGWHLEAGSLSTAALPVCFFRYCVELFRGDFLSLRQDSKSVNISNTEEEIHPEHEGLSMARKVWDILCVWMARERFVFAFKCSISLGLAVLFGIMYNKKNGYWSGLTVAISLVSGRQATLTVANSRLQGTAMGSVYGLICCSVFQRLEEFRFLPLLPWIILAVFMRHSKVYGQPGGVTAAIAALLILGRRNYGAPTEFAIARIVEASIGLLCFVFGEILVTPARAATLAKTELSHCLDALLDCIQSLVLCSEQKNQKTSVVTDLRKRQAKLKFHVEALERLTAEALTEPKIPFLCPLNAVSYNKLLGSFSKISDLCLYVCDGLKNLSRVQPTLGFPWDNITHELRAFQEKLHPSVKCSLTKSQARLQKELQKRKICHDVEAGTTSNENYSNMELGPSQDDAERFSVSFVMLLKEATDKISDNTAEEVLKSETALCLSSLGFCISRLMQETICIMIEITHTT >fgenesh1_pg.C_scaffold_3000852 pep chromosome:v.1.0:3:3766158:3768317:1 gene:fgenesh1_pg.C_scaffold_3000852 transcript:fgenesh1_pg.C_scaffold_3000852 gene_biotype:protein_coding transcript_biotype:protein_coding MASPANFQLSRPKFNLLTYLERKSPQNLSFPLLFRDQANLTAAAALFSASFYFVYKPAATTPVAVVEETLEILSNDVKALSLLTEIKFKSDRHEQAIEVLDRLIEIEPDERKWPAMKARILSYHGKSELAIEAFERILEKDPIRVDAYHYLVMEYYNSKPKLTELEKRINKVIRRCKKEKKAKEICGFRMLIAQIKFIQGNSLEAIRICEELVKDDPEDFTIYLFQGIVYILMNKEEEAAKQFEQVARVMPMNHPSRETATTSKQWRVIVAYDNAFDAFLVYQVWKMKKRRFYILQHSYDFCKKIGGLNSSSRIKVHELREKSKSDLQNQLKELKAELALLRVAKVTGGAPNKLSKIKVVRKSIAQVLTVSSQKQKSALREAYKNKKFLPLDLRPKKTRAIRRRLTKHQASLKTEREKKKEMYFPIRKYAIKV >fgenesh1_pg.C_scaffold_3000862 pep chromosome:v.1.0:3:3803769:3805086:-1 gene:fgenesh1_pg.C_scaffold_3000862 transcript:fgenesh1_pg.C_scaffold_3000862 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVQMTFVQMTFVQEKEEAQIESKGPEAFKAWTLEGESDDEDKSDSDVDVNGDTKLENARCLDVKELELVVNFDAPNHYEDYVHRVGRTGRAGRKGCAVTFLSEDDAKYAPDLVKALELSEEPVPGESKTGGFKFNEEEDEVRKAAKKAQAKEYGFEEEKSDSEDENDVVTKAGGDISQQQITLAQIAAIAAPAKAPVTANQLLPNAVGLATEPVLTCNTTLQRFKLNTMKQSWKSMISHRMLSGKLPTKKRLNQYESRVELPLRRGKFYEAGRITGPGERKLYLFVEGPTEKSVKTAKAELKRVVEDVTNQAFSLPGGAQACL >fgenesh1_pg.C_scaffold_3000891 pep chromosome:v.1.0:3:3936130:3937073:-1 gene:fgenesh1_pg.C_scaffold_3000891 transcript:fgenesh1_pg.C_scaffold_3000891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen ole e 1 allergen and extensin family protein [Source:UniProtKB/TrEMBL;Acc:D7L8E4] MAQRIRTLVLLIEILFLVSCVTHITTVAADNDGDVIHVAGKVMCQDCSLNYDEWINGSEPIKGAVVSITCMDERRRVRYYGSDKTDERGQFDLIVNKVLYGGKDLKPQLCNVRLVSSPDQSCNIPTNFGNGQTGVKLVRPFMVFKDLVKYVVGPFYYTTPMCETPKFENKY >fgenesh1_pg.C_scaffold_3000901 pep chromosome:v.1.0:3:3982288:3984475:1 gene:fgenesh1_pg.C_scaffold_3000901 transcript:fgenesh1_pg.C_scaffold_3000901 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHHHQNHPQYGIAQPSPHPSDPFGFNLVSAPDQHHRLHFTDHEISFLPRGIQGLTVAGNNSNTNVANTSGVGGFSGFTDGGGTGRWPRQETLMLLEVRSRLDHKFKEANQKGPLWDEVSRIMSEEHGYTRSGKKCREKFENLYKYYKKTKEGKAGRRQDGKNYRFFRQLEAIYGEAKDPVSCYNNSQFIMTNALHSFHASNLHNSVPHHQKPLMTNTQSQSLSISNNFNSSSELDLTSSSEGNESTRREEMNWKERIKDFIGVHMERLIEKQDFWLEKLMKTVEDKENQRMMREEKWKRIEVARIDKERLFWTKERERMEARDVAVIEALQYLTGKALIRPDSSSPIERINGSGSDKMMVENEYADEENKGKVEKKQMNKKRKEKWSNHGVNHPRIKENMMIYNNQETRINDCSLDDEQGHHQEGYSPSNSKEGNPSRSIVMAASTKCFPLPEGDGDQNLWDGYGLKLRKENNHQ >fgenesh1_pg.C_scaffold_3000902 pep chromosome:v.1.0:3:3987529:3993666:-1 gene:fgenesh1_pg.C_scaffold_3000902 transcript:fgenesh1_pg.C_scaffold_3000902 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVREKDGSVQGRRSETEFIHGLSQEQSIFNYMQHNHQPESDRRRLSLENMSCTQLLALAINTVATSSSIDAPLLKPQYDVSTPQKFLCDLNLTPEEMVSTSAQLTEPEFPAITLETPGKTLSETHDRIKKSVLETGSPAGVKKRKRARDDKKVQLATPTLKRKKIRPKLKAIVSKMQSTICRKKRSQPDRIASQFNARVLDLQWRRQNPTGTSLAEIWERSLTIDAITKLFEELDINKESSCLPHNRETALILYKKAYAEQQAIVKYSKKQKPKVQLDPETSRVWKLLMLSIDSDGVDGSDEEKRKWWEEERNMFHGRANSFIARMRVVQGNRTFSPWKGSVVDSVVGVFLTQNVADHSSSSAYMDLAAEFPVDWNFNKGSCLEEWGSSVTHETVTNLDPRIGVSTPRIRYPTCVIIEEIDDDEDDIDVVCSQESSKTSDSSISSANQSKMMLLDPFNTVLMNEQVDSQMVKGKGHMPYTDDLNGMSEGISMVSSTSTHCELNLNEVPPEVELCSHQRELESTIQPQDQQENTRTEDVKKNRKKPTTSKLKKKSTEPAKSNKKKSFDWDSLRKQAESGGRKRERTERTMDTVDWDALRCTDVNKIANIIIKRGMNNMLAERIKAFLNRLVRKHGSIDLEWLRDIHPDQAKEYLLSINGLGLKSVECVRLLSLHQIAFPVDTNVGRIAVRLGWVPLQPLPDELQMHLLELYPVLESVQKYLWPRLCKLDQKTLYELHYHMITFGKVFCTKVKPNCNACPMKAECRHYASARASARLALPEPEESDRTTVMVHERRYKRKPFVVNFRPSLFLFQEKEHEAQRSQNCEPIIEEPASPEPEYIERDIEEYPWNNNNVGTSKDPWENKDVIPTIMLNKEAGTSHLVVNKEAGTSQDLVVLSTYAAAIPRRKLKIKEKLRTEHNVYELPKYHSILEGFERHEDDDLVPYLLAICTPGEEEESHNTVRGTILIPCRTAMRGGFPLNGTYFQTNEVFADHGSSINPIEVPTQSIWDLRRRIAYFGSSVSSICKGLSVKEIEDNFQEGYVCVRGFDRENRKPKSLVKRLHCSHIAIRTKEKTEE >fgenesh1_pg.C_scaffold_3000957 pep chromosome:v.1.0:3:4248188:4249315:-1 gene:fgenesh1_pg.C_scaffold_3000957 transcript:fgenesh1_pg.C_scaffold_3000957 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIPLWTRVDDKRFESALVQFPEGSPYFLENIAQFLQKPLKDVKYYYQALVDDVALIESGNFALPNYRDDDYVSLKEATKSKNQGTGKKKGIAWSPNEHKLFLDGLNKYGKGDWKSIARECVKTRSPMQVASHAQKYFLRKNKKGKRMSIHDMPLGDADNVTVPVSHLNSTGQQPQFGDQILPDHYYHCSQDNVTNIPGSNLVFMGQQPHFGGQIPPNQSHPYSRDNVTVPESNLKPMGEQPHFGDHISPDQYDRDFLDNFGFFYDDGEDDGSLASFEKLYYKA >fgenesh1_pg.C_scaffold_3000967 pep chromosome:v.1.0:3:4280880:4282446:1 gene:fgenesh1_pg.C_scaffold_3000967 transcript:fgenesh1_pg.C_scaffold_3000967 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFKRPRPGGGRHPPPLAPTVSSFKPRAQWTNSGSSIFLYVNLPGFYRDQIEIKKDERTRTVQIRGQRPLSAQTKARFNETYRVPDTCDMTKLSTSFSHGLLTIEFPAIVEAKKQEKAVQDQGKIGQSSDREKSGGPGPNGRSLGRKKPSDGEKQVGTSQDTAAPTLNEEPKTYKSVVEGKRAVPTGSREKSETKVKAREAIPSLVGNEPAKEEKVAERKEAAQIGQQKIGQKLKEEEAKRTPTLGGSLKPKVQGKEEKLIERKKDDDISQNKSGQKVKEKEISRTPTIDAGVEPKEHAKVVEKKEDGEIGQKLKEEGRINLGQKKEEKITKPVVGDEARRIEMKIAQTNQAEPEIKTKEGDERIKLDVDDRLRNKQDEKNEPVGDMVSEGEIQDREKQKKIKEACLAKDTGGLKDNAEIVDSETGPLLVKGQKKSGIDSPLAVGGRGMGEEESRTYDISLVNVGAAALVIMGFGAYVFVPLVKYFS >fgenesh1_pg.C_scaffold_3000970 pep chromosome:v.1.0:3:4296161:4298108:1 gene:fgenesh1_pg.C_scaffold_3000970 transcript:fgenesh1_pg.C_scaffold_3000970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7L925] MSSPYGKVDEHEHVRLQARRKTRKNIAIIAVSLVILAGIVIGAVFGTMAHKKSSETVETNNNGDSISVSVKAVCDVTLHKDKCFETLGSAPNASSLNPEELFKYAVKITITEVSKALNAFSSSLGDEKNNITMNACAELLDLTIDNLNNTLTSSANGGVTVPELVDDLRTWLSSAETYQETCVETLAPDMKPFGESHLKNSTELTSNALAIITWLGKIADSFKLRRRLLTTVDVEVDVHAGRRLLQSTDLRKVADIVVAKDGSGKYRTISRALEDVPEKSEKRTIIYVKKGVYFENVKVEKKMWNVVVVGDGESKSIVSGRLNVIDGTPTFKTATFAVFGKGFMARDMGFINTAGPSKHQAVALMVSADLAAFYRCTMNAYQDTLYVHAQRQFYRDCTIMGTVDFIFGNSASVLQNCRILPRRPMKGQQNTITAQGRTDPNMNTGISIHRCNISPLGDLTDVKTFLGRPWKNFSTTVIMDSYLHGFVDRKGWLPWTGDSAPDTIFYGEYKNTGAGASTKNRVKWKGLRFLYTKEANRFTVKPFIDGGRWLPATKVPYRSGL >fgenesh1_pg.C_scaffold_3000978 pep chromosome:v.1.0:3:4336113:4337506:1 gene:fgenesh1_pg.C_scaffold_3000978 transcript:fgenesh1_pg.C_scaffold_3000978 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKVKKTIGNTSKRVTRSQTYPFEGIMPLNLKEEILMKLKAKTISKLILLSKSWSSIVLNKDFTNMYLTQSLARPRLLFSIYNLDIDVHFFHSCSQEDPSSDHQRVRCYPDSNSASWYDYSPPVRGLICCLENLYTKVVIGNPTTCQFVTLPRVRTKKKDIFPDIFPFLGYDPVKDEYKVLCMTIAANHKGNVVSKEHQVFTLGGKKKKWRMIDCEINHYLPPRTKGICSNGVVYYLAMVNHVQSLMCFDVGSEKFSVVKLPGKVGILAKYGEKIAVTNLLFDCIRLDVWILEDASKQEWSNIYVLVPSCVNRSFSFRGILGTGELLFAPEPTSFYLLCYDLKEHKARKIWIKGLGDYTNIEVFLDHVENPMVLSKISFGFLI >fgenesh1_pg.C_scaffold_3000984 pep chromosome:v.1.0:3:4363618:4364730:1 gene:fgenesh1_pg.C_scaffold_3000984 transcript:fgenesh1_pg.C_scaffold_3000984 gene_biotype:protein_coding transcript_biotype:protein_coding MDLITETESEIVADSSLWLHNSKSLAEILTEINQNVQRMLRMIEDSEEPESTEKFLCLYQSLGETYNDLNQELLNGLLKLPCSLVTSMGALSSFKPDMSPDLESGTSYSSLKHQLVSTTSSEKSQSLKLHGEVEKNDSAFLLADMFCAELETARRELEARNIDIETEKRHVLDLEGKLSDSSHKIENLESELDEVKECLGVSEAEVSKLMEMLSGCKTEKAKPQTDNADDFLDSLRTELRSREIQIEQMEEYLNQVLCLKETEIISESGTDKNVVEELRARVEVLEKQVELQRNVITEREEEKREAIRQLCFSLDHYMNRYLELVRSLSDNKKVSRVK >fgenesh1_pg.C_scaffold_3001025 pep chromosome:v.1.0:3:4554976:4558897:-1 gene:fgenesh1_pg.C_scaffold_3001025 transcript:fgenesh1_pg.C_scaffold_3001025 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDPTKGKGSTCTFTESILRNYGFRTSHHLIDVRERFRLDGVDISEEKFSAYFWWCYNRLKFFMLVDVAILEVGLGGRIDFTNVVKKPVVCGFSSLGYDHMEILGDTLAKIAGEKAGIFKLGVPAFTVPQLNEALCVLEEKASASDKLGLDGEHQYLNAGLAVSLAYTWLQQSGSLEIPSLNQITTLPEPFIKGLVTARLQGRAQVIPDPYIEHAAPGNLVFYLDGAHTPESIEACVKWFSLAVKENGQSESLESFVKGPSHACEERMLEKYIAIQLYVGSDPHLIFSHLRNASAKYGVKFKKALFVPMSHTVGINALLEKNDDSSVDLSWQYKLQEVLVTGSLQLKLVTK >fgenesh1_pg.C_scaffold_3001062 pep chromosome:v.1.0:3:4720886:4721544:-1 gene:fgenesh1_pg.C_scaffold_3001062 transcript:fgenesh1_pg.C_scaffold_3001062 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVKERMVKKLKLIKSLGNLKQAIALHKSNLDRTIFHQIDEKECLTPTTLESSEEQQLQQNLEEPLSEFKEKCVAGGEDSVVFYTTSLRAVRKTFEDCRKVRFLLENHKMWKLIGEKVTPPRLFVKCKYIGGADEVVALNETGKLKMLLASAKARQCECCEDERFLICWNCTGRSRVVAEDEMWKRCIECNENGLVKCALCT >fgenesh1_pg.C_scaffold_3001067 pep chromosome:v.1.0:3:4732803:4734492:-1 gene:fgenesh1_pg.C_scaffold_3001067 transcript:fgenesh1_pg.C_scaffold_3001067 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7LAS1] MDHEEEEIEIPNYFICPISLEIMKDPVTTVSGITYDRQSIVKWLEKVPSCPVTKQPLPLDSDLTPNHMLRRLIQHWCVENETRGVVRISTPRAPPGKLNVVEEIKNLKGFGQEALGREETLQKLEVLAMEGNNRRLMCEAGVHKSLILFVVKCTSEDEEGRRRIKGLDQSLRLLHLIGVPSNDAKTILIENDRIMESLTWLLHQEDFFNRAYTIVILKNLMEHTSSHIVERLNPEIFKGIIEFLKDVVSSLNRTSPTVRDTVQSSRPSLGKKEPLKLDHSLVIKQAVTAALMILLETSSWSRNRSILVDLGAVSELIELEMSYSGEKRITELMLGVLSRLCCCANGRAEILSHRGGIAVVTKRLLRVSPVADDRAISILSTVSKFSPENMVVEEMVNVGTVEKLCSVLGMDCGLNLKEKAKDILKDHFDEWKKFPCIDITLLTKLLSSSPKDPLTEFNSRVGV >fgenesh1_pg.C_scaffold_3001072 pep chromosome:v.1.0:3:4783181:4787924:1 gene:fgenesh1_pg.C_scaffold_3001072 transcript:fgenesh1_pg.C_scaffold_3001072 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIESVDYNGFETIKCNSDVDHGSKKVVSRKQKPADQSAKMVAAYVEDEWSGAGEAISKSRSNCDGDEGYDFDNEIATKDVKPNKETKPKVSLAEAAAKIDPWDLADSLAKISKSYHEMRKCLARPLLEVRLLRFVDYLGITLSAVQFPWLETFKPWPKLIDLIDVPLSHIPEPVYKTSVDWLHQLPIGTLAAFVLWSLNHILTNLEPGGPKGGDNGQQRTTSKSHVAIFVALAMVLRTEPNTLVIVLPTLKEYEYRGHDKLPIIVWMVAQASQGDLSVGLHSWASNLLPLVLVDSNPHSMDLILQLVEKILSTPNARTILLNGVIIEELRLVSPYAFEILMRRAFPSARVKATERFEAIYPLLKEVALAGEPGSESMKQVTQEIFYCSLVIAGKGNPALATEATAMAIWSLTENVECCKQWERLNWENQKGSAAVLKKLEDEWNDISLKLSSSPSHTITLIQTMKNIRLKNKKATTEADKSCKVILGRLFRESGCVKGYIRAEEAGGLIGSAPILQKKKFSIFRRKLLLAMTCVDYRVTLNYVVIMAKLRPRQLELSKTVPSKLGFDGEEDAWVFVKKQRIIIVLPSLPLPEQHLTMEKPAITSQLEAELRESMEDTQESTFLHTVVPALPLPEHFIMHKPENSESQAELRDVLADTHKTTTPVPTVVVPTPLQTGMSPLLLPEHFVLQKPATSQSQADTHETTLLQTVIPSPPVPDHYSLQKPAASRSQSELRMKISKATLVHTAMPSLPVPEHCTLQEPTTSKSQAELRTETHKAILVHTVMPSLPVPEHYSLRKPATSQSQAELRLDTRKATLVHTAMPSLPVPEHYSIQKPSTSQSQAELRADTRKATLVHTVVPSLPVTEHCTLQKPATSQSQAELRDLVVDTHEASRVHTVEPEAYPDFTSVDKPEIVMSRSLTTRKAPAPKRSLQDCRMNQDRRVEVHRRRAGHKPIRFPRVMCSSVVIDNEKLRVLNLEKKVEKAGGLHEWVGSIGLGREFERMLRGQKMSKFQMANLTMEKLKQMGALAVGPRRKLIHAIGCVYHPHCLRASFN >fgenesh1_pg.C_scaffold_3001079 pep chromosome:v.1.0:3:4814783:4816594:-1 gene:fgenesh1_pg.C_scaffold_3001079 transcript:fgenesh1_pg.C_scaffold_3001079 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPVSDLESIEDQKEGGPSFHCDLYDTQVVHKIAQVFLPGLATACVDNTTGDIFRSPGSVAADIRKEMIEYLTRRSESFVAEHIVLQGGSEIEASHDPFDIISDFIDDFATSKRNLFSRVSGWMLSERREDNIDDFAQEMEISGFWLTDHREGIAQTLLKNVDFKSSAHCEMKFQTEGELAEHTMSCGYRTMNCENEGCTAVFCANQMENHDSVCPFKNIPCEQNCSESIMRRDMDRHCITVCPMKLVNCPFHAVGCLSDVHQCEVQQHHLDNVSSHLMYILRSIYKEASPDDLKPRAEQIQQLSTRLSEARNARSLTNLVKEIDGKLGPLEIKPKIVTNSESDKPENTEKEALEEAEIKENPETSNLKAGTLEQTAEDKVVSKEVNDAMVEEAAKKVSEAEIAENVNEEGELKAQKLLEIGEFIKEADKNSADDLSERTETKAPEVVVMDEDREEEEESAETKDSRTNETTRGLEMIDEETKKSTEIKTEAPSRIVMDKEEDEETKKSTDTETEAPSRIVMETEDDEETMNSRARASDEAEALSKSSQGFSTVQAETVPHMS >fgenesh1_pg.C_scaffold_3001099 pep chromosome:v.1.0:3:4901303:4902706:-1 gene:fgenesh1_pg.C_scaffold_3001099 transcript:fgenesh1_pg.C_scaffold_3001099 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYDFKNISVVPIGNEFVDLILSRVHRQTPNVLRKGFTINHLRQFYTLKVKETEVSFFDKLSTIVDEFPGLDEINPLYNDLLRLSFDRDHYKLCLGQVNTARHMITDISNDYVTQLEFGESLKQCKVLKTSAIGQMFSVINEITPSLAYLEQIRQHMVRLPWIDINTPTLLVCGYPNVDKTCFMNRINTGASDDYTTKSSLVNFVVGHTEYKDLRYQVIEILDRPTFGDGNVIAALTRHLRAALVLFFVDASGSCGYSVAHQAVLFYSLKSLFMNKPLVVVCEETCLIQIREQDWKLIEEMTSGMGGYEDKEEETFLRISNMRTEEGVMSVKNTACERLLDRRERLSCIAEGLNNKYILAYEEGIKKAHEDEEDDFVMAKEHVREAHKDQPVAFRKIQPVRILIALVFLVLVVVGNTHGVIQECLSALSF >fgenesh1_pg.C_scaffold_3001152 pep chromosome:v.1.0:3:5105288:5107136:1 gene:fgenesh1_pg.C_scaffold_3001152 transcript:fgenesh1_pg.C_scaffold_3001152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in cullin neddylation protein [Source:UniProtKB/TrEMBL;Acc:D7L0K1] MHKLSRSNRDKLQQFVAITGASEKNALQALKASDWHLEAAFDVFYSQPQPRSNAADVEPQDIVTLVLSWHMNAATACEFSRQEFISGLQALGVDSIGKLHEKLPFMRSELKDEQKFHDIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAERDWPLVTHWCDFLQDRHNKAISKDTWAQLLEFARTVDPVLSNYDAEGAWPYLIDEFVEYLYDKNVVEK >fgenesh1_pg.C_scaffold_3001165 pep chromosome:v.1.0:3:5160333:5161969:1 gene:fgenesh1_pg.C_scaffold_3001165 transcript:fgenesh1_pg.C_scaffold_3001165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7L0L4] MAINFEDQTTLFNFVVTEGNGVKGMIDSGLSSVPQPFVQPLSERIPTQKALTCEATQPIDLSKLDGPQHKEVAKQIVEAAETLGFFQVVNHGVSVELLELLKSSAHEFFAQAPEEKAMYLKEVSPSKLVKYGTSFVPDKEKAIEWKDYVSMLYTNDSEALQHWPQPCREMALEFLKSSMEMVKNVVNILMENVGVTLEEEKMNGLMGTKMVNMNYYPTCPSPELTIGVGRHSDMGMLTVLLQDGIGGLYVKLDNGEWAEIPPVHGALVINIGDTLQILSNGKYKSAEHRVRTTNIGSRVSVPIFTAPNPSEKIGPLPEVVKRDGVARYKEILFQDYMNNFFGQPHDGKKSLDFARAE >fgenesh1_pg.C_scaffold_3001177 pep chromosome:v.1.0:3:5220217:5221853:1 gene:fgenesh1_pg.C_scaffold_3001177 transcript:fgenesh1_pg.C_scaffold_3001177 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLSNKWRVTVNESKFVESALQSELRVDGRGLYDYRKLTIKFGKEYGSSEVQLGQTHVMAFVTAQLVQPYKDRPNEGSFSIFTEFSPMADPSFEPVHPGESAVELGRIIDRALRESRVVDTESLCVFAGKLVWNLVDAANIAALAALLTFRRPDCTVGGENSQEVIIHPPEEREPLPLIIHHLPIAFTFGFFNKGSILVMDPTVVEEAVMSGRMTVTVNANGDICAIQKPGEEGVNQSVILHCLRLASSRAAATTKIIREAVEAYNRERSSQKVIRHHTLAKSEVLGPIEVV >fgenesh1_pg.C_scaffold_3001207 pep chromosome:v.1.0:3:5343640:5344625:1 gene:fgenesh1_pg.C_scaffold_3001207 transcript:fgenesh1_pg.C_scaffold_3001207 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPIRLDYNHKITHDPEPEDAGTIRINARVFREADILTYTTFSFPDELINDECQSKVVLIHLLKEAGMSDYDTAHMMYHFIGYVAEITSSASEGYSPGCALEVSLDLLLIDESYIEEADLEPEDAGTIRVDALIFCEDDILTFTTLSSANEFIIDDRDECRSKVDLNDFLKEAGISDHSIQLAMYQIDYVAQITSSASNGYSPGCALQVSLNLFPHDEPHDIEEVVQVSFDETANICLGPASKLVVKSLTREIYDKINYTGERCTICLEEFNNGGRLVALPCGHDFDDECAVKWFETNHVCPLCRYELPCEEEQ >fgenesh1_pg.C_scaffold_3001212 pep chromosome:v.1.0:3:5363428:5369321:1 gene:fgenesh1_pg.C_scaffold_3001212 transcript:fgenesh1_pg.C_scaffold_3001212 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPGNTNPHNPPPFDLGILFKPPSNPYPPPPASYPPPTGPFLHNQYDQQHYAPPGISAQPSPVTQQQQDVSSSSAATNLHPQRTLSYPTPPLNLQSPRSNHNPGTHILALLNNNNGVPVANQEPSHQLPVVNHNEIARSFPGGSGPIRVPSCKLPKGRRLIGEHAVYDVDVRLQGEIQPQLEVTPITKYGSDPQLVVGRQIAVNKVYICYGLKGGNIRVLNINTALRSLFRGHSQRVTDMAFFAEDVDMLASVSLDGKVFVWKISEGSEGEDQPQITGKIVLALQILGEEDTKHPRVCWHCHKQEILVVSIGKHVLRIDTTKVGRGEVFSAEAPLQCPLDKLIDGVQIVGKHDGEVTDLSMCQWMTTRLVSSSVDGTIKIWQDRKAQPLVVLRPHDGHPVSSATFVTSPERPDHIILITGGPLNREMKIWVSAGEEGWLLPADAEAWRCTQTLDLKSSTEPRAEEAFFNQVIALSEAGLLLLANAKRNALYAVHLDYGSSPVGARMDYLSEFTVTMPILSFIGTNDPPEEPIVKVYCVQTLAIQQYTLDLCLCLPPPIENMGLEKSDSSVSREANLVEGTSEPSGLKPTDLPSVDSVPKPSIIVNRSESANKLSFPSAEATSQAIVPPNGEPKTSGLPSQTSGAGSTYATSPQLPLSPRLSSKLSGYHTPVDAIEPVIPHHELGGKTPSADYSVDRQMDAVGERNLDVSSVEESSRSKDSNVTPDDDVSGMRSPSAFFKHPTHLVTPSEILMGVSSAEASITTEDRRERDANIQDVNNDVRDSEVEVKEINEARSTQNGEINDHGETENRTSENREKVFCSQASNLSTDMARDCYPSTEGAFIPGDPKAYGQPLKAGDEIGLDSRGVSAKLPESGSSSGLPQLPGITSKGKKQKAKNSQGPGLSSTSSNVANLADSFIEQSQSLSHPMTDSLPQLLAMQETMNQIMASQKEMQRQLSNATTGPIVKESKRLEVALGRMIEKSSKSNADALWARFQEETVKNEKALRDHAQQIVNTTTNFMSKELNAMFEKAIKKEVAAIGPALARSVIPVIEKTVSSAITESFQRGIGDKAVNQLDKSVNLKLEATIARQIQAQFQTSGKQALQEGLRSSVESSVIPSFERACKAMFDQIDSAFQKGIAEHTNAAQQRFDSGHSQLAHTLRETITSASSVAQALSRELAESQRNLLALAAAGANSGGSNPLVTQLSGGPLGALLDKVEAPMDPTTELSRLISERKYEESFTSALQRSDVSIVSWLCSQVDLRGLLAMNPLPLSQGVLLSLLQQLACDISKDTSRKLAWMTDVVAAINPSDQMIAVHARPIFEQVYQILHHHRNAPGSDVSAIRLIMHVINSMLMGCK >fgenesh1_pg.C_scaffold_3001269 pep chromosome:v.1.0:3:5644536:5646716:-1 gene:fgenesh1_pg.C_scaffold_3001269 transcript:fgenesh1_pg.C_scaffold_3001269 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCWINV5 [Source:UniProtKB/TrEMBL;Acc:D7L279] MATIVWCNIAIFLLVLFFLADNAIVVLDALHNVPNNIKNQPYRTGYHFQPPKNWMNGPMIYKGIYHLFYQWNQNGAVMDVNETVWGHATSTDLINWITLSPAIKPSRPSDINGCWSGSVTILTNGKPVIIYTGNDRYNRQVQNLAKPKNLTDPYLRHWTKSPENPLVTPNAANHINSTAFRDPTTAWLGRDKRWRITTGSQEGRRGLAILHTSRDFVRWKQSPKPLHYHEGTGIWECPDFFPVSRTDSRGLDTSSSAGPMIKHVLKVSLTDTFRDYYTIGTYDEVRDVYVPDKGFVQDETAPRYDYGKFYASKTFYDSVNQRRILWGWVNESSPEKDNIKKGWAGLQAIPREVWLDKSGKRLVQWPVKEIERLRTTQVKWVNKVLKGGGSVIEVHGVTASQADVEVFFKVSGLDLEKADVIEPGWTDPQLICSEKNASFVNSGLGPFGLMVLASKDMEEYTSVNFRIFRARGNNKEHLVVMCSDQSRSSLEKGNDKTTYGAFMDISPYQPISLRTLIDKSIVESFGGKGKTCITSRVYPKLATGERTHLFAFNKGSQNVDILSLSAWSMKSSL >fgenesh1_pg.C_scaffold_3001285 pep chromosome:v.1.0:3:5728188:5732711:1 gene:fgenesh1_pg.C_scaffold_3001285 transcript:fgenesh1_pg.C_scaffold_3001285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7L299] MGRRRIRSRIRKSHFYTFRCLRPKTLEDQGPHIINGPGYTRIVHCNQPHLHLAKVLRYTSNYVSTTRYNLITFLPKCLYEQFHRVANFYFLVAAILSVFPLSPFNKWSMIAPLIFVVGLSMGKEALEDWRRFMQDVKVNSRKATVHRGDGDFGRRKWKKLRVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRCLDVTLPLERDDTFQSFSGTIKCEDPNPNLYTFVGNLEYDGQVYPLDPSQILLRDSKLRNTSYVYGVVIFTGHDTKVMQNSTKSPSKRSRIEKRMDYIIYTLFALLVLVSFISSLGFAVMTKVHMGDWWYLRPDKPERLTNPRNPFHAWVVHLITAVLLYGYLIPISLYVSIELVKVLQATFINQDLQMYDSESGTPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTSYGVRASEVELAAAKQMAIDLDEEQGEEVTHLPRTRGRMHGYAKMPSKTSSDIELETVITAIDEGDQTQSTGIKGFSFEDQRLMGGNWLNEPNSDDILMFLRILAVCHTAIPEVDEDTGKCTYEAESPDEVAFLVAAGEFGFEFTKRTQSSVFISERHSGQPVEREYKVLNVLDFTSKRKRMSVIVRDEKGQILLLCKGADSIIFERLSKNGKNYLEATSKHLNGYGEAGLRTLALSYRKLDETEYSIWNSEFHKAKTSVGADRDEMLEKVSDMMEKELILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQIYIALRTEEGSSQDPEAAARESILMQIINASQMIKLEKDPHAAFALIIDGKTLTYALEDDIKYQFLALAVDCASVICCRVSPKQKALVTRLAKEGTGKTTLAIGDGANDVGMIQEADIGIGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNITFGLTLFYFEAFTGFSGQAIYNDSYLLLFNVILTSLPVIALGVFEQDVSSEVCLQFPALYQQGPKNLFFDWYRILGWMGNGVYASVVIFALNIGIFHVQSFCSGGQTADMDAMGTAMFTCIIWAVNVQIALTMSHFTWIQHVLIWGSIVTWYIFLALFGMLPPKVSGNIFHMLSETLAPAPIFWLTSLLVIAATTLPYLAHISFQRSLNPLDHHIIQEIKHFRIDVQDERMWTRERSKAREKTKIGVTARVDAKIRQLRGRLQRKHSILSVMSGMSGVSASTDTTSTTQHS >fgenesh1_pg.C_scaffold_3001291 pep chromosome:v.1.0:3:5752921:5753578:1 gene:fgenesh1_pg.C_scaffold_3001291 transcript:fgenesh1_pg.C_scaffold_3001291 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEQNSILIKFLSSVASGSPKPNTKNSSLLCACFTSNGNITFREQVSASVFLGTRQGHYVLVIRAHDSSGRGSTLVTPPSSPAVNDGGGGRLAVGSVIGSMIGAFLLRLLVVAMVVKGEKKTMREEMERRAYEEEALPVSMVGHVRANPNASRTRTVPRFDNTRYRDNMWN >fgenesh1_pg.C_scaffold_3001317 pep chromosome:v.1.0:3:5869899:5870783:-1 gene:fgenesh1_pg.C_scaffold_3001317 transcript:fgenesh1_pg.C_scaffold_3001317 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDETQSRPSPTDTSTAKSPGRSGLALVVSCPNQAASGRRSGGGGGRDDSWSEEATEILINAWGERYLELKRGNLKQQHWKEVAEIVNRDNKSPKTDIHCKNRIDTVKKKFKLEKAKIAAGKELLLRRSQSLNLNFLLTLPLPPLLLPTPVTLDKTGGGGGREVGEVAMAIVGFAESYEKVETLKLKQMVELEKEKMKFSKELELQRIHFFKAQLEMLRNCLEDRDS >fgenesh1_pg.C_scaffold_3001346 pep chromosome:v.1.0:3:6092255:6093644:-1 gene:fgenesh1_pg.C_scaffold_3001346 transcript:fgenesh1_pg.C_scaffold_3001346 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGLRSFSYLPKSSHCLKTNLSFFPRLLHSHTFSWKPAKHDLFVVRSTKSNDDLESSRPLTQFSPSLWGDHFFSVSLDSAEFDELEREIKTTKPLVRDMLMSSHSSDKEKIRFIHLLVSMGISYHFDKDIQEILNHSFTKLEDIIDKENDLETISIMFEVFRLYGHKMSYDAFDRFRGKDGRFKESLATDVRGMLQLFQFAHLGTPSEDIMDEAMSFARNHLESWIGGNYWWQENIYLNYYEQEGGHNEILLKFAKLNFNFCQFHYIQELKTLTKWWKDLDLVSKLPYIRDRLVECHFASLGTYFEPQYSLGRIILAKIMMIVVVVDDTYDAYATLPEVTALTEC >fgenesh1_pg.C_scaffold_3001369 pep chromosome:v.1.0:3:6187995:6189314:1 gene:fgenesh1_pg.C_scaffold_3001369 transcript:fgenesh1_pg.C_scaffold_3001369 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7L376] MDTGNNNDIPTLLKSNFPPYGRDFPGAIPTGRFSDGKVPSDIIAEKLGIAKTLPPYLGSNLKPHDLLKGVIFASGGSGYDPLTSKLLSVVSMSDQLKYFQEYLAKIKQHFGEEKVKFILEKSVFLVVSSSNDLAETYLVRSVEYDRNSYAEYLVELASEFIKELSGLGAKNIGVFSGVPVGCVPAQRTLFGGFKRKCYEKLNNMALHFNSKLSSSLDTLKKELPGKLVFIDVYETLLDIIKNPRNYGFKVADKGCCGTGKIELVELCNKFTPFTCSDASTHVFFDSYHPSEKAYQIITDKVLAKYLKYLNN >fgenesh1_pg.C_scaffold_3001375 pep chromosome:v.1.0:3:6215270:6216459:1 gene:fgenesh1_pg.C_scaffold_3001375 transcript:fgenesh1_pg.C_scaffold_3001375 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEAAITSFKKFQESWIDQLRNHLNHLRSVQNHHRNSATGDEERLREAVERVMEHFREYHRAKWATTEKDVIGVMATPWASALERSLHWVGGWRPTTLFHLVYTESSILFESRIVDILRGFRTGDLSDLSPSQFRVKFVKGRTVSELQCETVNEENAITDELSEWQDDASDLVMGTSSDPEQRIRRLAEIVHRTDDLRLRTITRVVELLSPLQQAEFLIAAAELRTGVAGWGTSHDRRRSSEV >fgenesh1_pg.C_scaffold_3001453 pep chromosome:v.1.0:3:6574072:6574915:-1 gene:fgenesh1_pg.C_scaffold_3001453 transcript:fgenesh1_pg.C_scaffold_3001453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:D7L4V6] MSGKGAKGLIMGKPSSKDKDKDKKKPTTRSSRAGLQFPVGRIHRLLKGRSTAHGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSGKE >fgenesh1_pg.C_scaffold_3001467 pep chromosome:v.1.0:3:6628321:6629122:-1 gene:fgenesh1_pg.C_scaffold_3001467 transcript:fgenesh1_pg.C_scaffold_3001467 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYVNDNVDSFIDVKRIYNNHDGGLRKNQNLFFDLQVHYTRAEEDNDDGEEEEEDLPNFDKLETLTVEQTHEFDREWLFGGDGDHTLAIVYYILDLLQVPCYYAIVSTLTDEIKDLKKHDANVERLQVTLGVTVSRFPGEDDDHLDVRFAVAPANNEAVETHLTTVVVQNDGYCVICMDMIRVGSAVEAGRMPCLHVFHRDCGEEWLRNCGICPLYLSLWFRSLHYSLYTDELI >fgenesh1_pg.C_scaffold_3001474 pep chromosome:v.1.0:3:6655021:6656381:1 gene:fgenesh1_pg.C_scaffold_3001474 transcript:fgenesh1_pg.C_scaffold_3001474 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAVTKTVAPLRRKSNPINGKHTNGVTIDGTLDDHNRQIAINSQMENIAKKTDDGGGEEAEWTSKASFMTWTMHDIVYVARHHWIPCLFAAGVLFFTVVEYTFQMTPASSQPFDLGFVATRSLHSILASSPNLNTVLAALNTILVGMQTTYIGCTWAVEGRPRATIAALFMFTCRGILGYSTQLPRPQEFLGSGVDYPVGNVSFFLFYSGHVAGSMIASLDMKRMQRFRLAMVFDILNVLQSIRLLGTRGHYTIDIAVGVGAGILFDSLAGKYEEMSKRHLRTTRCSLISKDSLVN >fgenesh1_pg.C_scaffold_3001491 pep chromosome:v.1.0:3:6735353:6736519:1 gene:fgenesh1_pg.C_scaffold_3001491 transcript:fgenesh1_pg.C_scaffold_3001491 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMSDLSIDVLEEILSRVPVTSTRAVRSTCRKWNTLSKNLSFRKKHLAQAASALRREGELQAIVLLNFSLYLMSVNLHGTHSDDFDPSIRSRGNLISLNDSDILKITRVYHCDGLVLCVTENYTRLVLWNPYTGQTRRICAELRSAKNSGYLYNHALGYDKSTYKVLRVLDVTPDLDVEFDNFGLSLKGNTYWYATDKESREDVPGFLLCFDFTTERFGPCLPLPFESYSEDAVTLSSVRDEQLAVLYQNCDTYEMEIWVTTKIEPNAMSWSKFLAVDMNPLTGLWFYGGGSFLIDEEKRAVVVFDEDKNVSETNRNTAHFIGVNGYFREVDLGKITTGKEMVFPHAFSYVPSSVLFESGSYEKR >fgenesh1_pg.C_scaffold_3001512 pep chromosome:v.1.0:3:6820213:6822976:1 gene:fgenesh1_pg.C_scaffold_3001512 transcript:fgenesh1_pg.C_scaffold_3001512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7L5L9] MLAYRTLFRIDRVFGVTSRCFLSKTESFSILSFRGSENSAMDGFKKQKMFNRMIHPGFESFHLLWLSHDETEAGNEVQEVAQCSKSSDVSEEVIKGGVNETASVVSAGKHSVSLEVGASLIKFIRGKEGTTQMKLEEEMGVKIILPSSRNEDHISIEGGSVECVTKASERIATIIDEVVRSPSLDYSHFVSLPLAIHPELVDKLVNFQNSILGNHSIARDKQDDQANRETTSVAVDLKANSETNKVNVDIKSIPIVSYPPKAKSKSSTLLDLGIEKSIFIKPSTFHLTVVMLKLWNKDRVNAAGDVLKSISPSVMDALDKKPVFIRLKGLDCMRGPLAKTRVLYAPVEEIGDEGRLLRACRILIFKVITDAFVKAGLVLEKDAKQSLKLHVTVMNARHRKRRKNNKKKMETFDAREIHKQFGNEDWGEYLIREAHLSQRFVFDQNGYYRCCASIPFPGEHTA >fgenesh1_pg.C_scaffold_3001518 pep chromosome:v.1.0:3:6845441:6846550:1 gene:fgenesh1_pg.C_scaffold_3001518 transcript:fgenesh1_pg.C_scaffold_3001518 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPMTLPCELEEEILSRLPPLSLARFRSVCKQWNAICNENRFINNHFARARPQFIFITNSNIYSIEIISLDGVDPTIKLRELPSSRTAYRELYLDYITITTCDGLLFCNYSDYPKVTALWNPWLKQVKWIECNDKYFDVSGVGYDNTRPEKVYKILGSFISGCKVERVAIYECASHAFKSIDSSNEQCPLSQVKRFSVSLNGNLYWRTRIPHTLDFYIRSFDFSRDIFKHFCLLPCRENHFRDVLVLGVYKGDRLSLLKQCYVTRSVEIWVTKKKIDSNNNGTDEVVWIKLLTLPTNNLPNLYNKCYGISYFIYDKTTLIMCCGEDDGGTRAFIYILSGEICSRRFQLILGFSGVVTVFMLRILSRFL >fgenesh1_pg.C_scaffold_3001519 pep chromosome:v.1.0:3:6847131:6850916:1 gene:fgenesh1_pg.C_scaffold_3001519 transcript:fgenesh1_pg.C_scaffold_3001519 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSMPHRHVPQNLSLFGFSPLKSSSFALFLRPFSLYPPIFASSSPSPSRRPPRTAGYRRSDPSHPPRRKWSSLEEQKRKGRSPMEKEKASSFNHSSDSFEFNKRRAEGLDKIDKPKKNLKRNTRTLNPTNTIAYVQILGTGMDTQDTSPSVLLFFDKQRFIFNAGEGLQRFCTEHKIKLSKVDHIFLSRVCSETAGGLPGLLLTLAGIGEEGLSVNVWGPSDLKYLVDAMRSFIPRAAMVHTRSFGPSLNASDSTPQSGLSKPKDDAYVLVDDEVVKISAILLEPSHLEESGSKPGETAVIYVCELPEIKGKFDPKKAMALGLRAGPKYSYLQSGQSVKSDFKDITVHPSDVMGPSVPGPVVLLVDCPTKSHAEELLSIPSMKSYYSCLDNSTDGAKLVNCIIHLSPASVTNSSTYQSWMKRFHSAQHILAGHEAKNMEFPILRASSRITARLNYLCPQFFPAPGFWSPQHVNNSINSTSLSKCFDSNLGESISAENLLKFTLRPHSNLGVDRTCIPSRLTSLRVMDELLSEIPEISSKTEEIKRLWNGQHNKMMIEEPWLGESTVPSCLENIRRDDMEIVLLGTGSSQPSKYRNVTAIYVDLFSRGSILLDCGEGTLGQLKRRYGLEGADEAVRNLRCIWISHIHADHHTGLARILARRRELLKGVAHEPAIVVGPRPLKNFLDAYQRLEDLDMEFLDCRNTTTTSWASQETTRPEKNTNSGNAEGSLFSKGSPMQSIYKRSSTPLTDNSSALPFLKKLKNVLGEMGLEDLISFPVVHCPQAFGFVVKAAKRKNIAGDEIPGWKMVYSGDTRPCPEMVEASKGATVLIHEATFEDALVEEAVAKNHSTTKEAINVGSSAGVYRTVLTHFSQRYPKIPVIDESHMHNTCIAFDMMSVNMADLHVLPKILPYFKTLFRNQVVEEEEEETDNDSLMSDKVPSFFIN >fgenesh1_pg.C_scaffold_3001528 pep chromosome:v.1.0:3:6876888:6878083:-1 gene:fgenesh1_pg.C_scaffold_3001528 transcript:fgenesh1_pg.C_scaffold_3001528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5N6] METGKATTGSHNIYRINTTVASRKDHRDQPRRAATLDAPQQSVHHQTRCCSQADVAHRRAICPKRHALTSRKSHDSIILRKNLLCPNHASTKERWILKMIFLSTSPLAMSVCHQAVIDKAIATATEQNTYRYKLLGSQGCGEHDATVDFGVAATSVTVATNFRKWP >fgenesh1_pg.C_scaffold_3001569 pep chromosome:v.1.0:3:7084902:7085867:1 gene:fgenesh1_pg.C_scaffold_3001569 transcript:fgenesh1_pg.C_scaffold_3001569 gene_biotype:protein_coding transcript_biotype:protein_coding MALTDEEIKQLFLVQRTLMQMLKDRGYSIEDSEITMTLGEFIEKYGENMKRKALVTLKAKKNDDNDKLYIFFPNKAKVGVADMKKYINRLKSENVFRAILVKQDIKEFSRHAQLSIGAAYPKFNIEVFQEKELIVNVNHHVFVPEHQALTTEEKQQFLERYTVKENQLPRIHVTDPIARYFGLKRGEVVKITRQSETSGRYVTYRSLCYIT >fgenesh1_pg.C_scaffold_3001583 pep chromosome:v.1.0:3:7164844:7166425:-1 gene:fgenesh1_pg.C_scaffold_3001583 transcript:fgenesh1_pg.C_scaffold_3001583 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSTTAMSDLPQDVVEEVLSSVPLTSLRAVRTTCKKWNSLSKHRSFTKKHIRKSRSETKKKEFLAIMMMDSSVYLISVGIHKDDDYNAINRKGKLISLNNVDGGVDISSVFHCSGLLLCTTKDKTPRLVVWNPYRGKTRWIETTYRDDFGFIRFGPRLSLPSHSSMVDSVTLSSVRDEQLAVLFQRKGTLQMEIWITSNIEPEAASWSMLFLVVDKKTLLTYDQHESFFVDEEKKVVMVFAKDKETKMRNVAYCYGEDGYFETVVFGESTDKNGCQLVCSYVPSSVQIK >fgenesh1_pg.C_scaffold_3001591 pep chromosome:v.1.0:3:7215801:7216561:1 gene:fgenesh1_pg.C_scaffold_3001591 transcript:fgenesh1_pg.C_scaffold_3001591 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQDGTSSSNTILPLVGSEFVRPQLLDLTITGDTVKDATGNRVFKVKTPLFGLHNKRILVDPNDSPIVTMKMKVTSKHDRWQVYRGSDLDDKIFTVKRSSTVQLKTRVEVFLKHNQTKESSCDFTIKGRFMKRACTIYVGDSTKIIAQVYEGDERLVATVYPNVDYAFIVTLIFIFDLINNGGTAI >fgenesh1_pg.C_scaffold_3001594 pep chromosome:v.1.0:3:7226002:7229051:1 gene:fgenesh1_pg.C_scaffold_3001594 transcript:fgenesh1_pg.C_scaffold_3001594 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVQFLLLLLTLGRLLTPLGGVPVPVLSIQSPDGDMIDCIKREEQVAFTHPLLKDHIIQERPTVIPSAGKKEEDALYGWQVWHQNGTKCPEGSIPVRRLISHENETVISSNAGDRVTGGHEYAIGRMYNREQKIYGTQATMNVWQPKVETYDEFSLGQLWLVSGTYEDSNINSIEAGWQVYPKIYYDYQPRFFIYWTSDAYNLTGCYNLRCGGFVQTSRAIVVEGAISPTSVVGGTQVELTVKIWKDQRLGSWWMGVGLGHVGVLEPVGYWPAFLFNLQTDYAARVEWGGEITNKHTYGRHTTTQMGSGYLPDSGFGKAAYICNIEVALSENDFQPLQNLTVGGSHPDYYGAKKSNNPELGTHFYYGGPEQLYPGHATAIHLTWDSSLLYLCFCLLLLV >fgenesh1_pg.C_scaffold_3001604 pep chromosome:v.1.0:3:7268990:7269944:1 gene:fgenesh1_pg.C_scaffold_3001604 transcript:fgenesh1_pg.C_scaffold_3001604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6A4] MGKVSFGFLGLMLVVAVIGIVESRRFEKETLGGGGGGLGGGFGGGKGFGGGIGGGGGAGGGFGGGIGGGHGGGLGGGIGGGHGGGIGGGAGGGGIGGGAGGGAGGGIGGGAGGGAGGGLGGGAGGGSGGGAGGGGGLGGGHGGGIGGGAGGGAGGGLGGGAGGGHGGGIGGGAGGGSGGGIGGGAGGGSGGGIGGGAGGGAGGGIGGGAGGGLGGGAGGGAGGGFGGGAGGGAGGGAGGGFGGGAGGGVGGGAGGGFGGGGGAGGGHGGGVGGGFGGGSGGGFGEGAGGGAGGGFGGGGGSGGGF >fgenesh1_pg.C_scaffold_3001635 pep chromosome:v.1.0:3:7402841:7404093:1 gene:fgenesh1_pg.C_scaffold_3001635 transcript:fgenesh1_pg.C_scaffold_3001635 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFFSFLYTITTLTFPPLTTSAATSCRTLCGNIPINYPFGIDGGCGSPQFKGMFNCSTDLFFTTPSGSYKVQSIDYEKKTMVIFDPAMSTCSILQPHHDFKMADIQNALIRPSYDTVFALFNCSNDSPVHNRYRNLCFNAAGHSCDELYSSCTSFRIFNTTSPSGNSTIHTTPYCCFTSYDTVRVMSMNILDCSHYTTVIDNGKMRGVAPLDWSYGIELSFSVPEIGCDRCRKSGGTCGFDAETEIFLCQCSGSNNNPTRECGGGMTNQGGCNSININYTTILLVMFMSFLYTVL >fgenesh1_pg.C_scaffold_3001667 pep chromosome:v.1.0:3:7539617:7542783:1 gene:fgenesh1_pg.C_scaffold_3001667 transcript:fgenesh1_pg.C_scaffold_3001667 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCNGC19 [Source:UniProtKB/TrEMBL;Acc:D7L7B7] MASSTENDEFPILPIPKATPRAHTRAFTSRNRSVSLSNPTFSFDGFDSSSVVLGYTGPLRTQRIRPPLVQMSGPLHSTRRTEPLFSPSPQEPPDSSSSSTVNVPPEDDFVFQNANLLRSGQLGMCNDPYCTTCPSYYNRQAAQFHTSRVSTSRFRTVLYDDARGWAKRFASSVRRCLPGIMNPHSKFVQVWTRVLAFSSLVAIFIDPLFLFLLFIQQDNKCLAIDWRATKVLVSLRSITDLVFYINILLQFRLAYVAPESRIVGAGQLVDHPRKIARHYFQGKFFLDLFIVLPIPQIMILWIIPAHLGTRREEYEKQIIRATVLFQYIPKLYRLLPLLAGQTPTGFIFESAWANFVINLLTFMLAGHAVGSCWYLSGLQRVKKCMLNAWNISVDERRNLIDCSRGSYASESQRALWRDGASVNACFQESGFTYGIYLKAVNLTNQSSFFTRYSYSLFWGFQQISTLAGNLSPSYSVGEVFFTMGIIGLGLLLFARLIGNMQNFLQSLDRRRMEMMLRRRDVEQWMSHRLLPEDIRKRVREAERYNWAATRGVNEEFLFENMPDDLQRDIRRHLFKFLKKVRIFSLMDESVLDSIRERLKQRTYIRSSTVLHRRGLVEKMVFIVRGEMESIGEDGSVLPLSEGDVCGEELLTWCLERSSINPDGTKIKMPTKGLVSNRNVRCVTNVEAFSLSVADLEDVTSLFSRFLRSHRVQGAIRYESPYWRLQAAMQIQVAWRYRKRRLQRFYTAQSSSNN >fgenesh1_pg.C_scaffold_3001671 pep chromosome:v.1.0:3:7559591:7560688:-1 gene:fgenesh1_pg.C_scaffold_3001671 transcript:fgenesh1_pg.C_scaffold_3001671 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLPKDLVEEILSRLPATTLKRLRSTCKLWNSLFKDEGFARKHFEKAARQDLVVMLKDSRAHSVSVNLRGIHNNNFDPCIKDIGELSLSQVDINKMVHCNGLLFCITKDNIPMVWNPCTGETKWVEPRRVYDKSDRFAFGYEYKKSCYNYKMLRIQDAHGIEIYEFNSNSWRVLDVTCDWCIITHAVSLEGNAYWFASEYKKGTEHNTCLVSFDFSKEIFEAMDVPFQFMNGSDIVALSVTRENKLAVLMQRWALEMEIWVRDKIEPNAVSWNQFVEVDMGLKLPAGTDNMFSFKTTFSIDEDYKVALVWHTDHDTDAESRKWIEDIKIFIIGEDIYREIVIGKIDYRYSYPLMYNYVPSLVQI >fgenesh1_pg.C_scaffold_3001714 pep chromosome:v.1.0:3:7770972:7772808:1 gene:fgenesh1_pg.C_scaffold_3001714 transcript:fgenesh1_pg.C_scaffold_3001714 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKDLLFDTILARSFSRTGKKRLGYGAFIASLLFVFTLCTVSKPYLSPLPIVEMQLSVDAGLRMLKITEPQALRSSNNETSADSEKLIIQTNQDNVTSSAVITQSLISSEDHKLSVCNDTSLPKNFLDSFNCTTNTTIPKEEVISDENKLEKTMKPICTKLARTEFCELSGDVRIHGKSATVLAAITFAFSGNSTWHMRPYARKGDLVAMNRVRKWTVKLEQNADQLENANFSRCVRNHSVPAMIFSLGGYTMNNFHDFTDVVIPLYTTARRFNGEVQFLVTNRNPWWINKFKELVKKLSNYEVIYIDEEDETHCFSSVTVGLIRHREYFTELTIDPSNSEYSMSDFRSFLRDTYSLRNAAVITSQIRRRRPRILILSRSRSRAFENTGEIARAARQIGFEVVVAEANTGVANFAQTVNSCDVMLGVHGAGLTNMVFLPDNAVVIQILPIGGFEWLAKTDFERPSEGMNLRYLEYKIAAEESSLVKKYGRDHEVVRDPSAVAKHGWDMFKSVYLVHQNVSIDINRFKPALVKALELL >fgenesh1_pg.C_scaffold_3001722 pep chromosome:v.1.0:3:7806545:7809036:1 gene:fgenesh1_pg.C_scaffold_3001722 transcript:fgenesh1_pg.C_scaffold_3001722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L8F8] METPLPSLSQLTPNPTPAAAVSSAIPAAVKSVAVQQPIDGSPRAVAIDTGGHPEPLPAVPGAKLRLMCSFGGHIMPRPHDKSLTYSGGETRMVVVDRRASLSSLRSRLSSMLLNGRSFTLKYQLPSEDLDSLVTITTDEDLENMIEEYDRATSSATATATQRLRLFLFANKLETAATMGSLLDGAKSDTWFVDALNQSGLLPRGLSDSAAVNNSLVNLDEASGGETEIQNLETNVGGENNKRADLVANGVISHQEMHMSSMPDSPMMEAAGSSIGSSSSSPSTSNLPPIRVRVSEDQRIEEQLAQMTFSNMQTQRQFDDGASLMANRPMMIPSGAMNDAATMAYNNAPSDGAAATSNGQVSPDDDRSDSGVSTGYRKPPLPMQPVAIPPRTIGGYGLTSPDSVASFLVSSSISLACLEFVVVFAPLLFYDLYMWFVLHSEFLMVGDTSISSANSFSKPMYYQDQPPALPRAPPVTQPETTSVQSSQVLPQTENTSAQTNSHVLSQPGTYTTMDQQQHQPLAQQPFLHQGVQYIPHPSQYIPVYSHQQQNYPVYVMSVPQSQQYIPAGTPPLYPNSKPATNSRPEAAQNVYRAAPPQVIQLQQQHQYMGYAGAPQHSTNANANYGTGAPQHSTNANANYGVPYEYTNSPNETVYYHTQPPAANTAIPLASPYQSMTPAAAAAALADMSKQMALDGGKQQQHMAASQPL >fgenesh1_pg.C_scaffold_3001726 pep chromosome:v.1.0:3:7821078:7824270:-1 gene:fgenesh1_pg.C_scaffold_3001726 transcript:fgenesh1_pg.C_scaffold_3001726 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit delta [Source:UniProtKB/TrEMBL;Acc:D7L8G2] MASSNSLMDNLFQRSLEDLIKGLRLGESFFLSKSLEDIRREIKSTDLSTKSIALQKLTYLAALHGVDMSWAAFHAVEVVSSSRFSDKRIGYHAITQSFNDQTPVLLLITNQLRKDLNSSNEHEVSLALECLSRIGTDDLARDLTSEVFTLLGSSKALVKKKAIGVVLRVFDKYHDAVKVCFKRLVENLESSDPQILSAVVGVFCELTTKDPRSYLPLAPEFYKILVDSRNNWDLIKVLKIFAKLALVEPRLAKKVADPICELMRRTVGKSLLFECIRTVVSSLSDQETALKLAVAKIREFLVDDDRNLKYLGLHALSIVAPKHLWAVLENKEAVVKALSDEDPNVKLEALHLLMSMVNEDNVSEISRILMNYAIKSDPLFCNEIIASILLACSRNSYEIIVDFDWYLSLLGEMARIPHCQRGQEIGHQLIDIGTRVKDARLELVRVSRALLIDPALLGNQFLHPILSAAAWASGEFVEFSKTPYEIAEALLQPRTSLLAPSVRAIYIHSTFKVLVFSLGVYFSAQEPTSSPLFEESASSGSSPVNGITYKSISSLVNIIELGLCSLSGTLDVEVQERAKNVLGFIGMIKHKIAEKVTTQESETEASRAVAFMEDVFSEELGPVTSTAQEKVPLPHGLELKESLEDLQEICGEFLKPVVDSNSNSNSFSSSENISFSVAKLRISDQEEASSSSSSHPPESASLLAEHRKRHGLYYLSSQKSDDHNDSNGDNNNTLNEYPPANEISADSFNNKKKRNQSKPRPVVVKLDEGDELKTKPNVSSNDEPLSLAIQTALMGKGKEKEREKYNHERDLDSRIKGRSSGRENKTKKKNGEKSTLHSTKPMVEKTTLSPLHMGLAALASMSRLLPPGQESLGEPSLEQKGKPMWS >fgenesh1_pg.C_scaffold_3001728 pep chromosome:v.1.0:3:7838879:7841704:1 gene:fgenesh1_pg.C_scaffold_3001728 transcript:fgenesh1_pg.C_scaffold_3001728 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPPPERSKRLHNFTLPYLRWGQQRFLRCVKLPHHNRSPSSSSPSPDHRSHNGGLVGELRVDLVYDANKPKLSVLGNGGDNNGDVVAAAARPWNLRTRRAACNEPGDESTRIIESSSSLRRHEIGVKRGGSEDGGDGDSQHKNEKVKFSVSLLREEIEQDFSALIGKRPPRRPKKRPRLVQKQMNSCKKKVSEQSFIFPPNRAKRTIMICTESNHQRISFAGDLGQSDKGPPMEHQPSGPVRRDTALLDSSNSDFEFHISRNFDPEDSSPADEIFADGMILPVLPFQVTAGSTMPKRLYKYELPPIVSAPSLSSYLPPLPLPLPEHSIKYSVKETRGSVNGRGSVANSDSEAEKSSKSFWSFKRSSSLNCDIKKSLICSFPRLTRSNSTGSVMNSKREMLRDINKHSSQRHGVPRPGVNQSSHMRPPSSLCCSSYQFRPQKHAGKNGGGRGGSFWIAPVIGGPSPFGLGSILRLTKEKKKK >fgenesh1_pg.C_scaffold_3001746 pep chromosome:v.1.0:3:7910026:7910628:-1 gene:fgenesh1_pg.C_scaffold_3001746 transcript:fgenesh1_pg.C_scaffold_3001746 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPGGQPNEAQPPPVQPTVNRNNQGDSQNGAIGHQANIRTGIPFNNQTQNRWSSNLFDCMNDSENAVITCLAPCVTLGQIAEIVDEGATTCATGGLLYGVIFFIGVPFVYSCMFRAKMRTKYGLPDAPAPD >fgenesh1_pg.C_scaffold_3001773 pep chromosome:v.1.0:3:8037452:8039359:1 gene:fgenesh1_pg.C_scaffold_3001773 transcript:fgenesh1_pg.C_scaffold_3001773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L941] MGISQRQGIPQTRQKKSPEIEEILVGFTSEFTIEVERKMERSHGGGEEVEEEEEMKGRSAIDELKASGREDVFALGRMGISQRQGIPQTRQKKSPEIEEILVGFTSEFTIEVERKMERSHGGGEEVEEEEEMKGRSAIDVSGGDA >fgenesh1_pg.C_scaffold_3001782 pep chromosome:v.1.0:3:8078959:8080256:1 gene:fgenesh1_pg.C_scaffold_3001782 transcript:fgenesh1_pg.C_scaffold_3001782 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSVVGRPRHHGVMVGMNQKDAYVGDEAQSKRGILTLKYPIEHGVVSNWDDMEKIWHHTFYNELRIAPEEHPVLLTEAPLNPKANREKMTQIMFETFNSPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGFSLPHAILRLDLAGRDLTDYLMKILTERGYMFTTTAEREIVRDIKEKLSFVAVDYEQEMETSKTSSSIEKNYELPDGQVITIGAERFRCPEVLFQPSFVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFSGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDEAGPGIVHRKCF >fgenesh1_pg.C_scaffold_3001808 pep chromosome:v.1.0:3:8223632:8224588:-1 gene:fgenesh1_pg.C_scaffold_3001808 transcript:fgenesh1_pg.C_scaffold_3001808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L977] MINWAFRNPTQEEDIDEDSRVDMNLFVISKIHPEDSALVKVVQELSNMCYQMLLVDPDDCSLGELPPTPSCQAKEILPFVVLVWRWESLLRGGEPLQEYDDDTTTDAVCAEPMSQGAIVPTTTDAVYDKDTEFNEYIQNLDWVMSLSVFYVLADQEPGPVPMVQEAWFWEKLLEGEEPMPQEEYRKICSQLKRNVKSSDSERAGSNNINSSDS >fgenesh1_pg.C_scaffold_3001816 pep chromosome:v.1.0:3:8275172:8275683:-1 gene:fgenesh1_pg.C_scaffold_3001816 transcript:fgenesh1_pg.C_scaffold_3001816 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVEQSSLYPSFVDARISFSNDFADSDSKQTVSRVEDQMKYKEAPVSSDFKFNVENLGFTSAADEIFFGGVLLPLEKTTQRKVTTLRDELSAQDSDRTIISKGSRNWWKLGLNKSKKIHAHKSQNCLASIMESDD >fgenesh1_pg.C_scaffold_3001820 pep chromosome:v.1.0:3:8290406:8290893:1 gene:fgenesh1_pg.C_scaffold_3001820 transcript:fgenesh1_pg.C_scaffold_3001820 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKSSLIDPDGGELVELIVPESEIGAKRAESETMPKVKLTKIDLEWVHVISEGWASPLKGFMREDEYLQSLHFNSLRLKNGTFVNMSLPIVLAIDEETKEQIGSSKNVALVSPQGDIIGSLRSVEIYNLEN >fgenesh1_pg.C_scaffold_3001831 pep chromosome:v.1.0:3:8341325:8344039:-1 gene:fgenesh1_pg.C_scaffold_3001831 transcript:fgenesh1_pg.C_scaffold_3001831 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHNKEVIRLEPESVIPILKPKLIMTLANLIEHSNDRQEFLKLCKRIEYTVRAWYLLQFEDLMQLYSLFDPVHGAQKIQQQNLTSQEIDVLEQNFLAYLFQVMEKSNFKITSNEEMEVAHSGQYLLNLPIKVDESKFSCFWWMKLDKKLLKRYFEEHPHENIPDFSDKYVIFRRGIGLDKTTDYFFMEKLDVIISRFWSFLMRITRLEKLRAKRSNSLNKKDPKKDDEPNPDTDNDELYVERIRLENSKLSVKSFLSKLTIQEPTFDRIIVVYRRASSKTNLERGIYVKHFKNIPMADMEIVLPEKRNPGLTPMDWVKFLISAVVGLVAVFTSVEMPKSDPWVIIAILSTVLGYCAKTYFTLSAFLFAFSCLHSSLLSEQFLIRKLYSFRFQQNMATYQNLITQSMYDKQLDSGRGTLLHLCDDVIQQEVKEVMICFYILMEQGKATLEDLDLRCEELIKEEFGARCNFDVEDAVQKLEKLGIVARDTIGRYYCMGLKRANEIIGTTTEELVLKAKQGVTPS >fgenesh1_pg.C_scaffold_3001848 pep chromosome:v.1.0:3:8423958:8425495:-1 gene:fgenesh1_pg.C_scaffold_3001848 transcript:fgenesh1_pg.C_scaffold_3001848 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTAEDQNDRAMMEAEGVTSFSELLMFSDGVLSSSSDHQREGNVGDRGEDSLGFVFSGKTGSRMLCFSGGYQNDDESLFLEPSVPSLGVSVLDPSCIKIDSKNSNDACTVDKSPKSSNKKRTGTGNGQEPDQNRKPDKKCKRNQDKSSVGIAKVRKERLGERIAALQQLVSPYGKTDAASVLHEAMGYIKFLQDQIQVLCSPYLINHSLDGGVVTGDVMAAMKAKDLRSRGLCLVPVSSTVHVENSNGADFWSPVTMGHTTSQSLPHGF >fgenesh1_pg.C_scaffold_3001850 pep chromosome:v.1.0:3:8434813:8436235:1 gene:fgenesh1_pg.C_scaffold_3001850 transcript:fgenesh1_pg.C_scaffold_3001850 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLEDDRKEFVSGQMDYCVKWPNPMVLISTMSKHQKEPLDCCPSTVYAHVLGLGVGCSSCAMVGLHRYNMLNGTNFQFSALLKYNRSMNCVCSYYMTLDALDPCSQLQKTFQVRIDEKSFGDLDLTVSIARIKDEERVTTKKRFIHHFHGEADADDFYQGPLPDWPSVDDLNDRKRFYLVNESELLANDWIHLYLELALYVKDRWIFRTSKPKLQILKVAIETKEDVEPPNGRLHVKSAIFYITYKGMAKPPIGDEIGEDVERKAIVRRVIDEKTGYLTLLGGFSIAKNDLNQSVSGEDQSSDNEQGYGKRRRILYID >fgenesh1_pg.C_scaffold_3001882 pep chromosome:v.1.0:3:8568414:8568875:-1 gene:fgenesh1_pg.C_scaffold_3001882 transcript:fgenesh1_pg.C_scaffold_3001882 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSRNRWLTGHELNLILQNANSLTVSTPSARNGSIGLFLYNVEAYRDDMLWQHFDGGNPHRGTLDGVRYTESTNFVNEAYRRRDHRKSQRHGILAVVHYRIV >fgenesh1_pg.C_scaffold_3001883 pep chromosome:v.1.0:3:8578276:8579965:1 gene:fgenesh1_pg.C_scaffold_3001883 transcript:fgenesh1_pg.C_scaffold_3001883 gene_biotype:protein_coding transcript_biotype:protein_coding METFWGSHNAASELNPRQGCGTCILSIFCSQAGAKRGFAFRFDVEFSGPASSPANTTSETSVASGSSSISPSGEVNQKKRTNPSDALVLSTSPEAPPTHWQQFYFSVKNLNW >fgenesh1_pg.C_scaffold_3001890 pep chromosome:v.1.0:3:8613841:8614158:-1 gene:fgenesh1_pg.C_scaffold_3001890 transcript:fgenesh1_pg.C_scaffold_3001890 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTSKTTSSNKRKADDAAPSSGSNVGEQESRPPGIKAMKAKRNKGKDKVGPALASDNMWEKKEKDMMMREKLQKMSVYNTLLAKNDTLDEDEKVLKKKLMSELF >fgenesh1_pg.C_scaffold_3001891 pep chromosome:v.1.0:3:8615161:8616807:-1 gene:fgenesh1_pg.C_scaffold_3001891 transcript:fgenesh1_pg.C_scaffold_3001891 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRMFDDVSLLNSSKTDWLIRVRVLNVWHHEERGLGTSLEVILSDSKGTKVQASFRGCAYHRYASKITSGDWFDFKDFKVVEQYDVIGQVIHVSEFPTLDHLDGYKKDNGSEDGDSLPKFFYLKRLIKTCLKPPGDIVKTVSTGSLDGYNSDDVEELTGDGDSDEESCTEDEGRVEVDPEYQIDKDEQDTDTDEVNDRIASTDDDASEVSKDEYDFVGDYDECDDNDCAAESDDDFYEVEKDDYEDGVEDDEEDVDSEYSVVSESEEENLDGCDGKMVEERKADKLDDYSVHHKISFDLRDSRMHSNPFESWLVNESVCYLGG >fgenesh1_pg.C_scaffold_3001892 pep chromosome:v.1.0:3:8625071:8625978:-1 gene:fgenesh1_pg.C_scaffold_3001892 transcript:fgenesh1_pg.C_scaffold_3001892 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDDDEACAEVFEEAIKKTVPKLEVFEKTFTSDGQKSVFTVIPGRSAAYSSCERETIDHYLAIYEMSGNRVAVVFDNGGDTTSEESETNRALPQATYALDRGYIYFIPFSMVDV >fgenesh1_pg.C_scaffold_3001904 pep chromosome:v.1.0:3:8685368:8688819:1 gene:fgenesh1_pg.C_scaffold_3001904 transcript:fgenesh1_pg.C_scaffold_3001904 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYSNSESRENLPKPEEKFFSTFSFPCMNGYLHTGHAFSLSKVDFASAYHRLRDANVLLPFGFHCTGMPIKASADKLSLEIQQFGNPPVFSAQDDTIKQAPETQEESCDMLIKLTEKPYKDCLFREALKNGFYDLQAARDEYGLSCGSGGMNHDLILHFMDVQTHLIEPIRPQFAEYVWRKLFKKEGCVVTAGWPTSDEPDLVLKSANKYLQDSIVLMRKLLQKQLLGSKKAAKKAAQVTAVPEGISMDGELTASRFCQSKFVQQTCRFAPDAEILAERREILQKEGQSENFKQIQKLCMPFLKFKKDEAISIGPQALKLRLPFGEIEVLQSNMDLIKQQENPPSPRNPTAIFVTRHHSIISRIADWEGIKKTTDTYYRRSSSAQYVGNVSVPLLCTSALDDPVCNREAIPWDECSSTLRWVFMYHETDIPMSQILQGKQNIVLATTASTRRTGPL >fgenesh1_pg.C_scaffold_3001926 pep chromosome:v.1.0:3:8831411:8833438:1 gene:fgenesh1_pg.C_scaffold_3001926 transcript:fgenesh1_pg.C_scaffold_3001926 gene_biotype:protein_coding transcript_biotype:protein_coding description:DELTA-VPE [Source:UniProtKB/TrEMBL;Acc:D7LAX2] MSSLGHFQILVFLHALLIFSAESRKTHLVNDNDVESSDKSAKGTRWAVLVAGSNDYSNYRHQADICHAYQILRKGGLKDENIIVFMYDDIAFSSENPRPGVIINKPDGEDVYKGVPKDYTEETVNVENFYNVLLGNESGVTGGSGKVVKSGPNDNIFIYYADHGAPGLLAMPTGDEVLAKDFNKVLEKMHKRKSYNKMVIYVEACESGSMFEGILKKNLNIYAVTAANSKENSFGTYCPESYTPSAPEFETCLGDVFSISWLEDSDLHDMSKETLKQQYHVVKRRVGSDVEQTSHVCRFGTKEMLNDYLASYIGRNPENENFTFTESISSPISNSGLVNPRDIPLLYLQRKIQKAPVGSPESKEAQKKLFDEMNHRKQIDQSITEILRLSVKQTNVLNLLTSTRTTGQPLVDDWDCFKTLVNSFKNHCGATVNYGLKYTGALANICNMGVDVKQTVSAIEQACSVK >fgenesh1_pg.C_scaffold_3001929 pep chromosome:v.1.0:3:8837955:8841540:-1 gene:fgenesh1_pg.C_scaffold_3001929 transcript:fgenesh1_pg.C_scaffold_3001929 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTQSAMRMVEGDHIKKWQASRDSGIFGSLDMAVEDLGFLMKRNRLDSGDHTGKIPSRSGSAPPSMEGSVAALRNLLKQQEGSSSEVLSRAIENYDSEEEIRSDPAYVAYYLSNINLNPRLPPPLISRENQHLLRHFGGVGDNNQSPTTSWDNMGIRSSLHSSRTALSTHREEPEDEASSGEQQAYTSLAGHRKSIADMIQEDFPLTLSSVFKRPHSAGNRPIAQDIHAISSDTSSENTRRLPESDINSVNLLRETDSLSIDAIASEDPFTTDLGSQSSTNVQNERLNARRASHEDNNLSVFGASPPSSVASRMRRNQEDQQSQGRRMPLQYTPSSYQVQASSPQQMTYPRMGGTQDMMQSLPKIATGEVHSTFQSPHGLAPPPMYTSTAAYMTSLSPFYHQNFQSSGMYLPQYNYGSYPPGSGIVPQYMSGYPSHEATVPMPYDISSTSLGYNNTRLLPGVSSSGQNIPSLVDPFQLQYFQQAQVDAYAPPFQSSTDSFGQKDQQAAGYMANHEPLNSPLSPSYGMQSPRHMGNYFAVPPGVRVMPQYPGSPLASPVMPSSPVGGMMSHFGRRSETRYHQQGPSRNTGIYPGGWQGNRGGASSIVDDLKRHSFLDELKSPNARKLELSDIAGRVVEFRHVDQHGSRFIQQKLEHCSDEEKASVFSEVLPQASKLMTDVFGNYVIQKFIEHGTPAQREELVKQLAGQMVSLSLQMYGCRVIQKALEVIDVDQKTELIRELDGNVLKCVRDQNGNHVIQKCIESMPAGRIGFVIAAFRGQVATLSTHPYGCRVIQRILEHCSDDEETHCIIDEILESAFALAHDQYGNYVTQHVLERGKPDERRQIIEKLTGNVVQMSQHKYASNVVEKCLEHADSTEREFLIEEIMGKSEEDNHLLAMMKDQFANYVVQKVLEISKDQQREILVQRMKIHLQSLRKYTYGKHIVARFEQLFGEESEVSEEGTEG >fgenesh1_pg.C_scaffold_3001945 pep chromosome:v.1.0:3:8903051:8904631:1 gene:fgenesh1_pg.C_scaffold_3001945 transcript:fgenesh1_pg.C_scaffold_3001945 gene_biotype:protein_coding transcript_biotype:protein_coding MERFVQTYRSFAKKHLAQARVAAAKKKEFMVVMMMDFRVYLMHVNLHYDKVELCMKRQGTLIFPDASDQIYVRQVFHCDGLLLCILKDNPRLVVFNPYCGQPRWIEATYNNQRLEFYSFALGYNSNTKSHKILRFILYHGLFSLALAEFKIYDFNSDSWRVLDVTRDWDIDWYRGGVSIKGNAYFFANETETFGPRLPLPFEWCPVDILSLSIVRDEQLAVLFQREDTFQMEIWVTTKIEPNTLSWGSKFFLSVDMRVLTGNGFMFSFSGASFFIDEEKKIAVFYREQVAARLIRRRLNDFLELSFVLQCIIPETFNFGFLMSEIM >fgenesh1_pg.C_scaffold_3001966 pep chromosome:v.1.0:3:9012794:9015091:1 gene:fgenesh1_pg.C_scaffold_3001966 transcript:fgenesh1_pg.C_scaffold_3001966 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGNRTSGKGKLVAKRTTRASPRTSKAKSTQQDSLNYRPYFAQIKVVPTRYTDEATLERLHLSQTVSTLFEKMGLSDFVNKRHLTYTELTKDFLATVEVLQEPDCRLTGKNGAIHFVVANENHIVTFDDLANIFGLQKGFEMKYSKVQDPQQYWDAIGGTGRYNSGKVKAAQLRHPAVRYAHRVLANTFFARQFTNNVRTEEMHLLYTGLEGTTWRDVHMGSVLGHHLASYKQWAKEASTKLKVNVKRKKPTISIGGIITPILDFVGINLSKHKYTDGVRTIDEFYLYKCDILAGRVHDKVAYKLELPNTQKVMVLLPNWSITTANVDRRLQFTPSSEYHFVQSPNNMLVPITSTLGVTSDNGSDGESQNEDDCEDPTTSTAAPSFTLPPLITQAKSKMDKWTFEGKVIRQLKRLVKAMQPSTPKRKLVASSHPEVIIPEPTRYSSVDPLNSSRYTNHGDPVPLIAAALTLVMGQRYLLTGKIMPAGLVAGISALMTCFYVYKISTGGNKFPSKAE >fgenesh1_pg.C_scaffold_3001979 pep chromosome:v.1.0:3:9069867:9071189:-1 gene:fgenesh1_pg.C_scaffold_3001979 transcript:fgenesh1_pg.C_scaffold_3001979 gene_biotype:protein_coding transcript_biotype:protein_coding MVETCGQHIKRRRTETQPLKLSRAERWNVVDEEDVFMDILSRVSVKSIWSLKTLSKHWHGSISTTYFCKLQLAHSRKNPSFIVCPTLETSMKLYSMDSRSFELSPLNTIDPSERSHGVSLYMISSFNGLICCVNVIFDEDVESKFFDLQIWICNPCTGETLLLPQGRPSFECEPCVGVAYSSDTSDYRIFRIFCTGKKIPEERESVEGYYVREGYAYECEMFSSSTGSWKNIGLVPCVPMDCGLRPYKTGHICVEGKVYWLVSLDEPGKILSVNLEGRFKVINLPEYEANQKGEDKITEGTHLINLKGSLALLVLHPGYMDIWLLKDNGETYSWVPVPLLKYHIRIKDDELVLTVTSLKNKIICVTETHWHIYNVDTGKWKKIRGPRTGFGYPAVFPFTESILPCKGGVKL >fgenesh1_pg.C_scaffold_3001981 pep chromosome:v.1.0:3:9082120:9084646:-1 gene:fgenesh1_pg.C_scaffold_3001981 transcript:fgenesh1_pg.C_scaffold_3001981 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHHDFINSGSWWKVSSSSSPSSSSSMRASSIESGGSAVFHDHKLHHHHDHSLATTDHHLQMIGLGLSSQSPVDQWNQSLLRGDSKAETSFGVMLQENLNLDASSNANANTTSSTSSYQLQESDSSHQHQALWRDPQSDFKPQILTSGGNRGFFLDHQFSPHGSSSTDSSTVTCQGFAVDNSSNTMYAATTTTPNSSSGMFHHQQAGGFGSSDQQQSRNQQQQSLAYSQFGSSTGNYDQMASALPSTWFLRSSPPKPHSPLRFSNNATFWNPAASGNAGPPPPHDASSNFFPALQPPQIHPPSFDEQPKNITEIRDSSSSEVKRGGGHQQPAAKRAKSEAASPSPAFKTDAASVLSEAIEYIKFLHQQVSALSNPYMKSGASLQHQQSDHPTELEVSEEADLRSRGLCLVPVSSTFPVTHDTTVDFWTPTFGGTFR >fgenesh1_pg.C_scaffold_3001995 pep chromosome:v.1.0:3:9149103:9150962:-1 gene:fgenesh1_pg.C_scaffold_3001995 transcript:fgenesh1_pg.C_scaffold_3001995 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSVKREAKLTRDRSSRREAGESSERPKIVKKEKQSKTVIASLRPQEPPIQEEDEQGIADRRAIRSQYLALTHQIKDAKDDLTKIDSDKFKRIINEVENLHQKVKKPREQIADAEALLDLANSVVSSVKSQSAHGGVSPAEFVNALINGFGKTCLRIDADDNTQVSLKWKDLGFTVCSTVMVSCGCTTMMGPMDSELKQRKRSVGNRKRTKPGVGVKPEEVDDKEGEKKSDTDNNMAVMFNILRKNKRVKIENLVLNRKSFAQTAENVFALSFLVKDGRVEITVDNNGSHFVEPRNAPAANLVLSGEVAYNHFVLRFDYKDWEPMSTMVAVGEELMPHRETKVAQDSRELSRKQGSVIQDETVVEDSSNIEGDNKDSHNGGLPRKCKIRKTDHL >fgenesh1_pg.C_scaffold_3002012 pep chromosome:v.1.0:3:9245695:9246493:-1 gene:fgenesh1_pg.C_scaffold_3002012 transcript:fgenesh1_pg.C_scaffold_3002012 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDNERKILHARTKEAALKAYFLGMKGESPIEKLGQVDNLLDHHSPDKAASRTNKLSIVSISPLSSKLESNAHLVAVLSDCTRIYLSTSSSSQSSPNCLRVVTTPNLTIDQRKGLSTKAEIAYCPTLGNCELETGLSEEKLFQVSNCHKMFGDSTILSCTSRYVPSRFMRKRVNIESIRRQEAGMCDAIEKRKLAISKKIM >fgenesh1_pg.C_scaffold_3002016 pep chromosome:v.1.0:3:9297916:9300899:-1 gene:fgenesh1_pg.C_scaffold_3002016 transcript:fgenesh1_pg.C_scaffold_3002016 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGFSHLTSLAQSEKAVQELLLQQTPMQATDDHLIEFSEALRTVAKALRGAAEGKALAQAEAAEWKRRYEVERSKNVELQHKELSNGVCADESNSQRMEHLAKSPRLYAQEISSNGMERICSHEVLQDGGFNSFTNKLKRKASFKLSWGCKGMANDQHKKEIVSFERGNISTAERSSKQISLTWESDPQTVLIITKPNSTSVRVLSVDMVRWLRTQKGLNIYVEPRVKEELLSESSSFNFVQTWEDDKEISLLHTKVDLLITLGGDGTVLWAASMFKGPVPPIVPFSMGSLGFMTPFHSEQYRDCLEAVLKGPISITLRHRLQCHIIRDKATNEYETEETMLVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTVRVQVPFNSRSSAWVSFDGKDRKQLEAGDALVCSMAPWPVSTACQVESTNDFLRSIHDGLHWNLRKTQSADGPRDT >fgenesh1_pg.C_scaffold_3002023 pep chromosome:v.1.0:3:9333971:9338232:-1 gene:fgenesh1_pg.C_scaffold_3002023 transcript:fgenesh1_pg.C_scaffold_3002023 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVRFGIDITDGYPKIIRGETRDIELRSSSSVTPCVLRLRSSSPVFDCSCFMESESENNNDDNLGQEIDREEDGPPPSSVGGKRTKSQRSSSGFAVKPRKRPAHRAPVWKHFVQQEDNLALSKCRYCGQLIGCDTVKTGTSAMTNHIKRCKLFKMYESDNQKVLAGDSSGVHRLMPNHQLALELELEIEIDSMVSFCELCGAEADIHCAADSAFLCRSCDAKFHGSNFLFARHFRRVICPNCKSLTQDFVSGPLLPWPPRTTCCSESSSSSSSCCSSLDCVSSSELSSTTRGVNRARGRENRVKAKAVAVTVADGIFVNWCGKLGLKRDLTNAVVSYASLALSVAERKPRATKRVILAAAFWFGVKNTMKLQSLKKVEDVTGVSAGMIRAVESKMARAMTLQLRRWRVDSEEGWAENDNV >fgenesh1_pg.C_scaffold_3002038 pep chromosome:v.1.0:3:9401707:9403314:-1 gene:fgenesh1_pg.C_scaffold_3002038 transcript:fgenesh1_pg.C_scaffold_3002038 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRCEMEETTKKKKITEIGSAIEELSVLSIAKTTIVTTENEATNIVNLPLKPLLSFCNIIVQVLDKIGPTMAVLRHDIDQNIQRLEKMWESDPLVYSNLVEILRKEAKEGSSRKPKSCSRAALWLTRAMDFTLALLQRLVKDMSQNMEQAIEECYNLTIKPWHGWISSAAFKVALKLVPNNNTFINVLAAKDETHQIVQDDIRSLISLLIPLLSQLHSILELYEVSKLKSP >fgenesh1_pg.C_scaffold_3002045 pep chromosome:v.1.0:3:9444067:9445981:-1 gene:fgenesh1_pg.C_scaffold_3002045 transcript:fgenesh1_pg.C_scaffold_3002045 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMKDEAKRITIPPLFPRVHVNDTGRGGLSQPFDGKTMSLISCKRPNLPSPTNISDSLSTFSLSIPPPPNNARLIDGPEKNQLSPICNTKFEGKMNKKGMNYPSPRGSSVTNTKPSSIKQNEYLKSLTNLDSIKVPDVRRSETDPKANTDLSLQFCTSSSSKAGGEVIGSKILLSECLEDESQNGSPKVMQTQLYRRNFAEFSSETLKKPKTLPRREQDASDCSAIDSMSGISASSYNVARVIGERRFWKMRTQQKIFAGQVFELHRLIMVQKMIAKSPNLLLESKLNGGKHGTMRSSHQLTMAASKIKKPNIENHKPVPEEYPEHMKPKLPLPSISKELVTPIWPQQLLPPPGNQWLVPVISPSEGLVYKPYAGPCPPPSSAFMVPVYGQDSIETAFRFPVSSQFSHSYFPPPNARTTVDQTNPFGQYQRWSNTSSHMTQAIPFSLKKSQESNDSDIHGSTASSPPEKHKFEVLPLFPTEPTHQTDEYKEKQQPVLRAIKAVPHTSTSASESAARIFRSIQEERRDSDHMIS >fgenesh1_pg.C_scaffold_3002051 pep chromosome:v.1.0:3:9478919:9481464:1 gene:fgenesh1_pg.C_scaffold_3002051 transcript:fgenesh1_pg.C_scaffold_3002051 gene_biotype:protein_coding transcript_biotype:protein_coding MISGYSKELVIMSGTELEEPGQLKRAVIDASAGAVAGAISRMVTSPLDVIKIRFQGFWRGNVPALLMVVPYTSVQFAVLHKVKSFAAGSSKAENHAQLSPYLSYISGALAGCAATVGSYPFDLLRTVLASQGEPKVYPNMRSAFLSIVQTRGIKGLYAGLSPTLIEIIPYAGLQFGTYDTFKRWSMVYNKRYRSSSSSSTNPSDSLSSFQLFLSGLASGTVSKLVCHPLDVVKKRFQVEGLQRHPKYGARVELNAYKNMFDGLGQILRSEGWHGLYKGIVPSTIKAAPAGAVTFVAYELASDWFEANLT >fgenesh1_pg.C_scaffold_3002053 pep chromosome:v.1.0:3:9487085:9488227:-1 gene:fgenesh1_pg.C_scaffold_3002053 transcript:fgenesh1_pg.C_scaffold_3002053 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKECSLLPSELCEEILCRVPTKSLIRLKLTCKRWLALFQDKRFIYKHLALLQEHIIRTNHMVKIINPVIGACSSLSLPNEFQVRGDIYTMVHCDGLLLCIFESGSMAVWNPCLNQLRWIKPLTSSYKGCCYGIGYDCLSRDNYKILKFVNGAFTKNEYANTGSYKPEVDIYEFKSNSWKTFKVSLDWHVVTHCKGASLKGNMYWISKWNRKPDIFIQSFNFSTETFEPLCTTLPFEYRVCDVVALSAFRGDNLSLLHQSKETSKIEVWVTNKVKNGVSISWTKFFIVTRSDLPVLVASENISYPVHFIDKNNRIVVCCEEVLADRRNVAVNIYVIGEDEIKSQDEIEQHQIGFSWPYISGYAYLPSLVPVPSSEDKPE >fgenesh1_pg.C_scaffold_3002062 pep chromosome:v.1.0:3:9530099:9530709:-1 gene:fgenesh1_pg.C_scaffold_3002062 transcript:fgenesh1_pg.C_scaffold_3002062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L0T4] MNIEFNFEGGLGVAFLAGGGFTITIPPNASMNLTVTAVDGGNTEKRLTGLYNLCGGIEVNVRYRYSIAVHPYGNPLEAGGQFLVHYRTNDVDETGHEDDPVIDLGHLPHG >fgenesh1_pg.C_scaffold_3002063 pep chromosome:v.1.0:3:9531577:9533858:1 gene:fgenesh1_pg.C_scaffold_3002063 transcript:fgenesh1_pg.C_scaffold_3002063 gene_biotype:protein_coding transcript_biotype:protein_coding MANHDHPTHVPCLFSMMMSEGSRLSLSNQSLFSFPDPFLIWVVDQFHQRHSPDLTESSPLQYLYSFVMSIQWNCVFLPRRRFVFGAFPWRRWFFRLSTGDCPLTQGYGGFTWIFDPGINRVLAEDEDIIKLVETKKNSQTQWINSGDLVPLFGHSIPEFPAHRYPRSMLKDLRLATKRELTAHPMIPKKYLVQRKASSSQCFVHDYMRRRPHMMILHQPFMNVILEYDASEDEFGFLLKIDEASTSPRWPLVFLDWVLVYLGSQVDSMNGMSDFKKRKHLPWQQIWVIRQRRLPTDQSESFSESSNVLISTKRQDTVLSPTTLSSHFKSKVMLKAFWSLSSKLIANNKYVKEGHNRFHLLARKAFDSRYMDVEFNISMHCNECERKIARVISKFKGVETFVTDMINHKVMVKGKIDPNKLLKKLKKKTGKRVKIVVKEEKDEESSKEDENVLEIDMESIGLRDQSIFGFCDWEMEKFMVFSDENANAICSIS >fgenesh1_pg.C_scaffold_3002075 pep chromosome:v.1.0:3:9576596:9579636:-1 gene:fgenesh1_pg.C_scaffold_3002075 transcript:fgenesh1_pg.C_scaffold_3002075 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNDIGVAAAINILTAFAFFIAFAILRLQPVNDRVYFPKWYLKGLRSSPIKTGGFASKFVNLDFRSYIRFLNWMPQALRMPEPELIDHAGLDSVVYLRIYLLGLKIFFPIACIAFTVMVPVNWTNSTLDQLKNLTFSDIDKLSISNIPTGSSRFWVHLCMAYVITFWTCFVLQREYKNIGSMRLQFLASEQRRPDQFTVLVRNIPPDPDESVSELVEHFFKVNHPDYYLTYQAVYNANKLSELVQKRKKLQNWLDYYQNKHSRNPTKRPLIKIGFLGCWGEEVDAIDHYIEKIEGLTRKISEEKETVMSSTKSLVPAAFVSFKRRWGAVVCSQTQQSRNPTEWLTEWAPEPRDIYWDNLALPYVQLTIRRLVIAVAFFFLTFFFMIPIAFVQTLANIEGIEKAVPFLKPLIEVKTVKSFIQGFLPGIALKIFLIVLPSILMLMSKFEGFISKSSLERRCASRYYMFQFINVFLCSIIAGTALQQLNSFLNQSATEIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGTIGFNTGEPQIQLYFILGLVYAAVSPILLPFILVFFALAYVVYRHQVINVYNQEYESAAAFWPDVHRRVVIALIVSQLLLMGLLSTKRAARSTPLLFILPVLTIGFHKFCQGRYQPIFVRYPLQDAMVKDTLERMREPNLNLKTFLQNAYAHPVFKAADNLANEMVVEEPHPDRTPDLVATKRGSRRLHSGSAETFT >fgenesh1_pg.C_scaffold_3002079 pep chromosome:v.1.0:3:9596667:9598934:-1 gene:fgenesh1_pg.C_scaffold_3002079 transcript:fgenesh1_pg.C_scaffold_3002079 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNSPETSEKLISSFIEVTSSSREVAISFLETHQWNLNAAVSTFTKNEVAVAAEPNVPNPKDEQLLRRNRSPFPNLRVRIPNSSFSAFDGSSGYSPSRLQLRPPFPSYPSSGQFYRLHSKRAAANPFSRHTIKRRQGDGNVRIDDFSGGTGSDSDEALENYGGEDNRAIERTEQSSGETDSPELEEELEGVFTYTVTSWSNGFTVDDSSLKTLDDPENAYFLEIISKMESPRELAQVRVQVKIIRREEENFTESQAGSDSASTEPPALAASLSMSFSGNHGKLKDVDAIFDRAKESAIERFEQSSKVMSGETDSAELQEQQQEDQPYKVVTSTVTIWRNGFTIDDDPFKSLDDPENAAFLERITSLESPRLLDPLSVQVKLIRREGNFSESPSFHGVGSTLAESDSVSTNLPTLATSPPPSMGLIVVDPTAPTTSIQLRLADGTRLVTRFNTHHTIRDIRGFIDASRPDGSKDYELLIMGSPPTRLPDFDQTIEKAGIANSVLVQKF >fgenesh1_pg.C_scaffold_3002091 pep chromosome:v.1.0:3:9663212:9664846:-1 gene:fgenesh1_pg.C_scaffold_3002091 transcript:fgenesh1_pg.C_scaffold_3002091 gene_biotype:protein_coding transcript_biotype:protein_coding MKIELILIPSPAISHLMSTVEMAERLVDLNDHLSITVIIISFNSQSTSMIASLTTASNKRIRYEIISGGDQQPTELKATDSHIQSLKPLVRGAVAKFVDPTRPDLPRLAGFVVDMYCTSMIDVADEFGVPSYLFYTSNAGFLGLLLHIQFMYDSESQDTYDMSGLEDSDAELVVPSLINPYPLKCVFLNPYIFKSKEWLTFFVTQARRFRETKGILVNTVPDLEPQALKFLSNVFLCFGSMGGLSEEQAREIAVALDRSGHRFLWSLRRASPNIMKEPPGEFTNLDEILPEGFLDRTEERGKVIGWAPQVAVLAKPKIGGFVSHGGWNSTLESLWFGVPMAIWPLYAEQKFNAFEMVEELGLAVEIKNHWRGDLLLGRSEMEIVTAEEIETWL >fgenesh1_pg.C_scaffold_3002113 pep chromosome:v.1.0:3:9786581:9787360:1 gene:fgenesh1_pg.C_scaffold_3002113 transcript:fgenesh1_pg.C_scaffold_3002113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1J9] MDDTFFHRYLFRSIVFLRMVTLSVFVLTLATNIHHFHVKWISDPKAEANPPHGTVISLVDEKGTVLRESQVYIPCLIRSSVVKVFSGEVAEAYPVCITEFLSKLTYFVSFMVVSEGHCFVAFRWLIQISLDLLMRQRPPRKPSWNQPTTEDGDAIMMRIRRSDQQQVWVYATITKLHISFFRRVPKSRVLRCNGWIADFDFRKRKRWCIDKKKMFSKLESHTRLTDTTKTTKHIIRIAALELFCFFVTNLFDCVHTLVF >fgenesh1_pg.C_scaffold_3002136 pep chromosome:v.1.0:3:9941713:9942400:-1 gene:fgenesh1_pg.C_scaffold_3002136 transcript:fgenesh1_pg.C_scaffold_3002136 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEVCCTLGMIEKQQALELKKAGLTAYNHNLDTSREYYPNVITTRSYDERLETLEHVREAGINVCSGGIIGLGEAEEDRVGLLHTLATLPSHPESGPINALLAVKGTSLEDQKPVEIYCMSCDVKYCVSLSIC >fgenesh1_pg.C_scaffold_3002143 pep chromosome:v.1.0:3:9970114:9971350:1 gene:fgenesh1_pg.C_scaffold_3002143 transcript:fgenesh1_pg.C_scaffold_3002143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:D7L1N8] MMMSRCGAKAMATAVSHSHLLNPRVPLVAENVRVPMMGVVRDFSKMTFEKKKTTEEKESNGGKADQGNKGEQLIVSYWGVKPMKITKEDGTEWKWSCFRPWETYKSDLTIDLKKHHVPSTLSDKLAYWTVKSLRWPTDVFFQRRYGCRAMMLETVAAVPGMVGGMLVHCKSLRRFEQSGGWIKALLEEAENERMHLMTFMEVAKPNWYERALVIAVQGVFFNAYFLGYLISPKFAHRMVGYLEEEAIHSYTEFLKELDNGNIENVPAPAIAIDYWRLEADATLRDVVMVVRADEAHHRDVNHYASDIHYQGRELKEAPAPIGYH >fgenesh1_pg.C_scaffold_3002161 pep chromosome:v.1.0:3:10075394:10076134:-1 gene:fgenesh1_pg.C_scaffold_3002161 transcript:fgenesh1_pg.C_scaffold_3002161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1R0] MVFLRMVTLSVFVLTPTTNIHHFLVKWISDPKAEANPSHGTVIPLVDEKGTVLRESQVYIPCLIRSSVVKVFSGEVAEAYPVCITEFLSKLTYFVSFMVVSEDHCFVAFRWLIQISLDLLMRQRPPRKPPWNQPTTEDGDAIMMRIRRSDQQQVWVYATITKLHISFFRRVPKSRVLRCNGWIADFDFRKRKRWCIDKKKMFPKLESHTRLTDTTKATKHIIRIAALELFCFFVTNLFDCVHTLMF >fgenesh1_pg.C_scaffold_3002169 pep chromosome:v.1.0:3:10121103:10122995:-1 gene:fgenesh1_pg.C_scaffold_3002169 transcript:fgenesh1_pg.C_scaffold_3002169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin family protein [Source:UniProtKB/TrEMBL;Acc:D7L1S0] MAITNKLIITLLLLISIAFVHCLAFHVDIEKFEPPQQEEQEGPGRRPGGGSGEGWEEESTNHPYHFRKRSFKNWFQSKEGFVKLLPKFTKRAPALFRGIENYRFSLVEMEPTTFFVPHHWDADSVVIVLQGKGVIEFVTDNTKEAFHINKGDVVRVPSGVTHFLTNTNQTVPLRLAKFIVPVNNPGQFKDYFPSPSQFQQSYFSGLSKEVLSTSFNVPEELLERLVTRSKERGQGIIRRISPDQIKELAEHATSPSNKHKAKKEKEEDKDLRTMWTPFNLFAIDPIYSNDFGHFHEAHPKSFDQLQDLHIATAWASMRQGSLFLPHFNSKTTFVTFVENGCARFEMATPYKSQEEQQQWPGQGQEQEEEDLSEDVHKVVSRVCKGEVFIVPAGHPFTILSQDQDFVAVGFGIYATNNKRTFLAGEENMLSNLNPAATRVTFGVGSKLAEKLFTSQNYSYFAPTSRSQQQIPEKHKPSFQSILDFAGF >fgenesh1_pg.C_scaffold_3002173 pep chromosome:v.1.0:3:10136093:10137099:-1 gene:fgenesh1_pg.C_scaffold_3002173 transcript:fgenesh1_pg.C_scaffold_3002173 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDIPLDLVEEILSRVPATSFKRLRSTCRRWNALLKDPRFTEKHFLKAPKESMVLMLKEYRVCPISVNLNVTPPSIEFQGALGLNDSHSNLEQVKITEVFHCNGLLLCTTKDNTLLVWNPCLGETKWIQLKVDYGRNGSKFSLGYIQNNESCRSYKILWSWYSYDYKSSPPQIVLGFEIYEFSSDSWRVLDDVNHDSLLNHNSIIGTGVSLKGNTYLLATLKKERLKRLCLPHFQDVGNLDLSVVREEQLAVLNWSRTTSKMEIWITNNIDTDATLLWRLHLDTRCNCVRIFSSLLIDEEEKKVVLCCNVNDDETSKNMDIT >fgenesh1_pg.C_scaffold_3002197 pep chromosome:v.1.0:3:10294387:10295164:-1 gene:fgenesh1_pg.C_scaffold_3002197 transcript:fgenesh1_pg.C_scaffold_3002197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7L2G7] MANPKVFFDLTVDEKPAGRIVIELFADLTPRTAENFRALCTGERGIGKCGKPLHYKGSIFDDIVPDLMWCGGDIIFENESIHGEELEDEYFILNHEDGPGIISMADSTGSQFQIHMKDYGVQVDGDHVVFGKVVEGLDLMRSIEKEVISTTTRTPSKPVVIADCELIGDISECILAYF >fgenesh1_pg.C_scaffold_3002217 pep chromosome:v.1.0:3:10489936:10491494:1 gene:fgenesh1_pg.C_scaffold_3002217 transcript:fgenesh1_pg.C_scaffold_3002217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L2K3] MNFKMQILARRLKLKLRAKKAVAARKLEEQVPIQLADGEEAGDEDTSSEASENYAQDEEEVLVVPAEETGDDDGENYAEDGDEALVVPVEDHDEVLVVPVDDHDEEMQHQREVRNRIRTINTIGRKDIQQTLIRKTNRIKVLQASIELNTGGGGSCSVGQIEHWNRELISCQRKVQNLKHEMNL >fgenesh1_pg.C_scaffold_3002218 pep chromosome:v.1.0:3:10492393:10494155:-1 gene:fgenesh1_pg.C_scaffold_3002218 transcript:fgenesh1_pg.C_scaffold_3002218 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFGLAAVKGLRKKNNKKKKVVEGRRRSIVKANSRSTPTFEEIPEEVWMEILARLPVNSLMRFKCVSKLWWSFITSRYFTNLFSKLSSLTRERRVFMSVVDKEYHGDYMLFSASPSNWDAASFPLLNQDLTLPGMGGHFVNAVRGLMCFRLGREVRIYNLTTRQLVSLPIVKSNMLEGDSHMWNYFGHDPVSDEYKVLSKVWWVSKGWRRVRSETQVLVLGARASWRNARSHFHPPPSHRPYSQGISINGVLYYGAWCNGKRCVVMSFNLASEEFNLIDLPDEAGIVWHACRANLMNYRGKIAVFECSRLITEGMLDLWVVEDAGTSKWSHKVSVLPSHQLMKSLDVSELVIRSTNRSGEVRLSGRIVDSIKMSMNVIYDLEKNRITRGVAMEPLYPRFSGAGSLQTILWDDVESIITQFKPASESAVRRLKITWIEEKIGCTICLDELAVGAEASTLPCRHHFHKGCIVEWLKSSHFCPLCRFALPAHPNN >fgenesh1_pg.C_scaffold_3002222 pep chromosome:v.1.0:3:10533658:10562864:1 gene:fgenesh1_pg.C_scaffold_3002222 transcript:fgenesh1_pg.C_scaffold_3002222 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRDKQIDSGSGSGSSSGDNRRTYDCNICQRGFTNPQALGGHNNIHRRERERYPSSSFSSHSFPFSLPLPPQYPSSSINFTNPNNNNNPPPYFPTIESYQHQGSQPPINPSHNTQYFGSSSSSRGGGRFSQGDYHDLNLSLGLGSMNDNDDTYQSPPETGGSQPQDEDLDLDLRLGDQIRLSNVLVIFVYLKVRNNYTGKVYVVAESRLSSLPIDKPKAETAGDTKKVKGAKPENEEDSYQVLEKFNGASLVGKKYEPLFDYFNDFSSVAFRVVADDYVTNDSGTGIVHCAPAFGEDDYRVCLENKIINKGENLVVAVDDDGLFTERITHFSGLYVKDADKDIIKAVKAKGRLVKSGSFTHSYPFCWRSDTPLIYRAVPSWFVRVEQLKEKLLENNEKTKWVPKYVKDKRFHNWLENARDWAVSRSRFWGTPLPIWISHDGEEVVVMDSVEKLEKLSGVKVFDLHRHHIDQITIPSSRGREFGVLRRVEDVFDCWFESGSMPYAYIHYPFENKELFEKNFPGDFVAEGLDQTRGWSFLPSNLATLQSSNVLDQWIHSATQTLVKDVHEKMDEYRLDTVVPLLLEFLDNLTNIYVRFNRKRLKGRTGKDDCHTALSTLYNVLLTSCKVMTPFTPFFTETLYQNLRKVCEGSEESIHYCSYPQEEETRGERIEKSVTSMKKIIKLARNIRNRKGDKLQEQLPLKTPLKEMIVVHPDAEFLDDITGKLKQYVLDELNVRSLVPCNDTLKYVSLKAVPDYSVLGKRLGKSMGIVTQEVRKMSHPDILRFKETKEVTIANHSLKLTDIKIVRDFKRPDGLKDTEIDAAVDDDVMVILDLREDESLKNEGVAREIVNRIQKLRKKSCLEPTDFVEVYFESLDMGDSVVQRVLCSQEQYIREKIGSPLLHSTLMPPDVVIISDESFELKESKFSFKISLARPALKFNEEALLALYSGDVKFATRLQTYLLSRDHSNLKSEFQAGDGKITASCIEKLPVVIVILGEHLHLTVGDYLLSKKNA >fgenesh1_pg.C_scaffold_3002243 pep chromosome:v.1.0:3:10702298:10703446:-1 gene:fgenesh1_pg.C_scaffold_3002243 transcript:fgenesh1_pg.C_scaffold_3002243 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDLPFMLKAFQFRRLVVEDGRRRKKNKPSWLTPVSHGFYTVDRLSNIDNSSNDDSVFVQREQHSEELEIWFFGVSKAERGKEIVKYMQNHLFDKLPNELGIMRKCKETMRGAYVEEERTGGSAASVMVVNGEKLAMASIGGHRVVVCRDGEAHQIRDKNLKSSKHWSQFIFPVCNQEDLEDESDQRNSELVVITEKINTDTEFIIIGSSGIWEVMKNQEAINLIRHIEDPKEAAKCLAKEALNRISKSSISCVVIRFD >fgenesh1_pg.C_scaffold_3002263 pep chromosome:v.1.0:3:10898678:10899805:1 gene:fgenesh1_pg.C_scaffold_3002263 transcript:fgenesh1_pg.C_scaffold_3002263 gene_biotype:protein_coding transcript_biotype:protein_coding MANRHGDVIAHVKRNNVLVDVHHGSNGYFLMMKNGRVLTRARWPKNTDPNHLWLDIENCDVPKDLQPELRYHRIKLEDRNYTGPLTITAIFANTTEHISLDMLAKLPADIRTVDMGLYDADRAIEKEIEAFSNNPVNDPARNVMVMSGDKIFVKTLRDLKGKGYRTLAAFRVSSDEEELNAQVWDSWVFRQLLNLPWTVGEKPREEQDRKRKRSSSSAVLQHYCRVSNEKKLPALVSFLKKSPDQKVVIFFSSCSSVEYHYEMMRALDNDLRCLRIHGNLELENNLQAFSEFKINGGGIFLLCSDAVALGPHIQGVVSLCSIK >fgenesh1_pg.C_scaffold_3002265 pep chromosome:v.1.0:3:10907527:10909377:1 gene:fgenesh1_pg.C_scaffold_3002265 transcript:fgenesh1_pg.C_scaffold_3002265 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKALPEYANSKTAVWWDMDTCPVPDGYDAGRVRPIIEGALKELGYYGPVTITAMGNLKEATAHFLQRLSSTGIVVQHAITDCVGTLIFSDLMEFKSNNLPPATIMLISDKVEEELSFPLGRNQQIRRGYNIVRARSFGGTLSRIEHTADWRWKTLLEAAADSVSQDTTTSYVLRKCSSSSALSSFVCRACKFTGLSVASFTSHLSTEEHKKTMNDQAAPEYVNSKTAVWWDMDTCPVPDGYDARRVRPSIEGALKDLGYCGPITITAMGNLENAHPHVLQGLSSTRILVQHTRRVGAYIFSNLTHFKAHNPPPATIMLISDRVEHLSLCLSLAQQSRYYNLVLARTYTPESMSRLYHTAEWLWQTLLARSQETTSYVLRTCTASGDSASSFFCKSCDFTGLSVTDFTSHLSSEEHKQKEIFISKSSLPNPHDSDEDSDEDSDEESMAKASFN >fgenesh1_pg.C_scaffold_3002270 pep chromosome:v.1.0:3:10957483:10958828:-1 gene:fgenesh1_pg.C_scaffold_3002270 transcript:fgenesh1_pg.C_scaffold_3002270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3E9] MSQLDLGQPLLHFSIDGKGFMAKDRSYWSHRQKQRQKLLRKILDQEWDACWAIAPARHLDALFRKERLLGAREELSIQEIIDMMPRGKLENRRLTNFDALINILKEGTVLESENPLNYGFAEESTEAATVRTYKAAKVTLHKSEDYADKDYDDMLEENIRISPIAARLVLVNDDYDAIEGKSIYLPDPLAPEDAGHLLLLTGFGVDANGIEFWEAQDSYGRKHGDGGFIRIARKENLISDFFVMEIDKEPKT >fgenesh1_pg.C_scaffold_3002295 pep chromosome:v.1.0:3:11151622:11152612:-1 gene:fgenesh1_pg.C_scaffold_3002295 transcript:fgenesh1_pg.C_scaffold_3002295 gene_biotype:protein_coding transcript_biotype:protein_coding MTFCNKLSSILRQGVSQSSNVPVTSMVGSLRYMSTKLFVGGLSWGTDDSSLKQAFSNFGEVTEGAESIALMELNGRNIRVNLANERPSAPRSSFGGGGGYGGGGGY >fgenesh1_pg.C_scaffold_3002304 pep chromosome:v.1.0:3:11206988:11209890:-1 gene:fgenesh1_pg.C_scaffold_3002304 transcript:fgenesh1_pg.C_scaffold_3002304 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETHDGPLDLQEIRSRVKELEFIHRNCRDEPGESCSSDSETLVQDFVLQFEPKVKEIVEDYSDVDLLDVEDSDAYLEYLRKELQSVEAESAKVSEEIERLSKSHAQDSSRLERDLEGLLLSLDSMSSQDVEKSKENQPSSSSMEVCEVNDDDKFKMFELENQMEEKRSILKSLEDLDSLRKRFDAAEQVEDALTGLKVLEFDGNFIRLQLQTYIPKLDSLLGQQKFEHTTEPSELIHELLIYLKDKTTEITKFEMFPNDVYIGDIIEAADSFRQVSLHSAVLDTRSSVQWVVAKVQDRIISSTLRKYLVTSSKTIRHTFEYYEKDETIVGHIAGGIDAFLKVSNGWPLLNTPLKLESLKNSDNQSKGISLSLICKVEDLANSLDLQTRQNLSGFMDAIEKILVQQTREELLQSNESSQK >fgenesh1_pg.C_scaffold_3002328 pep chromosome:v.1.0:3:11408984:11410346:-1 gene:fgenesh1_pg.C_scaffold_3002328 transcript:fgenesh1_pg.C_scaffold_3002328 gene_biotype:protein_coding transcript_biotype:protein_coding MESNAKKNSSDDGQQHDIAIVGGGMVGIALAASLEKGHPPDPRVSTVAPATISFLKDVGAWKYIEQQRHGYFDKMQVRRSENFDLIRIPKTATLIKLTRYEGKLAVMSFGPAGHASTGKIDLWVLVDAAKHEWSNQVSALDLGITKWFLQAFCITDAVSALPLGA >fgenesh1_pg.C_scaffold_3002355 pep chromosome:v.1.0:3:11692929:11694112:-1 gene:fgenesh1_pg.C_scaffold_3002355 transcript:fgenesh1_pg.C_scaffold_3002355 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASTATVLFCCAKPNFRRIPSQSRSSSPLTTLNESGIRSCSLIHDPITLSGLIGTGLMAAAFITAGPNTTAMAAAFITAGPVSVFVGITTASKKVKTSSDVLTDKDLSHLVWWKETCQKPSTLQLIQRHMYTNLLGLDPIMRNGRRRMQDRLGNL >fgenesh1_pg.C_scaffold_3002359 pep chromosome:v.1.0:3:11714269:11717872:-1 gene:fgenesh1_pg.C_scaffold_3002359 transcript:fgenesh1_pg.C_scaffold_3002359 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTTCVARRTRSRTESYLNSILNRSKGITGEEDSLNSRTEKRRVNMRDACSPSPRKKKRRRSKVGEDDDDDDVEFIRTDYPEGKRDDENVGSTSGNFESKSLDCSDFDVDDGNLGGEERISNFNPLSPDDDVVFVRTVLRENDHVEEDDNVGSASVISPRVCDFDVDGADLRGEEKTSTLDHLSPDDDDDVVFVGTVPGDVEDGNVGSGVCDILLDDVNLRGEEKTYESDEVVSLSFNSDDEECGTDSGEEVSGEDRDSSEIDMNEDANDPSYNMEESSDPSCEESSDSDFVRSEDEEGGTGDIAKGEKNPSEKVYYQKKSRSFRRKHNLEVINLLAKSIWESKDVFKEDICSGDKMAEVDSREDGINRDSSSEKVNEQGKPREPRSFHRVREKNHLNGESFYGGENLCDGEETIHYSTEDSPPLNLRFGCEEPVLIEKTEEEKELDSLWEDMAVALTLEGMNSSTPAKNGDKLCSKGTHDFVLDEEIGLKCLHCSYVAVEIKNISPAMVKYRPSVNDNKKCSDKKGDPLPNRLEFDASGPSSHDTPLEKTEGTVWRYVPGIKDTLYPHQQEGFEFIWKNLAGTTKLNELNSVGVKGSGGCIISHKAGTGKTRLTIVFLQSYLERFPDSHPMVIAPASLMRTWEEEFRKWNANIPFYNMNSPQFSGHEDVEAVSCLEGDRHHNSIRMVKLVSWWKQKSILGVSYPLYEKLATNKNAEGMQVFRRMLVELPGLLVLDEGHTPRNQNSLIWKVLTEVRTEKRIILSGTLFQNNFKELSNVLCLARPACKDTISSRLHELIKCSQEGEHGRVNEENRIVDLKAVIAPFVHVHEGDILQESLLGLRDCVLVLNPPFQQKKILDRIDTSQSTFEFEHKLSAVSVHPSLYLCCNPTKKENLVIGPATLETLKKLRLKYKEGVKTKFLIDFIRISGTMKEKVLVYSQYIDTLKLIMEQLSLVFSWKEGEEILFMHGKVEQRDRQHLIDNFNKPDSGSKVLLASTKACSEGISLVGASRVVILDVVWNPSVESQAISRAFRLGQKRAVFIYHLMVKDTSEWNKYCKQSEKHRISELVFSSTNEKDKPINNEVDSEDRILDEMVRHEKLKHIFEKILYHPKESDMFTSFF >fgenesh1_pg.C_scaffold_3002379 pep chromosome:v.1.0:3:11925232:11927309:-1 gene:fgenesh1_pg.C_scaffold_3002379 transcript:fgenesh1_pg.C_scaffold_3002379 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:UniProtKB/TrEMBL;Acc:D7L549] MNRQNGGGQRLRSARPTTIHDCALSGDLIALQRLLKDNPSLLNERNPVMYHTPLHVSAGNGNVDIVKYLLAWTGSEKVELEAMNTYGETPLHMAAKNGCNEAAKLLLERGAFIEAKASCGKVGSFGLIWFWLMQNGMTPLHLAVWYSITAKDISTVKTLLDHNADCSAKDNEGMTPLDHLPQGQGSEKLRELLRWFLQEQRKRSALEQCGKTKAKMELLEDELSNIVGLSELKTQLRKWAKGMLLDERRRALGLNIGTRRPPHMAFLGNPGTGKTMVARVLGKLLNTVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQEAEGGILFVDEAYRLIPMQKADDKDYGLEALEEIMSVMDTGKIVVIFAGYSEPMKRVIASNEGFCRRVTKFFNFSDFSAKELAQILHIKMNNQGEDTLFYGFRLHESCTLQEIASLIEAETTEKQRKEMNGGLVDTLLVNARENLDLRLSFECVDTEEICTIKLEDLEAGLRVFSQ >fgenesh1_pg.C_scaffold_3002385 pep chromosome:v.1.0:3:11961248:11962029:-1 gene:fgenesh1_pg.C_scaffold_3002385 transcript:fgenesh1_pg.C_scaffold_3002385 gene_biotype:protein_coding transcript_biotype:protein_coding MPVILSLWLRLRTIWNYMSQRYSLKPIHPSGDGSVGVNVQKINQLSPSGFGATPFDKMNFSDVMQFVDFGPKLALNQTRNQDDQETGIDPVYFLKFPVLNDKIEDHNQTQHLMPSHQTSQEGGECGGNIGNVFLEEKEDQDDDNNSVQLRFIGGEEEDRENKNVTTKEVKSKRKRARTKKIDEEKEDQDDDNKKN >fgenesh1_pg.C_scaffold_3002386 pep chromosome:v.1.0:3:11964681:11966201:1 gene:fgenesh1_pg.C_scaffold_3002386 transcript:fgenesh1_pg.C_scaffold_3002386 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISNLPKDLVEEVLSRVPLTSLRNIRLTCKKWNILSKGESFAKKHLGDQAKEAATEREFMMVMMMDFRVYLMRVNLHNDVESCIKPEGELICLDEEDDVSQVFHCDGLLLCIMEDNTRIVVWNPYWGHTWWIVPTHNFHKLDVYTYALGYKQSSKSCRSYKILRFIDFSRTCFEFKIYNINSDSWKVLDVSPDWKIDSYSRGVSLKGNTYWFARERQGSSTFLVCFDFTRERFRSRFPLPIQPYILDTVSLSSVREEQLAVLFQRSRLSEMEMEIWITTKLEPNAVSWNSKVFLAMDIGLQFQFQVRAASFFIDEEKKVVVVFDKEKKYLVSTHNIAYIFGEDGTLEQVDLGLSADKFCYPLVCCYVPSLVQLYRFMVNTYKIQRFLELIFDLWYTLFLMPLSQFWLCSLESNAALVCPASSGSRYRGERFKEEYLQRIS >fgenesh1_pg.C_scaffold_3002392 pep chromosome:v.1.0:3:11983512:11985183:-1 gene:fgenesh1_pg.C_scaffold_3002392 transcript:fgenesh1_pg.C_scaffold_3002392 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDAPEVATHNKRRKKEASSSSSSRFLHSLPEAVAISCLACVSRLDHAALSLVSKTCRSMVLSSELYQTRSLTGYAEKFLYVCFCMPTDETPRLFIFRPTKDAAIRNNVNLAHGAHPIPSFPSQQRKGSSVVALDRGIYVIVGWVNGNRLSRVLLFVCRFHKWHHVTSMRVPRVSPEVRVVDGKIYVWGSCMYKHYSNWGEPVVEEKIYVVNSWDDGSFYYLPSQSKWETGNQDSKRSKDWCLIDKLIYSYGNDGGIYWCEAGELDWCDAVKIDWREVLGLEFLSMALRESRVVHFGGKMVKVWESYKIMYNISKNLEELLPETILTNLINLGKNVLVFWEKLERGGDYMSMEIWCVRRFRWKSGKEARFGAGVIGAILSSQSIFSRLILSSATLRSCILSLLTFENLDFLVL >fgenesh1_pg.C_scaffold_3002393 pep chromosome:v.1.0:3:11988146:11989150:-1 gene:fgenesh1_pg.C_scaffold_3002393 transcript:fgenesh1_pg.C_scaffold_3002393 gene_biotype:protein_coding transcript_biotype:protein_coding MAITFYVTWWFIHFVDGFFSPIYTHLGIDIFGLGFITSITFIFMVGVFMSSWLGTSVLNLGEWFIKRMPFVRHIYNASKQISTAISPDQNTQAFKEVAIIRHPRIGEYAIGFITSTVVLQTYADEEELCCVYVPTNHLYIGDVFLVSTKDVIRPNLSVREGIEIVVSGGMSMPQVLSTLDMRTAPERSRSRN >fgenesh1_pg.C_scaffold_3002396 pep chromosome:v.1.0:3:12006397:12007488:-1 gene:fgenesh1_pg.C_scaffold_3002396 transcript:fgenesh1_pg.C_scaffold_3002396 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMSDLPWDLVKEILSRTSITSLRAIGSTCKRWNTLSKDESFTKKHLGKATKEFLMNMTCENDYESDLVSPTRFNLQNIKDLPSSLKKIGNNNMLCPVQILSIYSCDGLLLLVTADNLGLDQLVVWNPYLGQTRWIETKILQYGRYAIGRYAIGYDNKKNHKVLKVFFWSDIKPQIYDLNSNSWRVLGITCKCYSSLEKGRGASLKGNTYFVAENEKKIFCFDFTTERFGPCLHLPFDFVGTDVTLSCVREEQLAVFAHSCVTGTYKMEIWITTKIDPNAVSWSKFFKVEVQIDGYYNLFLYQFEVQSFFIDKEKKIAVVSGIDDCDILGYDKSIVVGKDGYFEKTNLGYVFSYVPSSVQIQ >fgenesh1_pg.C_scaffold_3002398 pep chromosome:v.1.0:3:12023869:12026236:1 gene:fgenesh1_pg.C_scaffold_3002398 transcript:fgenesh1_pg.C_scaffold_3002398 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGKLRSGVYRSFIMCDDPRDVVECGAIKKQSKSRSSSTKQRCEEHLSKAKERSEMAVAPRKSNTEDVPPSSFQLLRVSKGIQKLNGAIESWSKGFSFEAVSRPEDIAKDLLRGALDLEESLAMLSSIQEDDSKQKPRICKDGRSDLRFQRSMSDRFGERVEKRMMVQESVASKDCYEELRKVIRESFLRQNLVSQTTTTGIKTRVVRNDFASSSGAASSSTSSSQSSMVSGSTKSSASSDIPRRAPSLIARLMGLDVSTQEPSKSTVNHIDKPDILKLSSERQEKVKKNNKESPEIVRCNSMRETVLQSLPEEIPRENPSTIVLIRPMRVVQPEMEEKPGSKRPVVPKKPRMQGEVHPRMINQRKDHQAKGSNKMKLPLSLTKKDKEPKEMVRKGEENEGKVIKLMSPSNGRTLTRDRKPLETNKTNKKLVVKKEDIAEGKDRHRPHDRALKPPSNPASQKKSNNPSDVSRNKSRRSSRLSSSSSSGSREKKSGEASRPNAKKKLRQQDNNLGSENHSSSQDTLGSLNQLSTEETTSSEFHNQGQCDNGEVSSCAATIHYSHEPEASQISLKSFLSSSSEFINYAEDLFDFNTNTKRSRESNFRNRDNIVISDQRLALDFAKEVARRKSLLLAEQPCHTRSSLHIDEVLMEVCDGFESLGSYKDTFSGQNSFVKETIHLVLEKDLKGKKTEMTSGVWDLGWRSEFQIDETYQAVVVDLEKLILSSLIQEIIS >fgenesh1_pg.C_scaffold_3002399 pep chromosome:v.1.0:3:12046014:12048843:1 gene:fgenesh1_pg.C_scaffold_3002399 transcript:fgenesh1_pg.C_scaffold_3002399 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLHVAANGEDLAEDCGILAGDADDTALMDGMDEVGREIWLDDHGGDHNHVHVHSHEDDDLIVHHDPSIFYGDLPTLPDFPCMSSSSSSSTSPAPVNAIVSSASSSSAASSSTSSAASWAILRSDGEDPTPNQNQYASGNCDDSSGALQSTGSMEIPLDNSQGFGCGEGGGDCIDMMETFGYMDLLDSNEFFDTSAIFSQDDDTQNPNLMDQTLERQQDQVVVPMLEKNSGGDMQMMNSSLEQDDDLATVFLEWLKNNKETVSAEDLRKVKIKKATIESAARRLGGGKEAMKQLLKLILEWVQTNHLQRRRTTTNNNNNFSYQQSFQQDPFQNPNPNNNNLIPPSDQTCFSPSTWVPPPPPQQAFVSDPGFGYMPAPNYPPPGEFLPLLESPPSWPPPPPPPQSGPMPHQQFAMPPNTQYNQFGEPTGFTGYNMNPYQYPYVPAGQVRDQRLLRLCSSATKEARKKRMARQRRFLSHHHRHNNNNNNNQQNQTQIGETCAAVAPQLNPVATTATGGTWMYWPNVPAAPPPQLPPAMETQLPTMDRAGSLAAMPRQQAVPDRRQGWKPEKNLRFLLQKVLKQSDVGNLGRIVLPKKEAETHLPELEARDGISLAMEDIGTSRVWNMRYRFWPNNKSRMYLLENTGDFVKTNGLQEGDFIVIYSDVKCGKYLIRGVKVRQPTGQKPEAPPSSAAATKRQNKSQRNINNNSPSANVVVASPTSQTVK >fgenesh1_pg.C_scaffold_3002400 pep chromosome:v.1.0:3:12061133:12063253:1 gene:fgenesh1_pg.C_scaffold_3002400 transcript:fgenesh1_pg.C_scaffold_3002400 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEALRFLHVIFFFVLILHCHYGTSLSGSSDVKLLLGKIKSSLQGNSESLLLSSWNSSVPVCQWRGVKWVFSNGSPLQCSDLSSPQWTNNSLYNDSSLHLLSLQLPSANLTGSLPREIGEFSMLQSVFLNINSLSGSIPLELGYTSSLSDVDLSGNALAGVLPPSIWNLCDKLVSFKIHGNNLSGVLPEPALPNSTCSNLQVLDLGGNKFSGEFPEFITRFKGLKSLDLSSNVFEGLVPEGLGVLQLESLNLSHNNFSGMLPDFGESKFGAESFEGNSPSLCGLPLKPCLGSSRLSPGAVAGLVIGLMSGAVVVASLLIGYLQNKKRKSSIESEDDLEEGDEEDEIGEKEGGEGKLIVFQGGENLTLDDVLNATGQVMEKTSYGTVYKAKLIDGGNIALRLLREGTCKDRSSCLPVIRQLGRIRHENLVPLRAFYQGKRGEKLLIYDYLPNISLHDLLHESKPGKPALNWARRHKIALGIARGLAYLHTGQEVPIIHGNIRSKNVLVDDFFYARLTEFGLDKIMVQAVADEIVSQAKSDGYKAPELHKMKKCNPRSDVYAFGILLLEILMGKKPGKSGRNGNEFVDLPSLVKAAVLEETTMEVFDLEAMKGIRSPMEEGLVHALKLAMGCCAPVTTVRPTMEEVVKQLEENRPRNRSALYSPTETRSDAETPF >fgenesh1_pg.C_scaffold_3002403 pep chromosome:v.1.0:3:12074153:12077662:-1 gene:fgenesh1_pg.C_scaffold_3002403 transcript:fgenesh1_pg.C_scaffold_3002403 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAAKEIIVILWKILGYSMNMSIKFMRNHPILSRVSMFLLVLYIFLPTLLFFLIYSSPVLACALVYAREKLGLRFSSSYSEPKKSCGGEKRCHLKQQRSVRRNARMKVEEWDSQTSEEEKDKVILTSLYNDLLGRTPHFEESPKALETNVVEEENNEKEFLGEEDSRDLGQLNVEEPMVCNCEIKYGESDGKEEMKEEMSNVNEQGISEIERNKRLESLIARRRARRLFRLALDQRNKLQAEETTSPRQNNTNSLHVTVSRNSLEKRRNNSSDGTTVKGLPIPGSAPSVLLQGRNPFDIPYDPQEERPNLTGDSFDQEFSLFNQKDMFFCRHESFCRFALFSPEHAQCMNSPVSASDISTTRKRLDLDNEYMDHTEQNLPCNGKGATIEDDDKSVVSGKSEEREVEMNDNETDSNKEECDDSSCSEESESELSRLNKAELREAICQSMDNNPGYLVNQTRNSIPTTLPRGLVAPRLDDNNMFYARKCGNSHSRTFSVASDMQVEVSEIGSPPTTVDWLDDWSNGGESYIYDTDIDREIVRDEESRKRISHQYESRSGIGSKEENNETWTKPEAKPNQNCVVDENLITVDDDLSLLERRSQTEEIFEQTPSSSNDVSKPTSSGKFEGMLFHTSASLSSITEEPETILDSIDGGNSENLNNLTEELTDQRPLTTLDSSMKKLIDEEVADVKQVENDDLCGSPKIIDLDIIDHQQKDQMLSSIQGEHGETKSFLDASLDTSYIESFERELEGEEESNLDKLTKETTKQTENEVHQSDLESSPCQVLTELLVSEIMEENGQELEEEEKPRSVEEEKTHNVVEESSSHAHTQLVEDYGNEENASDVILLQVQDSNNSPLDESTDQEVSKEGEKTELLEDFYGESSEVYKNRANAEVYGNAENASDVTFLQVQDGNYSPRDELLKDFYDESSQEYKNRANVEEESVVLANTQNSQDSQTWTQQCGIDSSQGISPRTLEITQQLEKDDVIDPNAISQVIDTDEFAAVANDVAASHGFESHTC >fgenesh1_pg.C_scaffold_3002414 pep chromosome:v.1.0:3:12211613:12212944:1 gene:fgenesh1_pg.C_scaffold_3002414 transcript:fgenesh1_pg.C_scaffold_3002414 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFQWLFKLGRSEPSSINCTDHEPTTTLTKCTDHRTRRSSTRGSKHKNQRSYRGIWLWCRKDVAKACFSSTLTLRRLNSFRRGHLLGSMAKIKAQGGEEQVTSTRFDLKKAIKVFKPEKQDETNKEKSKSEHSKGKATLLRMKELIKWAAAAKSDKAVKFFTPKIMMELRNRRKLKMMREVNEEESTKRMSSVSANISLRWESSESCTTISSSDHISIVSSPAILVSLSPTPLHRCRSRKYNWITTDSEFVVLEL >fgenesh1_pg.C_scaffold_3002430 pep chromosome:v.1.0:3:12367678:12368479:-1 gene:fgenesh1_pg.C_scaffold_3002430 transcript:fgenesh1_pg.C_scaffold_3002430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5U0] MKRRKNETVKENNNVMQTPNTKRSRSQLHDENINPNLQNQQDRSDVQVKGIFNRLRSGIGNIPAQQCDSEALQTVTGPSSSAAIQKETQGFASTVTYNTSVRSGKKTARTQRRPFQDVQNIINTSQLHSEVHQTPLNPHKPPEKKGKKWSPPSVNSKQAAKGIILTNSRINLRFPKSLAKEKKTSHKSYDTTIEEDSDEILNSKEETYINMSK >fgenesh1_pg.C_scaffold_3002431 pep chromosome:v.1.0:3:12369387:12370467:-1 gene:fgenesh1_pg.C_scaffold_3002431 transcript:fgenesh1_pg.C_scaffold_3002431 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDTDYSWYFFSCLKCSKTAYKIPKVENEIVKKGKKEMFWCPTYSDQQTDQRNDLSIDQEGLALTNSGETTDPLGPTSSTPSSKRSMDAVSEDIEGQGSTTKKACVSSLLGDIEKEEIEGGKN >fgenesh1_pg.C_scaffold_3002447 pep chromosome:v.1.0:3:12537200:12538124:1 gene:fgenesh1_pg.C_scaffold_3002447 transcript:fgenesh1_pg.C_scaffold_3002447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L6B2] MLLRRRNATSPVWFLSSPSLFLLLSSPLGYFFLAPLLRSSLLSSLLLLFHLLRLSREQICLLVFAYLFVALVLMLEDGEEGGGGDDDLLLVIKAQDSDWRAQCRSQIIRGRDTRAKKLQKHGCVYFWS >fgenesh1_pg.C_scaffold_3002450 pep chromosome:v.1.0:3:12566159:12566659:-1 gene:fgenesh1_pg.C_scaffold_3002450 transcript:fgenesh1_pg.C_scaffold_3002450 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESEGGSSSTVQDFGNEFPVGFFECDCGKQALVRQAWTDANPGRRFYRCGAGWRSECNYFRWKDLEKPHGWQYKALLEARDIIKAQDAELKRLRETQAEGNRIYPVEVGLLEFQTKIEQLEKESIDLKSDLKASNEKEQTLREVLIISWIGFICVLATVVHAFK >fgenesh1_pg.C_scaffold_3002457 pep chromosome:v.1.0:3:12696013:12696669:-1 gene:fgenesh1_pg.C_scaffold_3002457 transcript:fgenesh1_pg.C_scaffold_3002457 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRSLPMDLQKEILSRVPATSLARLRSTSKQWNALLKSANIHSTNAPKESLIIMLEYFRVCLVRANYLHELDINIAPSVNVTSQFYLEDPQSKSSQVDIRKVFHCDGLLLCNTEDDRLVKYKVLRVDHQGRSRGINNEYEIYDFTTDSWRVLGPKTDWYLPLSHCCVSVKGSTYWVAIHRGTPAIS >fgenesh1_pg.C_scaffold_3002461 pep chromosome:v.1.0:3:12736983:12738125:1 gene:fgenesh1_pg.C_scaffold_3002461 transcript:fgenesh1_pg.C_scaffold_3002461 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISNLPRDLAEEVLCKIPLTSLRTIRSTCKKWNTLSKYESFAKKYLGDQAKVAEREREFMVVMMMNFRVYLMRVNLQNNVESSCIKREAELISLGDEVDISQVFHCDGLLLCISITKDKTRLVVWNPYWGHTRTIELTHNLQIIDRYMYALGYNKSSKSHKILRFITYWDPNFEEFKIYDLNSNSWRVLDVTPDWTISHINHGVSLKGNAYWFANEKYSETRRTDHFFLVCFDFTRETFGPLLPLPFEFAVSEDAMSVSSPWDTLKMEIWITSKIEPNVVSWNSKVFLSVSIKKLIAPQFQFYLGSFFIDEEKKVAVVFDKYYNDRRSIAYIIGVDGSFKAVDLGESAYAMCYPLVCSYVPSIVQLN >fgenesh1_pg.C_scaffold_3002466 pep chromosome:v.1.0:3:12773575:12774346:-1 gene:fgenesh1_pg.C_scaffold_3002466 transcript:fgenesh1_pg.C_scaffold_3002466 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRGLMLMKIMKKLVPISVLLESLRKLQLMSLNLDILKVLRFFFQSTEIGKAVNDARSEKLQDLNKKNSKLLMQTQSSSLLRGNFKRATNNMRTVDSGWDIDE >fgenesh1_pg.C_scaffold_3002469 pep chromosome:v.1.0:3:12803855:12805843:1 gene:fgenesh1_pg.C_scaffold_3002469 transcript:fgenesh1_pg.C_scaffold_3002469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7L6T7] MENEMEDKFENWKGKEAISGKHGGIRAASIVCVVVMMENIVFIANGFNFVKYFMGSMHYSPATAANMVTNFMGTSFLLTLFGGFIADSFLTHFTTFIIFCCIELMGLILLTFQAHNPKLLPEKGKTPSTLQSTILFTGLYAMATGTGGIKASLPTHGGDQIDRRNPRLISRFFDWLYFSICFGCLLAVTVVLLIEEKKGWKWSFNISVGILATALCIFTVGLPFYRFKRPNGSPLKKIAIVIISAARNRNRSDLDEEMMRSLIPFDKNIGHNKLKWIDKAMLNKNISETEVEETRTFLGLLPIFGSTIVMSCCVAQLSTFSAQQGMLMNKKLFHSFEIPVPSLNAIPLIFMLFSIPLYEFFGKRISLGSNNRSSSFNLKRIGLGLALSSVSMAVAAIVEAKRKHEVVHNNFRISVLWLVFQYLMLSVSDMLTLGGMLEFFYREAPSNMKSISTALGWCSTAFGFFVSTTLVEVTNAVTGRLGHQWLGGEDLNKARLELFYVLLCVLNTLNLLNYIFWAKRY >fgenesh1_pg.C_scaffold_3002478 pep chromosome:v.1.0:3:12854127:12855296:-1 gene:fgenesh1_pg.C_scaffold_3002478 transcript:fgenesh1_pg.C_scaffold_3002478 gene_biotype:protein_coding transcript_biotype:protein_coding MWNEFGFSSMSINLHRVSPIEVTGELNLIDPHSSLDQFGTSLLCHTYFFFFDNPGIRTEARLAPRLEAGSLSGRPSPTLSVFPGGPRGPGENLGGQRESNCGHHIGITPSSTTSPTHVGFCHTYYGLLLCVCDNNEVESTSLVVWNPCTGQTKWIQHRKLCYICTYALGSYQDNKSGNKSYKILSRRFYGHQDPEFEIYEINSNSWRRILDVNVDCTDMYIRNVSLKGKTYWFATDEKEKQLGLFLISFDHTTERFERLCLPYPHPYHGYQNEYLSVVREEKLSVLLQPVDLTPEPKFDMLLLVDVEKKVLVYTQNNGYKSQTMVYIVGEDNKVREVAFGDAFKPFLLNYVPSLTQIQQGDD >fgenesh1_pg.C_scaffold_3002481 pep chromosome:v.1.0:3:12879094:12880630:1 gene:fgenesh1_pg.C_scaffold_3002481 transcript:fgenesh1_pg.C_scaffold_3002481 gene_biotype:protein_coding transcript_biotype:protein_coding MTSESATSPAIRPPQVEQPPPHEIPVISELISAAPPSPAPQITMMDIVNSNGILTDQLVDEEPSFYKYLDSDEYAEKYRRYEADFRKYLMDKHFSQVDEYEETTTIDGETICSSVWPCARWYADPDASFLDPPQCIEEEEDEVEEMEEVEEVEEEVEVGEVEEVEEEQEEVIDSALQRYPMVKSPMELFDLLLFAHT >fgenesh1_pg.C_scaffold_3002482 pep chromosome:v.1.0:3:12881170:12882171:1 gene:fgenesh1_pg.C_scaffold_3002482 transcript:fgenesh1_pg.C_scaffold_3002482 gene_biotype:protein_coding transcript_biotype:protein_coding MTSESATSPAIRPPQVEQPPPHEIPVISELISAAPPSPAPQITRMDIVNSNGILTDQLVDEEPSFYKYLDSDEYAEKYRRYEADFRKYLMDKHFSQVDEYEETTTIDGETICSSVWPCARWYADPDASFLDPPQCIEEEEDEVEEMEEVEEVEEEVEEVGEVGEVEEVEEEQEEVIDSASAEIPNGEIPNGGTVLEDTCDVGKKPDMPI >fgenesh1_pg.C_scaffold_3002483 pep chromosome:v.1.0:3:12891789:12893362:1 gene:fgenesh1_pg.C_scaffold_3002483 transcript:fgenesh1_pg.C_scaffold_3002483 gene_biotype:protein_coding transcript_biotype:protein_coding MTSESATSPAIRPPQVEQPPPHEIPVISELISAAPPSPAPQITMMDIVNSNGILTDQLVDEEPSFYKYLDSDEYAEKYRRYEADFRKYLMDKHFSQVDEYEETTTIDGETICSSVWPCARWYADPDASFLDPPQCIEEEEDEVEEMEEVEEVEEEVEEVGEVGEVEEVEEEQEEVIDSASAEIPNGEIPNGALRSASFCAYVTFLQIPG >fgenesh1_pg.C_scaffold_3002484 pep chromosome:v.1.0:3:12893884:12894877:1 gene:fgenesh1_pg.C_scaffold_3002484 transcript:fgenesh1_pg.C_scaffold_3002484 gene_biotype:protein_coding transcript_biotype:protein_coding MTSESATSPAIRPPQVEQPPPHEIPVISELISAAPPSPAPQITMMDIVNSNGILTDQLVDEEPSFTSNFAFMNFENFHYLWYLDSDEYAEKYRRYEADFRKYLMDKHFSEVDEYEETTTIDGETICSSVWPCARWYADPDASFLDPPQCIEEEEDEVEEMEEVEEVEEEVEEVGEVEEVEEEQEEVIDSASAEIPNGEIPNGGTVLEDTCDVGKKPDMPI >fgenesh1_pg.C_scaffold_3002496 pep chromosome:v.1.0:3:12985111:12987488:-1 gene:fgenesh1_pg.C_scaffold_3002496 transcript:fgenesh1_pg.C_scaffold_3002496 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNRHKFKSLKERINDIEVKVFRSLDKVKSEPSKARRVTSTECFDFHLRVNFLSRLANSLVTLLKNGGQEDPEIIEQIFESWSYIVRDLQKYFICDIKTILKVTLELRYYPKDYIIELMSPSMSFLLRTAPDKQLEKGSGSTVEVVSSTLERICKDYKVEELSVIWNCLYQEVNKSILNKQSVHLSRLLTVITSAVRIKKGLKVHGKLLYC >fgenesh1_pg.C_scaffold_3002498 pep chromosome:v.1.0:3:13003058:13006063:1 gene:fgenesh1_pg.C_scaffold_3002498 transcript:fgenesh1_pg.C_scaffold_3002498 gene_biotype:protein_coding transcript_biotype:protein_coding MELEMERRLKKEHNAKVKALKKQKAIEKAKLGELNAKSKKSAAKKIGKEEENHADFSDPETPIGERKRLSSQMAKHYSPSAVEKSWYEWWETSEFFKADATSSKPQFVIVLPPPNVTGALHIGHALTCAVQDTLIRWKRMSGFNALWVPGFDHAGIATQVVVEKHLSRETGLTRHDFGREEFLNHVWQWTESKSGTIKSQLRRMGSSLDWSRECFTMDEHRSKAVTEAFVRLHKEGLIYRDLRLVHWDCFLSTAISKREVEHIEIKERTPIKVPGYEKPVVFGLITSFAYPLERGGGEVVVATTRVETMLGDTAIAVHPDDARYKHLHGEFAVHPFNGRKLPIICDEILVDPNVGTGCVKITPAHDTNDFDVGRRHNLEFINVFTNDGRINANGGPDFTGMPRFAAREAIVEALRKKGLYRGEENNKMTIGVCSRSSDVAEPMLKPQWYVSCSLMAKEALDVAANGKIEFIPKQNEEDAQKEAAQKFSGKKLLELSQDPDVLDTWFSSGLFPLSVLGWPDETEDFKAFYPASVLETGHDILFFWVARMVMLGMKVGGGDVPFRKVFLHPMIRDAHGRKMSKSLGNGIDPLEVINGVTLAGLHARLEEGNLDPKELVVAKEGQVKDFPNGIPECGADSLRFALVSYTAQSDKINMDVLRVVGYRQWCNKLWNAVRFAMMKLGDGYTPPSQALSPRAMPFSCQWILSVLNTAISKTVDSLNAFELSDAANTVYAWWQYQFCDVFIEAVKPYFSAENPGRTHAQDALWVCLETGLRLLHPFMPFVTEELWQRLPSPQDCERKASIMICDYPSPEEKWTNEKVETEMDVVLVTVKTLRALRAAESLKRRINERLHAFALCENALTLGIVQSHELEIRTLANLSSFEVVLKGEDKAAQSGSAVVETVREPQGVSKIGWSFH >fgenesh1_pg.C_scaffold_3002514 pep chromosome:v.1.0:3:13115337:13115847:-1 gene:fgenesh1_pg.C_scaffold_3002514 transcript:fgenesh1_pg.C_scaffold_3002514 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLCLWIVESTFFSTLLFTCRNIVGYAFSNSKEVVDYVADISYLLCLSFILDGFTAVLNGVARGCGWQHIGALISVVAYYLVGAPVGVYLAFSLEWNGKGLWCGVMVGSAVQATILAFVTASINWKEQAEKARKRIVSTENGLA >fgenesh1_pg.C_scaffold_3002515 pep chromosome:v.1.0:3:13133259:13135287:-1 gene:fgenesh1_pg.C_scaffold_3002515 transcript:fgenesh1_pg.C_scaffold_3002515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7L7F2] MEDPLLLGDDQLITRNLKSTPTWRMDFTAELKNVSRMAASMATVTVAQYLLPVISVMVAGHRGELQLSGVALATAFANVSGFGIMYGLVGALETLCGQAYGAKQYDKIGTYTYSAIVSNVPICLLISILWFYMDKLLISIGQDPDISKVAGSYAVCLIPALLAQAVQQPLTRFLQTQGLVLPLLYCAITTLLFHIPVCLTLVYAFGLGSNGAALAIGFSYWFNVLILALYVRFSRSCEKTRGFVSDDFVLSVKQFFQYGIPSAAMTTIEWSLFELLILSSGLLPNPKLETSVLSICLTTSSLHCVIPMGIGAAGSTRISNELGAGNPEVARLAVFAGIFLWFLEATICSTLLFTCKNIFGYAFSNSKEVVDYVTELSSLLCLSFMVDGFSSVLDGVARGSGWQNIGAWANVVAYYLLGAPVGLFLGFWCHMNGKGLWIGVVVGSMAQGIILAIVTACMSWEEQAAKARIRIVGRT >fgenesh1_pg.C_scaffold_3002517 pep chromosome:v.1.0:3:13144595:13145639:1 gene:fgenesh1_pg.C_scaffold_3002517 transcript:fgenesh1_pg.C_scaffold_3002517 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYFNLQVYRAAGTERCDQYRSWTAKPDGIWFTRDRSKPSGHLKEEDLGLEDERDVIFDSDHQTEEAARPQFVEIFRVSLNETFEEENLGFDFEDEHELNFIYDKGAQSQLREVCFV >fgenesh1_pg.C_scaffold_3002531 pep chromosome:v.1.0:3:13250083:13251631:-1 gene:fgenesh1_pg.C_scaffold_3002531 transcript:fgenesh1_pg.C_scaffold_3002531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L7G7] MDQTHNIIILLGHIGDGAAVRFGGEDWWLCGEDRFTQVKETRSQRLSHRYTSQPVEGGCKGELLRTKIFSHGGREGTSYGREAEKGPAMVVTTGKRSLVAKLENCLDGGKPHRQNKTTNLWNLVNMREKQTPEKEEEKASPEKAAAARMDAKRRRKGRRRWKKQTRKSIGICVDLDRLSECRG >fgenesh1_pg.C_scaffold_3002536 pep chromosome:v.1.0:3:13316155:13317979:-1 gene:fgenesh1_pg.C_scaffold_3002536 transcript:fgenesh1_pg.C_scaffold_3002536 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQDRIGDEVDETDLESLTSSVPRRDASHRFSSHQFSGMVRQRAYIFDGDGKYYNKEWDLAEGTGKEFCWYHVELPKGNQKLSQSAQHLIDALCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPASSSFTFKIAARITENSVITVSLGRVPRLGFSPMGQSLLSEVPSVDSPSYYRGERKERSGIVIEEHVLEFLLTMNHSEEADNPVPTSVSNLVVHIIDTHVDQLQDVVTKLEIELDAVELEMDRGGFAMKKQMLDDRRFPKLHLNLQRLLQVIAHGEQVFPRVKEKCSTKPWFLAEDINSLEELIGRLRRLKENVGFIANRVTAIQAGLDSWQAEQINRKLYYLSFLSIIFLPLSIITGVFGMNVGGVPWTGQDKPELGDGFRNVMYICLIMLVLVLCCFGFPALYSRIASWWRVRNLKRSWSLNRRSFQKRPNIVQERRGYLRL >fgenesh1_pg.C_scaffold_3002539 pep chromosome:v.1.0:3:13335007:13336462:-1 gene:fgenesh1_pg.C_scaffold_3002539 transcript:fgenesh1_pg.C_scaffold_3002539 gene_biotype:protein_coding transcript_biotype:protein_coding MLESINLISCNFSRLPLLLKPSRPHQSLRQSPKNLLLLQSRASSSSTFLKSDKILHHNHRFSRKDTFFHICKSSLNNPEEPEKTQIQEEGRDWSSSILLFALWGALLYYCFNLAPDQTPTQDLYFLKKLLNLKGDDGFRMNQILVGLWYIMGLWPLVYGMLLLPTARSKTPAWPFVVLSFFGGVYALLPYFALWNPPSPPVSETELRQWPLNVLESKATAGVTLVAGLGIILYSVVGNAGDWTEFYQYFRESKFIHVTSLDFCLLSAFAPFWVYNDMTTRKWFDKGIWLLPVSVIPFLGPSLYLLLRPAVSETIIAPKDSASSDPNE >fgenesh1_pg.C_scaffold_3002557 pep chromosome:v.1.0:3:13484040:13485816:1 gene:fgenesh1_pg.C_scaffold_3002557 transcript:fgenesh1_pg.C_scaffold_3002557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:D7L832] MSTLLSTVEYPKAFPSTKAKGDASMLIGRTPLVFLNKVTEGCEAYIAAKQEHFQPTCSIKDRPALAMIVDAEKKNLITPGKTTLIEPTSGNMGISLAFMAAMKGYKIIITMPSYTSLERRVTMRSFGAELVLTDPTKGMGGAVKKAYELLETTPDAFMLQQFNNPANTQVHYDTTGPEIWEDTHGNVDIFVMGIGTGGTVTGVGRYLKSKNPNVKIYGVEPAESNILNGGKPVVNFELGPHEITGNGVGFKPDILDMDVMDKVLEVSSEDAVNMARELALKEGLMVGISSGANTVAAIRLAKMPENKGKLIVTIHPSFGERYLSSVLFDELRKEAAEMKPVSVD >fgenesh1_pg.C_scaffold_3002571 pep chromosome:v.1.0:3:13562751:13563584:1 gene:fgenesh1_pg.C_scaffold_3002571 transcript:fgenesh1_pg.C_scaffold_3002571 gene_biotype:protein_coding transcript_biotype:protein_coding MELKIRGDKVSFKGGDKSSEYGYMVGPSGLDVLDMACEKSKLYLFTSDYHIKSFYFYGGFPIEEENPYSNHPFNFVGEPWESVWGRKIAIRRSEEVLIVLSLKEKVKNKEKLLFYIFKMNLENCKWERIYSIGDEILIYGRGVTIPLALKDLGHGIKSDSIYFVDEDVWPDHKDWPDHKDHVSNCGVFDIATSKIKWPKKIYFSFNKNQWFVRGVAY >fgenesh1_pg.C_scaffold_3002592 pep chromosome:v.1.0:3:13711648:13712550:1 gene:fgenesh1_pg.C_scaffold_3002592 transcript:fgenesh1_pg.C_scaffold_3002592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L8L3] MKAEDWRRGSLDVGAVMEERERPETPSPRENDFFFNNPPSPTATEQPAEKADEQAPDQTTEQHTVPLTQTSQTQKTIQTEEITQKISSTNEEVTQDINSSGNKNEDNVGNRMNQMDGTNEQQDNDQHDERDNVDNGVNENDRADEQEDNA >fgenesh1_pg.C_scaffold_3002594 pep chromosome:v.1.0:3:13723558:13725307:1 gene:fgenesh1_pg.C_scaffold_3002594 transcript:fgenesh1_pg.C_scaffold_3002594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L8L6] MKQSAVGKNVNSSPVHASAAHAMYDSFPAGEYNIRSSTRVDVMAGVEDVVSFYNSNNVGGDGNNVAGVPPNQDNVMNENEKTMPPPGNPADKDKVPLPEVSSSLPDSSLQGDDMETSYPRESEALSPLHSDLPPTTDADLGDTNLPASSSPTLPVLPDDSGAIVGASDSVLANSSPLESTSAVEEEKDSDQANNNPAKSTTAVEEQKDSDEVRYFCPVTDLIW >fgenesh1_pg.C_scaffold_3002607 pep chromosome:v.1.0:3:13843583:13844629:-1 gene:fgenesh1_pg.C_scaffold_3002607 transcript:fgenesh1_pg.C_scaffold_3002607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L8N4] MYNRNPKEMKKQGMGPIDTNLSFRKIMNDVENFGNHFGNLSFDAYNCLSYIIRKDSDIEKFSTPRDDASLYSYYRILIFEGICYILVLEELMTEIRRSRSRSEEKLEEAKKVKDNRKETKRIKERRNKAVGGKASNRGRCVNKFFETSLVIKGAYFKRCLKPCKHHDIERLILVMAFMKMSKIWNDISTVASL >fgenesh1_pg.C_scaffold_3002618 pep chromosome:v.1.0:3:13981739:13982508:1 gene:fgenesh1_pg.C_scaffold_3002618 transcript:fgenesh1_pg.C_scaffold_3002618 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALILLGLFAVLIVVSEVSAARQSGMVKPESEETVQPEGHGGGHGGHGGHGGGHGHGGHHGGGGHGLDGYGGGHYGGGGHYGGGGGHGHYGGGGGGHGHYGGGGGHGHYGGGGHHGGGGHGLNDEPVQTQPGV >fgenesh1_pg.C_scaffold_3002621 pep chromosome:v.1.0:3:14043235:14043671:1 gene:fgenesh1_pg.C_scaffold_3002621 transcript:fgenesh1_pg.C_scaffold_3002621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L8P9] MSLEKTQLVIVDTAPKIKSVGEEKVSQNSDPSKEGNEAGWSIVTPGKAGRSPNGLTKTLEYGEVSILSNSRFSVLENEEEEALVQEESLLPEEVTEDLKAVNTHIQVSETQQESERGGRERANPTVATNSSSSL >fgenesh1_pg.C_scaffold_3002622 pep chromosome:v.1.0:3:14056026:14056848:1 gene:fgenesh1_pg.C_scaffold_3002622 transcript:fgenesh1_pg.C_scaffold_3002622 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALLFLSLIVVLLIASEVLARDLAEKSAEYKNNGGDEVKQTEQFGGFPGGGYGGFPGGGYGGNPGGGYGNRGGYGNRGGGYGNRGGGYGNRGGGYGNRGGGYGNRGGGYCRYGCCYRGYYGGCSRCCSYAGQAVQTQQQSTEPGH >fgenesh1_pg.C_scaffold_3002647 pep chromosome:v.1.0:3:14320057:14320808:-1 gene:fgenesh1_pg.C_scaffold_3002647 transcript:fgenesh1_pg.C_scaffold_3002647 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGTLIIFPEMLILGILVTWSNIESHHLRAGGGESSGAGVGFSPAMGGGKSNSSSPDSREVREREGIPELEEETEQEVIGQTFKHMKRKGIEKVKEQHRQENEIHERKIKKRGDSGEGTSRAVPMVERATESSFGSKTVSSFSSSEEESEFHHVNDVEGKSSSNDLSGHETVATKSVGEVEEEYVRKKGVSFELDENATTSFDVKSKETSNFFSFLLVNL >fgenesh1_pg.C_scaffold_3002677 pep chromosome:v.1.0:3:14718213:14718743:1 gene:fgenesh1_pg.C_scaffold_3002677 transcript:fgenesh1_pg.C_scaffold_3002677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LA71] METTPIWTRIETEIRNENVTATGREIEGQIDTAIGIETESKSERETDQINRDMVQEEEAKARKKICVSFSSSMLRKLVDKVAEVGFYVVVPDVFHGDPLLVVDCKAKNKTMTYLFVG >fgenesh1_pg.C_scaffold_3002689 pep chromosome:v.1.0:3:14833622:14836388:-1 gene:fgenesh1_pg.C_scaffold_3002689 transcript:fgenesh1_pg.C_scaffold_3002689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LA80] MVKMVNGERRDESVAIAIDRDKGSQAALKWAVDNLLTPGETLTLVHVRVKQTLANNGTQPNKSGDDVKELFLPFRCFCTRKDINCEEVVLEDDDAAKGIIEYVQENAIDILVLGASKMTLLKRFKAVDVTSAVMKGAPNFCTVYAISKGKISSVRSATSSPPPLCTIRPQLPARASNASNNNSSPRTERRLQSVESTQDEIEMIKCPYLRKEYDQGTYQASVTDSDLSFMSSDRPSVDWFFPTSRLSVSSEFEDNRDSFATSSSSSDKQSIDLGSSYSAFSTSSQESGRLSSLSMHSQDDVESEMRRLKLELKYTMDMYSSACKEAIAAKKTTTELHKWKEERKHKLEEAILAKEAALAIAENEKAKSRAAMEALAAAHRMAEIEAQKRKQIETAALREVDDNNKEMHSLTHSDRMYRKYTIEEIEEGTENFSDSHKIGEGGYGPVYKGILDYTPVAIKVVRPDATQGRSQFQQEVEVLTCIRHPNMVLLLGACAEYGCLVYEYMANGSLEDRLLRRGNSPVLSWQLRFRIAAEIATSLNFLHQLKPEPLVHRDLKPANILLDQHMVSKISDVGLARLVPAPLVDDIASHYRMTSAAGTLCYIDPEYQQTGMLGTKSDIYSFGIMLLQILTAKPPMGLTSQVERAIEEGNFSEVLDPVVADWPLEEALVLAKLGLQCAALRRKDRPDLGNVVLPELKRLMDLAEKNMLIT >fgenesh1_pg.C_scaffold_3002693 pep chromosome:v.1.0:3:14873344:14874544:1 gene:fgenesh1_pg.C_scaffold_3002693 transcript:fgenesh1_pg.C_scaffold_3002693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LA86] MCYDLSYFSSGNHIFMRKKKEARGQIDSDVTQTPVRIRPSSTILDENNVPGSGESNISNNVVVNSVFRRVLGDISNSPRNTSGQSPSDQRTPLSGTAIDKLNQISTPYHNPNAKRSRNISPISFTTRGSRSSIQNNHIYDDLLHSHQSYVEDNGNSSDTDEDADLSNYEASSQDEDDRVDDISQITDDIIYQAKDKFDILTMFEKAFGKPNPLPTNRQNRKSGTIYILTQS >fgenesh1_pg.C_scaffold_3002696 pep chromosome:v.1.0:3:14897922:14899335:1 gene:fgenesh1_pg.C_scaffold_3002696 transcript:fgenesh1_pg.C_scaffold_3002696 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEDSFYADLDELSDNEAELDARTEEEDDNIDMDMEDLETLNYDDLSRVSKLQETHRYADILHKVEHALGKDSDGGAEMGTVLENKLIVDCNQLSADIENEIVVFHNFIRDKYRLRYPELESLAFFNQLLLLLTALTTKGKPLPDSILRKTLDACDHAIDLDSARKKVLEFVERKMGSIAPNLSAIVGSAVAAKLLGTAGGLSALANMPACNLLVLGHNRKNHVGFSTAMSQSRAGYLEQTEIFQSTPPELQMRASRLLASKSTLAARVDATRGDPSGTNGKALREEIRKNIDKWQEPPPARQRKPLHVPYSEPKKRRGGRRLRKMKERYQVTDIRKLANRMAFGTPEDSSLGDGLGIGYGMLGQAGSNRLRVSSKLKVNAKVAKKRQFTGGSTTSGLTTSSLAFTLVQGIELCNPQALGLVSWIQSTYFSESGTFSKLKKI >fgenesh1_pg.C_scaffold_3002711 pep chromosome:v.1.0:3:15248315:15248671:-1 gene:fgenesh1_pg.C_scaffold_3002711 transcript:fgenesh1_pg.C_scaffold_3002711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB26] MVQAPRTRSGTKRLREQFNKSIESLITLIEQEELEGRSFTKDIIRETPIEPHINPNVSKAQDLENEQDNNYFEHGTSQETEIIKDKACVQLKTQTASFLPILQGIKEPALFIISKIIQ >fgenesh1_pg.C_scaffold_3002730 pep chromosome:v.1.0:3:17508891:17511043:-1 gene:fgenesh1_pg.C_scaffold_3002730 transcript:fgenesh1_pg.C_scaffold_3002730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB40] MTTTREGGSSSTRERLSSPRRSKRLKQSDPNQSEIPAVVDDVVEDQGDASASANDVSDHEKKICWEDLHKMDVDYRGCGEGHNQAAEHMEEPQQNVLAAEQKEEELLAPYLVKRMMKTAVAEAWFEKSVEPAVGGGFEKIGDDTLDDEFGFEKNVEPAVGGDDTLDDDSVGGKKTRDDEAADLEMVGEKETGGKIVKK >fgenesh1_pg.C_scaffold_3002735 pep chromosome:v.1.0:3:17590743:17593607:1 gene:fgenesh1_pg.C_scaffold_3002735 transcript:fgenesh1_pg.C_scaffold_3002735 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMMGKGSPHGENGREDCVAGLSVIRSKKRVLSSSSEGIERSDDDIGWEAPAAGSKTSKILTTQDDEVVLAEVEVLEAMFTRGDEQVLRSGCEGKSRTSGSSGDYEDILEDSEEELELGEGEVEVSPSRYDTEFWGNFLDDELAGSNAPEIMCSPREISSLVEKGLCGCEIDIM >fgenesh1_pg.C_scaffold_3002739 pep chromosome:v.1.0:3:17651709:17652825:-1 gene:fgenesh1_pg.C_scaffold_3002739 transcript:fgenesh1_pg.C_scaffold_3002739 gene_biotype:protein_coding transcript_biotype:protein_coding MKQISDDSLYDSPLSEPPNLNNWFSSYVYESPMLDTSDDLGLFVFGKDECIEETIIEKEITNFESTIDVDEDLDQLIVDESDIEEGKENTTSLFRFTKKEKAVYLAMVNSKDYKEKLAAHKEKAAYLAMANSNDYKEKLAAHKNGTTTITDETEVIFLRNRVKCLEDEGLSVRHEMNRHEASLKWMFYYKFKLLSIL >fgenesh1_pg.C_scaffold_3002758 pep chromosome:v.1.0:3:18033227:18034519:1 gene:fgenesh1_pg.C_scaffold_3002758 transcript:fgenesh1_pg.C_scaffold_3002758 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSDNITPSGPKLMTLPSPVFSSMPPSHDYSSLPPLSPSPSSFRRNSLPSMSLSPSSHVENRDSSIRANKKNHSLPPLGVKRRAAKDIEPLRRHNRSVSLDSCFSDLPKLPPSPGNVSSSSSVDGDENASDLEFGSSDYTDDELNKIAESPKLQEVKSDPKKVRRILKNREAAARSKQRKLQYIIDLEYSVNFLEKRNTSIYEKIKLLENDKTMMMNEKKEITIRIESMEQQAQLRDALTEQLQAEIERLHAVTISNEKGNVEAQRLKEIPCLNKKMSADVDQMLEMETCEVLQNHREFDRLNMQPMDPSMFNWSHPNPGFYG >fgenesh1_pg.C_scaffold_3002759 pep chromosome:v.1.0:3:18045913:18047227:-1 gene:fgenesh1_pg.C_scaffold_3002759 transcript:fgenesh1_pg.C_scaffold_3002759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L012] MKIKQQFHGMRLQGIININRKFREAIVDDVWKLLVGMGIRNMRLGAEVELHVHRKQTIDHIMKKSLRHRWAQIELRKFTVIMVIKESPKDPSGFGPKVSEIFDKNKMFPLIIHSLRKSNYKYGALFFCVKLLGGIKVLHILLLGHKVRSESKEHCQVIVVKDITVAEYYFLVELVSINANVVIRYKRLLKLGGKRSTTFGGNVVKWKHWLMIYLPDFKNSELHVVHKRSKCLQLVNYYLMEAHGSKYEGLVFVVEKKLKNDEDGSDKAATMLGVNYTKDGIFGKIISALTVKECMISKAGKIGKLEELVLTMFEERFIYGSPLRPPNTHSEVGLYYDLGKFSTEIQSEKMQARYDN >fgenesh1_pg.C_scaffold_3002781 pep chromosome:v.1.0:3:18303872:18304814:-1 gene:fgenesh1_pg.C_scaffold_3002781 transcript:fgenesh1_pg.C_scaffold_3002781 gene_biotype:protein_coding transcript_biotype:protein_coding MSYASGLIYFPNTLCNPITGQYAILPKLIRYRMVNSFLGFDPVDKQFKVLCEAYPFSHGSGHHKILTLGTEKLRWKRKNHFLSYINSFYVEDVCINGVLYYLAEKAVGSEFIVCFDVRSEKFKFIEAECISLFDKLINYKGKLGGIELICDVHGAIVEIGMWILEDMKIQIWSKYVYTLPPNNIVDHSCFSVAGVTATGEIVLTKDYTFTSKPFYVFYFNIERNTLRKVEIQGFEALDNREDLNVNDAIEKLKSSISAQSVKNKCSLNIITERPKQKKQREEERYRDRNGSIKEKKHIEGKK >fgenesh1_pg.C_scaffold_3002782 pep chromosome:v.1.0:3:18315515:18316066:-1 gene:fgenesh1_pg.C_scaffold_3002782 transcript:fgenesh1_pg.C_scaffold_3002782 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLWKDAYQASNDSINNSQDRQQPTVSTTQPVISLTTPFYCCTDGSWINSDSNAGIGWSLHDIHGRCIIKSYSSVEPTSSVLETEAIALRQALFQLKRLNYRQVTFCGDSATLYHYLEKAAKQSHPPPGNKEIQRYIDDILGLSNGAYLFKFIPRSANSLADSLAKEARLKKSPLVVSWTC >fgenesh1_pg.C_scaffold_3002809 pep chromosome:v.1.0:3:18722232:18725470:1 gene:fgenesh1_pg.C_scaffold_3002809 transcript:fgenesh1_pg.C_scaffold_3002809 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFSNMKLYIADARPRKNALANGAMGGGSESPSNYFQSQIVFFGIDNIHAMRESFSRVRDYLDMHGTTSSDGRSSFLRHGGWTWGGGNLSSMSASVSLLGDSGWLIHIQSVLAGAAWIAARVAMESASVLVHCRTTQLVSLACFLLDPYYRTFAGFQMLPYHVFFPTNCASTFYMRFLFATLLDWLAFGHPFSDRVGMPNISGSGNIDLPRQSSSAGSFPSSPIYVNGLADQQLIWIDSVSQLMRMYPCAFEFSPTFLVDFMDCLLSCRFGNFLCNSSISSSYPLAPVPSAVGLSRGTKAADIGVQCRAMTVKYSEMQKEKEVAERRVDEISFAIGVIKCRVAKRKAFELGS >fgenesh1_pg.C_scaffold_3002815 pep chromosome:v.1.0:3:18819364:18819990:1 gene:fgenesh1_pg.C_scaffold_3002815 transcript:fgenesh1_pg.C_scaffold_3002815 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFPPPILANILSRIGEQGFRLLGPFIAAGPTMKEAVYSRECYEKGNITALFVESLWRLTQDGPSQDALDMLAASSTLNLNALFAFGMMLICCGAVEEGNYVVDAFLDKVTDLTEGFLIADQVELQIKSMGATGACVFYRHFNLDQLGPICKLVHPPSFDICEHCFAFNYAVKFLNMC >fgenesh1_pg.C_scaffold_3002817 pep chromosome:v.1.0:3:18835169:18835806:1 gene:fgenesh1_pg.C_scaffold_3002817 transcript:fgenesh1_pg.C_scaffold_3002817 gene_biotype:protein_coding transcript_biotype:protein_coding MNANDLQNLEDQLVSSLKGVRLKKDQLMTDEIRELNRKGQIIQKENHELQNIVDIMRKENIKLQKKVHGRTNAIEGSSSVAPISNGTATYAPPQLQLIQVQPPREKSIRLG >fgenesh1_pg.C_scaffold_3002823 pep chromosome:v.1.0:3:18965416:18966279:1 gene:fgenesh1_pg.C_scaffold_3002823 transcript:fgenesh1_pg.C_scaffold_3002823 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPDGDDGCLLYNPEEYRIYKSVRDFSGTMFLANSGNWFLVMDSKSNLYIIDVFSENRIDLPPLESFQSDYFTLERLGDKKFKLQVTDHHDGHVFYTIGEVLRGLLWVDKKTKEFVVVWFFDYNCKFLAYCKKGGDHYSYIEICYFLPEYRGVSDMVLHGYFLYIATPRGYIRFLDLSKQERFEEVTGIYPLKWFNPCYYKTNCSIVVTTAGEVLMFQNNLDKKNIESDKSFRLYKHGPNEINYYDPPLVEVDSLGDEEALLLDLGITMPGIEPNSIKTVFYTVRI >fgenesh1_pg.C_scaffold_3002835 pep chromosome:v.1.0:3:19265002:19267377:-1 gene:fgenesh1_pg.C_scaffold_3002835 transcript:fgenesh1_pg.C_scaffold_3002835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:D7L2N2] MVPKGNETDDGEVGLDTTSFEQVQQQQKKLVIGYALTSKKKMSFLQPKLEVLARKKGISFVSIDQDKPLSEQGPFDVVLHKLLGNEWHDVIEDYQQKHPEVTVLDPPCAIQRIYNRQSMLQGIADINLADCDGMICVPKQMVVLKDTTSSANEVAEAGLKFPLVAKPLLVDGTAKSHQLFLAYDHLSLAELEPPLVLQEFVNHGIGFSLHFNICCDILLESVIRCSHTFMPEGGVLFKVFVVGDVIKVVRRFSLPNVSNCEKDKVAGVFQFPRVSSSAASVDKTDLDPRVAELPPKPLLEGLVRELRNRLGLRLFNIDMIREHGSRDVFYVIDINYFPGMFFWYGKMPDYEQVFIDFFMSLAQAKHNKIQCVS >fgenesh1_pg.C_scaffold_3002839 pep chromosome:v.1.0:3:19326574:19328163:1 gene:fgenesh1_pg.C_scaffold_3002839 transcript:fgenesh1_pg.C_scaffold_3002839 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMMKKRNSQLRQVELTKRLKKSLIVSDDEEEVEIPINNQENKQTETRGESCSPPDEDSHENVNDEKVAQEEGATDEVPHATEENDPQCSNGTQESDSQRSNVNDKVAQTEEAQHNKMGHSEEPSQESDSQQSKVNDKEEEARLKKEHSDRKLSEIEQKEIDDRVILWAKNKNFIFMMSSLHQIIWSNSSWETVHHFNLVNNDNEIGLAKRKALLALHPDKQHGASAEQKYLATSVIKQEWDIYIRKKQV >fgenesh1_pg.C_scaffold_3002849 pep chromosome:v.1.0:3:19400566:19402206:-1 gene:fgenesh1_pg.C_scaffold_3002849 transcript:fgenesh1_pg.C_scaffold_3002849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2Q2] MVREWGSKHVGGRGAASGQNNAIDQIESYECKICLKVHNNERDYLSHLHAHFQEKKFRDEMKTENTSDNDLYDSEFSESESIKDLEIKKETPTKIDDLVSSKIDDMTDSQAAYSDSKSSNGITCKTRQSSEKLFEETNRSSITEIL >fgenesh1_pg.C_scaffold_3002850 pep chromosome:v.1.0:3:19405109:19407071:1 gene:fgenesh1_pg.C_scaffold_3002850 transcript:fgenesh1_pg.C_scaffold_3002850 gene_biotype:protein_coding transcript_biotype:protein_coding MFFYDVAKKESTYEGRKVSISRLNERGKKYNKRENDIELAQVISGSDLRGELFGGSRALEPLAKEFSNLVTKETLAHKGELLPYTNRSSALAAIDYIVSLSSDVFLPSHGGNMAKAMQNSSVSDAELSFVTRKLHRKYQGHLESPRRRRDRDVIAYPVPECMFLGSHGSGFHTVRSLGIAQALNENGKQPLRWVNLNKEERDDLAMFLSGSSQTSESLNSDNINLRDSSTSSVAEDLHIDERGSLESGDKKAGTRRRWSSPNVPNISALRINVPVNAKEDERKKFLSQIEDTPKEKGSKPLFWLQRCRDYNQLPLVASFSLDHVSFRSTKRSLITRNKESP >fgenesh1_pg.C_scaffold_3002852 pep chromosome:v.1.0:3:19446964:19450605:-1 gene:fgenesh1_pg.C_scaffold_3002852 transcript:fgenesh1_pg.C_scaffold_3002852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L2Q7] MKLYCSANEVRSRTLATLRQLRQPPPATRRVDARIIKTGFNTDTCRSNFTVEDLLRRGQVSAALKVYDEMPHKNTVSTNTMISGYVKMGDLSSARHLFDAMPDRTVVTWTILMGWYAGNNHFDEAFKLFRQMCRSCTLPDYVTFTTLLPGCNDAVPQNAVGQVHAFAVKLGFDTNLFLTVCNVLLKSYCEVRRLDLACVLFEEILDKDSVTFNTLITGYEKDGLYTEAIHLFLKMRQSGHKPSDFTFSGVLKAVVGLHDFALGQQLHGLSVTTGFSRDASVGNQILHFYSKHDRVLETRNLFNEMPELDFVSYNVVISSYSQAEQYEESLNLFREMQCMGFDRRNFPFATMLSIAANLSSLQVGRQVHCQAIVATADSILHVGNSLVDMYAKCEMFDEAELIFKSLSQRSTVSWTALISGYVQKGLHGAGLKLFTKMRGANLRADQSTFATVLKASAGFASLLLGKQLHAFIIRSGNLENVFSGSGLVDMYAKCGSIKDAVQVFEEMPDRNAVSWNALISAYADNGDGEAAIGAFTKMIQSGLQPDSVSILGVLIACSHCGFVEQGTEFFQAMSPIYGITPKKKHYACMLDLLGRNGRFAEAEKLMDEMPFEPDEIMWSSVLNACRIYKNQSLAERAAEQLFSMEKLRDAAAYVSMSNIYAAAGKWENVRHVKKAMRERGIKKVPAYSWVEVNHKIHVFSSNDQTHPNGDEIVKKINELTTEIEREGYKPDTSSVVQDIDEQMKIESLKYHSERLAVAFALISTPEGCPIVVMKNLRACRDCHAAIKLISKIVKRVITTQPGFARGMSYGFPKFIKSEGLGGLYKGIGPLWGVRFLLQNVIRKTTFVTDTMMKFASFETIVEMIYKYAIPRPKDQCSKGLQLGAVKKIGLLGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGVAPAAAITPAETKA >fgenesh1_pg.C_scaffold_3002867 pep chromosome:v.1.0:3:19560363:19561090:1 gene:fgenesh1_pg.C_scaffold_3002867 transcript:fgenesh1_pg.C_scaffold_3002867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated family protein [Source:UniProtKB/TrEMBL;Acc:D7L2S3] MKIIISILFLASVLLITSSLASATVSDAIGSGAVAPAPEIKYGPALEKWCGQKCEGRCKAAGMKDRCLKYCVICCKDCQCVPSGTYGNKHECACYRDKLSSKGTPKCP >fgenesh1_pg.C_scaffold_3002893 pep chromosome:v.1.0:3:19914753:19916379:1 gene:fgenesh1_pg.C_scaffold_3002893 transcript:fgenesh1_pg.C_scaffold_3002893 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBP36A [Source:UniProtKB/TrEMBL;Acc:D7L3L5] MDGTTYQRFPKINIRELKDDYAKFELRETDVSMANALRRVMISEVPTIAIDLVNIEVNSSVLNDEFIAQRLGLIPLTSERAMSMRFCQDCDACDGEGQCEFCSVEFPLSAKCVTDQTLDVTSRDLYSADPTVTPVDFTIDSSASDSSEQKGITIVKLRRGQELKLKAIARKGIGKDHAKWSPAATVTFMYEPDIIINEDLMDTLTDEEKIDLIESSPTKVFGIDPVTRQVVVVDPEAYTYDEEVIKKAEAMGKPGLIEIYPKHDSFVFTVESTGALKASQLVLNAIDILKQKLDAICLSDDTVEADDQFGELSAHMRGG >fgenesh1_pg.C_scaffold_3002897 pep chromosome:v.1.0:3:19983764:19985135:-1 gene:fgenesh1_pg.C_scaffold_3002897 transcript:fgenesh1_pg.C_scaffold_3002897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3M1] MSSVWFLTVLLWFFEGFVYEALIFGQSGDGEGEGRLRELRNGFWKVLFWTKLGGWSWVIRTQRCLHIWVRFGEEIVDLRTIFTRRLDLVVNSYRLGHGDDLDSRLRVSRIARTEISVAESLDNKFESLFLVIQERIEIVLKMRNRWVTMAVRRVFVFQRIMVLEIWFWFPDFQEIESLDRSRSYLLDPAAFRVCIQGTEVMFWILKGSVSRAFINKRAQSISMCIGFVLFGNLLVTREFLEVVNVKNDALLIEGAAVWSWTADQRGFRLKTIEERRSDEGVFNRWFSGDRRRRALSTLPWAIVGGRNISESYDVGRLILDFECIEWSFIGCNKRFYNSLISGFSWLDVDTLRVRISMVNCNLVSLRNNQGNEGHNPTHHGTVKGLTGGRNPLNRKVDNK >fgenesh1_pg.C_scaffold_3002899 pep chromosome:v.1.0:3:19991040:19992682:1 gene:fgenesh1_pg.C_scaffold_3002899 transcript:fgenesh1_pg.C_scaffold_3002899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L3M3] MNRERVHILFFPFMAHGHMIPILDMAKLFARRGAKSTLLTTPINAKILEKPIEAFKFQNPNLEIGIKILNFPCVELGLPEGCENRDFINSYHKSDSGDLFLKFLFSTKYMKQQLESFIETTKPSALVADMFFPWATESAEKFGVLRLVFHGTSSFALCCSYNMRIHKPHKKVATTSTPFVIPGLPGEIVITEDQANVADEETPFGKFWIEVRESETSSFGVLVNSFYELESAYADFYRSFVAKRSWHIGPLSLSNREFAEKAGRGKKANIDEQECLKWVDSKTPGSVVYLSFGSGTGLPNKQLLEIAFGLESSEQNFIWVVSKNENQGENEEWLPKGFEERITGKGLIIRGWAPQVLILDHKAIGGFVTHCGWNSTMEGIAAGLPMVTWPMGAEQFYNEKLLTKVLRIGVNVGATELVKKGKMISREEVEKAVREVIAGEEAEERRIRAKKLGEMAKAAVEEGGSSYNDVNKFMEELNVRK >fgenesh1_pg.C_scaffold_3002901 pep chromosome:v.1.0:3:20027219:20028246:-1 gene:fgenesh1_pg.C_scaffold_3002901 transcript:fgenesh1_pg.C_scaffold_3002901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4C8] MVLGAFKICSVQQNLKDLSFLFKLWYVAAVLLGFVEGIFMSSLIYRSRNREHTRVGERIPHQIAILKFFVQTAVIYGLGYLQEWIAGFHNAFWWIILVLAFFFHCTITLVFLDLGEDFDILGSILGVTFGLSFTYFKDLQFRFVFPYACATFVLLSLRNTLAIAAAKFSTSASEIPTSVPDGVIVPVPRVDEFAIDMGSDTHGIEMMSSDTHGEDMV >fgenesh1_pg.C_scaffold_3002909 pep chromosome:v.1.0:3:20090774:20091294:1 gene:fgenesh1_pg.C_scaffold_3002909 transcript:fgenesh1_pg.C_scaffold_3002909 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHNKPQPPKPLMKQQSLPLDVNREEAWLRMKKRHPSDRLRRSKSCFTSDDIEELKGCFDLGFGFEPDSPDFNPRLSKTIPALDLYSTIQRQYSNYLPRTSSSASESDVSNSSTTTIVNKDDDGKTMKKKLKQWAKVVACSARHSSGKPN >fgenesh1_pg.C_scaffold_3002913 pep chromosome:v.1.0:3:20126142:20128764:1 gene:fgenesh1_pg.C_scaffold_3002913 transcript:fgenesh1_pg.C_scaffold_3002913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4E6] MEDQRKPITNNMHLAKKFPMWKVDPQVETLVEATCFQHFLRFHEGKSFTLNLSLLTALAYFYDRKESVFFFGGNKSFFVDFSLEDVLYMTGLSIDEDLVTGVENVSALVIAQELNILETEEETLYFKRKDAHKNVCWISICCEQDLKKFPRGTVIMPNNTEGVSPIYLPLLGKTTVNKYAWGAAMVGFLKDSLNDTKALLDQRKTGSISGFLYAIMVFALERFACVREELSLTPPAKKIPLMLAWMDVLSKAPVKGTRIETFRDLLQNMKRDEVVWQPYHDFGELSDEFKDQLSMIYLRVPCICFNAVAYNRPDKCFRQLGLKKSELQRLSRSRSKHTKVKFSQHKGQDWRAVRPFYRKVNEEWDNRHNYSVRKSEAPQQGRQPSSHASPSQHISDSNEPHIEPNLYVEQPQPQPDDVEQPPQNFPSHKDDKEEEEEEEDEEDEEDVEPQPDDVESQSRQERQSREDEEDEEEEEDKEDEEDVEDEEVLVEKPADNRRSTREKNPINYFCPSGKRPAPQRCWRNCGFT >fgenesh1_pg.C_scaffold_3002929 pep chromosome:v.1.0:3:20295461:20296192:-1 gene:fgenesh1_pg.C_scaffold_3002929 transcript:fgenesh1_pg.C_scaffold_3002929 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFESLTDKSKLDGQPELFLHIIPDKTNNTLNIIDSGIGMTKAVATPKQIRDLMKVKVDGLTNDEVKSHLQKYRLHTRRPATPVVTNGGENPQQLQFMVVEGIWVLSHDTTNNRVYALVAVQSSPSGERSRRRCKSPTTSSTHTTPSSSIIDSSRFV >fgenesh1_pg.C_scaffold_3002932 pep chromosome:v.1.0:3:20321293:20323894:-1 gene:fgenesh1_pg.C_scaffold_3002932 transcript:fgenesh1_pg.C_scaffold_3002932 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTGACDFSSSLSVASSSSSAVSVTTFNISSLSSLSSNPNIIINSSSTLLRSLSFSLIRHRSSYSRRSLRCLSIHTVHGNKTQFFSHSSTRTPPLFTANSAAQRSGTFVEHLTGITESKEGSSEANDFGDVESARNDIRNVTTRRVETEVEVRELEELPEEWRRSKLAWLCKEVPTHKAVTLVRLLNAQKKWVRQEDATYIAVHCMRIRENETGFRVYRWMTQQNWYRFDFGLVTKLADYLGKERKFTKCREVFDDIMNQGRVPSESTFHILVVAYLSSLSVEGCLEEACSVYNRMIQLGGYKPRLSLHNSLFRALISKRGGILNDQLKQAEFIFHNVVTTGLEVQKDIYSGLIWLHSCQEEVDKDRINFLREEMMKAGFQESKEVVVSLLRAYAKEGGVEEVERTWLELLDLDCGIPSQAFVYKMEAYSKVGDFAKALEIFREMEKQLGGATVSGYHKIIEVLCKVQQVEFVETLLKEFEESGKKPLLPSYIEIAKMYFDLGLHEKLEMAFVECLEKCQPSQTIYNIYLDSLVKIGNLEKAGDVFNEMKNNGTINVNARSCNNLLKGYLDSGKQVQAERIYDLMRMKKYEIEPLLMEKLDYILSLKKKEVKKPLSMKLSKEQREVLVGLLLGGLQIESDKEKKSHMIRFEFRENSQAHLILKQHIHDQFREWLHPLSNFQEDIIPFEFYSVPHSYFGFYAEHFWPKGQPEIPNLIHRWLSPHSLAYWYMYSGFKTSSGDIILRLKGSLEGVEKVVKALRAKSMECRVKKKGKIFWIGLQGTNSALFWNLIEPYVLEDLKDHLKPPSESIGNASTQNQKLDSTKPVEEIHHYSLSSSLQL >fgenesh1_pg.C_scaffold_3002955 pep chromosome:v.1.0:3:20549244:20549812:1 gene:fgenesh1_pg.C_scaffold_3002955 transcript:fgenesh1_pg.C_scaffold_3002955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5A6] MECSSPDSNPLRILPPISTERRWREETAGEEPPTNASEFETPKGTAEMEVDPIEPPTDASKIESRKGTSDMEEDSESEKETPKETKIDKTELAREAERENDPTEGVGGLNGSGEASIDAVEGRDGPETEEPPRETENVIVMEKGIVSSRSVACVSSSSLLELASTIQSTCIFYGR >fgenesh1_pg.C_scaffold_3002963 pep chromosome:v.1.0:3:20626952:20629358:1 gene:fgenesh1_pg.C_scaffold_3002963 transcript:fgenesh1_pg.C_scaffold_3002963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5W6] MTTFSLPMSSLSRCVPMSSGSFSSLRSPSAPPSEPPSPPTPPEPPDPPDLQFCPSSGDALVQLLLLQHPTGLQRGHIGSERPSPLPSRTTPPCRKNAPLSITVLARRRHLLLSPHFSNVNLGFHYLGQIWDNFLLGHGCLLGQKSGVFLLGLTFPRLSPPFSFYRVFSCLVSLLRCKPSQSHNLVVAINLPPQKFPQVCSYSSPSNSSQIGRVWMLVEFVALVLWNSDIAHSSSMSLDTFVSTFVLSCSTFIVLMRSFTAVCRFWLDLAMLKVVSSQLGQSSLSLENRPGFLVHWGYHSPHLSFMEFIIFPTTSLVFSDSVTGSIECKTVLLEVEARNLLVFENRAFSEEDTALKAITAANEWQEANLAVHGQSRNRAQAVPLIEDIPTPLPHSEDPSLILPLIEAPSLNLPLIEAPFHRIPRDEFFGRPDFILYNMSFSNREHE >fgenesh1_pg.C_scaffold_3002972 pep chromosome:v.1.0:3:20717658:20718836:1 gene:fgenesh1_pg.C_scaffold_3002972 transcript:fgenesh1_pg.C_scaffold_3002972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L6E1] MTFSPLSTSSRSSCLPMSSVAFLNLRPTRAPPPQPPSPPTPPDPQICLSFGESLSQPPSFTSFSAFDSLLQLSSARTSFPTTASVSHLPTKVPLTVGWQPPDESPFAICFGDLDARHCPTSSTIAYFSDGCHLVDAHTFPPQTFPQVCSSSSSSSSPSNSFRMERIIWMFVEFLALVLWNSDLAYSVLMGLDTLVSTFVLSCSTLIALMRSLTAVCRVYLDFALLQVVSWQLGQRCLSIDNNRPVHLGHWGFHSPHLSSKELIILPNTSLVFSGIVAGSIVLKTVLLDVEARIIVQDCSRSAFADCLASGPMEALFSPPCGFNKVFQSKDVCFVGCSWLDASLVELFSSPLSQSLILSFVVAVSFYSFSTSMYAVVSVYPALCSLVSSLSRG >fgenesh1_pg.C_scaffold_3002983 pep chromosome:v.1.0:3:20814715:20815702:1 gene:fgenesh1_pg.C_scaffold_3002983 transcript:fgenesh1_pg.C_scaffold_3002983 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKENVLMKKANGEVEKAKEFSRVKNKRAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSAPFGTAADFDEDELEAELEELEGAELEEQLLQPVPIHVPHGNKPNRAPAQKQPTAEEDELAALQADMAL >fgenesh1_pg.C_scaffold_3002996 pep chromosome:v.1.0:3:20909243:20911134:1 gene:fgenesh1_pg.C_scaffold_3002996 transcript:fgenesh1_pg.C_scaffold_3002996 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTKNNNNGSDETQKTKLHFEDADESMYDGGDNNADVADDITSADYYFDSYSHFGIHEEMLKDVVRTKCYQDVIYKNKFLIKDKIVLDVGAGTGILSLFCAKAGAAHIYAVECSQMADTAREIVKSNGFSDVITVLKGKIEEIELPIPKVDVIISEWMGYFLLYENMLDTVLYARDKWLVDGGIVLPDKASLYLTAIEDAHYKEDKVEFWDDVYGFDMSCIKRRAITEPLVDTVDGNQVVTDSKLLKTMDISKMASGDASFTAPFKLVAQRNDHIHALVAYFDVAFTMCHKKMGFSTGPKSRATHWKQTVLYLEDVLTICEGETITGSMTISQNKKNPRDVDIKLSYSLNGQHCKISRTQLYKMR >fgenesh1_pg.C_scaffold_3002997 pep chromosome:v.1.0:3:20913546:20915663:-1 gene:fgenesh1_pg.C_scaffold_3002997 transcript:fgenesh1_pg.C_scaffold_3002997 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGGAKPETLLRVAEIGGRGRSLVAAQSLRAGQVILRESPLLLYSAFPFLSSSVSPYCDHCFRLLASSAHQKCQSCSLVSFCSPNCFASHTPWLCESLLRLHQSSSAFSDQPSDRQVQARFLLSAYNLAAASPSDFQILLSLQGSGCSNGDPSSSATDSGFLHSLLSAVCPPLPVCISPELTAALLAKDKVNAFGLMEPFSVSNDKRSVRAYGIYPKTSFFNHDCLPNACRFDYVDSASDGNTDIIIRTIHDVPEGREVCLSYFPVNMNYSSRQKRLLEDYGFKCDCDRCKVESSWSEGEEDENEVMEEMGNEDDEEEMEDSEGENVEEVCGNGVDDESNFPHAYFFVRYMCEKESCFGTLAPLPPKTHDASRVLEYEEGHCNSECKTVETACQKVIGYSDTDVAEYIHISKSYLTSLHFART >fgenesh1_pg.C_scaffold_3003002 pep chromosome:v.1.0:3:20957833:20958953:1 gene:fgenesh1_pg.C_scaffold_3003002 transcript:fgenesh1_pg.C_scaffold_3003002 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIKEREMEIPVIDFGELDGENRSKTMSLLDHACDKWGFFMVDNHGIDKELMEKVKKMINSYYEEHLKEKFYQSEMVKALSEGKTSDADWESSFFISHKPTSNFCEILNISVERSKTMDEYVCQLHKFAERLSKLMSENLGLDQEYIMNAFSGSKGPAFGTKVAKYPECPRPELMRGLREHTDAGGIILLLQDDQVPGLEFFKDGKWFPIPPSKNNTIFVNTGDQLEILSNGRYKSVVHRVMTVKHGSRLSIATFYNPAGDAIISPAPKLLYPSGYRFQDYLKLYSTTKFGDKGPRLESMKKMGNADSA >fgenesh1_pg.C_scaffold_3003019 pep chromosome:v.1.0:3:21098398:21101399:1 gene:fgenesh1_pg.C_scaffold_3003019 transcript:fgenesh1_pg.C_scaffold_3003019 gene_biotype:protein_coding transcript_biotype:protein_coding MENQEDEEVVVAKVTSEAEDSFEDEGLVSNSTLEKVAAAKKYIENHYNRRMRHIQQRKERRLVLEQKIASLDVSEKEQLELLEDLQRKETEYTRLMRNRLCVDDFDLLSIIGRGAFGEVRLCREKKTGNIYAMKKLKKSEMLSRGQVEHVRAERNLLAEVASDCIVKLYYSFQDPEYLYLIMEYLSGGDVMTLLMREETLTETVARFYIAQSVLAIESIHEHNYVHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCRNISAMNVNEPLNDENINESIDGDENCSIGRRGRRWKSPLEQLQHWQINRRKLAYSTVGTPDYIAPEVLLKKGYGVECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVSWRTHLVFPEDARLTPEARDLICRLLCDSEHRLGSHGAGAEQIKAHPWFKDVEWEKLYEMDAAFKPVVNGELDTQNFMKFDEVECPRPARTGSGPSWKVSITPQNINFVGYTYRNFDAVRGSRHSLDIKGGISPPRSSTDSTRSDSAIDYTKLSTGGDGSQQ >fgenesh1_pg.C_scaffold_3003025 pep chromosome:v.1.0:3:21126336:21128265:-1 gene:fgenesh1_pg.C_scaffold_3003025 transcript:fgenesh1_pg.C_scaffold_3003025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7L7J6] MICEEAYHQQPQIQKEQMMTMMMMDQRSNHQRKKSPLSSPSSPSSPKSPSFNNNEEERLEVVNLSGMALESLPNPSLNLAQICKLDLSNNHLQTIPESLTARLLNLIALDVHSNQIKALPNSIGCLSKLKTLNVSGNFLVSFPKSIQHCRSLEELNANFNKLIRLPDSIGFELTNLRKLSINSNKLISLPLSITHLTSLRVLDARLNCLMILPDDLENLINLEILNVSQNFQYLSALPSSIGLLMNLIELDVSYNKITVLPESIGCMRRLRKLSVEGNPLVSPPIEVMEQNLQVVREYLTQKMNGGSPRSPSKKKSWGFGKLVKYGTFNGGSRSWNREEREGFIMPEYRAIDSLASPRYSGMFSPRRLFSPRTYFSR >fgenesh1_pg.C_scaffold_3003026 pep chromosome:v.1.0:3:21132680:21135631:-1 gene:fgenesh1_pg.C_scaffold_3003026 transcript:fgenesh1_pg.C_scaffold_3003026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L7J9] MEQKKYYKTKTKGETGLIPGRGKTEISHHTKLSSLPLSLSLSSRRRRYYSGRSKLRQAIITCDQSLFMWVSILNLKSSGLNHCFTRTKAFRYFWSRTKALQEQRFQSDSGKLTYSGSRYYVSDARIGSSKHFGESFDTILKNIDVPSDCVETIRNVLTKHSWIQKYESGFSTELDQYNVIRILDDLFEETLDASIALYFFRWSELWIGVAHSSRSISRMIHILVSGNMNYRAVDMLLCLVKKCSGKERSLCLVIKDLFETRIDRRVLETVFCMLIDCCIKERKVDMALKLTYKIDQFGIFPSRGVCISLVEEILRAHGLELAREFVEHMLSRGRHLNAALLSLFIRKYCSDGYFDKGWELLMGMKDYGIRPDIVAFTVFIDKLCKAGFLREATSVLFKLKLFGISQDSVSVSSVIDGFCKVGKPEEAIKLIHSFRLRPNIFVYSSFLSNICSTGDMLRASTIFQEIFELGLLPDCYCYTTMIDGYCTLGRTDKAFQFFGALLKSGNPPSLITYTLLIGACSKFGSISDAESVFRIMKTEGLHPDVVTYNNLMYGYGKTHQLNKVFELIDEMRSAGISPDVATYNILIHSMVVRGYVDEANEIISELIRRGFVPSALAFTDVIGGLSKRGDFQEAFILWFYMADLRVKPDVVTCSALLHGYCRAQRMEKAIVLFDKLLDAGLKPDVVLYNTLIHGYCSVGDIEKACELIGLMVQRGMLPNESTHHALVVGLEGKRFMNSEEHASMLLEEIVVANGA >fgenesh1_pg.C_scaffold_3003031 pep chromosome:v.1.0:3:21233699:21236742:1 gene:fgenesh1_pg.C_scaffold_3003031 transcript:fgenesh1_pg.C_scaffold_3003031 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYNFLPLISFASFFVVFVLLVRAQDQSGFVSIDCGIPEDSSYNDETTDIKYVSDAAFVESGTIHNIDPEFQTKKGTPFLSVLELRLLKSDTYETPYDSLMLFKRWDLGGLGDLPVRFGFRDAITSLHYASKLTNIFENGALMLQIFWTTRLINGTITGNSNLTKIFPYGFVSIDCGIPEDSSYNDETTNIKDLSNNSLTGKVPEFLADLPDLMELNLEGNQLSGPFPVKLLERSNDGSLLLRLEGINMTKKIENPDPCVSASSQNTMKKEEKRGYIIPLVASLAGLLVLLTAVALFWLFKKRYQNGKT >fgenesh1_pg.C_scaffold_3003051 pep chromosome:v.1.0:3:21417619:21418959:-1 gene:fgenesh1_pg.C_scaffold_3003051 transcript:fgenesh1_pg.C_scaffold_3003051 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTNGKGIIPEMSVEISPVPVVRNGNANIHITGLAKSDVPHGLTVTIILDVNGRVVSARTYPICDDDVTPCDIVPGGHFEIYLLNIFNAQETRTAVQYQVTVKIDKDLTERMMCIVSIVSLTS >fgenesh1_pg.C_scaffold_3003056 pep chromosome:v.1.0:3:21496456:21497141:-1 gene:fgenesh1_pg.C_scaffold_3003056 transcript:fgenesh1_pg.C_scaffold_3003056 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDRISRLPDELIGCILSFISTKQAASTSVLSKRWRNVLAFVYNLDLDDHEAKRNRHGGETSKRFTAFVSNLLNLQGGSCLKKVTLKSHVGVRGFLDRAHVQNWICNILDRGVVDLDLVITFLGKIPPVFTLNLMSKTLVKLRLGSRFIIKLCDQDVSLPMLRKLCLYSVDFDGDNNFVGTLLSRCPLLEEYWAYLGFVYIDKYKSALGRRI >fgenesh1_pg.C_scaffold_3003077 pep chromosome:v.1.0:3:21730431:21731857:1 gene:fgenesh1_pg.C_scaffold_3003077 transcript:fgenesh1_pg.C_scaffold_3003077 gene_biotype:protein_coding transcript_biotype:protein_coding MADLELFSRLFANREEPAGDRVNMCFKLNTIKVVLKALTPEEIETIRPCFGKLLDVYSKHVFSGKLAHFLLTRQLNVRNESRFRETSIGNIGDSGDVYDAGCPRRRGEALIGEFGKSKSKRLFTRSPIFLTNRSYSFTNRFEKKKSASLTSYGVQMRHEKWMFPSRKFLLSFLNQLYRIHGCFPLHFTLVYVLGLVKNESQGSKSVKLHLKCPS >fgenesh1_pg.C_scaffold_3003089 pep chromosome:v.1.0:3:21822861:21825119:-1 gene:fgenesh1_pg.C_scaffold_3003089 transcript:fgenesh1_pg.C_scaffold_3003089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L8R7] MGCCYSLSSTVDPVQDHTTDASSEPRNGGGEDPPLIKFSFSDLKTATNHFCPENIVSDQTSDVVFKGRLQNGGFISVKRFNNMAWSDPKLFVEEAQRVGKLRHKRLVNLIGYCCDGDKRFLVADLMPNDTLAKRLFQRKNQTMDWSTRLRVAYCVAESLDYCNTSGFASYSNLSAYKVLFDEDGDACLSCFGLMKEINNDQITTGSVNPENVIYRFGTLLVNLLSGKQIPPSHAPEMIHRKNVFKLMDPYLKGKFSIDEATVVYKLASQCLQYEDHESPNTKEIVATLETLQTRTEAPSHEVIEMATDEKEASSSSHLSPLGEACSRIDLESIHKILVLAGYEDDKDVIELSFEEWIQEVRELQDVRRHGDRAFVEEDFKTAIACYSQFVEERSMVYPSVYARRSLSYLFCDEPEKALLDGMHAQGVFPDWPTAFYLQSVALAKLNMNTDSANTLKEAALLEAVRKNL >fgenesh1_pg.C_scaffold_3003123 pep chromosome:v.1.0:3:22037115:22038382:-1 gene:fgenesh1_pg.C_scaffold_3003123 transcript:fgenesh1_pg.C_scaffold_3003123 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSSFFFFCFCIRFFCLILINYELKDLMGNNLHHFSSHQKKGIFGRAFANAPIFALGYFTRYSYRLLTFQVLAKAESLGHTYKAELAKVEKREKIVNRLIQRCEVLLFELEKKEKKLDDLGKLYRAPRMDVLKEKEKKLDYLGQLYRAPQMDVLKEKETKLDYLGQLYRAPRMDVLKEMKNADGRYAGRN >fgenesh1_pg.C_scaffold_3003128 pep chromosome:v.1.0:3:22056867:22057883:1 gene:fgenesh1_pg.C_scaffold_3003128 transcript:fgenesh1_pg.C_scaffold_3003128 gene_biotype:protein_coding transcript_biotype:protein_coding MITKKIFGFVLMITILFACCSATTYKVGGSNGWYGKKNSWVVHKDFHVGDTLIFEYDQNVNDVTQVYSALEYESCDSSSPKAVYNTGHDVVTLKEPGYHYFISSNHIQCVNGLKLDVLVVHDKSRPIPPPPPPSKIHEPSRPSPPPPPAKINEPSRPIPPPPPPSKIHEPSRPSPPPPPAKINEPSRPIPPPPPPSKIFPFGKIYKVGDSRGWSVYNSYYYYKWSEGKQFHVGDTLFFEYNKYLNDVREISNDLDFKSCEQNSTVAVYKTGHDLVKLTKPGVYYFVSLKTGLCQAGIKLRVTVQPSSEAVPFPNVPRKKLSPIDRLNRWWFHPFRPHH >fgenesh1_pg.C_scaffold_3003136 pep chromosome:v.1.0:3:22116682:22121045:1 gene:fgenesh1_pg.C_scaffold_3003136 transcript:fgenesh1_pg.C_scaffold_3003136 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASSRYVPLTRRLWLPQLRSSSLLSVPSDDHIVRLILDQKSASGALETFRWASTFPGFIHSRSTYRALFYKLCVFRRFDTVYQLLDEMPESTGLPPDDAIFVTIIRGFGRARLTKRVISVVDLVSKFGIKPSLKVFNSILDVLVKEDIDIAREFFRRKMMASGIQGDEYTYGILMKGLCLTNRIGDGFKLLQIMKTCGVAPNTVVYNTLLHALCKNGKVGRARSLMSEMKEPNDVTFNILISAYCNEQKLIQSMVLLEKCFSLGLVPDVVTVTKVMEVLCNEGRVSEALEVLERVESKGGKVDVVACNTLVKGYCAVGKMRVAQRFFQEMERKGYLPNVETYNLLIAGFCDVGMLDSALDTFNDMKTDAIRWNFATFNTLIRGLSVRGRTDDGIKILELMQDSETVHGARIDPYNSVIYGFYKENRWEDALEFLLKMEKLFPRAVDRSFKLISLCEKGGMDDVKTAYDQMTGEGGVPSVIVSHCLIHRYSQEGYMEETLELINDMVTRGYLPRSSTFNAVIIGFCKEDKVMNGIKFVEDMAERGCVPDRESYNPLLEELCVKGDIQKAWLLLSLMVEKSIVPDSSMWSSLMFCLSQKTAIHLNTSLQDIIENRDMSCSSSSGSDEDDEGFDAYRKGGYHAVRIGDQFAGGRYIAQRKLGWGQFSTVWLAYDTRTSNYVALKIQKSALQFAQAALHEIELLQAAADGDPGNTKCVVRLIDDFKHAGPNGQHLCMVLEFLGDSLLRLIKYNRYKGMELSKVREICKCILTGLDYLHRELGMIHSDLKPENILLCSTIDPAKDPIRSGLTPILEKPEGNQNGTSTMNLIEKKLKRRAKKAAAKISGRRVSIVGLSETPTKNKRNLDGIDMRCKVVDFGNGCWADKKFAEEIQTRQYRAPEVILQSGYSYSVDMWSFACTAFELATGDMLFAPKEGNGYGEDEDHLALMMELLGKMPRKIAIGGARSKDYFDRHGDLKRIRRLKYWPLDRLLIDKYKLPEAESREFAEFLCPIMDFAPEKRPTAQQCLQHPWLNLRTQNNEDQIEGQMSNMQIKGSCS >fgenesh1_pg.C_scaffold_3003139 pep chromosome:v.1.0:3:22133610:22136313:-1 gene:fgenesh1_pg.C_scaffold_3003139 transcript:fgenesh1_pg.C_scaffold_3003139 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLHLFDFHNNSFSRKVFSHHKSRDEDLEAPRNSFELQVDNFHTYHNEKDKPSNGFEEEEWYERSCYPIEESMKKKIIEELSKRSNDKQNTPSLVAKLMGMDALPLESAKSSAWIYPRQSKVNRFDDEKGGRRKSRKGRLSSSVTALDVMETPIRREHPQEEELQRFRREFEAWQADKRFQDCSRIVDSGRVVARDEKERLFTRTRSFGRDFILKSDRTAPTRIVVLRPGLQRAYDYEDSLTTSSGTTMEGSRGSSIEEFLEEVKERLKGELQGKAALKRSSSVRGSGIETPFSERPSPRSESMRSYAVSEVQCNAPDSPTEFISRDTRKLLADRLKNVLRKEMTPSDDSVVKCSSRLRPTVSDAAKQAEEINQEDSRINGDVSKKDSLSPRNLKRSLSAPVSGTSFGKLLLEDRHVLTGAQIMRKHEATITEREETEPVVVDPIRRKERFNLRKKVSSFRSTLRGRIFGKKIRSMIESNSFEDESIKDFVTGSKFNNFYDRNENSTEVPPSPASVCSSTPEEFWRNVDYLSQVSTPDVTVSDENGMPQVFRDISSNLSELRRQINELESDVQVRTPVEEEPTQEIETIVDLGNPDKVFVRDLLVVSGLYEGTSDISLSRWDPLAKPIKRSVLEEAKENLKKRSNQNQEDEDTGENNTISEENHNILFDLLNEVLTVVLGPLTKSGFKNKLLSSSVSESTTIRGKYLLESTWRIMSEYLYSQPEKPFCSLDGIIGWDMDRFPWSGLISEEVNVLGKEVEGMIMADLVEELVKDLRRQIC >fgenesh1_pg.C_scaffold_3003149 pep chromosome:v.1.0:3:22187090:22189467:-1 gene:fgenesh1_pg.C_scaffold_3003149 transcript:fgenesh1_pg.C_scaffold_3003149 gene_biotype:protein_coding transcript_biotype:protein_coding MTILPSNCWCERRCFPPPKPLDSAAEEKLILTLIEKTPQRRVSVSEKELIKAIKDKPSVRLNHAATELDRRPNYFNSSSDESIASSSCTLQLTTKPSCFSSPSSGEIESLEPNLTPEEEALLTKLKSNRISEIEEALISIRRVTRIDEGSKISLCTTRLISALKSLIVSRYATVQVNVTVVLVNLSLEKSNKVKIVRSGIVPPLIDVLKCGSVEAQEHSAGVIFSLALEDENKMAIGVLGGLESSLHLIRVGTELTRHDSALALYHLSLVQSNRGKLVKLGAVQMLLGMNFFVIGPKYFS >fgenesh1_pg.C_scaffold_3003160 pep chromosome:v.1.0:3:22258158:22260279:1 gene:fgenesh1_pg.C_scaffold_3003160 transcript:fgenesh1_pg.C_scaffold_3003160 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVWKEINNGSLHYHRQLNIGHEPMLKNQNPNNSTFQDFLNMPLNQQPPSTSSIVTALYGSLPLPPPATVLSLNSGVGFEFLDTTETLAASNPHSFEESARVGCLGKKRSQDPDESRGDRRYKRMIKNRESAARSRARKQECAYTNELELEIAHLQTENARLKIQQDQTSSSSSQLISALFVGEMTESKVVVPDVCDGYSISASTPSMSNVGQVSSFNQHLSVCV >fgenesh1_pg.C_scaffold_3003170 pep chromosome:v.1.0:3:22299547:22300765:-1 gene:fgenesh1_pg.C_scaffold_3003170 transcript:fgenesh1_pg.C_scaffold_3003170 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAKVSCFLFAILFFINGGSSTTFTIVNQCNYTVWPGLLSGAGTTPLPTTGFSLSSSESRLISIPTAWSGRIWGRTLCNQNENTGKFTCVTGDCGSSQIECSGAGAIPPATLAEFTLNGDGNLDFFDVSLVDGYNVPMTIVPHGGAIGVGKCNATGCAVDLNGVCPEQLKVTVEAGTAAVACKSACEAFGTPEFCCSGAFGTPDTCKPSEYSGFFKKACPTAYSYAYDDGTSTFTCSGADYVITFCPSPSSSEDLKSASPPRLQPDALSVSDASRRISVALSLGVLAVAVSWVGSGL >fgenesh1_pg.C_scaffold_3003187 pep chromosome:v.1.0:3:22411083:22412837:1 gene:fgenesh1_pg.C_scaffold_3003187 transcript:fgenesh1_pg.C_scaffold_3003187 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLVSSSASSLVPSIFSPFQPRSSFQLFITCCFLVLRSHHVYGYLLTFTFLTLQLRSSRHQPTHLLCYHSRHRKTDKSKFLSLLVVFSLSVSSLHISFRDRNPREVFRKKANLALATSEEQFQYTDQTLENPETTSPQLGPEATPRDGSSTIQYNGNDGKPGFISFYNPRNKTEDIIVPPESQSTWGRLLWLIGPAVLVSSFILPPIYLRRIVSAVFEDSLLTDFLILFFTEALFYCGVAAFLLIIDRSRKTSGKVPQNRINPSQLGQRISSVATLVLSLMIPMVTMGFVWPWTGPAASATLAPYLVGIVVQFAFEQYARYRNFPSSPIIPIIFQVYRLHQLNRAAQLVTALSFTVKGAEATVNNLAIKKSLGTLLNVIQVLGVISIWSISSFLMWLSSPSQNQS >fgenesh1_pg.C_scaffold_3003189 pep chromosome:v.1.0:3:22429154:22429630:-1 gene:fgenesh1_pg.C_scaffold_3003189 transcript:fgenesh1_pg.C_scaffold_3003189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAE4] MELTNVNVNNLQVKGNDKANGLHCKLRTYRKTSYQKANEEEIIHYQRLISEKLVEYSGVHLSHVDLRKLISSKWVQARVLHSIFYQAENVMIDGQFTDLNAEVDVTHQRVYILPQEVIDLKEKKKTCTISLQELLDGFDECIKQYRCSHFFTKIALRS >fgenesh1_pg.C_scaffold_3003209 pep chromosome:v.1.0:3:22617387:22619462:-1 gene:fgenesh1_pg.C_scaffold_3003209 transcript:fgenesh1_pg.C_scaffold_3003209 gene_biotype:protein_coding transcript_biotype:protein_coding MGPETSRLRVGKLPMQKHLTISIEKGEEILDTFDKSEVRWSFVETENEKTEKVKRHYEITFEKKLRDKIINSYLNHVLAQGEEIKQNLRVVKLYSRDVGRTDDDSGMAGLGFRTLVSNYLGLDGLNHPLCEEIEALIDSTEVTPAELAEELMQDDDTDVVLRGVVSFVEKRKVERSKTKEEVSICKTIDDEGKQNGLKNKKCGKGKGKGKAFG >fgenesh1_pg.C_scaffold_3003235 pep chromosome:v.1.0:3:22773880:22774558:1 gene:fgenesh1_pg.C_scaffold_3003235 transcript:fgenesh1_pg.C_scaffold_3003235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBC2] MTKDAIRKPLAIQQNIFYTLISLQSNIHRSVCNRLASLYPESDAAPINSKNLASEEAAKDDPRLDQEQYSRLCNQNSRNEHKRISRYKERSVVNHGITDQIYETVENTVRFCRLGGSLRELEARRIRLVAIEARSKENVDERGRKKKTRFGEWTVEIKRLDKIINGSDSNAWDIACLSGHYSFKARRSAL >fgenesh1_pg.C_scaffold_3003242 pep chromosome:v.1.0:3:22810238:22810799:-1 gene:fgenesh1_pg.C_scaffold_3003242 transcript:fgenesh1_pg.C_scaffold_3003242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L075] MIHFMEETHLNKKTRTITDLKTKQILDGVKSKLELTNSQLQAEGDDSVQSNAYTEEEINTVMLETKPLIMLCFLFSASFIVNGKRYGFGRLFDNGYLSSSTAPRHTSKLLEEIQTLKDRDLEKDRQFKYLMECKYATSSSSNNLHKFNHRVIRQFRMRRRRRRTRA >fgenesh1_pg.C_scaffold_3003249 pep chromosome:v.1.0:3:22880746:22882315:-1 gene:fgenesh1_pg.C_scaffold_3003249 transcript:fgenesh1_pg.C_scaffold_3003249 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHB21/HB-2 [Source:UniProtKB/TrEMBL;Acc:D7L084] MNNQNVDDHNLLLISQLYPNVYTPLVPQQAGEAKPARRRKRKSKSVAMAEEGGAGGNGWFRKRKLSDEQVRMLEISFEDDQKLESERKDRLASELGLDPRQVAVWFQNRRARFKNKRVEDEYTKIKNAYETTVVEKCRLDSEVLHLKEQLYEAEREIQRLAQRVEGTLSNSPISSFVTIEANHTTPFFGDYDIGFDGEGDENLLYSPDYIDGLEWMSQFM >fgenesh1_pg.C_scaffold_3003254 pep chromosome:v.1.0:3:22927937:22929300:-1 gene:fgenesh1_pg.C_scaffold_3003254 transcript:fgenesh1_pg.C_scaffold_3003254 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLFKVKEKQREESQNTNRRGASTVKKQSAGELRLHKDISELNLPKSCKISFPNGKNDLMNFEVTIKPDEGYYMNGKFVFTFQVSNVYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLFHLFTEPNYEDPLNHDAAAVLRDNPKLFENNVKRAMMGGHVGETSFPRCM >fgenesh1_pg.C_scaffold_3003259 pep chromosome:v.1.0:3:22944053:22944688:1 gene:fgenesh1_pg.C_scaffold_3003259 transcript:fgenesh1_pg.C_scaffold_3003259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L097] MKQVVLKFDTNLIANYYKVEFGSRKRFRVVADVAKAAPNYRQIDSAATYMPTSFSNASFPSMCVSPLEQWSILILPSHPPRSLTVHNSSSHGRYNLETALPSDSLCDLVGTEFLNCYHNISASGRDKSIGGMHYAKSPHQLLLHSMSLLGSHLTHQIFNVPNKK >fgenesh1_pg.C_scaffold_3003266 pep chromosome:v.1.0:3:23003877:23005692:-1 gene:fgenesh1_pg.C_scaffold_3003266 transcript:fgenesh1_pg.C_scaffold_3003266 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNKGLAEQDLSKLDVAQLHPLSPEVISRQATINIGTIGHVAHGKSTIVKAISGVHTVKFKSELERNITIKLGYANAKIYKCEKCPRPVAYKSFGSGKEDNPTCDVSGHEMCKMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLIIAANETCPQPQTAEHLASVDMMHLKDIIIIQNKIDLIQENEARRQHEDIQRFITNTNAEGAPIVPVSAQLKYNIDVLCEYIVKKIPIPERDFVSPPRMIVIRSFDVNKPGSDYNDMKGGVAGGSILQGVLKVGHIIEIRPGITGKDEHGDTKCTPIYTRITSLYAEQNELQFAVPGGLIGIGTSMDPTLTRGDRLVGQVLGEIGTLPDVYVELEVSFQLLTRLIGVKTKETERQMRVSKLIKGEVLMLNIGSMSTGATVIGVKKDMMKVKLTLPVCTNIGEKVAISRRVDRHWRLIGRGQIEAGTTIPIPDPPSLP >fgenesh1_pg.C_scaffold_3003268 pep chromosome:v.1.0:3:23020813:23021519:-1 gene:fgenesh1_pg.C_scaffold_3003268 transcript:fgenesh1_pg.C_scaffold_3003268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L122] MCSSRTYFNLTEFVSILSGIVNPNICVECRLTGDLAVEFYDLWKQRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNTENDQVPIITRKENAME >fgenesh1_pg.C_scaffold_3003272 pep chromosome:v.1.0:3:23072473:23073455:1 gene:fgenesh1_pg.C_scaffold_3003272 transcript:fgenesh1_pg.C_scaffold_3003272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L128] MVRKHGWQLPAHTLQNLVNMKSQLAVISIGFCVFFSRVIAITYGVLLVGGRFLCFLRSFCWRSYLGIRFDRCLLSCGYSCICFVCSVYCTQACGSKDHVDVVAMRAMSETLDGPSVDEKNLSLVLVIVICRFIIEEECSMFHAYIHCSMLAEDAIKSAVRDYKEKQAKANANS >fgenesh1_pg.C_scaffold_3003277 pep chromosome:v.1.0:3:23119122:23120834:-1 gene:fgenesh1_pg.C_scaffold_3003277 transcript:fgenesh1_pg.C_scaffold_3003277 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGVEQGVVIADSEPPRGNRSRYAFACAILASMTSIILGYDIGVMSGAAIFIKDDLKLSDVQLEILMGILNIYSLIGSGAAGRTSDWIGRRFTIVLAGAFFFCGALLMGFATNYPFIMVGRFVAGIGVGYAMMIAPVYTAEVAPASSRGFLSSFPEIFINIGILLGYVSNYFFSKLPEHLGWRFMLGVGAVPSVFLAIGVLAMPESPRWLVLQGRLGDAFKVLDKTSNTKEEAITRLDDIKRAAGIPDDMTDDVIVVPNKKSAGKGVWKDLLVRPTPSVRHILIACLGIHFAQQASGIDAVVLYSPTIFSRAGLKSKNDQLLATVAVGVVKTLFIVVGTCVVDRFGRRALLLTSMGGMFLSLTALGTSLTVIDRNPGQTIKWAIGLAVTTVMTFVATFSIGAGPVTWVYCSEIFPVRLRAQGASLGVMLNRLMSGIIGMTFLSLSKGLTIGGAFLLFAGVAAAAWVFFFTFLPETRGMPLEEMESLFGSYTANKKKNSVSKDNEIVDEQ >fgenesh1_pg.C_scaffold_3003292 pep chromosome:v.1.0:3:23222752:23224212:1 gene:fgenesh1_pg.C_scaffold_3003292 transcript:fgenesh1_pg.C_scaffold_3003292 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7L154] MANVKLLYHYLITHFFKLWLVPLLALVAIKASSLNHEDIQNIWFYLQHNIVTLTILSAVLTFGSAIYFVTRPRPVYLVDYSCHLPPPHQKVTVQKIVDNINKIRELSKRLIVAEEHSLDFFFRILERSGLGEETYVPDALLNIPPLQTMAAAREETEQVIFDAIDNLLANTKVNTRDIGIIIVNSSMFNPTPSLSAMVVNKYKLRSNIKSFNLGGMGCSAGVIAIDLAKDLLQVHKNTYALVVSTENLSRSMYIGNNRSMVVSNCLFRVGGAAILLSNKSGDRRRSKYKLLHTVRTHTGADDKSFRCVQQEDDETGKTGVSLTKDITSVASRTITKNIATLGPLVLPVSEKILFLMTYIHKKFFNNKIKHYVPDFKQAIDHFCIHAGGRALIDELEKNLGLLPIDVEPSRSTLHRFGNTSSSSIWYELAYTEAKGRMKKGNKAWQIALGSGFKCNSAVWVALRNVKPSVNSPWEHCVAKYPVKLDF >fgenesh1_pg.C_scaffold_3003300 pep chromosome:v.1.0:3:23318298:23322451:1 gene:fgenesh1_pg.C_scaffold_3003300 transcript:fgenesh1_pg.C_scaffold_3003300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in RNA-directed DNA methylation 1 [Source:UniProtKB/TrEMBL;Acc:D7L1V4] MFVFAKKLKSLPASKHERSEANQKSSSLRCHIQFLFIFLKFSSKVPTFNSLMEILHPDQATSNGFYKNVHKRKQNQVDDGLCSDSEAKRLKSSSKVIDYSNPFAISNMLEALDGGKFGSVTKELQEIADMRMDLIKRCIWLYPSLAYTEFEDEKTMLSLDNQQVVEGGVINLDDDDDDDDDVSNKAICVVPSSEIVVLDSDDEDNERQRSTYQFQSTLVQLQKNQGDVTPVTPQFTFEEVDLGRSKEMMPSVIKAIVEGQTSRDKVIAIENGVANEKGVYVGVEDDDIDNESEAADEDLGNIWNEMAMSIECSKDIAWETSHKQKADVVEDCEHSFILKDDMGYVCRVCGVIEKSILEIIDVQFTKAKRNTRTYASETRNKRFGESDAELKFSEEGLMIGGLAAHPTHADKMKPHQIEGFQFLCSNLVADDPGGCIMAHAPGSGKTFMIISFMQSFLAKYPQAKPLVVLPKGILSTWKKEFLRWQVEDIPLLDFYSARAENRAQQLAILRKWMEKKSILFLGYKQFSTIVCDDTSTDSLSCQEILLKVPSILILDEGHTPRNEDTNVLQSLAQVQTPRKVVLSGTLYQNHVKEVFNILNLVRPKFLKLDTSKSIVKRILSYAPISDVRSHMGNNSDVSSAFNEIVEYTLQKSEDFTMKINVIQDLREMTKKVLHYYKGDFLDELPGLADFTVVLNLSPRQLNEVKKLRREKRKFKVSAVGSAIYLHREAETIGRAFRPGQTKKVHAYRLIAGSSPEEEDHNTCFKKEVISKMWFEWNEYCGYRNFEVETIDVDDADDMFLESPTLREDIRVLYKR >fgenesh1_pg.C_scaffold_3003305 pep chromosome:v.1.0:3:23349546:23350114:-1 gene:fgenesh1_pg.C_scaffold_3003305 transcript:fgenesh1_pg.C_scaffold_3003305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1W0] MANQEEDDLVLDLLEFLNSFAVKSLYEDIIYLEASETDTDDLFAADFVLVDGVVSVLGDYRNSSFRRQIMFLRGGSRYCIGDYINRDGVDDEDDYNDLDDELVPRSVSKKLKRQRIRKLGKRSSYLQLKPGCVHVSAIIL >fgenesh1_pg.C_scaffold_3003329 pep chromosome:v.1.0:3:23568952:23569562:1 gene:fgenesh1_pg.C_scaffold_3003329 transcript:fgenesh1_pg.C_scaffold_3003329 gene_biotype:protein_coding transcript_biotype:protein_coding MERQIINKRKRVFSLQPNKNPKALFARRYVSHLVPALKKINMKKSSSKTNHPSFEKTVKHEVDMAFALSAQEFAWSRFLLQKLLSSVHDDPVRTSSSPSEILERSSKKQGGGKHQDRDEEEGGEIKKRLKELQKLLPGGEEMNMEEILSEIGSYIKKKKESLLRSS >fgenesh1_pg.C_scaffold_3003331 pep chromosome:v.1.0:3:23594282:23595338:1 gene:fgenesh1_pg.C_scaffold_3003331 transcript:fgenesh1_pg.C_scaffold_3003331 gene_biotype:protein_coding transcript_biotype:protein_coding MKRERKENDNDDEKTSKSSTSHDLKRTCSREIKAAASKLPLDLKVEILKKFPRRQQWSTIISSRKEFIDSIVTRSLAKPLRDDLHFIFHHSRSSEPFFFFSSTYTHKEHAVSITGIKILRCSLEFQYVRGLICYSTLISHDLVTIYNPTTRKSISLPDIKSPNIMYRSCFFGYDSVMDQYKVMSVINRLEKTFEHVCQVFTLGDPMKQWRNIQGIVSHCPINRGVHINGTIYYGGNPLDNNDVTSEFMLVSFDVRFERFDHINAPMVVTNDMSYRPTLVNYKGKLGCFCYKNIGVEMWVMEDVEKQEWSNTISCLPNVPLEEYPYYDLCVTLGGKIFVLPT >fgenesh1_pg.C_scaffold_3003334 pep chromosome:v.1.0:3:23609030:23611549:1 gene:fgenesh1_pg.C_scaffold_3003334 transcript:fgenesh1_pg.C_scaffold_3003334 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSDLSGGDEVAEDGWFGGDNGAIPFPVGSLPGTAPCGFVVSDALEPDNPIIYVNTVFEIVTGYRAEEVIGRNCRFLQCRGPFAKRRHPNVDSTIVTKMRQCLENGIEFQGELLNFRKDGSPLMNKLRLVPIREEDEITHFIGVLLFTDAKIDLAPSPDLSAKEIPRISRSFTSALPIGERNVSRGLCGIFELSDEVIAIKILSQLTPGDIASVGCVCRRLNELTKNDDVWRMVCQNTWGTEATRALESVPGAKRIGWVRLAREFTTHEATAWRKFSVGGTVEPSRCNFSACAVGNRIVIFGGEGVNMQPMNDTFVLDLGSSSPEWKSVLVSSPPPGRWGHTLSCVNGSRLVVFGGYGSHGLLNDVFLLDLDADPPSWREVSGLAPPIPRSWHSSCTLDGTKLIVSGGCADSGALLSDTFLLDLSMDIPTWREIPVPWTPPSRLGHTLTVYGDRKILMFGGLAKNGSLRFRSNDVYTMDLSEDEPSWRPVIGYGSSLPGGMAAPPPRLDHVAISLPGGRILIFGGSVAGLDSASQLYLLDPTEEKPAWRILNVHGGPPRFAWGHTTCVVGGTRLVVLGGQTGEEWMLNEAHELLLATSTTAST >fgenesh1_pg.C_scaffold_3003345 pep chromosome:v.1.0:3:23696186:23697562:-1 gene:fgenesh1_pg.C_scaffold_3003345 transcript:fgenesh1_pg.C_scaffold_3003345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7L2U1] MVSHTLLVMSISLFLCLNILLVVHAKDLNQDIDITWGDGRGNILSNGTLLNLVLDQSSGSGFQSKAEYLYGKFDMQIKLVPGNSAGTVTTFYLKSQGLTWDEIDFEFLGNVSGDPYIVHTNVYTQGKGDREQQFYLWFDPTAEFHNYSILWNPSHIVFYIDGKPIREFKNLEALGVAYPKNQPMRMYGSLWNADDWATRGGLVKTNWSQGPFVASFMNYNSENACVWSIVNGTTTISPCSPGGSSSSSSSSSEWFSQRGMDSSSKKVLRWVQKKFMVYNYCKDKKRFSKGLPVECTAKNKDTNS >fgenesh1_pg.C_scaffold_3003367 pep chromosome:v.1.0:3:23854917:23856039:-1 gene:fgenesh1_pg.C_scaffold_3003367 transcript:fgenesh1_pg.C_scaffold_3003367 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKMKSLLKGLRYISQVFESEKEEEIQIGNPTDVKHVAHIGWDGPSANATAPSWMTEFNSGGGFESGEGGGEDDSSIKCMSEYGGRSRDLPNLPKSTRKSASEKGSPTKEKSSDKTKRRSSNKGTSSSSRRPKEATEEQDELSSWPRGIPEVPKKSRRKKKSTKETAVNGGSSRSTRRSDVDNMSEFMSETGSVRSMPQFDNRDDF >fgenesh1_pg.C_scaffold_301000003 pep scaffold:JGI8X:scaffold_301:13135:13650:-1 gene:fgenesh1_pg.C_scaffold_301000003 transcript:fgenesh1_pg.C_scaffold_301000003 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDGGSVGLEVGVEDLAVDLDRGVVDLEGPADFVAVLGVDLVAELADLAVEIVGLDAVGVSLDDKLVDLLIGVGLVVADTVDLELVKEGRVVEETVAREVGVEGLEDFEFVVSVDDLPVGVAGLDPGPPDDEGLRLAPLEELNPGEEVCCLDVRWFLAAGSVEEFASYNK >fgenesh1_pg.C_scaffold_302000002 pep scaffold:JGI8X:scaffold_302:8599:10226:1 gene:fgenesh1_pg.C_scaffold_302000002 transcript:fgenesh1_pg.C_scaffold_302000002 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSNGNSKKRDGGLSSLAPRKINVQKFSEARAAELESLHSIVSERLNKDFRSKRNKRRRTNSYNNQPAKKRYIQRQKSESLIGQVSGGGDHETKITRRVKRRMELKGNPQSGFCTSGDGTKRLRTHVWHAKRFTMTKLWGFHLPLGLHGRGRGSRDVLKQSRQGVLLHDASYHIAGSLLSILNMLLEPSPSSHSKEVFDSILTGRSYENAMLYHLEPPVSQAIAPVTYMWRPSQIPKRRDEEKGGDGIGTDRPVSDRDYVDFRKLWVWIHASSFTEGYAILKVACQKQMNETGVSVDCFSLEGQLAKLEIFGPKASHLLQKTLHPATSSSEDTSISKMCSMEKAEVKNVADPYKEENVSSGAILAQFVIDRAVNPE >fgenesh1_pg.C_scaffold_34000006 pep scaffold:JGI8X:scaffold_34:67002:68157:1 gene:fgenesh1_pg.C_scaffold_34000006 transcript:fgenesh1_pg.C_scaffold_34000006 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFTEDLWSIILARLPLKSITTSKLVCKQWKSIVESPYFRKSLYQNLHSSSWSLLVWDDKKDLGTTLYGCEPSMGSYISSFLNNKFEIQRHKYVYLIRDYTDVGLILISEVSKKPSFRNSTVDNEDVIVSHDFYATGTDSDRFRVTRFPDSGKHPKFKRACTIFQGFLMYMNVVSITKDDGSLEDKLIIWKLKSGEWQLVSEISADFVNPGFDHIPVTINSVDAKTVYFWNKKHKSLVSANLCNGKFVLHSELEHSGRSPNSVECIIRSDCPSFVLPRWLYLIPVRSV >fgenesh1_pg.C_scaffold_346000001 pep scaffold:JGI8X:scaffold_346:61:2242:1 gene:fgenesh1_pg.C_scaffold_346000001 transcript:fgenesh1_pg.C_scaffold_346000001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFPVTTTVLAELNILNSELGRLATHCSMVCEICSWFVALAFNLYTRERTMTSLYAIFMIVGLLLVIYFVFRPIIVWLTQRKSKSMDKKDVVPFFPVLFLLSVASLSGEAMGVHAAFGAFWLGVSLPDGPPLGTELAAKLEMFASNLFLPCFIAISGLQTNFFEITESHEHHVVMIEIILLITYGCKFLGTAAASAYCQTQIGDALCLAFLMCCQGIIEVYTTIVWKDAQVVDTECFNLVIITILLVTGISRFLVVYLYDPSKRYKSKSKRTILNTRQHNLQLRLLLGLYNVENVPSMVNLLEATYPTRFNPISFFTLHLVELKGRAHAVLTPHHQMNKLDPNTAQSTHIVNAFQRFEQKYQGALMAQHFTAAAPYSSINNDVCTLALDKKATLIVIPFHKQYAIDGTVGQVNGPIRNINLNVLEAAPCSVAIFIDRGETEGRRSVLMTNTWHNVAMLFIGGKDDAEALALCMRMAEKPDLNVTMIHFRHKSALQDEDYSDMSEYNLICDFKSHAANKGKVHYVEEIVRDGVETTQVISSLGDSYDMVLVGRDHDLESSVLYGLTDWSECPELGVIGDMLTSPDFHFSVLVVHQQQGDSLAMDDSYKLPKVEHQKIGDTGMQPRFSAEEGFTTIDLGKH >fgenesh1_pg.C_scaffold_350000001 pep scaffold:JGI8X:scaffold_350:3824:6432:-1 gene:fgenesh1_pg.C_scaffold_350000001 transcript:fgenesh1_pg.C_scaffold_350000001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIRKIFKACSHSQVMPIGIRMMSTRVELPRDALTEQQLCQIKDGIKDATIFRRLKDLSFKYTIPVSEMNYMRDVMMFDHSLLEEKGTVKKLIHGIVIETVRVGSNDEDLFKRDFREYMAGESKRREVALSLFRSMVMVSQKVRALSRSAVYKLINKSAKKLRVKDCWSSWKWRDQDCGFRLATCLVESDDSFDVESVLQEYTTPILMLVDINKAPMVRATVLKLLLVAVRSGKASVSLGLLSLVGSNIGARSNVVHSLAADCIIEIMFVMNLKAGEVDVLPLMVNLFKALKLPGSEENEYLMKCIFQAVSVSEISPKGCDLCFRELIHILVCQRCQNPEFQKNLVQSLALLIERESHDFTENRLVDILGRCEEMVSSPIDDEHGFFLLKSVVDNLVYEVIASHMKHVWVVLFARLVNNETAQFQQSLVRFMSFFLVRHGIASLVDSVNSVHPDIFNAFVRDCWVPHMKLINEVNEDEKKTE >fgenesh1_pg.C_scaffold_358000001 pep scaffold:JGI8X:scaffold_358:36:1470:-1 gene:fgenesh1_pg.C_scaffold_358000001 transcript:fgenesh1_pg.C_scaffold_358000001 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSDLADFLEKVPDKYPPLPPVFRLFRFLDYYDSALSGVACPWRQMFQESNLAWLFDVIDVPLSYIPEPVYQTSVDWINQHVPENLRCGFVLSTFNYILRDLLPQGVKEEEATYCHSKVAMFVTLAMVVRSKPRVLTKVLPSLRLRRIYKGQGQIPLTVWLITQASKDDLSVGLLSWAHNLLPLVGSNPQSTDVILKLVEKILAKPDDQARFVKTPVWQEMRLIPPQSFEILLRLTFPASLEPTTSRFVAIYPLLKKVALVRTSRSQAIEEIFTFSLRLSGEEGNTVLAEEARSIALWSLT >fgenesh1_pg.C_scaffold_368000001 pep scaffold:JGI8X:scaffold_368:1318:2560:-1 gene:fgenesh1_pg.C_scaffold_368000001 transcript:fgenesh1_pg.C_scaffold_368000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXD8] MVGHNEEDVTGCFNGINIDKQEAFVVNVPKRKRDTSKFQDELGSLGAAVSERLERVEHSTTELENKVFTEIGGVKENLDRFEEPFVKLKGKVMGALKELQLLNDLKTTTTTSKAKSKGLMYVDIYFQWTGNSSDGGHGCDP >fgenesh1_pg.C_scaffold_376000001 pep scaffold:JGI8X:scaffold_376:1906:7936:-1 gene:fgenesh1_pg.C_scaffold_376000001 transcript:fgenesh1_pg.C_scaffold_376000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXE8] MEQMELKFLALSLRESYKDGAVDPIQDYFELAGTCTWRFIILCCLLEYGHMEFTITLRTSLALNLTWRKRIAFKLRKDKVSHHSRKHFNLFDRLINVMEWKPPQTKPYVSEPLEVLILVTYFSFSGDFAKLNFGNLTATLHEESALLSPVGSTLSGHHVIMSSCETSSLELNKLVSKHTFPAKQVEEIELQILALSLTKCFKDRAMAGTKRGKFIMEELGLDGRIHEVGDTRLHMIVVIPFPSSRMTSYRIVIVSSPTHPFPCEQMEEMEQKLFSLKSTEGEGVKEVSYTKLITKLSEIIQAVDMSWTYQIRTKLCQIATLISLSHIIWFNCYDRNLVNIENQKLTLGKDNEKSYARNVLFSHVPPCLMSERITCKQEESFFMSRKHEYFCAKLYMLMNGDEYMLSRRLEKSGRTSSLNKRLLTKSCTKEATKLITMLLEIIHAVDRTWTCHI >fgenesh1_pg.C_scaffold_4000007 pep chromosome:v.1.0:4:112345:113575:1 gene:fgenesh1_pg.C_scaffold_4000007 transcript:fgenesh1_pg.C_scaffold_4000007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid binding protein [Source:UniProtKB/TrEMBL;Acc:D7LKK7] MKMNKVEEEEKERRLQANGLFWTERLFLFLLVIFPTYLVDLIIPIILSTTLFALPFFFFCPNLSSVGPSGIGRIWLFILDRSTFYLAFEYYKLLAGGFELTFLWRLTVFLSILIHSVYIAEHYLRSHQAVPPPPPPPPQSKNDETPGDVCKEVEKMKELVEDGKKMMTFMENMIHSGLETHRKEWGEFIDELSKDGKKMMTKLDGMICSQLGTLRDNMRLNVDEIWQELRDELRSKVDEDIKASGQDLNKDVRSVADQLRETYLAVQEIIKEAKAHETNLIDQKNRRVIRGEEVEGFAELREQVRRMAVEAEIAAEELSRATVELVEAGIQQWEEDNFDYLTSLPLMYLNHN >fgenesh1_pg.C_scaffold_4000026 pep chromosome:v.1.0:4:192853:194237:1 gene:fgenesh1_pg.C_scaffold_4000026 transcript:fgenesh1_pg.C_scaffold_4000026 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LL12] MARKLLPESPITAGKFHRANKTTPSVFSNLRNGSGLLTYQISFFVRSAIMNSNSITNDLTANPRLLFATAENGKENAKSCGVWSFFSSPQLENPYEKSSSTLVAAAEFHVKFSPDNLRINHYYDLKYFSIGYASGLIYLYGNRGQARPVICNPITGRYAILPDRYTYRKAYSFFGFDPIDKQYKVLSMVYRPSGPGDSKILTFGAGDMMTWRKINCLLRHDVKSEGVCINGVLYYLGDTSDWGHVNDGHDVTSDYVIVCFDVRSETFTFIDVERFCRLINYKGKLAVIYWEDDVDIYELYYEEGIDVDKYMEDNVDADAINELRLWILEDVEKQEWSKYAYTWSDDKFFHRLVSIGGVTASGEIVFSIRKYTPTQPFYVFYFNPERNTLQRVEIQGFGEGFKKTCSVCTFANHVEDLNVNDLEQLKSVHPPLESD >fgenesh1_pg.C_scaffold_4000093 pep chromosome:v.1.0:4:609483:612602:-1 gene:fgenesh1_pg.C_scaffold_4000093 transcript:fgenesh1_pg.C_scaffold_4000093 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRGKKKISDDQSTSKGNSIQIPFDLIYETFKRLPLKTLARFLCVSKEFAFITRYRDFMKLYLIKSSNRPQNLIFTFKGMCSAKHFFFSASHTQSRVGTHVRKRRGLAEELRILTLGNMGIIGETQKAKKKKIFDDLGTIGGNSYPVVPVDLIQEIFKGLPIKVLARFLCVSKECASIIRNRDFVKSYLMKSSNRPQSLIFTFEDKCSGKYFFFSLLQPQDRGEPSSSSVAVYHMKCHSRPYKTFVPSVHGLICYGPPSKLMVYNPCIRRSITLPKIDSLRIDMYHFLGYDPIDGVYKVLCMIEGNPIGGKFGLAQELRVLTLGKENSWRLVEDFPQHFLDSLDAPDICINGVSYYKVLLDTQGKNKAFMSFDVRSEKFDLIKRPELPERSFLPLKLTSYEGKLALLSSYSPDYRIELWVLEDAAKHEWSKKSYFLPTINGELRYGFYPFCVVDEGELVLAPLGVRTDPFYVLYYDPKKNCVRRVYIEGITELNENTYHSIISVFPGQVDNLMCL >fgenesh1_pg.C_scaffold_4000102 pep chromosome:v.1.0:4:648653:650380:-1 gene:fgenesh1_pg.C_scaffold_4000102 transcript:fgenesh1_pg.C_scaffold_4000102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7LCN1] MFENNPDDRPLFLATASYDHTIRLWEARTGTCYLSFAYPDMHVNRLEITPDKGKLVAACNPYIRLFDLNSMAPHLPVRTFESHTNNVMAVGFQYTGQMMYSGSEDGTVKIWDLSSMLVLISMILTTNCRPEKCRVRECQREFRSVSPINTVVLHPNQTELISGDQNGNIRVWDLRADLCSCELVPEVGTPIRSLTVMWDGTMVVAANERGTCYVWRSLQGIQTMTEFEPLHKLQAHNGYILKCLLSPGNKYLATASSDKTVKIWNVDGFKLEKVLTGHRRWVWDCDFSRNGEYLVTASSDTTARLWSMRAGKEVMVYHAHRRATVCCTLRHD >fgenesh1_pg.C_scaffold_4000111 pep chromosome:v.1.0:4:705320:708052:-1 gene:fgenesh1_pg.C_scaffold_4000111 transcript:fgenesh1_pg.C_scaffold_4000111 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKHGSHECLLISPEIVVDGICNMCYKDEPVEFACNPCNFDLCKACSKIPQKVSHDFHPEHPLEFCVSKDDGKSLDMLCSGCGNLFTEPFYYKCKKCEIYLELGCGVLKNIANGWNAEEMLQYSHAHLIRRCRPGRNVKGFCLLCELPLSPSAICYGCFQCYSFVHERCLDFLRKIQHHVHLAHPLIRLDFTHTCGSGKLCDACGLYIDGAPFGCLECGFDLHMRCADYLLRDPPSLNCFSYMLQLTDIWHNHMMTLGDVKDILRVVCNICDGDILGRPWECKTCIFMAHDYCIELRKPSRHRFHVNHLLTLLPSYPAGFIMNCYTCKTKIENFNLFCRVCNFIICTKCMVRAKQVLGELHRGQKFIGLTTEGTCFRRMHDLVEVMVSRSYATACTICVERLCGKVLTCVTCKDIYHPRCIQLRRQERRGHPLHSDHSLEIKLTSGSKCIACKLSIKRYGYNCSTCKVSFHIECIEAVSISGKIKSHKHYLYNFWMDDSRLNRACSICGKPCGASFYGCIGCNDFSAHVGCIGFPANVKNQQHQHIVVQSYSWCRMSCSLCGLDIETSEKFRYSCNHCEDVFHMECIMSMDEREAATEEEQIKDIYLMYIERDLFSLLKDALYQHTNSDDEGSAF >fgenesh1_pg.C_scaffold_4000116 pep chromosome:v.1.0:4:771006:772378:1 gene:fgenesh1_pg.C_scaffold_4000116 transcript:fgenesh1_pg.C_scaffold_4000116 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKSPGNSRIAFASRTNQTAGNGGEGRVRFPGVGTRRLSGGFIYEGDGRFRGESMLEKDIYVMDSKHKKSSERVVQVRRVTKVVKGGKQLKFRAIVVVGDKQGNVRVGCAKAKEVVAAVQKSAIDARRNIVQVPMTKYSTFPHRSEGDYGAAKVMLRPASPGTGLIAGGAVRIVLEMAGVENALGKQLGSNNARATLAAVQQMRQFRDVAQERGIPMEELCK >fgenesh1_pg.C_scaffold_4000122 pep chromosome:v.1.0:4:815518:816752:-1 gene:fgenesh1_pg.C_scaffold_4000122 transcript:fgenesh1_pg.C_scaffold_4000122 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLYLKRSRPPPSNPTELPRTSSRTNLFSRGNSIGRVRVSSRAVPLAKPSDSPYYIGLERVKTDPYDRIKNTDEIIQLGLAESTLCFDLLQRWMSKNLMESMMQSDDGKFDISSIAMYKPIEGLLELRDSPRISYMHGSSTKLVSCLIIALRRAKRRNMERLVLACGGEAVNSVDDLTLILFVGLRFSVQRKQKTST >fgenesh1_pg.C_scaffold_4000131 pep chromosome:v.1.0:4:874674:876373:1 gene:fgenesh1_pg.C_scaffold_4000131 transcript:fgenesh1_pg.C_scaffold_4000131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDE3] MKKDLRDNDIQRGKFDYLNRAEAFVLISVTRNPRTYGNRTIAFRDRDIQRGNFDYLNRAEAFVLISVTRNPRIYRTETITFRDRDIQRGNFDYLNRAEAFVLISVTRKPRTFRTETITFRDRDIQRGNFDYLNRAEAFVLISVTRKPRTFRTGTITFRDRDIQRGNFDYLNQAEAFIFISETRNPRTYGNRTIAFRDKDIQRGNFDYLNRAEAFVLISVTRKPRTFRTETITFRTGTFKEAFVLISVTRKPRTFRTETITFRDRDIQRGNFDYHNQGEAFVFISETRNPRTYGTISFSFYSSGTLREEPEKLWDYHVFIYNSRTGTYRELHKVPTLNYLLVFILGTKAA >fgenesh1_pg.C_scaffold_4000135 pep chromosome:v.1.0:4:899199:899519:1 gene:fgenesh1_pg.C_scaffold_4000135 transcript:fgenesh1_pg.C_scaffold_4000135 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDYQEWGLCIEEWELQESVVPPHLIADDFEEEELRDKDDDDDDDDRGLDGLAVKESVTCDIRASVGKLIEQSISHMIVHLKQLKFLTLLLENFLFSHVLDFLL >fgenesh1_pg.C_scaffold_4000159 pep chromosome:v.1.0:4:1098502:1104055:1 gene:fgenesh1_pg.C_scaffold_4000159 transcript:fgenesh1_pg.C_scaffold_4000159 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGGITNAVNVGIAVQADWENREFISHISLNVRRLFEFLVQFESTTKSKLASLNEKLDLLERRLEMLEVQVMDKEKDHEVEWLEAQKIEISVDLLAAAKQQLLFLATVDRNRWLYDGPALEKAIYRYNACWLPLLAKYSESSSVSERSLVPPLDSEWIWHCHRLNPVRYKSDCEQFYGRVLDNSGVLSSVNGNCKLKTEDLWKRLYPEEPYELDLNKVDSEDISKKSSALEKCTNYDLVSAVKRQSPFYYQVSRSHVNNEVFLQEAVARYKGFLYLIKTNRERSLKRFCVPTYDVDLIWHTHQLHPVSYCDDMEKLIGKVLEHDDTDSDRGKGKKLDTGFSKTTAQWEGMFGTRYWKAGAMHRGKTPAPVTTSPDASDVLVKVPTAKEDIQNLIQFPEVEVVEVLLEIIGIRNLPDGHKGKISVMFSKTRPDSLFNAERRLTILSEVGEKQVATFQCEPTGELVFKLISSSPSKIPVSREPKNLGFASLSLKEFLFPVITQLSVEKWLELTPSKGSKADQKPISLRVAVSFTPPIRSPSVLHMVQSRPLWKGSCFFPIMGKSRLAKSSTHIVDETQTEVITLQIRNSIDGAKLKDDQRQVIGVIDSGETRVLADYAGSFWSLLDSKWSLKQTNASTADNPLFELLGPRVVNIFSGRKLDYEPKHCANLRSDQDFMTLVEFSKQHPYGKAVGLVDMRFGSIEASVKENWLVLPGIVSAFILHTVLKKGVFDGFNVTTKEIKEESKPTKLVAATENKLNAYSTNVETAAAITAPKKGSGCGGGCSGECGNMVKAANASGCGSSCSGECGDMVKSDATASGCGSGCSGECGNMVKAENASGCGSGCSGECGDMVKAAKASGCGSGCGGGCGGGCGDMVKAAKASGCGGGCGGGCGDMVKAANASGCGGGCNGECGNMVKAA >fgenesh1_pg.C_scaffold_4000178 pep chromosome:v.1.0:4:1253845:1254821:1 gene:fgenesh1_pg.C_scaffold_4000178 transcript:fgenesh1_pg.C_scaffold_4000178 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWHSGSSCREEKVIQLSSAAFRSIGYASSVMDIGNPLAEEDVGSRRVYIYRELVAKEIESLGRLSHKNLVKLRGWCKHKSELLLIYDYIPQGSLYSLLYSVPRQTGVVLSWDVRFQIAKGIASGLLYLHEEWEQIVVHRDVKPSNVLIDEDMNPS >fgenesh1_pg.C_scaffold_4000179 pep chromosome:v.1.0:4:1260025:1262078:-1 gene:fgenesh1_pg.C_scaffold_4000179 transcript:fgenesh1_pg.C_scaffold_4000179 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate synthase 4 [Source:UniProtKB/TrEMBL;Acc:D7LE46] MVLLSRKATCNSHGQDSSYFLGWEEYEKNPYDVMKNPHGIIQMGLAENQLCIDLLESWLAQNTDAACFKRDGQSIFRELALFQDYHGLSSFKNALADYMSENRGNRVSYDSNNLVLTAGATSANETLMFCLADPDDAFLLPTPYYPGFDRDLKWRTGVEIVPIQCSSTNGFCITKLTLEEAYEQAKKLNLNVKGILITNPSNPLGTTTTQTELNILFDFITMNKNIHLVSDEIYSGTIFNSSEFVSVMEILKNNQLENTDVLNRVHIVYSLSKDLGLPGFRVGAIYSNDKDVISTATKMSSFGLVSSQTQYLLSSLLSDKKFTKNYLRENQKRLKNRQRKLVLGLEAIGIKCLKSNAGLFCWVDMRPLLSSKTFEAEMDLWKKIVYEVKLNISPGSSCHCEEPGWFRVCFTNMSEETLKLALKRLKKFVDDGNSKRSCQKSESQKLKGLRKKTMSNVSNWVFRLSFHDREAEELNDSPPHVFFLSLID >fgenesh1_pg.C_scaffold_4000200 pep chromosome:v.1.0:4:1519069:1520778:-1 gene:fgenesh1_pg.C_scaffold_4000200 transcript:fgenesh1_pg.C_scaffold_4000200 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWRSEEEGLPTINFCVHHDLDSDLKNQLCIKLYVGLLKDSLAEILYQGAEACLQTSIEASQKLDVAFEISGFKEKMLDYISKIWKRFISFSPEEISFQRMKEVVANHMSNCNSDIQKHSDNLMLQCITKSCYDVDNMRKELSSIGYEQFCRFVRAMRSKMFIEGVIYGDLSKAEAVAISDLFGRVKTPLDDECVIILRGTTIKDSKVKIKTDLNSHSKVCYQIGEKSLCPRETAVVKLFEKNGSQQLIQSIKNVYNLVDFTIFRVKETLGYKVESEVHSIHGINRFIIDVRSSEHDPQHLLDRIFNYVDTVGAFLEGIDGVAFEKCKTVLSGDLPSDDGSNLWDQIAERRFYPGFSKKVSSELRQIKKTDVIKLYEKYLKKSSPHCRRLAVCIWGCNTDSASFV >fgenesh1_pg.C_scaffold_4000201 pep chromosome:v.1.0:4:1521529:1522186:1 gene:fgenesh1_pg.C_scaffold_4000201 transcript:fgenesh1_pg.C_scaffold_4000201 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVVYGAEPVDKLQAWVTSYFSGIKRIQQGLQTELFRLNSSIPFWKRKKLYMLKALEDDNILRLTWRIPPQIPRDAVSKKSADSDSHLYKPEIFLEKVLGHRSQGSLYSLFKNKGWITSLDVFIGACNGSEDSELSIDSTSIAREIIHSFSSSERQHHYH >fgenesh1_pg.C_scaffold_4000202 pep chromosome:v.1.0:4:1533622:1540221:1 gene:fgenesh1_pg.C_scaffold_4000202 transcript:fgenesh1_pg.C_scaffold_4000202 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIPLSDDLDAHATVNFSNPFSVSSLITPLELLPLLMRCEMQPNLFPFGSVLSNPFLFNGGDLNELGSGLLPSPSFSDRPEIPARAAAAAAVARALAGLPSDQRLSISSTATELSSIYGNRPLPQQVEELEEEDFDPVRHILENVPDDQSELAYFEKQATLRLLQLDRVAENLSHHVMEHHEVMGKYLELKRLVFFLLRKRMSIENINIHFWPVKGMNLVRELEKDLKIANVSCKNGRRNLTSSMNEASGDLIVHTHSKKKQAFLSTLEDLVEEGKYCKAFQVLSEYLQLLDSLSEFSAAQEMTRSVEVWLGRTLHKLDSLLLGVCQEFKEDRYVMVLDAYALIGDVSGLAEKIQSFFMQEVISETHSVLKTIVGEDIVVHAWMVECIELAGDNSAATQYSRLTYSDLCLQTPESKFRQCLLRTLAVLFQLIYSYHEIMSFTPEKKIESLISPSPATTQKVDLVTGSSCDLLDGGLSSTMSSGSIPPCTISAEESDVSGTSSSVQHVSNIAIDESRNSGDTVSSKILQRGRRNLWQLTTSRVSVLLSSPGASSTSIHQFLKNYEDLSVFILAREAFCGFEVVDFREKLKGVCENYFTAFHRQSMHALKMVLEKERWTKLSPDTVQAINFAGLVGDGAPLIISSCSATGSSRFSHSNKSNNSIDASCNRSGFSYWLKGGNPFSAKLTHYREDQDYSLVNGDISGDYEGNDSIHDDVVNSKIREKKRTNGGSPVSEDEKEDLLADFIDEDSQLPRRSFTRSHSRTSSSYLSTNDDLTAQTGSSLCLLSQENTNSGGKGGADSFNHRLKSSLSGISQECEQWIKPHVSSSPSSSLAFPNTVHSLADVTPASPLNTSGHLSGVSFSLKERCAAVDTVSLVARILHKSKAHLQSMLMSRNGSLVEDFFGLLDMIRSSFIVSLC >fgenesh1_pg.C_scaffold_4000215 pep chromosome:v.1.0:4:1658407:1659375:1 gene:fgenesh1_pg.C_scaffold_4000215 transcript:fgenesh1_pg.C_scaffold_4000215 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGHNKDQRYFQYGYASGLIYLNGDSDDDRPVICNPNTGEYAILPYLKRYRKTYSFLVFEPIDKQFKYYLGDTSFWLNHDHDVESDYVIVCFDIRSETFTFFEIEGFCRLINYKGKLAVIYFEDDVDYQSFGYRKKNYVEADAINDLHVWVLEDMEKQEWSEYAYTWTDDIFFRRRHVSVAPATAFGEIVFSMCEYTPGQPFYVFYFNPEKNTLQRVEIQGFGEAFCSVYTFVDHVEDLNVNDLKILKPFNAPFVKKKESESDYSNLDYSDSE >fgenesh1_pg.C_scaffold_4000229 pep chromosome:v.1.0:4:1738951:1742211:1 gene:fgenesh1_pg.C_scaffold_4000229 transcript:fgenesh1_pg.C_scaffold_4000229 gene_biotype:protein_coding transcript_biotype:protein_coding MESAALLHSSLTRFSCRQNSTLRLSRLNSTTLPRDVLIRTTNSNTLPRRSLRLFAKAACENHHHYHHEHDHHHHQNHHQHCCSVELTVSNHLQKLLLKFAKAIGWIRLANFLRENLHLCCSSVVLFLAAAACPHLMIPKPYITPIQNSFMIVAFPLVGISASLDALMDIAGGKVNIHVLMALAAFASVFMGNALEGGLLLTMFNLAHIAEEFFTSRSMLDVKELNESNPDSALVIDVNDENVPNFFDLTYKSVHVKNVEVGSYILVGTGEIVPVDCQVYQGNATITIEHLTGEVKPLEAKAGDRVPGGARTLNGRIIVKNSQGDGSSMDYKQATKAWNESTLNKILQLTEEAHSNKPKLERWLYEFGEIYSKVVVVLSVAIAFLGPFLFKLPVLGTTACRGSVYRALGFLVAASPCALAVAPLAYATAISSCARKGILLKGGQVLDALASCHTIGFDKTGTLTTGGLTCKAIEPIYGHHQEGNNESVNPCCMPNCENEALAVAAAMEKGTTHPIGRAMVDHSVGKDLPSVSVESFEYFPGRGLTATVNCIESVTEGRKLRKASLGSVEFITSLFESQDESRKIKNAVNSSLYGNDFVHAVLSLDQKVTLIHLEDQPRREVSKVLTELKSWGKMRIMMLTGDHESSAWRVANAVGIDEVYCNLKPEDKLDHVKNISEGSGGGGLIMVGEGINDGPALAAATVGIVLAQRASASAIAVADVLLLQDNITGVPFCIAKSRQTTSLVKQNVAIALTSIFLAALPSVLGFLPLWLTVLLHEGGTLLVCLNSIRSLNDPSWSWKQDIVHELHLSKTHQQHKTYRDGN >fgenesh1_pg.C_scaffold_4000231 pep chromosome:v.1.0:4:1761961:1764500:1 gene:fgenesh1_pg.C_scaffold_4000231 transcript:fgenesh1_pg.C_scaffold_4000231 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLLASPIFFFFSSLFLVSSALNSDGVLLMSFKYSVLLDPLSLLQSWNYDHDNPCSWRGVLCNNDSRVVTLSLPNSNLVGSIPSDLGFLQNLQSLNLSNNSLNGSLPVEFFAADKLRFLDLSNNLISGEIPVSVGDLHNLQTLNLSDNIFTGKLPTNLASLGSLREVSLKNNYFSGEFPGGGWRSVQFLDISSNLINGSLPPDFSGDNLRYLNVSYNQISGEIPPNVGAGFPQNATVDFSFNNLTGSIPDSPVYLNQKSISFSGNPGLCDGPTRNPCPIPSSPATVSGAVAPPTSTPALAAIPKSIGSNSETKPDNNSNPRTGLRPGVIIGIIVGDIAGIGILALIFLYVYKYKKNKTVEKKNDQSLEAHEAKDTTSLSPSSSTTTSSSSPEQSSRFGKWSCLRKNQETDETEEEDDENQRSGEIGENKKGTLVTIDGGEKELEVETLLKASAYILGATGSSIMYKTVLEDGTVLAVRRLGENGLSQQRRFKDFEAHIRAIGKLVHPNLVRLRGFYWGTDEKLVIYDFVPNGSLVNARYRKGGSSPCHLPWDTRLKIAKGLARGLAYLHDKKHVHGNLKPSNILLGQDMEPKIGDFGLERLLAGDTSYNRASGSSRIFSSKRLTASSREFGSIGPTPSPSPSSVGPISPYCAPESLRNLKPNPKWDVFGFGVILLELLTGKIVSIDEVGVGNGLTVEDGNRALIMADVAIRSELEGKEDFLLGLFKLGYSCASQVPQKRPTMKEALVVFERFPISSSAKSPSYHYGHY >fgenesh1_pg.C_scaffold_4000233 pep chromosome:v.1.0:4:1770885:1772017:1 gene:fgenesh1_pg.C_scaffold_4000233 transcript:fgenesh1_pg.C_scaffold_4000233 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 15 [Source:UniProtKB/TrEMBL;Acc:D7LF99] MAVELMTRNYISGVGTDSFAVQEAAASGLKSIENFIGLMSRDSFNSDQPSSSSASAATTTAEDLESARNTTADAAVSKFKRVISLLDRTRTGHARFRRAPVISPVINNQEEPKPTPFQSPLPPPPPQMIRKGSFSSSMKTIDFSSLSSVTTESDHHKKIHRPSETAPFGSQTQSLSTTVSSFSKSTKRKCNSENLLTGKCASASSSGRCHCSKKRKIKQRRIIRVPAISAKMSDVPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERAADDSTMLIVTYEGDHNHSLSAADLAGAAVADLILESS >fgenesh1_pg.C_scaffold_4000235 pep chromosome:v.1.0:4:1809154:1810467:-1 gene:fgenesh1_pg.C_scaffold_4000235 transcript:fgenesh1_pg.C_scaffold_4000235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFA4] MEIKIRERPSEEDKRVKEEKRLFGKVKNKFRERESDQDCDSENFSFSSNILVARILDNIQSSLQLVDRDYTLCEPVMVYSGHKRVYYQTEHVSIQALGFRFLEAPVRRLPCATDGCRNVEQPEEGILDEFERSFNVADYLLMEEMLGEALLSRLPPSILVISTD >fgenesh1_pg.C_scaffold_4000245 pep chromosome:v.1.0:4:1860826:1861968:-1 gene:fgenesh1_pg.C_scaffold_4000245 transcript:fgenesh1_pg.C_scaffold_4000245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFB6] MKRRKNTTLKENLNVQQTPKPKRSRSQQNDENINPNQQNHLDTSDVQVKGIFDRLMVGISNIPSQARSTLSPLTETGPSSSTYMPQETIGDESTVTTNMTLRSAKKNARSQRRPFQDLQNFSNSADILSEVQHTPMNPKKAPEKKAKKYSPPSVNSKQATKGITLTKPQNSLRFAKSIAKEKNTSNNTPLCPINEEHNDEVLHSEEETYINMIDYQSKCGLEESREQVYDCSSADETESETSESDYQNIEDIPTELKQRYEFLSMLDESLTKAFGERKTTNVSSRNKQNTGII >fgenesh1_pg.C_scaffold_4000251 pep chromosome:v.1.0:4:1909942:1910460:-1 gene:fgenesh1_pg.C_scaffold_4000251 transcript:fgenesh1_pg.C_scaffold_4000251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFC5] MEISVVVESSTSDKFPKKTRAKWAILANPDSGFKYTDCMENPRPGEVYRKDEKDKAYEEIVAQLKSWIPHQNMGTTAVLISGDFKVLDECRALRKNNINVIVVVRSKAGLKIGVLEELVDLGVTIISDWEWFLMYPDYCTPFTALEKPRTEDGDGDGAASAMKPVQLICYST >fgenesh1_pg.C_scaffold_4000264 pep chromosome:v.1.0:4:1974979:1976334:1 gene:fgenesh1_pg.C_scaffold_4000264 transcript:fgenesh1_pg.C_scaffold_4000264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:D7LG09] MANDVTKDPSPKSDIVEDIILWRRKKLAFSILSVSTSTWILLNFYGFTTITIISWIGIAVVSMVFLWGSLLRLLSKVEPELSGLEVSEEFVVETVRSCRMLMEETVRWMFRVGAESEWFVLARTVLGFWVLSRIGNLLDFHTCLFIGLVMGLTVPKLWDKYGDQIQKQLGSLKDKSKGAYNTTHEKILLMKNKLQHGREEKEKKSE >fgenesh1_pg.C_scaffold_4000270 pep chromosome:v.1.0:4:2029175:2029947:1 gene:fgenesh1_pg.C_scaffold_4000270 transcript:fgenesh1_pg.C_scaffold_4000270 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSNELFFFGYAADIVGMEVVQRLHSEVLELGLGNNDRHAGMFFSSLRSPGYTAWRNLIWAYAAYDPMKAIAAFGKMEKTHIKPNLDSFRALMYAYAQAETKNEDALKVLVKMKEEYNLQPKIEHIGCYIDKLGKIGFIAEAIKVAAEIEWPLSNHICGMIFGECAKSLPVDKGSANKMCQVLTRTMLVHFFLWLISVQVSDRQTTRSPTISLPTTVMYSFLSNFPILFFRDQKWKHAS >fgenesh1_pg.C_scaffold_4000272 pep chromosome:v.1.0:4:2039487:2040099:-1 gene:fgenesh1_pg.C_scaffold_4000272 transcript:fgenesh1_pg.C_scaffold_4000272 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRNLFRVCSLLMAFLFAYSASVQLNDPDWYFWFPLYTLSCVINLINCRRRISKSRRIKQMIGTALSLGLFLLVKVVVEDVITEKVGVLSLDLTHRVVREKIGSGLVIASMVLQLQSSSETIDFGMVAIVVFGYGLPFWFFTIEKGEIKI >fgenesh1_pg.C_scaffold_4000279 pep chromosome:v.1.0:4:2120827:2121423:-1 gene:fgenesh1_pg.C_scaffold_4000279 transcript:fgenesh1_pg.C_scaffold_4000279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG29] MSLLVISSLVMLAESRVARKDLGIGLGVGLGIGIGGGSGSGSGAGAGAGSGSRSSSSSSSSSSSSSSSGGSGGSAGSSAGSFAGSRAGSGSDLSLGYNNIKTSGGSRSSLLNM >fgenesh1_pg.C_scaffold_4000293 pep chromosome:v.1.0:4:2259835:2260886:-1 gene:fgenesh1_pg.C_scaffold_4000293 transcript:fgenesh1_pg.C_scaffold_4000293 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIDIVPLMFLLFTTFYHFGEARIIEVGGSLDAWKVPESPNHTLSHWAESVRFQVGDALLFKYDSKMDSVLQVTEENYEKCNTEKPLKEHKDGYTTVKLDVSGPYFFISGAPTGNCAKGEKVTVVVQSPNHQPMPKPGPAAVTPTIPPKPSTTSAAPAPAPPTPSPKSSTSMPAPAPAPAKSSAVGLVAGTGIFWASTLVAVIGLAFV >fgenesh1_pg.C_scaffold_4000295 pep chromosome:v.1.0:4:2304093:2305183:1 gene:fgenesh1_pg.C_scaffold_4000295 transcript:fgenesh1_pg.C_scaffold_4000295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7LG51] MSRFPLYQYRDFYIAGESYAGHYVPQLAKKIHLYNKALNNTPIINLKGFMVGNGDMDKHYDRLGTAMYAWSHAMISDKSYKSILKHCSFTPDKTSDKCNWALYFAYIVEFGKVNGYSIYSASCVHQTNQTKFLHGRLLAEEYDPLVPVTGTRLALNKLNLPVKTRWYPWYSEKQVGGWTEVYEGLTFATVRGAGHEVPVLQPERALTLLRSFLAGKELPRSE >fgenesh1_pg.C_scaffold_4000307 pep chromosome:v.1.0:4:2411532:2412645:1 gene:fgenesh1_pg.C_scaffold_4000307 transcript:fgenesh1_pg.C_scaffold_4000307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGR9] MRKSKVPKSNSANLEQAYRSLISASRGLSRTLSPSLPESQPPPPQLESQSPSTVVSSFPAPVTPSPPSQEEIQTRSRNREEIRRVHDCYKRLKSSIGQRDGGGCSANLEQLESQSQDEGTYNKKKTKQDEASLKSEEDLELKQNLELYVERVQDPNPELQKAALESMRELDYVYSDYQEIEMESPESFSTMFMKPIHQPMCKILHLHK >fgenesh1_pg.C_scaffold_4000314 pep chromosome:v.1.0:4:2557128:2558899:1 gene:fgenesh1_pg.C_scaffold_4000314 transcript:fgenesh1_pg.C_scaffold_4000314 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B6 [Source:UniProtKB/TrEMBL;Acc:D7LGT2] MSLFSIPISTELLPWLLLLLIPPLLIFFLLRSPKNLPPGPSRLPLLGNIHQLGSLPHRTLRDLSLKYGPVITVYLGSVRTVVVHSPETAEEVLKLHDSECCTRPKLSITKSFFYDGLGLGFTQWGDYYRDVRKLCVLELFSVKRANSFRNLREEELSRLVDSLSDSAASGSSVDLTAKLAKFVASFTCRMAFGLSFQGSGIDNERFMEVFTEANRVIGKISAADIFPGFGWILDRINGLDSSRRKSFQDLDTFYQKAIVDHREKKKTEDREDLIDVLLKLQSQETKLGSSRITDTHIRAILMDLFVAGVDTSVITMDWTLAELARHPRVMKKVQAEIRELIGDKGIVTYDDLEGLVYMKMVIKETWRLHAPGPILIPREAMTNFKIKGYNIYPGTRIHVNAWAIGRNPDVWKDPDEFIPERFVDSNVDTKGTSFELLPFGSGRRGCPAMYLGLSTVEYTLANLLYHFDWKATEEVSIEEAPGLTSHRKHPLHLIPVSAINRKL >fgenesh1_pg.C_scaffold_4000324 pep chromosome:v.1.0:4:2618038:2619601:1 gene:fgenesh1_pg.C_scaffold_4000324 transcript:fgenesh1_pg.C_scaffold_4000324 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPUP5 [Source:UniProtKB/TrEMBL;Acc:D7LHH4] MTSFKDDNPQSNLSVRLLMDETTERPSVSFSYSNWMSNVKKSTREAYEAKPFSHWILLLFSGAAMLIAFPASSLLSRLYFSNGGKSKWIISWVAVAGWPITCLILLPTYIFQKIKPTPLNAKLVLSYVVLGFLSAADNLMYAYAYAYLPASTSSLLASSSLAFSALFGYLIVKNPLNASVINSIVIITGAMAIIALDSSSDRYSYISNSQYFAGFFWDIMGSALHGLIFALSELLFVKLLGRRSFHVALEQQVMVSLIAFAFTTLGMVVSNDFQGMSQEAKSFKGGESLYTQVLVWSAVTFQLGVLGATAVLFLASTVMAGVLNAVRVPITSIAAVILMHDPMSGFKILSLVLTFWGFSSYIYGSSSSNSSTQASSSS >fgenesh1_pg.C_scaffold_4000335 pep chromosome:v.1.0:4:2708205:2710608:1 gene:fgenesh1_pg.C_scaffold_4000335 transcript:fgenesh1_pg.C_scaffold_4000335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase S28 family protein [Source:UniProtKB/TrEMBL;Acc:D7LHJ0] MSACLCLVFLFFSIVAEATYSPGGFHHLSSLRQQKKASKSKQELPFETRYFPQNLDHFGFTPESYTVFHQKYLINSRFWRKGGPIFVYTGNEGDIDWFASNTGFMSDIAPKFQALLVFIEHRFYGESTPFGKKSHKSAETLGYLSSQQALADYAILIRSLKQNLSSEASPVVVFGGSYGGMLAAWFRLKYPHITIGALASSAPILHFDNIVPLTSFYDAISQDFKDASINCFTVIKRSWEELEAVSTMKNGLQELSKKFRTCKGLQSKYSARDWLSGAFVYTAMVNYPTAANFMAPLPGYPVEQMCKIIDGFPRGSSNLDRAFAAASLYYNYSGSEKCFEMEQQTDDHGLNGWQYQACTEMVMPMSCSNQSMLPPYDNDYEAFQEQCMSTYGVKPRPHWITTEFGGKRIETVLKRFGSNIIFSNGMQDPWSRGGVLKNISSSIVALVTKKGAHHADLRAATKDDPEWLKEQRRQEVSIIEKWISEYYRDLREEEQ >fgenesh1_pg.C_scaffold_4000340 pep chromosome:v.1.0:4:2745589:2749073:-1 gene:fgenesh1_pg.C_scaffold_4000340 transcript:fgenesh1_pg.C_scaffold_4000340 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNLVKASVTLKDEVKVVKNYLDATKQKLAVVPNTTFELQQFASLIADSPPSSKWLGSEIQALNTQNLHFPISDLLQSVRKMPFEEKAGWEDSDSKFCGVETDFSDDVSSLISFNTDNGRFDFVLVPLLCLLYTLFSSIAILMNPSYRPGLADESNYDTRVLPFADSYLVVPLFRWRLRSCLLPTPKGTSCANYARCVNQILQRLPEIELFKNLFNESSNLFSSLFLLQSDPAMKLWLRIPLKDSDTEDPKDYWEIWNSFRLLCDHDSKLFVALDVQSKLPSENALGRWFGDLVKAAIISTEAIVSGKPLCNLQTDSVDITEGQSPLFLMGANDMQMHPNSRILTILVLCSSIWNHFLNKNAKRLSTEIAFTHPCRAIARALEDRVPNEKASELTTDLVTTRGWEGIVTIISQ >fgenesh1_pg.C_scaffold_4000344 pep chromosome:v.1.0:4:2777508:2778284:1 gene:fgenesh1_pg.C_scaffold_4000344 transcript:fgenesh1_pg.C_scaffold_4000344 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDKQLKFAADIQVMVAAEVHFGTKNCKYQMKRYVFKQHNVSCVEVAQHTGVNAIVGRHTFGTFTNQMQTSFSPEQKWVVIMDLFFYRVPEELGQAIGVVGGDQWTTVQITDAAWLVEA >fgenesh1_pg.C_scaffold_4000352 pep chromosome:v.1.0:4:2839197:2841448:-1 gene:fgenesh1_pg.C_scaffold_4000352 transcript:fgenesh1_pg.C_scaffold_4000352 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 38 [Source:Projected from Arabidopsis thaliana (AT2G24430) TAIR;Acc:AT2G24430] MEQGDHQQQKKEEEALPPGFRFHPTDEELISYYLVNKIADQNFTGKAIADVDLNKSEPWELPEKAKMGGKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSTTSELVGMKKTLVFYRGRAPRGEKTSWVMHEYRLHSKSSYRTSKQDEWVVCRVFKKTEATKKYISSSSSSTSHHNHNHNHTRASILSSTNNNNTNYSSDLLQLPPHLQPHPSLNINQSLMANAVHLAELSRVFRASTSTNMDSAHQQLMNYTHMPVSGLSLNLGGALVQPPPVVSLEDVAAVSASFNGGNGFGNVEMSQCMDLDGYWPSY >fgenesh1_pg.C_scaffold_4000368 pep chromosome:v.1.0:4:2963404:2964105:-1 gene:fgenesh1_pg.C_scaffold_4000368 transcript:fgenesh1_pg.C_scaffold_4000368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LI92] MEQRAGTIKKTGQGFEGLDQLKKVEKLFHEYRNTTDDKHVLTNIIAIKLIMAYVTQHKHVSTIQQEKQKISTDSRQEITAFHAWIGLEAESRLSKGATAYNIIDFPFIATNRV >fgenesh1_pg.C_scaffold_4000371 pep chromosome:v.1.0:4:2974228:2975680:1 gene:fgenesh1_pg.C_scaffold_4000371 transcript:fgenesh1_pg.C_scaffold_4000371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:D7LI95] MSTSKTITFTLFIATLLSSCNAAANATTQPLFPAILIFGDSTVDTGNNNYHSQTIFKAKHLPYGIDLPNHKASGRFTNGKIFSDIIATKLNIKQLVPPFLQPNLSDQEIVTGVCFASAGAGYDDRTSLSTQAIGVSDQPKMFKSYIARLKSIVGDKKAMEIINNALVVISAGPNDFILNYYDFPSRRLEFPHISGYQDFVLKRLDNLVRELYSLGCRKIMVGGLPPMGCLPIQMTAKFRNALRFCLEQENRDSVLYNQKLQKLLPQIEASLTGSKILYSNVYDPMMDMMQNPSKYGFKETKRGCCGTGHLETSFMCNAFSPTCRNHSEFLFFDSIHPSEATYNYMGNFLDTQIRLWLEA >fgenesh1_pg.C_scaffold_4000392 pep chromosome:v.1.0:4:3188090:3190336:-1 gene:fgenesh1_pg.C_scaffold_4000392 transcript:fgenesh1_pg.C_scaffold_4000392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein [Source:UniProtKB/TrEMBL;Acc:D7LIC1] MADPPLYSPINPHFFQPLLPGFTNHLDIPVAFFLKHLVGSNKGTTAELRSDASEMTWRVKIDGRRLSNGWEDFTVAHDLRVGDIVVFRQEGELVFHVSALGPSCCEIQYRDNYPEEDKIEKLCDTEKVSRKKNSLKREADSAPEHSLGSCFVATVTASNLKRDTVYIPKGFALSNHLMNKFQIVLMNEEGESWKIDLRRETYSHGRFYMRRGWRSFCIANGKKPGDSFAFKLVENEETPVLQLFPMTIEDLDKLQSVPREKSRKVEAPPSPDPSSFVATVTASNLSRDRLYLPKTFIMSNGLLKKFQMRLMNEEGESWTIDMKHEAHSGRFLTIRGWRSFCVANGKKPGDLFEFKLGQNEETPVLQLVPLNSEDLHKLEPNNDTRQGKCLEATKKEFLCLQATKKKFLGEEVYRNDSFKAIDEDIRKGQCSKAIKQEYVSTEENNSTSQNRFVTLTLTPSSKLNLPVEFIKGNGINKAGKITMLDRYDAKWPTSLLMDKRGTMSLGRGSKGFCEVNGVKMNESFVLELIWEDTVPLLKFCSKV >fgenesh1_pg.C_scaffold_4000393 pep chromosome:v.1.0:4:3194834:3197751:-1 gene:fgenesh1_pg.C_scaffold_4000393 transcript:fgenesh1_pg.C_scaffold_4000393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7LIC2] MSLADFYSSRPQFRTRLVVNVGDSKKDVVGAAIAALDLIKNKQVKAILGPWTSMQAHFLIEIGQKSQVPIVSFSATSPFLNSLRSPYFFRATYEDSSQVEAIKGFIKLFGWREVVPVYIDNTFGEGIMPRLTDALQDINVRIPYRSVIALNATDQEISVELLKMMNRPTRVFIVHMYASLASRVFIKAKEIGLMKAGYVWILTNGVIDDLSSINETGIEAMDGVLGIKTYIQKSQDLEKFISRWRKIFPRLELNVYGLWAYDGTTALAMAIEEAGINNMTFSNVVDTGRNVSELEALGLSQFGPKLLETLSKVQFRGLGGDFHFVNGQLQPSVFEIVNMIGTGEKTIGFWTEGNGLVKQLDQQPSSMSALSTWQDHLKQIIWPGEADSIPKGWEIPTNGKKLRIGVPKKIGFTDLVKVTRDPITNSTIVTGFCIDFFEAVIQAMPYDVSYEFIPFEKPDGKAGGSYNELVYQVYLGRYDAVVGDTTVLANRSSYVDFTFPFIKSGVGLIVSMTDAVKRDSVSLVKPLSWKLWLTSFFSFFLVGCTVWVLEHTVNPDFRGPKRFQASTICWFAFSTMVFAPRERVFSFWARALVITWYFLVLVLTQSYTASLASLLTSQQLNPTITSMRSLLDKGESVGYQRTSFILGKLKEEGFPKSRLVPFDTAEECDELLSKGTKKGGVAGAFLEIPYLRLFLGQFCNTYKMVEEPFNVDGFGFVFPIGSPLVADFSRAILKVAESPKAMELERAWFKKKEQRCPDPITNPDPNPSFTSRQLGVDSFLVMFIAVLVVCVIALTYHFLPKFYNDSYLDRVEKNPCSSSQQTRKDSNKATNQAQVHDQDCL >fgenesh1_pg.C_scaffold_4000403 pep chromosome:v.1.0:4:3244712:3246476:-1 gene:fgenesh1_pg.C_scaffold_4000403 transcript:fgenesh1_pg.C_scaffold_4000403 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPPPTLMVFDDFNNNSYDGGAGPSTGPRKRGRGRPKGSKNGTRKPKKPKAYDPNSKLIKSCPKFDSGITKAERESGNLEIVASVLMRFDAIRRRLHQVNQPKDILTTASTNCMRLGVRTNMTRRIGPIPGVQVGDIFYYWGEMCLVGLHRDMAAGIDYLSAKRSGVDGHAATSVVTSGQYDDETEELDTLIYIGQDGKGKNRQPCDQHVIGKSGFKEFRFKLVRKPDQPSGYAIWKSVEILRNHDLIDPRNGSILGDLSFGAEVLRVPLVNEVDEDDKTIPEDFDYIRSQCYSGMMFDLNVDIQSLGCQNCESCSHQNCSCMGKNGGELPYHNNILVSRKPLIYECGGSCPCPIDCPNRLVQTGLKLHLEVFKTANCGWGLRSWDPIRAGTFICEFAGVSKTKEEVEEDDDYLFDTSRIYHTFIWNYEPQLLREDASKQVSEVINLPTQVLISAKEKGNVGRFMNHSCWPNVFWQPIEYEDNGVTYVRIGLFAMKHIPPMTELTYDYGVSCVEKNGEDEILYKGKKICLCGSVKCRGSFG >fgenesh1_pg.C_scaffold_4000408 pep chromosome:v.1.0:4:3296204:3297322:-1 gene:fgenesh1_pg.C_scaffold_4000408 transcript:fgenesh1_pg.C_scaffold_4000408 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDTAIVSNGAAIMKGFHQTVVDSSVKPHQVVVNPNTEFLSSSNSKEEAVFGLRSIAITDASKDVFVVHQLVEKDVLQISDSTTAMLSSTMKVMATPAKVSSNITTSASGVKSTSDVVLPEDSDMVDSDKSEDSDEDLIMNQKSPFSEKHLHDRPLQLSNKAIIIGRGGRGRRGLEEIMVDECDDCCNNS >fgenesh1_pg.C_scaffold_4000421 pep chromosome:v.1.0:4:3475422:3475760:-1 gene:fgenesh1_pg.C_scaffold_4000421 transcript:fgenesh1_pg.C_scaffold_4000421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJF6] MGLDDIYDYGINSPLDYSSEEEEDSSYYHLQPQIVKRSLWSCDAEPTKPDYETELMKFKDDQNHSDQRLVKLERLVTELGEKKPLFNLAPELVVVVCFSMSVLVVALIMFIK >fgenesh1_pg.C_scaffold_4000422 pep chromosome:v.1.0:4:3476045:3476428:-1 gene:fgenesh1_pg.C_scaffold_4000422 transcript:fgenesh1_pg.C_scaffold_4000422 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTPNKSTSSNKRKANDAAPSSGSVVGEHESRPPGIKAMKKLRKTKGKEKASSSASPSAASASPSAASASPSAEFSKMFELKQKDLEGMKELQKLSILDSLIAKKENLDEEDKVVKKKLVAELFLT >fgenesh1_pg.C_scaffold_4000428 pep chromosome:v.1.0:4:3529699:3530992:1 gene:fgenesh1_pg.C_scaffold_4000428 transcript:fgenesh1_pg.C_scaffold_4000428 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVEKHLGIKAPDARDDEEKEFPASSSGVNKKTEEEFIIVSDDEEEVEIPINNQENKQTDTREQSCSPPDEDSHENVNDEKVAQEEGATDEVPHATEESDPQCSNVNDKRTQESDSQRSNVNDKVAQTEEAQHNKMGHSEEPSQESHSQQSKVNDKEEEARLKKEHSDRKLSEIEQKEIDDRVILWAKNKNFIFMMSSLHQLIL >fgenesh1_pg.C_scaffold_4000430 pep chromosome:v.1.0:4:3547161:3547878:-1 gene:fgenesh1_pg.C_scaffold_4000430 transcript:fgenesh1_pg.C_scaffold_4000430 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVRGGKGREEEEEGGRSLRQSDPLSQVDRLLHSCQIEDLEAFPSAFTVPSHRDYPPPQQLFQSGEASGSPHASGSPRGFGLTPFRASGSTQDRGSISSINRLASGSKKVAASNQSPAPVQSPVMNQQRPPLQVPRASVSYHSSQAQNSHDEEDEAEAESEEDGLRDSTVPEDVLASLNEMFTMPGLENYTTVISSTLEPETTWYVSHSLSVSS >fgenesh1_pg.C_scaffold_4000439 pep chromosome:v.1.0:4:3612377:3616954:1 gene:fgenesh1_pg.C_scaffold_4000439 transcript:fgenesh1_pg.C_scaffold_4000439 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRKLSQEKDLHQNDDKRKKVMTLETQEASGSCVDQNVAHWFSKTEFNGLMDVPIVYLASEENIVKNDHTIGSSLKDVNIGGSSRGSNSGKGLANDLYLDGNELVGVHMRSDKEINTMKDKGKAIFDLNKAIWPKDEPVSNTFEKSGASLKNCEHSWIWKQGLGHTCWICGIIDKDHPLPPGFGSNICKDIKLRVPKDGFLGTGIFPHPLLESIMKPHHYEILNFLCKNLVVENSNGCIIAQIPLSEKTFLMINFIYGYLEKHPNSKPLFVLPKWMLNFWKKDFGELKVNDLVLLDFYSAKAHTRYQQLEVLKQWITNRSIIFLGTKQFSNIVSDNSGTEASDSCREILLNIPSLVVFDRGTDPRNEMMSFLKAVARIKTPRKVLLTGTLYQNNIKEVFNILDVAFPEFLKHNQIGKNISKFLNVEADVDGPSTNLKMPLFDKLEEALLSQDSDHGDKIGYLTELRMLTNKVIYNYKGECLLDLPGLLDFTVVLNPTLSQKSEWETERNSKGKGFKTYSTLSGITLHPLLRAFSNRAKGLPAPNEDEMDEIIKEIDVTDGVKTNFFMGLVKLCDYTNEKILVVSQYVIPLIFLQRLVAKIKGWKDGKETFMIKGDTSLSAREMSINQFNNSNDAKIFFVSIKACNEQIGLTGATRVLMLDIIANPCMARQAIELAYHPGQQNKVYSYRLVAADTSEEDEEIIAAKKEIISGIWFDGKTYPIDGKFCIPTIDGEYSNDYFLGASYMREDIKTIYKRLKAYNDSSKIEETHLSWGNTVSSSDEIAN >fgenesh1_pg.C_scaffold_4000440 pep chromosome:v.1.0:4:3628059:3631137:1 gene:fgenesh1_pg.C_scaffold_4000440 transcript:fgenesh1_pg.C_scaffold_4000440 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLSKSASVAIKTQYTFSRPSRAISTCAHFSSRSSSNSFLFRPNSFIRNGFPSVTQIEAHGQLFPISFQFPSPRHFASLTNQNGALDNYGTDLTELARQEKFDPVIGRNDEIRRCIRILCRRTKSNPVIVGEPGVGKTAIAEGLAQRIVSGDVPEHLLNQKLVSLDMGSLLAGTKYRGEFEEKLKVVLKEVTASNGKTILFIDEIHTLVGAGRIEGSAMDASNILKPMLARGELQCIGATTLTEYRNYIEKDPALEPTISILRGLRKRYELHHGVKISDGALVSAAVLADRYIPERFLPDKAIDLVDEAAANLKMETTSKPAELDEIDRSLITLEMEKLSLNMETNKASKERLQKIENDLSTLKDKQIKFNEQWQIEKSLIARIRSFKEEIDEVNQEIEYAVWESDLKRVDELKYGTLVSLQRQLEEAKKNLTNSSSLVREEVTDLNIADIVSKSTGIPLSNLRQSEKEKLVILEQMLHKRVIGQDLAVESVADAIRCSKAGLSDPNRPIASFMFMGPTGVGKTELAKALAGYLFNNENAIVRIDMSEYMEKQSVSRLVGAAPGYIGYEEGGQLTEAVRRRPYSVVLFDEIEKAHHDVFNILLQLLDDGRITDSHGRTVSFTNCFVIMTSNIGSQHILETIRNNEDSKEEVYEMMKQQVVELARKTFKPEFMNRIDEYIVFQPLDSRELSKIVELQMIRVKNRLEHKKITLEYTKEAVDLLAHQLGFDPNNGARPVKRVIEKIVKKEIAFKVLTGDFAEGDTILLEVDQTSNKLVIKKLENNAPIEEMTA >fgenesh1_pg.C_scaffold_4000446 pep chromosome:v.1.0:4:3669194:3671148:-1 gene:fgenesh1_pg.C_scaffold_4000446 transcript:fgenesh1_pg.C_scaffold_4000446 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYLSSPKTDKLSEDGENDKLRYGLSSMQGWRATMEDAHAAILDLDDKTSFFGVYDGHGGKVVAKFCAKYLHQQVISNEAYKAGDIETALRRAFFRMDDMMQGQRGWRELAVLGDKMNKFSGMIEGFIWSPRSGDTNNQPDNWPLEDGPHSDFTGPTSGCTACVALIKDKKLFVANAGDSRCVISRNSQAYNLSKDHKPDLEVEKERILKAGGFIHAGRINGSLNLTRAIGDMEFKQNKFLPSEKQMVTADPDINTIDLCDDDDFLVVACDGIWDCMSSQELVDFIHEQLKSETKLSSVCEKVVDKCLAPDTTSGEGCDNMTIILVQFKKLNPSETEPEESKPEPSEDEPSSSS >fgenesh1_pg.C_scaffold_4000452 pep chromosome:v.1.0:4:3726066:3727768:-1 gene:fgenesh1_pg.C_scaffold_4000452 transcript:fgenesh1_pg.C_scaffold_4000452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LK48] MAKCHRNNVDSLILHRIPSASSSSSSASGNTFSGSSLRRIIFDAISCGGSSRYQRELREEDDEDASKSITIGEDLARKSEKLCDLLNLAVIESVVETKKKEETLEILKRVVRDLQVEAETAEKKIVAASEVRLLAKDDTEARVTLAMLGAIPPLVSMIDDDDSQIASLYALLNLGIGNDVNKEAIVKAEAVHKMLKLIESSKPPNQAISEAIVANFLGLSALDANKPIIGSSGAIIFLVKTLKNFEETSSSQAREDALRALYNLSINQQNVFFILETDLIPYLLNTLGDMEVSERILAILTNVVSVPEGRKAIGGVVEAFPILVDVLNWNDSIKCQEKAIYILMLMAHKGYGDRKAMIEAGIESSLLELILVGSPLAQKRASRVLECLRMVDKGKQVSAPVYGISSSSSLGRERGHDLRMSDERKAVKQLVQQSLQSNMKRIVKRANLPHDFVTTSQHFSKSLTF >fgenesh1_pg.C_scaffold_4000455 pep chromosome:v.1.0:4:3806669:3807324:-1 gene:fgenesh1_pg.C_scaffold_4000455 transcript:fgenesh1_pg.C_scaffold_4000455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LK51] MGDTFFHRYLFRSMVFLRMVTLSVFVLTPATNIHHFHVKWISDPKAEANPSHGTVIPLVDEKGTVLRESQVYIPCLIRSSVVKVFSGEVAEAYPFYGSLRRPLFRGFSMVDTNLFGFVNETTTTTKTAMESTHNGGWRCDYDANQKIRSTTREFPNLGSCDVTDGSQTLTFESVKDGASIKRRCFQS >fgenesh1_pg.C_scaffold_4000458 pep chromosome:v.1.0:4:3823055:3832700:1 gene:fgenesh1_pg.C_scaffold_4000458 transcript:fgenesh1_pg.C_scaffold_4000458 gene_biotype:protein_coding transcript_biotype:protein_coding description:PKL/SSL2 [Source:UniProtKB/TrEMBL;Acc:D7LK56] MSSLVERLRLRSDRKPVYNLDDSDDDDFVPKKDRTFEQVEAIVRTDAKENACQACGESANLVSCNTCTYAFHAKCLVPPLKDASVENWRCPECVSPLNEIDKILDCEMRPTKSSEQGSSDAAPKPIFVKQYLVKWKGLSYLHCSWVPEKEFQKAYKSNHRLKTRVNNFHRQMESVNNSEDDFVAIRPEWTTVDRILACREEDGELEYLVKYKELSYDECYWESESDISTFQNEIQRFKDVNSRTRRGKDVDHKRNPRDFQHFDHTPEFLKGLLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIALLASLFEENLIPHLVIAPLSTLRNWEREFATWAPQMNVVMYFGTSQARAVIREHEFYFSKDKKKIKKKKSGQISSESKQKRIKFDVLLTSYEMINLDTAVLKPIKWECMIVDEGHRLKNKDSKLFSSLTQYLSNHRILLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDINQEEQISRLHKMLAPHLLRRVKKDVMKDMPPKKELILRVDLSSLQKEYYKAIFTRNYQVLTKKGGAQISLNNIMMELRKVCCHPYMLEGVEPAIHDANEAFKQLLESCGKLQLLDKMMVKLKEQGHRVLIYTQFQHMLDLLEDYCSYKFQHMLDLLEDYCSYKKWNYERIDGKVGGAERQIRIDRFNAKNSNKFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLINRGTIEERMMQLTKKKMVLEHLVVGKLKTQNINQEELDDIIRYGSKELFASEDDEAGKSGKIHYDDAAIDKLLDRDLVEAEEVSVDDEEENGFLKAFKVANFEYIDENEAAALEAQRVAAESKSSAGSSDRASYWEELLKDKFELHQAEELNALGKRKRSRKQLVSIKEDDLAGLEDVSSDGDESYEAESTDAEAAGQEVQTGRRPYRRKGRDNSEPTPLMEGEGRSFRVLGFNQSQRAIFVQTLMRYGAGNFDWKEFVPRLKQKTYDEINEYGILFLKHIAEDIDENSPTFSDGVPKEGLRIEDVLVRIALLILVQEKVKFVEDHPAKPVFTSRILERFPGLRSGKVWKEEHDKIMIRAVLKHGYGRWQAIVDDKELGIQELICKELNFPHISLSAAEQAGLQGQNGSGGSNLGAQTNQNPGSGITGNNNASGDGVQVNSMFYYRDMQRRLVEFVKKRVLLLEKALNYEYAEDYYGLGGSSSIPAEEPEAEPKVTDTVGVSFIEVDDEMLDGLPKTDPITSEEIMVAAVDNNQARVEIAQHYNQMCKVFNENARESLQAYVNNQPPSTKVNESFCALESINGNIRTILSTPLDQSKSHENDTKPNLNNVDMKDTAEETKPLRGGVVDLNVMEGEENIGEASGSVDVKMEEAKEEEKPKNMVVD >fgenesh1_pg.C_scaffold_4000488 pep chromosome:v.1.0:4:4288875:4289538:-1 gene:fgenesh1_pg.C_scaffold_4000488 transcript:fgenesh1_pg.C_scaffold_4000488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LL42] MTILTCLTGHVRRKVNEVGRNMAKEREKYNDSGNYSGGGGYSDGGDGDDGGGDGGDNRDGRCGRSDDNGNGGVTVAVDGGNNGSGGDGGGDDGCEMTMIVIMIVVAMVVAMLIV >fgenesh1_pg.C_scaffold_4000502 pep chromosome:v.1.0:4:4501881:4502908:1 gene:fgenesh1_pg.C_scaffold_4000502 transcript:fgenesh1_pg.C_scaffold_4000502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LL61] MKTKFASARNLTIWYLENLRLEKALEWTSARDSAVISIGSTHSTAAHENQKEPYLSVGTSFISAAHENQKEPHPSVGTSSISVPYSNTCSTTEKGIGNKSLDLLPAPHRSPIPIGGVHSTQGADDIYINKYFMNKAELMQKMRT >fgenesh1_pg.C_scaffold_4000503 pep chromosome:v.1.0:4:4545822:4547068:1 gene:fgenesh1_pg.C_scaffold_4000503 transcript:fgenesh1_pg.C_scaffold_4000503 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRKGSRVEVFSIKEAPYGVWRSAEIISGNGHTYGVRYYSFELANNEVVEERVPRKIIRPCPPQVDVDRWEAGELVDVLDNNSWKTATVLEELSGRYYVVRLLGAKAELTVHKVILRARQSWQDERWVMIGKVSCSVKSSTLTGSDEQQNLKPLLNSVVPQETSVASLRVLKRPSPCDWAESAESCTRSPKKIRSLEEEGHQHRFASSELRGVKMHVQASFNKSGFRQLVRVRSKRFSECVGTGSSVSNGCYDTDACSVGSCSPISYDESDIPTSFLDGASQGADSCSSDAESSKEAFGCREEARRKHSLSGNGAVVRSCRPELYTYRSTLRKLFASGPLSWDQEASLTDLRLSLNISNDEHLMELRNLKSAGTHN >fgenesh1_pg.C_scaffold_4000511 pep chromosome:v.1.0:4:4595617:4596515:-1 gene:fgenesh1_pg.C_scaffold_4000511 transcript:fgenesh1_pg.C_scaffold_4000511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LL71] MNLIIFVYKTIKDTLGASRLKKNPLPCIDLMHKVFGPHCAVNDHMISASQIDEIDEEDDEEEQGFEEEDDEDNFTETVPETQETTENHLVAPSMPIEIPTHQRRSRQGGEQVHTNEDCGVYVDASFLHEQEGTTDGAHMQTIREGIKQQLWDAFH >fgenesh1_pg.C_scaffold_4000539 pep chromosome:v.1.0:4:4994631:4996499:-1 gene:fgenesh1_pg.C_scaffold_4000539 transcript:fgenesh1_pg.C_scaffold_4000539 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIEFYKEGSEEEGTQEAREKRRIKRRIMRGRNITVKVYCHHSSKFRPIGGVLEYVDGTVEKFKVDSITIFQDVVLKMLEKRVKNLGKMWYKLPFEDVSDRKPLWEDVDANKKKLVVEEAAKKVLSDLEKKRNQLFSRIWLKKKTVKIIEEDIQVFNNQNYEEQIPDEDDVYPATDDESGDEEAQAQMMVKNGELDGGRRTSLVLDVKVKAADGKSIVLWRILLLSGW >fgenesh1_pg.C_scaffold_4000545 pep chromosome:v.1.0:4:5073856:5074851:-1 gene:fgenesh1_pg.C_scaffold_4000545 transcript:fgenesh1_pg.C_scaffold_4000545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBI5] MESRSARYGVISWILILETGRGVKEIRSVRGSLSKWPQWWFGDSIWLVSGELLNGEVLVLISILEYECELKELRCLRREALNRDRWWLVWGRILKLDCVLKDLKSIQADALYGHGDQNDGDEEVDSRVLNPTMRSSFVSIMSCFRFGSSRVILRIVSNRYVFQIWFSLVVSGFDVEAFNGGLQWLITNKEKDSSQSKLMWMDFRSHSWVISQICIFDSSSSIWEDVFIFLLLNFRDYLVPNWFFGKHSFGFWLWKLWFVFYVTLYMLNDLVFCFYQSFETEDQALLFNGKIWMTRLQNLGDHGNEGHKPTHHGTVKGFTGGRNPPKHKVDK >fgenesh1_pg.C_scaffold_4000552 pep chromosome:v.1.0:4:5160220:5161669:1 gene:fgenesh1_pg.C_scaffold_4000552 transcript:fgenesh1_pg.C_scaffold_4000552 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDFGNRPTDTKAAVANRIEEFGESLEKKWVETRMILADEKANRINATIPNRYYNWNFQAYLKPGLWFRLSDFEVLRPQEKKTRYCCFPVVIKCIADTTMWPISVVCPYSFYDFVYPETVEFAQEDEKEFVTGKGFSSLIFTVS >fgenesh1_pg.C_scaffold_4000590 pep chromosome:v.1.0:4:5533641:5534077:1 gene:fgenesh1_pg.C_scaffold_4000590 transcript:fgenesh1_pg.C_scaffold_4000590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LC39] MLSPVLEADEEENEEEESGNDEEENEEEENEEGQGNGEDGGETSGSSTTLLDSSSDESIEDEIANGNRVKNAAEMNRETLRYLGKTGRTENTIMCDIHVELGNAGNTRVITIISNIGPTRV >fgenesh1_pg.C_scaffold_4000603 pep chromosome:v.1.0:4:5713505:5715264:1 gene:fgenesh1_pg.C_scaffold_4000603 transcript:fgenesh1_pg.C_scaffold_4000603 gene_biotype:protein_coding transcript_biotype:protein_coding MGETKRETDKSDEERKVLEAIALKASELETKVARLQHELIKATTEAKKLNSEFSQKGHGIEEVEKEVAGLRTVKEENEKRMKELETKLGALEVKELEEKNERFQAEEVDEEKEKEEKKRKKINGLVPDNGVVVKHIKTGEEIVVSRRVVGVFLLMTMAEFSDQFFGLQDEFFNYGWRLEFRGNNVTALWPRNGKPGLWLNSNSRMGAIYSLIVREEEILIENEEKGQQGEANGGAFIRESIYGVGIYLTVANCSYFSARYCDVDKNRARYIDCDGDKNGVRYMVLCHVIMGNMELLRGDKAQFFYGGEEYDNGVVDDIESPKNYIVWNINMNTHIFPEFVFRFKLSNLSNTGGRKCHWILYAYAVRSNHIDRLLVRYL >fgenesh1_pg.C_scaffold_4000615 pep chromosome:v.1.0:4:5906117:5907208:-1 gene:fgenesh1_pg.C_scaffold_4000615 transcript:fgenesh1_pg.C_scaffold_4000615 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACDLVVSSRKWRCDCPLITTFIWFDDEHEEEKKILACFICASLDKVVELNYQWIAFDDVCYHVQVKVKNPNLLLLVVSLPNPPPEAMSFDGLPLGAIEAIKATYMTGFQEVEVLSHITWKPKWGMIFSDIKKKVSRNCEVSQGSTMAITISLSVEHFVFSFITCT >fgenesh1_pg.C_scaffold_4000626 pep chromosome:v.1.0:4:6002625:6004109:-1 gene:fgenesh1_pg.C_scaffold_4000626 transcript:fgenesh1_pg.C_scaffold_4000626 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNEGYTNQSAYNSGFEQTNTDLDMENFNISDVAPQSPGDILVGDGVQHNWKQYREVFGYPGQLGIHDVVDGFVEDSIERTTFKTPPLVFAEDVPIKETLVSVIASQEIIDTPMQPISEDNPLQALVLVGDPVFDTTSKSEISNQSSPTEGKIFLRENIDGQNIVDNQVYL >fgenesh1_pg.C_scaffold_4000629 pep chromosome:v.1.0:4:6050352:6051731:1 gene:fgenesh1_pg.C_scaffold_4000629 transcript:fgenesh1_pg.C_scaffold_4000629 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDCFYQPFEEDELEQSLTIDIMLSSELTVVCKIEWEFHKLGPKEARMKAIEVFFAVSHLCDASALPGSIFYWSWLEVRSFSEESIKALASNNEGTYIVGGGSFGDIYNKVPFVMSSIIEKWRCNLIFRNLIYVDVMVIAMIKVLDVLTNGRGKTEKGEEKEVLEWAFTSETQEDKNDSRNHFGSLTGKHEQKEAVYTV >fgenesh1_pg.C_scaffold_4000630 pep chromosome:v.1.0:4:6067165:6067878:1 gene:fgenesh1_pg.C_scaffold_4000630 transcript:fgenesh1_pg.C_scaffold_4000630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCU5] MAPRVRGGRGRGRGKRGPKSPVKRPTVVPTRSTSSGVSSRRPRSLPSKYEFTPANPEDPNQETEQPPNRQPLPQLSLRDYPPPQQLFQSGEGGGGGSPRGSGGSPRGSGTTLFRAFGSTQSPAPVQPPAPVHSPVVSQQRPPRASMSGHSSQAQNVEEEEAASDEEAVDEATSEEEGRELYTTVISPNLEPGTTW >fgenesh1_pg.C_scaffold_4000634 pep chromosome:v.1.0:4:6103795:6104953:-1 gene:fgenesh1_pg.C_scaffold_4000634 transcript:fgenesh1_pg.C_scaffold_4000634 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKKEARGQIDPHVTQTPVRIRPTSTVIDENNVPGSGESNVSNNVAVNSVFRRVLGDISNSPRNTSGQSPSDQRTPLSSTAIDNLNQRSTPYHNRNAKRPRNISPISCKGSGSSIQNNQFYDDLLHSHQSYVEDNGNSSDTDEDADFSNYEASSEGDYEDNNQEDFFFSSEEDYSSNASSDEDDRVDDVSQIADDIIYQAKDKFDILTMFEKAFGKPNPLPTNRQNRKSGTIYILTQS >fgenesh1_pg.C_scaffold_4000650 pep chromosome:v.1.0:4:6354303:6355830:1 gene:fgenesh1_pg.C_scaffold_4000650 transcript:fgenesh1_pg.C_scaffold_4000650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDG1] MNAQGIVDLYAEARNPLNLPSPPPLLIGVPPAPIGNMPHFLHCSVCIMYQSYVDGDMDKAETSSSLIETARMLKVNEEEEVKEEESKEALTRSRVVVVQTYVWGRGTKPQLPSIKPLAKALIQGNLGNAPTTRFLLFASFVSAILSIRSGRIFPWQRQSSTGSSLPQMKKFHNRRSRSSSFLQETILESLGFGCVSPPPWLLSGAL >fgenesh1_pg.C_scaffold_4000659 pep chromosome:v.1.0:4:6561644:6562791:-1 gene:fgenesh1_pg.C_scaffold_4000659 transcript:fgenesh1_pg.C_scaffold_4000659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDH7] MEKNDNTHGLSSSEVTPTPKRKRTTSNVLRTTDDPPSAESHNKTAVAINSVFKRVLTDISNSPRSSSGLSPSDQRTFSCSTARGQENVSNTPYQTPVSKKARNFSPIPCSPFNKSQLTSTIIGDNSYQPQSSYSQNDDISGASAANNRNKDNPSGSVLTLQKDSVTDDFLDSHHDFMEDNDLNTDTDEDDHFSDYQDSTEGEYEDNYQEDLYCSSQEELSTDSSSDEDDYAKECAYNPKEAIHRAKERFDILTMLENAFGKPKETPVIPPKQKNGIIPIFVIYC >fgenesh1_pg.C_scaffold_4000667 pep chromosome:v.1.0:4:6657407:6659041:-1 gene:fgenesh1_pg.C_scaffold_4000667 transcript:fgenesh1_pg.C_scaffold_4000667 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGINTSSHFKPQARCPLQRNFLPKKTSKENPERFIPNRSAMDFDYAHFQLTEGRNVKDEATKVSSSPSREAYRKQLAETMNLNRTRILAFRNKPQTPVQLLPREHSVYSLYQQPKSVKPRRYIPQNCERALDAPDIVDDFYLNLLDWGSANVVAIALGRSVYLWDASSGSVSELVTVDEDMGPVTSINWAQDGLNLAVGLDNSEVQLWDSVASRKVRTLKDGHQSRVGSLAWNSHILTTGGMDGKIIDNDVRVRSHVVKTYRGHTLEVCGLKWSESGQHLASGGNENVVNVWDCSTGRSLHRFQEHTSAVKALAWCPFQSGLLATGGGGEDRTIKFWNTRTGACLNSVDTGSQVCSLIWSNKERELLSSHGFTQNQLTLWKYPSMVKMAELNGHTSRVLYMSQSPDGCTVASAAGDETLRLWNVFGIPEDAKKAAPKAVPQPFSNVNRIR >fgenesh1_pg.C_scaffold_4000668 pep chromosome:v.1.0:4:6691269:6695009:-1 gene:fgenesh1_pg.C_scaffold_4000668 transcript:fgenesh1_pg.C_scaffold_4000668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Red family protein [Source:UniProtKB/TrEMBL;Acc:D7LDI9] MTSSKSHYKEKIARRREEKLEESDNPKYRDRAKERRENQNPDYDPSELGSFHAVAPPGAVDIRAADALKLSIENSKYLGGDVEHTHLVKGLDYALLNKVRSEIVKKPDGEDDGGKTSAPKEDQRVTFRTMAAKSVYQWIVKPQTIIKSNEMFLPGRMTFVYDMVHVSQENFRKEGGYTHDIPTTLYRSKADCPMPEELVTVNVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKEKDGKGKMSTIANEYDEDNNQSKVENGSSVNLSEREVLPPPPPLPPGINHLDLSTKQEEPPVARTDDDDIFVGEGVDYTVPGKDVTQSPISEDMEESPRDKEKVPYFAEPAYGPVQPSAGQEWQDMSAYGAMAPGYPGEWQDYQYAEEIGYQEQYLQPGMEGYEVQLETGVLLDPQLMSQEEKDRGLGSVFKRDDERLQQLRESDAREKDPTFVSESYSECYPGYQEYNHEIVGSDEEADLSKMDMGGKAKGGLHRWDFETEEEWEKYNEQKEAMPKAAFQFGVKMQDGRKTRKQNRDRDQKLNNELHQINKILTRKKMEKEGGDVASLDAVEVQTPKRSKH >fgenesh1_pg.C_scaffold_4000679 pep chromosome:v.1.0:4:7100084:7103922:-1 gene:fgenesh1_pg.C_scaffold_4000679 transcript:fgenesh1_pg.C_scaffold_4000679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:D7LE66] MDSPNSPLQPPTYGNLITILSIDGGGIRGIIPATILSFLESELQKLDGEGARLADYFDVIAGTSTGGLVTAMLTAPNKEGRPLFAANEIKDFYLEHCPKIFPQDQFPFSAAKNLLKSLTGPKYDGHYLHQLIKEKLGDTRLDQTLTNVVIPSFDIKHLQPTIFTTYEVKTKPFKNALLADISISTSAAPTYLPAHHFQTQDSAGNVKEFHLIDGGVAANNPALLAIGEVTKEITKGKTDFFPIKANDYGRFHVLSLGTGDRKVDEKFDARECAGWGMLSWLTHNNSTPIIDAFTQASSDMVDFHLSTVFQALHSEANYIRIQDDTLTGDACSVDIATEENLSNLVKTGEKLLKKPVSRVNLETGRNEDANETTNEKALIMLAGILSEEKRLRDIRSPHGKPSQTRLNKTSVCLYNSFETKEHMSK >fgenesh1_pg.C_scaffold_4000688 pep chromosome:v.1.0:4:9824929:9825411:1 gene:fgenesh1_pg.C_scaffold_4000688 transcript:fgenesh1_pg.C_scaffold_4000688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE85] MANTNQSWKTSHKAKEILSIQTSTHENDTHREDRGFRRVGVSCPQGEQPDTKKPGTLADTSRNVVVRLRNLRKNESTQGRETTPWHSQGKYARPPENTRMKEIQNRSTNKILLRRQRCETLGPEKESLHLGPSPSTCSRGREQEIPATRNRPLRTVDLRH >fgenesh1_pg.C_scaffold_4000705 pep chromosome:v.1.0:4:10045231:10045760:-1 gene:fgenesh1_pg.C_scaffold_4000705 transcript:fgenesh1_pg.C_scaffold_4000705 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLGSTSKTSATTKEFPKLLFGHGKEPEVEKINNSCRLSILRKIKDALPLEYKKVKSDPRFAQVFAIYENGLHYSGRLISKLLYKLSLLRSKNDSSYPFSNSSNASPNLLKLFLNQ >fgenesh1_pg.C_scaffold_4000717 pep chromosome:v.1.0:4:10186845:10187963:-1 gene:fgenesh1_pg.C_scaffold_4000717 transcript:fgenesh1_pg.C_scaffold_4000717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LET5] MEHMKVRGNGIDIHVAIQGPSDGPKVLLLHGFPELCPDLRGYGDSDAPAEISSYTCFNIVGDLVAVISALTEDKEKVFVVGHDWGALIAWYLCLFRPDKVKALINLSVPFLRPTDPSTKPVERLRAFYGDDYYVCRFQEVGVIEAEIAEVGTERVMKRLLTYRTPGPVIIPKDKSFWGSKGETIPLPSWLTEEDVAYFVSKFEEKGFSGPVNYYRNFNRNNELLGPWVRCKIQVPTKFVIGELDLVYAMPGVKEYIHGPKFKEDVPFLEEPVVMEGVAHFINQEKPQEILQIILDFISKF >fgenesh1_pg.C_scaffold_4000735 pep chromosome:v.1.0:4:10363143:10364006:-1 gene:fgenesh1_pg.C_scaffold_4000735 transcript:fgenesh1_pg.C_scaffold_4000735 gene_biotype:protein_coding transcript_biotype:protein_coding MDVELYLNEKTNKVNEKMIKSCQKSKEYVTNELMMQCGRTINALNLSEIYTLLSFSRDTIISLRKKLDFMQFSPLRDLPVLPFETQVEQFKITTNDAFLGGDQDDERAGNTNEATKMNNIDSLRKNKSYYLIEQWFPTSKPPKPVIYQQIGYENPNRRGYYPYHKSSSNGNPNLETMSVCPQVMTFKDFVGSASQPLQHQNMKNNPIVGMNQPRKYPFDFMSHELEIQRERGNINNSQFCRTNNTTTTNVGLPQEAHPNEITAGESCTDATTFNINGNPNLEMMSEK >fgenesh1_pg.C_scaffold_4000737 pep chromosome:v.1.0:4:10381506:10382386:1 gene:fgenesh1_pg.C_scaffold_4000737 transcript:fgenesh1_pg.C_scaffold_4000737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFD8] MGLFLAMRVDIHEMKLYVVPMPLNMTLEIDGFKVVPIMDEFSLAEAFIHIWGICDDVSSIETLQNNFPSGTGEWLSSECDEPECSSEERGSQADTQIHATGLRDVSPPRGEGVVMANFNTNHTVVPLVASMLCLLDIPAHTFVWDVALVVDNTDPNGNRSNEM >fgenesh1_pg.C_scaffold_4000752 pep chromosome:v.1.0:4:10498432:10499038:1 gene:fgenesh1_pg.C_scaffold_4000752 transcript:fgenesh1_pg.C_scaffold_4000752 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYHKYLLINYPEPNIRIKTAVLRFGFVQFLNMLLDPEFTTMAGRRLRDAITSFLISLDSKEFGNNMHGASTLYGPHTPTAYTQEFKKLATALVNGLTVNATFWSGCPRNYSMKEGSFAVVETLREGGKWVPVYDDDDFSLKLKWSRPAKLRLSGGYRNLR >fgenesh1_pg.C_scaffold_4000754 pep chromosome:v.1.0:4:10513710:10514845:-1 gene:fgenesh1_pg.C_scaffold_4000754 transcript:fgenesh1_pg.C_scaffold_4000754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFF7] MSEPQDKKQQESSQELSARTIRNMKNKARKKCNKLAAKQEVASKENVPQNHLDDGYWDEDGASLRDGAAWEEEEEEEDNIEYNEAFARCTLEDWRHPKIVHEMDFISTQSIDENVPKNFPLEEPSILTETGRRNLKKKSEPTQIESGDEEGQGSDEKGQESDEKGPQLLAKMMQEMDGKLQGTVWELQNHSEINIENAVSDLKEWSLNNFNSTRTSLSGAISDLKILCQNKFSSLHSKIHVIEEKVSSSLAAAGTTYSWSVRLSHNSTNLKMC >fgenesh1_pg.C_scaffold_4000755 pep chromosome:v.1.0:4:10524287:10526667:-1 gene:fgenesh1_pg.C_scaffold_4000755 transcript:fgenesh1_pg.C_scaffold_4000755 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLCVFSCFFTAGNTETASSDNDNVSDEDGSGESNVSNNVAVNSVFRRILGDISNSPRNTSGQSPSDQRTPLSSTAIDNLNQRSTPYHNRNAKRPRNISPISCTTRGSGSSIQNNQFYDDLLHSHQSYVEDNGNSSDTDEDADFSNYEASSQGDYEDNNQEDFFFSSEEDYSSNASSDEDDRVDDVSQITDDIIYQAKDKFDILTMFEKAFGKPNPLPTNRQNRKSGTIYILTQS >fgenesh1_pg.C_scaffold_4000762 pep chromosome:v.1.0:4:10623089:10625060:-1 gene:fgenesh1_pg.C_scaffold_4000762 transcript:fgenesh1_pg.C_scaffold_4000762 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRGKPILAFGGGRTLRPGDSVETAEERIVREKQTKKFLIAYKESIGLNIDPKLKLECEKAIDEGNSLMDSGRLKEALPYYEKSELHGLAALQWSICQDSLRKRMYEKLLSHPNPRVSKKARQLMFSFQAMEMLKVKGSSFMEGNTGYQDYFEAFDKDKTNYKAQEEKEGEAMGICDSFCVSDSNGLHFVAALRGNMH >fgenesh1_pg.C_scaffold_4000780 pep chromosome:v.1.0:4:10878612:10881173:-1 gene:fgenesh1_pg.C_scaffold_4000780 transcript:fgenesh1_pg.C_scaffold_4000780 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVTEATDYLCINSLVDLCCQTIADLITACKTPSEIRTKFNIISSDFTPAEQDYVCKKNQWAFESKDVAFTVSTYARFLFRRSRIRGGKDPDSFPDHLIEDVFTRMPAKSIARCCCVSKAWASIISCPSFTTLFSSRVSPQLLFACSQKVTNSDYSVDGKLFFLSSPLPQTLEGFSSTLPVNHQTDLSLLRGEEETNVSVNGLVLGVQEKPFTDNKYTMCECVIHNPSTSKSITLPTLFPARRRQFENTRRALGTQCYFGYDPVGKKYKVLSMTTMAPDGDGNALSRRHQCLTLRGGDDLLWRSIHCGIEHFPIDHDPICISGHIFYLAAQMINAEISMVVDFDVNSEKFTSIKYFDKTLSMTRWESTYKDMATLFNFNGKLASHVTLEHMKSFELWLLDDPTNHRWSRQFHQFPDVSLNCDPYIMCFAGVTHGNEAVWVPFTVPDVPPLSVLFYNLERKTVKSIQFRSSSLFEGNRFLTSLNHIECMRNPWEDSKGVAVLKSSEGVNGTIVFTQEGEECVTSVTVTVSGLKPGVHCIRVHNVDLKEFHVEDDGTANFTITDACQIALTGPNSISGRSVVILAYPDDLEKGSHEPSKATGEADGLVVANGGIVLQG >fgenesh1_pg.C_scaffold_4000782 pep chromosome:v.1.0:4:10929268:10930330:1 gene:fgenesh1_pg.C_scaffold_4000782 transcript:fgenesh1_pg.C_scaffold_4000782 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNSPHDITSDVDQPDVCVDFADLGPGMVTTRVKVRIIRRFVCPAYGARFVEFVLEDPKGQKIHAVIGGDVAQRFSSILIEGNCISDFVVRMALGRFRPSSHRFRLGSNALTSVNLIRPFSQSDNFHFAKFSDIKEGHLNPCFCVDLIGRLLVTFDFRRSESNRVNHRFFFQMKDRSGVRLIFRLPDVFVDSFMNERKKWIGDFQILIVRFAKLEVVEGNVTATTACTCTQFFFDHECPEFLVMRRFFTRK >fgenesh1_pg.C_scaffold_4000785 pep chromosome:v.1.0:4:10936779:10937964:1 gene:fgenesh1_pg.C_scaffold_4000785 transcript:fgenesh1_pg.C_scaffold_4000785 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYPLSKLNPDTSEWRIRAKVLAIWQEYYDHYSTVDVVLADDKGGKIHGIIPMELMPQFSSRIVEKRWIVITDFILRPVVDALKPVAHRFELKRSGDGFYDFVDFGRILNGAHDTSICVDIIGKAINVSKITSFGCHVYEDQVEHIVFDLQDTRHVDMLRGALFETAVESFDTGCKKNEISLLDQARSGCEETED >fgenesh1_pg.C_scaffold_4000786 pep chromosome:v.1.0:4:10938505:10939909:1 gene:fgenesh1_pg.C_scaffold_4000786 transcript:fgenesh1_pg.C_scaffold_4000786 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSKTYDSVWRLNPSKYEWVVETKVLCSWTRRLENSGRRLEFVLADREGNKIQCSLWGEVYDKFVSVIVSGSWFRIKDFKVVHQTGDCRATLHRYKMILLKNTTIVKIPGIDNNQFFDFVDFGSILDRRYSDDFLVVIPDYDYLLDRSKRYNEGRLVVENKEPTRSLKHSNEDVYGFSSTKRFRPLTGGYKELLEEVGGINDQGHGRLGSEFGEDDEEDDDEEEEEDTEGDEEVEEDVDADEGEGEYEEEETYGEFGYDGDDSIYRTVSFYLRDRRNAMVRCRLFGKVALTFYDTFKEQADGAVICVIRWGLIRCYGGDVAIHATDCTRIEINPDIRGVECFDEL >fgenesh1_pg.C_scaffold_4000790 pep chromosome:v.1.0:4:10953398:10957430:1 gene:fgenesh1_pg.C_scaffold_4000790 transcript:fgenesh1_pg.C_scaffold_4000790 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRCHGWPFDVMTYNRNMFTISSLNPLIHEWSTCVKILHVWHELDDVSNALNLILMDNQGTKIRAVIRESLVTRFSPLLIEGLWMILRKFSLIPDVDLVRTTPHRFKIQFSPDTCVEYLNYLACDYDYFNFARFRDIRMGISNPYICVDLVGKVDNVNDIQLVQMVGSSKDIFVVYFDLIDTEHTRLSIRLTGETAVRFHRQWKVNTDDVVICNIRFAKIVATSNRMWHCTNIGCSKIMVDAPLPGVVELKEWWADYCGSPRTGGSGCGDGVLSQFLDVTSDSSCLPDVEENVKEYLDDVSAAVDLSSVLHVEASAAVLSFDEFKDVLSDLGALSADKDYVLAVLYLESRTQLKSLYLRYLLSRYLQEAKSLDPLMQTKKNYADLRMKYFGDGRFVAAGMKPYPSDGLECDEILMNTNEDTLECINDIVISSWRLCPAYLRLRFAESLILYKSINVHTVWDACYRVLSGDVLAEQKIARNNPGLELSDVQLEWYGFRVMRKVMGDLGFHHAGFEGVRLGIVRRLLTYKCDDMSM >fgenesh1_pg.C_scaffold_4000795 pep chromosome:v.1.0:4:10999809:11001806:1 gene:fgenesh1_pg.C_scaffold_4000795 transcript:fgenesh1_pg.C_scaffold_4000795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LG69] MRKGGGRKERGRLSSDNGRRGSSGCDNSGNGGGDDGGGGGDGGVMVPITVAMVMLITVVLGGAITEVAVVVVAMAMVMTVAVAEVAVTSSGGGDNDGGGDSVNGLRLIVKLFLAIISKREFPGS >fgenesh1_pg.C_scaffold_4000806 pep chromosome:v.1.0:4:11123922:11131646:-1 gene:fgenesh1_pg.C_scaffold_4000806 transcript:fgenesh1_pg.C_scaffold_4000806 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKQVIIEGFKSYKEQVATEDFSNKVNCVVGANGSGKSNFFHAIRFVLSDIFQNLRSEDRHALLHEGAGHQVVSAFVEIVFDNSDNRFPVDKEEIRLRRTVGLKKDDYFLDGKHITKGEVMNLLESAGFSRANPYYVVQQGKIASLTLMKDIERLDLLKEIGGTRVYEERRRESLKIMQETGKLSVCRNKRKQIIEVVHYLDERLRELDEEKEELRKYQQLDKQRKSLEYTIYEKELHDAREKLEQVEVARTKASEESTRMYDRVEKAQDDSKSLDESLKELTKELQMLYKEKETVEVQQTEAIEKKTKLELDVKDFQDRITGNFQSKNDALEQLITVEREMKDSERELEAINPLYASYLDKEKQASKRINELEKQLSILYQKQGRATQFSSKAARDKWLRKEIEDLKRVLDSNMVQEHKLQDEILRLKTDLIERDEHIKKHEVKIGELESHISKSHELFNTKKRERDEEQRKRKEKWGEESQLSSEIDKLKTELERAKKNLDHATPGDVRRGLNSIRRICSEYRINGVFGPLVELVDCDEKFFTAVEVTAGNSLFNVVVENDDISTKIIRHLNSLKGGRVTFLPLNRIKAPRVNYPKDSDAIPLLRKLKFDSKFEPALGQVFGRTVVCRDLNVATRVAKNDDLDCITMEDQQITQLVTEQQRLEADWTLCKLQVEQLKQEIANANKQKDAIHKAIENKKKSLGDIGTRIDQVRSSMSMKEAEMGTELVDHLTPEERVQLSQLNPQIKDLKEKKFAYQADRIERETRKAELEANIATNLKRRITELKATIASIDDDSLPSSAGIKEQELDDAKLLVNEAAKELKSVCDSIDEKTKQVKKIKDEKAKLKVSDKSFLFSQTLEDDCKGTLQDLDKKLEELFSLRNTLLAKQDEYTKKIRGLGPLSSDAFDTYKRKNIKELQKMLHRCSEQLQQFSHVNKKALDQYVNFTEQREELQNRQAELDAGDEKIKELITVLDQRKDESIERTFKGVAHHFKDVFSELVQGGYGNLDLDDEDDDDDDGGQAVAEGRIEKYIGVKVKAFRLIIVGTCLRCDTAPFYLFDEIDAALDPQYRTAVGNLIRRLADDYGTQFITTTFRPELVRVADKIYGVFHKNRVSIVNVITKDQALDFIEKDQSHDT >fgenesh1_pg.C_scaffold_4000810 pep chromosome:v.1.0:4:11188536:11191454:-1 gene:fgenesh1_pg.C_scaffold_4000810 transcript:fgenesh1_pg.C_scaffold_4000810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LG89] MSSRSDLKIKRVSFIIFLLCVLVELCHGGITSEYVRASDLPDDMPLDSDVFAFPPGPNSPQQVHLTQGNHEGNGVIISWVTPVKPGSNTVHYWSENEKSKKQAEGTVNTYRFFNYTSGYIHHCLINDLKFDTKYYYEIGSGRWSRRFWFFTPPKPGPDVPYTFGLIGDLGQTYDSNSTLSHYEMNPGKGQAVLFVGDLSYADRYPNHDNNRWDTWGRFVERSVAYQPWILTAGNHEIDFVPDIGEIEPFKPFMNRYHTPYKASGSISPLWYSIKRASAYIIVMSCYSSYGKYTPQYKWLEKELQGVNRTETPWLIVLVHCPLYSSYVHHYMEGETLRVMYEQWFVKYKVDVVFAGHVHAYERSERVSNIAYNIVNGLCEPIPDESAPVYITIGDGGNSEGLVTDMMQPQPKYSAFREPSFGHGLLEIKNRTHAYFSWNRNQDGNSVAADSVWLFNRFWRGQKKTWLDAF >fgenesh1_pg.C_scaffold_4000822 pep chromosome:v.1.0:4:11364653:11367414:1 gene:fgenesh1_pg.C_scaffold_4000822 transcript:fgenesh1_pg.C_scaffold_4000822 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVREIVREGRRVAKEDPRRVVHSFKVGLVLALVSSFYYYQPLYDSFGVNAMWAVMTVVVVFEFSVGATLGKGLNRVAATLFAGGLGIGAHHLASMSGPTGEPILLAIFVFVQAALSTFVRFFPRVKARYDYSLLIFILTFALISVSGFREEQVVMLTHKRISTVIIGGLSCVLISIFVCPVWAGQDLHSLLASNFEKLSFFLLDFGDKYCEVVENDDTKEVDKRKKDFDNYKSVLNSKSNEESLANFAKWEPGHGQFRFRHPWKQYLAVGELIRQCAYRIHTLNSSYLNADNKVSIDIKKKLGEPLRRMSLESGKAMKEMSISLKKMTKPSSSDLHVQNARSASKSLTNLLNSGILKEVEPLELVSLLTAISLLIDIINLTEKILEALHELASAAKFKNKIEQPLFSEKQKAKSFVSLRSIKCHDEHVVTIIEDDGNNHDTSKNDNRSKEVSIHEKHEDGDTHVHARCVSCGHTIVCDVVECR >fgenesh1_pg.C_scaffold_4000835 pep chromosome:v.1.0:4:11469725:11471401:-1 gene:fgenesh1_pg.C_scaffold_4000835 transcript:fgenesh1_pg.C_scaffold_4000835 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGATGLSSNGATMPPKATGVSSNGATGVSSNGTSSSNSVTLEALMNAPARRDSPRLYPKKLNGVLWFKINPSINKNIRTTWQSNFMGRWWNWTTVPPEKKDELWQDFLQNYYRDKVHHNLVYQKWEIEVVKSGKDEPIPFTNLLHATHMHKDGTYVDYRAKSIVEEVELTVSQLPASDGSPNTTSQTANPSHTHLINQDVGPNETSYASLARNLDTEMRLSSLETTLAAVKDDMAMLKNDVMMLKDDLHKVGDCTTAMQASQNVILRSLGIDPLTQQPIRPTITPVTVSHSVPSPYPLKPHDNNED >fgenesh1_pg.C_scaffold_4000838 pep chromosome:v.1.0:4:11489942:11503439:1 gene:fgenesh1_pg.C_scaffold_4000838 transcript:fgenesh1_pg.C_scaffold_4000838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LGX0] MRVPLKDFLRFLVLILSLSGASVAADATVKQNLNRYETDSGHTHPPPIYGAPPSYPTPPTPIYSPPIYPPPIQKPPTPTYSPPIYPPPIQKPPTPIYSPPVKPPPVHKPPTPTYSPPIKPPPVHKPPTPIYSPPIKPPPVHKPPTPIYSPPVKPPPVHKPPTPTYSPPIKPPPVHKPPTPIYSPPIKPPPVHKPPTPIYSPPVKPPPVHKPPTPTYIHKPPAPTYSPPIKLPPVQKPPTPSYSPPIKPPPVKPPTPTYSPPIKPPPVQKPPTPTYSPPVKPPPVQKPPTPIYSPPVKPPPVQKPPTPIYSPPVKPPPVQKPPTPTYSPPIKPPPVKPPTPTYSPPVKPPPIHKPPTPTYSPPIKPPPVQKPPTPIYSPPIKPPPVHKPPTPTYSPPIKPPPVHKPPTPIYSPPIKPPPVHKPPTPSYSPPVKPPPVHKPPTPTYSPPIKPPPIQKPPTPTYSPPIKPPPVQKPPTPTYSPPVKPPPVQKPPTPTYSPPIKPPPVQVPPTPTTPSPPQGGYGTPPPYAYLLHPIDISN >fgenesh1_pg.C_scaffold_4000845 pep chromosome:v.1.0:4:11556103:11556956:-1 gene:fgenesh1_pg.C_scaffold_4000845 transcript:fgenesh1_pg.C_scaffold_4000845 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSEEFSEVSDLSKWFSSYVYESPMLDTSDGLEFLGESKGTKEMELVSSQAKDMSQSQVDFSDIMNLVVDDSDIDEDCCIWGKSKRKGSSIFREPKRKEETTTTCEAELTSLRNRVQYLENEVPPNTNSLKQPHKIRVMALNIIAS >fgenesh1_pg.C_scaffold_4000846 pep chromosome:v.1.0:4:11560540:11561997:1 gene:fgenesh1_pg.C_scaffold_4000846 transcript:fgenesh1_pg.C_scaffold_4000846 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENERQAMDIGRPTNICHVAHVTYDRFDGFLGLPSEFEPDVPKKPPSASATVFGVSTESMQLSYDSRGNCVPTILTLLQSRLYDQGGLQVEGIFRITGDNSEEEFIREELNKGVLPEGIDIHCLAGLIKAWFRELPRGVLDSLPSQQVMQCESEEDFVKVVRLLPQTEASLLNWAINLMADFVEFEDVNKMTSRNLALVFAPNMSQMADPLTALMYAVQVMNLLRNLTDKTLRERKVASSHVNPSDDRSEAEDDDVGEYNQEEEMYVLEEEGEDVDDLDKEDEIIEESGRITLLADEHKPSSTVNANDQKKKET >fgenesh1_pg.C_scaffold_4000859 pep chromosome:v.1.0:4:11668678:11669867:-1 gene:fgenesh1_pg.C_scaffold_4000859 transcript:fgenesh1_pg.C_scaffold_4000859 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRANRVVLHNPASRSSNWKRKVKQSLNQSSVMISSTVGGHQHKFGGPNQFSEKICYPRILLISTHRNSWPVVVKEFLEKTLRLTFEEGVQILKEAGVEIDPLGDLNTESQRKLDQLVLEK >fgenesh1_pg.C_scaffold_4000872 pep chromosome:v.1.0:4:11796154:11796686:-1 gene:fgenesh1_pg.C_scaffold_4000872 transcript:fgenesh1_pg.C_scaffold_4000872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHN4] MSKKTAPNNENIEFYSTPPKRWRRNEAINTSRSIPRSNTPVSNGSVPLRSAFNTVLQDITNLSSTPVIPTVMSEDPPTVRSALKKTIVRGTPRGSHLTSVSPISILATSVSTPLGLRDMTLGTGQTPLMPPPSETRPRQG >fgenesh1_pg.C_scaffold_4000919 pep chromosome:v.1.0:4:12223853:12227782:1 gene:fgenesh1_pg.C_scaffold_4000919 transcript:fgenesh1_pg.C_scaffold_4000919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIH1] MVALRFFFSSSLLILLLLIRLTSTFAIQEATIEDIRLAFKEKRLTSKQLVELYLEAISKLNPILHAVIETNPDALILNTYFKNPQIENSLIISSPVNFRQRSVDVLDQIYLSNNWFSGEIPPAIGNFPNLQTLFLDRNRFRGNLPREIFELKHLSKINTSANNITGSIPTRIGNMTSLTTLDLSFKDLSGRVPLGGQFMVSRFVGISGLMDFTTFLKQSDKACPNIDGDIGVDGDSREGDECGPSRDGEIDVDGDNKEDDECGPTSEKKMQKY >fgenesh1_pg.C_scaffold_4000932 pep chromosome:v.1.0:4:12341304:12347065:1 gene:fgenesh1_pg.C_scaffold_4000932 transcript:fgenesh1_pg.C_scaffold_4000932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LIZ2] MWAEQNKPKQCSTYEKIFKSNLQHEELQEVEFCLWKLHYKHIDEFRKGLKTDDHVNHMKAFKLFLSKAAEFYQSLISKVRGYYRRLSEESGEQKSRFLCHRFYICLGDLQRYQEQYLKTHEHPNWSTAATYYLEAAKSWPDSGNPHNQLAVLATYVGDEFLALYHCVRSLAVKEPFPGASNNLLLLFEKNRSSPLKSLSTDAQFNFSNPLERNFTVKNVREARNLIAEVDLWPLEVRTTSFFFLKSSFDEFGCAFASTIREVDAAFAADDRHLEVMLESYQFMDTSRKGPYKILQLVAFFIFIFHNLAEVNGPDNVKEEVKLTNLALTMVFIIMVRVVERCLKTSPLDSCPLLPALLVFLDYLPFLLDKVEEEECRFDEKNETAISYFFGKLVDFLNRIKVKGKNCSAKTLVALWEEHELKSLAPLAPIHVLLDFSSNMDLRESFDRGKEIRLQRIINSAIGITSRPKKGSQKWLFFDKQRSHFYTTLGELQCKEELFYGNGEGSNRKCVTIGPVEIIPCENERSVPVEEEEVILLKQLVRSQSAPIYSSGIATKPLSSDSITSGNQTTTSDESLRHTLSLIVNHSSQDTESESFSFTQGLEDTNKENGRLGLSKPNGLGPIDETGLVSSFDSLSISNSTEPSSYSPPTPSAPLLPEDASWFHNDAGNNKAESFYDHRRYMELSGFMKPYTNLPVVEISSSEWLRRYRESQNLGPAYSYQAQGTNDLRNFMAHGSSKVSLLARYGTPNEQPMISSENPTFYPQLYMEDHESRGAKLCNGEQCTTNAFGFSDDPKPFLRYLREKDWLNENGQRAYMNN >fgenesh1_pg.C_scaffold_4000967 pep chromosome:v.1.0:4:12656273:12658195:1 gene:fgenesh1_pg.C_scaffold_4000967 transcript:fgenesh1_pg.C_scaffold_4000967 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMITASAEMKKITSLDDDKLWAGFDWEETECYPQRGPLIAKELLSVFPNSRYMIIGIRSKLQEVIALAKRRRFTSLILTHTNSLGHDILIIISLLNGASAVFRVIDFIPHLNCANPPSRPRYPELHMKSFNSQASVGTARMIQALFPKVPSSGGRPVAWPSHMALVDADGENDTNDDFGGNENNEDFADDEFDVKASNLTILKFTLHLESDQGYYKEGHMPLK >fgenesh1_pg.C_scaffold_4000989 pep chromosome:v.1.0:4:12893245:12895158:-1 gene:fgenesh1_pg.C_scaffold_4000989 transcript:fgenesh1_pg.C_scaffold_4000989 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLFKPSSTLGRRLKPRPYQSFSESLLMDSIEAAETLTRKWISPNLSSSSSCSLSSIFSTENRVEGRRFIEVINSLQYAIQGVVLVNPESPKLTRAHNLVTIAMKQLEKEFYRILKSNRRNLDPESVRSSPSFNARNKVSIYSQVPKSEEADVMTDLKMISDCMISSGYENECIKIYKKIRGSIMVEALSNLGFENLSFGKIQKLDWDSMEKNIKKWLEATKVLIANLFEGERILCDHVFSPSVSVAESCFTEITLDSALTLFIFPVSVARCKKTVEKIFLTLDIYQTISQLMPQIEEIFSYDSTSAVRLQAADSLKNLGEEINSMVAEFEASITKESSKSPIPGGGVHQLTRYVMNFIVFLADYHECLAGVLTESTLPLPEDYFGNNDEDNKDGETRSSSSSTVTTRIAWLILVLLCKIDTKSRMYNDMALSYLFLANNLHYVISKVRTSNLRVVLGDEWVTNHEGKVTQYLEKYEKIAWGEVIMSLSDSNEEMLKENVAKERLKRFNDAFEEAFQKQSEWVAPDSKLRNDLKDSVTKKLTSVATSFYAKYHVENWEEVRFAPEDLGNYLSDLFLGTGRSCIIVPSLKPSDSGRSLKNSESGR >fgenesh1_pg.C_scaffold_4000991 pep chromosome:v.1.0:4:12907895:12909595:-1 gene:fgenesh1_pg.C_scaffold_4000991 transcript:fgenesh1_pg.C_scaffold_4000991 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXO70H8 [Source:UniProtKB/TrEMBL;Acc:D7LJN5] MVLFALSTTSSSSSKLKQHSHQSFLESLMEDSLEDAESTINQWISPELVDASSFCFISSLFSTENREEAKRFINTVNNLHSGMIRLISVNPTSTKLVKAENLMRISMNHLSKEFYRILKSNRRYLDPESVSIRSSKASDSDSDVMKDLKMIADCMISSGYSKECFKIYKKIRKSIIVEAINQLGFENLTFSQIQKLKWEVMEKKIRKWLRVTTRTVNTLFSGERILSDHVFSSSSSSIRESAFAEITLQSALALFTFPEKMAKCRKSPEKIFLTLDVYQTIIDLLPKIDELFSSDSTSTVRSQIALSLANLREGVISMIDEFESSISKESSKSLISGGGIHQLTRYVMNFIAFLADYSDTLSDIISKPLLPSPEEESSGDSSPVKSRIAWLILFLLCKIDAKSRLYNDVALSYLFLINNVNYVVVKVRSSNLRMVLSEDWVKKHEGKVKKYAGKFEEIVWGEVMTSLSDDVTTAEERIRRFSDGFEEAYKRQTGWVVPDSKLRDEIKRSVGMMIIPRYSGFCERYRVGLLENVGFAPEDIGNYLSDLYFGSRGSGSSSFHSSGSSV >fgenesh1_pg.C_scaffold_4001005 pep chromosome:v.1.0:4:13073820:13075249:1 gene:fgenesh1_pg.C_scaffold_4001005 transcript:fgenesh1_pg.C_scaffold_4001005 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTTSHMSKSTAAASLKRLKMIIRPTEGDWTAVERRFDMMTKNTGGLLTCSKFCECIGINSKEFALELFYALAFGKTKIADKDDDGRLTKDEVREFISLIASANNMSTIKNKADEYAAQIMDEFDPDEFEYITELETQCVTSTEETEKPTMLKRWNKVIEAISSSSLTIIDVEIVKQDLR >fgenesh1_pg.C_scaffold_4001011 pep chromosome:v.1.0:4:13131873:13132955:1 gene:fgenesh1_pg.C_scaffold_4001011 transcript:fgenesh1_pg.C_scaffold_4001011 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFVDVSDLVPTIPKKRIRVKVLRRYDGYYDTCRTDQQGSSCWIFRKTHIGQMVCNKATPHLFEIPLKTSTSIRKWRALKPKHFFAFVRFEDVLDGLVNPVFGVDLIGRVMSVGNYDEDVSPDITWNQVYLELETENVDYQANIHVNSLMVGRCVDNIICVLRFAKLEMAQDQWRATTAGACTKLLFNPICPEEAAMRTYFAGRDDGL >fgenesh1_pg.C_scaffold_4001012 pep chromosome:v.1.0:4:13133234:13133928:1 gene:fgenesh1_pg.C_scaffold_4001012 transcript:fgenesh1_pg.C_scaffold_4001012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LK95] MPPLTKVDDLIPYDRNCVICVEVLVFWFENFGRPNQKLEMILADLEETKIEATITGGAFDLDNLTGLREDTWYFLSDFLVLYLPDLLSNTSNMFRIWFHRPTKMTSTFERSPTNKSNTLNQDTVGFIVYIDRLIRIPYVFGQYERDSGCNCVYFILKNHR >fgenesh1_pg.C_scaffold_4001026 pep chromosome:v.1.0:4:13317486:13320832:-1 gene:fgenesh1_pg.C_scaffold_4001026 transcript:fgenesh1_pg.C_scaffold_4001026 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFSKLSRSISRSRGFLYGGGVRSAVLNEARLLTSPGLEAASVNQVEGGLGFIRRHFASLASRKGLVNYDLIGVFANPKIRRFFSDEAPKKKNYENYFPKDTKQEPKSDQKSEHKEGSEKNENENVGDMFMNRFQNLLIPLLALAVFFSSFSFGSGDQQQANVVLLISFQEFKNKLLEPGLVDHIDVSNKSVAKVYVRSSPKDQQTTDVVHGNGNGIPAKRTGGQYKYYFNIGSVDSFEEKLEEAQEALGVDRHEYVPVTYVSEMVWYQEFMRFAPTLLLLGTLIYGARRMQGGLGVGGTGGKNGRGIFNIGKATITRADKHSKNKIYFKDVAGCDEAKQEIMEFVHFLKNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRHLFQEARQSAPSIIFIDEIDAIGRARGRGGLGGNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFQIYLKKIKLDHEPSYYSQRLAALTPGFAGADIANVCNEAALIAARHEGATVTMAHFESAIDRVIGGLEKKNRVISKLERRTVAYHESGHAVVGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPPRDDGYDFSKPYSNKTGAIIDEEVREWVAKAYERTVELVEEHKVKVAQIAELLLEKEVLHQDDLLKVLGERPFKSAEVTNYDRFKSGFEESEKDSAPTPTVEPVVDEGVPPPLEPQVIPT >fgenesh1_pg.C_scaffold_4001043 pep chromosome:v.1.0:4:13522728:13524042:1 gene:fgenesh1_pg.C_scaffold_4001043 transcript:fgenesh1_pg.C_scaffold_4001043 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFKISEEDSSGIHIRFASPSDSQLMELEQIRILKPDDDEEMESPSPSKTEEILGVVPLSCAFTRQEHCVSETKEREESIRRLSSLIFLYLIVMSVQIVGGFKANSLAVMTDAAHLLSDVAGLGVSLLAIKVSSWEANPRNSFGFKRLEVLAAFLSVQLIWLVSGVIIHEAIQRLVSRSREVNGEIMFGISAFGFFMNLVMVLWLGHNHSHHHHHHHHQHHHHQHHHKEVVAEEEDEEMNPLKGEKSSSKEMNINIQGAYLHAMADMIQSLGVMIGGGIIWVKPKWVLVDLICTLVFSAFALAATLPMLKNIFGILMERVPRDMDIEKLERGLKRIDGVKIVYDLHVWEITVGRIVLSCHILPEPGASPKEIIIGVRNFCRKSCGIYHVTVQVESE >fgenesh1_pg.C_scaffold_4001061 pep chromosome:v.1.0:4:13633343:13635288:1 gene:fgenesh1_pg.C_scaffold_4001061 transcript:fgenesh1_pg.C_scaffold_4001061 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRILRDHEADGWERSDFPIICESCLGDNPYVRMTKANYDKECKICTRPFTVFRWRPGRDARYKKTEVCQTCCKLKNVCQVCLLDLEYGLPVQVRDTALNISTHDSIPKSDVNREFFAEEHDRKTRAGLDYESSFGKIRPNDTIRMLQRTTPYYKRNRAHICSFFIRGECTRGDECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLGKAGEMGTLESPEDQSIKTLYVGGLNSRVLEQDIRDQFYAYGEIESIRILAEKACAFVTYTTREGAEKAAEELSNRLVVNGQRLKLTWGRPQVPKPDQDGSNQLGSVAHSGLLPRAVISQQQNQPPMQQYYMHPPPPQPPHQDKPFYPSMDPQRMGAVISSQDSGSSTIDNRGASSSSYSMPQHGHYSQHQPYPPPPYGGYMQPPYQQYPPYQHGPPQAAHPSPQQPVPGSRPNAPPPSSVAAPPPDSVSAVPS >fgenesh1_pg.C_scaffold_4001068 pep chromosome:v.1.0:4:13658746:13661318:-1 gene:fgenesh1_pg.C_scaffold_4001068 transcript:fgenesh1_pg.C_scaffold_4001068 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIDAKDVCFMVWKILRFSTNTIFRYVRRYPIVSGVSMFLIVLYTFLPWVFYFLLCSSPLIAFGSFYIRNHHLSTKIGDEDKRTDRGLSSVSQEGRTEKAKLKHQQSVRRNARRKVEEVGKDWDSSQASEDERGKVILTTLYGEVLPETISSDMEKFKRERTLLVAEETSFNSVVELDSLERLISVDGDESEIECSSLSSEEEEREEEERREDIGKIVVPWTEDDQKNLMDLGISEIERNKRLENLISRRRSRRFFLLAAEGSLMDMEVPRICIGRNFYDFDKGNYEIDGLLMPSSAPSVLLPRRNPFDLPYDPLEEKPNLTGDSFQQEFAENNPKDIFFCRHESFHHRIFPSESQKDSKLTSLWRNTVDGRPKPLQGSNDQAPLMKERGKGNDMEAGEVRIETDSIRNDDSDSNASLSPRERDKDFNASYQSDASGTFCKRNDRLGNSVAGLVPRGSGSSSLATERQRYMEHFGYSTRMSHMVTHSVDSDLQVEVSELGSPPTSVDGNDSDYERSLFVYESEIGKEMGFNGGESEVLLVGKDYQDLNEEARKLESKVPQSDEELKELPENSADEIKISYDSVDEHEPSERTDQEFEEPYERNDGEEMQQLAEAEASDVNHHGNSEESVTSPRSVLPDMMLHLDQTHSEVLDHTSDGQLQNVVPPAESSHYQLDERIETTEETTIETVCSDATGTFQENQEGFEVTFNDESNSAEDHRQSINPLAVELKDNETDGIN >fgenesh1_pg.C_scaffold_4001104 pep chromosome:v.1.0:4:13925945:13927462:1 gene:fgenesh1_pg.C_scaffold_4001104 transcript:fgenesh1_pg.C_scaffold_4001104 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPKKK14 [Source:UniProtKB/TrEMBL;Acc:D7LLF7] MEKQNIITNTSSSWIRGSCIGRGCFGSVSKALSKIDGGVFAVKSIDLATCLPSQAESLENEIVILRSMKSHPYIVRFLGDDVSKEGTTSFRNLHLEYSPEGDVANGGIVNETLLRRYVWCLVSALSHVHANGIVHCDVKSKNVLVFNGSSSVKLADFGSAVVFEKSTVQVSPRGSPLWMAPEVIRREYQGPESDVWSLGCTVIEMLTGKPGWEDHGFDSLSRIGFSNELPFIPAGLSELGRDFLEKCLKRDRSQRWSCDQLLQHPFLFQDHHDSFLTESSPRCVLDWVNSEFDEEEESNEWRPESLVSAMARISKLAMTEGANWESNGWTEVRDTYEESWVIKEVPVSTRVELGFNTSSESSSDDFVRQPENEESATDLASAMTCEVIVLVVENIQIYATFYTTFLGPYVIE >fgenesh1_pg.C_scaffold_4001129 pep chromosome:v.1.0:4:14068651:14071485:-1 gene:fgenesh1_pg.C_scaffold_4001129 transcript:fgenesh1_pg.C_scaffold_4001129 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCHX13 [Source:UniProtKB/TrEMBL;Acc:D7LBL4] MDLSTFGRDDINSSQLGEVHGPFMLEELVCQTQNMLTSKGIFMKSDPLKYAMPLLLLQMSVIIVTSRLIFRVLQPLKQGMISAQVLTGVVLGPSFLGHNVRYMNMFLPAGGKIIIQTLSNVGFVIHLFLLGLKIDGSIIRKAGSKAILIGTASYAFPFSLGNLTILFISKTMGLPSDVISCTSSAISLSSMTSFPVTTTVLAELNILNSELGRLATHCSMVCEICSWFVALAFNLYTRERTMTSLYAIFMIVGLLLVIYFVFRPIIVWLTQRKSKSMDKKDVVPFFPVLFLLSVASLSGEAMGVHAAFGAFWLGVSLPDGPPLGTELAAKLEMFASNLFLPCFIAISGLQTNFFEITESHEHHVVMIEIILLITYGCKFLGTAAASAYCQTQIGDALCLAFLMCCQGIIEVYTTIVWKDAQVVDTECFNLVIITILLVTGISRFLVVYLYDPSKRYKSKSKRTILNTRQHNLQLRLLLGLYNVENVPSMVNLLEATYPTRFNPISFFTLHLVELKGRAHAVLTPHHQMNKLDPNTAQSTHIVNAFQRFEQKYQGALMAQHFTAAAPYSSINNDVCTLALDKKATLIVIPFHKQYAIDGTVGQVNGPIRNINLNVLEAAPCSVAIFIDRGETEGRRSVLMTNTWHNVAMLFIGGKDDAEALALCMRMAEKPDLNVTMIHFRHKSALQDEDYSDMSEYNLICDFKSHAANKGKVHYVEEIVRDGVETTQVISSLGDSYDMVLVGRDHDLESSVLYGLTDWSECPELGVIGDMLTSPDFHFSVLVVHQQQGDSLAMDDSYKLPNVEHQKIGDTGMQPRFSAEEGFTTIDLGKH >fgenesh1_pg.C_scaffold_4001140 pep chromosome:v.1.0:4:14133835:14135477:-1 gene:fgenesh1_pg.C_scaffold_4001140 transcript:fgenesh1_pg.C_scaffold_4001140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structure-specific endonuclease subunit SLX1 homolog [Source:UniProtKB/TrEMBL;Acc:D7LBM8] MREKRGNRKALDPVGEDEVTGKEGKGFFACYLLTSLSPRHKGQTYIGFTVNPRRRIRQHNGEITSGAWRTKKKRPWEMVLCIYGFPTNVSALQKPPLQFEWAWQHPRESVAVREAAAAFKSFSGIASKIKLVYTMLNLPAWNSLNLTVNYFSSKYAHHGGKSPSLPLHMKVQVCALDDLQYFTKLYNGSQPEDEESPKDNEENEEEEEEDSSNQSQPGNADTCSTDDLYPGEKELHGRHFENAKVPVTVFDEEDRLANFTGFGLLEEETFEDEVSHITVGSIEATEKEPETVFNDRLASFTGFGLVEIVEDEVSNGTVGSTEAMEKDCRRRRNLITSTTTEVDVEVIDLMTPSPSCRDGSSMKRRRVSEFIDLTMSPNFIELL >fgenesh1_pg.C_scaffold_4001145 pep chromosome:v.1.0:4:14161470:14162143:-1 gene:fgenesh1_pg.C_scaffold_4001145 transcript:fgenesh1_pg.C_scaffold_4001145 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOFP17/OFP17 [Source:UniProtKB/TrEMBL;Acc:D7LBN3] MRVKATLINFKSKLSKSCNRFVSLFRFRVKRPVFIRPLRARHGNVKPRHQHHHPKKPICSCLCFLSTSKNHKMSKTKPRSSSFSVNDDDYSKFMHSPLTPATAKKLFTSPITTPYSIRTRKSLNSREAFEDNAVEDACRSFENYLIHLIVEEGKIDDLMDIEELLFCWKNLKSPVFIELVSRFYGELCRDLFSGE >fgenesh1_pg.C_scaffold_4001168 pep chromosome:v.1.0:4:14309629:14310183:1 gene:fgenesh1_pg.C_scaffold_4001168 transcript:fgenesh1_pg.C_scaffold_4001168 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDHQADIPNSEMVPSSHAKCSSILRVAHHCRRTYPRIAYICVHGALEESKRINPLLLDRGVPQFRFSLNCWIQRNDETGEQGQILPNTDVPYLQNFCLDYYEKTIVALITPLASNI >fgenesh1_pg.C_scaffold_4001173 pep chromosome:v.1.0:4:14342127:14344513:1 gene:fgenesh1_pg.C_scaffold_4001173 transcript:fgenesh1_pg.C_scaffold_4001173 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNVIFDQNVINGFAPVLTYAACEWFLIFLMFIDALLSYLLVWFARYCRLQMPCFLCSKLLHPLHWRFLLCRNHRSEVSSFMSCQNHGNNLADCRGMCDDCLLSFTKMTGPNPDMNRLLLGKLGYDLLSRSHFAHPRSCSCCNKPWRTRHHTQRLIRLGSRGRNSAGKPNIPAPRHLSRRGSGGSLKTMRDHMVTSGSEYVEVGSRSDGMAHVGYTELKIHSDSESEFLFSDDDAFLHITDFNVEPNEKRAHKSRRRKSFEIKKMPNHKLPDLQDNQDKNIHVEDKETVESSMHEHNLENRTRQKQPVKAKEHHDVLSELITMSEARPFLLGSPRKYEARAVAQNENEAEVSGNSSPSGGEFLSPSGENGVSREIRNQEHDDSSDFSQNIPSSAMEMEEFEPAMEQKESDHMDVSGSVANEPSSDEENGVEGDSKPLISNNMSDSLEQEQSGEEESEVNENNVAEEYFSNEEEEEVNGHTEPLTSNNESGSFAEERSSEEEDGSNIYSAAKDHSSNGEDVDNEESESMTSNNVTGVVTEEHSDKEEHGNHEETEPLTSLNISNEESLLEHSDKDSSKVTETRDTSNGSPELKHSASVESFVSISSDIEGESLVEVLKQQLEHGRKSLRDLNKELEEERNASAIATNQAMAMITRLQEEKAALHMEALQYLRMMDEQAEHDMDALERANDVLADREKEIQDLEMELEYYRVKYPDEPREEILASMGVLGNIEETSVTSPTDETSNKASTDTKLTGSPSAEN >fgenesh1_pg.C_scaffold_4001193 pep chromosome:v.1.0:4:14461874:14463664:1 gene:fgenesh1_pg.C_scaffold_4001193 transcript:fgenesh1_pg.C_scaffold_4001193 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAASTGVVMVMVIMILVLLNQTESASVNGSSQSHRKFCNIYLGSWVYDKSYPLYDSKTCPFIERQFNCKSNGRPDKEYLKYRWQPSGCNLPRWDAIMSGNVTVKDMDRLVAYEKAMMTWAKWIDQNIDPSKTKVFFQGVSPDHGRASEWSKQGGKGSCIGETKPIMGSKYWAGPHRAEMVVAKVIKTMKNPARLMDVTLMSQLRKDGHPSVYGFGGHKMPDCSHWCLSGVPDSWNQLLYSELFHS >fgenesh1_pg.C_scaffold_4001200 pep chromosome:v.1.0:4:14505722:14515307:-1 gene:fgenesh1_pg.C_scaffold_4001200 transcript:fgenesh1_pg.C_scaffold_4001200 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWTELCDVIVENPNKLWENLIWICRECPQPKTARVSRSQLNAVLSVARIISSNVDTAENHGKSVVLDFFQTLDKSFHRSFWPYSFTLKSISAFYCSFLGYVSCLSRQFGTKVSELRWDEIMSSGDSDLDPEICRAFLVAISQNFPSIQPSDGDRLTTAILLHQFTQKEVAYLEHDTIESLEQQEIAFKLITHILGKVNVDSKFHLHVRSIAKKHLQSMPAFLKQEPVLKSIVNAKMYVYQAAAKMEIESLLSLETDGRKKIVLEALTLLLDAADACLKSVWRKMKTCEELFGSLLSGIAKLAVATGGQPLRLFLFRLKPMVLAVVCVQSVRNQGIIYESVCKLSCEIIESVWDKDRALLDTFILGLASIIREKNDYEDQVGREKEVPPVQLLADICVAVKKSEVADMIFPFLIESLEEGDASTPLSLQLQLLDAVSRIATLGVETSYRQTVALMIRGYYSQISTGGSVERKTSAPKSRTEYVETLAAGFLTIASGLMNTKLRADYLHRLLSLCSDVGLTSESRSKGNGADLLGPLLPAVAEICSDVDPTSNVEPSLLKLFRNLWFYIALFGLAPPILKPRPVGGPYMWNTQWSLAVQRISQGTPPLVVSSVKWLEDELELNALHNSDSSRGTGNKIVASTQRNALSIALGGQVDVSTMNTISGVNATYLLAVALLEIIRFTSNGGILNGGLSVSDSRSAFSCVFEYLKPPNLSPAVSQCLTAIVHRAFEAAVSWLEDRISLTGKDASIKESTMYAHACFLIKNMSQRDEHIRHISVNLLIQIRDKFPQVLWSSSCLDSLLFSVPHNTPSVAANDPAWTAAVRSLYQKVVREWIIISLSYAPCTSQGLLQDKLCKANTLQRTQTTTDVVSLLTEIKVGTEIWSGIKTANIPAVMAAAVSASGAKLKASEAFNLDILGTSVVNAMLKCNHIGHIAGLVRLDNSFGNDTLISNSVRRLQQFVNTAEKGGEVDKLQFRETCSHATSLLLSNLQAGEPKTDIKAGFSRLLRLLCWCPAYILTSDAMETGVFIWTWLVSAAPQLGSIVLSELVDAWTWTIDSKRGLFASDVRYYGPAAKLRPQISPGEPEGPPDSDPVDQIVAHRLWLGFLIDRFEVVRHNSVEQLLLFGRLFQRSTNLDWCFTHHPAAAGTFFSLMLLGLKFCSCQRKGSMHKFRRGLELLEDRIYRTSLGWFSRQPEWYDVGIPNFCQSEAQSVSIFAQFLLNERSDFSQFDSKGRAHENGQLTELMDHHHHHHPVWGKMDSYAVGKEKRKQLLLILCQHESDRLDVWAQPISSKNSPYSRLKISSGKWTEHAKTAFSVDPRIALSLASRFPANIAVKSQVTQLVQAHIVDLRTIPEALPYFVTPKSVEENSVLLRQLPHWAACSITQALEFLTPTYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQSLRYDEGRLVEGYLLRATQRSDIFAHILTWHLQGESVQETVKDGAFDKNTSFQAILSEVRQHIIDGFSPKALDMFKREFDFFEKVTSISGALFPLPKEERRAGIRRELEKIKMQGEDLYLPTAPNKLVKGIQIDSGITLQSAAKVPIMITFNVVDRDGNQNDAKPQACIFKVGDDCRQDVLALQVISLLRDIFQAVGLNLYLFPYGVLPTGAGRGIIEVVPNTRSRSQMGESTDGGLYEIFQEEFGPVGSPSFETARGNFLTSSAGYAVASLLLQSKDRHNGNLLYDNMGRLVHIDFGFIFETSPGGNMRFESAHFKLSHEMTQLLDPSGNMKSESWHQFVSLCVKGYLAARRYMEGIISTVEMMVESGLPCFSRGDPIEKLRKRFHPEMSEREAAHFMIHVCTDAYNKWTTYGYDLIQYLQQGIEK >fgenesh1_pg.C_scaffold_4001226 pep chromosome:v.1.0:4:14718311:14719114:1 gene:fgenesh1_pg.C_scaffold_4001226 transcript:fgenesh1_pg.C_scaffold_4001226 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIPQLMEGSSAYGGVTNLNIISDNSSSVTGATAGEATQPPSSSSSPSVNSSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTNVCHFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPETNPFGARAVRLYLREVRDMQSKARGVSYEKKKRKRPLPPSSASSSSAVASHQQFQMLSSTSSTSQLKFEKLWFSFRRTSVTSGMLGEGR >fgenesh1_pg.C_scaffold_4001244 pep chromosome:v.1.0:4:14817319:14817979:1 gene:fgenesh1_pg.C_scaffold_4001244 transcript:fgenesh1_pg.C_scaffold_4001244 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain protein 14 [Source:UniProtKB/TrEMBL;Acc:D7LD16] MGGLGSPCGGCKFLRRKCVEGCVFAPYFCYEEGSSNFSAIHKVFGASNFSKLISHLPDHDRCDAVRTISYEAHSRLHDPIYGCVSQIFSLQQQVVSLQAQVVLLREEASRRSPQEDPGCNMKQQEKLIAQQMPQDLHNWFHQGILDSDLDLMSGTPRDHGRSLDRNESLCSSNESLYYQEVNFPWSV >fgenesh1_pg.C_scaffold_4001254 pep chromosome:v.1.0:4:14868838:14869525:1 gene:fgenesh1_pg.C_scaffold_4001254 transcript:fgenesh1_pg.C_scaffold_4001254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7LDL0] MATMLINHMCFLTSLILIAFPVANAIPARDIDKLCKETTDVPFCLQYIGSDPRIPAARDLSDVLLIAITHSKMQVDDATTHIDRVRRKFSGPNGKRRIEVCKTNYGIASARFHTAWELGLQKSFWDVEKLARIGTNAVIDCENVWRRDGPIQTSPLTFYNMNVFKLSGIILLIFDKLVT >fgenesh1_pg.C_scaffold_4001263 pep chromosome:v.1.0:4:14918828:14922485:-1 gene:fgenesh1_pg.C_scaffold_4001263 transcript:fgenesh1_pg.C_scaffold_4001263 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFELEDEVMLPNLMVVRIDGRHFSRFSQVHEFERPNDETALNLMNSCSAAVLEEFPDIIFAYGYSDEYSFVFKKTSRFYQRRASKILSLVASFVAAIYVTKWKDFFPQKKLEYAPSFRSKVVSCASAEVLQAYVAWRQHDCHANNQYDTCFWMLVKSGKSISETQEILKDTQKQQKNELLFQKFGINYKTLPELSRQGSCLFKKKVEETVKHDENGNPVKRSRRKAVFVHSENIAGRSFWNEQPSLCNDLGHFTKDIGKIEPDFIRSFQFENKLLPLTWVVVRIDGCHFHRFSDVHEFEKPNDEQALKLMNSCAVAVLEEFEDIHFAYGVSDEYSFVLKKESELYKRQSSKIISAITSFFTSTYVLQWGEFFPHKEMKYPPSFDGRAVCYPTHNILLDYLAWRQVDCHINNQYNTCFWMLVKSGKTKTQSQDYLKGTQTREKNELLSRQFGIEYNALPVIFRMGSSVFRRKEAENGVVSGKKLEGEVVVDHCNIIEHSFWEEHPHILSYS >fgenesh1_pg.C_scaffold_4001270 pep chromosome:v.1.0:4:14953392:14953944:-1 gene:fgenesh1_pg.C_scaffold_4001270 transcript:fgenesh1_pg.C_scaffold_4001270 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLMNLWNLSTRFSFFLLLQTAYSRISLIEKPTAFLCEKYTSLPSPQLSQILNIEASNHLNVFCISPSKPDDPEDKVSLEDEDDPELSLLVELLGKGYKMKSEDWTKCSTTT >fgenesh1_pg.C_scaffold_4001272 pep chromosome:v.1.0:4:14961566:14962857:-1 gene:fgenesh1_pg.C_scaffold_4001272 transcript:fgenesh1_pg.C_scaffold_4001272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATPase assembly integral membrane protein VMA21 homolog [Source:UniProtKB/TrEMBL;Acc:D7LDN6] MAGVMQKFLIASMFMWILPIAILYGFNNDLLPGSTTLSPHSLTLLSGFLAVVSVNVVIVFYICLALKEPVDKHKPDASFVAEAKDSVKKLTSGVTSTDPALKKQE >fgenesh1_pg.C_scaffold_4001297 pep chromosome:v.1.0:4:15078462:15079639:1 gene:fgenesh1_pg.C_scaffold_4001297 transcript:fgenesh1_pg.C_scaffold_4001297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEB4] MVVVILVTTELTLLAMVMAMVAAVIMMVVMAVAVLIMVAMVVVVKLVVVVVVIIVSDSCSSGGGSRDEMASCGKVRDGFSVMGLSGSVGHGDPDMMMPLLTNPWLGLSQFWVSTLIADATSILALRVFFPLHRGVIVRAMMIWFIHGVFFGYARPSRFGDNSCRRLLNANLRPSTHMESRNHIGDICREALQRRLWFEMYNKMGLH >fgenesh1_pg.C_scaffold_4001300 pep chromosome:v.1.0:4:15098741:15106691:1 gene:fgenesh1_pg.C_scaffold_4001300 transcript:fgenesh1_pg.C_scaffold_4001300 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENKNVVTFFRPLTLIVGANGAGKTTIIECLKVSCTGELPPNARSGHSFIHDPKVAGETETKAQIKLRFKTAAGKDVVCIRSFQLTQKASKMEYKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFKLKLENLQTLKDAAYKLRESIAQDQERTESSKVQMLELETSIQKVDAEVHNKEMMLKDLRKLQDQVSRKTAERSTLFKEQQRQYAELPEENEDTIEELKEWKSKFEERIALLETKIRKMEREMDDTATTIYSLHNAKTNYMLEISKLQTEAEAHMLLKNERDSTIQKIFSHHNLGNVPSTPFGTEVVLNLTNRIKSRLGELEMDLLDKKKSNETALSTAWDCYMDANDRWKSIEAQKRAKDEIKMGISKRIEEKEIERDSFEFEISTVDVKQTDEREKQVQIELERKTKQNSELGFESKIEQKQHEIYSMEHKIKTLNRERDVMAGDAEDRVKLSLKKTEQENLRKKHKKMSIEREYDDLSLKSREAEKEVNMLQMKIQEVNNSLFKHNKDTESRKKYIESKLQALKQESVTIDAYPKLLESAKDERDDRKSKYNMANGMRKMFEPFEDLARQHHYCPCCERSFTTDEENSFVKKQRVKASTTGEQLKKLAVESSNADSVFQQLDKLRAVFEEYSKLTSEIIPLAEKSLQEHTEELGQKSQALDDVLGISAQIKADKDSIEALVHPLENADRTFQEIVSYQKQIEDLEYKLDFRGLGVKTMEEIQSELSSLQSSKDKLHGELEKLRDDQIYMERDISCLQARWHAVREEKAKAANLLRDVTKAEEDLERLAEEKSQLDLDVKYLAEALGPLSKEKEQLLSDYNDMKIRRNQEYEELAEKKRNYQQEVEALLKASSKINEYAYSCFTRYHDLKKGERLNDIQEKQRLSESQLQSCEARKNELAGELNRNKDLMRNQDQLRRNIEDNLNYRTTKAKVEELTPIHLFSMMLRLNRCRGTVSVYESSISKNRVELKAAQYKDIDKRHFDQLIQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDMDYIRIHSDSEGAGTRSYSYKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNSESLAGALLRIMEDRKGQENFQLIVITHDERFAQMIGQRQHAEKYYRVAKDDM >fgenesh1_pg.C_scaffold_4001302 pep chromosome:v.1.0:4:15110479:15113381:-1 gene:fgenesh1_pg.C_scaffold_4001302 transcript:fgenesh1_pg.C_scaffold_4001302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7LEC1] MEEKTTTLLLFLSLLTISLLLLRLSQDKIILITTTTTTSDSDHQRRHDPCLGRYIYIHNLPSRFNLEIIKDCKSITRPKDKISMCKYLENSGIGPLIGGDGFDYSPSWYATNQFMLEVIFHEKMKRYECLTRNSSLASAIYVPYYAGLDFRRHLRRRNVAARDAAGKELVKWLKKQPQWKDMSGRDHFLVTGRISRDFRRNSDNKSAWGTNFMLLPESLNLTFLTIERSLTSHNEFAIPYPTYFHPTSTSEILRWQDKIRLTNRTILFSFAGAQRPIRNQNGLVRTQVIKQCKSSSNTCRFLDCDVKANISCDDPISLMKLFESSVFCLQPPGDSLTRRSVFDSILAGCIPVFFNQGSAYKQYRWHIPKNNSEYSVYIPVKELRTGGKNKIEEILRGIPNERVVGMRENVIRLIPKIVYSKPNRNKPDGEILEDAFDVAVKGVVKGIEGIRRKEFKTE >fgenesh1_pg.C_scaffold_4001307 pep chromosome:v.1.0:4:15140210:15140992:1 gene:fgenesh1_pg.C_scaffold_4001307 transcript:fgenesh1_pg.C_scaffold_4001307 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPFDRTSQICGHIRGLLCLTHLRVLKGRKETVPMICNPSTGQALPLPKVKTRRVKVRSFLVYDPIEKQFKVLSMTWPCYGNGEKNCEEYQILTLGTQTLSWRMIDCCLPHHPAHDGICIDGCLYYQAMVGPLSGISTIVCFDVRSEKFSFVKKARGMVLYGQSALVNYEGRLGAIQSDELHGIITGQTRSLELWVLVDAEKHEWSRHVYALPPMWKNVVAEAELNIVGRTSTNEIVLSRHYSSVLSMFSTTIPRGTQS >fgenesh1_pg.C_scaffold_4001321 pep chromosome:v.1.0:4:15205540:15206070:1 gene:fgenesh1_pg.C_scaffold_4001321 transcript:fgenesh1_pg.C_scaffold_4001321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEV7] METTPILTRIETAIRNENVTATGREIEGQIDTAIGIETESKSERETVSVVVVNVIVNRIKEIEIWFKRRRLRLGRRKLVDKVAEAGFYVVVPDVFHGDPLLVVDCKAKNKTMTYLFVG >fgenesh1_pg.C_scaffold_4001334 pep chromosome:v.1.0:4:15293656:15297306:1 gene:fgenesh1_pg.C_scaffold_4001334 transcript:fgenesh1_pg.C_scaffold_4001334 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPEVQSGEEFVHIDDPKPCRDFLLSENIVNVEEELLREEEDSNASSVITGDDSIGESGDDDICSIGESGDDDICGVADYVECAFETKTEKLELPEEFAKSVMVLTCESTVEGGSCDVYLVGTAHESCREVETVIRSLKPQAVFVELCTSRLSILTPQTLKAAKKLEVFPGAEFRVAFEEANKYGGAVFPGDRPVQITLQRTWGKMPLWHKIKLVYSIVSQAVFLPKPKELEKMLKDMSDADMLTLVIQEMSKEFPSLMETLVHERDKYMAYYLLRLASEHSSVVAVVGRGHLQGIKKNWNQPINIKELLELPTNESIFTVKNILKYLVVAVAGTAIVSGMYLAK >fgenesh1_pg.C_scaffold_4001338 pep chromosome:v.1.0:4:15310363:15311298:-1 gene:fgenesh1_pg.C_scaffold_4001338 transcript:fgenesh1_pg.C_scaffold_4001338 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCKLIDAVLFLYFALMAIVAPLIDSQISLPGDIFPAFLVDLNRWYIDEFGDYLAKEKPHFLVGLIWHELLLLWPLSIVNVYAILAGKSWFGTTSMVYGASVVTSMAAILGEMVGSGKASEKLLMMHLPFMGVGILALLRGLLSRSSKSSGADGKRPAILARRKRA >fgenesh1_pg.C_scaffold_4001340 pep chromosome:v.1.0:4:15314315:15314920:-1 gene:fgenesh1_pg.C_scaffold_4001340 transcript:fgenesh1_pg.C_scaffold_4001340 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQQHVSEDVSTISQRNTRQKTSLNNLENSLPIPVDLIVEILSRLSVKSIARCRCVSKLWTSVLRRPDFTELFLTRSLARPQILVAYQTNGEFFFSSWPQPRSLEVNSSPVSINHLKRLPFSGPCKILGLVQGLVCLIDRRFLNGRTRTVPVICNPSTGESLSLSTMKTRRCNVISFFGYDPIEKQYKILSMSRPRYEI >fgenesh1_pg.C_scaffold_4001341 pep chromosome:v.1.0:4:15315341:15318809:-1 gene:fgenesh1_pg.C_scaffold_4001341 transcript:fgenesh1_pg.C_scaffold_4001341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7LEY1] MGFFVMIRVRDVSMGLMLLCVFALWVLPIQGAGRESFSRNSSSSPLPSSVNVGALFTYDSFIGRAAKLAFVVAIDDVNADQSILRGTKLNIVFQDANCSGFVGTMGALQLMENRVVAAIGPQSSGIGHIISHVANELHVPLLSFAATDPTLSSLQYPYFLRTTQNDYFQMNAIADFVSYFRWREVVAIFVDDEYGRNGISVLGDILAKKRAKISYKAAFTPGADSSSISDLLASVNLMESRIFVVHVNPDSGLNIFSVAKSLGMMGSGYVWITSDWLLTALDSIEPLDTKAMDLLQGVVAFGHYTPESDNKKRFKARWKNLRSKESLKSDDGFNSYALYAYDSVWLVAHALDVFFSQGNTVTFSNDPNLRNTNDSNIKLSKLNIFNEGERFLQVMLEMNFTGLTGQIEFNSEKNRINPAYDIVNIKSTGPQRVGYWSNHTGFSVVPPETLYSKPSNISAKNQRLNGIIWPGEVIKPPRGWVFPDNGKPLKIGVPNRVSYKNYASKDNNPLGVKGYCIDIFEAAVQLLPYPVPRTYILYGDGKKNPSYDNLISEVAANSFDAAVGDVTIITNRTKFVDFTQPFIESGLVVVAPVKGAKSSPWSFLKPFTIEMWAVTGALFLFVGAVIWILEHRFNEEFRGPPRRQIITVFWFSFSTMFFSHRENTVSTLGRFVLLVWLFVVLIINSSYTASLTSILTVQQLTSRIEGMDTLIASNEPIGVQDGTFAWKYLVNELNIAPSRIIPLKDEEEYLSALQRGPRGGGVAAIVDELPYIKALLSNSNCKFRTVGQEFTRTGWGFAFQRDSPLAVDMSTAILQLAEDGKLEKIRKKWLTYDHECTMQISDTENYQISVQSFWGLFLICGIVWFIALTLFCWKVFWQYQRLRPEESDEVRARSEEAGSSRGKSLRAVSFKDLIKVVDKREAEIKEMLKEKSSKKLKAGQSSGENSQSKDHETPRLDR >fgenesh1_pg.C_scaffold_4001377 pep chromosome:v.1.0:4:15513879:15514508:-1 gene:fgenesh1_pg.C_scaffold_4001377 transcript:fgenesh1_pg.C_scaffold_4001377 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQKLKLRTSKNSHKISPATVSKSPATVSKSSEKRLRKEFQEEDHILKAHVRASLPSPENISRWEATVNGPVGCPYENGVFTVSVHIPPKYPFQPPKITFKTKIFHPNISESGEIFVDILGSRWSSALTINLVLLSVCSILSNPVEPFLVSNYAGRLYRKDRKAYEKVAREWTLKYAKG >fgenesh1_pg.C_scaffold_4001392 pep chromosome:v.1.0:4:15586636:15589222:-1 gene:fgenesh1_pg.C_scaffold_4001392 transcript:fgenesh1_pg.C_scaffold_4001392 gene_biotype:protein_coding transcript_biotype:protein_coding MSETQQQVQNSTGSIRSPEKIQDTFRRMKVNEDNMEQSSPYPDRPGERDCQFFLRTGQCGYGNTCRYNHPLTHLPQGVIYYKDQLPERIGQPDCEYFLKTGACKYGPTCKYHHPKDRNGAGPVLFNVLGFPMRQGEKSCPYYMQTGLCRFGVACKFHHPHPQPSNGHSAYALSSFPSVGFPYASGMTMVSLPPATYGAMARPQVPQSQAYMPFMVAPSQGLLPPQGWATYMAASNPIYSVKAQPDSSSSASVPVAMTSHYHSFSERAECRFFMNTGTCKYGDDCKYSHPKERLLQSPPNLLNPIVLPARPGQPACGNFKAYGFCKFGASCKFDHSMPLNPYNTTGLAMSSLPTPNPYAPPVSTNLRISSPPSPPDSSTLSNGKPGAAETQSLETEKQDDSPAQPEKSEVQDSLPPSCSDSTSLPNVKPDSENPSSENEKQDDNTIQQDSSKVQDSSDKST >fgenesh1_pg.C_scaffold_4001397 pep chromosome:v.1.0:4:15631114:15633266:-1 gene:fgenesh1_pg.C_scaffold_4001397 transcript:fgenesh1_pg.C_scaffold_4001397 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGSDTTWVGKKPIRRIGGLSDALSIASDLGFAVAPPPSHEELQSLATSNGEKGDDLIRVLRELSAVQRKIADLQVELQGRKDDKNVAHLTHVSEMQKKIETLSRITQILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTASVSDFQWSQNFKEPPSVWGEMLRPIPVALASCTRFFEAMSAMRESFATLQDIRVGNSAVSLPTTPGGNEMTHRDSDCVTPPQGRIESSFDDLAVHNTRRQNNDKNEEEEEDGNNNSNRRLSWPPSVKKSSV >fgenesh1_pg.C_scaffold_4001434 pep chromosome:v.1.0:4:15850667:15854187:1 gene:fgenesh1_pg.C_scaffold_4001434 transcript:fgenesh1_pg.C_scaffold_4001434 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHHRRESFSVTPSNMGGSVVLCPNTDLLWPFGKLEGLDRDDIRETAYEIFFTACRSSPGFGGRTALTFYSNHNSNDHHGDGGGGIGSGGSTGVGSGFGSSGRKEVVTTPTSRVKRALGLKMLKRSPSRRMSTIGAAGGAGTSLSPGGGMNTSSGHISPGAGFLTVPPSRPRRPLTSAEIMRQQMKVTEQSDSRLRKTLLRTLVGQTGRRAETIILPLELLRHLKTSEFGDVHEYQVWQRRQLKVLEAGLLLHPSIPLDKTNNFAMRLREIVRQSETKPIDTSKNSDTMRTLTNVVVSLSWRGTNGNPTDVCHWADGYPLNIHLYVALLQSIFDVRDETLVLDEIDELLELMKKTWSTLGITRPIHNLCFTWVLFHQYVVTSQMEPDLLGASHAMLAEVANDAKKLDREALYVKLLNSTLASMQGWTEKRLLSYHDYFQRGNVGLIENLLPLALSSSRILGEDVTISQGKGQEKGDVKLVDYSGDRVDYYIRSSIKNAFSKVIENTKAKIAATDEGEEAAGTLLQLAKETEELALRERECFSPILKRWHSVAAGVASVSLHQCYGSILMQYLAGRSFISRDTVEVLQTAGKLEKVLVQMVAEDSEECDDGGKGLVREMVPYEVDSIILRLLRQWVEEKLKKVQECLFRAKETETWNPKSKSEPYAQSAGELMKLAKDTIDEFFEIPIGITEDLVHDIAEGLEQLFQEYTTFVASCGSRQSYIPTLPPLTRCNRDSRFVKLWKRAAPCTTSNEDFKYTTSVISDGHHPRPSTSRGTQRLYIRLNTLHFLSSHIHSLNKTLSLNPRILPATRKRYRHRNNNSSSYFDFTYAGIESACQHVSEVAAYRLIFLDSNSVFYESLYVGEVANARIRPALRIMKQNLTLMSAILADRAQSLAMREVMKSSFEAFLMVLLAGGYSRVFYRSDHSLIEEDFENLKRVFCTCGEGLIPEEVVDREAETVEGVIQLMSQPTEQLMEDFSIVTCETSGMGMVGSGQKLPMPPTTGRWNRSDPNTILRVICHRNDRVANQFLKKSFQLPKRR >fgenesh1_pg.C_scaffold_4001438 pep chromosome:v.1.0:4:15866659:15867636:-1 gene:fgenesh1_pg.C_scaffold_4001438 transcript:fgenesh1_pg.C_scaffold_4001438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop-interactive crib motif-containing protein 1 [Source:UniProtKB/TrEMBL;Acc:D7LGA6] MATTMKGLLKGLRYITQIFDEEKEQEMQIGFPTDVKHVAHIGSDGPTNNTPSWMNDFKPQEHEKGQVVSRGNSNKYNPQGMNQRGAGLKELLPSNTNEKPKHKTRRKPGGATSPNLNGSPPRRSSGSAASSDEPSKHSRHNRSAHGSMDSSNDQEPSVRRRRGGIPAPDTEVSNHLADGSAPPRKATSRPRKLKGSSAGGEGSVKKSAKGKPENSVDTCNDII >fgenesh1_pg.C_scaffold_4001468 pep chromosome:v.1.0:4:16018401:16019473:-1 gene:fgenesh1_pg.C_scaffold_4001468 transcript:fgenesh1_pg.C_scaffold_4001468 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLATNDDHLQEPPILMTSLPDEILLDCVARLPRSYYPILSLVSKQFRSLVTSPELYRRRCFLGYEEHCLYVAISENIETSNIHWYTLARKPNDKLWLVRIPSLPPMPLHGSYVVKGSSIYVMGGFHQWQRGLITPNVSRLDCVSHMAEPLTRMPKAVAASVSALVDGKLKSTSKRIMVFDTVAQTWEVRKRPNWEVGRRWFSSVEIAGKIYMKSSRISYVYEPKEGICETDPILHYRNWSNSCVIDDVLYYYDDRSNFLRAYDPKERVWEGVSGVLGLCHDGSWSYTTSYGKKLAVFFHKVDLLSKTTEIWYAEIAKEERCGERSSAMILCLMENLAL >fgenesh1_pg.C_scaffold_4001554 pep chromosome:v.1.0:4:16516539:16517819:1 gene:fgenesh1_pg.C_scaffold_4001554 transcript:fgenesh1_pg.C_scaffold_4001554 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGFTVDLKKPLVFQVGHLGEDYEEWVHQPIATKEGPRFFQSDFWEFLTLTVWWAVPVIWLPVVVWCISVSISMGCSLPEIVPIVFMGIFIWTFFEYVLHRFVFHIKTKSYWGNTAHYLIHGCHHKHPMDHLRLVFPPTATAILCFPFWNIAKAISTPSTAPALFGGGMLGYVMYDVTHYYLHHAQPTRPVTKNLKKYHLNHHFRIQDKGFGITSSLWDIVFGTLPTTKAPRKEQ >fgenesh1_pg.C_scaffold_4001602 pep chromosome:v.1.0:4:16735059:16737110:-1 gene:fgenesh1_pg.C_scaffold_4001602 transcript:fgenesh1_pg.C_scaffold_4001602 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLALGLCVYLLFIQGSLGNIDDFDCVEIYKQPAFQHPLLKDHKIQETFSLDGIIERSNKYNTKEHCPKGTVAILRQRNESKSVHLNTAEYSGQHFATIETMLDGSIYWGAEADISIHDLKLQNNQYSKSQIWLENGPPDQLNSIQAGWAVHPRLYGDSVTRFTIYWTGDGYQKTGCYNTQCPGFVVVSRNPRLGREFWGTSVYGQLSLTFKLQVFQDGFSGNWGLKMFNEVIGYWPKELFPHLNNGASLVRYGGNTYLSPDGLSPPMGNGYYPVADFKKTAHFNNVVIINSQYKRVYVEDRKIRRYADSYRCFRVTYWGYTKSTGVAFSFGGPGGNCGV >fgenesh1_pg.C_scaffold_4001609 pep chromosome:v.1.0:4:16770650:16777512:1 gene:fgenesh1_pg.C_scaffold_4001609 transcript:fgenesh1_pg.C_scaffold_4001609 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDLNTWVSDKLMVLLGFSQTAVVQYLIAMAKKSKSPDELVRELVDYGFSLSGDTRAFAEEIYARAPRKTAGVNLYQQQEAEAAMLLKKQKTFSLLEADRDEDEGYVEKQSALETGKSDKSRKRFRKKIEQLEDDDDDEANLIVKEDKRNVRSKVSEGEDDGTESEEERLRDQREREELEQHLRERDTARTRKLTEPKMSKKEQEEFVRRDSAVEKGDIVSLRKFSRQEYVKKREQKKLEELKDDLEDEQYLFGDEKLTETEIREFRYKKKIYEVIKRSTQEEDNVGEYRMPDAYDQRGSVDQEKRFAVSVQRDMGSAEKMNPFAEQEAWEDHQIENATLKFGAKNKEVSDNYEFVFEDQIDFIKASVLAGENYEDEMHAKPSQDSAGKSAFHMLQEDRKALPIYSYRDRLLNAVKDHQVLIIVGETGSGKTTQIPQYLHEAGYTKHGKVGCTQPRRVAAMSVAARVAQEMGGKLGHEDIARARPDLKLLISSATMDAEKFSDFFDQAPIFSFPGRRYPVDICFTTAPEADYMDAAIATVLTIHVKEPLGDVLVFLPGQEEIEAVEENLKHKIRGLGTKIRELIICPIYANLPSELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVVDPGFSKMKSYNPRTGMESLLVTPISKASATQRAGRAGRTSPGKCYRLYTAYNYYNDLEDNTVPEIQRTNLASVVLSLKSLGIHNLLNFDFMDPPPSEALIKSLELLFALGALNQLGELTKAGRRMAEFPLDPMLSKMIVVSDKYKCSDEIISIAGMLSIGPSIFYRPKDKQVHADNAMMNFNVGNVGDHIALLKIYNSWKETNYSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEVSSNANELDSIRKSIVAGFFPHTAKLQKNGSYRTVKHPQTVHIHPASGLSQVLPRWVVYHQLVLTSKEYMRQVTELKPEWLIEIAPHFYQLKDVEDGNTVLSIHLIISWFFKSSNRSLISKTNHLTAYVSCSYIQENAQNQWPSCGVATLIKG >fgenesh1_pg.C_scaffold_4001618 pep chromosome:v.1.0:4:16817839:16820085:1 gene:fgenesh1_pg.C_scaffold_4001618 transcript:fgenesh1_pg.C_scaffold_4001618 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASTETEIITRFQTYLRINTVQPDPDYIAAANFIISQAKSISLESQSIEFVPGKPIVLLRWSGTDPSLPAVLLNSHVDVVTFEEEKWTHPPLGAEIDEEGKIYARGTQDMKSVGMQYLEAIRKLKASGFEPLRSVYVTFVPDEVIGGVDGVAKFVESETFKNMNIAIVLDEGLPSPTDSYRVFNGERNAWSIQIKAVGQPGHGSKLYDNSAMENLTKSIESIMRFRASEFDQLKTGLEADGDVVSINMVYLKAGTPTPDNGFVMNLQPSEAEAGFDMRVPPDVDSEELERRLVLEWASPARNMSFELWRSDQGIPKKHLVTAKDNSNPWWELLENAVKEAGGLISEPEIFPAGTDSRYFRRAGLPAIGFSPISKTPSLLHDHNEYVSQSEYLKGIDMYVSILKAYTSYA >fgenesh1_pg.C_scaffold_4001641 pep chromosome:v.1.0:4:16909697:16910989:1 gene:fgenesh1_pg.C_scaffold_4001641 transcript:fgenesh1_pg.C_scaffold_4001641 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSSGEHMVMREYRKGNWTVSETLVLIEAKKMDDERRVRRSEKQPEGRNKPAELRWKWIEEYCWRRGCQRDQNQCNDKWDNLMRDYKKIREYERLRVESSFNTSSSSSYWKMDKSERKEKNLPSNMLSQIYDALAELVGRKTLPSSSSAAVGNRNGSQILRVCQQSLGFVAPMMAQPMHQTPTTIVLSYPPPPPQSLCLSLPSPPQLPPSSSFHVEPMQPTVDRSPGKRRKTTPGETTAGGEREAEEVAIGAALSRCASVITQVIRESEERQERRHKEVVKLQERRLKIEESKAEINRQGISGLVDAINQLATSILALASSSSCHNNHNHQGGPP >fgenesh1_pg.C_scaffold_4001663 pep chromosome:v.1.0:4:17000599:17002606:-1 gene:fgenesh1_pg.C_scaffold_4001663 transcript:fgenesh1_pg.C_scaffold_4001663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 25 [Source:UniProtKB/TrEMBL;Acc:D7LIL6] MGNVCVHMVNNCVDTKSNSWVRPTDLIMDHPLKPQLQDKPPRPMLMYKDDDKPKLNETHGDPKLLEEKAKPAQKQTSWEQEGRVSETTRKCSEEENKKRAIACGNSKRKAHNVRRLMSAGLQAESVLKTKTGHLKEYYNLGSKLGHGQFGTTFVCVEKGTGEEYACKSIPKRKLENEEDVEDVRREIEIMKHLLGQPNVISIKGAYEDSVAVHMVMELCRGGELFDRIVERGHYSERKAAHLAKVILGVVQTCHSLGVMHRDLKPENFLFVNDHEDSPLKAIDFGLSMFVKPGENFTDVVGSPYYIAPEVLNKNYGPEADIWSAGVVIYVLLSGSAPFWGETEEEIFNEVLDGELDLSSDPWPQVSESAKDLIRKMLERNPKQRLTAQQVLCHPWIRDEGTAPDTPLDTTVLSRLKKFSATDKLKKMALRVIAERLSEQEIHELRETFKIIDSEKSGRVTYKELKSGLERFNTNLDDSDINSLMQMPTDVHLEDTVDYEEFIEAIVRLRQIQEEEPKDRLESSTKV >fgenesh1_pg.C_scaffold_4001670 pep chromosome:v.1.0:4:17053207:17054183:1 gene:fgenesh1_pg.C_scaffold_4001670 transcript:fgenesh1_pg.C_scaffold_4001670 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRSRSRSHLFLNEFETSVGGFYSLRRNHRDHPYVSDAVDTLSDQFSSMSLLRPKPRPIPALSSSLQSSLRLNGVKADQRLYRERLKLEPFYELHLSRIRKNESQQDRAKVLQRQEARLNKRGLAHRNGNVLKESVGTGVFHPLQRPTFKTCDSVKKHNQRNRNQSEKELLTKEKRGVMTTTTQEQQQKEECHYHLPSDMDFSKDWTF >fgenesh1_pg.C_scaffold_4001686 pep chromosome:v.1.0:4:17148532:17150004:1 gene:fgenesh1_pg.C_scaffold_4001686 transcript:fgenesh1_pg.C_scaffold_4001686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7LIP0] MSARPEGSPPEVTLETSMGPFTVEMYYKHSPRTCRNFVELSRRGHRIVKDFIVQGGDPTGTGRGGESIYGSKFEDEITKELKHTGAGILSMANAGPNTNGSQFFITLAPQPSLDGKHTIFGRVCRGMEVIKRLGSVQTDNTDRPIHEVKILRTKVID >fgenesh1_pg.C_scaffold_4001761 pep chromosome:v.1.0:4:17592179:17592968:-1 gene:fgenesh1_pg.C_scaffold_4001761 transcript:fgenesh1_pg.C_scaffold_4001761 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCPTRKVKKRRLSHKTARRDKFEVKGDDLVYTELRKPETEIKPFQLDEDLPGMGQFYCLHCDRYFSNASVRNDHFKTKKHKKRVKMMKGPAPHSQLDADLAGGMGMPDNGPKLMSA >fgenesh1_pg.C_scaffold_4001779 pep chromosome:v.1.0:4:17725087:17726484:1 gene:fgenesh1_pg.C_scaffold_4001779 transcript:fgenesh1_pg.C_scaffold_4001779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJN8] MSQVDFSESISDCTRYLNGEKRIDEIVDYTSSCTSWETDTDTDTEKEEESNVLLVQPHGEAASSEKLFHTSSSVSDCTNLLDGGSIMDQSVSSQGDKNARFYRMIHDIQLWKIDTLPLRYPTESTVIVISNNIPGDIDFIECLNCSASRGYNNLLVQPNEAAPEKPETSE >fgenesh1_pg.C_scaffold_4001785 pep chromosome:v.1.0:4:17757799:17759325:-1 gene:fgenesh1_pg.C_scaffold_4001785 transcript:fgenesh1_pg.C_scaffold_4001785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LJP5] MANAKHFCLFGFFCLLLQLFSIFHIGNGELEMNYYRESCPKAEEIIRQQVETLYYKHGNTAVSWLRNLFHDCVVKSCDASLLLETARGVESEQKSKRSFGMRNFKYVKIIKDALEKECPSTVSCADIVALSARDGIVMLKGPKIEMIKTGRRDSRGSYLGDVETLIPNHNDSLSSVISTFNSIGIDVEATVALLGAHSVGRVHCVNLVHRLYPTIDPTLDPSYALYLKKRCPSPTPDPNAVLYSRNDRETPMVVDNMYYKNIMAHKGLLVIDDELATDPRTAPFVAKMATDNGYFQEQFSRGVRLLSETNPLTGDQGEIRKDCRYVN >fgenesh1_pg.C_scaffold_4001810 pep chromosome:v.1.0:4:17864872:17866592:1 gene:fgenesh1_pg.C_scaffold_4001810 transcript:fgenesh1_pg.C_scaffold_4001810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJS0] MAGVEDIVSFYNSNNVGGDGNNVAGVPPNLDNVMNENEKTMPPPGKPADNVNGLLPELSSSIPDSSMQGDDMETSYPRESEALRPLHSDLPPTTDADPGDTNLPASLSPTLPVLPDGSGAIVGASDSVLLMVNNSAEALDHRAHEQANSSPLESTSAVEEEKDSDQANNNPAKSTSVGEEQKDSDEDFTTPPPSTKSRSNVKVIL >fgenesh1_pg.C_scaffold_4001817 pep chromosome:v.1.0:4:17925621:17926703:1 gene:fgenesh1_pg.C_scaffold_4001817 transcript:fgenesh1_pg.C_scaffold_4001817 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDSDRSDSMGDDSDRSDSMGDDSDRSDSMGDDVVYDSEDWRTTLEPVDLEYTEEDDVDGTRYYPSIRRREDEEKISPEDEYLLMEKQVAESKGFDIDFTKFRCVFNYKLADLDLENQFVYEPETTRGLLDKLSRKSLKRFNAKYSRKYEFVKVIKANYHITAGIMFFITFEGKLLNDDDSKLFQTKIRYCGRTIDIVSCELKPEKNVHSIEAPDKEHPKKPRLTLEPSYV >fgenesh1_pg.C_scaffold_4001818 pep chromosome:v.1.0:4:17927292:17928296:1 gene:fgenesh1_pg.C_scaffold_4001818 transcript:fgenesh1_pg.C_scaffold_4001818 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSMGDDSDESDSMGDDYVCDLPDWRTTLEPVHMKYTDEDDVDGTRYYPCIRRHEDEEPKISPEDECLLMEKQVEESKGFDIDFAKFRCVFNYKPVDLDLENQFVLEPETTRGLIDKLSRKSLKRFNETNSTKYEFVKVIKANYHFSAGIMFYITFQGKLLSDDDSKLFQAKIRSCGRSTDIISCELKPEKKGEDKALQIGA >fgenesh1_pg.C_scaffold_4001821 pep chromosome:v.1.0:4:17961393:17962685:1 gene:fgenesh1_pg.C_scaffold_4001821 transcript:fgenesh1_pg.C_scaffold_4001821 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQCSTPIRRSGTLKAHFDKELVRGPTQEKERSISNDEVFVSDVVTNVKKLFAFRETSLMHRQETEAATTVVDSLDDDLFYSHTSFLQALNLETTDLEGFTKIPKGLVSLRLKGHTDLVFLNFSSTENLVRFQSSGSFKFLEKEFAFSPSGVIRIEIDR >fgenesh1_pg.C_scaffold_4001823 pep chromosome:v.1.0:4:17967514:17968593:1 gene:fgenesh1_pg.C_scaffold_4001823 transcript:fgenesh1_pg.C_scaffold_4001823 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCGKLDFKDADFDISTSSATQCSKGSKNTSFTGSEDSQESDGDETGYTDPTANEDTADQDFGKSTLSPNSSDDEDKDENLTTTPVVLIPAIKGSREKHGLSLRKLSVSWAADVYDPPPSIASHTRSKKQLQKSKSKDNHRKTGKKGQKSKDNSSSRGGSSKDKKQASRKHSREKFEWVTQMPLVAASS >fgenesh1_pg.C_scaffold_4001836 pep chromosome:v.1.0:4:18018491:18020184:1 gene:fgenesh1_pg.C_scaffold_4001836 transcript:fgenesh1_pg.C_scaffold_4001836 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNTTTTFGSGAGVVVVPPPAVSIPTPVFPGTTITSNSTFIIIGPPPPFPAPPRSIDLSPLKLIFAVIAIVAVPALAYALFFTIPCSSSRRNSSSSRRSSTSSDDTPHVTVDITPPITSETTVTPDSGGTIQKDTHSKEIGNECTVCLMVFTDGDEIRQLIECKHAFHVSCIEEWLKDHPNCPICRTDVSVKQQTEAANVTVNVNGNVNRSGGNRRVSATNNNRDDDWRQDSSSGLAPNRNLSRTSKIPRFAYLVTGTKGDGKRVKRLLKAIHHPRNYYLLHLDLEASDEERMELAKIKDLNIMEEQKRCE >fgenesh1_pg.C_scaffold_4001837 pep chromosome:v.1.0:4:18023692:18024384:-1 gene:fgenesh1_pg.C_scaffold_4001837 transcript:fgenesh1_pg.C_scaffold_4001837 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L36 [Source:UniProtKB/TrEMBL;Acc:D7LJV2] MTTPAVKTGLFVGLNKGHVVTRRELAPRPNSRKGKTSKRTIFIRNVIKEVAGLAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKRKREEMSGVLRKIRSGGGGAAEKKK >fgenesh1_pg.C_scaffold_4001838 pep chromosome:v.1.0:4:18026612:18027435:-1 gene:fgenesh1_pg.C_scaffold_4001838 transcript:fgenesh1_pg.C_scaffold_4001838 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGNVKADVNLVLNLREAERHRRYFDCFINEKLLYRMSETSLKEDEVTLGGVKETIEEECSDNKLVLEICRKRKRSDEEEEEVVEEENDGFKTPTRPENRIPKVTECPPAPRKGEYLYLSGMLRGKTMYCRRRLSFSPEDDVSSFITDLQWRTTTMTIKK >fgenesh1_pg.C_scaffold_4001841 pep chromosome:v.1.0:4:18035535:18037265:1 gene:fgenesh1_pg.C_scaffold_4001841 transcript:fgenesh1_pg.C_scaffold_4001841 gene_biotype:protein_coding transcript_biotype:protein_coding MLMESEKDILHIFSYLPRYLNFIEHTSNIGWKENQRARPIIIDPGFYHLKKSGVFWAKERRSLPASFKLFMGSTSVALTRPFLEFCIWGWDNLPRTLLMYYSNFLLSTEGYFQTVVCNNKDYQNTTVNHDLHYTNWDPLQQRTLNVTVENFRDMVQSGAPFAREFREDDLVLDKIDTELLGQTDSGSELKTPEIVKPTVSWKRLEKLMVRLLDHENFRAKQCK >fgenesh1_pg.C_scaffold_4001844 pep chromosome:v.1.0:4:18047537:18048360:-1 gene:fgenesh1_pg.C_scaffold_4001844 transcript:fgenesh1_pg.C_scaffold_4001844 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGNVKADVNLVLNLREAERHRRYFDCFINEKLLYRMSETSLKEDEVTLGGVKETIEEECSDNKHVLEICRKRKRSDEEEEEVVEEENDGFKTPTRPENRIPKVTECPPAPRKGEYLYLSGMLRGKTMYCRRRLSFSPEDDVSSFITDLRWRTTTMTIKK >fgenesh1_pg.C_scaffold_4001848 pep chromosome:v.1.0:4:18066270:18069957:1 gene:fgenesh1_pg.C_scaffold_4001848 transcript:fgenesh1_pg.C_scaffold_4001848 gene_biotype:protein_coding transcript_biotype:protein_coding MITEPSLTGISGTVNRNRLSGLPDQSASHSFTPVTLYDGFNYNLSSDHRNTVVAPSQNSVFIREEEEEDPADDFDFSDAVLGYISQMLNEEDMDDKVCMLQESLDLETAERSLYEAIGKKYPPSPERNLAFADRNDENLDRVVPGNYTGGDCIGFGNGGIKPLSGGFTLDFRNPSSVLSVPQSNGLITIYGDGIVESSKKSLYPDSNRESHQSVWLFSSGIEEASRFLPEQNIVNFREENCMNKGRKNSSRDEICVEEERSSKLPAVFGEDILRSDVVDKILVHVPGEESMKEFDALREVLKKGVEKKKASVAQGGKRRERGRGRGRGGGGGQNGKKEVVDLRSLLIHCAQAVAADDRRCAGQLLKQIRLHSTPFGDGNQRLAHCFANGLEARLAGTGSQIYKGIVSKPRSAAAVLKAHQLFLACCPFRKLSYFITNKTIRDLVGNSQRVHVIDFGILYGFQWPTLIHRFSMYGSPKVRITGIEFPQPGFRPAQRVEETGQRLAAYAKQFGVPFEYKAIAKKWDAVQLEDLDIDRDEITVVNCLYRAENLHDESVKVESCRDTVLNLIGKINPDLFVFGIVNGAYNAPFFVTRKIIYLSND >fgenesh1_pg.C_scaffold_4001851 pep chromosome:v.1.0:4:18086999:18089475:1 gene:fgenesh1_pg.C_scaffold_4001851 transcript:fgenesh1_pg.C_scaffold_4001851 gene_biotype:protein_coding transcript_biotype:protein_coding MITEPSLTGISGTVNRNRLSGLPDQSASHSFTPVTLYDGFNYNLSSDHRNTVVAPSQNSVFIREEEEEDPADDFDFSDAVLGYISQMLNEEDMDDKVCMLQESLDLETAERSLYEAIGKKYPPSPERNLAFADRNDENLDRVVPGNYTGGDCIGFGNGGIKPLSGGFTLDFRNPSSVLSVPQSNGLITIYGDGIVESSKKSLYPDSNRESHQSVWLFRSGIEEASRFLPEQNIVNFREENCMNKGRKNSSRDEICVEEERSSKLPAVFGEDILRSDVVDKILVHVPGEESMKEFDALREVLKKGVEKKKASVAQGGKRRERGRGRGRGGGGGQNGKKEVVDLRSLLIHCAQAVAADDRRCAGQLLKQIRLHSTPFGDGNQRLAHCFANGLEARLAGTGSQIYKGIVSKPRSAAAVLKAHQLFLACCPFRKLSYFITNKTIRDLVGNSQRVHVIDFGILYGFQWPTLIHRFSMYGSPKVRITGIEFPQPGFRPAQRVEETGQRLAAYAKQFGVPFEYKAIAKKWDAVQLEDLDIDRDEITVVNCLYRAENLHDESVKVESCRDTVLNLIGKINPDLFVFGIVNGAYNAPFFVTRFREALFHFSSIFDMLETIVPREDEERMFLEMEVFGREALNVIACEGWERVERPETYKQWHVRAMRSGLVQVPFDPNIMKTSLPKVPSFYHKDFVIDQDNRWIFVVSQLGSPNGILVARIDQRVCILLAELTCLDCNTYKRKLKETNV >fgenesh1_pg.C_scaffold_4001858 pep chromosome:v.1.0:4:18132403:18133531:-1 gene:fgenesh1_pg.C_scaffold_4001858 transcript:fgenesh1_pg.C_scaffold_4001858 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSTLKHSENLERELKTMLHFHANPSIVQASCPHLHFKFNTKSVTLCYIYMEYASLGNLDKMISDSRGRLPEDTVRRATRMILQGLKALHSEGYVHCDLKPSNVFVFPSNTPGEPWDLKLTGFGLSKEPTMDSSLLFPGNTEYMPPEAIAPKTFIGPDRLIGPARDRNSLKKFPTMYFVGNLLENTKRGKFI >fgenesh1_pg.C_scaffold_4001866 pep chromosome:v.1.0:4:18184068:18184741:-1 gene:fgenesh1_pg.C_scaffold_4001866 transcript:fgenesh1_pg.C_scaffold_4001866 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPWYLSNTSVFCLVDEIPTPSDPNGHSISCTIRLALDRMGYLGHKKIWAFTPKKQSSAAADPEILHISDSEDCRVRKMLFHIITFASSCDVGEQNNVIVISNKPPEGEFCRVLHTLEIRGFNVLLVQPHDEAQVLRSADLIFQCTTALDGSSGPTDFDNIDRVSYPSWEIDPDPDPANLDDSSSSLHF >fgenesh1_pg.C_scaffold_4001868 pep chromosome:v.1.0:4:18191921:18196016:1 gene:fgenesh1_pg.C_scaffold_4001868 transcript:fgenesh1_pg.C_scaffold_4001868 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNLIFKLDLLGEAEAALLPCEDYVEDVPGGAAGHYLLGLIYRYSGRNNSSIQQFRMALSFDPLCWEAYRELCSLGAAEESSTVFGNVASQRLQKTCVEQRINFSEGATIDQLTKDTSLSQTEHFPGENQQDLKTKQQPGADIPPDTDRQLNTNGWDLYTPSQVLLQAPEESGRRRSARIAARKKIPMSQSLGKDLHWLHLSPSESNCAPSLSSVIGKCRTQSSKEAVPDTFTLNDPATTSSQSVNDIGSSVDDEEKSNPSESSLDRFSLISGISEVLNLLKILGDGLRHLHMYNCQEALVVYQKLSQKQYNTHWVLLQVGKAYFELQDYFNADSAFTLAHQKYPYALEGMDTYSTVLHHLKEEMRLGYLAQELISVDRLSPESWCAVGNCYSLRKDHYTALKMFRRAIQLNERFTYAHTFCGHEYNSFRCTFLFEKSEFAQHQFQLALQINPRSSVIMCYYGIALHESKRNNEALRMMEKAVLTDAKNPVAKYFKANILNSLGDYHKAQKVLEELKECFPQEGSVHALLGKTYNQLKQYDKALLHFGIALDLSSSLSDAVKIKAYMERLIERVELKTEENL >fgenesh1_pg.C_scaffold_4001870 pep chromosome:v.1.0:4:18199832:18200505:-1 gene:fgenesh1_pg.C_scaffold_4001870 transcript:fgenesh1_pg.C_scaffold_4001870 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPWYLSNTSVFCLVDEIPTPSDPNGHSISCTIRLALDRMGYLGHKKIWAFTPKKQSSAAADPEILHISDSEDCRVRKMLFHIITFASSCDVGEQNNVIVISNKPPEGEFCRVLHTLEIRGFNVLLVQPHDEAQVLRSADLIFQCTTALDGSSGPTDFDNIDRVSYPSWEIDPDPDPANLDDSSSSLHF >fgenesh1_pg.C_scaffold_4001871 pep chromosome:v.1.0:4:18222325:18223384:1 gene:fgenesh1_pg.C_scaffold_4001871 transcript:fgenesh1_pg.C_scaffold_4001871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKE8] MWSSKRGGKNVSNASSSKEPSDHFIKKYGVTIFWDFENLTVDRNAEDLSQLKGNIEVALKTLDHRFFIHGKPKGFGKFKGANWREVIELQNTDAFDLQNVDTKTVPCDAGGCNMNVRDSETPLDDDPYARITDIADTLLATKIF >fgenesh1_pg.C_scaffold_4001872 pep chromosome:v.1.0:4:18224873:18228054:-1 gene:fgenesh1_pg.C_scaffold_4001872 transcript:fgenesh1_pg.C_scaffold_4001872 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LKF0] MMEDGNKLDRKKTMTMNWEGLGDFEDEDDDRFFETHDRLSSALAFDMSAASSSDEDEDFDDCRLSFSSAVSSLTTASRKFRTPAMSPDYDIWMAAPGSISERRRRLLHGMGLVSNKDMVSAVSIRRVVSNAAIVTNGEDKKMKKKIMNGEVDDESEIPQDHDHVPVMLARSRSESDIERFLIEKRRKEEILGKISKQRLTRTYSTIGVTRTRICQYQTPIRQSPAVCRNGKALRGGGSDALTSVMSNARLGAFFLIKNLDTGKEFIVNEYDEDGMWNRLSDLQTGKQLTLEEFEKCVGYSPVVKELMRRENVNRINYEPLMDLRKFNSYLSKSVRLSKRRGAALLKNIKGVAHSMSLRVADKDVSDGSTDSPKKGKDHKHGKANEWVKVRPTGKSYKELSALHMCQEIQAHEGAVWTIKFSQDAHYLASGGADRVIHVWEVQECELMSMNEGSLTPIHPSLCDSAGNEITVVEKKRKGKGSSGRRNNHIPDYVHVPETVFSFSDKPVCSLKGHLDAILDLSWSKSQLLLSSSMDKTVRLWDLETKTCLKLFAHNDYVTCIQFSPVDENYFLSGSLDAKIRIWSIQDRHVVEWSDLHEMVTAACYTPDGQGALIGSHKGICRAYDTEDCKLSQTSQIDVQSNKKSQAKRKITSFQFSPVNPSEVLVTSADSRIRILDGSEVIHKFKGFRNTCSQLSASYSQDGKYIICASEDSQVYLWKNDFQRTRSTLTTQSHEHFHCKDVSAAVPWHGHVRGEPPPVQIHSKRHSKRISTSSQPSSTIGSPTREETSAAAPTTSNRNKKSGLPPMPKKAATKSQIQPEEEAGPELGSSESFRSSMNGSEQHSSRFGESPSINTSSRLSSWSWFDSGGHGPQTIQPTAWGMVIVTATVHGEIRSYQNFGLPRRIGRQTTLF >fgenesh1_pg.C_scaffold_4001899 pep chromosome:v.1.0:4:18345554:18347360:1 gene:fgenesh1_pg.C_scaffold_4001899 transcript:fgenesh1_pg.C_scaffold_4001899 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQQRVSKVHGDRYPLCQGKDESVKHDMLDPPRYLRRTKEDFRGKALSFGVLDWKQFEKWKGTNADGTKEACRSYAETAGSSLELDLSTGVVKRLEVDLKSQHMRDHSFSLRTQAFSDAMDADIVMRMDQKGKRDHQLLSMKQREHQASPSNASELSSCISPGSETFRTVECQDRRHDVEGECSSPVTVVKRNQEKPCLLDQNIPTLTSKKERDPSPNRRFSFSFSQMSRSFSSKESSSSLSSISHASAKSGPLTFTDSVYATHSTRTKPNGHNRTRSGPLLIPKTAKRNIPLQVVASKPSNTRPPTIEKKQCSSRFHALLQFTLRKGINLFQFVVGDNSNNVLAATMKTSNSSTRSYTLYTVNEVKKQSGNWLSRHKNEHPFVHTTIGQMKTVTSSTTETSIQKSESVLFGVDSTNEELAAIVQTRNTTTIILPSGVHTLPKDGNNAPLPLINRWKSGGECDCGGWDIGCKLRVLSNNHIKSQTFSSFQLFDQERDEAAFKMVSHGDELHSVEFGSSISLLEAFFISLAVTSHQSWCQEEEEEAVVIGDGLLKRETPAKYATNPPVSPIGRV >fgenesh1_pg.C_scaffold_4001933 pep chromosome:v.1.0:4:18517185:18519923:-1 gene:fgenesh1_pg.C_scaffold_4001933 transcript:fgenesh1_pg.C_scaffold_4001933 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNLVLVLSSYLLFTQMVEGNVDKFDCVDIYKQPAFQHPLLKNHKIQENFSFNESHNVKIKYQKNDLSCPKGTVPILKQRNGTESVHLNTVDYPGQHFATIETVLDGSIYRGAEAMISVHNVTVQNNQYSKSQIWLENGPRGELNSIQIGWAVHPRLYGDTLTRFTIYWTADGYKKTGCYNTKCPGFVIINPFPVIGSFINKSSIYGGKETFVIIPQVLQDGFSGNWALKIFDEIIGYWPKELFTHLNKGASLVRFGGNTFTSPNGISPPMGNGHFPVFDFHKSSYYIHVKVKNSNYQLVDIEDRRARQYADSYQCYRLSYWGYSKPNGVAFSFGGPGGNCDI >fgenesh1_pg.C_scaffold_4001945 pep chromosome:v.1.0:4:18571387:18572341:-1 gene:fgenesh1_pg.C_scaffold_4001945 transcript:fgenesh1_pg.C_scaffold_4001945 gene_biotype:protein_coding transcript_biotype:protein_coding MADTFTRFTIYWTADGYKRTGCYNTKCPGFIIVSRVPSIGATFDDSSVYGGKETVFTKPQVFQDGFSGNWVLKLNDQVIGYWPKELFTHLNKGVSLVRFGGNTFPSPEGISPPMGNGHFPVIDFHKSSHFSFVKVKNSNYQSIDIEDKKTRLYADSYQCYRLTYWGYSKLNGVSFSFGGPGGNCGT >fgenesh1_pg.C_scaffold_4001946 pep chromosome:v.1.0:4:18574946:18583866:-1 gene:fgenesh1_pg.C_scaffold_4001946 transcript:fgenesh1_pg.C_scaffold_4001946 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHLVLCLSSYLLLTQAAVGSIDPILKDFDCVDIYKQPAFQHPLLKHHKIQEKFNSKERLRRKDEYHQTNDKSCPKGTVPILRQRNGIESVHLDTLEYPGQHFAVIENVLDGSIYRGAGAMISIHNLTLQNNQYSKNQIWLENGPRDQLNSIQFGLAVHPRLYGDTFTRFTIYWTPILKDFDCVDIYKQPAFQHPLLKHHKIQEKFNSKERLRRKDEYHQTNDKSCPKGTVPILRQTNGIESVHLDTLEYPGQHFAVIENVLDGSIYRGAGAMISIHNLTLQNNQYSKNQIWLENGPRDQLNSIQFGLAVHPRLYGDTFTRFTIYWTADGYKRTGCYNTKCPGFITVSRVPLIGTTFNDSSVYGGKETVFTKPQVFQDGFSGNWVLKLYDEVIGYWPKELFTHLNKGVSLVRFGGNTFPSPEGISPPMGNGHFPVIDYHKSAHFSFVKVKNSNYQSIDIEDKKTRLYADSYQCYRLTYWGYSKSNGVSFSFGGPGGNCVNCRWSLKWIQHSILNCSWRSHFSSIHEIDTLSSSKSGAQYYFDSKDSAFLGQSSKRIVFCLSSSSLPNEEDVVHQTVESDSPELPGENDLIRVVGDNDLSNTGSKGFKQTTTRSNLVAKQVFSMQSALHLGFVSRVDTTSSLYVLTWSHEIQRHTEISLTELPVVVTVNTCNIGRHWLINTSCLHLIVGAFALTTPPALELPILKDFDCVDIYKQPAFQHPLLKHHKIQEKINSKERLRRKDEYHQTNNKSCPKGTVPILRQTNGIESVHLDTLEYPGQHFAVIENVLDGSIYRGAGAMISIHNLTLQNNQYSKNQIWLENGPRDQLNSIQFGLAVHPRLYGDTFTRFTIYWTADGYKRTGCYNTKCPGFITVSRVPLIGTTFNDSSVYGGKETVFTKPQVFQDGFSGNWVLKLNDQVIGYWPKELFTHLNKGVSLVRFGGNTFPSPEGISPPMGNGHFPVIDYHKSSHFSFVKVKNSNYQSIDIEDKKTRLYADSYQCYRLTYWGYSKSNGVSFSFGGPGGNCGT >fgenesh1_pg.C_scaffold_4001947 pep chromosome:v.1.0:4:18586182:18588584:-1 gene:fgenesh1_pg.C_scaffold_4001947 transcript:fgenesh1_pg.C_scaffold_4001947 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHLVLCLSSYLLLTQVAVGSIDPILKDFDCVDIYKQPAFQHPLLKHHKIQEKFNSKERLRRKDEYHQTNDKSCPKGTVPILRQTNGIESVHLDTLEYPGQHFAVIENVLDGSIYRGAGAMISIHNLTLQNNQYSKNQIWLENGPRDQLNSIQFGLAVHPRLYGDTFTRFTIYWTADGYKRTGCYNTKCPGFITVSRVPLIGTTFNDSSVYGGKETVFTKPQVFQDGFSGNWVLKLYDEVIGYWPKELFTHLNKGVSLVRFGGNTFPSPEGISPPMGNGHFPVIDYHKSAHFSFVKVKNSNYQSIDIEDKKTRLYADSYQCYRLTYWGYSKSNGVSFSFGGPGGNCGT >fgenesh1_pg.C_scaffold_4001948 pep chromosome:v.1.0:4:18590899:18593281:-1 gene:fgenesh1_pg.C_scaffold_4001948 transcript:fgenesh1_pg.C_scaffold_4001948 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHLVLCLSSYLLLTQVAVGSIDPILKDFDCVDIYKQPAFQHPLLKHHKIQKKFNSKERLRRKDEYHQTNDKSCPKGTVPILRQRNGIESVHLDTLEYPGQHFAVIENVLDGSIYRGAGAKISIHNLTLQNNQYSNNQIWLENGPRDQLNSIQFGLAVHPRLYGDTFTRFTIYWTADGYKRTGCYNTKCPGFITVSRVPLIGTTFNDSSVYGGKETVFTKPQVFQDGFSGNWVLKLYDEVIGYWPKELFTHLNKGVSLVRFGGNTFPSPEGISPPMGNGHFPVIDYHKSAHFSFVKVKNSNYQSIDIEDKKTRLYADSYQCYRLTYWGYSKSNGVSFSFGGPGGNCGT >fgenesh1_pg.C_scaffold_4001964 pep chromosome:v.1.0:4:18662227:18663706:-1 gene:fgenesh1_pg.C_scaffold_4001964 transcript:fgenesh1_pg.C_scaffold_4001964 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMISNLPKDLIEEILSRLSWKSMKAVRLTCKSWNNLSKSEMYIGKSTREGQSMMIAMMPHNLYLMSCVVDVDPSIEHKGQLSFLHNQVSISRVFHYEGLILCILKDVTRIVVLNPYLGQTRWIKLRFSHCQQRFDMFSYALGYEHKESCRSFKFLRFIDYFYKAPETKFFWYEIYDFDSGSWSTLDVTPHWFISCSSDSVSLKGNTYWCARERTPENGLRDHIICFDFTRERFGPLLPLPVNVTDHDYGIVTLSCVKEEKIAALFQHYASFDSEFEIWITTKIEAEMVSWSKFLRMDTKPKIFFPDTFFIDEEKKVFMDVGRDYEGNWDYDYEYPKTLIYIIGEAGYFKKLDPGLPNQNRWPRVCSYVPSLVQIKKLARGKRIEQSSLEKHRFDQNMLRLAEIEIDTLLEVRLMVIILVICRSRTLRRVEFWKRSEMLLEPYGNSCIVMPILRDKETI >fgenesh1_pg.C_scaffold_4001965 pep chromosome:v.1.0:4:18664198:18665240:-1 gene:fgenesh1_pg.C_scaffold_4001965 transcript:fgenesh1_pg.C_scaffold_4001965 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIPPHKRHLNPARPAPVPDSLIPTFKKNLEFKSKNDKRNNIIYSGDSISKWFLVGSIIILSYKIVNMDMGEKEEERTQWQLVAEKVEKDLDMRLMLAAKRKITYLSEKEIGDIKALLESATVDPNVKGGLKWPFGKALTKDGYREFEVCHVRATIYKNKTLRLRVRETDRFNERSGTGEIKREVSLTLKDIDTKLQEQNMERACVVEMLRDALGTIWDFLHCDAYIT >fgenesh1_pg.C_scaffold_4001966 pep chromosome:v.1.0:4:18666639:18670477:-1 gene:fgenesh1_pg.C_scaffold_4001966 transcript:fgenesh1_pg.C_scaffold_4001966 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMIYDLPRDLIEEIFSRLPLKSMKAVRLTCKSWNNLFKSKVTTPTREGETMMIFRMMPDSFCLMSGIVDVDPSIERKGQLSFLYKVSVSRIYHYEGLILCKLKDVNRVMVWNPYLGQTRWINLRYSHLINGFNRYSYALGYEDKESCRSVKLLRFLDYFFHAPETQFFWYEIYDFDSGLWKTLDVTPNWGIYCSSPSVSLKGNTYWCASKRSSEGCMDHIICFDFTKERFGPLLPLPSSVRDRKSIYATLSCVKEEKLAALFQRPESYELYFEIWITTKIEAEMVSWSKFLRMDTGPKVNVPGSFLIDEEKKVFMGFCTDYNSDYDDCPKKFINIIGEAGYLKKLDLGVPAYQNHWLDVCSYVPSLVQIKKLARGKRIKQRSLEKHRFDQNRSRTLRGVEFWKRFEVLLEPYGNACIVMPILQETKHNITKESLGVHCYFSAVVAWDERNVFDLRKGNTYWCAYERSLNGHEHHIICFDFTKERFGPLLPLPSSITDHEYKIVTLSCVKEEKIAALFWHKASYDTEIEIWITTKIEAEMVSWSKFLRFNTCFFLDTGLKIVFPTTFFIDEEKKVFMGVGRENGSVRGDWDYDSGYPKTFINIIGEAGYLKILDPGLPNQNRWPRVCSYVPSLIPIKKLSRVGKNIEQSSLEKQRFDQNSSRLAAIEKLRSGGNVYEAQKGVFRRVRKIELGC >fgenesh1_pg.C_scaffold_4001968 pep chromosome:v.1.0:4:18672602:18674504:-1 gene:fgenesh1_pg.C_scaffold_4001968 transcript:fgenesh1_pg.C_scaffold_4001968 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIPPHKRHSKDPAKPSPVPDSLVTKFKKNLDFNSSSDKRNKIIYSGDSISKWFLVGSNGIEDEFPSFVKFVPLSSDSVECRKGEKPSILMNNNVQNDLVNMNIGGSKDERTQWLLVAEKVVEDLVLAYERAKTEMEEHHHVLRLVARSGKIFFYGSQAGPVAECSLKNLNKMFSTDVPVSCLQHIKSKVVPSHGFSIDVEKETYTVKAELNPVRHMVVDVSCIDKNLDMRLMLAAKRKMTSLTDKEISNIKALLDSATVDPNVKGGLRWPLGKASSEDGYRVFEACHVRATIYKNQTLRLRVRETDRFNERIGTGEIKREVTLILKDLNSKLQEQNTERGDVLEMLRDTLGTIWDFLHCDAYIM >fgenesh1_pg.C_scaffold_4001970 pep chromosome:v.1.0:4:18685450:18686104:1 gene:fgenesh1_pg.C_scaffold_4001970 transcript:fgenesh1_pg.C_scaffold_4001970 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSIPVKTGLRGASAAVAGFIKSSKPIRPISSSMDSPDKDSSATTTTSETSRRFVPLSSVVSDCAKRWFKDTLEEAKAGNITMQVLLGQMYYSGYGVPKDAKKGRLWITKASRVRSSVWKVKDKRPGYNASDSDSVSS >fgenesh1_pg.C_scaffold_4001974 pep chromosome:v.1.0:4:18697172:18700087:1 gene:fgenesh1_pg.C_scaffold_4001974 transcript:fgenesh1_pg.C_scaffold_4001974 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWLVLPLIGLWALSQLLPPAFRFEITSPRLACVFVLLVTLFWYEVLMPQLSTWRVRRNARLRERKRLEAMELQKLKKTATRRCRNCSTPYRDQNPGGGKFMCSYCGHISKRPVLDMPVPPGLGLSGSGILKDLVGRGGKMLNGKGWNENGWMHGQEWSENSTWTSESAYWRNNSGSTFGEDENCLGEKSYPGGVVFACRLLASFFMSIRWLWRKIFSFSSSVDESSTDADQRRLLARQGENGTSYHESRVEKARRKAEEKRQARLEKEHLEEEERKQREEVARLVEERRKLRDEKMEAEKCSKASSASKEKDTKREAEKKRQERKKERDRASSKSNSDVEEPDKRIGKDTDHKRELEKNDHFRSPNMEKRHGHGVDNNATSNSNMTGAGGRYFDRVKGTFFSSSKAFTDNRFFGRGVNMSATIAKENKHIGSADHSHASAHTRHINPPEFVAGKSGSNGEERNTTLHVVSEPQPSGEPKKSWQQLFARTPSVPASSNVNVISRPNTKPKDVQSSQVPNQDQSVRTFDNPISFGLPSPFTIPTYSSGSTISSLGFSSARDIVLPQPGEKAHAFMPEEERFEDPCYVPDPISLLGPVSESLDLRAGFETGGRLKKPHLLKNTPFCEVNKPSPIESPLSRLRVADEKQVNDGSWQMWKTTFGQDLLLPSDNTRANEESAVHHVPHNRTSSLFAKDDPILSAYSHKKESFENDQRSGPFSPIAGPSNHDPWSQKMFLPASSGIESLLSLSRPEDTGPNNVAYMSPTGLAPENPFELPSPNHWLKKVKKTGDGTGRQFVEGQFLNQDVQSFW >fgenesh1_pg.C_scaffold_4001983 pep chromosome:v.1.0:4:18728631:18732159:1 gene:fgenesh1_pg.C_scaffold_4001983 transcript:fgenesh1_pg.C_scaffold_4001983 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKKKRNKKKKNKPNNKRVDDAIASGATTFADENHIGDGDVPQISERPDVDESQSSHQINVVATRVHLVCVEMVDKNYGIVYEPSLSDTLKSSCFKQEDDSGVENKSQGSEVLLEETIKQLREENGSYLQKEAFLEERLVHLKTENEAHIQNEALLEEKLLHLRTENEAHTQNEALLEEKLLHLRTENEDHIQNEARLEERLLHLRSENEAHKQNEDHLEVGGQNNIVFMQEKLEERLVQYKNKNDMLLREMSSTEAQMRQLLDERSTFTQKEVSLEKKVQQLQHDEESLVAEEKSSREMISSLNNEIARLRAQVTELEESKSNLLEQNQSLKETVSSLQVQHENHDSNAKGASEEELNSQIEAACTLVEKLITENAELVEKVNELCIKLNQSQHASPESLAIEVEKSESLEEIPIHDELIRIDNSKDMDTALIKRNLSEGEIEETVPLSLNANGEVDVESQVVVAGEDEVSAGVPLADAPLIGAPFRLVSFVARYVSGADLAAKK >fgenesh1_pg.C_scaffold_4001992 pep chromosome:v.1.0:4:18769279:18770284:1 gene:fgenesh1_pg.C_scaffold_4001992 transcript:fgenesh1_pg.C_scaffold_4001992 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHRYNLLKGENYQFVCVQKYHYRLILAPSTYFITVVATDPSTSLPQTFHIRIEEDRHAAFILKCNISRIQGEFNKFDGGLCYPGNMPEWPTEDPFDNGKRFYVLNDSELQDNEWIRLYLELAVTKHLSTQMDPDLSELKIVNAAIDIQVLNEGLNAINATVYISYKDSCEARVGKDVDRIAIVRRNFDERTGCFTLMGMHQSSETIPKKGANQSEAGVGKDVDNIRRSYSKHTSCQETGFECRKNVQES >fgenesh1_pg.C_scaffold_4002001 pep chromosome:v.1.0:4:18811416:18812794:1 gene:fgenesh1_pg.C_scaffold_4002001 transcript:fgenesh1_pg.C_scaffold_4002001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:D7LCB5] MATIRVPNEVPSPAQDSETLNQAFRGWGTDEKAIIRVLGQRDESQRRRIRESYKEIYGKDLIHDLSSELSGDFMKAVVLWAYDPAERDARLVNKILKDKKKKKSLENLKVIVEISCTTSPNHLIAVRKAYCSLFDSSLEEDIASSLPFPLAKFLVTLASSFRYEKDKTDAEVATIEAAMLREAIEKKQLDHDHVLYILGTRSIYQLRETFVAYKKNYEVTIDEVQIHGLDVDGCPGDADLRSLLQMAILCIDTPEKHFAKVVRDSIEGFGTDEDSLTRAIVARAEIDLMKVRGEYFNMYNTSMDNAITGDISGDYKDFIITLLGSKI >fgenesh1_pg.C_scaffold_4002010 pep chromosome:v.1.0:4:18861659:18863910:-1 gene:fgenesh1_pg.C_scaffold_4002010 transcript:fgenesh1_pg.C_scaffold_4002010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 20 [Source:UniProtKB/TrEMBL;Acc:D7LCD3] MGNTCVGPNLNPNGFLQSVSAAVWRNQKPDDSLKSSKDESSRKKNDKSVNGDDSNPHVSSTVDPAPPTLPTPSTPPPQVKMANEEPPPKPIPENKIVEEDANSKPQKKEAHMKRMASAGLQIDSVLGRKTENLKDIYSVGRKLGQGQFGTTFLCVDKKTGKEFACKTIAKRKLTTPEDVEDVRREIQIMHHLSGHPNVIQIVGAYEDAVAVHVVMEICAGGELFDRIIQRGHYTEKKAAELARIIVGVIEACHSLGVMHRDLKPENFLFVSGDEEAALKTIDFGLSVFFKPGETFTDVVGSPYYVAPEVLRKHYSHECDVWSAGVIIYILLSGVPPFWDETEQGIFEQVLKGDLDFISEPWPSVSESAKDLVRRMLIRDPKKRMTAHEVLCHPWARVDGVALDKPLDSAVLSRLQQFSAMNKLKKIAIKVIAESLSEEEIAGLKEMFKMIDTDNSGHITLEELKKGLDRVGADLKDSEILGLMQAADIDNSGTIDYGEFIAAMVHLNKIEKEDHLFTAFSYFDQDGSGYITRDELQQACKQFGLADVHLDDILREVDKDNDGRIDYSEFVDMMQDTGFGKMGLKVS >fgenesh1_pg.C_scaffold_4002019 pep chromosome:v.1.0:4:18930395:18933380:1 gene:fgenesh1_pg.C_scaffold_4002019 transcript:fgenesh1_pg.C_scaffold_4002019 gene_biotype:protein_coding transcript_biotype:protein_coding MYPMLPEWQLIKFVDYFGIAFCDVPFSWPEMFNNPPLFKLIDVIDVPLSHIPESVYKISVDWIQRQKLNTLCGFIWWALNKINGCLTELRGGPPHTYRKSQRAMVLRGKPSALARVLPPMRFKYSRYREPDLLPVTVWMIAQLAAAYLPPDSSARVKATARFEAIYPLLKELALAGTPGGEAMKKGARQIFIFSLRLAGGANPVLAKEATSIAIWALTENIVCCNHWDNLYENNLKASVALLKNLVDEWKDHSRKLSSSRSNTLTLNQTMKSFRLKESVNIHIYVFEQKTGGSGGEWWQTLPLMTR >fgenesh1_pg.C_scaffold_4002022 pep chromosome:v.1.0:4:18947639:18949323:-1 gene:fgenesh1_pg.C_scaffold_4002022 transcript:fgenesh1_pg.C_scaffold_4002022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LCE5] MAKSLEIRFLFPLVLFLVLCVDGKRFNNNGHKMRKGRWEGKLKMNFYHKSCPKAEEIVKEIVSKKVAENPSLAPKLLRVHYHDCFVRGCDASLLLDSVAGKAASEKEARPNLSLSGFEIIDEIKSILEKRCPKTVSCADILTLAARDAVSYEFERPLWNVFTGRVDGRVSLATEAARDLPSAGANFTTLQKLFAESDLDVVDLVALSGAHTIGTAHCGVFGRRLLNFTGKGDTDPSLNPSYASFLKSECSDKSLRFNSSAVVGMDPTGPLTFDSGYFVSLLKNKGLFTSDAALLTDPSAAHIASVFQNSKTFLAQFGRSMIKMSSIKVLTLGDQGGEIRRNCRLVN >fgenesh1_pg.C_scaffold_4002048 pep chromosome:v.1.0:4:19075767:19077781:-1 gene:fgenesh1_pg.C_scaffold_4002048 transcript:fgenesh1_pg.C_scaffold_4002048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LD29] MGLCLMGWVSRVGIRQWVGSEIIRIFTTGEGRERRQEQIDDLFAPIERTAAALETDVNTSTLLLIQYLWNEERLVADRQDYERFLGATRPLVPSLEFNVGLVWATFSGGTNDDRKDILETENILTLIKMSTDDLYNFAIKAFKSKATDLVIGGEDLTTKSYSDFVFRFT >fgenesh1_pg.C_scaffold_4002077 pep chromosome:v.1.0:4:19193781:19195982:1 gene:fgenesh1_pg.C_scaffold_4002077 transcript:fgenesh1_pg.C_scaffold_4002077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyltransferase-I beta subunit [Source:UniProtKB/TrEMBL;Acc:D7LD62] MYELLPYHYQSQEINRLTLAHFIISGLHFLGARDRVDKDVVAKWVLSFQALPSNRVSLKDGEFYGFFGSRSSQFPIDENGDLIHNGSHLASTYCALAILKVIGHDLSIIDSESVLFSMKNLQQDDGSFMPIHIGGETDLRFVYCAAAICYMLDNWSGMDKENAKNYILNCQSYDGGFGLIPGSESHGGATYCAIASLRLMGYIGVDLLSNDSSSSIIDPSLILNWCLQRQANDGGFQGRTNKPSDTCYAFWIGAVLKLIGGDALIDKIALRKFLLSCQSKYGGFSKFSGQLPDLYHSYYGYTAFSLLEEPSLSPLCPELGLPLLAASGI >fgenesh1_pg.C_scaffold_4002089 pep chromosome:v.1.0:4:19270720:19271419:-1 gene:fgenesh1_pg.C_scaffold_4002089 transcript:fgenesh1_pg.C_scaffold_4002089 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMENRSFSVMLKTRASEGASDRHPSQLRFYFNCSFSCTNATIKKFRDALAKHGPDRCSLGRTKGLEEKELVALAANKDLNFTYRPKHVPVEKEAATPNLNPSLPSFRLILSKAFRRECTA >fgenesh1_pg.C_scaffold_4002096 pep chromosome:v.1.0:4:19306851:19308009:-1 gene:fgenesh1_pg.C_scaffold_4002096 transcript:fgenesh1_pg.C_scaffold_4002096 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKKEARGQIDPHVTQTPVRITPTSTVIDENNVPGSGESNVSNNVAVNSVFRRVLGDISNSPRNTSGQSPSNQRTPLSSTAIDNLNQRSTPYHNRNAKRSRNISPISCTTRGSGSSIQNNQFYDDLLHSHQSYVEDNGNSSDTDEDADFSNYEASSQGDYEDNNQEDFFFSSEEDYSSNASSDEDDRVDDVSQITDDIIYQAKDKFDILTMFEKAFGKPNPLPTNRHNRKSGTIYILTQS >fgenesh1_pg.C_scaffold_4002100 pep chromosome:v.1.0:4:19325381:19326310:1 gene:fgenesh1_pg.C_scaffold_4002100 transcript:fgenesh1_pg.C_scaffold_4002100 gene_biotype:protein_coding transcript_biotype:protein_coding MALAWCVRRFLGVIDSKILSALKSDDLHYIKETIPERFPFNIEDDPRAQFVRFTRENVREHVQVLAFMPSLVADEPSIKLTVTVTKNNGLCLEFSCTAFADSINIDIVSVNHPGGFFEGTLKNDWHFNFKDMDNDLKKIFYEYLETVVEASTTNFLHKYMMSKLKREY >fgenesh1_pg.C_scaffold_4002102 pep chromosome:v.1.0:4:19328334:19329501:1 gene:fgenesh1_pg.C_scaffold_4002102 transcript:fgenesh1_pg.C_scaffold_4002102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7LDS3] MAFACCVRKSATKLASVCGRVRSISAVMNHPSLDFNPSPMSPFVSRGFFNSMAVDKLSPEQSLHLVIDSELNSALQTDDPNLEQNEEMAPGSFPFKIRDKPGDQSVTLTAYYNDEHIHIDVGMPYLGDDVIDVFGPRNDELSFPLVVTVTKKNAVRIEFTCNAYADYIDLTDLTVHDYPFPMGETDWPRFKNLDDNLKKAFHRYLATRLEASITKLLHKYMVGKTKREYLLWLKNVKKFVDG >fgenesh1_pg.C_scaffold_4002127 pep chromosome:v.1.0:4:19471280:19472915:1 gene:fgenesh1_pg.C_scaffold_4002127 transcript:fgenesh1_pg.C_scaffold_4002127 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIQDLMLENVSSAVVKQRIITLANRLGIEENRSLFLLILYNWKEEDIIADLTSNANLSSMLRSSLPENDFHVAQNQLCSVCGFTGECGVLDCQHHACPHCLTDHVNTLLDAGNAVLICPAQGCNKFLNPSALSGLPILSRTKFTERILKDFITKVENPHPLVHLKRGLEFVWVNRGVLFTTGLAGAAAFGTNIVLNYRRKHPQTWRSLGIITKRGGILGWRRGLELEVDYVPFYVALRAILAEGREIRLY >fgenesh1_pg.C_scaffold_4002130 pep chromosome:v.1.0:4:19485373:19487392:1 gene:fgenesh1_pg.C_scaffold_4002130 transcript:fgenesh1_pg.C_scaffold_4002130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin influx transport protein [Source:UniProtKB/TrEMBL;Acc:D7LEE6] MKQILKMSIKETEEGIMENEVEERGDDLSMKSFLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMASGVILQIFYGFMGSWTAYLISVLYVEYRSRKEKQNVNFNNHVIQWFEVLDGLLGPYWKAIGLTFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAALVHGQVEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPRKFKYIYLMATLYVFTLTIPSAISVYWAFGDQLLTHANAFSLLPNSLWRDAAVILMLIHQFITFGYACTPLYFVWEKVVGMHETNSILIRAVTRLPVVIPIWFLAIIFPFFGPINSAVGALLVTFTVYIIPSLAHILTYRSAYSRQNAAEKPPALIGGWRGAYVVNVLVVVWVLVVGFGLGGWASMTNFIKQVDTFGLFAKCYQCPPPQPHH >fgenesh1_pg.C_scaffold_4002146 pep chromosome:v.1.0:4:19578685:19579567:-1 gene:fgenesh1_pg.C_scaffold_4002146 transcript:fgenesh1_pg.C_scaffold_4002146 gene_biotype:protein_coding transcript_biotype:protein_coding MVETDYEVAEDDEDLVQEKDSAAPKNFEVGSGSGEARVGVVLDLPITQAPPVGIQQTPATDNLPVIEQGEQALDGMGEDDGLSDWADEENYWEEENQEERVADEANDNQAFDFVPSDWENLANEEVEEEVASGKEITEEDMRLMKELENEMILDGLLEGDDLLGEELMDVDQDDLLANSEASPERTISEQVIPVSHLAVQIPATTQSPTSKRARSPSKERVRRSGKINGALGKEKIPSKLNGPVTDRFMAKDRLRGEGRHQPATQTSL >fgenesh1_pg.C_scaffold_4002162 pep chromosome:v.1.0:4:19658398:19659798:1 gene:fgenesh1_pg.C_scaffold_4002162 transcript:fgenesh1_pg.C_scaffold_4002162 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVMLDEANLWFHEYEPPLRRRKIEEAPPSPVEDLYFSDEEDMSPEEREKYRLQVVESCGFDVDFFKHTFNGIMPSGCCPYDTLFAKAGLHCYNLEKGKNLQFKTVVKVNAEIGSLYNSYSTSEVMDPVNNSLHTFQTLVTDAGKMNKARLILVTKICRLKPQVPGIGDANGRWDFDAIDDFYKGDMPDWPPNGACTKGDKLLQFYEVKESELRDNEWISLYAEAALFSEWHSDMSAYTPFEMKKVVVQTKEDVDSSMKLKSSNAIFYMTFKVREGPDCRGIVRKTSDGRTGHMRLEARCWIDK >fgenesh1_pg.C_scaffold_4002184 pep chromosome:v.1.0:4:19751136:19751908:-1 gene:fgenesh1_pg.C_scaffold_4002184 transcript:fgenesh1_pg.C_scaffold_4002184 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSNKEVFLGGFKKLLNEKWQADVRLKAGDSDESASIFAHKLVLVARSEVFKNILESDEFKASAKLVETVTLSEMKHEEVEALVEFIYSVDGSICSASVKKHARSLFLAADKYEIPLLRDLCRNELISSLNSSNALSILELAQIPFDKALNDAAFTTILTNMNTIASCDEFKLFVVNHPNLAVEIMKAYVTRASITKKCGYCGLSC >fgenesh1_pg.C_scaffold_4002236 pep chromosome:v.1.0:4:19990159:19992581:1 gene:fgenesh1_pg.C_scaffold_4002236 transcript:fgenesh1_pg.C_scaffold_4002236 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSPDLESIGSGTTTKRSSVSSGSRSRTRRDFLGRFTDSAHFTEKLEDWFALTLDNSATSNGPVFDVPFELVELQKFDYALEGISFQQLTRMPSPIYASTSNAVEAKSYLAIEDFLHATVKSLWEAFWSQDEPVPFSVGCLYNQNLKFYQAERALALGKLEGLSATGILLKNPRHPHGKWDHILELALLRSDIGSFAHDSDRLPSLPVLGEALFYALRILIARSVSRLDFSQSSNCVFILLVDSQYGGVVKVEGDVNKLDFDLNNVYECAADWIKKHSKIAVSPVDRIWNKLGNANWGDIGALQVVFATYHSIMQYFGAPRHSIEDLAADHSSRLHSRRQERQLGDTSLNENGMFRFQHSTMSPEIVEVQEESTKIESEPSMKLEVGSVLWLEESNYQKGYQINEILTNGTLPYHIASPVDDAGKSVFLYVGSPPSQLEPAWEDMNLWYQVQRQTKVLSIMKQRGLSSKYLPQLHGSGRIIHPGQCQKPSSGGRCDHPWCGTPILVTTPVGETVADLVNEGRFGTEEAIRCCHDCLSALSSSSSAGIRHGDIRPENVVYVTSGVRHPYFVLIGWGHAVLEDRDRPAMNLHFSSTYALQEGKLCAASDAESLIYMLYFCSGDFPELDSVEGALQWRETSWSKRLIQQKLGDVSAVLKAFSDYVDSLCGTPYPLDYDIWLRRLKRNLSEDHGKEIETSG >fgenesh1_pg.C_scaffold_4002261 pep chromosome:v.1.0:4:20116692:20117148:-1 gene:fgenesh1_pg.C_scaffold_4002261 transcript:fgenesh1_pg.C_scaffold_4002261 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLGFTNLDIDPRTGVIYFTDSSSVYQRRNRGDYEWSKPGRLMTQQLTTLLSNLVFANGVVGSKNGDYFLVVETTTCRILRYWLNATSIMSKSRENNVIFAERPLAFPTT >fgenesh1_pg.C_scaffold_4002262 pep chromosome:v.1.0:4:20119873:20120449:1 gene:fgenesh1_pg.C_scaffold_4002262 transcript:fgenesh1_pg.C_scaffold_4002262 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLKSLALLALLFSLSLAVFADTSNDATHAKDEVKPSTEASDAIEPQQREPKPEIEEAQVEASDAVVEPQQVWGGGCRFGCCGGLWYGGCLYCCMSPAEANEVAETVEPNDVEPQQRRGCRYGCCGSYAYGQCSACCSKKIMATEKEKKKKEAKP >fgenesh1_pg.C_scaffold_4002270 pep chromosome:v.1.0:4:20157028:20158066:-1 gene:fgenesh1_pg.C_scaffold_4002270 transcript:fgenesh1_pg.C_scaffold_4002270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LH58] MASDEEILQSRIEKLKEQLHKFGSDYGLEGTVLCQSLNQSATQVAFAFPSIEKKVNYAKIVFSGKETYVYEADKDDENHSKQATDKENVDLVLKQIEEAKWIASGLGFENLIEYERSRIPNMNLAELRGLLSLLSSLIKEATAETKIRTEELKRQTEKLKRQTEPRR >fgenesh1_pg.C_scaffold_4002302 pep chromosome:v.1.0:4:20351796:20353787:1 gene:fgenesh1_pg.C_scaffold_4002302 transcript:fgenesh1_pg.C_scaffold_4002302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore protein [Source:UniProtKB/TrEMBL;Acc:D7LH97] MEGVNAGRERSFRAAYNRMRDFSRSMEIQCNAQVALIAYSPDVGRAETYAFPGINEVMDRFGYEPKDFGMTPFQRYTEALAGVTGPVTLTQRTTEELESLRERLNAIIASSLSEQLNRAHLHHGDLQMTVEYYAHAAAPRQRNLMLKQLLTEILPRERGLCFLLGARGSGEEGQLGRFFPDSRLRQQFLVEAAHQCQEAGLYDKYVCLSIELSPCFPSSQSIEIQKRVGAFSAALETINKCLSEAICSFARGRLDGESRTSGLILAGNDILQTYKYYPGFSRIGEKKKRDFLQSLSRISMLPKTNLIDTSREAHAGQLVPVASSPPISSTPGKELVALANIPIHEKKAYVYGEVVKILNTSRE >fgenesh1_pg.C_scaffold_4002304 pep chromosome:v.1.0:4:20359517:20359995:1 gene:fgenesh1_pg.C_scaffold_4002304 transcript:fgenesh1_pg.C_scaffold_4002304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LHA0] MELYQSPAVRAQQFGRSFDIITKDANSVHAQTGANVAFAAIAENDRRVSHATPPGMVDILSRRGFAPTEPVSRYGVAESDLHLTTMAANELTELAEQAETAVTAITAELAGRNANND >fgenesh1_pg.C_scaffold_4002333 pep chromosome:v.1.0:4:20515789:20516988:1 gene:fgenesh1_pg.C_scaffold_4002333 transcript:fgenesh1_pg.C_scaffold_4002333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LHZ8] MVPPELEFKKYLGKGSYGSVSLFKYSKPHTTLYTAVKTCNYKNAESLQKEFEILSQFKGCSRIVQCYENRVIENLDVEGNLEYMMLMEYAAGGSLRTFMERSEDKKLPDPLIREFTRMILEGLATIHGQGYVHCDLKPGNILVFPKCVYKKGAWRSSYELKISDFGLTKRDGDNKWWHPHRPFVGTAIYMSPGSVSHGETGRGLDLWSLGCVVLEMYTGKKPWWHNNYDLEGLKKWYAPLIPSDLPCDAKHFIMACFTLNTDERKDALTLLEYSFLRGEVNKITKPHVKNENRKEISLTLRKVLKRPSKVTSLFKRAGELMKIIKKQPRPPRANLLPVYLCSLAEPSQKIWFFIYSSLCVNNDSMLGKLRDDDGEWNQNKFRY >fgenesh1_pg.C_scaffold_4002337 pep chromosome:v.1.0:4:20531553:20532425:1 gene:fgenesh1_pg.C_scaffold_4002337 transcript:fgenesh1_pg.C_scaffold_4002337 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLSFPSSSLPSLVAFDSSCRLSLQPKKLNRLQSHFFSPQHLSFSQSNVSRYRAFCVSSSSPPEGTVSVFDFHEKDWSFLESMEIESTEHTQKIERIIKAGEISESSRVLVSISSEAFVDRLVESSPSQLLLIVHDSLFTLACVKEKYDKVKCWQGELIYVPEKWSPLDAVFLYFLPALPFDLDDLFKTLSQRCSSGARVVISHPQGRQGLEQQRKEFSDVVVSDLPDDSTLRNVAKKRSFELTQFVDEQGLYLAVLKSFKQ >fgenesh1_pg.C_scaffold_4002350 pep chromosome:v.1.0:4:20585248:20587020:1 gene:fgenesh1_pg.C_scaffold_4002350 transcript:fgenesh1_pg.C_scaffold_4002350 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEDEFVPIVCDNGTGMVKAGFAGDDAPRATFPSVVGRPRHRGVMVGMNEKDSYVGDEAQARRGILTLKYPIEHGVVSNWDDMEKIWHHTFYNELRLDPEEHPILLTEAPLNPKANREKMTQIMFETFAFPSMYIGIQAVLSLYSSGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTEYLTKIMMERGYTYTTSAEREIVRDIKEKLCYIAVDYEQEMLKATTSSAIDKEYELPDGQVITIGTERFRCPEVLFQPSLIGMETCGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTTFPGIADRMNKEINALAPPSMKIKIVAPPERKYSVWVGGSILASLSSFAQMWITKADYDENGPSIVHRKCF >fgenesh1_pg.C_scaffold_4002355 pep chromosome:v.1.0:4:20596867:20598105:1 gene:fgenesh1_pg.C_scaffold_4002355 transcript:fgenesh1_pg.C_scaffold_4002355 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKTWLLEPYGLWAPRSLYLTWFPVSNLCLPPGPDTKIKKTDHVVVGDEKPVSDKDDEASGKYDGESLIMKTMTRGRTSSTKKVANRNVKIRESSLNVDDSKGKVKKTDEEKKGSSKKRSAASFLKRMKVGSSDETLKPSSAADSSTAGKGR >fgenesh1_pg.C_scaffold_4002357 pep chromosome:v.1.0:4:20605349:20606578:1 gene:fgenesh1_pg.C_scaffold_4002357 transcript:fgenesh1_pg.C_scaffold_4002357 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSVSHLIFNGAKERSIGQWTWSIGDGGESMRRGRGKGKKQSASTREDRGSGDEEKIPAYRRRGRPQKPMKDDFEEEEEEYDEEMVEKMEEEDEEIDDGSVTSKGLKKEKKRKMSNGSNMDLIEEENGLGSTSIGFRPDGSRRKSKPRRAAEAVVECNGV >fgenesh1_pg.C_scaffold_4002371 pep chromosome:v.1.0:4:20674603:20675363:-1 gene:fgenesh1_pg.C_scaffold_4002371 transcript:fgenesh1_pg.C_scaffold_4002371 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMKPLGKGSYGSVDLVRFTKPDGSNPYYQAVKTSYPQDFESLLKEFQILSKLRECPRIVQTCGTSLSRGVNDYGIRVYRMVMEDFTRMILEGLVSIHSHGYVHCDLKPENILVFPRTCEGSVSYELKISDFGISTKVGEDSEFWEYDSPFLGTSLYMSPESVQNGIAEEALDLWSLGCIVLEMYTGEPPWQLEDSKKLLPLLLNGKAQEIRVSSLGRKAIFTSVFRK >fgenesh1_pg.C_scaffold_4002383 pep chromosome:v.1.0:4:20723105:20723972:-1 gene:fgenesh1_pg.C_scaffold_4002383 transcript:fgenesh1_pg.C_scaffold_4002383 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGSPCGACKFLRRRCVKGCVFAPYFCHEQGASHFAAIHQVFGASNASKLLSHLPMEDRREAATTIYYEAQARRQDPIYGCVSHIFSLQQHVVNLQTQLEILKQQAKQSMMATDSPSIENPNYYQDTKPQYLQESHDLHHQHHQTTSDYQTEQHCDLKNIMTSCYHQNETGTRSFIGAGGDTTAASYYYNSSSGYSEELKSVSTIGEFSKYSEVDQQHLNTFNQYHDGGNDLLSESLGYITYS >fgenesh1_pg.C_scaffold_4002386 pep chromosome:v.1.0:4:20732578:20733971:-1 gene:fgenesh1_pg.C_scaffold_4002386 transcript:fgenesh1_pg.C_scaffold_4002386 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQMEKSLSETRNQKQTSFTFEIDNFSEKEAEISSSIYIRMRYVTVHPKGDYCCDHLAVYLNVASPKSLKFGWKKRVSYGFVLLNQSGKELQISSTPEEGSLFCDETQSWGYPKVFPLSKLKKEGFLENDKLIVKVEVEIVEAVHVEEVTGKGMLRIKGFEVPYTQGVLVSKIFVKHPDIAVDFKPKNQVVKTAYMNVLLGLIETLHKPPCSFTDTMLRNAHSELSELTEAGFKLDWLKKKLEEVALERKNAIAGSSRVEELVERIKNMELALSDLKVELKKEIAKSPAVSKVLSFDDIL >fgenesh1_pg.C_scaffold_4002387 pep chromosome:v.1.0:4:20736290:20737683:-1 gene:fgenesh1_pg.C_scaffold_4002387 transcript:fgenesh1_pg.C_scaffold_4002387 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQMQKSISNTRNQKQTSFTFEIDNFSEKKAAISSSLFGCGGCEWYVTVYPKGYYCRDHLAVILNVASPKSLRTGWKRKVSPCFVLLNQSGKELQILSTSEEEGSLFCDKVPSWGYHKVLPLSKLTEEEFLENDKLIIKVEVKLVEAVHEEEVTGKGMYSLNGFQILYTQVLLVSKIFARHPDIAVDFKPKSQVVKTAYMNVLLGLIETLRKPPQSFSETELSNAYSKLRELTEAGFKLDWKNAIADGSRVEEVEERINNLKVTLLDLIVELKKEKAKSAAAARVLSFDDIV >fgenesh1_pg.C_scaffold_4002410 pep chromosome:v.1.0:4:20832372:20833256:1 gene:fgenesh1_pg.C_scaffold_4002410 transcript:fgenesh1_pg.C_scaffold_4002410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LIU4] MSCREDREREEDDQFRHRSKPMISARTSSFISDQIRQIDRRFVMDLHTLLCQHLQSIIENDFKTGLQSPLISPFWVIRYQWIHHTWISTLSPSGFDDGGERDYEEEDSEERDNEKLVVSTFDGFRRIMESRLRERMQKIENQSLGNGLRCDGPTPEVRLSIPSFWSVSLEVS >fgenesh1_pg.C_scaffold_4002425 pep chromosome:v.1.0:4:20896539:20897024:1 gene:fgenesh1_pg.C_scaffold_4002425 transcript:fgenesh1_pg.C_scaffold_4002425 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQEFLELFKAAQRAAKYASDENSPEVARCIQFMKRLKEAPASLAIDVVLITNGIRFLRDHKNPQIRSEAQLLSDLWLRYLYATGREQSESLKDFEQEKVSR >fgenesh1_pg.C_scaffold_4002437 pep chromosome:v.1.0:4:20952564:20954218:1 gene:fgenesh1_pg.C_scaffold_4002437 transcript:fgenesh1_pg.C_scaffold_4002437 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP718 [Source:UniProtKB/TrEMBL;Acc:D7LJD4] MVLEPNFVLSWVFLCIAATISSTLFFFRKKPHRFITKKIQKIKKLPPGEMGLPWIGETMEFYKAQKSNRVFEDFVNPRIIKHGNIFKTRIMGSPTIVVNGAEANRLILSNEFGLVVSSWPSSSVQLMGMNCIMAKQGEKHRVLRGIAANSLSYNGLESLVPKLCDTVKFHLETEWQGNEEISLHRSAKVLTFTVVFECLYGIKVEIGMLGVFERVLEGVFALPVEFPCSKFARAKKARLEIETFLVGKVREKRKEMEEEGAEKPNTTLFSRLVEELIKGVITEEEVVDNMVLLVFAAHDTTSYAMAMTFKMLAQHPTCRDTLLQEHAQIKANKGEGEYLSVEDVKKMKYSWQVVRETMRLSPPIFGSFRKAVADIDYGGFTIPKGWKILWTTYGTHYNPEIFQDPMSFDPTRFDKPIQAYTYLPFGGGPRLCAGHQLAKISILVFLHFVVTGFDWSLVYPDETISMDPLPFPSLGMPIKISPKVS >fgenesh1_pg.C_scaffold_4002509 pep chromosome:v.1.0:4:21292204:21293166:1 gene:fgenesh1_pg.C_scaffold_4002509 transcript:fgenesh1_pg.C_scaffold_4002509 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRFALLFLLACHFLVSVMSSRNFTIENKCEYTIWPASYTYQGSLETNAFRLDKGQTRTVQATSSWIGQFWGRTLCTTNSSGGFSCITGDCNSGELECSDRVVNTTIMATLAEFNLAATPEDGVDYYDVSVINGYNLPLLVTPENEKCESIGCIVDLNRSCPSELRLSNSDTGSNDPFACRTSCQQNMSAEICCVGNYVAEDNVVGPEECKQTNYSQTFNNVCPRAYSYAYDTNSSTFTCQYSSNFVITFCPSSTTKLPLDPAKTQKSKR >fgenesh1_pg.C_scaffold_4002511 pep chromosome:v.1.0:4:21304204:21305219:-1 gene:fgenesh1_pg.C_scaffold_4002511 transcript:fgenesh1_pg.C_scaffold_4002511 gene_biotype:protein_coding transcript_biotype:protein_coding MANYAKSSTRKDTSALFLTTLLVLILTVSKPVTSQNCGCASNFCCSQWGYCGQTDDYCGEGCREGPCQRSSETGGGGNSGGGGDAVSLEGTVTPEFFNSILNQARGDCAGKGFYAHNAFMAAANSYQSFGASISKREIAAFFAHVTHETEFMCYIEEIDGPAKAENYCQKDNTDFPCAQGKAYYGRGPIQLSWNYNYGLCGRDLNENLLASPEKVAQDPVLAFKTAFWFWTTNVRGKFNQGFGATIRAINGMECSGRDPATVAKRIEYYRDYCDKLGVEPGDNLSC >fgenesh1_pg.C_scaffold_4002514 pep chromosome:v.1.0:4:21314282:21315034:-1 gene:fgenesh1_pg.C_scaffold_4002514 transcript:fgenesh1_pg.C_scaffold_4002514 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDQSEIEVCSGLGSDSIQEMKREGSLAHQGCGYDEQSMRMEQIKKRSVEQENSSDLNETGLRVMTGRYTKLSNTAPALPVNLVGMMIAFSHVSEKILNLDKEVPRRQLDDYKLALSELEKFGFDVRHLSPLLDKALDEQANVLVEQEEKDC >fgenesh1_pg.C_scaffold_4002531 pep chromosome:v.1.0:4:21369005:21369636:-1 gene:fgenesh1_pg.C_scaffold_4002531 transcript:fgenesh1_pg.C_scaffold_4002531 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAGSVGKISHCEYTYWDGSDAPGEEVMGDDSWDDKGRTKISHIYVAFDEVIMSIQFGFLENGALVLSEKYGAFEEGSNFRVLRLNQDEYVTGLSGVLEKDGRGIRNLTFRTNLGEYMIGRSSDNYPSDSTIDIDPAICDRREFGGFFGSYDRYRLTSIGMYLSPITSRAGPKFQNFL >fgenesh1_pg.C_scaffold_4002564 pep chromosome:v.1.0:4:21532330:21534039:1 gene:fgenesh1_pg.C_scaffold_4002564 transcript:fgenesh1_pg.C_scaffold_4002564 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRYAISLGELVELPQIPHDIASRMQNVFCVEETHHYASNEAVKAYGLHLGIEMCINMFRSLHLYTLNMENTTLAILMNLGMIDESKNFSFFTKEDVRPIFCANRPKSYISRTKGWEDFPQGRWGDSRSASYGALSDHQFSRPRARDKKLEQEWVVPLKSVEDIQEKFKELCLGNLKSSPWSELDGLQPETKIINEQLVKINSKGFLTINSQPSVNAERSDSPTVGWGGPVGYVYQKAYLEFFCSKEKLDAVVEKCKALPSITYMSVNKGENWVSNTAQADVNAVTWGVFPAKEIIQPTIVDPASFKVWKDEAFETWSRSWANLYQEADPSKICFCTFSLKYWDLVFTSVYHNK >fgenesh1_pg.C_scaffold_4002569 pep chromosome:v.1.0:4:21553019:21555355:-1 gene:fgenesh1_pg.C_scaffold_4002569 transcript:fgenesh1_pg.C_scaffold_4002569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 2 [Source:UniProtKB/TrEMBL;Acc:D7LKX4] MAIGNPEVAAMEEYTEAESSSNGKETQLASDLSKNLDLAEDEKVDNQEDEGIKGEVRLQRRRKRRKVKAMLALMILLKKLMIVNRKKKNSIQQTDPPSIPVLDLFPSGEFPQGEIQQYKDDNLWRTTSEEKREMERLQKPIYNSLRQAAEVHRQVRKYMRSILKPGMLMIDLCETLENTVCKLISENGLQAGIAFPTGCSLNNVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGHIVDSAFTVAFNPMFDPLLAASREATYTGIKEAGVDVRLCDVGAAIQEVMESYEVKINGKGYQVKSIRNLNGHSIGRYQIHAEKSVPNVRGGEQTKMEEGELYAIETFGSTGKGYVREDLECSHYMKNYDVGHVPLRLPKAKQLLATINKNFSTLAFCGRYLDRLGETKYLMALKNLCDSGIIEPCRPVCDVKGSYVSQFEHTILLRPTCKEIISKGDDY >fgenesh1_pg.C_scaffold_4002585 pep chromosome:v.1.0:4:21606051:21606540:1 gene:fgenesh1_pg.C_scaffold_4002585 transcript:fgenesh1_pg.C_scaffold_4002585 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFESKLPVSGEKNHVFEACHHVVKALRASDNNLDANLRKLLSDLESHLSTFGIADTKVEDAGFSEIKERFKEAMKRIRSWETNQSTVSEAGLSEANQFFQAPPKEKDVYNQATVALDIAMLRLEKELRDVLHQHKQHVQPE >fgenesh1_pg.C_scaffold_4002609 pep chromosome:v.1.0:4:21723843:21725602:-1 gene:fgenesh1_pg.C_scaffold_4002609 transcript:fgenesh1_pg.C_scaffold_4002609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7LLM8] MSQLKNGSSQYLWTSICMVLLVMSMAREAVSTNYGEALRNSLLYFEAQRSGKLPSNQRVTWRGDSALRDGSDAHIDLTGGYYDAGDNMKFGFPLAFTTTMLAWSNIEMASQLRAHHEKGNSLRALKWATDFLIKAHPEPNVLYGQVGEGNSDHKCWMRPEDMTTPRTSFRIDAQHPGSDLAGETAAAMAAASIAFAPSDKAYAQILIGHAKDLFAFAKAHRGVYQNSIPNAGGFYASSGYEDELLWAAAWLHRATNDQIYLDYLTQAETGGPRTVFAWDDKFVGAQVLVAKLALEGKVESSEEIVEYKSMAEQFICNCAQKGDNNVKKTPGGLLYFLPWNNLQYTTAATFVLSAYSKYLEAAKASINCPNGALQASDLLHLARSQVDYILGSNPKNMSYMVGVGTNYPKKPHHRAASIVSIHKDKTPVTCSGGFNAWYNNPAPNPNVLAGAVVGGPDDNDVYGDERTNFQQAEPATVTAAPLVGVLALVA >fgenesh1_pg.C_scaffold_4002619 pep chromosome:v.1.0:4:21763771:21764533:1 gene:fgenesh1_pg.C_scaffold_4002619 transcript:fgenesh1_pg.C_scaffold_4002619 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGYLFTDHVFSLVIYLIRGFLDQGNLDKAAQLFDRLNDDTSQELYDYDDIALVDATFVDYWFRQGNDHGKKSEAWELFEEMINNAWTCDGLLARLGAWNIVRGRGFVRGDVVTQRLGLLLSHEIPRFRSMINGYVMGEWMMLNGC >fgenesh1_pg.C_scaffold_4002625 pep chromosome:v.1.0:4:21789098:21790348:1 gene:fgenesh1_pg.C_scaffold_4002625 transcript:fgenesh1_pg.C_scaffold_4002625 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRRTYTSATPPKNEDAPSATPPSIVQALAAMSCTKRGRKLQSCTAKAAKGNRGGSKKKNKWLHFPPKPTFSKDGWVLARKSGPFYASTLLLNPFTRESFYLPPRRHEHRSRFLAFSAAPTSPSCMVISYIQLRSCGSVVIDTWRPGETEWTTHCFENQLPFRYWPKCVFSNGMFYCLSECGYLGVFDPSSKAATWNILPVKPCPAFDQYDYSHSPVFMTEHEGDIFVIYTHCYNNIPTVFKLNSKHKEWQEKTDLGGLTIYTSWPASFVRAGLSTEHMQEQNIFISS >fgenesh1_pg.C_scaffold_4002657 pep chromosome:v.1.0:4:21976885:21980773:-1 gene:fgenesh1_pg.C_scaffold_4002657 transcript:fgenesh1_pg.C_scaffold_4002657 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCNHCGKNKEAAKKAAGLNACNNASNIFEASKAAAAKSRKEKRQQRAEEEKNAPPPATAIEAVDSMVKRKKFRRINCDYLEELLDASAEKSPKRSKTETVMEKKKKEEHEIVENEQEEEDYAAPYEQDEEDYAAPYEMNTDEKFYESEVEEEEDGYEFGLSFLILNFVLQVKIVHICEATLTKRLIEFGNTEAASFTADELSKTERERKKETELRSKRNPISYKEGVVLCMHQDCKPVDYGLCKSCYDEFMKVSGGLEGGSDPPAFQRAEKERMEEKASREENDKQLNSDGHSDESSTLSDVDDRESDRFTVSQLDCYFRNPEEVRQVEIVFDLTNPEYNEKEAAKEAAALNASNNASNLFEASKAAAAKSRKEKRQQRAEEEKNAPPPATAMEAVGRMVKRKKFRGIHCEYLDLDTSAEKSPKRSKKKEEHEIVENEQDEEDYAVPYEMNTDEKFYEGEVEEEEDGYDFGLY >fgenesh1_pg.C_scaffold_4002680 pep chromosome:v.1.0:4:22072255:22074942:1 gene:fgenesh1_pg.C_scaffold_4002680 transcript:fgenesh1_pg.C_scaffold_4002680 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLSLFLILFFFFFLSPSLSSSSSELDILLDIKSSLDPEKRFLTSWTPDADPCSSGSFDGVACDGNRRVANISLQGMGLTGTIPPSIGLLTSLTGLYLHFNSLTGHIPKDISNLPLLTDLYLNVNNLSGEIPPQIGNLDNLQVIQLCYNKLSGSIPTQLGSLQKITVLALQYNQLSGAIPASLGDISTLTRLDLSFNNLFGPVPVKLAGAPLLEVLDIRNNSFSGFVPSALKRLNNGFQYSNNHGLCGDGFTDLNACTGLNGPNLNRPDPTNPTNFTTVDVKPESADLQRSNCSNNNGGCSSKTSKSSPLGIVMGLMGSILAVAIFGGSTFTWYRRRKQKIGSSLDAMDGRISTEYNFKEVSRRKSSSPLISLEYASGWDPLGRGQSSNNNSALSQEVFESFMFNLEEIERATQSFSEVNLLGKSNVSSVYKGILRDGSVAAVKCIAKSSCKSDESEFLKGLKTLTLLKHENLVRLRGFCCSKGRGECFLIYEFVPNGNLLQYLDVKDETGEVLEWTTRVSIINGIARGIVYLHGENGNKPAIVHQNLSAEKILIDHWYNPSLADSGLHKLFTDDIVFSKLKASAAMGYLAPEYITTGRFTDKSDVYAFGMILLQILSGKSKISHLMILQAVESGRLNEDFMDPNLRKNFPEAEAAELARLGLLCTHESSNQRPSMEDVMQELNKLAANY >fgenesh1_pg.C_scaffold_4002682 pep chromosome:v.1.0:4:22077602:22079697:1 gene:fgenesh1_pg.C_scaffold_4002682 transcript:fgenesh1_pg.C_scaffold_4002682 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIIMLDLSFNNLFGPVPVKLAGAPLLEVLDIRNNPLPASFPLRLNNGFQYSNNHGLCGDGFTDLNACTGLNGPNLNRPDPTNPTNFTTVDVKPESADLQRSNCSNNNGVCSSKTSKSSPLGIVMGLMGSILAVAIFGGSTFTWYRRRKQKIGSSLDAMDGRISTEYNFKEVSRRKSSSPLISLEYASGWDPLGRGQSSNNNSALSQEVFESFMFNLEEIERATQSFSEVNLLGKSNVSSVYKGILRDGSVAAVKCIAKSSCKSDESEFLKGLKTLTLLKHENLVRLRGFCCSKGRGECFLIYEFVPNGNLLQYLDVKDETGEVLEWTTRVSIINGIARGIVYLHGENGNKPAIVHQNLSAEKILIDHWYNPSLADSGLHKLFTDDIVFSKLKASAAMGYLAPEYITTGRFTDKSDVYAFGMILLQILSGKSKISHLMILQAVESGRLNEDFMDPNLRKNFPEAEGAELARLGLLCTHESSNQRPSMEDVMQELNKLAANY >fgenesh1_pg.C_scaffold_4002684 pep chromosome:v.1.0:4:22082300:22084394:1 gene:fgenesh1_pg.C_scaffold_4002684 transcript:fgenesh1_pg.C_scaffold_4002684 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIIMLDLSFNNLFGPVPVKLAGAPLLEVLDIRNNPLPASFPLRLNNGFQYSNNHGLCGDGFTDLNACTGLNGPNLNRPDPTNPTNFTTVDVKPESADLQRSNCSNNNGVCSSKTSKSSPLGIVMGLMGSILAVAIFGGSTFTWYRRRKQKIGSSLDAMDGRISTEYNFKEVSRRKSSSPLISLEYASGWDPLGRGQSSNNNSALSQEVFESFMFNLEENERATQSFSEVNLLGKSNVSSVYKGILRDGSVAAVKCIAKSSCKSDESEFLKGLKMLTLLKHENLVRLRGFCCSKGRGECFLIYEFVPNGNLLQYLDVKDETGEVLEWTTRVSIINGIARGIVYLHGENGNKPAIVHQNLSAEKILIDHWYNPSLADSGLHKLFTDDIVFSKLKASAAMGYLAPEYITTGRFTDKSDVYAFGMILLQILSGKSKISHLMILQAVESGRLNEDFMDPNLRKNFPEAEAAELARLGLLCTHESSNQRPSMEDVMQELNKLAANY >fgenesh1_pg.C_scaffold_4002702 pep chromosome:v.1.0:4:22156929:22158812:1 gene:fgenesh1_pg.C_scaffold_4002702 transcript:fgenesh1_pg.C_scaffold_4002702 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP704A2 [Source:UniProtKB/TrEMBL;Acc:D7LCK0] MEILTSIAITVATTIFIVLSFTIYLTIRIFTGKSRNDKRYAPVHATVFDLLIHRDELYDYETEIARTKPTYRFLSPGQSEILTADPRNVEHILKTRFDNYSKGPAGRENLADLLGHGIFAVDGEKWRQQRKLASFEFSTRVLRDFSCSVFRRNASKLIGFVSGVALSGKAFDAQDMLMRCTLDSIFKVGFGVELKCLDGFSKKGEEFMEAFDEGNVATSSRYIDPLWKLKWFLNIGSQSKLKKSIATIDKFVYSLITTKRKELAEEQNTVVREDILSRFLVESEKDPENMNDKYLRDIILNFMIAGKDTTAASLSWFLYMLCKNPLVQEKIVQEIRDVTSSHEKTTDVNGFIESINEEALDQMQYLHAALSETLRLYPPVPVDTRYAENDDVLPDGHRVSKGDNIYYIAYAMGRMTYIWGQDAEEFKPERWLKDGVFQPESPFKFISFHAGPRICLGKDFAYRQMKIVAMALLHFFRFKMADEKSNVCYKTMLTLHVEGGLHLCAIPRTST >fgenesh1_pg.C_scaffold_4002704 pep chromosome:v.1.0:4:22161468:22162515:-1 gene:fgenesh1_pg.C_scaffold_4002704 transcript:fgenesh1_pg.C_scaffold_4002704 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGKKRREKNYLAAHGGPARLPPPPDRSKQDDVPSKLRILMNYTSPSPHDSTKQVVEKKEKIKKSQVDVTATESEGDDSVLERKKKKRKRNQMTDLRFENELAELDGRSKRKERKKKYWEAKKQKKNQGKTEDTLRENFPKHEQIRFGDVVQAPPKLAVVPKARKSTLSASQERLRLEAIDAYRSRKGWIARPGVPIPAVVMQ >fgenesh1_pg.C_scaffold_4002708 pep chromosome:v.1.0:4:22184006:22186091:-1 gene:fgenesh1_pg.C_scaffold_4002708 transcript:fgenesh1_pg.C_scaffold_4002708 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP76C2 [Source:UniProtKB/TrEMBL;Acc:D7LCK6] MDIIFEQAYLFLLFCFILSFFLIFTITRSRSSLKVAPSPPGPPRLPIIGNIHLVGKNPHHSFADLSKTYGPIMSLKFGSLNTVVVSSPEAAREVLRTYDQILSNRSSTNSIRFINHHEVSVVWLPPSSPRWRLLRKLAATQLFSPQRLEATKTLRENKVKELVSFISESSEREEAVDISRATFITALNIISNILFSVDLGSYDSKKFSEFQDTVIGVMESVGKPDAANFFPFLGFLDLQGNRKTLKACSERLFKVFRGFIDAKIAEKSLRNVNPKDVSKRDFVDVLLDLTEGDEAELNTNDIEHLLFDLFGAGTDTNSSTVEWAMAELLRNPEKMGKAQAEIDSVIGQKGVVKESDISELPYLQAVVKETFRLHPAAPLLVPRKAEFDVEVLGFLVPKDAQVLVNVWAIGRDPSVWENPSRFEPERFMGKEIDVRGRDYELTPFGAGRRICPGLPLAVKTVPLMLASLLYSFDWKLPNGVLSEDLDMEESFGLTLHKTNPLHAVPVKKRCLN >fgenesh1_pg.C_scaffold_4002731 pep chromosome:v.1.0:4:22285622:22286310:-1 gene:fgenesh1_pg.C_scaffold_4002731 transcript:fgenesh1_pg.C_scaffold_4002731 gene_biotype:protein_coding transcript_biotype:protein_coding MGENQQICDADQEEPIDGHSIDVEASLDLMGTMEQDIQKEVGNADLVMIDDQEKDFEKETEMGTKEKDGDEEAKSEKPKKKKRSKKGSTPTKVDALVANNVVLWLY >fgenesh1_pg.C_scaffold_4002740 pep chromosome:v.1.0:4:22316258:22319564:-1 gene:fgenesh1_pg.C_scaffold_4002740 transcript:fgenesh1_pg.C_scaffold_4002740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:D7LD98] MATDMQKLLGTSEEDDDEEMDMDVKEEDDGDRRNRDTRAASGSSNDEFMFQQSMQDQVGTPGGGGSRRSRPLEEKERTKLRERHRRAITARILGGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPAKSQGTKPTGGSSAVAAGSSASHIASQQTSTPALRVVSSGRRSPVELSACRMKGVFTPASSPYDKFPTQSPELVGSVNKAEGLVGCSVDVINSKQILDIPPNLTEQDFSGTPYVPVYVMLPLGVINMKCELADRDGLVKHLRILKSIHVDGVKVDCWWGIVEAHSPQEYNWTGYRQLFQMVRDLNLKIQVLMSFHECGGNVGDDVCIPLPHWVAEIGRTNPDIYFTDREGRRNPECLSWGIDKERILRGRTALEVYFDYMRSFRIELAEFLEDGVISMVEIGLGPCGELRYPSCPIKHGWRYPGVGEFQCYDKYLSKSLRKAAESRGHLFWARGPDNTGSYNSQPQGTGFFCDGGDYDGLYGRFFLKWYSQVLIDHADQILSLAKLVFDSSCIAAKLPDVHWWYRTASHAAELTAGFYNPSNRDGYAAIASTLKKHGATLSFVSGEVQVLNRPDDFSGALGEPEAVAWQVLNAAWDSDTPVARENSLPCHDRVGYNKMLESVKFRNDPDRRHLSSFAYSRLVPALMEEHNIVEFERFVKKLHGN >fgenesh1_pg.C_scaffold_4002793 pep chromosome:v.1.0:4:22554768:22555564:1 gene:fgenesh1_pg.C_scaffold_4002793 transcript:fgenesh1_pg.C_scaffold_4002793 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGIFRSIFEGCISGLDSAIERRPYHKNCGCALHDKSSGAGKNQNQRRPPSCRRHGSSESISFPIRRSWSEGNIMAMNLFSSSSSSSNLQSLSSSSSLSNLASDLPVDDAATEEPSRSSKQLRWTIDVVITPKRRRNKNSIVLNDTCRGGG >fgenesh1_pg.C_scaffold_4002794 pep chromosome:v.1.0:4:22557792:22559043:-1 gene:fgenesh1_pg.C_scaffold_4002794 transcript:fgenesh1_pg.C_scaffold_4002794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LEJ4] MREELRKSVLESVQFHFRRSCLQWPGDPNEDLGLGTSVDARSRRVLDAVRDVDQMVATMTTTLREILQMHLTLEYYLLPAPMRNFPRRENLENPNHYHYALFSDNVLAYPNYKSMLNLLRFYISIIFPKLEKILLLDDDDVVVQKDLTPLWSIDLKGKTTLKEWKKNNITEAYHFWQKLNENQTLWELETLPAGLIMFYNLTLPLERKWHLLGLGYDKEIDEKEIANSAVIHFNGPLKPWKELGVTKYQPYFVGFVCLQNMADILSCYTFLL >fgenesh1_pg.C_scaffold_4002795 pep chromosome:v.1.0:4:22559400:22560196:1 gene:fgenesh1_pg.C_scaffold_4002795 transcript:fgenesh1_pg.C_scaffold_4002795 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGIFRSIFEGCISGLDSAIERRPYHKNCGCALHDKSSGAGKNQNQRRPPSCRRHGSSESISFPIRRSWSEGNIMAMNLFSSSSSSSNLQSLSSSSSLSNLASDLPVDDAATEEPSRSSKQLRWTIDVVITPKRRRNKNSIVLNDTCRGGG >fgenesh1_pg.C_scaffold_4002797 pep chromosome:v.1.0:4:22563436:22564232:1 gene:fgenesh1_pg.C_scaffold_4002797 transcript:fgenesh1_pg.C_scaffold_4002797 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGIFRSIFEGCISGLDSAIERRPYHKNCGCALHDKSSGAGKNQNQRRPPSCRRHGSSESISFPIRRSWSEGNIMAMNLFSSSSSSSNLQSLSSSSSLSNLASDLPVDDAATEEPSRSSKQLRWTIDVVITPKRRRNKNSIVLNDTCRGGG >fgenesh1_pg.C_scaffold_4002814 pep chromosome:v.1.0:4:22635013:22636485:-1 gene:fgenesh1_pg.C_scaffold_4002814 transcript:fgenesh1_pg.C_scaffold_4002814 gene_biotype:protein_coding transcript_biotype:protein_coding MGILWDSFLFLLVATFALFLVRIVLFKTGLIYMVKLWRRKIIDWFHVYQFYKVPEFNDNVQENHLYQKVYSYLNSLSSIENSDFTNLFTGKKSNEIILRLDRNQVVGDEFLGARVCWINGEDEDGARNFVLKIRKADKRRILGPYLQHIHTVSDELEQRSTELKLFINDLDRYLSTKSTAVSLSGILNFTDSILSSCTADERVMVFTMTGKEQIDPAMLRPGRVDVHIHFPLCDFTAFKTLANNYLGLKEHKLFSQVEGIFQNGASLSPAEIGELMIANRSSPTRALKYVINALQTDGDRRGTGRRLLLESGSRKSTSEDVSDDMSGLLCGGGGGGSSPAVKEFRKLYGLLRIKSSRKSGSFDVAREMKDG >fgenesh1_pg.C_scaffold_4002818 pep chromosome:v.1.0:4:22658994:22659511:-1 gene:fgenesh1_pg.C_scaffold_4002818 transcript:fgenesh1_pg.C_scaffold_4002818 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEDKKEEKNTMKPSTSDVITLMDIGLKNRVVGSTALNERRSRSQSIVIVHVRGIDFKTGYVLYGKDVRDLMEQLASLKDTIARKDKEIERLHQVKDIQHHETGE >fgenesh1_pg.C_scaffold_4002825 pep chromosome:v.1.0:4:22711227:22712615:1 gene:fgenesh1_pg.C_scaffold_4002825 transcript:fgenesh1_pg.C_scaffold_4002825 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7LF81] MADFKLLLLILILLSLFELDLLHFHHDFFSSFWVKIGLLMISIFFYAYSTTRSKPVYLVDFSCHQPTDSCKISSETFFNMAKGAQLYTEETIQFMTRILNRSGLGDDTYSPRCMLTSPPTPSMYEARHESELVIFGALNSLFKKTGIEPREVGIFIVNCSLFNPNPSLSSMIVNRYKLKTDVKTYNLSGMGCSAGAISVDLATNLLKANPNTYAVIVSTENMTLSMYRGNDRSMLVPNCLFRVGGAAVLLSNRSQDRFRSKYELTHLVRTHKGSSDKHYTCAEQKEDNKGIVGVALSKELTVVAGDTLKTNLTALGPLVLPLSEKLRFIIFMVKSKLFRLKASPYVPDFKLCFKHFCIHAGGRALLDAVEKGLGLSEFDLEPSRMTLHRFGNTSSSSLWYELAYVEAKCRVKRGDRVWQLAFGSGFKCNSIVWRALRTIPANESLVGNPWGDSVHKYPVHVT >fgenesh1_pg.C_scaffold_4002831 pep chromosome:v.1.0:4:22763931:22765447:1 gene:fgenesh1_pg.C_scaffold_4002831 transcript:fgenesh1_pg.C_scaffold_4002831 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRQFQMEGNNNNNTNDTKLTKIFVGGLAWETQRDTMRRYFEQFGDIVEAVVITDKNTGRSKGYGFVTFKEAEAAMRACQNMNPVIDGRRANCNLACLGAQKPRPPTSPRHGGTGRFRSPGSGVGLVAPSPQFRGSSSSSAFVPQQQHTGQFPFPYSTYGFSGYSQEGMYPMNYYNHHLYGGQQFSPYMGHPSSGSTGMFHGFYPFYAQYNAAQSSNQAQAQVQAQHHQGFSFQYTAPPAAPLLQYPYLPHQQHFSSQQQFSSQQPPPPILSLPTSLALSLPSTSSPSSSTSTSAATKTVVITTATKNAATGETSTKDGDEAITTSTTKIEG >fgenesh1_pg.C_scaffold_4002847 pep chromosome:v.1.0:4:22834804:22836738:-1 gene:fgenesh1_pg.C_scaffold_4002847 transcript:fgenesh1_pg.C_scaffold_4002847 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP709B1 [Source:UniProtKB/TrEMBL;Acc:D7LFX2] MELVIFLALIVLLLIGLRIFEAFVILVWHPFVVTRRFKNQGISGPKYRIFHGNLSEIKKMKRESHLSTLDPSSNDIFPRILPHYQRWMSQYGETFLYWNGTEPRVCISDPELAKNILSNKLGFFVKSKMRPEVVKLVGSKGLVFIEGSDWVRHRRILNPAFSIDRLKIMTTVMVDCTLKMLEEWRKEKSKEETAQPMIKKEMHREFQRLTADVIATSAFGSSYVEGIEVFRSQMELKKCCIPSLNKVFIPGTQYLPTPSNFRIWKLERKMDKSIKRIIRSRLESQSDYGDDLLGILLKAYKTEGNERKMSIEEIIHECRTFFFGGHETTANLLTWTTMLLSLHQDWQEKLREEIFKECGKQKTLDSETFSKLKLMNMVIMESLRLYGPTSALAREASVSTKLGDLEIPKGTTVVIPLLKMHSDKTLWGSDADKFNPTRFANGVSRAANHPNALLAFSVGPRACIGQNFVMIEAKTVLTMILQRFRFISLCDEYKHAPVDHLTIQPQYGLPVMLQPLED >fgenesh1_pg.C_scaffold_4002851 pep chromosome:v.1.0:4:22855552:22856591:1 gene:fgenesh1_pg.C_scaffold_4002851 transcript:fgenesh1_pg.C_scaffold_4002851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7LFX6] MGRGRSSSSSSIESSSKSNPFGGSSSTRNLSTDLRLGLSFGKSSGTQYFNGGYGYSVAAPAAEEEEEENECHSVGSFYVKVNMEGVPIGRKIDLMSLNGYHDLIRTLDFMFNASILWAEEEDMCNEKSHVLTYADKEGDWMMVGDVPWEMFLSTVRRLKISRANYHY >fgenesh1_pg.C_scaffold_4002914 pep chromosome:v.1.0:4:23171219:23172116:1 gene:fgenesh1_pg.C_scaffold_4002914 transcript:fgenesh1_pg.C_scaffold_4002914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase [Source:UniProtKB/TrEMBL;Acc:D7LHB8] MATIKVHGVPMSTATMRVLAALYEKDLQFELIPVDMRAGAHKQEPHLSLNPFGQIPALEDGDLSLFESRAITQYIAEEYSEKGEKLLCPGCKKVKATTNVWIQVEGQQFDPNASKLAFERVFKGMFGMTTDPAAVQELEGKLQKVLDIYEARLSKSDFLACDCFTLADLHHLPAIHYLMGTDSKVLFDSRPKVSEWVKKITARPAWAKVIDLQKQ >fgenesh1_pg.C_scaffold_4002937 pep chromosome:v.1.0:4:23264061:23265550:-1 gene:fgenesh1_pg.C_scaffold_4002937 transcript:fgenesh1_pg.C_scaffold_4002937 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVEDESNGAARYENSKAEETEKAIACFSEAAAKIDPSDLAAFLEQLLDKFWSLPEDQVLRFINYFEIKISQESFPWVNMFKESPLSTLIHFPLSHISTSVDWINKLPFLTLRAFLLWACKSLADAKPTYQFLLKKFFNYKNGGRPPKVIYLLACILLISILSNPEARTILVNRAIRDGERLIPPSSFEILVRLTFPPSSARVKVTKRFEKVYPLLKEVALALESGTEGNAVEQIFTFSLKVAGEAKETAAIAIWSVTENVDYFKRWEILYKEHLEASVALLRKLVDE >fgenesh1_pg.C_scaffold_408000001 pep scaffold:JGI8X:scaffold_408:4600:6900:1 gene:fgenesh1_pg.C_scaffold_408000001 transcript:fgenesh1_pg.C_scaffold_408000001 gene_biotype:protein_coding transcript_biotype:protein_coding MNELMKTCLFIKFINKLFTSIAEHCADAEVIYKFLEVNEIDKTTVVSGDDLFVHQSISSEKFRSLTVDEAVEFKVEVDNASRLKTVEVSGPEGEDVMVEIIKEDMVVMVLALGVVNQVIWREIVLKVVEDTVVVEVVEGTIKSIGYDIYDSYTKDQSLQKNL >fgenesh1_pg.C_scaffold_419000001 pep scaffold:JGI8X:scaffold_419:28:676:-1 gene:fgenesh1_pg.C_scaffold_419000001 transcript:fgenesh1_pg.C_scaffold_419000001 gene_biotype:protein_coding transcript_biotype:protein_coding MAARYWCHMCSQMVNPIMESEIKCPFCQSGFIEEMSGNSGGGGGRGIRDVQDSETDFGTDRALSLWAPILLGMMSSPRRRRRFRRSEFGEENDDNGDELSNADGNDNNNNSNVYYHHRARRHGGEIDLDREFESILRRRRRSSGNILQLLQGIRAGIASEYESSDNDWDNSRERDSNNNRVIMINPYNQSLVVQGSSDQNPNHPSLTSLGDYFIGP >fgenesh1_pg.C_scaffold_436000001 pep scaffold:JGI8X:scaffold_436:6810:7636:-1 gene:fgenesh1_pg.C_scaffold_436000001 transcript:fgenesh1_pg.C_scaffold_436000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXJ3] SDGYQKTGCYNLLCGGFVQTNNQYSVGGSYNTVSEYDGAQLSLNLLIWKDQKTGNWWLKINDNDIIGYWPGSLFNSLGDGAIKVEWGGEIFTQTSKTHTTTDMGSGHFAEEGFKKASNVRNIMIVDGTNALREP >fgenesh1_pg.C_scaffold_450000001 pep scaffold:JGI8X:scaffold_450:147:2282:-1 gene:fgenesh1_pg.C_scaffold_450000001 transcript:fgenesh1_pg.C_scaffold_450000001 gene_biotype:protein_coding transcript_biotype:protein_coding MGASKFDVWISEPASVSERRSKLLNEMGLSRDPVLSRLKPVSDSSFKETGAGSSDISRSISCNQLARRDHGECFETVGGCASCIVRSKSDITTSQCGDRERRYTSLGNSCSCSVSKLSVRHPSHSEISRTSPPFVNCSLGSVSADSCENSLRLNGDTDCVLSESVVNEEVEMTMEEFEMCVGHSPIVQELMRRQNVEDSDKNTSKENEDSGNSNKDNASKSKKKGSWFKSIKSVASSMTGHSKERRSSDDRDTSSERGGRRSSSATDDSQESSFHGPERVRVRQYGKSSKELTALYKTQEIQAHNGSIWSIKFSLDGKYLASAGEDCIVHIWQVVEAEKKGELLLDRPELLLLANNGSPEPTTMSPRRRGRTSISRKSLSLENIFVPDSLFGLSEKPFCSFQGHVDDVLDLAWSKSQYLLSSSMDKTVRLWNLSSQTCLKVFSHSDYVTSIQFNPVDDRYFISGSLDAKVRVWSIPDRQVVDWYDLHEMVTSACYTPDGQGALVGSYKGSCRMYSAS >fgenesh1_pg.C_scaffold_453000001 pep scaffold:JGI8X:scaffold_453:314:1490:1 gene:fgenesh1_pg.C_scaffold_453000001 transcript:fgenesh1_pg.C_scaffold_453000001 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSFMKFWLTNNNTTIKPRREIRISESAVDSTTASEDPELDLYEGDDSFFELEISLSDFKTEKQRLETKTYSVSNKSKVLPFVDITTKQQQSPITLLKSGPKFRAFSFKKSEKSTTTEKKKEENNRVDDDTTSFRKTASIARLQQTDDTMFDDSVSKRFFSLIKPLYTKSTKKHSSSTITSPSSSPAAREKQRSNIPSGIRSVRRQLGKSRSASAAIGGMSPANRVDESLQVQQDGIQSAILHCKRSFHGSRDSYLFSRISSDSMSEKSIDSLTSIKEQREKISD >fgenesh1_pg.C_scaffold_462000002 pep scaffold:JGI8X:scaffold_462:6354:7549:1 gene:fgenesh1_pg.C_scaffold_462000002 transcript:fgenesh1_pg.C_scaffold_462000002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVIISRVIFLILALFCCTDNSHRKLIWEGSAGVFKGFRTLTNTTKHTYGQAFDDKPFPFKNFLTGAMTSFSFTFFFAIVPEHKHKGSHGMAFVISPTRGIPGASADQYLGIFNEVNNGNNSNHVIAVELDINKDDEFGDINDNHVGININGMRSIVSAPAGYYDQEGQFRNLSLISGNLLRVTILYDQKKKELSVTLSSPEEAYYPKQPLLSLNQDLSPYLLEKMYVGFTASTGSVGAMHYMSIWYRYTILIVPDLDIGIPTFPPYPKEKSLVNRILWVTGLALALLVAMAASGFSLFLYRKNQMVQEVLEEWEIQNGPHRFSYKELFKATNGFKQLLGKGGFGQVFKGTLPGSDANIAVKRVSHGSSQGMQEFLAEISTIGRLRHPNLVRLLGYC >fgenesh1_pg.C_scaffold_463000003 pep scaffold:JGI8X:scaffold_463:6386:7097:-1 gene:fgenesh1_pg.C_scaffold_463000003 transcript:fgenesh1_pg.C_scaffold_463000003 gene_biotype:protein_coding transcript_biotype:protein_coding MPEWPTEDPFDNGKRFYVLKNSEMQDNDWIRLYLELAVAKYTSDKKDPDLSNLKIVNVAIDIQDLNEGLNAKNATVYISYKDEARVGKDVDRIAIARRNFDERTGCFSLMGKHQSLEIIPKKGENQSEDDPCDDVDHIRRSFYEHTRCFNPKNQDLSAENKCKYQRVIRRLRVIKPWRFSSPRRWQPEPYRKSCCRCTPRLHKTRSI >fgenesh1_pg.C_scaffold_467000002 pep scaffold:JGI8X:scaffold_467:7190:7524:1 gene:fgenesh1_pg.C_scaffold_467000002 transcript:fgenesh1_pg.C_scaffold_467000002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXM7] MTSALLSPSHRRKRRLTHFFFTVLAFILLAAFIYGHHFISFSRRSLHSPTIVHQSAIVVVVDEPPPPPPPSPPPPSPPPPSPPPPSPPPPAFALGKTPEGCDVFKGNWVKD >fgenesh1_pg.C_scaffold_472000001 pep scaffold:JGI8X:scaffold_472:260:1198:-1 gene:fgenesh1_pg.C_scaffold_472000001 transcript:fgenesh1_pg.C_scaffold_472000001 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDKESDAVAEEESHVQEDDRNDASNENLTTENDDQLLQTIAELRIENDFLRSQFKDQVEQSRSHQVEADQLKQLQEQVASLSREIDVEKQTRVAAEQALEHLREAYSEADAKAQDYSTKFSQVEQKLDQEIKERDEKYADLDAKFTRLHKRAKQRIQEIQKEKDDLDARFREVNETAERASSQHSSMQQELERTRQQANEALKAMDAERQQLRSANNK >fgenesh1_pg.C_scaffold_480000001 pep scaffold:JGI8X:scaffold_480:3380:4715:1 gene:fgenesh1_pg.C_scaffold_480000001 transcript:fgenesh1_pg.C_scaffold_480000001 gene_biotype:protein_coding transcript_biotype:protein_coding MFSEDIPSILDGDSLILGELYYLTKDKTDYAWYTTSIKIEDDDIPDQKGQKTILRVAGLGHALIVYVNGEYAINLRTRDNCISILGVLTGLPDSGSYMEHTYAGPRGVSIIGLKSGTRDLIENNEWGHLVYTEEGSKKVKWEKYGEHKPLTWYKTPEGENAVAIRMKGMGKGLIWVNGIGVGRYWMSFVSPLGEPIQTEYHIPRSFMKEEKKKSMLVILEEEPVAKMVPTSSPTKMINDLLNYKDGMVALRETQKP >fgenesh1_pg.C_scaffold_488000002 pep scaffold:JGI8X:scaffold_488:4769:5753:-1 gene:fgenesh1_pg.C_scaffold_488000002 transcript:fgenesh1_pg.C_scaffold_488000002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXP8] MLRTEMRMCPSAPDVPSSSHAPLVNAGRPTLRDQPPPRYAEIVLQTLPVHVVAASGTPPPNVPSKTDISKSNHRYLASPTNESSNEWQKLSLTQGGSSVTAAQFAEEEEAIQIGQRIIRRRSSSPQRPPTILPDQSNKPLTSITSQPSAGIITTSKEVSVAKVAKKPPSKSGKSSQSSQSRRIPGKGRANHHA >fgenesh1_pg.C_scaffold_5000010 pep chromosome:v.1.0:5:124796:127036:1 gene:fgenesh1_pg.C_scaffold_5000010 transcript:fgenesh1_pg.C_scaffold_5000010 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPSSLSSTAVASTSIPAATAPVPPPPPPHVTSSYPESLDSSPRSRTTDGWDDLPAPSGGGGGSAVSSKLRLMCSYGGHILPRPHDKSLCYMGGDTRIVVVDRNSSLPSLLARLSNTLLDGRSFTLKYQLPSEDLDSLISITTDEDLDNMIEEYDRTISASNSTKPSRLRLFLFTSKPEATQSMGQILESSAKSDDWFLNALNSAGLLNRGFSDSDANVNRLLGLDDTLALRSNSGDNNTNRDGDDGSVKSAKQQQIQQPPPPQGGQDVNCLPDSPMLDTSSSFGSTSSSPSLANLPPIRVHVEEPGGVRTLPDQRNLGIEEQFARFNVGNKHQLQDDGFAAISSPPPMPVTIALPAAPVSAAAVSNEFQARVFSDDERSDHGVQAGYRKPPTPRSQPQNLPPQQAHQLKSNSGGHELPSPNSVSSDSSMSNPMFHQRPSVYQEPIAQLPSGSTVVTGMINPSDPSTLLSQHQNQDPAYILHPQFEQQSAQSQPQQQQQFIHTAPPPPQYIHHHPSSGLPVQTYIQVYPSQQPQSFHQHPGRLDQQPYPVYYVTAPVPPRPYSMPVPQSPSVSEAPGSLPSSTMMPPPPNNHMRSVSGGKPEMGQAGVYTTAPGVGGAQMVHQIPTNQQQFMGYSQIRHPPQSGPAGNPNYGYEYADNAHTQIYYTQPLGHAQYQTMTGPPPAMVMPDGSAAAKLPAENMTQQIRSSQPL >fgenesh1_pg.C_scaffold_5000018 pep chromosome:v.1.0:5:151503:154893:1 gene:fgenesh1_pg.C_scaffold_5000018 transcript:fgenesh1_pg.C_scaffold_5000018 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCNHCAKNVPGIRPFDGGLACDLCGRILENFNFSDEVTFVKNAAGQSQASGNIVTSVQSGIPSSRVRRFRIARDEFRNLKDALGIGDERDDVIDTAARFFEMATEQNFTKGRRTELVQSSCLYLTCREKKIPFLLIDFSSYLRVSVYELGSVYLQLCEMFYLVQNGNYEELVDPSIFIPQFMNNLLKGAHNIAKNVLDKVLGTATNIISSMKRDWMQTGRKPSGICGAAIYIAALSHGIMCSRADIAKIVHMCEATITKRLDEFANTEAASLTVDELDKSENILREKPFSPRPNSDEGVVNCKHKDLKRFGFGLCKSCHDAFMKISGGVVGGSDPPAFQRAEKERMEKAAREENEGAIEKSEGETDWDAEAPDESGNLSDLDGDAEVDGCFLNEDEKLMTKISWELDNRDYLEVNLEAVAKSRKEKRQKRAEEAKNAPPPATAMEAVRRIVKRKRLSGINCDFLDELLDNVPAEKSQKKPRTETVTEKKKEEHEIVEDEEAAYEMNTDEKFYEDEVEEEEEEDGYDFGLY >fgenesh1_pg.C_scaffold_5000025 pep chromosome:v.1.0:5:180681:182962:-1 gene:fgenesh1_pg.C_scaffold_5000025 transcript:fgenesh1_pg.C_scaffold_5000025 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGAIVKAGECLKVEAGFHKVIHLSQFCFSGYRSIGHTSIEYNSSLKPRRPGDSSDSDFRDSSSDVSSDSDSERVSASLDHISLRDQHQEDSSSDDGEPISSQGSLIFEYLERDLPYIREPLADKVFDLAAQFPELKTLKSCDFLPSSWFSVAWYPIYRIPTGPTLKDLDACFLTYHYLHTPSGGEGSAQSMSLTQPRECHKMSLPVFGLASYKFRGSLWTPDGGLEHQLVNSLFQAAEKWLRSYHVSHPDFLFFCRR >fgenesh1_pg.C_scaffold_5000031 pep chromosome:v.1.0:5:211065:213540:-1 gene:fgenesh1_pg.C_scaffold_5000031 transcript:fgenesh1_pg.C_scaffold_5000031 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPENSSNLDLTISVPGFSSSPPSDEGSGGGRDQLKLDMNRLPSSEDGDDEEFSHDGSAPPRKKLRLTREQSRLLEDSFRQNHTLNPKQKEALAKHLMLRPRQIEVWFQNRRARSKLKQTEMECEYLKRWFGSLTEQNHRLHREVEELRAMKVGPTTVNSASSLTMCPRCERVTTAASPSRAVVPVPAKKTFPPQERDH >fgenesh1_pg.C_scaffold_5000039 pep chromosome:v.1.0:5:259481:261734:-1 gene:fgenesh1_pg.C_scaffold_5000039 transcript:fgenesh1_pg.C_scaffold_5000039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LLS1] MLAKQTPLTKQMLSELLRASSSKPKQLKKIHAVVIRTGFSEKNSLLTQLLENLVLVGDMCYARQVFDEMPKPRIFLWNTLFKGYVRNQLPFESVLLYKKMRDLGVRPDEFTYPFVVKAISQLGVLPCGVSLHAHVLKNGFECLGIVATELVMMYMKFGELSSAEFLFESMQVKDLVAWNAFIAVCVQTGNSAIALEYFNKMCADAVQFDSFTVVSMLSACGQLGSLDIGEEIYDRARKEEIECNIIVENARLDMHLKCGSTEAARVLFDDMKQRNVVSWSTMIVGYAMNGDSGEALALFTMMQNEGLRPNYVTFLGVLSACSHAGLVNEGKRYFSLMVRLNDKNLEPRKEHYACMVDLLGRSGLLEEAYEFIKKMRVEPDTGIWGALLGACAVHRDMILGQKVADVLVETAPDIGSYHVLLSNIYAAAGKWDCVDKVRSKMRKLGTKKVAAYSSVEFDGKIHFFNRGDISHPQSKAIYEKLDEILKKIRNMGYVPDTGSVFHDVEMEEKESSLSHHSEKLAIAFGLINGRAGHPIRVMKNLRTCDDCHVFSKFVSRLTSREIIMRDKNRFHHFRNGDKEWSKFVNMNSMFMSVIRCY >fgenesh1_pg.C_scaffold_5000047 pep chromosome:v.1.0:5:287188:287961:-1 gene:fgenesh1_pg.C_scaffold_5000047 transcript:fgenesh1_pg.C_scaffold_5000047 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHHVLDLAGGSSKISNDFTDFVRFHRIQEYKSLFYLKISSKVDISDEDLAEASTSFTEPDNKPRARRSKARKAKEQRPISPIRCLTETGPNDASSQDSQVPQEVATTNAGDAQIPVEKVSSRARRSKARKKAKQKQQQLHHASQQAPDSPCNSTTRSAPKFERAEVSQASIPIGNTLNQISTCLRLLESIMEQAKYTND >fgenesh1_pg.C_scaffold_5000050 pep chromosome:v.1.0:5:342600:343287:1 gene:fgenesh1_pg.C_scaffold_5000050 transcript:fgenesh1_pg.C_scaffold_5000050 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSTIFSITRASTPLMASLTNDSPSPLPSPSKKPANLSKVSKQMGNQKQQLRKQRRGNKPSIAQIERAFGAGSYRDSEGEMDMNTVFDELLLGHANKFESKLEKKLREIGEIFVARTEPKLRSSGKPVLMFTLQWILPIWIMSLLIACGVIKLPFSLPLLDDLIM >fgenesh1_pg.C_scaffold_5000112 pep chromosome:v.1.0:5:781258:783962:1 gene:fgenesh1_pg.C_scaffold_5000112 transcript:fgenesh1_pg.C_scaffold_5000112 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSDLADFLEKVPDKYPPLPPVFRLFRFLDYYDSALSGVACPWRQMFQESNLAWLFDVIDVPLSYIPEPVYQTSVDWINQHVPENLRCGFVLSTFNYILRDLLPQGVKEEEATYCHSKVAMFVTLAMVVRSKPRVLTKVLPSLRLRRIYKGQGQIPLTVWLITQASKDDLSVGLLSWAHNLLPLVGSNPQSTDVILKLVEKILAKPDDQARFVKTPVWQEMRLIPPQSFEILLRLTFPASLEPTTSRFVAIYPLLKKVALVRTSRSQAIEEIFTFSLRLSGEEGNTVLAEEARSIALWSLTVNKDCWKHWENLYDQNLKATIALLKILVGNIKYGVSEAIYQQIFTFSLRLAGEENHVLPQQATAIAIRSLTIIFDCWKQRGNISEMNLKACVAVLKKLVERWKDHSLDLSLSLIDTLAGSPGSKAWKQITRKIFTISLKLAGEVTANPVLAEEATTMAIWSLTENVDCWKNWDNLYQENLEASVAILKKLVEEWKDHSLKLLSSPSGTLTLDQTMKSFMVQNKNAITGGRANCSLYKKADKSCKVIWWRLSRVRSTLNIAVVLLAVVFKSCKLIRWRSNLTNIAITAVVLVAVVILALVVHMFYLPNWWQLATAGLKNMLDSLDVNYRKGISL >fgenesh1_pg.C_scaffold_5000117 pep chromosome:v.1.0:5:798499:798966:1 gene:fgenesh1_pg.C_scaffold_5000117 transcript:fgenesh1_pg.C_scaffold_5000117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ15] MPPKKGLKRKRVAKATTVEPTSEQEPSSTEQTSSTEQPTSEQPSSTAQPSPTEQNKAIEGEGAEEQQVLGTLSPVLEESDKNEEENSEKNEEEESGEEESEEEDKEEEKEEGNEEGEESSDDDGSRSLGGESSSDESKEDEIAPENQPENAMVSI >fgenesh1_pg.C_scaffold_5000122 pep chromosome:v.1.0:5:821439:822534:-1 gene:fgenesh1_pg.C_scaffold_5000122 transcript:fgenesh1_pg.C_scaffold_5000122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-B10 [Source:UniProtKB/TrEMBL;Acc:D7LQ24] MGRKRSVTSESSPFDSLPEDCIANIISFTNPRDACVAATVSKTFESAVKSDIIWEKFLPAEYESLIPPSRSFSSKKELYFSLCNDPVLIDDDKKSVWLEKASGKRCLMISAMNLSIIWGDTPHYWQWIPIPESRFEKVAKLVDVCWFEIRGRTNARVLSPRTRYSAYIVFKKVECYGFQNVAIEAAVGVVGQEPSRRFICFDEAIRRYGGRRNFVKPKEREDGWMEIELGEFFIEGGIMNSDEIEMSALETKLLNWKCGLIIQGIEIRPAKIL >fgenesh1_pg.C_scaffold_5000156 pep chromosome:v.1.0:5:1088117:1089978:1 gene:fgenesh1_pg.C_scaffold_5000156 transcript:fgenesh1_pg.C_scaffold_5000156 gene_biotype:protein_coding transcript_biotype:protein_coding MPELGKLVAFFDFKDGRDGLLHFSGMTACSETLSVITRRRFCVQATDNTGKPASTTPARDKNKPTLDRYYKSVYFILHDKFWIGHGLVNSDWAKLEEEFNHIGKPSPKPKGIIDSVPFISSGTKLAQLAVLFGLGEPSLGYYNNCEENYDAIKQCRDAMPILDMEKEKDMGSNIYPMISHLRKFQQPPEKATTKSARGSEPRKSQKLEGKENPPRKSLAESPSSLEERLLVAMKREDRVTFFDFKKGSNGLLHFGGMEVTSKTLEFITETRFCVQATQINGRPARNTPAWLKNEPTLSESYNRVYYMLHNKTWIGHDLRKSDFPMLEKECKHIGKSPPRPKEIIDTVPFISSGSKLGKLAVLLGLGKPAARLDCRNCQVNYNAIKKCRDAMPILDVEIEQDKESNMHPMISLIKKYQQPPEEETSILFGHEEETTKRKSPQGKVKDGGVRKSLRLKEASQRLKKKSM >fgenesh1_pg.C_scaffold_5000166 pep chromosome:v.1.0:5:1396137:1397038:1 gene:fgenesh1_pg.C_scaffold_5000166 transcript:fgenesh1_pg.C_scaffold_5000166 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLEGRPVNTLTVVELRKHLSDRNYSTTGKKALLIDRLNEALKTISSEQPEGEQQEEEEEGPKPNADRLLFLDLEFEKEDVIEFAVLIVDSKTLEAVYNYETFIKPSDGVVSKFRDRPNGITKAKLQRAPTFLDVHEDIFKVLHGGIWIGHNIIRTDIPLLLKMYRRHNLPEKRIPSFRYKIDTLKWLEGNFLGKTQGLKLNELGKFFKLEEQTHRSLEDCDLNLQVFKLCLCVIGMEKMFDSEESKVVGTSRKSKRLREQQLERW >fgenesh1_pg.C_scaffold_5000168 pep chromosome:v.1.0:5:1436937:1437690:1 gene:fgenesh1_pg.C_scaffold_5000168 transcript:fgenesh1_pg.C_scaffold_5000168 gene_biotype:protein_coding transcript_biotype:protein_coding MHNRSVALHLKIDKRPRGGNWMFMEKELFVVKVIYGNMPFPREGETDITRGTPFPREGETGVTLLLNFNFKLPNGMKADEINMDVMTGLAMHKSQHLKLVPEKVNK >fgenesh1_pg.C_scaffold_5000173 pep chromosome:v.1.0:5:1484020:1484646:-1 gene:fgenesh1_pg.C_scaffold_5000173 transcript:fgenesh1_pg.C_scaffold_5000173 gene_biotype:protein_coding transcript_biotype:protein_coding MCINGVLYFLAERAEISFDYVIVCFDVRSETFTFIDVERFCRLINYKGKLAVIYWEDDVDIYEICYYGKDLDEYLEENLDADATNELRVWVLEDVEKQKWSKYAYTWTDDTFFRRHLSIAGATASGEIVFSMRKYTPKQPFYVFYFNPEKNTLQRVEIQGFGEAFKKTCSVCTFVNHVEDLDVNDLKQLKSVHPPLVQPEYYESSDSE >fgenesh1_pg.C_scaffold_5000175 pep chromosome:v.1.0:5:1492362:1493648:-1 gene:fgenesh1_pg.C_scaffold_5000175 transcript:fgenesh1_pg.C_scaffold_5000175 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSFIKTNLIKEILSSNSVARFRCVSKRWASSMTRSSAKPRLLFAIAENGDDSAETCGVWSFFSSPQFENPYEKSSSTLVAAAEFHVKFSPENLRINYYGDIKYFSIGYASGLIYIYGCRYQARPLICNPNTGRYAILPNRYTYRKAYSFFGFDPIDKQYKALSIRYPSGPGRNKILTFGDGDLTWRKIKFPLGRPEIKSDGICINGVLYFLGEIGEGSCDYVIVCFDVRSEKFTCIYVGRFCRLINYKGKLAVIYWEDDVDIYEICVYGKDLDEYLEENLDVDATNELHLWVLEDVEKKKWSKYAYTSKYTWSKYAYTWTDDTFFRRHLSIAGATASVEIVFSMRKYTSKQPFYVFYFNPERNTLQRVEIQGFGEAFKETCSVRTFVNHVEDLDVNDLKQLKSVHPPLVEPEYYDRFESESESELD >fgenesh1_pg.C_scaffold_5000185 pep chromosome:v.1.0:5:1530334:1531373:1 gene:fgenesh1_pg.C_scaffold_5000185 transcript:fgenesh1_pg.C_scaffold_5000185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease, RNS1 [Source:UniProtKB/TrEMBL;Acc:D7LQC5] MKILLASLCVISLLVILPSVFSASSSSEDFDFFYFVQQWPGSYCDTQKKCCYPTTGKPAADFGIHGLWPNYKDGTYPSNCDETKPFDRSTISDLLTSMKKSWPTLACPSGSGEAFWEHEWEKHGTCSESVIDQHEYFQTALKLKQKTNLLGALTKAGINPDGKSYSLESIRDSIKESIGFTPWVECNRDGSGNSQLYQVYLCVDRSGSGLIQCPVFPHGKCGAEIEFPSF >fgenesh1_pg.C_scaffold_5000195 pep chromosome:v.1.0:5:1584564:1586051:-1 gene:fgenesh1_pg.C_scaffold_5000195 transcript:fgenesh1_pg.C_scaffold_5000195 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSKTYDSVWRLNPSKYEWVVETKVLCSWTRRLENSGRRLEFVLADREGNKLQCSLWGEVYDKFVSVIVSGSWFRIKDFKVVHQTGDCRATLHRYKMILLKNTTIVKIPGIDNNQFFDFVDFGSILDRRYSDDFLVDLIGEVVDVQTSNIEAETNGTKLREGSVVFNDKGVPLSDEVIPDYDYLLDRSKRYNEGRLVVENKEPTRSLKRSNEDVYGFSSTKRFRPLTGGYKELLEEVGGINDQGHGRLGSEFGEDDEEDDDEEEEEDTEGDEEVEEDVDADEGEGEYEEEETYGEFGYDGDDSIYRTVSFYLHDRRNAMLRCRLFGKVALTFYDTFKEQADGAVICVIRWGLIRCYGGDVAIHATDCTRIEINPDIHGVECFDEL >fgenesh1_pg.C_scaffold_5000196 pep chromosome:v.1.0:5:1586604:1587796:-1 gene:fgenesh1_pg.C_scaffold_5000196 transcript:fgenesh1_pg.C_scaffold_5000196 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYPLSKLNPDTSEWRIRAKVLAIWQEYYDHYSTVDVVLVDDKGGKIHGIIPMELMPQFSSRIVENRWIVITDFILRPVVDALKPVAHRFELERSGDGFYDFVDFGRILNGAHDTSICVDIIGKAINVSKITSFGCHVYEDQVEHIVFDLQDTSERVLRCVLSITDALPLYRLWMTDPSDVIICVLRFVRVEFREGMWICSGVRCSKLLLNPSIPDVKKMKSVFSIKHGPIAKKQKIKD >fgenesh1_pg.C_scaffold_5000230 pep chromosome:v.1.0:5:1819796:1822746:-1 gene:fgenesh1_pg.C_scaffold_5000230 transcript:fgenesh1_pg.C_scaffold_5000230 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMINSVEYNGVDEHGWKKVVSRKRVRKQKPEDQAANGNLANNDKSNCAAANENLDYSEEQAGDVVDESNLKAEEEEKPDWKLSLAKAAAKIGPSDLADFLDRVPDTYPLVASFQLARFLDYYEVALAGVPCPWRQMLQESDLPNLFDVLHVPLSYIPEPVYKTSIYWLDQLVPSTELRCDFVLLSFNYILCDLYIQRGGVFHDEAMHLGYAKPGDPCVSEVSIFVTLAMLVRSDPLVLTRVLPSLWVKRYFHGPGRIPLTIWLVDQASQDNLPVGLYSWVHSLLPLVPRIPESTDPILKLVEKILAKPDAQTILVNAPVWDGRRLIPPHIFEALLWLTFPVTSEREEATSRFEAIYPLLKEVALASTSGNEAIKQIFTFSLKLSGEEGNPALVKEATAIAIRSLTEIVDCWKHWESIYKENLKESLTLLKKLLGKCKDSTLGSKAMIQQIFTFSLKLAGEGNPVLPQQATAIAIWSLTKIFDYWKHWDNLYEENLKACVDLLKKLVEKCEAHFLKLSPSPSKCTLTGSPGSKAMKQVTQKIFTLSLKLAKEVTGNPVLAKEATSIAIWSLTENINCWKHWENLYKENLEVSVALLKKLVDEWKGHSLKLLSPPSDTLTLSQTIKSFMLKNKKAITEREAKASLYKEADESCKVISGRLPRGSISLKGTTITVVVLVATVVLAAGAHGLSYNP >fgenesh1_pg.C_scaffold_5000283 pep chromosome:v.1.0:5:2120141:2122029:1 gene:fgenesh1_pg.C_scaffold_5000283 transcript:fgenesh1_pg.C_scaffold_5000283 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LQN2] MDMHSFLNSCFLLVLLCLLNISTINSAKPLKQETVLFGGKFPALYVIGDSLVDSGNNNYLATKVKSNFTPYGSDFEGGKATGRFSNGKTIADYIAIYYGLPLVPAYMGLSEEEKNNITTGINYASASCGILPDTGKLMGKCLSLSVQVDLFKETIANNLKKNFKKSELRKHLAESLFMTAIGVNDYAFFFNMTTDANEFANKLLHDYLIQIERLHKLGARKFFINNIKPLGCYPNMVAKTVPRGSCNDPLNLAISIFNTKLRKSLSHMTQKFIKTSFLYSDYFNYMLGLRGPSSNQVGSSLLNVTSPCCPDVYDGGLITSCSPGSIACKAPDTHIFFDPFHPTQLANYMYAIACFHERSICHVLKN >fgenesh1_pg.C_scaffold_5000291 pep chromosome:v.1.0:5:2172483:2172956:-1 gene:fgenesh1_pg.C_scaffold_5000291 transcript:fgenesh1_pg.C_scaffold_5000291 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFLMVMLISIVMFSMARPIYSQKIDPYSQGIPKEADISPTPLEVADSPTTESEIELAHHLHKDYILACPKKPSPKCEDEIFNNMLDEMTPVTDECCRDVLNTGKDCHLAMVKIIFSTYDYKNIASKAIPKSKQTWNDCIRRVGNTIGAPVFFEL >fgenesh1_pg.C_scaffold_5000318 pep chromosome:v.1.0:5:2348225:2349167:1 gene:fgenesh1_pg.C_scaffold_5000318 transcript:fgenesh1_pg.C_scaffold_5000318 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDLGHIGPVTITATGDFRDNPAPATVMIIAHYQDLTYMDRSLQSLKKEGYTFVKVYAHPIATCTVSGPLGLYWGKVLSGSLDNKPEARRQFLLEKCSETSETKDESACFFCLLCHFSGKSAESFTAHLSSEEHAQEPLVDT >fgenesh1_pg.C_scaffold_5000340 pep chromosome:v.1.0:5:2549973:2550747:1 gene:fgenesh1_pg.C_scaffold_5000340 transcript:fgenesh1_pg.C_scaffold_5000340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQW2] MGFIFGKLRYIHSIVDIYGNFLLYILADVYGHNANVGATDNQLYAYFIEASAFRIERRLKNWNPSSKKVEKLIKLVSATKRAECRVMRWAMGEESVFLKARDYDQQSASRAFSRTIGLLLVFLGFWELKRTASYAWDSYKEDFAVVELNAKLLIISKESAAKMKDSVKSQGVYR >fgenesh1_pg.C_scaffold_5000346 pep chromosome:v.1.0:5:2586798:2587665:1 gene:fgenesh1_pg.C_scaffold_5000346 transcript:fgenesh1_pg.C_scaffold_5000346 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:D7LQX0] MEKSRESGFLFCNWCGTMLVLKSTKYAECPLCKTTRNAKEIINKDIAYTVTAEDIRRELGISLFGEKTQEEAELPKIKKACEKCQHPELVYTTRQTRSADEGQTTYYTCPNCAHRFTEG >fgenesh1_pg.C_scaffold_5000378 pep chromosome:v.1.0:5:2747067:2748789:-1 gene:fgenesh1_pg.C_scaffold_5000378 transcript:fgenesh1_pg.C_scaffold_5000378 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLSLLFLLFLYVIFSIIYTKKIKDSRQNLPPSPPKLPFIGNLHQIRRLLHRCLHDLSKKVCPSCGNLIFSSSEAAEEVLKTHDLECCTRPKALGMQTFSRKGKDIGLASYGEDWIELRKLAILEFFSAKKVRSFRYIREEENDLMIKKLTVSALKQYPVDLSKTLFSLAASIIFRSAFGQNFAETKHINKEKIEELIFEALINMSFKFSDLFPTAGLGWFMDFVLGEHKRLHNIFAEVDTFVKKVADDHLNIKHGVTTQDRPDIVDVMLEMIHKQEEDDSSFRLTIDHLHGVISEFIPERFIDDPMDYRGQSFEMLPFGSGRRMCPGMSFGIATIELGLLNLLYFFDWELPEEKKDMDMEEAGDVIVVKKVPLELLPVIREKHNHPNQNSFTILELLFVLSDCLFKKKCNLCNSVSKTMF >fgenesh1_pg.C_scaffold_5000380 pep chromosome:v.1.0:5:2761876:2763530:-1 gene:fgenesh1_pg.C_scaffold_5000380 transcript:fgenesh1_pg.C_scaffold_5000380 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B26 [Source:UniProtKB/TrEMBL;Acc:D7LR34] MANILILLLLFLIIFLLLTAFKRAKRRQLRAIPSPPGFPIIGNLHQLGELPHQSLWKLSQKYGPVMLLNLGKVPTVILSSSETAKQALKDHDLHCCSRPSLAGGRELSYNNRDISFSPYNDYWKELRKLCTQELFSAKNIHSTQHIKDEEVKKLIDSIAESASLKSPVNLNKKFLALTVSIVCRTGFGVSFEGTVLSSDRFNKIVREAFEMLGSFSATDFIPYIGWIIDRFAGLQGRREKSVRDLDAFYEQVIDLHKEEKELGSEDFVDLLLRLEKEEVVVGNNKFTRNNIKAILMDILLAGIETSAETMTWAMAELARNPRVMGKVQSEIRNKFRNRELISFEDIEQLHYLKMVIKETWRLHPPAPLLLPREVMSEFEINGYTMQPKTQIHVNVWAIGRDPNTWKDPEEFIPERFIDSNIDTKGQNFELLPFGGGRRMCPAMYMGTTMVEFGLANMLYHFDWKLPEGMAVEDIDMEEAPGLTVNKKNDLVLVPEKYLDN >fgenesh1_pg.C_scaffold_5000381 pep chromosome:v.1.0:5:2771388:2773431:-1 gene:fgenesh1_pg.C_scaffold_5000381 transcript:fgenesh1_pg.C_scaffold_5000381 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B34 [Source:UniProtKB/TrEMBL;Acc:D7LR35] MPNIWLLSLFFFICIIVAVFNHKKRRNYQRTPPSPPGFPIIGNLHQLGELPHQSLWSLSKKYDPVMLLKLGSVPTVIVSTSETAKQALKIHDLHCCSRPGMAGPRELSYNYLDIAFSPYDDYWKEVRKLAVQELFNAKQVHSIQPMKDEEVKKLIYSIAESASQKSPVNLNKTFLALTVSVVCRTAFSVNFEGTVLNSDRFNKIVREALEMLGSFSASDFIPYVGWIIDRLTGLRGRRETSMRDLDAFYEQMFDLHKQDKEEGSEDFVDLLLRLEKEEAVLGNDKLTRNHIKAILMNVLLAGIDTSAITMTWAMAELAKNPRVMKKVQSEIRSQIKNKERISFHDTDQLEYLKMVIKETWRLHPPTPILLPREAMSEFEINGYTIPVKTRLHVNVWAIGRDPDTWKDPEVFLPERFMDNNIDAKGQHFELLPFGGGRRMCPGMYMGATMVEFGLANLLYHFDWKLPEGMKVEDIDMEEAPGLTVNKKNELLLVPTKYLDP >fgenesh1_pg.C_scaffold_5000386 pep chromosome:v.1.0:5:2788208:2789839:-1 gene:fgenesh1_pg.C_scaffold_5000386 transcript:fgenesh1_pg.C_scaffold_5000386 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIWFLSLLFLSCILLAAFTHKKRRKNQQPPSPPGFPIIGNLHQLGELPHQSLWSLSKTYGPVMLLRLGSVPTVVVSSSETAKQALKIHDLHCCSRPSLAELFSVKRVHSIQPIKEEEVKKLIDSATESASQKSPVNLSEKSLDLTASVICKAAFGLSFHSTVLNNDGFDKLIREAFLFLGSFSASNFQMVAGSSTGSRGVEDFVDLLLRLEKEETVPGYGKLTRNHIKAILMNVLLGAINTSAMTMTWAMAELIRNLRVMKKVQSEIRNQMRNQSVITLDDIDHLPYLNMRSCLNLRSMAIGRDPESWKDADTFFPERFMENNIDAKGQNFELLPFGSGRRICPGMYMGTTMVEFGLANMLYQFDWELPEGMVVEDIDMEESPGLAVGKKNELLLVPVKYLGH >fgenesh1_pg.C_scaffold_5000396 pep chromosome:v.1.0:5:2827981:2828601:-1 gene:fgenesh1_pg.C_scaffold_5000396 transcript:fgenesh1_pg.C_scaffold_5000396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD63] MEVNKQNTNSTHISQTDECIVAIQQAASWVLSVDRLRGLFVMLMDVFCEADPLSVWDATWDVLFKDVLFPQQIIFNDHEPEYAYNEIQNFETFMRKENSTFNVYAELRKRVALAGGPTCDPSLEYKMRDQFNNLKID >fgenesh1_pg.C_scaffold_5000400 pep chromosome:v.1.0:5:2859257:2861236:-1 gene:fgenesh1_pg.C_scaffold_5000400 transcript:fgenesh1_pg.C_scaffold_5000400 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDHRFEREKERKVAAYRRALLRKESEIYEWGRRIRELEARLSDEAERLQSSSLQFSDLLKVRQASMALKDSGKILSISRLCCVLRGVYMKNYVFLLVIVPTFVLAVIFTVRKFLTFPGHYGNPNHHHSTKAIPHYYHENASMETLCKLHGWGFVNILDGRVYDPVLFSNELELLAIRWRELYPYVTQCVILESTRRLQGCLSLLYLQSIGMFGFVEPRYTVNLLRWCDEIPQSFRAHYRQSDDILADSGWHCNFCYSRYDRLRVYIQGDNPELGPIAHSFSAVHLPLYLLDNACLS >fgenesh1_pg.C_scaffold_5000407 pep chromosome:v.1.0:5:2941024:2942570:-1 gene:fgenesh1_pg.C_scaffold_5000407 transcript:fgenesh1_pg.C_scaffold_5000407 gene_biotype:protein_coding transcript_biotype:protein_coding MYMKPGNSVQDYISKHSLGFEGTKWTDVRVFLNSSLSRPICTGCMRRCPFPIVFKGYNTIFCSWDCIGYGDTVFDHPSSQILEHLEGGNVSKAVSALFASPEPVGYWLYERLFRPCSSKSLLVQARKVQSHLVTFSPLPPSFLLNRAIEAYGKCGCVDDARELFEQMPERDGGSWNAVITAFMWFSLGPELHCAVVKYGYSGNVDLETSIVDVYTRAYMREVTVIDPKWLVELAPRFFKVSDPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >fgenesh1_pg.C_scaffold_5000409 pep chromosome:v.1.0:5:2957500:2959502:1 gene:fgenesh1_pg.C_scaffold_5000409 transcript:fgenesh1_pg.C_scaffold_5000409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter [Source:UniProtKB/TrEMBL;Acc:D7LR67] MTLPYRFSSVRNHSLLLKTSHLYTPRSALGCFSPKESPFFKKNTAQFLSPQKHTSLPLKLVCPLASFSSYADSEEEEQHHADQPIQNSHESSTVSSGSDGKGNAEATGDFSGMAQAFHISSTTARAISIVIAFSALTLPIFMKSLGQGLALKTKLLSYATLLFGFYMAWNIGANDVANAMGTSVGSGALTIRQAVMTAAVLEFSGALLMGTHVTSTMQKGILMANVFQGKDMLLFAGLLSSLAAAGTWLQVASYYGWPVSTTHCIVGSMVGFGLVYGGAGAVFWSSLAKVASSWVISPLLGALVSFLVYKCIRRFVYSAPNPGQAAAAAAPVAVFVGVASISSAALPLSKIFPIALSQALACGVAGAIVFDRIIRKQLGHLLAKTKSPETSQNQPKTIGFLSDIAGPTGTQLEIVYGIFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILQNGAAAGGAEIVIPMDVLAWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNSVRAETVREIVASWLVTIPVGATLSVIYTWIFTKILSFVL >fgenesh1_pg.C_scaffold_5000431 pep chromosome:v.1.0:5:3091340:3092908:1 gene:fgenesh1_pg.C_scaffold_5000431 transcript:fgenesh1_pg.C_scaffold_5000431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LR92] MAAVLIRSVVRNFKRPATAASAAYSTVIADLDAETGIITAKELGSAAEFVRCDVTVEADIARAVEMTVERYGKLDVMYNNAGIVGPMTPASISELDMKEFERVMRVNVFGVASGIKHAAKFMIPARSGCILCTSSVAGVTGGLAPHSYTISKFTIPGIVKSAASELCQHGVRINCISPATVATPLTLRYLRKVFPKVSEEKLRETVKGMGELKGAECEEADVAKAALYLASNDGKYVTGHNLVVDGGMTAFKIAGFPFPSES >fgenesh1_pg.C_scaffold_5000438 pep chromosome:v.1.0:5:3158315:3162354:1 gene:fgenesh1_pg.C_scaffold_5000438 transcript:fgenesh1_pg.C_scaffold_5000438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7LR99] MGVTLLRSIFGLCAVSSSSRETDFYYSTRSNLRRRTSASKQQLTAIKSVTSTPPPPSRGIRSRRKNKDENVVENPYSKMEMAGPDLRKSLSDFLEEARDFVGDGGGPPRWFSPLECRAQAQGSPLLLFLPGIDGTGLGLIRHHKKLGEIFDIWCLHIPVSDRTPFKDLVKLIERTVKSENYRFPNRPIYLVGESIGACLALDVAARNPNIDLSLILVNPATHVNNFMSQPLSGMLNVLPDGIPTLLEDVFGFKKGDPLTGMLDALSNEFSVQRMGGVGGGMLRDLFAVSANLPTLSRMFPKETLLWKLEMLKCAISSVNSHIYSVRAETLILPSGRDQWMHNEEDIVRYSRTLPKCIVRKLDDNGQFPLLEDSLDLATIIKLTCFYRRGKSHDYISDYIKPTPFELQQQLDEHRLLMDAISPVMLSTLEDGRIVRSLEGLPSQGPVVYVGYHMILGFELAPMVGLLLKERNIHMRGLTHPMVFMYIQDSLVDPKMFDKYKLMGGVPVSNMNFYKLMREKSHVLLYPGGVREALHRKGEEYKLFWPEQSEFVRVASKFGAKIVPFGVVGEDDIFNIVLDSNDQRNIPILNDLMEKATKDAGNLREGDESELGNQDCYIPGLVPKIPGRFYYYFGKPIELAGKEKELKDKEKAQEVYLQAKSEVEQCIAYLKMKRESDPYRHLLPRMLYQASHGWSCEIPTFDL >fgenesh1_pg.C_scaffold_5000442 pep chromosome:v.1.0:5:3179471:3180346:-1 gene:fgenesh1_pg.C_scaffold_5000442 transcript:fgenesh1_pg.C_scaffold_5000442 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQTEVPDDGSRQASSSPYSGKAKIVPECGLVGDMYDPFVDSFEPASVKLDCVQEREPDNEVLCIVPKASISSNRPLSMEENNQGVDKQALSESDVTARVSFSSNKPADVEENTAGIEIGVVVSGENDEFGENVDNRRECNSHETLTPNSDNENPKVENNVHEGDNTQKKSREKSKERDSSRSMKLFKVVLTKFVKDLLKPSWRQGNMSKEAFKTIVKRVVDKVSNSMEGHRIPKSRAKIDRYIDSSQQKLTKLVMGYVDKYVKA >fgenesh1_pg.C_scaffold_5000446 pep chromosome:v.1.0:5:3290438:3292063:-1 gene:fgenesh1_pg.C_scaffold_5000446 transcript:fgenesh1_pg.C_scaffold_5000446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LRB3] MVTTCLHYDYTKSDKVFIVVMIVGHLRGSSQYASGVMVQALVVVVMVYALKRDVRVTEDVHKIQMNCIKLYILYLERSKLISVKIPTMCRSQNKTAEVKEKSQELEHEINLWKDQNVWRTTSSFLCIILLPVSGDSDFKANVAKS >fgenesh1_pg.C_scaffold_5000459 pep chromosome:v.1.0:5:3363673:3365133:1 gene:fgenesh1_pg.C_scaffold_5000459 transcript:fgenesh1_pg.C_scaffold_5000459 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSWMRTIKPNGLNPSKEFKGDFCCLRAQVSEVQDIRTNSFSFYGHSHAPNPLKVEQDLRFDEDGFCGFLAIGTLGTEPETPKFSAMVSEEDVTGERKEMAKLITEKLDKFLKEYPENTSSNRVERSNAKEDRDSHGCSLQDYDLFRSSIELTKRSNGRVKKKKSLLTSLFKMRQRVQGEPYIEKHSTRDAIKRVFKKLHGSSSRTRNNDEDDSMPKEKKALKKSVQTCRSKVHPVLYTPATARDDNEIDDRRKVDLKVPNLTEGFLGASSISEANRNRENWIKTDTEYLVLEL >fgenesh1_pg.C_scaffold_5000465 pep chromosome:v.1.0:5:3388348:3388880:1 gene:fgenesh1_pg.C_scaffold_5000465 transcript:fgenesh1_pg.C_scaffold_5000465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LRD1] MSKKTAPNNENIEFYSTPPKRWRRNEAINTSRSIPRSNTPVSNGSVPLRSAFNTVLQDITNLSSTPVIPTVMSEDPPTVRSALKKTIVRGTPCGSHLTSVSPISILSTSVSTPLGLRDMTLGTAQTPLMPPPSETRPRQG >fgenesh1_pg.C_scaffold_5000469 pep chromosome:v.1.0:5:3406766:3408517:-1 gene:fgenesh1_pg.C_scaffold_5000469 transcript:fgenesh1_pg.C_scaffold_5000469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription and mRNA export factor SUS1 [Source:UniProtKB/TrEMBL;Acc:D7LLW2] MIKEHNWKHSVNRPPTPDEDDAAEYFEKDEVTLREIINVKLVESGEKENLMELVRDRLVECGWKDEMRIACREHVKKKGRNDVTVDELIRVITPKGRASVPDSVKAELLNRIQNFIVSAAL >fgenesh1_pg.C_scaffold_5000471 pep chromosome:v.1.0:5:3416838:3420912:-1 gene:fgenesh1_pg.C_scaffold_5000471 transcript:fgenesh1_pg.C_scaffold_5000471 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRSSPFSSPLLRPPPELLNVKEEGETETSCWRKEVDENLKRLQSLLFGADQFLEKSDFSSAQILGLRLLGFLDSRSVTDADRDFICPIRREVASKVDLALEGLVSDSDRKAFELANTVPGPIFGSKFDVEKIKQSKHFSFHISQSNGKGVKEMEERQDTDKLIPKAPKSMMQAKLTSLYGNSIGKPDNQRKTSVNNQDRASDECVIVERSHGFGFGTKRTHAETSSLANDGEIKADGAPNGFVSAKIKLEMDVRQRRGSTESPSSCLSPQSEKNALGRGYGSRSGGLRRGYRGNFVPPVKSNGNNVGNLTSRIGGKIDDALDDSTRTCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDRDPNVRWDDIGTVFLIISYWCAAGLEHAKKCVTEMVIWPLLRPDIFKGCRSPGKGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGSEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIIQNLLKKDGLFTLSDDDMNIICNLTEGYSGSDMKNLVKDATMGPLREALKRGIDITNLTKDDMRLVTLQDFKDALQEVRPSVSQNELGIYENWNNQFGSLSL >fgenesh1_pg.C_scaffold_5000515 pep chromosome:v.1.0:5:3835564:3838746:1 gene:fgenesh1_pg.C_scaffold_5000515 transcript:fgenesh1_pg.C_scaffold_5000515 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLGSTTTTKEFPKLLFGHGKEPQVEKINNSCRLSILRKIKDALPIEYEKVKSDPLFAQVFAIYENDTWKDDKGFWSKLLKREEKICIKTMMSTHLGAVHKWGKVDRIRFVYLCVIAGLVIAKDEKKAIPVHYIKLVMDLEKLRAYPWGLHSFDYLVESITNAKKDLKKTKSYALDGFSIALQIWAMEAVPKIGGILGVKLNKNLGASLRCSNWKGAAKVSYQEIIELETGMTAEDIVYPYISITGNYDVYESVDFLRQGENSDVALKNLNALIRTGYDFSDFEWDAVESPDVEEETIDEDLVEDGYVEGQGEIHTNDKEEANVTVEGSLLSGLQSNEHEMATSSKKRRSKDGDHGAQTRKMKLLCQRAPAAPHGFDEEMKTFIREMFETSFKHFGQEVSNKLGKIELDVATLKKAVITMDENSKKDKAPPFGNGQPVDDNCLFHELFTRPGEIDLNMDSQDPDFLQKEMGHLSQKSQAPGFDPSQGIFSQNLEKQQRKDDTAEFMDWNDKGDSGKYDVDAVLVYLPEARWTAFEAWFRNVNRDVPKLGPSHLTDALFKRLIDQKEWLGNDEIDAVLYLHRESTSLRRLNSARVGFMSCEFSARIKNEYPKFMKNKKTHKWDSRLIDFVTGESPSHGKTGKSWALDFDRIYAPVNVNNSHWISICVNFVLRTVEVFDCFGNNNRRNVEMFAYIIPRIVKDVHGKVYGKVPLLTQYEIINVKVPKNLNTTMCDCGVYALKHIECHMLNLSMDLINDGNIKEARMKIAVDLWEAAHDPILIERMKNYKPPHQSSDILEID >fgenesh1_pg.C_scaffold_5000521 pep chromosome:v.1.0:5:3893271:3893963:1 gene:fgenesh1_pg.C_scaffold_5000521 transcript:fgenesh1_pg.C_scaffold_5000521 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPIPPSENPIDGDEDWELYNDDGFVYKRKKRSRIADAEETLKPPDPELDPVVEERNRRIRKKRILVKLKRKYQSEIEQWEILSNSLNSMQVKADRFQTTQREEMSNAKETISFPENASYTKEGAREFGGEDASAPSSMLDQLLFMAESQEAVINDVSKLCEVAENICRIEEEEKKQSFFDLPIWSSPTDLMALLCGD >fgenesh1_pg.C_scaffold_5000528 pep chromosome:v.1.0:5:3931123:3932664:-1 gene:fgenesh1_pg.C_scaffold_5000528 transcript:fgenesh1_pg.C_scaffold_5000528 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQIISDKAEAERIVKNVARCIARKGLKYEKRMMTNVKDPRINFLRNPEDPLHGYYKQKLSDYLSQIQQNGTIVDDFGHVVVSTQVTEPPPGIIRNDIEDMARYISKGGLVFESVMRHLVADEARYSFMASSHPFHAFYQQKLTEYRSINQQDGGANLDYDATLAVYKQKATEFRSWIQQEGANLDDDADAAGQRIVLPYFLDLRLPKGMSGKDFRTMKLTAQFGAWYGNDFWLGFKNRDGFEFTNPTDSKFPRFTRFVLEYSEVFSPPKDLKEKVSKSHAYMSAIHDGFFRLVNQWDSLQDLKWHDGGVMSMVRWHASLVKDFANNEELPHPETTGVEGREVNIIEKTVQSLSENVASFKEKIAEEVRSIPPEKQVLFGKAGLLKDNNRSLAHYNVGAGEILTLSLCTCGRETDLDKPCCCTELFNNALGEMMRSQASCFD >fgenesh1_pg.C_scaffold_5000530 pep chromosome:v.1.0:5:3939128:3940417:-1 gene:fgenesh1_pg.C_scaffold_5000530 transcript:fgenesh1_pg.C_scaffold_5000530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:D7LPI6] MITTLLRRSLLDASKPATSINAILFHQLAPAENFRAPAMGGLRDFSKMIFEKKKTLEEEKRSGDGEKANDQGSNKWEQLIVSYWGVKPMKITKEDGTEWKWTCFRPWETYKADLTIDLKKHHVPSTLPDKLAYWMVKSLRWPTDLFFQRRYGCRAMMLETVAAVPGMVGGMLMHFKSLRRFEQSGGWIKALLEEAENERMHLMTFMEVAKPKWYERALVIAVQGVFFNAYLLGYLISPKFAHRMVGYLEEEAIHSYTEFLKELDNGNIENVPAPAIAIDYWRLEADATLRDVVMVVRADEAHHRDVNHYASDIHYQGHELKEAPAPIGYH >fgenesh1_pg.C_scaffold_5000539 pep chromosome:v.1.0:5:4003521:4007208:-1 gene:fgenesh1_pg.C_scaffold_5000539 transcript:fgenesh1_pg.C_scaffold_5000539 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LPJ5] MELSVSSPKQSVLSPPDCMSDPEEEHEISEEEDDDRNHKHRRKEETRSQSLEQDSSDQAFSRPYRKNYRHYENGNSFSENEKRSFGTGSGQRLQFDNQRMRSNPMFSRDSGPGRGRGNYGSWAQRDSRFNPVDLSSHMVQVGSMAQGMFGGRGLAGVSAAQSAPWPPFGMIPGIPNGGLDGFHHLQGSLRPPLNAPLNMGIPRQRCRDFEERGFCLRGDMCPMEHGMNRIVVDDVQSLSQFNLPVSVPGAPHLAASSKPVPAQFGGANFMNPKGAHGRTNEGGMAVDGLGYGDAYPSAGGTDFYDPDQPLWNNSTGETSGAISTLNSHGVDENVAPLDDSNRDGTENGCGIRDSRSISQSVWGRMRGSNSQANSKEKADAVLNSSAGPEDQLKEVSVNSSRHGKQNHVGESVAKVVDSSNISNDMMNNTRKPTQKAMRTLFVNNVPHESNRRDLILAHFQKFGKVIDIHIPVNSERAFVQFSKREEAESALRAPDAVMGNRFIKLWWANRDSIPDNGLSTGNGASMKGRGMTASGPQNQFPFAAASKSNHVSSIAKVPAFHTGGAPSSSEQPKPVVATSGSKVTPLQQKKADTLERLKETLRKKQEMLEQKRNEYRKKLATLEKQSLESLAEVEVKGLNLESVFWKFKKCKMGTVVKGEEVDEPDAKRVKVDTASDSGAAIASPKPESSTDKKVPIQKPLSSGKLSTETPSPDSKNLKQRPYTFTTSLNTPMVNRYKLDNRTTTIKVVPPLPTGLADVAVLKEHFSSYGEVSKVELEDNASIGSGKDHETQNENRAACVTFVKRIAAEKAFANAKCWQEHTLQLVWVTRQSNKVSNNNNNNSNSLSVSHDHLSSKNKCASVSNDPKPAVEVKASSTEEPETTNVSGDNDSTLDKQETKESDNDNNKSNHESMEGASDAIATAGTDEEQSEQIHQ >fgenesh1_pg.C_scaffold_5000553 pep chromosome:v.1.0:5:4131041:4133437:-1 gene:fgenesh1_pg.C_scaffold_5000553 transcript:fgenesh1_pg.C_scaffold_5000553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPL1] MANSRCQNLRSSVGALRRFLTQADICALEKIFVERGRFYRQSFWTKVVLMITERGLERDSVHVLDGGELHLSLDVFKRPSLTTTSSSPQWLHKHGNGKIDELERHIESLIWETVKERERECVGLDDYEKSSLKRDRSRLHRYVYRDETVK >fgenesh1_pg.C_scaffold_5000559 pep chromosome:v.1.0:5:4185562:4187006:-1 gene:fgenesh1_pg.C_scaffold_5000559 transcript:fgenesh1_pg.C_scaffold_5000559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glabrous 1A [Source:UniProtKB/TrEMBL;Acc:D7LPM2] MRIRRREEKENQEYKKGLWTVEEDNILMDYVLNHGTGQWNRIVRKTGLKRCGKSCRLRWMNYLSPNVNKGNFTEQEEDLIIRLHKLLGNRWSLIAKRVPGRTDNQVKNYWNTHLSKKLVGDYSSAVKTTGEDDDSLPSLFITAATTSSRHHQQENVYENIAKSFDGVVSASYEDKPKQELAHNDVLMATTNDPSHYYGNNALWVHDDDFELSSLVMMNFASGDIEYCL >fgenesh1_pg.C_scaffold_5000581 pep chromosome:v.1.0:5:4388291:4388926:1 gene:fgenesh1_pg.C_scaffold_5000581 transcript:fgenesh1_pg.C_scaffold_5000581 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTEAFPDLGEHCQNLDCKLLDFLPFTCDGCKLVFCLEHRSYKSHSCPNSDHGSRTVSICETCSLAIETTGFDEEGIKSLLEKHERSGDCDPNKKKKPICPVKRCKEVLTFANNLTCKDCGIKFCLKHRFPTDHVCNKKTITTARTSSRWNERFMEALSLRNEKGCGRGSSVSSRSPPSVRSF >fgenesh1_pg.C_scaffold_5000585 pep chromosome:v.1.0:5:4411232:4411949:1 gene:fgenesh1_pg.C_scaffold_5000585 transcript:fgenesh1_pg.C_scaffold_5000585 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTHICLSLIILLYIISEGSFMRVNAQGQKEWCVAKPSSSTEELFNNLNYACSIIDCQIISKGGACYSLDNLYNLASVAMNLYYQAAGRHYWNCNFGGSGLIAITDPSYGNCIYEFRK >fgenesh1_pg.C_scaffold_5000586 pep chromosome:v.1.0:5:4420378:4421484:1 gene:fgenesh1_pg.C_scaffold_5000586 transcript:fgenesh1_pg.C_scaffold_5000586 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSEDLMSKCSEQINAYKAACEEDPELKSFDASLQQKTIKMIDSLTVDTKTGSVSPHEAHMKIKLIKKEQEDLVEKMSDAIKNIEKEEKSIENVLFGSAFALLAGASIAELGTAGKVGFSWGLLVSPLLAVGWFGVHSYIEKKNALNKQLEDLQKLEDVAKSAEKGLIINEEATETVSKLVEGLENRIKHMFDLVDNAIDHAKNEAEARYVLNKISKKVDNLTRKIEEVGESVENHSKLIVEARRHVMEKINRFG >fgenesh1_pg.C_scaffold_5000592 pep chromosome:v.1.0:5:4474244:4475230:-1 gene:fgenesh1_pg.C_scaffold_5000592 transcript:fgenesh1_pg.C_scaffold_5000592 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLGRSILASEGVSSSCWKVPCHVGIFPRLAKASPDSILIIVSNHVDVLTYVAWKLSGFPVNRILGSCTNLKSSRFRFLIADHLDVNALEVQRSFTETFISNTDGSLPYSFAGYLFFRAKKEDIDSVNKKTAAKYGSVAAPIIVEKTTSSTMVAEPSQCGQGM >fgenesh1_pg.C_scaffold_5000593 pep chromosome:v.1.0:5:4495263:4495934:1 gene:fgenesh1_pg.C_scaffold_5000593 transcript:fgenesh1_pg.C_scaffold_5000593 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVRGGRGRGKRGPKSPVKRPTVVPTRPTSSGVSSRRPRSLPSQYEFTPVNPEDPNHGIEHPPNRQPSPQLSLRDYPPPLQLFQSGEGSQHAAASGSPRASQSPAPVQPPAPVPSPVVNQQRPPRASLSGHSSQAQNVEEEEAASDEEADDETASEDEGLRDSTLPEDVLATLHDTLLIPGRELYTTLISPTLEIWTTW >fgenesh1_pg.C_scaffold_5000596 pep chromosome:v.1.0:5:4537347:4539647:1 gene:fgenesh1_pg.C_scaffold_5000596 transcript:fgenesh1_pg.C_scaffold_5000596 gene_biotype:protein_coding transcript_biotype:protein_coding MNELMKTCLFIKFINKLFTSIAEHCADAEVIYKFLEVNEIDKTTVVSGDDLFVHQSISSEKFRSLAVDEAVEFKVEVDNASRLKTVEVSGPEGEDVMVEIIKEDMVVMVLALGVVNQVIWREIVFKVVEDTVVVEVVEGTIKSIGYDIYDSYTKDQSLQKNL >fgenesh1_pg.C_scaffold_5000599 pep chromosome:v.1.0:5:4620640:4622081:1 gene:fgenesh1_pg.C_scaffold_5000599 transcript:fgenesh1_pg.C_scaffold_5000599 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNSLSEICILHTIVFHRALGLICPKDIDLELFEITYVQCSEIEVENKIDEKIEQFINWIEKHPNKKSQMENLFAPPPLGPELASGKWKVHIYMGILQKKMSQESKCGEYQENENSGNIKLASALEVLLEACRDIQFHENENENGGNINHATAINNVLHQVYQVTRQDEVCITEATI >fgenesh1_pg.C_scaffold_5000603 pep chromosome:v.1.0:5:4647593:4648528:1 gene:fgenesh1_pg.C_scaffold_5000603 transcript:fgenesh1_pg.C_scaffold_5000603 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQVADVPVFVIAGSWECSDKVNARPPIVIASNIGVRNFLAVKETAVHLNLLLSLEPEDSDDVGVMLRKQCSVPAEKAKGVDDTAKAFAALMPIDPAIPDEQNNNATKLSGVRRRLFGGNEASSSKEPLRMHSVPESTDATWSQSSYRKEPGFIEDGGTKESGIIRLADPDTPSLSSNYEENNETVAAGERTLASEEETQAEI >fgenesh1_pg.C_scaffold_5000613 pep chromosome:v.1.0:5:4695797:4701294:-1 gene:fgenesh1_pg.C_scaffold_5000613 transcript:fgenesh1_pg.C_scaffold_5000613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPU9] MGPSAHLVYAIGVIIMATMVAAYEPYTDSSSPPPYSVPLPKVEYKSPPLTDVYSSPPPPYYSPAPKVDYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYNSPPPPTYYSPSPKVEYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKVEYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVDYKSPPPTLDVNRRYESKTEDMSKSSTRFPKD >fgenesh1_pg.C_scaffold_5000615 pep chromosome:v.1.0:5:4729643:4731740:-1 gene:fgenesh1_pg.C_scaffold_5000615 transcript:fgenesh1_pg.C_scaffold_5000615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPV1] MGPSAHLVYAIGVIIMATMVAAYEPYTDSSSPPPYSVPLPKVEYKSPPLPDVYSSPPPPYYSPAPKVDYKSPPPPYVYSSPPPPPYYSPSPIEYKSPPPPYVYNSPPPPTYYSPSPKVEYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKVEYKSPPPPYVYSSPPPPYYSPSPKVEYKSPPPPYVYSSPPPPYYSPAPKVEYKSPPPPYVYSSPPPPYYSPSPKIDYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPSYSPSPKTEY >fgenesh1_pg.C_scaffold_5000623 pep chromosome:v.1.0:5:4843423:4846284:1 gene:fgenesh1_pg.C_scaffold_5000623 transcript:fgenesh1_pg.C_scaffold_5000623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase/ zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7LM22] MVKAVVGEDTRLTSVEDRLSHSAIPAEVGLVIGKLSSVLDRGFVFDLIPTPSNDDGEPACSVLETKDVKRKPSKSSESSSLSIDSDWVAEHARQVSRMLLGGMKVVGIYVWASDTSFKNSTMILCQAIKAVSDAIRHLDPSLNEALLIHICYSPRRWNCRTCLLSSSITSSSLRPCDFKLGKVLSSLQRFRCSYRFNFRLPIYGEGGSSTQTFTDTLRKELAIHAKELNTANAMIDGDLVHNDEPCNSDGEHEIELLFPFMKDSRAEASTAKNVTGILLFSGSVFSYAYLNVKEPVSQAIADIKADIIRSLQSRLDIICDESEQDLNPTDVGDNGDADEMSKIPISKLILNSSTKACHLRLPRRVLVPWLAGMYICDYLQPFESLEVVKERCTELMSMEHSSIDASKISEVETETSLLIAESMWDVISPATSASSFCLGGNVERTRGEDESKRTSNTSTASNVPMLVGIFVLLLSIVLGFMLYQSD >fgenesh1_pg.C_scaffold_5000644 pep chromosome:v.1.0:5:4985725:4988124:-1 gene:fgenesh1_pg.C_scaffold_5000644 transcript:fgenesh1_pg.C_scaffold_5000644 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFPVTIKPKKSLLLEAWKPVSIGYKRRWWDCIALPDDVHPFDEGALRMEIKNFACTEEAEEITRLFLDALGDEYSVYCQGTAFFPLQSCMNHSCRPNAKAFKREEDKEKQVLRVLWVFYTDPDLNSVRRVVLEADGYQPYLISTKKGFRSLIKFVIELAKDPPRLHVHESLVKFSLVVLLLSGLKCLIKLIYVTVDEGFFYPTLKIIHPVSLLSDFSVTLTWS >fgenesh1_pg.C_scaffold_5000651 pep chromosome:v.1.0:5:5133718:5137903:1 gene:fgenesh1_pg.C_scaffold_5000651 transcript:fgenesh1_pg.C_scaffold_5000651 gene_biotype:protein_coding transcript_biotype:protein_coding MENAVWNLTKHLDTVSEAISNAKKHLCHRLGFRRVVPLRVVLTSFRYMGQVGLALANIGDDFDALHVWFLDFLMANLGGFKQYEDKANSSHPRDTHDPTGEPETLWGIIDPRSFGDRVDKGKPQELEDKLKMYKKKKERDVVDDTVNLRQSKRRRLREESVLTDDMDAAVYQPKSNETRAAYEAMLCLIQHLLGGQPLSIVTGAADEILAVLKSEKPEKKMEIHKLLNYIEDREFDQLVSFGKLITDFQEAGDSSGGGEDDEDNFGVAVEFEDNEEESDQEDIVQEEDCEEDQELSRTGGMQVGAGIYDKDANEGSNLNVQDIDAYWLQRRISQAYQHKIDPQQCQVLADDLLRDVENKLLLHLEKFSTTMHFEKFSLVHFLLRNRLKVVWCTRLARAQDQEQRNRIEEEMRAFGPELTSIVEQLQATRATAKEREENLQKSIHEEARRLKEEAGGDVADRDLESGWVKGQRQLLDLESLAFDQGAHLMANKKCDLPPGSYRIHGKGYDEVHVPWVSKKVDRNEKLVKITEMPDWAQPAFKGMQQLNRVQSKVYETALFKTENILLCAPTGAGKTNVAMLTILQQLELNRNEDGTYNHGDYKIVYVAPMKALVAEVVGNLSNCLKDYGVTVRELSGDQSLTGREIKETQVIVTTPEKWDIITRKSRDRTYTQFVRLLIIDGIHLLHDNRGPVLESIVARTLRQIETTKDNIRLVGLSATLPNYEDVALFLRVDLKKGLFKFDSSYRPVSLYQQYIGISVKEPLQRFQLMNDLCYQNVLACAGKHQVLIFVHSREETAKTAIALCDTAMANDTLSRFLKEDSESREVLLNHFDFVKYYDLKGILPYGFAIHHAGLTRSDREIVEGLFAKGHVQVLVSTTTFAWGVNLPAHTAIIKGTKVYNPEKGAWMELNPLDVMQMLGRAGRPKYDQHGEGIIITGYTERQYYLSLMNEQLPIESQFISRLADQLNAEITATRLLHAMVDVISSNGWLNLALLAMEVSQMVTQGMWERDSMLLQLPHFTKVLAKRCQENPGKNIETVVDLVEIEDEERQELLKMSDAQRLDIARFCNHFPNIDLTYEVMGSEEVTPGKEVTLQVMLERDMEGKTEVGPVESLRYPKTKEEGWWLVVGDTKTNQLLAIKRVSLQRNVKVKLAFTVPSELGEKSYTLYFMCDSYLGCDQEYSFSVDVKGSGVGDHMEK >fgenesh1_pg.C_scaffold_5000662 pep chromosome:v.1.0:5:5274158:5275938:-1 gene:fgenesh1_pg.C_scaffold_5000662 transcript:fgenesh1_pg.C_scaffold_5000662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7LM67] MEEDNQERQRDNVGTSSFFKTCFNALNALSGIGILSVPYSLARGGWLSLSLLLLLAATAFYTSLLITKCMNADRNIKTYPDIGERAFGRPGRIIVSLFMHLELYLVTTGFLILEGDNLHNLFPGFNIELIGLRLNGKQAFMATVALVIMPTLWWDNLSVLSYVSMSGVLATTVTLGSISWVGAFDGIGFHQKGKLINWSGIPTALSLYAFCYGAHPVLPTLYSSMKSKHQFNNVLLICFILCTIGYTSMAVLGYLMYGSQTLSQITLNLPIHKTSSKVAIYTTLVNPVAKYALMITPTVNTIKDWFPSRYAKKTYLHLLISTFFIISSVVIAETLPFFGYMMSLVGALLSVTVSILLPCLCYLKIYGYYKKIGCETIMLFGMVVMSVFVGVLGTYIAIREIIGSV >fgenesh1_pg.C_scaffold_5000663 pep chromosome:v.1.0:5:5278134:5279556:-1 gene:fgenesh1_pg.C_scaffold_5000663 transcript:fgenesh1_pg.C_scaffold_5000663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in cullin neddylation protein [Source:UniProtKB/TrEMBL;Acc:D7LM68] MCDHNKPGDGEESQRFNLSKEALTQLLYLVEHKFQARNSIFDELFKLMSRLDLMASCFGPRFFSFRVDFSEFTCFYDFVFFMCRENGQKNITISRAITAWKLVLAGRFRLLNRWCDFIEKNQRHNISEDTWQQVLAFSRCVHENLEGYDSEGAWPVLIDDFVEHMYSILGPNKDTSFFCKCSDTESESCLYQEDEHHKDYRRPHTGLRNIPGLKRKTSNNNGDEEEDEEVSETQNYSSSLSLKRIKTNNSPRCSSKSPCAIERSLSQGFASLLSTGDKP >fgenesh1_pg.C_scaffold_5000680 pep chromosome:v.1.0:5:5482702:5483376:1 gene:fgenesh1_pg.C_scaffold_5000680 transcript:fgenesh1_pg.C_scaffold_5000680 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPLFSALKTQQYLSTTSSENPKKCLVSTTLCLSSCENPNKRKMNTNDDPKVSCRPSDEKSTNEERKIKRMRNLSNEEKAEEEWYGVSTELTLFKDPWIIKKELTNSDLGQLSRLLLHTGPIEDHIIKYLNNDDKNNVQQGLGITVDVYDHDTDSTFELILKRWTTLNSYVLNGGWRMYFIRRRGLRKGDQIGLFWDRFASRLHFRVLSRASTEVFVDEKNPN >fgenesh1_pg.C_scaffold_5000685 pep chromosome:v.1.0:5:5532496:5533099:-1 gene:fgenesh1_pg.C_scaffold_5000685 transcript:fgenesh1_pg.C_scaffold_5000685 gene_biotype:protein_coding transcript_biotype:protein_coding MQPFKFIVILFGRLGNATVGKPPNKWQESSRWDDHSHVTELVETLPEESCRSVDANVESSDKNHNEANEEEGANETVGANEEEGANEMDIRKLNINNWQERSDPRLLKRGRDGILRSEGKLNPTDRFTPSSRTRNMQGNKKQKFRSIASILEETRSR >fgenesh1_pg.C_scaffold_5000687 pep chromosome:v.1.0:5:5554719:5556841:1 gene:fgenesh1_pg.C_scaffold_5000687 transcript:fgenesh1_pg.C_scaffold_5000687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LNV1] MTSSLPVRAPSWVSSRRIFEERLQDLPKCANLNQVKQLHAQIIRRNLHQDLHIAPKLISALSLCRQTNLALRVFNQVQEPNVHLCNSLIRAHALNSQPYQAFFVFSEMQRFGLFADNFTYPFLLKACSGLSWLPVVKMMHNHIEKLGLSSDIYVPNALIDCYSRCGGLGVRDAMKLFEKMSERDTVSWNSMLGGLVKAGELRDARKLFDEMPQRDLISWNTMLDGYARCREMSRAFELFEKMPERNTVSWSTMVMGYSKAGDMEMARVMFDKMPFPAKNVVTWTIIIAGYAEKGLLKEADKLVDQMVASGLRFDAAAAISILAACAESGLLSLGMRAHSIIKKSNLNSNASVLNALLDMYAKCGSLKKAFDVFNDMPKKDLVSWNTMLHGLGVHGHGKEAIELFSRMRKEGIWPDKVTFIAVLCSCNHAGLIDEGIDYFYSMEKVYDLVPKVEHYGCLVDLLGRGGRLKEAIKVVQTMPMEPNVVIWGALLGACRMHNEVDIAKEVLDNLVKLDPSDPGNYTLLSNIYAAAEDWEGVADIRSKMKSMGVEKPSGASSVELEDGIHEFTVFDKSHPKSDQIYQMLGSLIEPQDPDMEVLVLSPTTGYVINLEDDSNKRRSWRTKDIQTPT >fgenesh1_pg.C_scaffold_5000695 pep chromosome:v.1.0:5:5645193:5646306:1 gene:fgenesh1_pg.C_scaffold_5000695 transcript:fgenesh1_pg.C_scaffold_5000695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNW1] MRKSKVPKSNSANLEQAYRSLISASRGLSRTLSPSHPESQPPPPQLESQSPSTVVSSFPAPVTPSPPSQEEIQTRSRNREEIRRVHDCYKRLKSSIGQRDGGGCSANLEQLESQSQDEGTYNKKKTKQDEASLKSEEDLELKQNLELYVERVQDPNPELQKAALESMRELDYVYSDYQEIEMESPESFSTMFMKPIHQPMCKILHLHK >fgenesh1_pg.C_scaffold_5000708 pep chromosome:v.1.0:5:5724294:5725158:-1 gene:fgenesh1_pg.C_scaffold_5000708 transcript:fgenesh1_pg.C_scaffold_5000708 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIFLFSQLVAAEIFFVKLKHESYQEFSEVPDLSKWFSSYVYESPMLDTNDGLELSFPGESKGIKDMELVSSQDKDKSQSQVAFSEITEVVEYSEVDEENNSIWGKPKKKRISTIWRKPMRKKEPTTTTYEAELTSLRN >fgenesh1_pg.C_scaffold_5000717 pep chromosome:v.1.0:5:5818270:5819995:-1 gene:fgenesh1_pg.C_scaffold_5000717 transcript:fgenesh1_pg.C_scaffold_5000717 gene_biotype:protein_coding transcript_biotype:protein_coding MANHDHPTHVPCLFSMMMSEGSRLSLSNQSLFSFPDPFLIWVVDQFHQRHSPDLTESSPLQYLYSFVMSIQWNCVFLPRRRFVFGAFPWRRWFFRLSTGDCPLTQGYGGFTWIFDPGINRVLAEDEDIIKLVETKKNSQTQWINSGDLVPLFGHSIPEFPAHRYPRSMLKDLRLATKRELTAHPMIPEKYLVQRKASSSQCFVHDYMRRRPHMMILHQPFMNVILEYDASEDEFGFLLKIDEASTSPRWPLVFLDWVLVYLGSQVDSMNGMSDFKKRKHLPWQQIWVIRQRRLPTDQSESFSESSNVLISTKRQDTVLSPTTLSSHFKSKVMLKAFWSLSSKLIANNKYVKEGHNRFHLLARKAFDSRVRRSWSVLRQWVNSSTKSNRFNGILRDGCDFYIHTKWQSDKILQHRNRTIEPLPMITSSSP >fgenesh1_pg.C_scaffold_5000745 pep chromosome:v.1.0:5:6555325:6556745:-1 gene:fgenesh1_pg.C_scaffold_5000745 transcript:fgenesh1_pg.C_scaffold_5000745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP39] MDSKNTSRRIHRPRSLEEPVRIFEVTHDMYDERQSKRYHDKARGKGKEKQVEADSTTSNEAQDEYHEKNDNFMLTSLVPPRLGATHRRLSRQQPKRKELPMKFVGQMMKDNVDYRESINKIKLTPTFLIDYSTFKAPDLDDDVVWMLDALGLRRFGAPRDLRISGMGSSISRSTGNTSTSLYLALEEHFGFDYQDAIDFGPEEHGDIWHHIGRGPFTSGKTKSAMISHPAKRYIHKLLANTIFARTVQNSILGDELLVLKTPFVDFPRRVNYASLFAERMVKIKHDAIYCTDDQAFLSFGGVITTILEAARVDLTDRAFTAEEHYLDLERLGTMKILEGACIDPDRFGYRYHVPPRLIHTIMLPCPTISRLRDGATRWDPDSSEFLSLQSGERLLLTLTGFIKKKALDSRRTTKATRSHESESSSHEEERARSIALEQHLAEQIALTEQMERMIRDPRH >fgenesh1_pg.C_scaffold_5000758 pep chromosome:v.1.0:5:6816823:6817410:-1 gene:fgenesh1_pg.C_scaffold_5000758 transcript:fgenesh1_pg.C_scaffold_5000758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP55] MDRVWTAVELAKHALEADPTRVSKLGRCYETEPATVLRAGTGVPPNNGSTTVGVISQLIPIRTKKRNSSEMTGTGTTAFIATAIGFSSTMAFPVVLGVTQTRTPVPSSDFRQTDSRAHDKIYIFFLSLEGHFLNSIFLLFPLKQ >fgenesh1_pg.C_scaffold_5000770 pep chromosome:v.1.0:5:7003355:7004678:-1 gene:fgenesh1_pg.C_scaffold_5000770 transcript:fgenesh1_pg.C_scaffold_5000770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP79] MYVRNGYIISISRLYFRNFFGNSIRSNHENGETSKGRDVWVIYQQMEDLDLPHRLIAAGVTQLVCFLQVCGMETGNPVQGPPHAVEDTNDHSNAEVRLNGVVEQDEVIPEDENDAVKENLPILNDNLEGNLTLSNDNLEVVVTTNNTHLKCN >fgenesh1_pg.C_scaffold_5000778 pep chromosome:v.1.0:5:7139723:7140160:1 gene:fgenesh1_pg.C_scaffold_5000778 transcript:fgenesh1_pg.C_scaffold_5000778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP92] MVKTAVADAMNDVYLRLEKLERAAEASKAKGKDGEEEETGEKIDDVSIDWETMGGGDYRGDGETVFVGKDLSTGDEPAGFEKSVEENLRIDDEPVVGGGQIDGKENEYGFEGSGLEKMIDENESGFEGSDLQNIVDDSIWFIEGW >fgenesh1_pg.C_scaffold_5000798 pep chromosome:v.1.0:5:9528822:9531021:-1 gene:fgenesh1_pg.C_scaffold_5000798 transcript:fgenesh1_pg.C_scaffold_5000798 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPVDFGNEFIREWTTSSYSGGRSPLCHGSVDPSMSVDNPTCQSHSRKKNTVEADVPDFATDLCAKPAKEMEACRAKNKEVHCAKEEEVRRVSPREGNYNSSKKTMGITNLMVSRYDKTSHLVKMYAQAVGTLGFLEALTKDAITDLEDIRVEWKAKVDACVVTNLTNDSYVITPVVEHAITDLEPTSLAIDQF >fgenesh1_pg.C_scaffold_5000808 pep chromosome:v.1.0:5:9633437:9636289:1 gene:fgenesh1_pg.C_scaffold_5000808 transcript:fgenesh1_pg.C_scaffold_5000808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPD8] MADLRAVSADKRGRLAMSVKRLDQSSNRVRESSEPESMTFTNLKQQFFINTFSASSKDLTYHMKTVVKIDGRVVSDGKVGRVTRTLQNAYKKDRGFCLRRLYRNSNILLCMNMQIFEKITIPFFVLHGEADIVTDPEISKAQYEKASTFWSLSFNGPQVVELQFYIFFTDAYTGDITFWSLFFNGPQKDNCISLHDLHASKLSGNVLNILFNRNKFMAPETHDPFLIRQESGNVYLRHDVIIPEVPLYTTRLDCPLQATSFFPVGYFVALGSMDSSIDIWDLDLLNGVLLPCVQLGRIAGQLEEMWIKLQL >fgenesh1_pg.C_scaffold_5000809 pep chromosome:v.1.0:5:9644166:9645638:1 gene:fgenesh1_pg.C_scaffold_5000809 transcript:fgenesh1_pg.C_scaffold_5000809 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAIGDGENDVGMIQEAAIGVGISVITHFTWSLGSGSKKYRYWKAWGELVSIYSDLCHMGTPPAVINADELQRDPETTLHGLCDDLKLVTFFSFAKFYFFIALKMVQLRT >fgenesh1_pg.C_scaffold_5000813 pep chromosome:v.1.0:5:9855832:9857829:-1 gene:fgenesh1_pg.C_scaffold_5000813 transcript:fgenesh1_pg.C_scaffold_5000813 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVLFLCFLLLCFSFTPSLQNVSESEPLVRFKSSVNITKGDLNSWRTGTDPCNGKWFGIYCQKGQTVSGIHVTRLGLSGTINIEDLKDLPNLRTIRLDNNLLSGPLPPFYKLPGLKSLLLSNNSFSGEIADDFFKETPQLKRVFLDNNRLSGKIPASLMQLAGLEELHMQGNQFSGEIPSLTDGNKVLKSLDLSNNDLEGEIPISISERKNLEMKFEGNQKLCGSPLNIVCDEKPSSTGSGNEKNNTAKAIFMVILFLLIFLFVVAIITRWKKKRQPEFRMLGKDHLSDQESVEVRVPDSIKKPIESSKKRSNAEGSSKKGSSHNGKGGGGGPGSGMGDIIMVNSEKGSFGLPDLMKAAAEVLGNGSLGSAYKAVMANGLSVVVKRIRDMNKLARDAFDTEMQRFGKLRHPNVLTPLAYHYRREEKLVVSEYMPKSSLLYVLHGDRGVYHAELTWATRLKIIQGVARGMDFLHEEFASYELPHGNLKSSNVLLSETYEPLISDYAFLPLLQPNNASHALFAFKSPEFVQNQQISPKSDVYCLGIIVLEVMTGKFPSQYLNNGKGGTDIVEWVQSSIAQHKEEELIDPEIASNTDSTKQMVELLRIGASCIASNPNERQNMKEIVRRIEKVTL >fgenesh1_pg.C_scaffold_5000817 pep chromosome:v.1.0:5:9892477:9896301:-1 gene:fgenesh1_pg.C_scaffold_5000817 transcript:fgenesh1_pg.C_scaffold_5000817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPF1] MVALRFFFSSSLLILLLLIRLTSTFAIQEATIEDIRLAFKEKRLTSKQLVELYLEAISKLNPILHAVIETNPDALILNTYFKNPQIENSLIISSPVNFRQRSVDVLDQIYLSNNWFSGEIPPAIGNFPNLQTLFLDRNRFRGNLPREIFELKHLSKINTSANNITGSIPTRIGNMTSLTTLDLSFKDLSGRVPLGGQFMVSRFVGISGLMDKLAQAGLKIWGLTGDNLETAINIGFLALVVDCASVICCRVSPKQKALVTRLAKEGTGKTTLAIGDGENDVGMIQEAAIGVGISGVEGMQVLEKVFFSTMRYGQVLEKQKSLTSLGLLDQDRRNTGIVRVFGFPSELMSKGKHFILIQNPLKKLAWEELVSIYSDLCHMGTPPSVINADELQRDPETTLHGLCDDLKLVNFFHSPNVSTGDKVTCKLNYERRKLIANNQTCTHMSNFSLKIIDICKEEEPKK >fgenesh1_pg.C_scaffold_5000823 pep chromosome:v.1.0:5:9938097:9938925:1 gene:fgenesh1_pg.C_scaffold_5000823 transcript:fgenesh1_pg.C_scaffold_5000823 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPYELSNITSEQAITSLPIWRTYPPPCPMRGRVENGETLIASMVSSLVLGPSHVGPFVFQLRPIMISFGPPLLPAWPMSITSMLLLSQNVKSHYLRRFMKPIDQGHQLNHNPPLLICWYKNYLRTLPLESPRIIIHIVKRLKKNGIMIPSLRSGGYQNFFNFSSMIHLLTDFIQELIRNIMDIILERISLKKIGIMIPSPRSGDYQSLIIPLYPFLLNFEPMPIPEETFIFQDILPLVPTSSLRFVPANH >fgenesh1_pg.C_scaffold_5000838 pep chromosome:v.1.0:5:10146854:10148566:-1 gene:fgenesh1_pg.C_scaffold_5000838 transcript:fgenesh1_pg.C_scaffold_5000838 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLFKKDIDELIDEFAEGDLTTFTDMKSVWLSRKFSYLYDASPTSNLAFFMQSLYAHTIGHMVSIDSFSRRLGGLYCLYCLHEIQPFKPKFRIYISLEELGKFRDLVVEAEDKGVEIVAAVAKQMLDKNMFIFGSVEETSATMKLNQLTELQNARVRFAYDRLISDTTIEQYIHLDMGKEVNLNSLDEMSIEYAEAKKRAIEGAGEIMEIEDIKHISEEKELMGERMEKMKEEWDSQRLSFYEQTKLDGLTTTPKLLKDVEHDEDDGFDELDRLLSQS >fgenesh1_pg.C_scaffold_5000859 pep chromosome:v.1.0:5:10461632:10467092:-1 gene:fgenesh1_pg.C_scaffold_5000859 transcript:fgenesh1_pg.C_scaffold_5000859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:D7LMD8] MADLLFHRIALTLLLSFLFLFATFGSSSRTRFKYSLEKPHHPLDPLTSHEIKRVRTILSGHDPGFGSGSAIIHAMALDEPEKQRVVRWKKGDRLPPRRAEVLAMSNGESHVLTVDLKSGRVVSDLVNPTFGYPILTMNDIVAASQVPFKSVEFNRSIEARGIPSSDLICITPAAGWFGPDEEGRRVIKIQCFSKKDTVNFYMRPIEGLYLTVDMDKLEIIKIVDNGLVPVPKSTGTEYRHGFLNETVHMDRVNPMSMEQPDGPSFKVEDGYLVKWANWKFHIKPDQRAGMIISQASVRDSKTGEARSVMYKGFASELYVPYMDPEEGWYSKAFMDAGEFGLGPTSMPLVPLNDCPRNAYYIDGVFASPKGDPILQPNMICLFERYAGDTSWRHSEILLPGTNIRESRAKVTLVARMACSVGNYDYTFDWEFQMDGLIRVTVAASGMLMVKGTPYTNVQDLGEKEADSGPLISENVIGVVHDHFITFHLDIDIDEPANNSFVKVHLEKQRLPPGESRRKSYLKAKKYVAKTEKDAQIKLSMYDPYEFHLVNPTRRSRLGNPAGYKLVHGANAASLLDHDDPPQIRGAFTNNRIWVTRYNRSEQWAGGLLMYQSRGEDTLQVWSDRDRSIENEDIVLWYTLGFHHVPCQEDFPIMPTIAASFELKPVNFFESNPILGITPFFEKDLQVC >fgenesh1_pg.C_scaffold_5000870 pep chromosome:v.1.0:5:10560017:10560988:-1 gene:fgenesh1_pg.C_scaffold_5000870 transcript:fgenesh1_pg.C_scaffold_5000870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMF5] MVKQMPQGNEGGWEKPRKPAAKRALEFSGEEPSGGFHYQLERGDNSRQSHRRYDKNHASGWKEKKSFPGTWAENSEVAGKEVAMEGLMDSHYSVQGTEFTKKGAGPVWPKPLYQPKAISKVSQASHKVDNVSKIPDLGEEKKDSVMEDVPEVQDKSLTVGINFSESTDDLLEDGEYHAEEDIEVQVMAEKTTEDEGNETHEENMIALQGNVQISNVLSDDIGLVRKGLKGITLDSKKIQNFKGTSSQGIRGINGNRDYSRIVASPGKRLLAKAMSLKSADDGIKQKVADKNKVKEVTKAGRANRNLKKGMVDLPKPPAHTWRS >fgenesh1_pg.C_scaffold_5000873 pep chromosome:v.1.0:5:10613907:10616038:-1 gene:fgenesh1_pg.C_scaffold_5000873 transcript:fgenesh1_pg.C_scaffold_5000873 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHQANEVVVSEGEDNVPLSTLRTKDTKLKQKREESKDMQVKKKAKFDWRPSDSCAKSIGKPIKFTGKGGNKKCHYETFEFHGKEYRLEDFVELVPENPNQKEYIAIIKDIYIREKDGLVKMLVQWFYRREDIEEKDVGEWKSEDSSEIFFSFHCDEVCAESVKYKCFVYFVPDDKQVPNRIQSSGFVVQMVYDNVHNEMMKFSDESFDEEQKFEIDILVARTISRIGDLVDVEKVQMTTIPRRKRLVRKCERMSSRPISDKLESLPSSDLDRDKILVELLEVVLKNLCSESTGTTRKDVVQVVLALEEALYDSFADDIPKYNYKLELLVKRLKNSRVLARGLLSGKLKPEQAIKMADFEEPILVEDVASTSKNVGHEDCLED >fgenesh1_pg.C_scaffold_5000878 pep chromosome:v.1.0:5:10647206:10648395:-1 gene:fgenesh1_pg.C_scaffold_5000878 transcript:fgenesh1_pg.C_scaffold_5000878 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTAGYLHLRIENEFSWGYGLPSQVFTSTTLVKLSLGTEQYIDSFSANTSLPALKVLLLDSIWFTDNQLLNVFLTACPALEDLTIHHEKYEVQSYVISSKTIKKLSVTIKFNNYLDSPVIISIDTPSVVDLYYSDYRRHKSPRCQLDSLAKATLDLHFLEKDKRIRNLTGMWRISSVISICCNGGLPVFNNLVDLVFSGTKRGWKQLLPLLLERSPNLKNLVLSGLHNYTFGRRHRFVGIQIPLNIQIKMLSIKQYHGSATVLKHISHFLLNMEYLEVVKVYVAAEMDDTKKMQLTEALLKFPTASSKLEIQVI >fgenesh1_pg.C_scaffold_5000901 pep chromosome:v.1.0:5:10826017:10829093:1 gene:fgenesh1_pg.C_scaffold_5000901 transcript:fgenesh1_pg.C_scaffold_5000901 gene_biotype:protein_coding transcript_biotype:protein_coding MEICIELVKLTVDFVAAVAESIEVAFRHRPPPVIQYSPVMYGRRILDESWPIIFDPSSNSMQLPKQQLISSIKSIPNLKFENDRLKRAYIALQAWKKAIYSDPFKTTANWVGSDVCSYNGVYCAPALDDDSLTVVAGVDLNHADIAGHLPPELGLITDLALFHINSNRFCGIIPKSLSKLALMYEFDVSNNRFVGQFPEVSLSWPSLKFLDLRYNEFEGSLPSEIFDKDLDAIFLNNNRFESVIPGTIGKSKASVVTFANNKFIGCIPKSIGNMKNLNEIVFTGNNLTGCFPNEIGLLNNVTVFDASKNGFVGSLPTTLSGLASVEQLDLSHNKLTGFVVDKFCKLPNLESFKFSYNFFNGEAESCIPGRNNGKQFDDTNNCLQNRPSQKPAKQCLPVVSRPVDCSKDKCSGGSNGGSSPSPNPPRTSEPKPSKPEPVVPKPSESPKPEPQKPSKPQTPKTPEQPSPIPQPPKHESPKPEEPENKPELPKQEESP >fgenesh1_pg.C_scaffold_5000902 pep chromosome:v.1.0:5:10835813:10838194:-1 gene:fgenesh1_pg.C_scaffold_5000902 transcript:fgenesh1_pg.C_scaffold_5000902 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC060 [Source:UniProtKB/TrEMBL;Acc:D7LNQ5] MAAEPAVTTAFPGFKFSPTDIELISYYLKRKMDGLEKSVEIIPEVDIYNFEPWDLPDKSIVKSDSEWFFFCARGKKYPHGSQNKRATRMGYWKATGKERNVKSSSEVIGTKRTLVFHIGRAPKGGRTEWIMHEYCMIGVSLDALVICRLRRNTEFQGATIQNPPQPSLSSDKPENLQNEAILESISGWENMVDFYLSSESGQELLSEIAESSQSSQNPQVPSEEDFYADILRDEIVKLDDPAILGNTLTNVPRLQTESNTTRVLPLPDMVDKQMQSLLQKLPLQNDTGEENNISMSNCFIGIYSIKSINRARWDVVVWLLVMIAVLVFYLV >fgenesh1_pg.C_scaffold_5000904 pep chromosome:v.1.0:5:10847638:10851933:1 gene:fgenesh1_pg.C_scaffold_5000904 transcript:fgenesh1_pg.C_scaffold_5000904 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQEDTQKLGVDNVADSGKHEDTSGSSKKRKMEPSSAPEHIHAFPLVCCDVRQTRATEDTDLQVYKRQRRQSEIIEGRAWYLMQQVPSPQPTEAGRSTPNAPTTNYQIARLIHPEDHHLISQIYLPLKPKPDSHIWSPTKDGNYTVKSSYWTAMNLLIDNDTPQPRLAHFPDISTISDTKEWLDNATKTTTRHNSGPIKDAREAGWRKPPRGVMKCNYDVSHHGGNRVSGLGWIIRDSFGTFHDCGWGKSQGCTTTEEAECTSLIWALQATWGLGYRMVEFEGDNQNINNIINGTAPHPRLQHYIDTIWAWRDLFTQATFTFKPREQNKCADILAKKAISSDERWGLYHSCPHFLNSPVKNDIDPKY >fgenesh1_pg.C_scaffold_5000922 pep chromosome:v.1.0:5:11127430:11129502:1 gene:fgenesh1_pg.C_scaffold_5000922 transcript:fgenesh1_pg.C_scaffold_5000922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMJ0] MVTLVYERLEKHCFGCFSLSHEKKDCTQREEGQREEEQNRAVGRASSQVRSEQSKGRNQNRDPRDREERPAREKRYGAQRRHVSKSPSRRRSPPLSYHVHESARGYTSRRRRDELQHRRSGREQVFSHRESNPLRQEILNTPQSKAKTHSELPPPPGRVGEQSNPKARRPAIERLSGGDLGAAHSSFLGVSSSMAGRLQDVNIQYLGDEEQAFPSGNKSILIGSSSIPSHPTLGHRLSIGQGDAPGEWRRPASQRLGLELQDITISIPAKPPKAKSNSKRKGAGSTVPRGPRSPLQGASSKKRNENKPKSVSARKRLCSEQVPSDKVQGKLKLCLPSLGDVGETLSNGPRIS >fgenesh1_pg.C_scaffold_5000937 pep chromosome:v.1.0:5:11285454:11292854:-1 gene:fgenesh1_pg.C_scaffold_5000937 transcript:fgenesh1_pg.C_scaffold_5000937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:D7LMK7] MRERIRDSLPRRSSSPSPTSDRDRNESSVIKPGDVSNRSDQIDVVSHGVEDREQDIDGTRRDSDLYDVEIQRRTGFSNKDRREEEERDDERYVKHFHLQEDSGKRYVESSRFITSHNPLRLSDESKPSRFITSHDDEYSPSHGKNARRVDDQQVNSFYKRGDHDSPIVSKCGKEIVDESRNHYHIGSDIAMREFPSVRHEVNNVARESDLLMQTRGSLSKSHVRVSNIADVDAADTHLEFCRKERMADHYESVKEDYPFVELGSRVVNERDDKDDHYRNFCNEERKVVYLDNKCQPLRKDYQCDGVDSMKNLAFSEPYAVVDQDDNGSQRINRCLNKEREAYLDNERNAVFSDDKRRELRNEYHCGNDGRMHSEVDRADILVDQDGRGVQLQRVCLNKGKAAYLDNERKDVYLNYKSRQLEKDYDSRSDARIYPDVNRLDILVDREREDVRGLRYRGGSLNDRRETYLPCESQQLLNDHETNSYGMMYPDVNKSDILVDREDSRGLRYKGVSLNDKKEAYLQCESQRRLNDHETDSYVTMHPDVNKSDILVAHEDARGWKYIGVPLNDRKKAYLQRESQQLLNDYETDSYVMMPPDVNRSDILVDHEDARGLRYKGVSLNDRKEAYFQCESQQRLKDHETNSYGMMHPNLNKSDILIDREDARALELRRVSFDDGKESYMDYRRGDAYPRYKSQLKEDYDYGIDDKIYRDVNSSDIIVNHGDANALEVRRVAFRDRNEAYLDNESKDVYEHYKKQPLKDYDSGIDERMYPAVTKSETVVDQGGARALERRGLSSNDERGAYLHYESQKILRDHEIDSYGMMHPDVSISSAGKQDEETLRGRRYLFDGRDQVYLDDERLQRRNGGHVQVHANMRYPDGRQEVYHSNDPILRTRDDYGLRDDASEVIYENMAYDYDYGDAKQSRMSYEKEDRERLREREVAYDYRDKLGNYAVDGGTSKDQFRYLTDERNFGNVDHTSTPRRRLSAKERLGGRVEEDSRVHVKHRLHQVRNPKLKGDVGSVYFGPNHPMKPHRLCMTHHLILAYGLHSKMEVYRPHKAYPIEMAQFHSPDYVEFLQRINPENQNLFPNEMARYNLGEDCPVFEDLFEFCQIYAGGTIDAARRLNNKLCDIAINWAGGLHHAKKCDASGFCYINDLVLGILELLKHHPRVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKFGDKFFPGTGDVKEIGEREGKFYAINVPLKDGIDDSSFNRLFRTIISKVVEIYQPGAIVLQCGADSLARDRLGCFNLSIDVSVTQILKRLSLMHFPGHAECVKFVKKFNLPLLVTGGGGYTKENVARCWTVETGILLDTELPNEIPENDYIKYFAPDFSLKIPGGHIENLNTKSYISSIKVQILENLRYIQHAPSVQMQEVPPDFYIPDFDEDEQNPDVRVDQRSRDKQVQRDDEYFDGDNDNDAS >fgenesh1_pg.C_scaffold_5000947 pep chromosome:v.1.0:5:11392300:11393690:1 gene:fgenesh1_pg.C_scaffold_5000947 transcript:fgenesh1_pg.C_scaffold_5000947 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLRHSGKLSDETCHSILCGLGKHVDTFDLSKTKEEVDGWTSEEDEQLDQEYCRQVTESLGFDIDGNVRIPSCGISPVFLGANESPHSEISLYGRLGVHCFNIDKEGKLKYMRIPKYNIQFPKAMSFYITVETLVTRSFSRNGEHLRVSTGICRIKPETPEGDICLVDEEAIDKFYKGVMPNFVSKEGAVNDKLRFYEVQEQDICANDWLRLYTEFALFSFWRYNEDGFESCLPVEIKKIIVETCETHREPRLKLKSSNAIFHINFSAKSCDYKSVVRRTTDGKPGHIVLEINTWKNDQPSST >fgenesh1_pg.C_scaffold_5000973 pep chromosome:v.1.0:5:11589174:11591789:-1 gene:fgenesh1_pg.C_scaffold_5000973 transcript:fgenesh1_pg.C_scaffold_5000973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger [Source:UniProtKB/TrEMBL;Acc:D7LNJ2] MNTTTYIGDCRISLFNISSKGFWENLKSLDVVFGYSLPLLEIQIILIFFCIVMSHMFLRCIGISQIVSYMIAGIILGPQLFDLLENSSEKLSADPALDGTAALRCISVFGRLMFTFLMTVRTSRRVAFHSGNLPVVIGIVSFFAPLFGLGFVNLFSDNIDPHYMPLDKALSERIAIVITQSSILLPSTTYILLELKIINSELGRLALSACVINDILGIFAMMVATIQATYIHVSHATAYRDIVAMIIFFLIVFLVFKPMVQWIIDRTPEDKPVEDIYIHVVILTVFASAAYFVFFNMKYILGPLIIGIIIPEGPPLGSALEAKFERLTMNVFLPISITFSAMRCDVVRIFSQFTDIFFNIFLTFLILVIKLVACLTPCLYYKLPLSESMAVSLILSYKSFVEFVLYESVLEEKLISLATYAFLIIYSLLNAGIVPMVLRSMYDPKRKYVNYQKRDILHLEPNSDLRILTCLYKQENVSETIALLQLLSSPNLDFLITVTVLHLVKLVGQINPIIVSHDKKLKRLHKNSYIHTANLAFRQFMEETLASVTVTTFTAFSHENLMHEDICTLALDRTTSMIIVPSGRKWTIDGIFESDDNAIRHINKSLLDSAPCSIGILVDRGQFSRKSNVTSKYRYNIDVGVLFIGGKDDREALSLVKRMKHNPRVRVTLIRLIFNHEIESDWDYILDNEGIKDLMSTEDNKDIVYTERIVNSGVEVVKAVQLLAKEYDLMVVGRDHDMTSQDLSGLMEWVELPELGVIGDLLAARDLSSKISVLVVQQQQQQT >fgenesh1_pg.C_scaffold_5000974 pep chromosome:v.1.0:5:11593009:11594633:1 gene:fgenesh1_pg.C_scaffold_5000974 transcript:fgenesh1_pg.C_scaffold_5000974 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGINTSSRLNPQARCPLQRNFLPKNTSKENPERFIPNRSAMDFDYAHFQLTEGRNEKDEATKVRSSPSREAYRKQLAETMNLNRTRILAFRNKPQAPVQLLPREHSVYSLYQQPKSVKPRRYIPQNCERALDAPDIVDDFYLNLLDWGSANVLAIALGRTVYLWDASNGSVSELVTVDEDMGPVTSINWAQDGLNLAVGLDNSEVQLWDSVASRKVRTLKGGHQSRVGSLAWNNHILTTGGMDGKIINNDVRVRSHVVKTYRGHTLEVCGLKWSESGQHLASGGNDNLVNVWEHSTRRSLHRFEEHTSAVKALAWCPFQSGLLATGGGGEDRTIKFWNTRTGACLNSVDTGSQVCSLIWSKKERELLSSHGFTQNQLTLWKYPSMVKMAELNGHTSRVLYMSQSPDGCTVASAAGDETLRLWNVFGVPEDAKKAAPKAVPQPFSNVNRIR >fgenesh1_pg.C_scaffold_5000976 pep chromosome:v.1.0:5:11605072:11605928:1 gene:fgenesh1_pg.C_scaffold_5000976 transcript:fgenesh1_pg.C_scaffold_5000976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNJ5] MAGDGAWWLEVVVMAAVVVAGGGGGRIWWWLWAEVVAVTGGCHLPNMVVADGGGIGDGGSDSFKEGYDSGIDRLPQRRWLALLPVVVAPYASPSGYLVASIDFHSDGWPPLLRQRWIQYHLLVAFLGFRVDKRMNEVDLLSQVLGFQWSRILQKFRV >fgenesh1_pg.C_scaffold_5000982 pep chromosome:v.1.0:5:11651660:11653182:1 gene:fgenesh1_pg.C_scaffold_5000982 transcript:fgenesh1_pg.C_scaffold_5000982 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEPQLKLLSLVTQLMSYIDPDKLETGYVSEVKLLIRQIISLVNAMDLDLQPKPESKLMSLITQAISLFSSMDLNSQPEPIREFISFISQKVNSIDSDWEKMFLSLFMLIMVFKIEESELISLLYEICYLATSMDPQWEKFIPFSFQAYVRLKEGKFHVIEDVQRNSKKDWNCLPVRRKTISFTGGDATHFRCAGCNGENHEEYNKTPVEIKHHLHPKHSLQLVKLKGGETRKCYCCDEDIIMIFFYCSTCDFAMNMICFAKPPALSMDLPNLSIDVQSWFLL >fgenesh1_pg.C_scaffold_5001000 pep chromosome:v.1.0:5:11800043:11806118:-1 gene:fgenesh1_pg.C_scaffold_5001000 transcript:fgenesh1_pg.C_scaffold_5001000 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIT4 phosphatase-associated family protein [Source:UniProtKB/TrEMBL;Acc:D7LNM3] MFWKLTALSAASPVESILDKEDFTLEELLDEEDIIQECRALNSRLINFLRERAQVEQLLRFIVEESPEDADSKRAFKFPFISSEVLTCEIDVILKTLVDEEELMNLLFSFLEPNRSHSVMLAGYFSKVVICLMLRKTVPLMNYVKAHQNVFQQLVDLIGITSIMEVLIRLVGADDHVYPNHLDVMQWLADSNLLEMIVDKLSPSNSLEVHANAAETLCTIAQNAPSPLATKLSSSSFVGRIFGHAFEDPQSKSSLVHTLSVCISLLSPRRSVVSSPFMYSFRGQQIFESPISVNPETIATMLPRLGDFVALLIVTSDEKILPTTYGQLRPPLGSHRLKIVEFIAVLLKTRSEATGKELASSGAIRRVLDLFFEYPYNNALHHQVESIIVSCLESKNDEIVDHLLRECDLIGKIIKTEKQPILSGDKQPTLAAAGKQAPRVGNIGHISRISNKLVQLSTNSNQIKTLLEENNDWGEWEANTLHDRNAVENVYRWVCGRPTALHDRTRDSDDDEVHDRDYDLAGLANNLNQFRYNMQENNGAGEDHGSNDRDEEDVYFDDESAEVVISSLRLGDEQANNLFTNSNWFTFQGDELGENTGTGAISSEEAMEDVSLNETSGGGDEEDEDCLITESKNPFVDSAAATASTSEAVSVDADIEIDEDVGTDELSPEWIERVDSSSSVPPVTTDPFPEDDVKMPDVRIPNGSSSSEDEISPRSPPVPSLFGKDVEFVGVEPEGTERAMDQALKEGIVGEAGPMKRNTTTASPGKESPDDSMQEYNDTNYWKVDQEVTVVE >fgenesh1_pg.C_scaffold_5001003 pep chromosome:v.1.0:5:11824362:11825777:1 gene:fgenesh1_pg.C_scaffold_5001003 transcript:fgenesh1_pg.C_scaffold_5001003 gene_biotype:protein_coding transcript_biotype:protein_coding MARKEAATRVFWGMSTCPVPDGYDAGRVGPCIKRALKKLGYTGGVSITGLGILTNVSTDILQALYSSGVSLSNLRTKSFGLQRKISGWKMAGPPWDNLMLISGEKNFVGYLGMLELNRVHVIQELPFDHLQTASNPIERPVWERFLVADGVNSGDLEEDLEEDPATNCSDSRQRPATAIFSRRNFQRSVAGFSDQSLVSVAESSDRQTNNTCCRWSLIAIAGSCRWIQRQANEHGHSRHTFEMNELNNKTKF >fgenesh1_pg.C_scaffold_5001019 pep chromosome:v.1.0:5:11968246:11969427:-1 gene:fgenesh1_pg.C_scaffold_5001019 transcript:fgenesh1_pg.C_scaffold_5001019 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILHLIWMIFCVHLLCISSQQETGFIYKGLGQTDHGHGGAKGLLQLTDGSRLKMGHTFNSTRSLSFSTQFVCALVSKAGFVCGHRMDLLVQVVVLPTIIMYLALGAVYVYARRRYTKLREEWEKEYNVPRRFTYKSLYMAPKGFNKDGLVRKRGFGEVAMLKKRMSAKFTLGSFGGNMMDFLALFIFGGNMINLP >fgenesh1_pg.C_scaffold_5001034 pep chromosome:v.1.0:5:12061375:12062183:-1 gene:fgenesh1_pg.C_scaffold_5001034 transcript:fgenesh1_pg.C_scaffold_5001034 gene_biotype:protein_coding transcript_biotype:protein_coding METIILHEVSKDMPATCCICFDHDLEAEQMFSVYLCRHQFCVECVKRYIEVKLLEGGVLRCPHYQCESKLTLRSCDNILTHKQRDMWERRNREESVPVTDRVYCPNPRCSALMSKAELSKSIKEAGVKRRCVKCSQPFCMNCKVLWHNNLLCDDYMRWHLTEDDMMLKNLANHNMWRQCVNCQQMIERSEGCIHVRLFVLLHMWRRVEAWRLHSSKKYDCGRLCSVYML >fgenesh1_pg.C_scaffold_5001044 pep chromosome:v.1.0:5:12110799:12113018:1 gene:fgenesh1_pg.C_scaffold_5001044 transcript:fgenesh1_pg.C_scaffold_5001044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7LMQ0] MAGFVSHDAEAPISADSSTRRSGGRITFPFMIATEKEETICILKSYFLWCIHSVWPDISYVGMVTELDCLPDRRVQHEEHRSRPAAKILDIFSGFTFMFPAIGAIAADSFFGTIPVISVSAFISLVTPSLRNKICQAPTNIQLGVLYTAITLGSVGAGGLRFTLATAGANQYEKTEDQGSFFNCFFFTWYLAASISVTAIVYTEENISWSFGFGLCVAANLLGLMVFISGKRFYKHECMTSLWEVPSQVYFASSFLHYLKEKLWFPPTRKTTTVNQRSRQQRVSALKQDDEVNADSTIRNQWRLCSVKQVEDFKAVIRIIPLVLAIIFLSTPIAMQLGLTVLQGLVMDRRLGPHFKIPAGSLQVITLLSTCLFLIVNDRFLYPFYQKLTGKFPTPIQRVGIGHVFNIISMAMTAIVEAKRLKIVQNVNLLESSSVADMSVLWLFPPLVIVGIGEAFHFPGNVALCYQEFPESMRSPATSITSVVIGICFYTSTALIDLIQRTTAWLPDDINHGRVDNVYWVLVIGGVLNLVYFLVCSWFYKYRNLKNDDHEQDASVFLS >fgenesh1_pg.C_scaffold_5001065 pep chromosome:v.1.0:5:12230542:12231024:1 gene:fgenesh1_pg.C_scaffold_5001065 transcript:fgenesh1_pg.C_scaffold_5001065 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSGSTSSFVSNCGVRKLCECGLPAKVFKSNTDKNPNRRFFGCQLYKEGGNAHCKFFRWLNEEKTEKIEELNATILELRGDLERQNLELSSINTEDEKISIELGLQKRIDEMETIVYRQRIVIRGLTGLLVCVVLAIVFG >fgenesh1_pg.C_scaffold_5001092 pep chromosome:v.1.0:5:12368321:12368983:1 gene:fgenesh1_pg.C_scaffold_5001092 transcript:fgenesh1_pg.C_scaffold_5001092 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFLHLLADPCSVSGIAKLEHVKRLEIKFCVRMFREQLHLSLKIGRDLVRLLQDLVYISEFEDIWNDKVSNHYSDTSQFYRLKTSSRQNHHTEQSAKLALFYDWLFFDDRIDNIIMNVEPAALLMVRSTAVSVCYVLGYQSKT >fgenesh1_pg.C_scaffold_5001097 pep chromosome:v.1.0:5:12402174:12403127:1 gene:fgenesh1_pg.C_scaffold_5001097 transcript:fgenesh1_pg.C_scaffold_5001097 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMQEENRKGPWTEQEDILLVNFVHLFGDRRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGKMTPQEERLVLELHAKWGNRWSKIARKLPGRTDNEIKNYWRTHMRKKAQEKKRPVSPTSSFSNCSSSSMTTTTTNTQDTSCHSRKSSGKVSFYDTGGSRSTGEMMSQETEDVYSMDDIWREIDHSAVNIIKPVKDIYSEQSHCLSYPTLASPTWESSLDSIWNMDADKSKMSSSFAKDQFPFCFQHSRSPWSPG >fgenesh1_pg.C_scaffold_5001104 pep chromosome:v.1.0:5:12454679:12455894:-1 gene:fgenesh1_pg.C_scaffold_5001104 transcript:fgenesh1_pg.C_scaffold_5001104 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRRDLVLIVLQFLEEEKLLDSMHRLEKETGYIFNLQYFKENFIAGEWDEVESYLRGFINVNDNDYTRDTFFQIWKVKYIEALERKDKTMALHILRQDLGVFSDTKQYKELIQLLTLQNIMEHEELSQYERKAHRKVTLDYLETQIQENPLLHGKLAPPSLAPATLRSLARCTQPAPSQNHSLES >fgenesh1_pg.C_scaffold_5001126 pep chromosome:v.1.0:5:12648676:12649390:1 gene:fgenesh1_pg.C_scaffold_5001126 transcript:fgenesh1_pg.C_scaffold_5001126 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSRTYFNLTEFVSILSGIVNPNICVDVIGKIVNVIELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKRRSHNTVICIIKFVKLELPQEHRWRCTNVTGCTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAMEL >fgenesh1_pg.C_scaffold_5001127 pep chromosome:v.1.0:5:12649983:12651051:1 gene:fgenesh1_pg.C_scaffold_5001127 transcript:fgenesh1_pg.C_scaffold_5001127 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKQHSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >fgenesh1_pg.C_scaffold_5001143 pep chromosome:v.1.0:5:12736571:12737532:-1 gene:fgenesh1_pg.C_scaffold_5001143 transcript:fgenesh1_pg.C_scaffold_5001143 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQARKRVVLVSVSAQGHISPMMQLAKTLHSKGFLNHSFSDQFATIPESLPESAFEDLGALKFLLKLNIECQVSFKDCLGQLLLQQSNEISCVIYDEFLYFAEAAAKEFKLPNVIFSTTSATAFVCRSVFNNVYGNNGIAPSKESTMELYRNTVDKRTASSVIINTASCLESASLSFLQKQLEIPVYPIGPLHMVASASTSLLEENKSCMEWLNKQKVNSVIYISLGSYALTEINEVMESALKIRLCH >fgenesh1_pg.C_scaffold_5001150 pep chromosome:v.1.0:5:12770311:12771740:-1 gene:fgenesh1_pg.C_scaffold_5001150 transcript:fgenesh1_pg.C_scaffold_5001150 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LN40] MEKSAEKRRIVLVPVAAQGHVTPMMQLGKALQSKGFSITVAQGHLKQISSSSQHFPGFHFVTLPESLPQSESKTLGAIEFMKKLNKTSEASFKECISKLLLQQGSDIACIIYDKLMYFCEAAAKEFNIPSIIFSSCSATNQVCCCVLSKLNAEKFLIDMEDPEMQDEVLEGLHPLRYKDLPTSGFGPLEPLLEMCREVVNKRTASAIIINTASCLESLTLSWMQQELGIPVYPLGPLHITASFPGPSLLEEDRSCVEWLNKQKPRSVIYIGLGSLSQMETMEMLEMAWGLSNSNQPFLWVIRAGSILGSDGIESLPDEISKMVSERGYIVKWAPQIEVLAHPAVGGFWSHCGWNSTLESIAEGVPMICRPFQGEQKLNAMYIESVWKIGIQLEGEVERGAVERAVKRLIVDEEGACMRERAFGLKEKLKASVRSGGSSYNALDELAKYLKTG >fgenesh1_pg.C_scaffold_5001187 pep chromosome:v.1.0:5:12984344:12986260:1 gene:fgenesh1_pg.C_scaffold_5001187 transcript:fgenesh1_pg.C_scaffold_5001187 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEVTSWIRRANFSHTVCYRMITPSLESNSDRTSGLKRMTLSSPSDLKSLVGISQSTQQPVGLSCCSIVGTEIQRNPVSNKERSVSPSPHMALSDAFKEAKSDIKRFSTPHPRRMEPEKGMKAKLPRKGSPEKKSFILRSLSHSGPIRDHSMVKTQEREKSKMDKRSSKSVDYRGSKVCSAGVLEEYLIDVSKLSYGDRFAHGKYSQIYHGEYKGKAVALKIITAPEDSDDRLLEACLEKEFIKEATLLSRLSHPNVVKFVGVNTGNCIITEYVPRGSLRSYLHKLEQKSLPMQQLIEFGLDIARGMEYIHSREIVHRDVKPENVLIDKDFHLKIADFGIACEEEYCDVLGDNAGTYRWMAPEVLKRIPHGRKCDVYSFGLLLWEMVAGAVPYEEMKLAAQVAYAVINKNIRPVIPKDCAAAMKELMELCWSSQTDKRPEFWQIVKVLEHFKKSLTNEGRLNLLPSQICPELKKGHKLWIQKIGSFHHHNHHHHHSGGGSSSNTIGSALPKPKFA >fgenesh1_pg.C_scaffold_5001207 pep chromosome:v.1.0:5:13066962:13070105:-1 gene:fgenesh1_pg.C_scaffold_5001207 transcript:fgenesh1_pg.C_scaffold_5001207 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMRLFLLLAFNALMLLEAHGFTDKTDTQALLEFKSQVSEDKRVFLSSWNHSFPLCSWEGVKCGRKHKRVTSLDLRGMQLGGVISPSIGNLSFLIYLDLSNNSFGGTIPQEVGDLFRLEYLYMGINYLGGGIPTTLSNCSRLLDLDLFSNPLGRGVPSELGSLANLVSLNFRENNLQGKLPASLGNLTSLIRASFGGNNMEGEIPDDVARLSQMMILELSFNQFSGVFPPAIYNMSSLENLYMAFNHFSGRLRPGFGILLPNLQELNMGGNFFTGSIPTTLSNISTLQKVGLNDNNLTGSIPTFEKVPNLQWLLLRRNSLGSYSFGDLDFISSLTNCTQLEKLGLGGNRLGGDFPISITNLSAELTDLLLEYNHISGRIPQDIGNLLGLQTLGLRENMLSGPLPTSLGNLFGLGVLDLSSNKLSGVIPSTIGNLTRLQKLRLSNNIFEGTIPPSLSNCSELLHLEIGYNKLNGTIPKEIMQLSHLLTLSMPSNSISGTLPNDVGRLQNLVLLSVSDNKLSGELSQTLGNCLSMEEIYLQGNSFDGIIPNIKGLVGVKRDDMSNNNLSGISLRWLRKRKKNQKTNNSAASTLEIFHEKISYGDLRNATDGFSASNMVGSGSFGTVFKALLPEENKIVAVKVLNMERRGAMKSFMAECESLKDIRHRNLVKLLTACASIDFQGNEFRALIYEFMPNGSLDMWLHPEEIEEIRRPSRTLTLRERLNIAVDVASVLDYLHVHCHEPIAHCDLKPSNVLLDDDLTAHVSDFGLARLLLKFDQESFFNQLSSAGVRGTIGYAAPEYGMGGQPSIHGDVYSFGVLVLEMFTGKRPTNELFEGSFTLHSYTRSALPERVLDIADKSILHSGLRVGFPVVECLKVILDVGLRCCEESPMNRLATSEAAKELISIRERFFKTRRTARR >fgenesh1_pg.C_scaffold_5001208 pep chromosome:v.1.0:5:13071785:13074921:-1 gene:fgenesh1_pg.C_scaffold_5001208 transcript:fgenesh1_pg.C_scaffold_5001208 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMMRLFLVLAFNALMLLEAYGFTGESDRQALLEFKSQVSEGKRNALSSWNNSFPLCSWKGVRCGRKHKRVTRLDLGGLQLGGVISPSIGNLSFLIYLELSNNSFGGIIPQEMGNLFRLKYLAIGFNYLGGRIPASLSNCSRLLYLDLFSNNLGEGVPSELGSLTKLLYLYLGLNDVKGKFPVFIRNLTSLIVLNLGYNNLEGEIPDDIARLSQMVSLTLTMNKFSGVFPPAFYNLSSLENLYLLGNGFSGNLKPDFGNLLPNIRELSLHGNFLTGAIPTTLTNISTLEMFGIGKNRMTGSISPNFGKLQNLHYLELANNSLGSYSFGDLEFLDALTNCSHLHGLSVSYNRLGGALPTSIVNMSAELTVLNLKGNLIYGSIPQDIENLIGLQSLLLADNLLTGPLPTSLGKLVGLGELILFSNRISGEIPSFIGNVTQLVKLNLSNNSFEGMVPPSLGDCSHMLDLQIGYNKLNGKIPKEIMQIPTLVHLNMEGNSLSGSLPNDVGRLQNLVELSLGNNNLSGQLPQTLGKCLSMEVMYLQGNYFDGAIPDIKGLMGVKRVDLSNNNLSGGIPEYFENFSKLEYLNLSINNFEGRVPTKGKFQNSTTVFVFRNKNLCGGIKELKLKPCIVQTPPMGTKHPSLLRKVVIGVSVGIALLLLLFVVSLRWFKKRKKNQKTNNSALSTLDIFHEKISYGDLRNATDGFSSSNMVGLGSFGTVFKALLPTESKTVAVKVLNLQRHGAMKSFMAECESLKDIRHRNLVKLLTACASVDFQGNEFRALIYEFMPNGNLDMWLHPEEVEEIRRPSRTLTLLERLNIAIDVASALDYLHVYCHEQIVHCDIKPSNVLLDDDLTAHVSDFGLARLLLKFDQESFYNQLSSAGVRGTIGYAAPEYGMGGQPSIHGDVYSFGVLLLEMLTGKRPNNELFGGNFTLHSYTKSALTEGVLDIADVSILHSGLRIGFPISECLTLVLEVGLRCCEESPTNRLATTEVVKELITIRERFFKARRTARR >fgenesh1_pg.C_scaffold_5001209 pep chromosome:v.1.0:5:13076357:13079532:-1 gene:fgenesh1_pg.C_scaffold_5001209 transcript:fgenesh1_pg.C_scaffold_5001209 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPCIVMRLILVSALLVSVSLEHSDMVCAQTIRLTEETDKQALLEFKSQVSETSRVVLGSWNDSLPLCSWTGVKCGLKHRRVTGVDLGGLKLTGVVSPFVGNLSFLRSLNLADNFFRGAIPLEVGNLFRLQYLNMSNNFLGGVIPVVLSNCSSLSTLDLSSNHLEQGVPFEFGSLSKLVILSLGRNNLTGKFPASLGNLTSLQMLDFIYNQIEGEIPGSLARLKQMVFFRIALNKFNGVFPPPVYNLSSLIFLSITGNSFSGTLRPDFGSLLPNLQILYMGINNFTGTIPETLSNISVLQQLDIPSNHLTGKIPLSFGKLQNLLQLGLNNNSLGNYSSGDLDFLGTLTNCSQLQYLSFGFNKLGGQLPVFIANLSTQLTELSLGGNLISGSIPHGIGNLVSLQTLDLGENLLTGKLPPSLGELSELRKVLLYSNGLSGEIPSSLGNISGLTYLYLLNNSFEGSIPSSLGSCSYLLDLNLGTNKLNGSIPHELMELPSLVVLNVSFNLLVGPLREDVGKLKFLLALDVSYNKLSGQIPRTLANCLSLEFLLLQGNSFFGPIPDIRGLTGLRFLDLSKNNLSGTIPEYMANFSKLQNLNLSVNNFEGAVPTEGVFRNTSAISVIGNINLCGGIPSLQLEPCSVELPGRHSSVRKIITICVSAGMAALFLLCLCVVYLCRYKQRMKSVRANNNENDRSFSPVKSFYEKISYDELYKTTGGFSSSNLIGSGNFGAVFKGFLGSKNKAVAIKVLNLCKRGAAKSFIAECEALGGIRHRNLVKLVTVCSSADFEGNDFRALVYEFMSNGNLDMWLHPDEIEETGNPSGTLTVVERLNIAIDVASALVYLHTYCHNPIAHCDIKPSNILLDKDLTAHVSDFGLAQLLLKFDRDTFHIQFSSAGVRGTIGYAAPEYGMGGHPSIMGDVYSFGILLLEIFTGKRPTNKLFVDGLTLHSFTKSALPKRQALDITDKSILRGAYAQHFNMVECLTLVFQVGVSCSEESPVNRISMAEAVSKLVSIRESFFRR >fgenesh1_pg.C_scaffold_5001228 pep chromosome:v.1.0:5:13181143:13183107:-1 gene:fgenesh1_pg.C_scaffold_5001228 transcript:fgenesh1_pg.C_scaffold_5001228 gene_biotype:protein_coding transcript_biotype:protein_coding MAYILPQGFKFMPSDEQVIFCYLKSYLDGYKNVLLDVPIHLVNIYESNPRLLSVDFDKGNDKEWFIITERKKVDQGLSKTKRVGNGGTTRQKRGDTKGGYWHATVGAHEINAGDIVGYKTAFAYYVREQSADVKTDWLMLEYSLYNTFHNNDKDYTLCKIYLTPQATKKKKEVEEQKKGQGVISVGERSTPEPQKQPPSENHSSSSFLSADNLSPPSTIPYILPPSYKFVPADEEVIFCYLKPYLDDNKNVLLNVPIHLVNIYESNPQLLSVEFDKGNDKEWFIITERKKVDQGLSKTKRVGNGGTTRQKRGDTKGGYWHATAGAQEIIDAGGIVGYKTAFAYYVREQSADFKTDWLMLEYSLHHTCHNNDKDYTLCKIYPTPQATKKKEMEAQNKKQKKGEVAISVAHVEALEEHQPRNVEFPQPHQSQALLDSYQHQPHDFAFQQPQFSQGPIDSQQPLPPLRLLDSLEGLVSFEIEYQQEQQSHMMMMQDSRSGMAMTGWRNDESTQEDLLDMLKDDRFFSMDELFNDVEEH >fgenesh1_pg.C_scaffold_5001243 pep chromosome:v.1.0:5:13286703:13289884:1 gene:fgenesh1_pg.C_scaffold_5001243 transcript:fgenesh1_pg.C_scaffold_5001243 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMRLTLLLAFNALMLLKTHGFTDETDRQALLKFKSQVSKDKRVVLSSWNLSFPLCSWKGVTCGRKNKRVTHLELGRLQLGGVISPSIGNLSFLVSLDLYENFFSGTIPQEVGKLFRLEYLDMGINFLRGPIPIGLYNCSRLLNLRLDSNHLGGDVPSELGSLTKLVQLNLYGNNMRGKIPASLGNLTSLQQLALSHNNLEGEIPSDVAKLSQIWSLQLVANDFSGVFPPAIYNLSSLKLLGIGYNHFSGSLRPDFGILLPNILSFNMGGNYFTGSIPTTLSNISTLERLGMNENNLTGSIPIFGNVPNLQLLLLHTNSLGSYSSRDFEFLSSLTNCTQLETLGIGQNRLGGDLPISIANLSAKLITLDLGGTLISGRIPHDIGNLINLQKLILDENMLSGPLPTSLGKLLNLRYLSLFSNRLSGEIPTFIGNFTMLETLDLSNNSFEGIVPATLGNCSHLLELWIRDNKLNGTIPLEIMKIQSLLRLDMSRNSLFGSLPQDIGQLQNLGTLSVGNNKLSGKLPQTLGKCLTMENLYLQGNSFYGDIPDLKGLVGVKEVDFSNNNLSGSIPEYLANFSKLEYLNLSVNNFEGNVPMKGIFLNTTTVSVFGNNDLCGGIRGFQLKPCLVQAPPVEKKHSSRLKKVVIGVSVSITLLLLLFIASVSLIWLRKRKKNKQTNNPTPSLEVFHEKISYGDLRNATNGFSSSNMVGSGSFGTVFQAFLPTEKKVVAVKVLNLQRRGAMKSFMAECESLKDIRHRNLVKLLTACASIDFQGNEFRALIYEFMPNGSLDMWLHPEEVEEIHRPSRTLTLLERINIAVDVASVLDYLHVHCHEPIAHCDLKPSNVLLDDDLTAHVSDFGLARLLLKLDQESFFNQLSSAGVRGTIGYAAPEYGMGGQPSIQGDVYSFGVLLLEMFTGKRPTNELFGGNFTLHSYTKSALPERVLDIVDESILRSGLRADFRIAECLTLVLEVGLRCCEESPTNRMVTSEIAKELISIRERFFKTRRTSRH >fgenesh1_pg.C_scaffold_5001246 pep chromosome:v.1.0:5:13300675:13302027:-1 gene:fgenesh1_pg.C_scaffold_5001246 transcript:fgenesh1_pg.C_scaffold_5001246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7LNF3] MRTLLQKFSFAPQDSLYYKTSPFPKSSFFNVRLPIKNNQQISCNKANNLRMDSSKGIQEQRIVIPNGHNQKLVGLLHETGSTEVVVLCHGFRSNKNNQIMNNVAAVIEKEGISAFRFDFSGNGESEGSFYYGNYNHEADDLHSVIQYFTNKNRVVPIILGHSKGGDVVLLYASKYHDVRNVINLSGRYDLKKGIRERLGEDFLERIKQQGFIDVGDGKSGYRVTEKSLMDRLSTDMHEACLKIDKECRVLTVHGSADEVIRVEDAKEFAKVIPNHKLEIVEGANHCYTEHQSQLVSTVMEFIKTVILMNN >fgenesh1_pg.C_scaffold_5001270 pep chromosome:v.1.0:5:13486954:13488828:-1 gene:fgenesh1_pg.C_scaffold_5001270 transcript:fgenesh1_pg.C_scaffold_5001270 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPEILNPVMVPPVVNESTFTEFWPFSVNYDVRLPLNSGQDFRYSGNSYISGAEESTVTEQTGGGRKRRALTSQDESSKMMFSSCTSVNRLKESSKKKMKVCLSEGETEDGLRREGETSSGGGSKETEEKSPLKDYIHVRARRGQATDRHSLAERARREKISERMKFLQDLIPGCNKIIGKALVLDEIINYIQSLQRQVEFLSMKLEIVNSDVSNGPKVGMFPSGDLNTLPIEVQRTMFDQQEADESRGSQPDWLHMGD >fgenesh1_pg.C_scaffold_5001275 pep chromosome:v.1.0:5:13511340:13512527:-1 gene:fgenesh1_pg.C_scaffold_5001275 transcript:fgenesh1_pg.C_scaffold_5001275 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTKNIHKGLLERFLDLLLIALIIFMTLKGGTSGACAACKYQRRRCAADCPLAPYFPAEQPKLFQNVHRLFGVRSIVKILEKLDETQKPEAMKSIIFQSYVRDRSPVYGCLGVTQQLQYMIWLAEEELKAVNSQLQLYRSQPQNGQNQNQNHNNHNQMIHELGGDNKQQEDVTSQQLDLGMGLNVHNNQSNVVTPFFSPLLPVSETQQPQMSYTYSCSEVNNNGYSPPAYNTDSGKEILTNNNNVWGGQNRFLYNVNNGGGFSNQNESCHEMKSNNGVMAIQSQLVNLQMASNHQQVEEDEDAHEYDEIHQFLEIIDDRQSFADSKEAYASSSGGSLKEQIDEIGENELRSAATCFSLTSMN >fgenesh1_pg.C_scaffold_5001282 pep chromosome:v.1.0:5:13550800:13551627:-1 gene:fgenesh1_pg.C_scaffold_5001282 transcript:fgenesh1_pg.C_scaffold_5001282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LRH5] MATHKPRLDPILMGEAKILVEVTTRNDVEVGNGSAPSSALIATFQSTTPISVSSMKEPIASTFLGPAKESLLYDQTISTIEEEHTISANVPTIEKVPTSTTFISIESPLLRTAQISSVTAHAHANATVHRERAKSADHNLGSNQFASLVSSDEEEDLSDSDNESDSMDLMTPSGKRILRERPVKPSTKAKEMHGQTTCRGRGRGRGKRGGRG >fgenesh1_pg.C_scaffold_5001286 pep chromosome:v.1.0:5:13572971:13575665:-1 gene:fgenesh1_pg.C_scaffold_5001286 transcript:fgenesh1_pg.C_scaffold_5001286 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCNGC16 [Source:UniProtKB/TrEMBL;Acc:D7LRH9] MSNLHLYTSARFRNFPTAFSLRHHHNDPNNQRRRSIFSELRDKTLDPGGDLITRWNHIFLITCLLALFLDPLYFYLPIVQAGTACMSIDVRFGIFVTFFRNLADLSFLIHILLKFKTAFVSKSSRVFGRGELVMDRREIAIRYLKSEFVIDLAATLPLPQIMIWFVIPNAGEFRYAAHQNHTLSLIVLIQYVPRFLVMLPLNRRIIKATGVAAKTAWSGAAYNLVLYLLVSHVLGSVWYVLSIQRQHECWRRECIKEMNATHSPSCSLLFLDCGSLQDPGRQAWMRITRVLSNCDARNDDDQHFQFGMFGDAFTNDVTSSPFFDKYFYCLWWGLRNLSSYGQSLAASTLSSETIFSCFICVAGLVFFSHLIGNVQNYLQSTTARLDEWRVRRRDTEEWMRHRQLPEELQERVRRFVQYKWLTTRGVDEEAILRALPLDLRRQIQRHLCLALVRRVPFFAQMDDQLLDAICERLVPSLNTKDTYVIREGDPVNEMLFIIRGQMESSTTDGGRSGFFNSITLRPGDFCGEELLTWALVPNINHNLPLSTRTVRTLSEVEAFALRAEDLKFVANQFRRLHSKKLQHAFRYYSHQWRAWGTCFIQAAWRRYMKRKLAMELARQEEEDDYYYDDDGDYQYEENMPESSNHGDENSNNNQNLSATILASKFAANTKRGVLGNQRGSTRIDPDDPTLKMPKMFKPEDPGFF >fgenesh1_pg.C_scaffold_5001318 pep chromosome:v.1.0:5:13756398:13757824:1 gene:fgenesh1_pg.C_scaffold_5001318 transcript:fgenesh1_pg.C_scaffold_5001318 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLLLIFLFSLVILETACGFDYEDKEIESEEGLSKLYDRWRSHHSVPRSLHEREKRFNVFRHNVMHVHNSNKKNRSYKLKLNKFADLTIHEFKNAYTGSKIKHHRMLQGPKRGSKQFMYDHENVSKLPSSVDWRKKGAVTEIKNQGKCGSCWAFSTVAAVEGINKIKTNKLVSLSEQELVDCDTNQNEGCNGGLMEIAFEFIKKNGGITTEDSYPYEGIDGKCDASKDNGVLVTIDGHENVPENDENALLKAVANQPVSVAIDAGSSDFQFYSEGVFTGDCGTELNHGVATVGYGSQGGKKYWIVRNSWGTEWGEGGYIKIERGIDEPEGRCGIAMEASYPIKLSSSNPTPKDGDVKDEL >fgenesh1_pg.C_scaffold_5001324 pep chromosome:v.1.0:5:13796595:13801136:1 gene:fgenesh1_pg.C_scaffold_5001324 transcript:fgenesh1_pg.C_scaffold_5001324 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:UniProtKB/TrEMBL;Acc:D7LRW4] MATVKISLSFVSLSPSSSSSTQSKLSPSFIPNAAPSPAKLRFNGKCLRAKPMVHRASRSGGITCSATSSPMTLPSALLFDCDGVLVDTEKDGHRISFNDTFKERELDVTWDVELYGELLKIGGGKERMTAYFNKVGWPEKAPKDEAERKEFIAGLHKQKTELFMVLIEKKLLPLRPGVAKLVDQALTNGVKVAVCSTSNEKAVSAIVSCLLGPERAEKIKIFAGDVVLKKKPDPAIYNLAAETLGVDPSKCVVVEDSAIGLAAAKAAGMTCIVTKSGYTADEDFENADAVFDCIGDPPEERFDLAFCGSLLQKHHEIAKAMKRFFKPIEKEGSTAAKKPCLSPEKREGDGDGVEEEKNQKEPSKFVTWNANSFLLRVKNDWSQFSKFVSDFDPDVIAVQEVRMPAAGGKGKPKNPEELSDDTKVLREEKQILTRALSSPPFGNYRVWWSLADSKYAGTALLVKKCFKPKKVYFNLDKLASKHEPDGRVILAEFETFRLLNTYSPNNGWKEEENSFQRRRKWDKRIVEFLNKTSDKPLIWCGDLNVSHEEIDVSHPEFFATAKLNGYVPPNKEDCGQPGFTPSERRRFGETMKEGMLVDAYRYLHKEQDMESGFSWSGNPIGKYRGKRMRIDYFLVSEQLKDRIVSCKMHGRGIELEGFYGSDHCPVTLELSKPSSETEQNQVSN >fgenesh1_pg.C_scaffold_5001331 pep chromosome:v.1.0:5:13832666:13833214:1 gene:fgenesh1_pg.C_scaffold_5001331 transcript:fgenesh1_pg.C_scaffold_5001331 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSETKSKIKSQDILIDEGDEISQLKEEKEEDDCSDDQSSELDSEFDEKELDSDLKEEKRGVSVYKSLSSEFDDYVVNEKMGSGVLRALSYGFEVGDLVWAFASPYVRRMRRIDLVIVAFSGIVVMKSQQTVLKNFVRGVEEAMDEAIE >fgenesh1_pg.C_scaffold_5001357 pep chromosome:v.1.0:5:13968354:13970775:-1 gene:fgenesh1_pg.C_scaffold_5001357 transcript:fgenesh1_pg.C_scaffold_5001357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LS06] MLLVVSAIRLAHGYLWRRASLDENCGSSRRGCLALSVASFSSESCCWVSEICGSGGCSVHRRMGEILGSWWILSFGGLRINGRGLVSSLVGFVMVCEALFDGDGYVRGFEIGSLFYLSFESSCWLVVAVFSWFVLGVFALNLGFLAFSDWLVRSVGSCICVFGRVGFLLSSLSVCYWFVFGVRSVTFFQISRTISNPSYLGGYASISDSGQRWCPANFLPHPLLVASWPERTAHALSNSPEDSLHRASLMAGDISLGPSVAPCPVKTSTAHDDPLAWETVFLTGKANPLLFSASALDHAHRLQTPYLPPPESLASSHFSPSSPYSRRLLNLNWLTEEKLGFSLVGSASKSGPLTSPKPKSVCYGPPPSFNKPRSVSEFYLSISSQLLCRFSNLNGQGLHLRCKSPSMLWIYEFFLRTLLLDSPIAMIYDSFCLKYYGRLFPIPIRHGVIIVTKALCPNTTFRQIYLPSSSTI >fgenesh1_pg.C_scaffold_5001368 pep chromosome:v.1.0:5:14025780:14028242:1 gene:fgenesh1_pg.C_scaffold_5001368 transcript:fgenesh1_pg.C_scaffold_5001368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LS13] MYLKEGCSLLLKVQKPLIPFVLNTNLNVNLLTESPNHAEIKESAVLKRLRQESCVPLALHFFKSIANSNLFKHTPLTFEVMIRKLAMDGQVDSVQYLLQQMKLQGFHCSEDLFISVISVYRQVGLAERAVEMFYRIKEFGCDPSVKIYNHVLDTLLGENRIQMIYMVYRDMKRDGFEPNVFTYNVLLKALCKNNKVDGAKKLLVEMSNKGCCPNAVSYTTVISSMCEVGMVKEGRQLAERFEPVVSVYNALINGLCKERDYKGGVELMSEMVEKGISPNVISYSTLINELSNSGQIELAFSLLAQMLKRGCHPNIHTLSSLVKGCFVRGTTFDALDMWNQMIRGFGLQPNVVAYNTLVQGFCSHGNIDKAVSVFLHMEEIGCSPNIRTYGSLINGFTKRGSLEGAVYIWNKMLTSGCCPNVVVYTSMVEALCRHSKFKEAESLIEIMSKENCAPSVPTFNAFIKGLCDAGRLDWAEKVFRQMEQQYRCPPNIVTYNELLDGLAKANRIEEAYGLTREIFMRGVEWSTSTYNTLLHGSCNAGLPGIALQLVGKMMVNGKSPDEITMNMIILAYCKQGKAERAVQMLDLVSCGRRKWRPDVISYTNVIWGLCRSNCREDGVILFERMISERIIPILRSVCQEAARMTIPNDVLWLRFVY >fgenesh1_pg.C_scaffold_5001377 pep chromosome:v.1.0:5:14071539:14072782:1 gene:fgenesh1_pg.C_scaffold_5001377 transcript:fgenesh1_pg.C_scaffold_5001377 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB45 [Source:UniProtKB/TrEMBL;Acc:D7LS23] MVFKSEKSNPKMKSKEKQRKGLWSPEEDEKLRSHVLKYGHGCWSTIPIQAGLQRNGKSCRLRWVNYLRPGLKKSLFTKEEETILLSLHSMLGNKWSQISKFLPGRTDNEIKNYWHSNLKKGVVTLTQHETTRTPQTPSITNSLEALQSTTERSASSINVAETFNAQISSFSPSLVFSDWLDHSLLMDQSTQKSSFVQNLVVPEERGFIGLCGPCYLENNPLPDFVPTSDFLFEDDTSFYDNFLIGSLINELRPM >fgenesh1_pg.C_scaffold_5001386 pep chromosome:v.1.0:5:14102696:14103706:-1 gene:fgenesh1_pg.C_scaffold_5001386 transcript:fgenesh1_pg.C_scaffold_5001386 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L13 [Source:UniProtKB/TrEMBL;Acc:D7LS33] MKHNNVIPNGHFKKHWQNYVKTWFNQPARKTRRRIARQKKAVKIFPRPTSGPLRPVVHGQTLKYNMKVRTGKGFTLEELKAAGIPKKLAPTIGIAVDHRRKNRSLEGLQTNVQRLKTYKTKLVIFPRRARKVKAGDSTPEELANATQVQGDYLPIVREKPTMELVKLTSEMKSFKAYDKIRLERTNKRHAGARAKRASEAEKEEKK >fgenesh1_pg.C_scaffold_5001388 pep chromosome:v.1.0:5:14131305:14133140:-1 gene:fgenesh1_pg.C_scaffold_5001388 transcript:fgenesh1_pg.C_scaffold_5001388 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTDTGIYRSDSAASDQDHDLRQCNNHYSLDSDFLRLRSQIFEGSYRRTDLIRVNLELSHERDALRRRNRELEAGILEAEMIRKEMKRDMEVSKERVGESDGETKEKSKLLSDISDSVRSMEDRLSKSIRCLNEEEEERGGKLEREEYNFMSILELVKEVETKLKTFMESMEKKKLALSRSVELLEEENRDISILLRAALSEKQTAEKQLKEMNEQKGSALLQIAGRGLQRIGFGFGFGDSVEESSEAGNLAKDKEREEENGVVIAIENTMKKLRQEVSQLKISLEESRLEEERLKKFTEEQAQKIAENTVYIDNLQNQEMFLAQNVEELVKVIREAESEVSRWREACELEVEAGQREVEVRDQLIAVLKTEVEKLRSALTISEGKLKLKEELAKAAMVAEEAAEKSLRLSERRIAQLLSRIENLYRQLEEAESTERRRGKFRYVWCWPMWRLPTAASAAATASGGSSYTSNRELLRYDA >fgenesh1_pg.C_scaffold_5001392 pep chromosome:v.1.0:5:14150507:14153956:1 gene:fgenesh1_pg.C_scaffold_5001392 transcript:fgenesh1_pg.C_scaffold_5001392 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVINTLSALTTEEINRPLADFPVNIWEDPLISFPVLDPESEINEEKLSSLKETVRELLMASKEEPIENIKLIDALCRLGISYHFEGEIFAQLETMFGCHGFMQMIRDNEFDLYTVSLVFQVFRQFGYKLGVDVFNNFKNKDGKFKEHLAEDARGLLCLYEAAHWSTHGEDILDEALAFSRYHLEGLTDQSSPHMSIRIKNALKHAYPRGISRIETRQYISYYEEEDLHDQTLLEFAKIDFNLLKMLHRKELCQVFRWYSSLELDLKLPYARNRTVESYLWAVGAYSEPRYSQARIRLAIVVILLTLVDDTYDAYGTIEELEPFTNALIMWNSSGIEGLPESMKYLHHVVLDFYGKLEEDMEREGRSGCGLLAKKSMIVTAKAYLQEAKWLSEDYVATFDEYKENGVYSSSYLALLTGSFLGMVDEGTLDVFEWLSTFPPLLVTSALIGRLCGDIASCEFEHKRKHVGTSIDCYMKQYGISWENAEEEIKIMALDSWKSLNQELMTRDHSFPFPIVMRFLNLSRVVEVFYKDTDIFTYPELMKHHVVSLFLNKISI >fgenesh1_pg.C_scaffold_5001402 pep chromosome:v.1.0:5:14293762:14295642:-1 gene:fgenesh1_pg.C_scaffold_5001402 transcript:fgenesh1_pg.C_scaffold_5001402 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKCTKGTVFDRGRNRQKRQTQKCLPSREVTYKCPCGLVQTFFSNLFCTNLYDVVDALQQVIVGFDTMEMIKEMELMGLSDSDFETEDDESGEDDSEDTGEDEYEEEWVAVLEDDDDDDESLADWANLETMRSCHPMFFFLKRMTEVASDDLVDWMDQPSAGLAIQGILSHILVEDYSDIQRKLADNNSTSTNRNKDAENLEEKLADISKACGEESDIDSSQGEKERTVVAFYKLEMIRIQLITPQAGVEVEDVRKAQPDVIAHASAGIISRLEESGDKVTEALKSLCWRHNGIQAEEVKLIGVDSLGFDLRLCAGAKIESFRFAFLTRATSEDNAEGRIRELLFP >fgenesh1_pg.C_scaffold_5001415 pep chromosome:v.1.0:5:14374757:14375880:-1 gene:fgenesh1_pg.C_scaffold_5001415 transcript:fgenesh1_pg.C_scaffold_5001415 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKMKLVVLMSFLLLLPLCSSSFGESHGDGAPHTDQYSVNMVEESIPKMMDSPETGPNPSHDQRVNGWGRPTRPPPPDVNGWGRSVQPPPSDVNGWGPSVQPPPSDVNGWGRSVQPPPSDVNGWGRSVQPLPSDVNGWGRSVQPPPSDVNGWGPSVQPPPSDVNGWGPSVQPPPSDVNGWGRFVQPPPSDVNGWGRSVQPLPSDVNGWGRSVQPPPSDVNGWGRSVQPPPSDVNGWGRPILPPPTDVNGWDRPTRPPPPDVNGWGRPMRPPPPRVNG >fgenesh1_pg.C_scaffold_5001425 pep chromosome:v.1.0:5:14434393:14435593:-1 gene:fgenesh1_pg.C_scaffold_5001425 transcript:fgenesh1_pg.C_scaffold_5001425 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIIFFLLAIILSSRTSGATSRGGLFEASAIEKHEQWMSRFHRVYSDDSEKTSRFEIFKKNLKFVESFNMNTNKTYTLDVNEFSDLTDEEFKARYTGLVVPEGMTRMSTTDSHETVSFRYENVGETGESMDWREEGAVTSVKHQQQCGCCWAFSAVAAVEGMTKIAKGELVSLSEQQLLDCSTENDGCDGGIMWKAFDYIVENQGITAEDNYPYQGAQQTCESNHVAAATISGYETVPQNDEEALLKAVSQQPVSVAIEGSGYEFIHYSGGIFNGECGTHLNHAVTIVGYGVSEEGIKYWLLKNSWGESWGEDGYMRIMRDVDAPQGMCGLASLAYYPVA >fgenesh1_pg.C_scaffold_5001434 pep chromosome:v.1.0:5:14476868:14477895:1 gene:fgenesh1_pg.C_scaffold_5001434 transcript:fgenesh1_pg.C_scaffold_5001434 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFIAAAGTNTEEHRLLSWELSSTLDIEKADSKIGLVVGISVSGFVLLIVLVHTIVVVWSRKQRKKKDRDIANMISINEDLEREAGPRKFSSHRELGEEGFGAVYEGNLKEINTMAAKERVLNEVKIISKLRHRNMVQLIGWCNEKNEFLLIYELVQNGSLNSQLFGKRPHLLSWDIRYKIALGLASALLYLHEEWDQCVLHRDIKASNIMLDSDFNVKLGDFGLARVMNHEHGSHTTGLAGTFGYMAHEYVTKGSASKESDIYSFGIVLLDIVTGRKSLERTQEDNSDSESNEKSLVEKVWKLYGKQEEMTSSVDEK >fgenesh1_pg.C_scaffold_5001457 pep chromosome:v.1.0:5:14605805:14609095:1 gene:fgenesh1_pg.C_scaffold_5001457 transcript:fgenesh1_pg.C_scaffold_5001457 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFKSLLPVIDISPLVVKCDDSNMAEDADVAKVVRKLDKACRDAGFFYVGLILVGECGTDWSWNIGGSYKEGESDMSNQFFELPYEEKLKIKIRPAAGYRGYQRMGLNLTSGKQDMHEAIDCYKEFNEGKYGNLGKVLEGPNQWPENPQEYKELMEEYIKLCIDLSRNILRGISLALGGSPYEFEGKMMRDDPFWIMRILGYPGVNQENVIGCGAHTDYGLLSLINQDDDKTALQVRDLAGDWTPVIPIPGSFVCNIGDMLKFLSNGVYESTLHRVINNSPRYRVCVGFFYETNFNAVVEPLDIFKEKYPVKGTSQVFKRIVYGEHLVHKLQTTFTNLVEHS >fgenesh1_pg.C_scaffold_5001467 pep chromosome:v.1.0:5:14664636:14665974:1 gene:fgenesh1_pg.C_scaffold_5001467 transcript:fgenesh1_pg.C_scaffold_5001467 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYEAEYNEDFMKSIIHGGGGGANKNLGDTQVVPQSHVVPPHETDMLESNRHVDGSTLIETLKASSSKRMMIDYDNRMKIKFIPPDEQSVVAERMVESGFNTSSAGFTEDSEGSMYLSSSLDDESDDARPQVPARTRKALKQRRDINKKMRTLQDLLPNSHKDDNESVLDEAINYMKNLQLQVQMMTMGNTFVTPSMMLPFMGMQMGAQQFLPAHVLGAGLPGINDSADMLRFLNHPGLIQMQKSAPFTPTEDCSPQSVPPSCAAFPNHIPNSTSLSNLDGASPYTRNQGTLTDEGILPGKNLETREQMK >fgenesh1_pg.C_scaffold_5001472 pep chromosome:v.1.0:5:14703359:14705040:-1 gene:fgenesh1_pg.C_scaffold_5001472 transcript:fgenesh1_pg.C_scaffold_5001472 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLSTKVTSNAHGQDSSYFLGWEEYEKNPYNEIKNPNGIIQMGLAENQLCFDLIETWLAKNPDAAGLKKDGQSIFKELALFQDYHGLPEFKKALAEFMEEIRGNRVTFDPSKIVLAAGSTSANETLMFCLAEPGDAFLLPTPYYPGFDRDLKWRTGAEIVPIHCSSSNGFQITESALQQAYQQAQKLDLKVKGVLVTNPSNPLGTMLTRREINLLVDFISSKNIHLISDEIYSGTVFGFEQFVSVMDVLKDKNLENSEVSKRVHVVYSLSKDLGLPGFRVGAIYSNDEMVVSAATKMSSFGLVSSQTQYLLSALLSDKKFTSTYLNENQKRLKIRQKQLVSGLEAAGVTCLKSNAGLFCWVDMRHLLDTNTFEAELQLWKKIVYEVKLNISPGSSCHCTEPGWFRVCFANMSEDTLHLAMKRFKQYVESTDSKRMISKSSHERIKSLRKRTVSNWVFRVSWTDRVPDER >fgenesh1_pg.C_scaffold_5001479 pep chromosome:v.1.0:5:14727842:14729503:-1 gene:fgenesh1_pg.C_scaffold_5001479 transcript:fgenesh1_pg.C_scaffold_5001479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSX7] MGSFLNFWMLHPILRVSLTSRTILFVNNKFKDVHSDLGALSADKDYVLAVLYLESRTQLKSLYLRYLLSRYLQEAKSLDPLMQTKKNYADLRMKYFGDGRFVAAGMKPYPSDGLECDEILMNTNEDTLECINDIVISSWRLCPAYLRLRFVESLILYKSINVHTVWDACYRVLSGDVLAEQKIARNNPGLELSDVQLEWYGFRVMRKVMGDLGFHHAGFEGVRLGIVRRLLTYKCDDMSM >fgenesh1_pg.C_scaffold_5001496 pep chromosome:v.1.0:5:14840481:14841870:-1 gene:fgenesh1_pg.C_scaffold_5001496 transcript:fgenesh1_pg.C_scaffold_5001496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LT00] MAVNGIMVQPRFKDGANVPLSMSPFTLLFELRLRMEKAYRAERIKRFKRIKQNKSGSSRSSGTSADQAECTPTNSDDHDSGYGDFRGEDDSVHATEETETKGSLPTIIDCVDCVGKRKVISEVRMVSDS >fgenesh1_pg.C_scaffold_5001499 pep chromosome:v.1.0:5:14853686:14856445:1 gene:fgenesh1_pg.C_scaffold_5001499 transcript:fgenesh1_pg.C_scaffold_5001499 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTRILNPTRFPSPKPLRGGGDANFMEQLLLHCATAIDSNDAALTHQILWVLNNIAPSDGDSTQRLTSAFLRALLSRAVSKTPTLSSTISFLPPADELHRFSVVELAAFVDLTPWHRFGFIAANAAILTAVEGYSTVHIVDLSLTHCMQIPTLIDAMARRLNKPPPLLKLTVVSSSDDFPPFINISYEELGSKLVNFATTRNITMEFTIIPSTYSDGFSSLLQQLRIYPSSFNEALVVNCHMMLRYIPEETLTSSSSSLRTVFLKTLRSLNPRIVTLIEEDVDLTSEGLVNRLKSAFNYFWIPFDTTDTLMSEQRRWYEAEISWKIENVVAKEGAERVERTETKRRWIERMREAEFGGVRVKEEAVADVKAMLEEHAVGWGMKTEDDDESLVLTWKGSHMETNTAKTFSFIDFEKFDITITTDISDVKANFKSAIAEKGFGVTDSTAPNDTIGPQYVNDIDPVRLPSCPPQPDFSTARTAVWWDVDSCRLPEGFDPY >fgenesh1_pg.C_scaffold_5001541 pep chromosome:v.1.0:5:15116879:15118530:1 gene:fgenesh1_pg.C_scaffold_5001541 transcript:fgenesh1_pg.C_scaffold_5001541 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LT57] MNKANYFVPFFVFLFIGSRFSIVASAGDQNALAASFVFGDSLVDAGNNNYLQTLSRANSPPNGIDFKPSRGNPTGRFTNGRTIADIVGEKLGQPSYAVPYLAPNASGEALLNGVNYASGGGGILNATGSVFVNRLGMDIQVDYFTITRKQFDKLLGEDKARDYIRKKSLFSIVIGSNDFLNNYLVPFVAAQARLTQTPEIFVDDMISHLRNQLKRLYDMDARKFVVGNVAPIGCIPYQKSINQLNDKQCVDLANKLALQYNARLKDLLMVELKDSLKDAHFVYANVYDLFMDLIVNFKDYGFRTASEACCETRGRLAGILPCGPTSSLCTDRSKHVFWDAYHPSEAANLLIADKLLYGDSKFVTPFNLLHLRDL >fgenesh1_pg.C_scaffold_5001542 pep chromosome:v.1.0:5:15124074:15126849:-1 gene:fgenesh1_pg.C_scaffold_5001542 transcript:fgenesh1_pg.C_scaffold_5001542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LT58] MPLNEIASSVVELTRKCVSITALKRACQLHAIILTAGAGSASESPYKNNNLISMYVRCGSLEQARKLFDKMPERNVVSYNALYSAYSRNLDYASYAFSLINQMASESLKPNSSTFTSLVQVCTVLEDVLMGSLLHSQIIKLGYSDNVVVQTSVLGMYSSCGDLESARRIFECVNGGDAVAWNTMIVGIFRNDKIEDGLMLFRSMLMSGVDPTQFTYSMVLNACSKLGSYRYSVGKLIHARMIVSDILADLPVENALLDMYCSCGDMKEAFYVFGKIHNPNLVSWNSIISGCSENGFGEQAILMYRRLLRISTPRPDEYTFSAAIPATAEPEKFIHGKLLHGQVTKLGYERSVFVGTTLLSMYFKNGEAESAQKVFGVITERDVVLWTEMIVGESRVGNSECAVQLFIEMYREKNRTDGFSLSSVLGACSDMAMLRQGQVFHSLAIKTGFDNVMSVSGALVDMYGKNGKYETAESIFSLVSNPDLKCWNSMLGAYSQHGMVEKAQSFFEQILENGFTPDAVTYLSLLAACSHKGSTQEGKFLWNQMKEQGITAGFKHYSCMVSLVSKAGLLGEALELIKQSPPENNQAELWRTLLSACVNARNLQIGLYAADQILKLDPEDTATHILLSNLYAVNGRWKDVAEMRRKIRGLASAKDPGLSWIEVNNNNTHVFSSGDQSNPEVITQAQDELHRLKTSPTVENGHIALPSPKLTSSKPDPSPIPNPPSPITEPDPCVDVAAALWFNREVKLPQRDKALPFPSLSVTV >fgenesh1_pg.C_scaffold_5001545 pep chromosome:v.1.0:5:15132884:15133857:-1 gene:fgenesh1_pg.C_scaffold_5001545 transcript:fgenesh1_pg.C_scaffold_5001545 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQLHHFVFVHGSCHGAWCWFKLAAKLKLDGHRVTAIDLGGSGVDTRRLHEVRLVSEYLEPLMSFMESLPENEKVVLVGHSYGGIGTSLAMERFPAKVSVGIFLSAYMPHHDSPPAVLIQEYFKRLPQDFAMDCEFTFEEGLEHPPSSVLFGTSFLKEKAYSNCQLEDLELAMALVKPSWLYTKEMGGEDLITEERYGSGKRVFIVCEGDNVLPVEIQKWMISNYEPHEVKRIEEAGHMAMLTKPHQLSQLLQEIAAKYN >fgenesh1_pg.C_scaffold_5001546 pep chromosome:v.1.0:5:15136910:15137618:1 gene:fgenesh1_pg.C_scaffold_5001546 transcript:fgenesh1_pg.C_scaffold_5001546 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVELFAGAALGFALQLLHEAIIRAKERSLITRCILDRLDATIYKITPFVNKIDTCTEEVDEPLRKVIEELKCLLEKAIRLVEAYAELKRRNLLRKYRYKRRIKELDASLRWMVDVDVQVNQWLDIRKLMGKMSEMNTKLDEITSQPIDIIEATGRSSEEDGCSKPKIDIHFRWKKQTKEHEIRFIFN >fgenesh1_pg.C_scaffold_5001563 pep chromosome:v.1.0:5:15216000:15216774:-1 gene:fgenesh1_pg.C_scaffold_5001563 transcript:fgenesh1_pg.C_scaffold_5001563 gene_biotype:protein_coding transcript_biotype:protein_coding MARLEMHNSMNGAARISFSNEFVEIRSEKSNAKTNNMNSRSSFSMPSADFAFSVTDYSMIPADEIFLKGKILPLKETSHVHRTLGEELLIEEEGSVVDGKNFSLRPILLSSSSFSTKGTWRELLGLKRTHCRSKKTDKVNEEVLTQDHKIISGSVATRECQVADTR >fgenesh1_pg.C_scaffold_5001570 pep chromosome:v.1.0:5:15279314:15280866:-1 gene:fgenesh1_pg.C_scaffold_5001570 transcript:fgenesh1_pg.C_scaffold_5001570 gene_biotype:protein_coding transcript_biotype:protein_coding MGARMIFRNYPSYNESDVEPFDFSISKELLLHRSDVLVGEMIGEGAYSIVYKGLLRNRFPVAVKIMEPSNSSVNKAREKMFQKEVLLLSKMKHDNIVKFVGACIEPELMIVTELVEGGNLQRFMTNSRRDPLDLNMALSFALDISRAMEFVHSNGIIHRDLNPRNLLVTGDLKHVKLADFGIAREETRGGMTSEVGTYRWMAPEVCSREPLRVGEKKEYDHKADVYSFAIVLWELVTNKEPFASVISSLVVPYLVSKVGRRPSLENIPDEIVPIIGSCWAQDPDARPEFKEISVLLTNLLRSLSSNSSIDTTLPDEEPYDDEMEDSETRPLLQEYRCKVKKPKEKKKKKKVMKMRLPFSKKFKAWLYKP >fgenesh1_pg.C_scaffold_5001575 pep chromosome:v.1.0:5:15310845:15311309:1 gene:fgenesh1_pg.C_scaffold_5001575 transcript:fgenesh1_pg.C_scaffold_5001575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LTI5] MPWSGPALLFVRRSAEIGSLSKGSTDVKIAVRNGEARIVILARDAPQHLRNEISTLCGPNYISIYEVPNSVELGIAATINGGPTPICALVAPDQDDELQDLIRSWEVILRAIWSLHFYLAGYNP >fgenesh1_pg.C_scaffold_5001577 pep chromosome:v.1.0:5:15318632:15320059:-1 gene:fgenesh1_pg.C_scaffold_5001577 transcript:fgenesh1_pg.C_scaffold_5001577 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRLPSDLEEEILCRVPYRSLARFRSVCKLWNSLILEERLLQKNLSRTDRYSGEHRLIIIHGEYNLSSVSIEEQKNVVDPLSLKTENLTLRRIRPDGPIRVYKIVHCDGLLLCVMDNQLLVWNPLLKETRWVKCGSDFHEYDDAYSLGYLSHCDYRILRFRCASNSRNRPPRVEVYQLATKTWKVIDMISFDWVLKTPLSILSLRGTPYWIGLQEDHTAFIQSFDFSKERFQPIDHLPFRYDKLNPIALEIFKGDRLSVLEQCHKTRKICIWVKHWRLSWSKLMVVGIPQFPLLYPPLCHSPTHYFFDKSNRLIITSIDMHNKISIKAVIDDGKNDFQTIEAANKKLKSKQTGWKVAESHHNLLQIYNRCYNTRRLLESRTSFG >fgenesh1_pg.C_scaffold_5001632 pep chromosome:v.1.0:5:15598784:15601305:-1 gene:fgenesh1_pg.C_scaffold_5001632 transcript:fgenesh1_pg.C_scaffold_5001632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7LTU1] MIRSNGNLRWMFQFGFLCIMSLGLASSVSGSLSFEIHHRFSEQVKTVLGGHGLPEMGSLDYYKALVHRDRGRRLTSNNNQTTISFAQGNSTEEISLYDQNLAPPLFFNYLHYANVTIGTPAQWFLVALDTGSDLFWLPCNCNSTCVRSMETDQGETHMNAQRIRLNIYNPSISTSSSKVTCNSTLCALRNRCISPLSDCPYRIRYLSPGSKSTGVLVEDVIHMSTEEGEARDARITFGCSETQLGLFQEVAVNGIMGLAMADIAVPNMLVKAGVASDSFSMCFGPNGKGTISFGDKGSSDQHETPLGGTISPLFYDVSITKFKVGKVTVETKFSAIFDSGTAVTWLLDPYYTALTTNFHLSVPDRRLPANVDSTFEFCYIITSTSDEEKLPSISFEMKGGAAYDVFSPILVFDTSDGSFQVYCLAVLKQDKADFNIIGQNFMTNYRIVHDRERMILGWKKSNCNDTNGFTGPTDSPPSLPQLPSPRTINPSSRLNPLAASSLFIICFISFICL >fgenesh1_pg.C_scaffold_5001646 pep chromosome:v.1.0:5:15655442:15657234:1 gene:fgenesh1_pg.C_scaffold_5001646 transcript:fgenesh1_pg.C_scaffold_5001646 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRSLKKSLAGKSNRPADENLDLFSVNRGTSLDVLIKPGRHSFQQAKVAKHGPEDDKNHKIHANEPLYTIAEVPLGDLSRLVLEARNTTSKLQSITTKRAALRSESDPSSRPTRSGSTIRPSNIPTIRSSSVPKKTTTTTISASASASSPKRNVSRSLTPLSRKTPSPSSTPSRISTTTSTNPSFNKAGDAQRSRSLTPRSKPQIAANSSKTNVRSSSVSATPRTPPRLKETVTLAFGRPVGNVNSPKRNTSPDVTRTRPKGRSASPSRIPTFSGVSHTTVTPKSVKPSAIVVDSTRPGRKLSRASVQMAINHLDVARNGKVSTHTFSSTMLYPHSIRSSSSGLRKPCGSSEGSCSSSNHEEEDGRNLTKEGANTENKKDSARYDALLNVKDVKDTNWLLNIDDESHQSLIFDNAFESPPDLFPPL >fgenesh1_pg.C_scaffold_5001664 pep chromosome:v.1.0:5:15752871:15753451:-1 gene:fgenesh1_pg.C_scaffold_5001664 transcript:fgenesh1_pg.C_scaffold_5001664 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVPEAEKVVCPKEVVEKNKDKQELEEEEEKGAKGGILNNLISNFMDATTTTTAEGKSGKNIQKLECEDENDKEKESSSSVGVLEKIISHLPEDAAPTTEEAAILIHSAID >fgenesh1_pg.C_scaffold_5001677 pep chromosome:v.1.0:5:15828093:15829206:1 gene:fgenesh1_pg.C_scaffold_5001677 transcript:fgenesh1_pg.C_scaffold_5001677 gene_biotype:protein_coding transcript_biotype:protein_coding MTELPRFFKVFIPETSSEALAIPLSFTEHLVDPLPEKAKFQGAGGRFWTVSLTKLCGVLVKNRIHELLIPAKVVKEHKLTLGKRLYYIDEHGSLKGKKAKYKDGRTCRYIGFDKITKRNSLKAGDTITCNLLHTRKLVHSVRIHINHRAP >fgenesh1_pg.C_scaffold_5001692 pep chromosome:v.1.0:5:15918414:15920086:1 gene:fgenesh1_pg.C_scaffold_5001692 transcript:fgenesh1_pg.C_scaffold_5001692 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQDWSVTACVFLFLSLASQIHCRSQTHFSNRLERSKQGDGSSGDTSFNVLRRVLSPKEKDLIKKLPGQPSGVSFRQYGGYVAVNETTGRFLYYYFVEAINPNKSTPLVIWFNGGPACSSLGGAFLELGPFRVHSDGKTLFRNPYSWNNEANVLFLESPVTTGFSYSNTPIDLEEFGNQGDKVTAEDNYMFLVNWLERFPEYKGREIYIAGQSYAGHYVPQLAQIILHRNKQTFINLQGILIGNPSLAALIQERFTYKFMLSHGLMSQQQMDNYNKFCMSEDLYDNDKCTLLTQKFVYTKTHLDTYNIYAPVCLNSTLRSKSKKCTTVMEVDPCSGDYMKAYLNRKKVQKAIHANTTKLPYEWTSCHDALSEVWSTDVKDVSMTPILHELMGEGVRVMIHNGDVDLEIPFPSTVAVLKTMNLTVVKEWRPWFTGGQLGGFAEDYKGNLTFVTVKGAGHSVPTDQPIHALNIFTSFIRNTPLPQTP >fgenesh1_pg.C_scaffold_5001699 pep chromosome:v.1.0:5:15942337:15945930:1 gene:fgenesh1_pg.C_scaffold_5001699 transcript:fgenesh1_pg.C_scaffold_5001699 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LU24] MAFHVACPITCRKICFCVLGFPRNLHGKEVKDVFLNRIHSLEECLLNPWNAEVSKDGTVQIHVPKLAVFDTGPRIAARNVGIGSDSAMEVFAASSNLVPAKRTVVLQKKVLEDYAVNDGSGDLEVSVKELNGEDHDHHSSSITCHMCYLVEVGKSERAKMLSCKCCGKKYHRNCLKSWAQHRDLFNWSSWACPSCRICEGCGTLGDPKKFMFCKRCDDAYHCDCQQPRHKNVSSGPYLCPKHTKCYSCGSTVPGNGQSLRWFLGHTCCDACGRLFVKGNYCPVCLKVYRDSEATPMVCCDFCQRWVHCHCDGISDEKYMQFQVDGNLQYKCSTCRGECYQVKDLEDAVQEIWKRKDIADKDLIASLKASARVVGQTGGAPLVNQPGSVERKVSEKAMVNGEEEKPLRVLRIKSSRTQDSDSEKFGKHSTELNTVKAKKLVISIGPRKTGVTNSTSCDVSKLTSKSNGKQEKLQSEETFSREQHRSLLGKNNDEKRGSRGEVTTSKAEGGFIGRHSDGKGDLNSGSHDSMQKDSRRLLKLRIKKHNPESQEGETPSIVYERGKSGKGHRSKRKRASPPAEKSAFNEDEDVSLSREDSLLDEMLDASWILKKLGKDAKGKKVQIHEASDDSWEKGVVSEVGGGGGTSKLMVTLENGKVKTVELGKQGVRFVPQKQKRTRT >fgenesh1_pg.C_scaffold_5001705 pep chromosome:v.1.0:5:15967523:15970140:1 gene:fgenesh1_pg.C_scaffold_5001705 transcript:fgenesh1_pg.C_scaffold_5001705 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLTNVVSIKPTIFSFQSESFTPLQTRANVFSSKPFHSLAGTFSRSSRTRFIPYAVTETEEKPAALDPSSEAARRVYIGNIPRTVTNEQLTKLVEEHGAVEKVQVMYDKYSGRSRRFGFATMKSVEDANAVVEKLNGNTVEGREIKVNITEKPIASSSPDLSLLQSEDSAFVDSPYKVYVGNLAKTVTKEMLENLFSEKGKVVSAKVSRVPGTSKSTGFGFVTFSSEEDVEAAILALNNSAKPSQPEMLSLQCRPPFFISVPNRSTNSRSTAPIRATSGFTASPSSPISRRLILLRHAHSSWDDLSLRDHDRPLSKTGQADAAKVAQILSSLGWLPQLILSSDATRTRETLKSMQAQVDEFMEANVHFIPSFYSIAAMDGQTAEHLQNIISKYSTPDISTIMCMGHNKGWEEAASMLSGASVKLKTCNAALLQAFGNSWEEAFALSGPGGWKLEGLVAPDSSICV >fgenesh1_pg.C_scaffold_5001715 pep chromosome:v.1.0:5:16014236:16015959:-1 gene:fgenesh1_pg.C_scaffold_5001715 transcript:fgenesh1_pg.C_scaffold_5001715 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKVEFKVKKNENEALETGLADRSMLLMKAPSLVASSLQSLPFPDDPYRPDAKVILNVDLLAPEDEETKFVMELARAESGNMPRRYTLDMSKDFIPMNVFCESSDGKMSVEGKIKNKFDMRPHNENIESYGRLCRERTNKYMGKNRQIQVIDNARGMHMRPMPGMIIPTAAPEKKKLTNRTSEMKRTRRDRREMEEVMFNLFERQSNWTLRLLIQETDQPEQFLKDLLRDLCIYNNKGSNQGTYELKPEYKKATQE >fgenesh1_pg.C_scaffold_5001733 pep chromosome:v.1.0:5:16099927:16100546:1 gene:fgenesh1_pg.C_scaffold_5001733 transcript:fgenesh1_pg.C_scaffold_5001733 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTPKTTSSNKRKADDAPPSAGSNVFEEESRPPGIKAMKAKRNKGKGKVGPALATDDNIWEKKEKDMAQREKLQKMSVYKTLLARSESLDEDEKVQEDMGLDSMALKRRLIAVTLEAHSPVCGPLVM >fgenesh1_pg.C_scaffold_5001745 pep chromosome:v.1.0:5:16160271:16162138:1 gene:fgenesh1_pg.C_scaffold_5001745 transcript:fgenesh1_pg.C_scaffold_5001745 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQYTFLDFDVSDLRMLLPAVVSTLYKAVKQNGEVTYVHSVLHCWNGKGSSYCEKKGRAFGSRMPSETATIDIITKCDFIRLSRKSGHFKETATGPSEKSDGPSRIGDKSYMPRVLFELSHRVNYRYGRSNQNQCKSYQDPSAQLCTIPSLSAMVVNDYQRATFLVVWDMPPES >fgenesh1_pg.C_scaffold_5001780 pep chromosome:v.1.0:5:16382352:16384395:-1 gene:fgenesh1_pg.C_scaffold_5001780 transcript:fgenesh1_pg.C_scaffold_5001780 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP76G1 [Source:UniProtKB/TrEMBL;Acc:D7LUD0] MTNQLTKNELIGLFTSIALLIYVTCLFHTKRCRARLPPGPNPWPVIGNMFQLAGSPPHDSLTKLSRRHGPIMSLRIASMLTVVISSSEVAREIFKKHDAVLAGRKIYEAMKGGKSSDGSLITAQYGAYWRMLRRLCTTQFFVTRRLDAMSDVRSRCVDQMLRFVEEGGQNGTKTIDVGRYFFLMAFNLIGNLMFSRDLLDPDSKRGSEFFYHTGKVMEFAGKPNVADFFPLLRFLDPQGIRRKTQFHVEKAFEIAGEFIRERTEVREKEKSDEKTKDYLDVLLEFRGGDGVDEEPSSFSSRDINVIVFEMFTAGTDTTTSTLEWALAELLHNPRTLTKLQTELRTYFKSTNQKLQEEDLPNLPYLSAVIMETLRLHPPLPFLVPHKAMSTCHIFDQYTIPKETQVLVNVWAIGRDPETWIDPIMFKPERFISNPNARDFKGQDYEFLPFGSGRRMCPALPLASRVLPLAIGSMVRSFDWALANGLNAEEMDMGERIGITLKKAVPLEAIPIPYRGT >fgenesh1_pg.C_scaffold_5001791 pep chromosome:v.1.0:5:16422451:16423033:1 gene:fgenesh1_pg.C_scaffold_5001791 transcript:fgenesh1_pg.C_scaffold_5001791 gene_biotype:protein_coding transcript_biotype:protein_coding METTHSLHRHCFIVLLLVISASYVSCFYSKNIINGSYHVRGIKIDRKRVLSSSKSGGYQPNKQPICMDKPAPSDILPIFCGEGYVIAEIKFADYGQPTGNCEKNTLKRGNCGAPATLRLVKKNCLGKEECWFPVTDEMFGPTHCKGPVKFVFSGTCKKKMNSKD >fgenesh1_pg.C_scaffold_5001793 pep chromosome:v.1.0:5:16425868:16426701:1 gene:fgenesh1_pg.C_scaffold_5001793 transcript:fgenesh1_pg.C_scaffold_5001793 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTVCHRHGFILVLFLSSISCLASKMDVNYDARGIKIEVGRKHNLSDSIPNPRSEFGSSLPKLGNQIPVCRHQNRSRAPVLMFDCKEKGYVFTKINFADYGHASGDCGNFRRGNCGAPDTLRLVKKNCLGKWQCILLLGRGDEMFGPTHCKSPPWFVVEATCTKT >fgenesh1_pg.C_scaffold_5001812 pep chromosome:v.1.0:5:16504003:16504458:1 gene:fgenesh1_pg.C_scaffold_5001812 transcript:fgenesh1_pg.C_scaffold_5001812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7LUG3] MKNKFIKSCEHKFKIMKSKSIVLPCCTSSCESCCDKVSWGFKKGNEVIPKDVPRGHLVVYVGDDYKRFVIKMSLLTHPIFKALLDQAQDAYNSSRLWIPCDENTFLDVVRCSGAPQHQRNCIERINVDY >fgenesh1_pg.C_scaffold_5001831 pep chromosome:v.1.0:5:16584682:16587215:-1 gene:fgenesh1_pg.C_scaffold_5001831 transcript:fgenesh1_pg.C_scaffold_5001831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:D7LUI3] MEVVNSETMQKSKFGRICVFCGSSQGKKSSYQDAAVDLGNELVLRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVRAVAGMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISTNARQIIISAPTAKELVKKLEEYSPCHESVATKLCWEIERIGYSSED >fgenesh1_pg.C_scaffold_5001832 pep chromosome:v.1.0:5:16595995:16597672:-1 gene:fgenesh1_pg.C_scaffold_5001832 transcript:fgenesh1_pg.C_scaffold_5001832 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASSLSAFNPKSLPLCVSRPASVSVLPPSLSFKLHSDHIVSLFASSAVKCSSPAEYPLRFVRNVAVSSDFEVEEDDMFADGDDSAPVERNSFSPDLKLFVGNLSFNVDSAQLAQLFESAGNVEMVEVIYDKVTGRSRGFGFVTMSTAAEVEAAAQQFNGYEFEGRPLRVNAGPPPPKREESFSRGPRSGGYGSERGGGYGSERGGGYGSERGGGYGSERGGGYGSQRSGGYGGSQRSSYGSGSGSGSGSGNRLYVGNLSWGVDDMALENLFNEQGKVVEARVIYDRDSGRSKGFGFVTLSSSQEVQKAINSLNGADLDGRQIRVSEAEARPPRGQF >fgenesh1_pg.C_scaffold_5001845 pep chromosome:v.1.0:5:16654128:16655168:1 gene:fgenesh1_pg.C_scaffold_5001845 transcript:fgenesh1_pg.C_scaffold_5001845 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSSYLVSQCISVIASGIGEPLHTEKSRLDPINIGTTKVKVVIKLDSTLPSTVVVRDVQGNSARVDVDYPRPPPKCLNCGKYGHLLSRCPQPLMKKLPFKKDTPAGSKEVVHPSLSLHDSGAADSGCSQEKLTVSDAAFSKLRRRRSRSKKRSLSSPPRIVDPLSSANAEDSSLKKPVDRKLRVVKPVGAVVAPSTNSIPRIVNEPVLVQSTGNSVKSKRDSITSPDPDFPIPPGWAVMSTKAKKKELKKWHNRIRSALSGAHGIVRGESSSGSLPH >fgenesh1_pg.C_scaffold_5001891 pep chromosome:v.1.0:5:16852443:16854083:1 gene:fgenesh1_pg.C_scaffold_5001891 transcript:fgenesh1_pg.C_scaffold_5001891 gene_biotype:protein_coding transcript_biotype:protein_coding MASFILSRSLLVLLLIVISLFSIITISEAETSFSFTSSGKNASFESDDFALYGEAKLVDGGSSIQLTDSVSHGGGRVIYKKPIESVKNIKFEYFAGFSTFFSFSISPNRGGRLGFVVFPVNGTFDHSLFQVKFDTSENLTQIGDSNVAVIVDGATVSEKISNFTIANLEKTEKVLLYAWINYQAGGKFLEVRLSKSKSFESVLPLMFDRIDLSEMLKHEDEFMVGVNSYSGNVNLHSWSLEVRHSEYEHSWAPVLLEEQLRKEEAAKKRRSDRMRDIVTCLVMTFGSTGLVFFAMMHIYAAFKRNNLAMVMQEECGIKTKEFEYEKMEKMEVVMSKADGKQERNLSSSTQNLQPEMSFKTMTAVLMSMRGSLMYWQAWIRPMIWPLGEFLLPVWWSSPSVHPLGTRKRSSLRIASAFAKSRRPFNNVQKPIVLCDA >fgenesh1_pg.C_scaffold_5001920 pep chromosome:v.1.0:5:16984934:16986251:-1 gene:fgenesh1_pg.C_scaffold_5001920 transcript:fgenesh1_pg.C_scaffold_5001920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LUT1] MAFYCGIRQIVDEVCICEELDDLVLGELEAEEGTRMNISVSVPPSDICSTPEKGQDNYSLFLPPARDSDVIPIGSSHSTAAHKNHKEPHLSIGTSFISAANENQKEPHPSVGTSSISVPYSDTCSTAEKGIGNKSLDLLPAPDRSPIPIGGVHSTQGADDIYVNK >fgenesh1_pg.C_scaffold_5001929 pep chromosome:v.1.0:5:17026147:17029440:1 gene:fgenesh1_pg.C_scaffold_5001929 transcript:fgenesh1_pg.C_scaffold_5001929 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTSIINKRTKGGWNAAVFIIVVEMAERFAFYGLASNLITFLTNELGQSTATAAKHINTWIGVSCMFPILGAFLADSILGRFKTVLLTSFVYLLGMVMLPLSVTVVAPRMREKVLFMALYVMAVGEGGHKPCVMTFAADQFGEANPEEKAAKTSFFNYWYMAIVLASSIAVLALIFIQERVSWSLGFSIIAGSVVIAIVIFLIGIPKYRKQVPVGSPLTRVAQVIVAALKKWRLSSTRHHYGLCYEEEDEHKSESTNSNQIYLLARTNQFRFLDKATIIDEIDHNKNRNPWRLCTVNQVEEVKLILRLMPIWISLIMFCATLTQLNTFFLKQGSMMNRTIGDHFTIPPAAFQSIVGVTILILIPLYDRVFVPMIRKITNHHSGITSLQRIGVGLFVATFNMMICGLVEAKRLRVASDHGLIDSPKEVVPMSSLWLLPQYILVGIGDVFTIVGMQELFYDQMPETMRSIGAAIFIGVVGVGSFVSTGIISTVQTISKSHGEEWLVNNLNKAHLDYYYWIIASLNAVSLCFYLFIANHFVYKKLQDKDDDVEGER >fgenesh1_pg.C_scaffold_5001959 pep chromosome:v.1.0:5:17146319:17149188:-1 gene:fgenesh1_pg.C_scaffold_5001959 transcript:fgenesh1_pg.C_scaffold_5001959 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQREESEFLGDFREPMEEAGKGLGLSSKTETLVGEDATTSEVFDSGVFDVCGIDEIPNDGDLATGGGSLKAGNSVSDEKQVKADVDDDVKRTDAITEPETEMECEGDTGTVEVKLNDETAKSEVKSNNGASHDETVGEEETVISAANGATDEKATCEVEDNGGVCAAEQDVESEKSQQNTLHVETLSVTENKVDREKETTKKREKDNNKMVVDSKQENEESVALHEQGNHEHAKHSGSAQVPEESTQLSKEETDEKNQKEENGEAMEIDCAAEEQMIKDGKVGGALDNDCNESAGASMVKTQDVPVAEADNNVSNVVEKMEIDERKDNAHMASDLTGTIESADSAISNSPTEDAAPGEVEPLDHNALFDPTSDITNFIDFSGVSSWSGNIQDLRTETGNVSLKDDKKAADMAEDVATADANLSLSPKGIESELNEARVGVGAGSPAAATDCSKETSDATLGSEENQQGKDHQSLDRKTADQQDTMIEEDDITHEAPSIDPNQKEDAEMEENPNNSDYANDITGFDMKTNGVKRKADVLSEDSPGEGRKTVSFAKVSFAERPSFKIGACIARAASQMAGSSSVLKGSNFDDETLSVESFVSQLHCAATDPVKENVVSDIAAGFFLDFRNSSASQQVTTEKVSKKKGRPSNSNVAGTESFEFEETGDTYWTDRVIHNGGEEQTPPIEKANYQVVPVELKPAQIQRTRRPYRRRQAQINIHLSASDKPANFDENAPAELVMNFSEADTIPPEKSLSKMFRHFGPIKESQTEVDKENNRARVVYKKGADAEVAYNSAGRFSIFGAKAARRMQHYVFQPRNSFASDMFQVKTICRHQEK >fgenesh1_pg.C_scaffold_5002006 pep chromosome:v.1.0:5:17387222:17388324:1 gene:fgenesh1_pg.C_scaffold_5002006 transcript:fgenesh1_pg.C_scaffold_5002006 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVTHISTSFHQISPSFFHLRLRNPASSLPKRGGGYGGFALSIRAYIEKPNSFSTFANKVIGSLPVIGLLARILSDEGGVGRDLVDFAEFRKRVGNKCTPDDSRAFYEFQQRRGKAGEPLYVLLCCWVAAVGAGLLKSEEILEGVTRVSISNDLEFEEQNFIALMTEARQRRAKLNIDAPIIPMELRVEKALEGIYACCFRRGLIEEEDEKLLQVMLIAVFPSVEKSEIERIIKEKATRVAEGGEEENVMAKRLPKEAIQMQMKDLEFLQQQNIES >fgenesh1_pg.C_scaffold_5002027 pep chromosome:v.1.0:5:17507307:17512363:-1 gene:fgenesh1_pg.C_scaffold_5002027 transcript:fgenesh1_pg.C_scaffold_5002027 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit beta [Source:UniProtKB/TrEMBL;Acc:D7LV47] MFNKFGSTSETLSKASAVVHRIGTDAHLYDDPEDVNIAPLLDSKFESEKCEALKRLLALIAQGSDVSNFFPQVVKNVASQSSEVKKLVYLYLLQYAEKRPNEALLSINYFQKDLGDPNPLVRAWALRTMAGIRLHVIAPIALAAVGKCARDPAVYVRKYAANALPKLHDLRLEEHASAIEELVGILLNDHSPGVVGAAAAAFTSICPNNFKLIGKNYKKLCQILPDVEEWGQILLIGTLLRYVVARHGLVRESLMLSLHGMDSNGLCEKDGLGRDLTLDKEEDGGKSDSFDVNLVSLVSKCYIEGPDEYLSRSSYTDTVSSAFDSKETTSIAHNEDVKILLQCTSPLLWSNNSAVVLAAAGVQWIMAPLEEVKKIVKPLLFLLRSSSASKYVVLCNILVFAKAVPSLFAPHFETFFICSSDAYQVKAYKLEMLSLVATTSSISSILREFEDYVKDPDRRFAADTVAAIGLCAKRLPTIPTTCLDGLLALVRQESFAGDFESVDGEAGVLVQAVMSIQTIIERDPLRHEKVLIQLFRSLDSIKVAAARAIIIWMVGVYCSLGHIIPKMLTTITKYLAWSFKSEASETKLQILNTTAKVLKSAEADDFQMLKRVVIYVFELGECDLSYDVRDRTRFLKKLLSSKLACHKPAEDSVASQEHIATHVVEHVFGRKLTPFSPLALHNRFYLPGSLSQIVLHAAPGYEPLPKPCSFVFEEQDQLSDLDRQREAAADLDDSRESSETVDDDGSSDYDSESSIGSDCSSDGDERTVSNGVNDPAAPLIQISETSVSADQEELRSKKALDLWLDDQPSTSNQTPSALNSNQSSYAKISIGDIGSRVKPKSYSLLDPGNGSGLKVGYTFLSEVSTVSPLHVCVEVLFENSSAEPILEVNLEDEESMKVADSSEQTLVGKANASYNNVPTLIPMEEISCLEPRQSAKRLIQVRFHHHLLPMRLTLHYNGKEVPVKLRPDLGYLVKPFSMSIEEFLATESRLPGMFEYSRRCTFDDHVKDSRMENGKDKFLSICECITLKVLSNSNLHLVSVDLPVANSLEDATGLRLRFSSKILSSEIPLLITITVEGKCTEVLNITVKINCEETVFGLNLLNRIANFMVEPSSSAS >fgenesh1_pg.C_scaffold_5002064 pep chromosome:v.1.0:5:17703883:17704842:-1 gene:fgenesh1_pg.C_scaffold_5002064 transcript:fgenesh1_pg.C_scaffold_5002064 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKGNGSKPFLSCLMSSIYDSLHELPQLTSILRIDGDVSITGLLAIVASFQSLELQLQAMKDLLRQRKEAIYSQGQDLLLLTEGYTNMARTLHPELFSDDTNTCLIQEDANNGISHEVAVVLSPSPTEEILQNNQLQNEPIVNHGF >fgenesh1_pg.C_scaffold_5002065 pep chromosome:v.1.0:5:17707168:17709681:1 gene:fgenesh1_pg.C_scaffold_5002065 transcript:fgenesh1_pg.C_scaffold_5002065 gene_biotype:protein_coding transcript_biotype:protein_coding MKKENLVPLRHTIFSDHLTPVLAYRCLVKEDDQEAPSFLFESVEPGHHSSTVGRYSVVGAHPKMEIVAKENKVTVMDHVKGTKTTEEVEDPMMIPRRISETWKPQLIDDLPDVFCGGWVGYFSYDTVRYAEKRKLPFSKAPVDDRNLPDMHLGLYDDVIVFDHVEKKIHIIHWVRLSGNSSFDDVYGNGMKHLEELVSRIKCINPPKLPYGSVDLHTNQFGTPLEKSSMTSDAYKNAVLQAKEHILAGDIFQIVLSQRFERHTFAHPFEVYRALRIVNPSPSMCYLQARGCILVASSPEILTRVKKNKIVNRPLAGTARRGKSFEEDQMLEETLLKDEKQCAEHIMLVDLGRNDVGKVSKNGSVKVERLMNIERYSHVMHISSTVTGELQENLTCWDTLRAALPVGTVKAMELIDELEVTRRGPYSGGFGSVSFTGDMDIALALRTIVFPTQARYDTMYSYKDKDTPRREWIAYLQAGAGIVADSDPEDEHRECQNKAAGLARAIDLAESAFVDKIDTTI >fgenesh1_pg.C_scaffold_5002083 pep chromosome:v.1.0:5:17793826:17794424:-1 gene:fgenesh1_pg.C_scaffold_5002083 transcript:fgenesh1_pg.C_scaffold_5002083 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPKDEHEAQIQLALERGIPATLAVIGTQKLPFPDNGYDVIHCARCRVHWHGYGGRPLLELNRVLKPGVFFVCNGSLTTSMCWKVVARTRFTKVGFVIYQKPDSDSCYESRKDKDPPLCIEEETKKNSSW >fgenesh1_pg.C_scaffold_5002084 pep chromosome:v.1.0:5:17795293:17797437:-1 gene:fgenesh1_pg.C_scaffold_5002084 transcript:fgenesh1_pg.C_scaffold_5002084 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHMAREVGEMVGDVGFWNEVEAVYSLLKLVKEMARRIEEERPLLEADYDSYLKKHPLKQSIGFSGQNNTPRNSVDVGSSLVDAVCAPYLEKNPLNQSIVGSGQNNTPRNSVDVGSSLVFRGNHQTSVRLRERSRTRTLSIEDDIRKALFERYPGIGRRFSQGNQSENEIWLSPVVKIIETLISPATIENISFAHSMIEHIQSSFPGLNLGWLLKAVVDIMQSKKDLSDLTEQWERVMEQSKNLQKEAEEIREKMERKKSYRLTISRILG >fgenesh1_pg.C_scaffold_5002100 pep chromosome:v.1.0:5:17860517:17861835:-1 gene:fgenesh1_pg.C_scaffold_5002100 transcript:fgenesh1_pg.C_scaffold_5002100 gene_biotype:protein_coding transcript_biotype:protein_coding MPSILRPPRNTICGSCYEGARTTIALLKKLEGSKEDHEKSNHKSTVNNGSSLSSSPLFSCEPQPLEKVIKWMKNMKETEEEQKKRIVFLSSFVSGFKEQLHADILLKPGDDGPPIPAHRALLASKSEIFKNILDSDGCKTAPEYAITLQELNSEQLQALLEFLYTGTLASDKLEKHIYALFLAADKYMIHYLQELCEQYMLSSLDISSVLDVLDVSDLGSSTTLKEACLRFVMRNMDDVVFSDKYEVFSQKNQHLCVEITRAFLMETRSKRRDLSN >fgenesh1_pg.C_scaffold_5002104 pep chromosome:v.1.0:5:17880671:17882541:1 gene:fgenesh1_pg.C_scaffold_5002104 transcript:fgenesh1_pg.C_scaffold_5002104 gene_biotype:protein_coding transcript_biotype:protein_coding MKETRKREYVIKPRVLQNQEDVLKERNWTWKPTDSSGCGITNMKETNDERSNLHNLSTKRQNKTSTLNFVTSMSSSSWMLDATTLPKLGCLGQGHHGYISLVKTPDGLLMAKKTSHRKYSEDLEKELRILHHFNSINFNIVRPTSPIIYYETMPVNVKICSIYMEIAPHGSLEDMMTKAGGRLPENVVGYCTLLILEGLKDLHKDGYVHCDLMPENILIFPTYTHGELCELKLADFGLAKEPNGPNPLDGSLFQGNPEYLAPEAVGPRRIISSAVDMWSLGVMVIEMLGANVGGRNDYLSATLSPMAWDFVRKCKVRDWEDRATAEELLSHPFVNQSIGVPPLEMLPVPHCLTDGVVQGRFF >fgenesh1_pg.C_scaffold_5002126 pep chromosome:v.1.0:5:17977306:17979760:-1 gene:fgenesh1_pg.C_scaffold_5002126 transcript:fgenesh1_pg.C_scaffold_5002126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LVH4] MCEKARVIVRMLQGCNSMNKLRKIHSHVIINGLHHHPSIFNHLLRFCAVSVNGSLSYAQLLFDRFDSDPSTSAWNYLIRGFSISSSPLYTILYYNRMLLSSVSRPDIFTFSFALKACERIRLIPKCLELHGSVIRSGFLADAIVSTNLVRCYSANGSVEIASKVFDEMPVRDLVSWNAMISCFSHAGLHHQAFSMYSRMANEGVCVDAYTIVALLSSCAHVSALNMGVMLHRIACDIRCESSVFVCNALIDMYAKCGSLENAVGVFKGMRKRDVLTWNSMIIGYGVHGHGVEAISFFRKMVASGVRPNAVTFLGLLLGCSHQGLVKEGVEHFEIMSSQFHLTPNVKHYGCMVDLFGRAGQLEKALEMIHTSSCHEDPVLWRTLLGSCKIHRNLELGEVAMKKLVQLEAFNAGDYVLMTSIYSAANDAQGFASMRKLIRSHDLRTIPGWSWIEIGDQVHKFVIDDKMHPESALIYSELGEVINRAILAGYKPEDSNRTAHTLSDRCLESADTSHSEKLAIAYGLMRTTAGTTLRITKNLRVCRDCHSFTKYVSKAFNREIIVRDRVRFHQFAGELIVIRVLYSLGVLLKLSPQDSLKGKVRETGDETIIDTEVVIMVWNKNITETRKSTKEKEESKPQKLQRLESILWDCNT >fgenesh1_pg.C_scaffold_5002171 pep chromosome:v.1.0:5:18194826:18195505:-1 gene:fgenesh1_pg.C_scaffold_5002171 transcript:fgenesh1_pg.C_scaffold_5002171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVM5] MTLKVQIPKKVKNPNRHKRSQTAAAQQNQFFSRPLRWPALFAAAVRGAFSLLLMLLVVSAIRLAHGYLWRGASLDKNCGSSRRGCLALSVASFSSESCCWVSEICGSGGCSVHRRMGEILGSWWILSFGGSRINGRGLVSSLVGFVMVCEALFDGDGYVRGFEIGSLFYLSFESSCWLVVAVFSWFVLGVSHLDGILLLSHLLAYL >fgenesh1_pg.C_scaffold_5002174 pep chromosome:v.1.0:5:18205335:18207048:-1 gene:fgenesh1_pg.C_scaffold_5002174 transcript:fgenesh1_pg.C_scaffold_5002174 gene_biotype:protein_coding transcript_biotype:protein_coding MATFWPPSSSSNYPSIFLYLQSYPFLFFLLFLTTSATSLTLASLVNPHSYISPRVPYSDHCNHIVPESPIDPSPSAVFSRASLAFDVSFFSGGDSFFNRYQSQNGDVKSARFRPMSIRKTLGDGKIYKVEDKLTLQISKTSAFSSYYGGDFGKKKLQVTHIDGRSSWGGASFDFSGFWSESTGQVCMVGSTQVLSVEGTDLKSFDARLMLNYSNESNIYGSLVKGVLESVNSQNYISYIQVDVQIVFCSHLWWLYSHFAVQLSSAVQWSTSVQLSSAVQWSTSAQSFCCTVILLYSCLLLYNGLHLYSHSAVQLFSVQWSTSVQ >fgenesh1_pg.C_scaffold_5002191 pep chromosome:v.1.0:5:18300339:18301411:-1 gene:fgenesh1_pg.C_scaffold_5002191 transcript:fgenesh1_pg.C_scaffold_5002191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,3-glucanase 3 [Source:UniProtKB/TrEMBL;Acc:D7LVQ1] MASFFDTAVGQIGVCYGRNGNNLRPASEVVALYRQRNIRRMRLYDPNQEALNALRGSNIELVLDVPNPDLQRLASSQAEADTWVRNNVRNYANNVRFRYISVGNEVQPSDQAARFVLPAMQNIERAVSSLGIKVSTAIDTRGISGFPPSSGTFTPEFRNFIAPVIGFLASKQSPLLVNLYPYFSYTGNMRDIRLDYTLFTAPSTVVNDGQNQYRNLFHAILDTVYASLEKAGGGSVEIVVSESGWPTSGGAATSVENARTYVNNLIQTVKNGSPRRPGRAIETYIFAMFDENSKPGPEIEKFWGLFLPNLQPKYGVNFN >fgenesh1_pg.C_scaffold_5002192 pep chromosome:v.1.0:5:18304127:18305212:1 gene:fgenesh1_pg.C_scaffold_5002192 transcript:fgenesh1_pg.C_scaffold_5002192 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSSKSRFYGAKTIVFWQFEECPIPDDIISDEVEANISSAIRDMGYYGPVTMRAYGDIYKLQRECCGFLIFYATSETTQDKILVDLLGQAVFWPRDSPINLMLIVGDISRHAGLLNAIDTLAAHGNFNIILSQPLKVASGQLPEGVDTVWLWEGLSVLARMVSRESGPAAIEELLTNSVSRNDQIMQYRERIPLDIATARARRPHHR >fgenesh1_pg.C_scaffold_5002217 pep chromosome:v.1.0:5:18414445:18419966:-1 gene:fgenesh1_pg.C_scaffold_5002217 transcript:fgenesh1_pg.C_scaffold_5002217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M16 family protein [Source:UniProtKB/TrEMBL;Acc:D7LVT3] MAIENAAAATNAADKGGEILKPRTDKRDYRRIVLKNSLEVLLISDPETDKCAASLNVSVGSFSDPQGLEGLAHFLEHMLFYASEKYPEEDSYSKYITEHGGRTNAYTSTEHTNYHFDINTDSFDEALDRFAQFFIKPLMSADATMREIKAVDSENQKNLLSDSWRMHQLKKHLSREDHPYHKFNTGNIDTLHVRPEANGVDTRSELIKFYDKHYSANTMHLVVYGKVEEMFQEIRNTNKEIPRFPGQPCTQEHLQVLVKAVPIKQGHNLTVSWPVTPSIHHYEEAPCTYVGHLIGHEGKGSLFHALKILGWATGLYAGEPDWTMEYSFFNVSINLTDARHEHMQDILGLLFRHINLLQQSGVSQWIFDELSAIFEAEFHYQAKIDPLSYAVNNSSNMTIYPTKHWLIGSSLPSKFNPASVQKVIDDLSPDNVRIFWESNKFEGQTDKVEPWYNTAYSLEKISKFTIQEWVQSAPDVNLFLPTPNIFIPTDFSLKQFTDKNQVLEQDIFPVLLRKTSFSRLWYKPDTKFFKPKAYVKMDFNCPLAVSSPDAVVLSNLFVWLLVDYLNEYAYYAQAAGLDYGLSLSDNVPHIQLSLVGFNHKLRILLEAVIQKIANFEFKPDRFSVVKETVIKAYQNYKFRQPHNQAMSYCSMVLQDHTWPWTEELDALSHLEAEDLTNFVSMLLSRTFVECYIAGNVENDEAESMVKHIEDVLFDDPKPICRPLYPSQFLTSRVAELGTGMKYFYHQEGSNPSDENSALVHYIQVHQDEFSMNSKLQLFELIAKQATFHQLRTIEQLGYIASLSQRNDSGVYGVQFIIQSSVKGPGHVDSRVESLLKDLESKLYKMSDEEFKSNVTALIDMKLEKHKNLSEESLFYWGEIQEGNTSHCGLFCG >fgenesh1_pg.C_scaffold_5002267 pep chromosome:v.1.0:5:18720025:18721565:-1 gene:fgenesh1_pg.C_scaffold_5002267 transcript:fgenesh1_pg.C_scaffold_5002267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7LW00] MENTGSIKQEAPSLPPGIFEIPGEPAVVINGVPDEPQTDCMIAKDEPISSGTVGSGEWLVGREVRKFFLGHYYSGMVTKFDKQSGWYRVEYEDGDSEDLDWSELEEVLLPLDVTVPLRATTIFPMASLLLPLFSALLFAATITESEAYSTTVKAPYPGYKPEKLTHLHFYFHDIISGNKPTAVPVARGPATNSSATSFALVAIADDPLTIGPEITSEEIGRAQGMYASADQNNFGLLMAFNLVFTKGEFSGSTASMYGRNPILSKLREFPIIGGTGAFRFARGYAQAKTFVFNITSGDAVVEYNVYIWH >fgenesh1_pg.C_scaffold_5002292 pep chromosome:v.1.0:5:18834543:18835463:-1 gene:fgenesh1_pg.C_scaffold_5002292 transcript:fgenesh1_pg.C_scaffold_5002292 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSVSKRIVLVLNLAVVATQVLPIRSVSSMNKTNAYLYHKCSEIEGKYKPKSPYEENLNFIINDMYKDTFVRGFVYAYHGDDPNTVYILLQCRGDSYGPKCGSCLTTASSELRRRCPMNKAGIVWFDKCLLKFSPTAFFEKIDDKNKFYMYSTKKVSDPASFNAKTKALLTELTTKATRRSDKLLLYETGEMKLGKMKLYGMVQCTRDLWFTVCKTCLDKIIGELPKCCDGKEGGRVVSGSCNFRYEIYPFLDTVR >fgenesh1_pg.C_scaffold_5002330 pep chromosome:v.1.0:5:19003572:19004547:-1 gene:fgenesh1_pg.C_scaffold_5002330 transcript:fgenesh1_pg.C_scaffold_5002330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LW69] MAILLLQINPIIVMILIHDHRVGEFLLSLRGMLGPGVIQDLVPPSHTRHRIPIRNSNNLRRQHLETLRQAVHNQPARPESSSRSSSQTNEEDEILKHLTKETYNPVPKSTLLRSLSLYYRNKNPGSENSRNTQDLSGEEDDKRCSVCLEDFEPKETVMLTPCKHMFHEECIIPWLKTKGQCPVCRFVILKPAKQESSLTNGSNLARDMTMDDLLTLELLSVVRAMEETFLFGYPRRM >fgenesh1_pg.C_scaffold_5002340 pep chromosome:v.1.0:5:19043983:19045367:1 gene:fgenesh1_pg.C_scaffold_5002340 transcript:fgenesh1_pg.C_scaffold_5002340 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTIVRNGTEFKSRRRKQREFSRNLKVMLKRSDKGKSSHGSRMHQFGLVFVVGILLVAVLLFCFSGKNQSTKLELERPTQISNTAKPAVEIQNGTQLIWTIPNSPKAVLFIAHGCHRKASDFWDKSSDCPECTGLPEERILIRFALARKFAVLTVSSAGTCWTFGKEKSIVGKMIKSWVEKHNLERLPLVGLGASSGGYFVSALATDMQFSSIVLMIAEGVFDKISISKQYPPTLFVHMPKDVYRQQKIREFLEGLRMEGIDAAEIECLDLPISPEFLADRIPGLRPDVSTKLFKLFQDKGFVDEKGYMKRDGRRTPWKQALSGYKISLEESLITPVEEELNLAYAYHEMTSLQSDQIFSWFESHMS >fgenesh1_pg.C_scaffold_5002405 pep chromosome:v.1.0:5:19363070:19364116:1 gene:fgenesh1_pg.C_scaffold_5002405 transcript:fgenesh1_pg.C_scaffold_5002405 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQPGMPMPQVNPQPSPFGNPFSGPGSGLIRSGLGAYGEKIFGSSSEYVQSNISRYFSDPQYYFQVNDQYVRNKLKIVLLPFLHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPLMAFGTYVVLAGLSLGLYGKFTPEALNWLFVKGMVGWFLQVMLLKITLLSLGSGEAPLLDIVAYAGYTFTGLCLAVLGKIIWGYSYYVLIPWTCICTGVFLVKTMKRVLFAESRSYVSSRHHYLLIFVALAQFPLLIWLGNISVNWLF >fgenesh1_pg.C_scaffold_5002468 pep chromosome:v.1.0:5:19680647:19681871:-1 gene:fgenesh1_pg.C_scaffold_5002468 transcript:fgenesh1_pg.C_scaffold_5002468 gene_biotype:protein_coding transcript_biotype:protein_coding METAKSNPDGYQNILMIRHGDRIDKIDPLCSYPLLSDVSRLLPKSSLLSPPSISIPALRRLTTSRPSINLSSRLILVCFSDLEATFPHGMVDHSVDPVYKEMPQWEETVEGCTDRFLSLIKTLADKYPSENLLLVTHGEGVRTTFATFKGVDVSYVEYCACAELRRHVLSQDGSTKAGNFEVITSLGQCGIKYHSLSTSDQTPV >fgenesh1_pg.C_scaffold_5002501 pep chromosome:v.1.0:5:19880302:19881771:1 gene:fgenesh1_pg.C_scaffold_5002501 transcript:fgenesh1_pg.C_scaffold_5002501 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKLPLLREPLGSEDKIGCDDPEIIDGMLDLELGTTQKLNKQAPGMWLFPKNQKHCCIYRVPNSIRRVKPEAYTPQLLILGPLHHSSKSQALKSLGDITDTKSMGYLNMEEHKKIYLAEFARRVEGEKTIDGFRRIIEEDEEMIRASYSESTAWIESAIFVDMILHDSVFVLEFILRSSYEVKEDLILLENQLPYFILEKLFDPIVPTLTPHQTFRELVITHFDCQGKIGDNSKFRHFTDLVRLVRVETLPRLARGKYNPIEHMYNADKLDRGGVEFEAVDEEFSLSVRFEKGCLKMHCLRVDDEVEMKLRNVMALEQCHYPFNAHVCNYVIFLDYLIDTHKDVDLLVEKGIIKNWIGQHGLVAEMVNKLCLGILEVGSYYSDIAVEVNRHYSNPVNRSCAVLKRVYFGDMWTGTASVTATLLLLMTLTQTVTSIIQVLQK >fgenesh1_pg.C_scaffold_5002505 pep chromosome:v.1.0:5:19891406:19892797:1 gene:fgenesh1_pg.C_scaffold_5002505 transcript:fgenesh1_pg.C_scaffold_5002505 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRLRVRSALSLSSPIYQLRRQVSSLPLQNHTTPSSAATFPFAIPRDPSSPPLTLPTDLISKFDYTASHVLAKVEALTNLSNLDAAAEYARLAVLSVPKSTKNLKTKTQIAETCRLIIGAMCRKKRYEEAYELFHYFFNVNNVEPDCDCCSDVVFALSSQGRLDEAIRFYRRTIRYAHFIPDYYPLMNALIDAGRVDEAYSLFFGGSTYPVFIRGFLAHGNMDKANELFQDLKQHRDESYSDHDFEMSQVAYMEHCFKQGKDEEAMEWYRSGMMSEAEEMFNEIFSSSDRSPRYFDIWIHRMMVDGFAKAGRFDDAHRFVNKIADISLGHVSGRVHCSSITGRDFLI >fgenesh1_pg.C_scaffold_5002507 pep chromosome:v.1.0:5:19897555:19902124:-1 gene:fgenesh1_pg.C_scaffold_5002507 transcript:fgenesh1_pg.C_scaffold_5002507 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQLIRKARDQLWRFGNRSICSTLHKETIRRATVARQFVPAFVGVALECKSLLDGILEYLPCPLEVNVTAVDHDKYEKKVMLSGNPEESLVGLVFSSEDCLEKQHSPMFSYIRLFEGLEKKGSRILNVTTDEMFEINLLFRVSWDGLKEVECALAGDIVATSGVYFAPGDTITVGTVDYSIPCARGLSLRPIEVGAFHKMFSLVLGGSSCCIRTAESLHCVSIKTGLEMAMRHNLISAYCQNRKLEGMIEVLKFTDDFNRKLLNTLIHAFARTYPVYSLMCFRKLLHVGSPTSVSFRGLIRAYRLVDLTAKRRLELANENIIEYMKTHKVLVERRHKVALVDMILGGGLIDEAHKKMKEEKLHLDEKIITSFLHAYTATKDHTVPKEKDPVKIEKKLKKNVAQCKIFVAELEEQGIHYTINESLRSRLKEAKIVLGSNVLSGPEYRDLCCRNFITIGMNRIYDDEDILHSITLLLLLVFTLIVRLIIRGDRESCGRTNVDRPCDVTVEAWVVFLNGIAMGDVLVYWKNCG >fgenesh1_pg.C_scaffold_5002519 pep chromosome:v.1.0:5:19990305:19991213:1 gene:fgenesh1_pg.C_scaffold_5002519 transcript:fgenesh1_pg.C_scaffold_5002519 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLSVNRDHFTKKNDEIEKLEAPSTNKTSTVFSQAHAVVNHGDINGQINGKRTKDDHRVKRASDKKVSSKRVSRTWKIPKYPKKQPRSDQEHPGFNLDYMQPTTHPPHHN >fgenesh1_pg.C_scaffold_5002610 pep chromosome:v.1.0:5:20388683:20390052:1 gene:fgenesh1_pg.C_scaffold_5002610 transcript:fgenesh1_pg.C_scaffold_5002610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSD0] MVLGNVFRNGFSIYEKSLVNSNGLQKTGLLGNVFRNGFSIYEKSLVNSNGLQKTGLLGNFSTGLMLNKAHLPVEKLLTASFSTNPKGGGSGDDPAFQESRLWNEKKKRLANLGQISKNIENESKLFTPNLKNVDGLAKFMDDLATELEDLYVETMSFGEDEEKNGKDGSLMHDLSKFMMDAYEKLKKGESVVRVVFGNFGFTTFNSFEDATKTANNYALLGQEVKLDEDELDDYSYTLICIGYKGWILKGERKDFCPPFKFP >fgenesh1_pg.C_scaffold_5002617 pep chromosome:v.1.0:5:20426844:20428024:-1 gene:fgenesh1_pg.C_scaffold_5002617 transcript:fgenesh1_pg.C_scaffold_5002617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSD9] MDGDGVDREREVGMTDFKISVGILVIILCLCEFGFLVYMLGEDVALGIVFSLLAFWLVIHRILEFVRKIKIDAAFMNTYIYIKLPWGFIWFFLSFTVLNGLVFYIAYVYQIGNFFVKSKTEIQNISNGTKNIFLMVVHVFVCSLPTLTRVNKVLAVKGKEPISRVHSFTSNAHRAHRLINITGK >fgenesh1_pg.C_scaffold_5002621 pep chromosome:v.1.0:5:20453226:20454323:-1 gene:fgenesh1_pg.C_scaffold_5002621 transcript:fgenesh1_pg.C_scaffold_5002621 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSKQFPLLLFFFFFFFPLLNASEPKRCYSSSTGGNNLDVRFPFWLPPEQSSSCGYPGFNLHCTDRHKTALKLPNSGSFLVRDIKSQRIRLSDPDNCLARRLLSFDASGSPFSPLHLVNYTFLSCPTENVKSSSLEPIHCLGNSTTSFLATPSDLTGSMPSSCQISKTLLLPVSSPLAVDLNKQDLWLKWDSPDCTGCVDFSPLCGFINNTTLKVKCFAYVDSGNPWLITLKILCLCLSVPFFLVITPALCIIFIPIQNQKSKNVPWRNDTLCPICLSEYTSEETVKCLPECEHCFHTECIDPWLKLHNSCPVCRSPY >fgenesh1_pg.C_scaffold_5002625 pep chromosome:v.1.0:5:20468186:20469667:-1 gene:fgenesh1_pg.C_scaffold_5002625 transcript:fgenesh1_pg.C_scaffold_5002625 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFVGFYILCLLIGQDLPFLAADDANVINDSTQNLCFANRLSDFLPPPYSNVSDNMPCTPLWNTFVLRYSENRDNVMTIIVSALYTTGWVGIGFSKEGRMVGSSAMVGWISKKGHAKIKQYYLQGTERDQVVPDQGELQLEKVPPVVALHGAMIYLAFQVKFSVRVPQRAVILALSTAYPSKLGRLTKHDDKTTVIVDFSKASGATSMKTTTSTEKTKHGVMAILGWGFLLPVGAILARYLRHKDPLWYYLHIGFQFTGFIFGLAAVILGIQLYNRIQPDIPAHRGIGIFLLVLSILQVLAFFARPQKETKMRRYWNWYHHWIGRISLFFGAVNIVLGIRMADNGGDGWKIGYGFVLSVTLLAFVVLEIFRIRGSIGSPSSHTPPSFEAHPSSTSV >fgenesh1_pg.C_scaffold_5002633 pep chromosome:v.1.0:5:20507061:20510067:1 gene:fgenesh1_pg.C_scaffold_5002633 transcript:fgenesh1_pg.C_scaffold_5002633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:D7LSP8] MASAEGDDDFGSSSSRFYQDQLYTELWKVCAGPLVEVPRADERVFYFPQGHMEQLVASTNQGIKSEEIPVFDLPPKILCRVLGITLKAEHETDEVYAQITLQPEEDQSEPTSLDPPLVEPTKQMFHSFVKILTASDTSTHGGFSVLRKHATECLPALDMTQATPTQELVTRDLHGFEWRFKHIFRGQPRRHLLTTGWSTFVSSKRLVAGDAFVFLRGENGDLRVGVRRLARHQSTMPTSVISSQSMHLGVLATASHAVRTTTIFVVFYKPRISQFIVGVNKYMEAIKHGFSLGTRFRMRFEGEESPERIVLDSETWSVFRFTGTIVGTGDLSSQWPASKWRSLQVQWDEPTTVQRPDKVSPWEIEPFLATSPISTPAQQPQLKCKRSRPTEPSVITPAPPSFLYSLPQSQDSINASLKLFQDPSLERNSGGYSSNNSFKPETPPPPPRPTNCSYRLFGFDLTSNPPAPLPQDKQPMDTCGAAKCQEPITPTSMNEQKKQQTSRSRTKVQMQGIAVGRAVDLTLLKSYDELIEELEEMFEIQGQLRPRDKWIVVFTDDEGDMMLAGDDPWNEFCKMAKKIFIYSSDEVKKMATKLKISSSLENEEYGNE >fgenesh1_pg.C_scaffold_5002659 pep chromosome:v.1.0:5:20659292:20660098:-1 gene:fgenesh1_pg.C_scaffold_5002659 transcript:fgenesh1_pg.C_scaffold_5002659 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDESLVMVSSLSRLSICTSSAYVNEDEAATKNHPDSCVFTSLENFDGAEADGEVSDDGEGKENVRESDSDKETRGFYSLPVTPSRRRRKLTVSGELDANESNRDGGKCSLRRQKRLVREKKKKRVNGESDGGGREGLTVLTRAKGGEKSLRMGLEEVKACRDLGFELEVPVPGPGRISVSTTGSNFDTQTSSGGNSPIATWRISNPERIYVFITELGDDPKEVKARLKVWAQAVALASATRQAS >fgenesh1_pg.C_scaffold_5002676 pep chromosome:v.1.0:5:20729633:20731086:1 gene:fgenesh1_pg.C_scaffold_5002676 transcript:fgenesh1_pg.C_scaffold_5002676 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LSU9] MEVEEAKILLGFPPNSRPDPSQVKAAYRKKVWESHPDLFPDDQKQVAESKFKSISEAYSCLESGDVKGQRYYKAGVYSRVVKTGVPGTYSSAKRGNRWLIGAPFLLIVLGTIGLGGIKANRAYNLQKQTFPSHNPFLP >fgenesh1_pg.C_scaffold_5002687 pep chromosome:v.1.0:5:20766088:20769154:-1 gene:fgenesh1_pg.C_scaffold_5002687 transcript:fgenesh1_pg.C_scaffold_5002687 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKISLFDVMDDPSAAAKNTKISGLADGGINSLINKWNGKPYSQRYYDILEKRRTLPVWLQKEEFLKTLNSNQTLILVGETGSGKTTQIPQFVIDAVDAETSDKRRKWLVGCTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSPRTVLKYLTDGMLLREAMADPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQDYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPPGDILVFLTGEEEIEDACRKINKEVGNLGDQVGPIKVVPLYSTLPPAMQQKIFDPAPEPVTEGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRIRVESLLVSPISKASAHQRSGRAGNLTKTGEIMSEFPLDPQMAKMLIVSPEFNCSNEILSVSAMLSGPPFTFIFLFLLPLVSIRVRLSSAVTSVGSAYPWLGGVLIPNCFIRPREAQKAADEAKARFGHIDGDHLTLLNVYHAFKQHNEDPNWCYENFINNRAMKSADNVRQQLVRIMSRFNLKMCSTDFNSRDYYINIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTSRNFIRTVTDIRGEWLVDVASHYYDLSNFPNCEAKRVIEKLYKKREREKEESKKNRK >fgenesh1_pg.C_scaffold_5002700 pep chromosome:v.1.0:5:20819131:20819747:-1 gene:fgenesh1_pg.C_scaffold_5002700 transcript:fgenesh1_pg.C_scaffold_5002700 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISNLPDEIIGHIGSFFSAKEAAFTALLSKRWLNLYENLFHLSIPAQQSICWIGSQVLLNKYPNLKTITIKGSLHYKDDDRGNVESVCECLEGYSFLSSCSIEVLKITECKGDIGEMVQIKHMLEKLASLEILVVHLKPRRDDTKLQITVDLLMLPRYSSKCKIWVKHSLTKSSRI >fgenesh1_pg.C_scaffold_5002702 pep chromosome:v.1.0:5:20829476:20829949:-1 gene:fgenesh1_pg.C_scaffold_5002702 transcript:fgenesh1_pg.C_scaffold_5002702 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFSVSQSTFCKTSVLWDTEKVPIPKSVDLVFCQAILCVPYKNAVFCIELKRVREGSKGKDHSRDIAVLTGMGVWIAVNRDVSSSIMPISDSIYDFAVDEFKKVNHYVLWKKLSAKGKPIAQTRRYSKR >fgenesh1_pg.C_scaffold_5002710 pep chromosome:v.1.0:5:20852604:20854227:1 gene:fgenesh1_pg.C_scaffold_5002710 transcript:fgenesh1_pg.C_scaffold_5002710 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB11 [Source:UniProtKB/TrEMBL;Acc:D7LT77] MGRKPCCEKVGMKKGRWTAEEDQILSDYIKSNGEGSWRSLPKNAGLKRCGKSCRLRWINYLRSDLKRGNITPEEENLIVKLHSTLGNRWSIIASNLPGRTDNEIKNYWNSHLSRKFHGYFRKPTVTTVVENAPPPPKRRPGRTSRSAMKPKFILNLKNHKNPNSLTASKTDIVLPTTTIENGEGEKEDALMGLSSSSLSGAKEPGLRPCGYVDDGYCNPSIDGDVGVLSLNDDIFDSCFLLDDPYAFHVSSCESNSVKNSEPYGGVSVGHKNIESMADDFADWDFVWREGETLWQEKENLDSVLSWLLNGEEMESETGQRGPNDFGGS >fgenesh1_pg.C_scaffold_5002770 pep chromosome:v.1.0:5:21105544:21106195:1 gene:fgenesh1_pg.C_scaffold_5002770 transcript:fgenesh1_pg.C_scaffold_5002770 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLMVPRKRPRAPSFSDKHPDEYVGSSDWLPAEKDKAQVQVNNFLELCRFCKKNLRHDEDVFMYGYFGAFCSKQCRAKQMALDIFREFPRQKAIAKKGRTSKDEVLERISSSSSSRFYI >fgenesh1_pg.C_scaffold_5002781 pep chromosome:v.1.0:5:21151672:21152962:1 gene:fgenesh1_pg.C_scaffold_5002781 transcript:fgenesh1_pg.C_scaffold_5002781 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSSSRARSMPPPVPMEGLQEAGPSPFLTKTFEMVGDPNTNHIVSWNRGGISFVVWDPHSFSATILPLYFKHNNFSSFVRQLNTYSWKNSTMPSASNYCERGFRKIEAERWEFMNEGFSMGQRDLLKSIKRRTSSSSPPTLNHYQPDGDDPSVELPQLQEERHVVMMEISTLRQEEQRARGYIQAMEQRINGAEMKQRHMMSFLRRAVQDPSLLQQLFEQKKDQEEATMFEQAGLVKTEAVEHLSELEALALEMQGYGRQRADGVERELDDGFWEELLMNNDNSEEEEANVKQDT >fgenesh1_pg.C_scaffold_5002793 pep chromosome:v.1.0:5:21199844:21203560:-1 gene:fgenesh1_pg.C_scaffold_5002793 transcript:fgenesh1_pg.C_scaffold_5002793 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRSSNDLDFCNDKNVDGEPPNSDRPASSSHRGFFHKSFSGNNNRGRGEDAAGFSRAFSRRRSNRDFDNHRPDARYHRSESACFPRRAFPKGFRSERERPNREASVSSWRRFGGPGNDFGVEDLKDRRLRDAERERTLKSPSWSRDSANDLSKFKPLDSRNSRSRSKSLASPTWSKDSGSEQSKSVGNVVKKTEEEVQGKSSTSSSEMEEGELEPEPQPETASGLAHQIKHHSKLPPCAADEHKNARIDGSFQEIGKYAQLDTNTESNRELSHVGGDSEMETAGSMTDKKSVADAEIVPELPTESMHVSQNNVNDASTALAIEHERRDDTTTVSVNEITDTVDDKGDKDGEYKENHHGVKLEETLYPDVPERIQVEELKGVKGNDGDANKVDDEGPECVQDNALGNRTPAQYISSVSDSSIHKCEDKGKNSDVPLTHLLGNALFSESKSEGLTDKDKDEKDDNFGGPSLRGFELFSSSPVRRTTKTDQSGVNKPKDEKLLLEPLDLSLSLPDVLLPIGGQDTNQGSPVRSGSVRSLTDTFCTNSDGFTMSMSFSGSRSFNHNPSCSLNHNIGDNEQSVHSRPIFQGIDWQALSHNDSQYNENTVYQRLMENGNGSVQPRAMKGNLISGQADEEHLRLPDGSSKAANILENQLSFQKSVDVRSACPRTGSLENGSKFTVEKKKAKDFFSGSNSWITGLEAGGHDFVETVIRYILSDSMPVMTKRFHEMPTRYITSLKENIRQMMLNMDTNVQLGAFQDALRNRTDITLELLTKSHRAQLEILVALKAGRSDFLLMDNSISSSHLAEIYMNMRCKNLSCRVLLPVDECDCRVCSRKDGFCSACMCLVCSNFDMASNTCSWVGCDVCLHWCHTDCGIRESYIRNGINASGAPGMTEMQFHCVACNHPSEMFGFVKEVFLNFAREWKFERFCKELEYVNKIFSSSKDSRGKQLRQAADAMLASLKSKLIGLPEACNRILGFVSDCDSSTPAETCAPFRYEQPKPRHERGSPSQDTAWLRSVCSDKPHHQLKRSASVVDAFHRERQVEICGVEMELKRESPKEPRFEELESIVRMKQAEAEMFQGRADDARREAEGLKRIAIAKKGKIEEEYKRRMGKLSMEEAEERRRRRYEELEAMERGQREFYEMKMRMEEEMRGLLTKMEVTKQSLAL >fgenesh1_pg.C_scaffold_52000001 pep scaffold:JGI8X:scaffold_52:2759:3851:1 gene:fgenesh1_pg.C_scaffold_52000001 transcript:fgenesh1_pg.C_scaffold_52000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM84] MTIDYEICSDPFDSRCVLATSMYPPGAEPKKAKRFLEKIRGKEIKKSQGFDVDFSMFRVLFDFYPSLLDESNATKKPETDREYFGRLAEEAIERLQQKRGSGYIYFITFVVKDPCDDDNQTKIFQAKVHNVLCREIAHCFCRPKPEQQVKYDEDFKNVVKKRRVQV >fgenesh1_pg.C_scaffold_52000005 pep scaffold:JGI8X:scaffold_52:36937:38696:-1 gene:fgenesh1_pg.C_scaffold_52000005 transcript:fgenesh1_pg.C_scaffold_52000005 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWSHVHDFTRGKGEIATCSKDINSDLFFAVLGGLGQFGILTRARIKLEVAPKRAKWLRFLYIDFSEFTRDQERLISKTDGVDFLEGSVMVDHGPPDNWRSTYYPPSDHLRIASMVKRHRVIYCLEVVKYYDETSQYTVNEEMEELSESLNYVRGFMYEKDVTWNDRMSAAIPEEDVFYAVGFLRSAGFDNWEAYDQENMEILKFCEDGNMGVIQYLPYHSSQEGWVRHFGPRWDIFVKRKYKYDPKMILSPGQNIFQ >fgenesh1_pg.C_scaffold_527000001 pep scaffold:JGI8X:scaffold_527:5735:7511:-1 gene:fgenesh1_pg.C_scaffold_527000001 transcript:fgenesh1_pg.C_scaffold_527000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXR7] RQSLSGSTAPSEADSSEAGLSKEQKLKAERLKRAKMFVAKLKPDAHPVQQAEPSRSISVEPLDSGISGLGANAAKERDSSSIPSVAETKLADDGNSERRPKRNYRSRSHRDEDVKMEQEEGEEEESSMDEVTEETKTDKKHSSSRKRHIRHKHKTRYSSKDRHSRDKHKHASSSDDEYHSRSRHRHRYSKSSDRHELYDSSDNEGEHRHRSSKHSKDVDYSKDKRSHHHRSRKHDKHRDSSDDEHHHYNRHRSSRRKHEDSSEDEHGHRHKSSKRIKKDEKTVEEEAVSKSDQSDLKASPEDNIQYPRNEPTQVSDELRAKIRAMLADTLNSNNRILNLLSLGDTPSPSLRITMFVLLIDGQVHWKVGTFITGEYHPHVRCTAYIPSGGIVPLIEDNAQHKSGYYVKKLLSRCLELGSRGEVKSAVVRASKWLAGPRSFLLLLT >fgenesh1_pg.C_scaffold_53000004 pep scaffold:JGI8X:scaffold_53:35046:36966:-1 gene:fgenesh1_pg.C_scaffold_53000004 transcript:fgenesh1_pg.C_scaffold_53000004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW11] MVGSVSILGLHIDSRCHFDSRSQGLLSSSQRRNCPCDDDMVHLRCFSGYAGSVSNSYQWGFNANLRPSTHMESRNLIGDTCREELANDVFGLRCMIRLEYTGTKLYFIMRTMTPIQNNWYRIVRVSKLIPGATCFCWETDTDYYTNTEKEEESNVLLVQPHDEAASEKLFHTSCSQGVDNITT >fgenesh1_pg.C_scaffold_549000001 pep scaffold:JGI8X:scaffold_549:584:978:-1 gene:fgenesh1_pg.C_scaffold_549000001 transcript:fgenesh1_pg.C_scaffold_549000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXS1] MKEQSWPKHRPPHCLNEKYDERVVSSKQSEKQANLETPNAKAAEIAAFKASASATRTEETEGRDKDPLWISVNCALLTIHPRPQAIEGDSQEASEKHTAASL >fgenesh1_pg.C_scaffold_552000002 pep scaffold:JGI8X:scaffold_552:4687:5791:1 gene:fgenesh1_pg.C_scaffold_552000002 transcript:fgenesh1_pg.C_scaffold_552000002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXS3] MTIGFGDSRRRRTELANVYGLEKAMELKQQRQKGNEQGRNQKRKEAARGQGGSRQHHLHITTTRTCSGHRRWFTWLGKAFIHIWGICDDVSSIETLQNNFPSGTGEWLSSECDEPECSSEERGSQADTQIHATGLRDVSPPRGEGVVMANFNTNHTVVPLVASMLCLLDIPAHTFVWDVALVVDNTDPNGNRSNEM >fgenesh1_pg.C_scaffold_580000001 pep scaffold:JGI8X:scaffold_580:74:1137:-1 gene:fgenesh1_pg.C_scaffold_580000001 transcript:fgenesh1_pg.C_scaffold_580000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXT3] MQPNLFPFGSVLGNPFLFNGGGDLNELGSGAGIQALGGTGGMDLSKVGEKFLHSVKSATFLGLLPSPSISDRPEIPARAAAAAAVARALAGLPSDQRLSISSTATELNSIYGNRPLPQQVEELEEGFYEEDFDPVRHILENVPDDESELAYFEKQATLRLVQLDRVAENLSHHVMEHHEVMVKGMNLVRELEKDLKIANVICK >fgenesh1_pg.C_scaffold_58000003 pep scaffold:JGI8X:scaffold_58:35566:36946:1 gene:fgenesh1_pg.C_scaffold_58000003 transcript:fgenesh1_pg.C_scaffold_58000003 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGLEVVSNPSGIYLSQQNPDSDVLAGLAVAVVMDGSRSFLIEVQALCSPGPTVSRHVNGVQASRADMIIAVLMKQAGLRIQENGIFLNVANGMALSETAGDLAIAAAICSSFLEFPIPHGVAFIGEIGLGGEVRTVPRMEKRVSTLAKLGFNKCVVPKSVEESLKALNLKEIEIIGCKNLKELINAVFRG >fgenesh1_pg.C_scaffold_60000001 pep scaffold:JGI8X:scaffold_60:63:4070:1 gene:fgenesh1_pg.C_scaffold_60000001 transcript:fgenesh1_pg.C_scaffold_60000001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSLLEYLRGVPGKISMKFKDYISYMKTQRDEDPLYVFDDKFGEAAPELLKDYSVPHLFQEDWFEILDKESRPPYRWLIVGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGKVPLGVTVHVNEDDGDVSIDTPSSLQWWLDYYPLLADEDKPIECTLLAGETIYVPSGWWHCILNLEPTVAVTQNFVNKENFGFVCLDMAPGYHHKGVCRAGLLALDDENSEDLENDTHDEDDNTLSYSDLTRKEKRIRMNGGGETENQEEDANGVLKRYNMWKNGFSYNIDFLASFLDKERDHYNFPWSMGNSVGQREMRAWLSKLRAMKPEMRELIWKVYLLSDYAIKLFVEGGLEQSMYGLGTEASNFYTILWHPMCNLYDFNSMSSFVQLEFYDILGRADSPLKTHIPEVLESGILFFEKGSYKVVPWDGKRIPDIISSSNFDFDASMLNSEFPFGIWNKTLREHRNQGKPAPAPDSFGSLSSHVWPYIITKRCKGKIFAQLRDDLTWNDAQNLASFLGQQLRNLHLLPYPPVTRPELLNMNAVHEELNIPAEWKVFVDALCQKKKDVTSRLENWGNPIPRALMTKIDEYIPDDFFVDLLNVFKDPNGGDESKPCTWIHSDVMDDNIHMEPYSDDSVDGQHNSWRPSHILDFSDLSIGDPICDLIPIYLDVFRGDADLFKKLLESYGLPIIRSRSPEGNGTTKTTDSVTVYYHEENVLGSMFSIWDELRTAESWEQVEQNCLEST >fgenesh1_pg.C_scaffold_6000009 pep chromosome:v.1.0:6:33640:35344:1 gene:fgenesh1_pg.C_scaffold_6000009 transcript:fgenesh1_pg.C_scaffold_6000009 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQLGFRIVLCSAIFIILTQNRALADLDSESHGVNSESVGEEEWEMVQRKGMQFTLNGQPFYVNGFNTYWMMTLAADNSTRGKVTEVFQQASAVGMTVGRTWAFNDGQWRALQKSPSVYDEEVFKALDFVVSEARKYKIRLILSLVNNWDAYGGKAQYVKWGNASGLNLTSDDDFFTNPTLRNFYQSHVRTVLNRVNTFTNITYKKDPTIFAWELMNEPRCPSDPSGDKLQSWIQEMAVFVKSLDAKHLVEIGLEGFYGPSAPVRTRFNPNPYAAQVGTDFIRNNQVLGIDFASVHVYPDSWISPAVSNSFLEFTSSWMQAHVEDAEMYLGMPVLFTEFGVSAHDPGFNTSFRDMMLNTVYKMTLNSTRKGGAGAGSLVWQVFPQGAEFMDDGYAVYLTRAHTASKIISLQSKRLAIFNSLCSWRCRWGCKKKNHTALDALLTHDEL >fgenesh1_pg.C_scaffold_6000063 pep chromosome:v.1.0:6:296781:298218:1 gene:fgenesh1_pg.C_scaffold_6000063 transcript:fgenesh1_pg.C_scaffold_6000063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7M735] MAAATTTESRNQIPPYMKAVSGSLGGVVEACCLQPIDVIKTRLQLDRVGAYKGIAHCGSTVVRTEGVRALWKGLTPFATHLTLKYTLRMGSNAMFQTAFKDSETGKVSNRGRLLSGFGAGVLEALAIVTPFEVVKIRLQQQKGLSPELFKYKGPIHCARTIVREESILGLWSGAAPTVMRNGTNQAVMFTAKNAFDILLWNKHEGDGKVLQPWQSMISGFLAGTAGPFCTGPFDVVKTRLMAQSRDSEGGIRYKGMVHAIRTIYAEEGLVALWRGLLPRLMRIPPGQAIMWAVADQVTGLYEMRYLRNATL >fgenesh1_pg.C_scaffold_6000064 pep chromosome:v.1.0:6:305770:308006:1 gene:fgenesh1_pg.C_scaffold_6000064 transcript:fgenesh1_pg.C_scaffold_6000064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate decarboxylase-3 [Source:UniProtKB/TrEMBL;Acc:D7M736] MDVGSLPSNSVATIQDSAPTTAAILGSSQATLGRHLARRLVQAGVSDIFSVPGDFNLSLLDQLIADPELNNIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDFGTNRILHHTIGLPDFSQELRCFQTVTCYQAVVNHLEDAHEQIDMAISTALKESKPVYISVSCNLAATPHPTFARYPVPFDLTPRLSNKNCLEAAVEATLEFLNKAVKPVMVGGPKLRVAKARDAFVELADASGYPLAVMPSAKGFVPEDHPHFIGTYWGAVSTLFCNEIVESADAYIFAGPIFNDYSSVGYSLLLKKEKAIIVHPDRVVVANGPTFGCVRMSEFFRELAKRVKRNVTAYENYHRIFVPEGKPLKCKSREALRINAMFQHIQKMLSSETAVIAETGDSWFNCQKLRLPKGCGYEFQMQYGSIGWSVGATLGYAQASPNKRVLSFIGDGSFQVTAQDVSTMIRNGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTTKVRYEEELVEAINTATSEKKDSLCFIEVIVHKDDTSKELLEWGSRISAANGRPPNPQ >fgenesh1_pg.C_scaffold_6000079 pep chromosome:v.1.0:6:378775:379964:-1 gene:fgenesh1_pg.C_scaffold_6000079 transcript:fgenesh1_pg.C_scaffold_6000079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7M751] MSSSMMYRGVDMFSPENTNWIFQEVREATWTTEENKRFEKALAYLDDKDNLESWRKIAALIPGKTVADVIKRYKELEDDVSDIEAGLIPIPGYGGDASSAANSDYFFGLKNSSYGYDYVVGGKRSSPAMSDCFRPPMPEKERKKGVPWTEDEHLRFLMGLKKYGKGDWRNIAKSFVKTRTPTQVASHAQKYFLRQLTDGKDKRRSSIHDITTVNIPEAEATTTAAATLSPTPANSFDVFLQPNPHHSFASASESCYYNAFPQWS >fgenesh1_pg.C_scaffold_6000083 pep chromosome:v.1.0:6:396183:397753:1 gene:fgenesh1_pg.C_scaffold_6000083 transcript:fgenesh1_pg.C_scaffold_6000083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M755] MEKQEVLQSVQNNSPADSKLRRKLMDQFVIDAAYGCFMRTANPFFDWRPLRYPHNLTRSLPEGITRKELGIINLTAQFMAVFGIFFQRALIKTQVFFLLSSLSWVLGFIKALPRPAKNAGASPETVLEGFFHLLALLEDDEKIGMVDLHVFEFLAKTLGYMMPFPLGHLSNMLHSQMIELLRTPIHYMPDDWHDKIRVMETEIHDVESRSSWRNSVSYHACYQQMQILSQEDHAFCEHPKDLQQNNSNDQGPSAHSLPSPWSGLPLPEFTSLIPEGITRQELGIIKLTAQFDAVYGLYFREEIEKMLIEDPRFAFLIKPTDNSKYYSFFSKLSLGRVPNRKMSGSPSLETVLEGFWNLFEMVEEGVDMGIVDLHAFEFFANTEDQELNLPHQYLLMIMYPLVPPFAHLQARIPRCHYPQPPPREHPNTKRLKVDKDSSRPGKETEG >fgenesh1_pg.C_scaffold_6000118 pep chromosome:v.1.0:6:548601:549948:1 gene:fgenesh1_pg.C_scaffold_6000118 transcript:fgenesh1_pg.C_scaffold_6000118 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGSTSQALMNEGAANIPQLQANTLCHQEIDEGFKRGSICYVGNFRFDLTEVGLRDLFSPFRERCTDIQTCVRDEINEEKCSTSRRAGGLLDKEGGVRELIVGKNDELLQTDTKTVPRANVAEVCIQVYSPAFIFLIKSSYPLLGLFSDFDLSSLQVQALLFEEAKNKAFDLGSKPEGTSSPTKDFKALFSQVTSRL >fgenesh1_pg.C_scaffold_6000119 pep chromosome:v.1.0:6:550471:552448:-1 gene:fgenesh1_pg.C_scaffold_6000119 transcript:fgenesh1_pg.C_scaffold_6000119 gene_biotype:protein_coding transcript_biotype:protein_coding MRETKRKRVPMRSLKEEEEDLLLPDSDDYDGDIPRTGSTEDGERESSEDEVDGLEGSDDNDDGDESELVDGSDQGSTDGSVRIWEVETGRCLKIWQFDEAIKCVAWNPLSGLSILAVAMGRDLVILNTELDTDEEQEYIKELLHLGNVTEPKASAIARWLPDEKYGGIMIRHFKNVSSIDWHRKGDYLSTVMASGETRGVVIHQISKKLTQKPFKIRGLPLTALFHPSRSYFFIATRKNVRVYNLLKVDEPVKKLETGMREISSLTIHPGGDNLIVGSKEGKMCWFDMDLSSKPYKTLKNHPKDITNVVFHRSYPLFASSSEDSTAYVFHGKVYDDLNENPLIVPLEILRGHSTSSNGGGVLDCKFHPRQPWLFTAGADSNIKLYCH >fgenesh1_pg.C_scaffold_6000122 pep chromosome:v.1.0:6:565714:567561:-1 gene:fgenesh1_pg.C_scaffold_6000122 transcript:fgenesh1_pg.C_scaffold_6000122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic abc protein 9 [Source:UniProtKB/TrEMBL;Acc:D7M7R8] MAVTEEEKKRNSTVEISGLRFTYPGIDGHPPPGSKPLIEDFSITLNSSDRCLLVGSNGAGKTTILKILGGKHMVEPHMVRVLGRSAFHDTGLTSSGDLCYLGGEWRRDVAFAGFEVPIQMDISAEKMIFGVAGIDPQRRDELIKVLDIDISWRLHKVSDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARADLLKFLRKECEERGATIIYATHIFDGLEDWPTNIVYVAHGKLQLALPMEKVKETSKKSLMRTVESWLRKERDEERKRRTERKANGLPEFETRTEESRVTGDPARMLNNGWAAGRLHSTVAGGEDNFVLSSNRVLR >fgenesh1_pg.C_scaffold_6000129 pep chromosome:v.1.0:6:601609:603954:1 gene:fgenesh1_pg.C_scaffold_6000129 transcript:fgenesh1_pg.C_scaffold_6000129 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGVTTLTGCCTGTVTGEISRRYDLSLVHDGLGHSFCYIRPDLTGVVLPSSEIPLRSETIQETTTTFRSISGASVSANPSTALSGALSSDSDCPYSSAVSASAFESSGNFASLPLQPVPRGSTWQSGPIVNESGHGSAPFERRFLSGPIESGLYSGPIESTKKAEKEKPKKNRKKSKSKKNFLTFKTLFTNLISNNKSRLKKSVIEPINGSDSSDSGRHHHEPVITSSRSHENPKSDLEEEDEKQSIDSVLDVQWAQGKAGEDRVHVVVSEENGWVFVGIYDGFSGPDAPDYLLNNLYTAVQKELNGLLWNDEKLRTFGENGETKIGKCSDEADSDSGKENCAVMNSDDPVASGARNQERSVKWRCEWENKSNNKTKSDNKCDQKGSNSTTTNHKDVLKALLLALRKTEDAYLELADQMVKENPELALMGSCVLVTLMKGEDVYVMNVGDSRAVLGRKPNLATGRKRQKELERIREDSSLEDKEILMNGAMRNTLVPLQLNMEHSTRIEEEVRRIKKEHPDDDCAVENDRVKGYLKVTRAFGAGFLKQPKWNDALLEMFRIDYIGTSPYITCSPSLCHHKLTSRDKFLILSSDGLYEYFSNQEAIFEVESFISAFPEGDPAQHLIQEVLLRAANKFGMDFHELLEIPQGDRRRYHDDVSVIVISLEGRIWRSSM >fgenesh1_pg.C_scaffold_6000130 pep chromosome:v.1.0:6:605339:607549:-1 gene:fgenesh1_pg.C_scaffold_6000130 transcript:fgenesh1_pg.C_scaffold_6000130 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIE2/ALG10 family [Source:UniProtKB/TrEMBL;Acc:D7M7S7] MSIIVNHIVPEPYMDEIFHVPQAQQYCNGNFRSWDPMITTPPGLYYLSLAHVASLFPGMLLMKNTTQSFPEACSTSVLRSTNAVFAVLCGVLVYVIIRFLGPNLSDRKATFMALVMSLYPLHWFFTFLYYTDVASLTAVLAMYLACLKRRYMLSALFGTLAVSIRQTNVVWMLFVTCSGVIDFTLDSSRQKGKQKVNQELHQSSDRKGTSLRSNLRKRKSDISSDTSDRFNHGQTVSSTEDTSGLLYDVYAVISTSWNMKWRILINFSPFIFVVVAFGIFILWNGGIVLGAKEAHVVSLHFAQIMYFSLVSALFTAPLHFSVNQLRNQFQKLHRNWPLSLLLTLVALVAGFVSVHFFSLAHPYLLADNRHYPFYLWRRIINAHWLMKYMLVPVYVYSWFSILTLLAKARRKIWVLVYFLATCGVLVPTPLIEFRYYTIPFYLFMLHSCVRSSGFATWLLIGTIFVSINLFTMAMFLFKPFKWSHEDGVQRFIW >fgenesh1_pg.C_scaffold_6000133 pep chromosome:v.1.0:6:618296:621552:1 gene:fgenesh1_pg.C_scaffold_6000133 transcript:fgenesh1_pg.C_scaffold_6000133 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7M7T0] MANQGGENGKLERKKTMTMNWAGLGEVEDDDDHFFDSSNRISTVVPLDLASSSDEEEGEFDDCRISFSSNVSSSSRAAPTPDMSPDYDIWMSAPGSITERRRRLLNGMGLESKKTMLGAISIQRVSEPVAAAGVCSGSRVAEAKVEESDHNSPVNQRVHRSPSMSVLLVRSRSDSDIEASSAEKKRKEEMLGKTSKSRLTRTASAIGAPCARICPYFTQTQASPPDAPNGHQSQGQRSGALLSSVVSNTRFSAFFLIKNLDTGKEFIVKEYGENGMWNRLSDLQTGKQLTMEEFEKSVGYSSVVKDLMRRENANSTMDFRKFNSYVSKSLRVSKKRGAALLKNIKDVAHSMSSSKVSEKEKDSTGSGTSSPKVAEKNNEQANQWVKVRHSGKSHKDLSALHMCQEIQAHQGGIWTMKFSPDAHLLASAGEDCAIHVWEVQECEIMSMSEGSLTPIHPSMSGSTDKSSTECDAAEVSQDKKKKGKTSTSKKGNQIPDYVHAPETVFSLSDKPICSFTGHLDDVLDLSWSRSQLLLSSSMDKTVRLWDIETQSCLKLFAHNDYVTCVQFNPLDEDYFISGSLDAKIRIWNISNRQVVEWNDLKEMVTAVCYTPDGQAAFVGSINGNCRLYSAEDCKLEQTNQIDLQNKKKAQAKKITAFQFSPINPSEVLVTSADSRIRILDGTELVQKFRGFKNINSQMTASYTVDAKHIVCASEDSQVYVWKHEEPRLGITGRKTVTMCTSYETFPCKDVSVAIPWNGVVKGEPPSTQTQSKRNPKKTSTTTTTTPENATTSKKSGLPPLPKKNNDGTADGATEQHQDDDPATQIPQNESENNTGESLKHGDSPSISISSRISSWSWFDSSGSHGTHSVQPTAWGMVIVTATIKGQIRAYQNFGLPRRVGRQGSLF >fgenesh1_pg.C_scaffold_6000135 pep chromosome:v.1.0:6:630236:631108:1 gene:fgenesh1_pg.C_scaffold_6000135 transcript:fgenesh1_pg.C_scaffold_6000135 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L36 [Source:UniProtKB/TrEMBL;Acc:D7M7T2] MVATGLFVGLNKGHVVTKREQPPRPNNRKGKTSKRTLFIRSLIKEVAGQAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKRKREEMSSVLRKMRSGGGGASEKKK >fgenesh1_pg.C_scaffold_6000141 pep chromosome:v.1.0:6:672727:673969:1 gene:fgenesh1_pg.C_scaffold_6000141 transcript:fgenesh1_pg.C_scaffold_6000141 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRVCGKRVGYDDFFGSSSSPTNKRSKWSSFGSPIRSSEVGSGSDDPFASLIHMFPSMDPEFVREVLSNKNYVFEEAKESLSSILFNGDSDRTEAGSFDGSVGSWKDEDMIDGAKWVDRLVSEMAKAINVDDMRRRVAVILEALEIIIKKNTNASNKLEYASLKESLQSLINDNQILKRVIANQHQRSSENEEKAKQVQHLKGVVGQYQDQVHKLELSNYAMKLHLQRSQQQQQTSFSENLPPDVY >fgenesh1_pg.C_scaffold_6000142 pep chromosome:v.1.0:6:677639:679894:1 gene:fgenesh1_pg.C_scaffold_6000142 transcript:fgenesh1_pg.C_scaffold_6000142 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPNPDDDGSKSYFQKTVVLRDWWLVKCPKEFDGKRFGVAGFEDSVETRAMRVFKSSPIIRALDVFTLLASDGIYITLRGFLNKERVVNNGFTPEISREFIFGFPPCWERFCNSCFLGDSCGTDINTVPSTIDKACPPILSPCKYSNGNVEDYPSESRDKSSVTETDITEINDKDGSRARAKKTARRKSLHLSEEEERKLESSNVQNTTKSGDVEKDGCVAINNEDNEWKLDGSELQNCTNDGVHGSERLIKAKSSDVEKDECEVIDNNVISPAVGCGIKYTGADNVDKVTSASATGESLTPEQRKGVLGTTASPQCLLKDLDKSSKSEKKGISKKSKNATKESLPSEQRKGRVKVTKASQDPLSKDLINSSKPGKKGKSRKSEKTLQSDSNVVEPMNQSRSQVEEAEENLSWEKIKRKIDFDVEVTPEKEVKKQKTNAASTDSVGQKRSRSGRVLVSSLEFWRNQIPVYDMDRNLIQVKDGSETNSTPSKGKGSNSQKPRS >fgenesh1_pg.C_scaffold_6000171 pep chromosome:v.1.0:6:797535:799363:1 gene:fgenesh1_pg.C_scaffold_6000171 transcript:fgenesh1_pg.C_scaffold_6000171 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDFRDELDLATVAIGNPSHRFSRPLKIEQAGDSSDGVDSISSLPDDILHRILSFFPTNFAIRTSVLSKRWGHVWIHFVNSWIEFAISHNAEKLSLDFRDARVRDYKFPGFFYTNSSVKQLLISSGPAELIPSCTVSWTSLNLTLHNYDSVKYLDLSKSLYLRRLDIICCNTVREPMKIVAPHIHYLRLRGQCTLLDVSSLTEADVDSSDNYLFLSFSYYKSDSLMLVMVQAMLEKLQNVEKLTLGVDFLRLHGVPLPKLKVKTLTLETMIMRPVVLGIARLVQNSPQVKKITIYTTQFSTVLDKCDSFLELQNLNPNQGWRSIDVVFPTSWDSKVPEPELMVSFIELLLANTITLETLVVRLGSYTDDYRSRFEEQFQIALTLSHNNEVSIVLK >fgenesh1_pg.C_scaffold_6000172 pep chromosome:v.1.0:6:799831:801650:1 gene:fgenesh1_pg.C_scaffold_6000172 transcript:fgenesh1_pg.C_scaffold_6000172 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYHATVAVGNPSDRFDRPLEIRRSSDSSDGIDIISDLPDAVLQHIFSYIPTKFAIRTSVLSKRWRHVWSETPHLSFGWFSGSPKLINKTLASYSASKITSFHLCTRYCYKANHVNSSIEFAMSHNVENLSLTISNLTRSYCFPDLFFTNSSVKQLLVNLQHLYTKKKKKMPFVNLSPGCTVSWTSLKNLSLSSCKLSDDSLLKILSGCPILETLSLKFCISLMYVDLSKSLRLTRLEIERRNPFPEPMQIVAPHVRYLRLRDSEAQCTLLDVSSLTEANVDFTDFHPRTLYHGFEPLDPSVLLVTVQTMLEQFQNVEKLTLGVNFLQMLSLSKIPSLPLPTLKVKNLTLETRIMPTVVPGITRLLQNSPGLEKLTVLYTIDECSILLWECVNSYLKKQLKDVAFLFPWEYEVIKPKVMASFMELLLANTKTLETLVLHLGSCINRSRFEELSQIALTLSHNNKVSILLKRSGG >fgenesh1_pg.C_scaffold_6000182 pep chromosome:v.1.0:6:826525:828276:1 gene:fgenesh1_pg.C_scaffold_6000182 transcript:fgenesh1_pg.C_scaffold_6000182 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSRESAAADVIDGRIYVIGGPWSDNIEDWGEAYDPKTQTWEPLLPTTLDLTTQKSVVPGRLVMGGKVYIYDDIGYMPELRLEENNVCLVEIDNMMCQVSVCKGVLPWYDLKWSWVRGLEGLLNSHFPCYLVSVANYDRGSRVTVWWESVVFGLLGLNYWTKECKKEIWCAEISLERRGSEELWGFVEWSKNVVTFDGCMIVVIGGCRSKNLETWEEIDKVSCVLSVSDGNLFWRETKDGFEWSSVMGVEEVSSIISVANAGAEGRVTVWWKSLILELVSVTEECETKIWCAEISFERRGLTELWGFVDWSKEVFAVDGYDSTYDFFLNSAIVTY >fgenesh1_pg.C_scaffold_6000189 pep chromosome:v.1.0:6:852472:853467:1 gene:fgenesh1_pg.C_scaffold_6000189 transcript:fgenesh1_pg.C_scaffold_6000189 gene_biotype:protein_coding transcript_biotype:protein_coding MMISRRPVSSPGRVEKYPPPFMGFLRSKSNGGSTSRSRSRSRGRSRASPLFVRRNKSAAAVTQEPSSPKVTCMGQVRVNRSKPKIKPESRENPTQRRCKWIRNASFYKKFAGKIKTLSFWPKWRLFSFSCSRRKLKEKDSPRSQLDRPATESVREIKEEIEEEEGENFESPKLFVSPATTPPVNALFLTRSRSAPYRSSSLAFRFWEENNQREVESQQNVRSEKTESEVPTEKINGVYDNVDRDEEELTELGFVRRPALTRSKSEPARIGEKMMVLLPDEEEDIEETNLYKDL >fgenesh1_pg.C_scaffold_6000197 pep chromosome:v.1.0:6:896019:896989:-1 gene:fgenesh1_pg.C_scaffold_6000197 transcript:fgenesh1_pg.C_scaffold_6000197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 7 [Source:UniProtKB/TrEMBL;Acc:D7M806] MATATYPPPPPYYRLYKDYSENPNSAPEPPPPIEGTYVCFGGNYTTEDVLPSLEEQGVPQLYPKDSNVDYKKELRSLNRELQLHILELADVLVDRPSQYAKRIGEISSIFKNLHHLLNSLRPHQARATLIHIMELQIQRRKQAVEDIKRRREEAQRLLKDAYVTLDGQ >fgenesh1_pg.C_scaffold_6000206 pep chromosome:v.1.0:6:941600:941923:1 gene:fgenesh1_pg.C_scaffold_6000206 transcript:fgenesh1_pg.C_scaffold_6000206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7I8] MKILRLMRLTKSIWDANLRLTKSISSSNSVFYGFNVVKVNTLNMDGKKKSKVIRVSFVEEEDDKKYHHWLDRKEKWEIAVMVKVKIVVVVKELILRRGLVLRRRRRE >fgenesh1_pg.C_scaffold_6000224 pep chromosome:v.1.0:6:1032057:1033392:-1 gene:fgenesh1_pg.C_scaffold_6000224 transcript:fgenesh1_pg.C_scaffold_6000224 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLDLGQPLLHFSIDGKGFMAKDRSYWSHRQQRPKLLRKVLYQVWDACWAIAPARHLDALFRNHGLLGAREELSIQEIIDRMPRGALENRRLTNFDALINILKEGTVLESENPLNYGFAEESTEAANVRMYKALEVNLYKSEECDDGDYDKLLEKSIRNSPIAARLVLVNKDYEAIKGKSVYLPDALAPEDAGHLLLLTGFGVDANGIEFWEAQDSYGRKHGDGGFIRIARKQNLISDFFVMEIETEPET >fgenesh1_pg.C_scaffold_6000231 pep chromosome:v.1.0:6:1059369:1059812:-1 gene:fgenesh1_pg.C_scaffold_6000231 transcript:fgenesh1_pg.C_scaffold_6000231 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQMETHNTENVNDFIVDLSHVKLVNWIFKTHPETAVKVKLQNQQLRTTCMNLLVGIIQKLYHKPLHDLSESELSKVSEDLSDLTKVGFDLQWLSSKLGKVCLDRKNHHASEARIGEIEQQVKKLEVMRSDLKADLEEEKTKLKRL >fgenesh1_pg.C_scaffold_6000234 pep chromosome:v.1.0:6:1070712:1071175:-1 gene:fgenesh1_pg.C_scaffold_6000234 transcript:fgenesh1_pg.C_scaffold_6000234 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDNHGSSSCPSKFTSLYIANLDAQVSEEMLILMFSDFGKIRRSVLAKDFRGESRGFAFIVFENADSAGRAMLHMNGRLIGQKILYVERTPKVEEGRDIW >fgenesh1_pg.C_scaffold_6000249 pep chromosome:v.1.0:6:1159415:1161262:1 gene:fgenesh1_pg.C_scaffold_6000249 transcript:fgenesh1_pg.C_scaffold_6000249 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGVEVKNGTPLHIDPGVDMLVHISQVALGENESNVTEPIQLYVTVGQDKLLIGTLSHERFPQLATEIVLERNFALSHTWKNGSVFFSGYKVDASDDPYPLFFVDEYHLGNCCFTLFLTSLTLYLTVTDNTTDAELEAAGYRAAPKSAAKQVNFQLPNEDVKAKQDDEADDSEEESSDDDENSNSEDSGDEEVAAAVGSEEDDEDDSSDDEEDDSSEEETPKKPEEPKKRSAEANSSKNPASNKKAKFVTPQKTETKKPHVHVATPHPSKQGGNNSGGSSIGEKAKQQQTPKSAGAFGCKSCSRTFTSEMGLQSHTKAKHSAAA >fgenesh1_pg.C_scaffold_6000250 pep chromosome:v.1.0:6:1162171:1163724:1 gene:fgenesh1_pg.C_scaffold_6000250 transcript:fgenesh1_pg.C_scaffold_6000250 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSFEPEEEEERQTRQYIDVADSSRVSQNESENQRRSSESVCSSSSSGLQEDKESLCSICMEVWTNGGEHQVSCLPCGHLYGFSCINKWLQHRPSSGKCPQCNRACSLKDVVKIYGSKRIALLEEKTASLSNKEAQWREIEAELRLEVNNLKKKIYQERHGHEPSYSFKHKGEVLVNGGRIFDIDGGRQILLLARRLSGSGGTFVLTQMNLHSGEIEDDILLPRTTRAIKDLRLSPHNNGLAVFGTLGKKLSVISLDSHNTVLSYDHLPAAPWSCSWDLNNCHHVYAGLQNGMVLVFDMRQTTGPLASLAGVTSNPVHSIHHLSANSTPTSDVRALLSASSTGLCQWNISGSEGWSTLVSVTRNLGICIASSYCPRSNHVVASYKRRVESSEDSNGVEGFHVCLKKRGDGSYSQKQSSTQPIVDSIGLPRTEIIDFGKERSQLFASYEESTRELILQDPWSFAVSQRFALSSHLPLQDVKYANLNGSGLLGLLTDDRLQFLRSESP >fgenesh1_pg.C_scaffold_6000309 pep chromosome:v.1.0:6:1472235:1472768:1 gene:fgenesh1_pg.C_scaffold_6000309 transcript:fgenesh1_pg.C_scaffold_6000309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXI8] MRDYNLAKAGMPGWRDVIGHNVFDNHPHMRFAVEENMQAQVINHFTRLTNAYDGLLNQFTVFMAALAAGEEPVADARAELAISAHIFISKLRSLRLIHAILYHRHVDENLPNYSLNVDGALMMWVLNPLR >fgenesh1_pg.C_scaffold_6000367 pep chromosome:v.1.0:6:1775055:1776661:1 gene:fgenesh1_pg.C_scaffold_6000367 transcript:fgenesh1_pg.C_scaffold_6000367 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSRRFQSLMPEIGEIVMVRVVNQDDVGADVRLLEYSDIPGRIQEVAEFVLHREEPAVVIAVSPLVVLSRDVGFDDRFLCSQRYLEGSFVIWVLRSVAIDLGRDLLSIQVETQWPSYELLTHRRVLKKLPVGVSPQVRESLIQNLSKIRLDTCRINDPTLLTPCPANQTREDCWAHALTSQLEANLKRSGKMPRDDYLKAEDLFLKTPSKDEGVIESITDASHALTVVGIESKKGVVYKAISISVENEVDLQPERWDTLLEDLAKDEVPVTVTVLWFPSYEHRNGDGIYKPTDDEWDTFKNNPDFYKKTLTHSMLLTGAGTDEIDGTPYFEMQDSNGDVDHGDRGYLRFARLPYSLVLEYVEMVVAEVSFATMFLLIFMTTLVV >fgenesh1_pg.C_scaffold_6000413 pep chromosome:v.1.0:6:2007459:2009679:-1 gene:fgenesh1_pg.C_scaffold_6000413 transcript:fgenesh1_pg.C_scaffold_6000413 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIENGYENFLASVIVDIKSYSGKDHLLPWIRGVKKMKESLPTEILNEKLPRFLQKCAQSFESDKRYKNDSRYIRVWLQLMDFVDDPRALLRTMEAKSIGTKRSLFYQAYALHYEKMKRFEEAEKMYRLGVQNLAEPMDELQKSYLQFVSRMERHKKKKTQMSELYFLLQRQEQKLSGKHHKVESQQHEPVLSFVDKAIVGKPEAENACHHGLVDPTINMKEAMNSINNMFKEPIEIAPLQRRSRQRSQNKENQGCNNSFEVFVDENLESETGTSRKAKTGTTQGSQPNQESFEIFIDDENADETADENDEAGKAFVFLLPRDHSPESSEEADRNSPPRARFREDTVVHRFVGSTISDEPEAVENACHHGLVDPTVNLKEAMKDINNMFGEPIDFVRPNRSKNRGKAVVETKPYPAAGFSILEDDDDEEAEQEHQGTNRPTQISPSKSDERELFEPTVCTKVALDEINKLFAMPMDF >fgenesh1_pg.C_scaffold_6000426 pep chromosome:v.1.0:6:2074427:2075032:-1 gene:fgenesh1_pg.C_scaffold_6000426 transcript:fgenesh1_pg.C_scaffold_6000426 gene_biotype:protein_coding transcript_biotype:protein_coding MANPAPNLECRMYESKYPDVDMAVMIQVKNIADMGAYVSLLEYNDIEGMILFSELSRRRIRSISSLIKVGRIEPVMVLRVDRERGYIDLSKRRVSDEDKGACEERFNKSKLVHSIMRHVAETVGVDLEELYVHIGSMNQAKKIPEDFYFHGSKF >fgenesh1_pg.C_scaffold_6000434 pep chromosome:v.1.0:6:2108787:2112226:1 gene:fgenesh1_pg.C_scaffold_6000434 transcript:fgenesh1_pg.C_scaffold_6000434 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDRLRNVFSKKGEITDVKLRRKSDGRSRQFAYIGFRTEQEAQDAITYFNKSFIDTIQISVEVAIPPPRKEGKVIENSEHFSNAYAKGDKKFKKKAEADHDPQLQEFLDQHTKLKFWSNDMCIPRSSGKEKVSPVIFSNGADEPNKAKTSLLDTTENKVGDDVSDMEYFKSRIYGILQDDYYETDSREDAIHAFPIDGDIEADRVDVLDTGRLFVRNLPYTATEEELTEHFSKFGEISEVHLVLDKETKNSRGMAFVLYQIPEYAKRAMEELDNKDFQGRLLHILPAKKPDKQVSVTSSMFRNNSSNLPKAFKQKREEQRKASEASGNTKAWNSFFMRPDTVVENIVRCYGVTKSEFLDRECDDPAVRLALGETKVIMETKEALAKAGVRVTSLEEFSARKGDVKNRSKHILLVKNLPFASSEKELAQMFGRFGSLDKIVLPRTKTLALFVFLKPAEARAAMKGMKYKRYKDAPLYLEWAPEDILEPKALPDNNEKTSDVEENDVRRLNLDQQVGIDLDITESNVLYVKNLNFNTTDESLRKHLTELVKQGKILSVKIKKNGKSRPRSSGYGFVEFDSVETATSVYRDLQGTTFLDRHKLILRFSENKRTFEATKQELRQLCSPFGQIKRVGLPEKNPGRYAGYGFIVFGTKQEALNAKKALSNTHFYGRPLVLQWARDDKRKSSAAKYVQ >fgenesh1_pg.C_scaffold_6000438 pep chromosome:v.1.0:6:2126121:2126816:1 gene:fgenesh1_pg.C_scaffold_6000438 transcript:fgenesh1_pg.C_scaffold_6000438 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGFTIKARGLCNNNNGGGTAGTKCGRWNPTVEQVKLLTDLFKAGLRTPSTDQIQKISTELSFYGKIESKNVFYWFQNHKARERQKRRRISTVDFDHRQDTDLSRPYRDNLRRRHQSPPKDIFEVCNVEEKVIETLQLFPLSKVERVRPNVTAASHNEYTREQAYTTAFSTFSTCGAEMEHPPLDLQLSFL >fgenesh1_pg.C_scaffold_6000466 pep chromosome:v.1.0:6:2248898:2250511:1 gene:fgenesh1_pg.C_scaffold_6000466 transcript:fgenesh1_pg.C_scaffold_6000466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 7 [Source:UniProtKB/TrEMBL;Acc:D7LZ37] MESSTTSYSVVSELEGTLLKNPKPFAYFMLVAFEASGLIRFATLLFLWPIIALLDVLGYRNGSLKLMIFVATAGLHESEIESVARAVLPKFYMDDVSMDAWKAFGSCDKRVVLTRMPRVMVERFAKDHLRADEVIGTEIVVNRFGYATGLIQETNVDQSVFNSVANLFVDRRPQLGLGRQITSDSPTFLSLCEEQVHAPVPSNYNGHIQRLHVQPLPVIFHDGRLVKLPTPATALLILLWIPFGIILAVIRIFVGFLLPLWAIPYVSRIFNTRFTVKGKPPAPATPGKPGVLFVCTHRTLMDPVVLSYVLGRSIPAVTYSISRLSEILSPIPTFRLTRIRDVDAEMIKKELSNGDLVVYPEGTTCREPFLLRFSALFAELTDNIVPVAMNYRVGFFHATTARGWKGLDPIFFFMNPRPVYEVTFLNQLEVEATCSSGKSPYDVANYVQRILAATLGFECTNFTRKDKYRVLAGNDGTVSYLSFLDQVKKVITTFKPFFH >fgenesh1_pg.C_scaffold_6000471 pep chromosome:v.1.0:6:2272275:2275121:-1 gene:fgenesh1_pg.C_scaffold_6000471 transcript:fgenesh1_pg.C_scaffold_6000471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LZ43] MESTTEQPRNISGSMQSPRSPSSQPYLSVSVTDPVKLGNGVQAYISYRVITKTNLPEYQGPEKIVIRRYSDFVWLRDRLFEKYKGIFIPPLPEKSAVEKFRFSAEFIEMRRAALDIFVNRIALHPELQQSEDLRTFLQADEETMDRFRFQETGIFKKPADLMQMFRDVQSKVSDAVLGKEKPVEETTADYEKLKHYIFELENHLAEAQKHAYRLVKRHRELGQSLLDFGKAVKLLGACEGEPTGKAFSDLGTKSELLSIKLQKEAQQVLMNFEEPLKDYVRYVQSIKATIAERGTAFKQHCELAETTKLKEINLDKLMLTRSDKVGEAEIEYREIKAESEEATRRFERIVKRMEEEIVRFQEQKTEEMGVAFHQFAKGQARLANSVADAWRSLLPKLEASSTV >fgenesh1_pg.C_scaffold_6000505 pep chromosome:v.1.0:6:2435130:2436214:-1 gene:fgenesh1_pg.C_scaffold_6000505 transcript:fgenesh1_pg.C_scaffold_6000505 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIIAILLLAISLLLFVSPIVQAIDVHYCEENAKYEVKVKEVDISPNPIAPGEPATFTISANTGHEISFGKLVIEVSYFGWHVHSETHDLCTETTCPIQTGDFLVAHSQVLPGYTPPGSYSLKMKMLDAQKKELTCIKFAFDIGLRSSVADI >fgenesh1_pg.C_scaffold_6000509 pep chromosome:v.1.0:6:2446195:2448319:-1 gene:fgenesh1_pg.C_scaffold_6000509 transcript:fgenesh1_pg.C_scaffold_6000509 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPKPPPIKFAYLPLGITITRKEVDIIKLTAQFVAVYGKYFRRELTMRVFGNPLFEFMKPTDSRNRFYTGLILGYSSVLMPSQKLKTKSDSTREVFDAFSQLLAQVPEKEEDGVEIALTDLHAYEYFANMFLSRSPLVKFPFFPKGITITRKEIDIIKLTAQFVAVYGKYFRVELMKKVVMNPQFEFVKSTDSKYSFYNRLVDGFSRVFKRSIKNGAGLGEILDGFFKLLDQALEKQEGVEMAMTDLHAFEFFANVEDDVLQPLPEQYLPMMMMPPLPPLILRPLGSQFTHLQGPSHMMKPTPPRPQNDLQSGQNNSNKAPASVATIEPPPEIRNFIQKTALFVSKNGLETARRFMELSMNDTRYRFVWSTHPYHAFYQLKLAEYCAQNQDRAQDIQPNVLRSFGVGFEFPEKEITLKELGIIKLTAQFMARYGMNFVRDLKKIVVENPKFEFLESTSSRFSFYNRLVIAYSRVLMPSKMLSKSDDFTATVLDGFFHCLQLEKQEEGVDMAMIDLLDYFASLEDEDYSANVLQPQHLSTQMQPASSEANFGLCNLKHLCNM >fgenesh1_pg.C_scaffold_6000550 pep chromosome:v.1.0:6:2631243:2634510:1 gene:fgenesh1_pg.C_scaffold_6000550 transcript:fgenesh1_pg.C_scaffold_6000550 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKKSRASTAEEDKIEMDNDGRSSEKSLYKVLGVERRATPQEIRKAYHKLALRLHPDKNQDDKEAKEKFQQLQKVISILGDEEKRAVYDQTGSVDDSDLSGDAFENLREFFQAMYKKVTDADIEEFEANYRGSESEKKDLLELFTKFKGKMNRLFCSMLCSDPNLDSHRFKDILDEAIAAGEVKSSKAYEKWAKNISETKPPTSPLMKRKRKNSAKDSETDLCLMIAKRQEERKGKVDSMFSSLISRYGGNAEAEPTEEEFEAAQRRIERRRPSKKSRGKIYLLSEVMGCCSSDCFVYFVLSVALAFMAISSTLRSPPDSEPTIPIASSSSSSSLSLNASNALRQSNFKAIATLLHISPEIFLSSSPNTTLFAIEDASFFNTSSLHPLFLKQLLQYHTLPHMLPMNDLLKKPQGTCLSTLLHHKSVQISTVDQESRTAEVNHVRISHPDMFLGDSLVIHGVLGPFSPLQPHSDHLLQTSLCQSDTRNKTSDNDEVPVNIDWIRIVQLLSSNGFVPFAIGLHSVLNRIVNDHHHKNLTGVTILATPNLVSLSSASPFLYEVVRHHILAQRLTNKDFASMPDKASVKTLDPYQDLTITRRNVNSSSGDFMISGVEIVDPDMFSSSEFVIHGISHTLEIPHV >fgenesh1_pg.C_scaffold_6000567 pep chromosome:v.1.0:6:2710590:2711641:-1 gene:fgenesh1_pg.C_scaffold_6000567 transcript:fgenesh1_pg.C_scaffold_6000567 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRGIGGDLRFLLESEESPTRMTFGRRSSVRPTSPPSPVVHGVFGSPKQRRWVAKSGRFSEKVNPVMNTKPATQAPIRRTASPSRAIKEQQEAVEGCKIGLSSVTELVEPQIVSMSRSLRKSRDFDFSPEALLSNNIDINNVNTLLLEDIQNFHQKSVNVSALSSSMSKACSIVEAVADLNSTTNQHQRTEVSFTSAAAKKVDLMEPSFEKYVTVKRGAAIPEDSHDCIVADP >fgenesh1_pg.C_scaffold_6000576 pep chromosome:v.1.0:6:2736666:2739630:1 gene:fgenesh1_pg.C_scaffold_6000576 transcript:fgenesh1_pg.C_scaffold_6000576 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSNSSSVDHRSTSASRAFTQKKLDDLKSLFSYLDSKSQSVLSYLNLNVSASLKDCCVEISEFIYQSLCNNGKGVFTRYQSWQLRIDGCSVNVTLSSGLILKSVFSTESSDAESSDYKEMVDVLLNATTSSKSDDVRPEYQVPHLLYEDSVGSDRLLLKEGIRLAYWRGSSSPRACRVEAAVSLFLTKTSIIQPGVIECWGIVQASNEQDTKHNTMKGTVSRLDQTPFVHKVSRKGFKITVPKEPNLKTAQRAARNRFKAQSAPEQIAKFSSTVNKAVQETSSASLPKKNTPRPQDFQTFHLRTSLRERERSSSAKIAPTDNSKHSLTLVHKPFINPIYNVKSVGSKNGRKVKASRSSKSNCQTSSKCGEAIDIKDENNLLRVFSSLKEFEAPMDTNFRDEPFIESLRKLCLTSDNDSVAVFIDLLLLLLSCTSST >fgenesh1_pg.C_scaffold_6000583 pep chromosome:v.1.0:6:2794423:2796941:-1 gene:fgenesh1_pg.C_scaffold_6000583 transcript:fgenesh1_pg.C_scaffold_6000583 gene_biotype:protein_coding transcript_biotype:protein_coding MEELATVGEFGGNLKRELKKLFLLALGIKPDDALAEEFSLVASKGPNRKVGDYQCNDIMHKLAPKPSQSDFTRLIVSNFPASEMVKSCSVTGCGFINVVISSDFMAKSIENMLINGIDTWAPTLPVKRAVVDFYSPSIAKQLHVGHLRSPIIGDTIARLLEYSKVQVVRRNHVGDWGTQLGLIIEYLFEDFPGLPNDAVITIEYIEALYIKSKAKFDEDPVFKEKAKRALVRLQRGSPVYVKAWAKICEISRTESNKELDLTAEAIGYSVVKFADLKNNRLSSYTFSYDQMLCDKGKTAVYLHYAHARICSIIRKSGKDIDELKKAGKLALDHADERALGLHLLRFSETVEEACTKLLPHLVCEYLYGLSEQCSKFYHNCQVIGSAEETSRLLLCEATGIVMRKCFHLLGITPVYKL >fgenesh1_pg.C_scaffold_6000596 pep chromosome:v.1.0:6:2876522:2879410:-1 gene:fgenesh1_pg.C_scaffold_6000596 transcript:fgenesh1_pg.C_scaffold_6000596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein [Source:UniProtKB/TrEMBL;Acc:D7M0I1] MVRPSIATCDPSARPCLATRGSLPRSRTCASLLFLCLLLGLTVFCTDANPSDSKLLCYSNITLTELPDKTMEAKCLQSMFELIDSGFFNETKIQEIAKGATEMNIPIYRANRKLVATKNGGLENPSPLVFNPSWNREVRRVQGKRFKYPSASGVKLPRDEEDIAFMSVLELGELIKTRQVTSEELVRIYLKQLKRYNHVLEAVVTYTAELAYKQAKEADDLLSQGTYLGPLHGIPYGLKDTIAVPRYRTTWGSTSFKDQVLDIEAWVYKRLKASGAVLVAKLVAGSMAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSITYPAARCGVTALRPTFGSVGRTGVMSISESLDKLGPFCRTAADCAVILDAIKGKDPDDLSSREIAFEDPFSVDITKLTVGYTKDADMKVVEVLRLKGVNMVPFELNYTVESVQGILNFTMDVEMLAHFDEWQRAGQDDLFEAHDQWPVELRRARVVTAVDYIQAQRARGKLIREVEKSFTVDAFIGNVTDWEKVCMGNLVGLPVLVIPTGFKNISDPPTNSCRRRTTINAGIYAPPEHDHIALALGMAYQSVTDAHRKRPPIDDLGPDDSIPNPPRALIPPRRLHI >fgenesh1_pg.C_scaffold_6000616 pep chromosome:v.1.0:6:2953847:2955868:-1 gene:fgenesh1_pg.C_scaffold_6000616 transcript:fgenesh1_pg.C_scaffold_6000616 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-repeat protein [Source:UniProtKB/TrEMBL;Acc:D7M0K6] MEFTEAYKQTGPCCFSPNSRYVAVANDYRLVIRDTFSFQVVQLFSCLDKISYIEWALDSEYILCGLYKKPMIQAWSLTQPEWTCKIDEGPAGISYARWSPDSRHILTTSEFQLRLTVWSLLNTACVHVQWPKHGSKGVAFNQDGKFAAICTRRDCKDYVNLLSCQSWEIMGSFAVDTLDLADLEWSPDDSSIVVWDSPLEYKVLIYSPDGRCLFKYQAYECGLGVKTVSWSPCGQFLAIGSYDQMLRVLNHLTWKTFAEFLHLSTVRAPCSAAIFKEIDEPLQLDMSELSLDENFMPSNYDASEGYISVRYEVMELPVAFPFQKPPADKPNPKQGVGLLAWSKDSQYICTRNDSMPTALWIWDMRRLEVAAILVQKEPIRAAVWDPTCTRLLLCTGSSHLYMWTPSGAFCVCNPLPGFSISDLKWNIDGSCLLLKDKDAFCCATVPSLPESSDYSSDD >fgenesh1_pg.C_scaffold_6000622 pep chromosome:v.1.0:6:2975912:2976836:-1 gene:fgenesh1_pg.C_scaffold_6000622 transcript:fgenesh1_pg.C_scaffold_6000622 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGEGWLRNWEKLTGSPSFDSKSFSYLQSSIIQDSRDIEFQNGCSDMDSEARNTLMAAADKCVSVEFLLFEEQASSLSYTQEKINRFLRCLSDLDNCSFQTCIPDGKSLHGLEKRWLQELKDDSGE >fgenesh1_pg.C_scaffold_6000635 pep chromosome:v.1.0:6:3056051:3058374:1 gene:fgenesh1_pg.C_scaffold_6000635 transcript:fgenesh1_pg.C_scaffold_6000635 gene_biotype:protein_coding transcript_biotype:protein_coding MAQETKRASIVVEGARRVCETDENFVCATLDWWPHDKCNYDQCPWGYSSVINMDLTRPLLTKAIQAFKPLRIRIGGSLQDQVTYDVGNLKTPCRPFQKMNSGLFGFSKGCLHMKRWDELNSFLTATGAVVTFGLNALRGRHKLRGKAWGGAWDHINTQDFINYTVSKGYVIDSWEFGFLVLGIGNELSGSGVGASVSAELYGKDLIVLKDVINKVYKNSRLHKPILVAPGGFYEQQWYTKLLQISGPGVVDVVTHHIYNLGSGNDPALVKKIMDPSYLSQVSKTFKDVNQTIQEHGRWASPWVGESGGAYNSGGRHVSDTFIDSFWYLDQLGMSARHNTKVYCRQTLVGGFYGLLEKGTFVPNPDYYSALLWHRLMGKGVLAVQTDGPPQLRVYAHCSKGRAGVTLLLINLSNQSDFTVSVSNGIKVVLNAESRKKKSLLDTLKRPFSWIGSKASDGYLNREEYHLKPENGELQSKTMILNGKSLKPMATGDIPSLEPVLRGVNSPLNVLPLSMSFIVLPNFDASACS >fgenesh1_pg.C_scaffold_6000644 pep chromosome:v.1.0:6:3123310:3125572:1 gene:fgenesh1_pg.C_scaffold_6000644 transcript:fgenesh1_pg.C_scaffold_6000644 gene_biotype:protein_coding transcript_biotype:protein_coding MAALILYLLSLLMAGHSSASWCVCKTGLSDSVLQKTLDYACGNGADCNPTHPKGSCFNPDNVRAHCNYAVNSFFQKKGQAAESCNFTGSATLTTTDPSYTGCAFPSSASGCSGSSSSTVTPGKNSPKGSNSITTFPGGNSPYTGTPSTGLLGGNVTDATGTGFNPDYSTESSGFALHYSNNLMFTGFCSLLMML >fgenesh1_pg.C_scaffold_6000650 pep chromosome:v.1.0:6:3142191:3143018:-1 gene:fgenesh1_pg.C_scaffold_6000650 transcript:fgenesh1_pg.C_scaffold_6000650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M180] MPLREIVSDFVEYFVVGDYSFKLKFGKILFEEHSKVKGEFDISLLEDEMSLLRNKSSSVSMKESHLARLNEIVDGWISYDLLEVSNDDKKMREAFLQIGEAKNAQMVLEREEAKKLGKLLGSPKTSLLQMYLMETMMNLMLGSHHRLGRKDSHVSQVVLLVSEVKVNSIRVKVYQISNVLGSLKSVYQSQVHVLKYLDRNISIGNILI >fgenesh1_pg.C_scaffold_6000656 pep chromosome:v.1.0:6:3184794:3185950:1 gene:fgenesh1_pg.C_scaffold_6000656 transcript:fgenesh1_pg.C_scaffold_6000656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M187] MESNLRRDDEGDEIHGKDATTASSALSSWKPRKLPASAHLLSFGGDDEQIALRVTTRKHNNEKDRVQGNTGGVGTTAAMKSAVKMLRPRCGFYTAEELLEDIKEAHWYRLSHPEDPHPYQDEIDAMRAIKKNIRPSPLPPCTSVVTFNGVRMNSSFEKRASVIFCDEKPTARDSTMKKRRKRSWMMDTLLPGISPSVDQNHAEDIEKALPL >fgenesh1_pg.C_scaffold_6000678 pep chromosome:v.1.0:6:3281433:3283754:1 gene:fgenesh1_pg.C_scaffold_6000678 transcript:fgenesh1_pg.C_scaffold_6000678 gene_biotype:protein_coding transcript_biotype:protein_coding MSERGKSSFESFLKSISLPVRVKGRSNFESFLKSISLPVRVKFHTEKRVGSSSSRGKEERVKKPHLRLGDIWSAYDEWSTNCVGVPLTLKDSWTIANQYYAPTLSAIQIFTKKPFVDDGSSSSSSSSSRSFGEDCHLYFEYNETMSLEAARPTLTMMFEELAKKHHGLNTLRTSDLSENSWFSITWSRGIQIPAVKTLNQYFLTYHSLTPVIPETIPKKTKVELPAFGVLTTKLDKVWIMPGTSDQEIINRLEESAASWLGKRMFSHSDFDMFMAEKSKDLPFSSGVVTGEW >fgenesh1_pg.C_scaffold_6000683 pep chromosome:v.1.0:6:3305399:3307046:-1 gene:fgenesh1_pg.C_scaffold_6000683 transcript:fgenesh1_pg.C_scaffold_6000683 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNFAFSPFQVSQTQPFSSQKRNLHTLVAVSANSDNLAGENNGGMSAVNKGSGTTARGRRLLKVREEKRKRDYDRLHDYPSWAKVLESACKDDEELRAVLGDSIGNPELMRKKVEERVRKKGKDFQKQKTGSVLSFKVNFRDFNPVDSFIWFELYGTPSDRDVDLIGSVIQAWYVMGRLGAFNTSNLQLANSSLEYDPLYDAEKGFKVMPSSFHDISDVEFQDNWGRVWVDLGTSDIFALDVLLNCLTVMSSEYLGIQQVVFGGKRMGDWEEGMTNPDFGFKYFKI >fgenesh1_pg.C_scaffold_6000701 pep chromosome:v.1.0:6:3407837:3413758:-1 gene:fgenesh1_pg.C_scaffold_6000701 transcript:fgenesh1_pg.C_scaffold_6000701 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVPKRSHEEGVTHPSSSSSAAKYPHEDSGSYPKSPHQPVTPPPAQVHHHHQQQPHQHPQPQSQPHLHTLPHPHSHSHSHSPLAAAAASAPYEVESRTVVKVARSEPRDGERRSPLPLVYRSPSLPTTVSSSDPHLTHAPVPMEPRDGTKDGREIRVENRENRSDGREIYGETKREIQGPKSDRDVKFDRSVDDFSGKGNTGSYTRNDGSEMYGETKREIQGPKSDRDAKFERPGDDFSGKSNTGSYTRDTKFDRENQNYNEQKAEIKMEKDGHAHLAWKEQKDYHRGKRVAEGSTANVDPWVVSRGNPQGPTEVGPKDLSAPVEGPQLEGRETVGENKVDAKNEDRFKDKDKKRKELKHREWGDRDKDRNDRRGSVLIGSVMSEPKEIGREERESDRWERERMEQKDRERNKEKDKDHIKREPRTGAEKEISQNEKELGEASAKPSEQEYVAPEQKKQNEPDNWEKDERESKEKRRERDGATEREKDAFNYGVQQRKRALRPRGSPQTTNRDHVLSRSQDNDGVQGNHSFLYSQERMELHCLENNSKSEVSIVVYKVGECMQELIKLWKEYDLSHPDKSGDFANNGPTLEVRIPAEHVTATNRQVRGGQLWGTDIYTDDSDLVAGYCRPTASPPPPTMQELRTTIRVLPSQDYYTSKLRNNVRSRAWGAGIGCSYRVERCYILKKGGGTIELEPSLTHSSTVEPTLAPMAVERSMTTRAAASEEKRRERDGDSEAERAEKRSRISEKESEDGCLEGEGATEREKDAFNYGVQQRKRALRPRGSPQTTNREHVHSRSQDNEGALSLEMSMQVRGGQLWGTDIYTDDSDLVAGYCRPTASPPPPTMQELRTTIRVLPSQDYYTSKLRNNVRSRAWGAGIGCSYRVERCYILKKGGGTIELEPSLTHSSTVEPTLAPMAMTTRAAASNALRQQRIKYSISIVADKGLKKPLFTSARLKKGEVLYLETHSCRYELCFAGEKTIKAIQASQQQSSHEAMETDNNNNKSQNHLTNGDKTDSDNSLIDVFRWSRCKKPLPQKLMRSIGVPLPADHIEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLSPN >fgenesh1_pg.C_scaffold_6000712 pep chromosome:v.1.0:6:3545989:3546834:1 gene:fgenesh1_pg.C_scaffold_6000712 transcript:fgenesh1_pg.C_scaffold_6000712 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSVSTSSWAAIDSANRFPVSNVMGESSGSSSSLAAINSSNIGFQLLKKHGWKEGTGLGITEQGILVPVQAEPKHNKRGLGAKQPAKRKPAQPQAKDEEVSKQSKKLSKKMRKMMEHEKHLQEKEFERAFCREFWPDNV >fgenesh1_pg.C_scaffold_6000719 pep chromosome:v.1.0:6:3579666:3582745:1 gene:fgenesh1_pg.C_scaffold_6000719 transcript:fgenesh1_pg.C_scaffold_6000719 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 ribonucleoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7M1G1] MGGEKRKSSLKNLANAKKRKGPHLPSSILKTIANEKRPLNSDEGDDEIDSDYGDDLYEYEEGVPEEESRKNKRYDRVDNYEFELPQDFEDENVESEDDDDDRHTRMLKDVTGLPTAAFQGDSKSKRVLITEPYPESEFNPTRDVLEGKSLTTIDDLMEPLQGLPGYSQLRDMIFRMRKDTQSLVHAPLTKQEREKLERNVVKELVDKVFNNWVPLVKRNREAPTVYFNQDVNVGYSTVGAIASQFQPRTGFEMKMASLLDDNEIWEAHKEDGARLLEFNEASFVSMEDHIKRRNHLAKMRSMLFRVDLKSKRIKKIKSKTYHRLKNKDLNKSGVGAFMDPEMAKEEAKMQEVRRVKERMTLKHKNAGPWAKRMLRLGLNRKYDGTRAAIAEQLQINATLSRKMNSTKDESSSDEEELNDGSDQDTCKLIAEAKEKTLRTLEDDEVPISGLMSLPFMARAVKKKNEEANEEDKRGLEDYEEFKNSGGAETSKKSTNVGGRRVFGAEAPKESKKESDNFFNNSDSSDNDMDDNDLEAVKDNASPARNTKAIMETEVPSPKETKDEESDYDSESEAEEMVDGILTCCSKETYEIPCQAELVRRAFAGDDVVGEFDKDKQEVLNQEVPEPEKPVQVPGWGQWTNTQNKRGLPSRMVREHEDAKKTREQALKKRKDGSLRHVILSEKVDKKAEKLQTTSLPYPYTSKEVFEHSMRMPIGPEFNPTTIVGDLNRPEVVKKAGMIIKPVKFEEVDPNEKVEDEHARSHQKQKPKKGRTLNQ >fgenesh1_pg.C_scaffold_6000728 pep chromosome:v.1.0:6:3618125:3618612:-1 gene:fgenesh1_pg.C_scaffold_6000728 transcript:fgenesh1_pg.C_scaffold_6000728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1Z8] MVMVTVWCGGDGDVGGGWDNGDGGGGSSGGGDCHGGCGGGGSDSDSGDGDVVVIAVVVVTLCRNSGGGDVIVVAVVVVVTKMMVVVVVVMVRHGVRGDGSGDYNNVAMVVVV >fgenesh1_pg.C_scaffold_6000737 pep chromosome:v.1.0:6:3656837:3659894:-1 gene:fgenesh1_pg.C_scaffold_6000737 transcript:fgenesh1_pg.C_scaffold_6000737 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLFHVFNCPMPQTDDDKKASLDRYFNAYDENVNSRNARTFKKGVGNERSHRTVSTSGIPLASMPIVTVPCTSVVDDALPDELAKKLKEPYAKTDENLTASLAAPEICPFAPVDKYVFMQELSDLRSDFRYFMQENGSLIKSIEDQMKEINERHASAILERRTAAADDEMQTNQPVQRREVEQRAAAPQKRRARFENKRASAEEVDGYSLIIEGDSSTDESDSETSAYKETRDRLLQRADKILSVASVVYSQLSRVKTIFKRCARDYPSACRSAYKCLTVPSIYSPYVRLELLRWDPLHQHVDFSDMNWHGLLFDYEIGNGFAPVCTDPNFVSELVEYVAIPILHHRIVRCWDILSTRETRNAVAATSLVASYVYSSSKALAKLSVALRARLVEAITAISVPTWDPQVSKAVPNAPQVAAYRFGTSVRLMRNICMWKDMMELPVLEKLALSDLLFGKVLPHVRSIASESNMHDAVTRTEMIVASLSGVWTGPSVTRTHSRLLQPLVDCTLTLGRILEKRLASGLVDTETTGLAPRLKKILVELHEHGHAGKIVRAFNLKEAVQI >fgenesh1_pg.C_scaffold_6000739 pep chromosome:v.1.0:6:3668174:3669429:-1 gene:fgenesh1_pg.C_scaffold_6000739 transcript:fgenesh1_pg.C_scaffold_6000739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M213] MELATTELLAIGSPVSLFSTGSGLSSSVSLPLLLARSFITVRSLFPGGSPFAVWVVDLEVRFWPTIATTTFLMTACLFSDTSISPPHPIPQVHSSSPPSDSSTLERHQILVELVARVLWNAGLELSLALVAFGSTFVLSCGIHIALMRSFTTVCRFCFDIAMSMFALMAFSILCWQLGKRTPFICNFLVNMVHLDFHSPHFFLKEFTILPNTSLLFSGIVIGSFVLKTFLFGVEARMSIFPHLDSTRLVIEVGLLLDWDLTLSDHFNSDSVLPCIEVPVRLVLIWYVTKGFVPISSIGNYVFVDVIWEVQSLFRAMLPHFGTHCLFVFPKVPLIWSGLDNQAFPVLQGSSSRLLASSALVAELVTL >fgenesh1_pg.C_scaffold_6000741 pep chromosome:v.1.0:6:3684055:3685838:1 gene:fgenesh1_pg.C_scaffold_6000741 transcript:fgenesh1_pg.C_scaffold_6000741 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent protein deacylase [Source:UniProtKB/TrEMBL;Acc:D7M216] MYRPLQSGGNLVMLFKGCRRFVRTTCRVSIPGGSLGNESKAPPRFLRDKKIVPDADPPNMEDINKLYRLFEQSSRLTILTGAGISTECGIPDYRSPNGAYSSGFKPITHQEFTRSSRARRRYWARSYAGWRRFTAAQPGPAHTALASLEKAGRINFMITQNVDRLHHRAGSDPLELHGTVYTVMCLDCGFSFSRDLFQDQLKAINPKASWAEAIESIDHGDPGSEKSFGMKQRPDGDIEIDEKFWEEGFHIPVCEKCKGVLKPDVIFFGDNIPKERATQAMEVAKQSDAFLVLGSSLMTMSAFRLVRAAHEAGAMTAIVNIGETRADDIVPLKINARVGEILHRVLDVGSLSVPAH >fgenesh1_pg.C_scaffold_6000748 pep chromosome:v.1.0:6:3696785:3699383:-1 gene:fgenesh1_pg.C_scaffold_6000748 transcript:fgenesh1_pg.C_scaffold_6000748 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLRSSPSLKSTFLNILRQNLGFGSRSHVTRHIRQNLPHDPPLRGSQNPIRRFCNTMAEPETLSSFGQHEDARNHQVMDFPGGKVAFTPEIRFISESDSERVPCYRVLDDNGQLITNSQFVQVSEEVAVKIYSDMVTLQIMDNIFYEAQRQGRLSFYATAIGEEAINIASAAALTPQDVIFPQYREPGVLLWRGFTLQEFANQCFGNKSDYGKGRQMPVHYGSNKLNYFTVSATIATQLPNAVGAAYSLKMDRKDACAVTYFGDGGTSEGDFHAALNFAAVMEAPVIFICRNNGWAISTPTSDQFRSDGVVVKGRAYGIRSIRVDGNDALAMYSAVHTARGMAISEQRPILIEALTYRVGHHSTSDDSTRYRSADEIEWWNKARNPLSRFRTWIESNGWWSDEAESDLRSRIKKEMLEALRVAEKTEKPNLKNMFSDVYDVPPSNLMEQELLVRQTIDSHPQDYPTDVPL >fgenesh1_pg.C_scaffold_6000809 pep chromosome:v.1.0:6:3985035:3987085:-1 gene:fgenesh1_pg.C_scaffold_6000809 transcript:fgenesh1_pg.C_scaffold_6000809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M2T3] MADNPVEKKSRCRFLGFVFNRRGLWSKKCPADNSSHKSTMSSSNASTATATTANIQFTKSPCTEFNPRKLQENKVLPEPVEIQNQVQRPISKPSSHQYPNNHQLGSNGNNQPSSNQGPVQQQQARKVPREAIGLSGELESMIMDNQKAKGNNCSMVRASSSNVMLFGNLGNLKQPGASGGNQTTIQNNGYGNTGGGYGVKKTMEEERKTSVTPIPASNNQDQSGSLCRAISTRMDPETLKIMGNEDYKNGNFAEALALYEAAISIDPKKASYRSNKSAALTALGRILEAVFECREAIRIDPHYHRAHHRLANLYLRLGEVEKSIYHFKHAGPEADQEDISKAKMVQTHLNKCTEAKRLRDWNTLIKETENTITTGADAAPQVYALQAEAFLKTYRHQEADDALSRCPVFDGEMSTKYYGPIGYAGFLVIWAQVHMASGRFVEAVEAIQRAGKLDGNNREVSMVLRRAQAVTAARSRGNEFFKARRFQEACAAYGEGLDHDSRNSVLLCNRAACLSKIGQFDRAVEDTSAALAVRPSYTKARLRRADCNAKLGNWESAIGDYEILKKETPEDEEVTRGLSEAQKQLVKRRGHDS >fgenesh1_pg.C_scaffold_6000810 pep chromosome:v.1.0:6:3989634:3991911:1 gene:fgenesh1_pg.C_scaffold_6000810 transcript:fgenesh1_pg.C_scaffold_6000810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:D7M2T4] MSATQNVVVSETTRSSIIPNNNSSSQKLPPGLISISKKKLLKNIDIINGGGQRINAWVDSMRASSPTHLKSLPSSISSQQQLNSWIMQHPSALEMFEQIMEASRGKQIVMFLDYDGTLSPIVDDPDKAFMSSKMRRTVKKLAKCFPTAIVTGRCIDKVYNFVKLAELYYAGSHGMDIKGPAKGFSRHKRVKQSLLYQPANDYLPMINEVYRQLLEKTKSTPGAKVENHKFCASVHFRCVDEKKWSELVLQVRSVLKEFPTLKLTQGRKVFEIRPMIEWDKGKALEFLLEALGFGSTNNVFPVYIGDDRTDEDAFKMLRDRGEGFGILVSKFPKDTDASYSLQDPSEASDGFLAKIGGMETNAAKNVKG >fgenesh1_pg.C_scaffold_6000817 pep chromosome:v.1.0:6:4047912:4049575:-1 gene:fgenesh1_pg.C_scaffold_6000817 transcript:fgenesh1_pg.C_scaffold_6000817 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRCRRGRESNKSPERLIRSLNHHHQDEEELEDEVKTKKPIFRRVQVVYYLTRNGHLEHPHFIEVISPVNQPLRLRDVMNRLTVLRGKCMPSQYAWSCKRSYRNGFVWNDLAENDVIYPSDCAEYVLKGSEITDKFQEVHVNRPLSGSIEETPKSRLHRSKLKPQNRTTSFDDSELYVEEDGEYELYEEKTSYTSSTTPKSRCSRGLSTETIESTEQKPILVKKEQDLQVRSHLSELTRSNPVVKPCRLDVSTRVEDGDPVEPGSGRGSMWLQMISCGHIAATKYYAPSVMNPRQKEENLRKGVLCKNIVKKTVVDDEREMIRFMSENPRFGNPQAEEKEYFSGSIVESVSQERVTAEPSLRRSNSFNEERSKIMEMAKETIKKEEERSIVKVKCIPRTCLMSSSKQIKK >fgenesh1_pg.C_scaffold_6000824 pep chromosome:v.1.0:6:4076073:4077864:-1 gene:fgenesh1_pg.C_scaffold_6000824 transcript:fgenesh1_pg.C_scaffold_6000824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:D7M2U8] MASLKIPATIPLPEEDSEQLYKAFKGWGTNEGMIISILAHRNAAQRSFIRAVYAANYNKDLLKELDREFSGDFERAVMLWTLDPTERDAYLANESTKMFTKNIWVLVEIACTRPSLEFFKAKQAYHARYKTSLEEDVAYHTSGDVRKLLVPLVSTFRYDGNADEVNVKLARSEAKILHKKITEKAYTDEDLIRILTTRSKAQINATLNHFKDKFGSSINKFLKEDSNDDYVQLLKTAIKCLTYPEKYFEKVLRRAINKMGTDEWALTRVVTTRAEVDMERIKEEYLRRNSVPLDRAIAQDTSGDYKNMLLALLGHDHA >fgenesh1_pg.C_scaffold_6000838 pep chromosome:v.1.0:6:4135690:4136610:1 gene:fgenesh1_pg.C_scaffold_6000838 transcript:fgenesh1_pg.C_scaffold_6000838 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNNRKTLFCYLPVKDFKSPIFIPCFQTSPPPPPPPPQRELSLLLPTSICVVGSVILFLFLVVFLYLYITQPRWNSAATVTPGDTGQRENEDETEERDHSDFHHVWRIPTVGLHRSAINSITVVGFKKGEGIIDGTECSVCLNEFEEDESLRLLPKCSHAFHINCIDTWLLSHKNCPLCRAPVLLLTEPPHQETETNHQPDSESSNDLSGGGQESSRSRRNHNIILPRAQSDLANHCGSGRVENVRRSSSIGGSLSLCDGNNNATRSGRQFYTSFSANFFSSSRRLRSQDPILPNRTPSVSGNFS >fgenesh1_pg.C_scaffold_6000919 pep chromosome:v.1.0:6:4513683:4516349:-1 gene:fgenesh1_pg.C_scaffold_6000919 transcript:fgenesh1_pg.C_scaffold_6000919 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSSSMHPNANKENTSTSDVQENVVRITRSRAKKAMGGGVSIPPTKPTFKQQKRHAVLKDVSNTSADNVYSELLKGGNIKANRKCLKEPKKAAKEGANIAMEILVDMHTEKSKLAEDLSKIRMAEAQDVCLSNSNDEEITEQQEDGSGVMEFLQVVDIDSNVEDPQCCSLYAADIYNNIHVAELQQRPLANYMELVQRDIDPDMRKILIDWLVEVSDDYKLVPDTLYLTVNLIDRFLSNSYIERQRLQLLGVSCMLIASKYEELCAPGVEEFCFITANTYTRPEVLSMEIQILNFVHFKLSVPTTKTFLRRFIKAAQASYKVPFIELEFLANYLAELTLVEYTFLRFLPSLIAASAVFLARWTLDQTDHPWNPTLQHYTRYEVAELKSTVLAMEDLQLNTSGCTLAATREKYNQPKFKSVAKLTSPKRVTSLFSR >fgenesh1_pg.C_scaffold_6000931 pep chromosome:v.1.0:6:4565125:4568893:1 gene:fgenesh1_pg.C_scaffold_6000931 transcript:fgenesh1_pg.C_scaffold_6000931 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFNGSMQLAGKRKSPPETTLGGSASEVPNKHYRPWLQQLSPASNGILHIPTNMLSQKTLHSLMHGKKVTQTESPLQKSVKPVVSKKQQIPPQRSVKAMDEVNESVRSKMRESLASALALVQKDDESPKGKENIGTVETPVITQENTQSFQPVSPASITVPIGEGIMSEMPTGVESSVQKDNLGETKDYGTGGEKSYQDPKLLASKIEMELYKLFGGVNKKYRERGRSLLFNLKDKNNPDLRERVMSEEISAERLCSMTAEELASKELSQWRQAKAEEMAKMVVLQDTDIDVRSLVRKTHKGEFQVEIEPVDRGTVDVSGGIMSRSKRRPKARSHSVKTTLKDEAAKADSEKSRSTPPSTEEIDPMQGLGIDDEFKDVGFLPPIVSLDEFMESLDSEPPFESPHGNSEMQVSLSEKSDSEAGSDSKSPKGSPKEPSDKSLPEPKPEKIDEVSPKVDANVKVDDDVARLEKTSALSDDKGERAWDGILQLNMSSVVPVTGIFKSGEKAETSEWPAMVEVKGRVRLSGFGKFIQELPKSRTRALMVMYLACKDGISESQRGSLIEVIDSYVADQRVGYAEPASGVELYLCPTRGETLDLLSKVISQEQLDELKSLDIGLVGVVVWRRAVVPKPSSGSRRQHSSSSFLGSKTSVLPVNKKQRMHVSEKPLVVASIRNRNHGYGGEGVKHVAAGDDDDDVPPGFGPVASRDDDDLPEFNFSSSVVPVTSPQPLPAQSKSLDQVRKLIHKYGKSASIYDDDDDEDDIPEWQPHVPSHQLPPPPPPPPGFRPEVFRPPQDGWWDNQNGGSGQHYERNQSRNRGF >fgenesh1_pg.C_scaffold_6000950 pep chromosome:v.1.0:6:4696538:4697612:-1 gene:fgenesh1_pg.C_scaffold_6000950 transcript:fgenesh1_pg.C_scaffold_6000950 gene_biotype:protein_coding transcript_biotype:protein_coding MESVGSNQISPFVSNRDQRHFFAQPVADRILRALRHRIRLLHRPNAGTFHVLGATCNVYTVTLMATPTCTCPDRKKPCKHILFVLIRVLGIPLDDKCLRQRRLRPCLLFRLFSAPTRPDCLASFRLQQRFLQLFSAATSQPGYTTNASSTSKMENEADEEPATCPICLDDIDATKNVNGENGGGEEKEIVVVKCRVCKNKVHEECMLAWRRSRGRRPGICVVCRARWPANRSSKNPNVGGNYENCHGNCYLNLAPYVDEEVEDGVGTSQRPC >fgenesh1_pg.C_scaffold_6000970 pep chromosome:v.1.0:6:4789893:4790999:-1 gene:fgenesh1_pg.C_scaffold_6000970 transcript:fgenesh1_pg.C_scaffold_6000970 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVLGHFSPITSSRSPPSRHRRFLHHNLFPKIPLPSSLIKFGAENSEPQPPPPLPETDCPVPPEQQPINEYQSLSTSFPFSWASGDLVEYSTRLFFTGASFAFFVGLPVSWFGSVGPEYEPVKRILAASSSGIFVVTLAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQVWVKTPEVLSRDRLLGSFSVKPVLARLKNTLVILGLSLILVINLGDSPIATSYRTYKDPRDRSSLPIPGAYNDETARTFEPDAFCGEPSSDLL >fgenesh1_pg.C_scaffold_6000979 pep chromosome:v.1.0:6:4833208:4836272:1 gene:fgenesh1_pg.C_scaffold_6000979 transcript:fgenesh1_pg.C_scaffold_6000979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7M4E3] MMSSQVSWWVFWVISAVCILNVEFNIVEGGAYEETKVHIVYLGEKEHNDPELVTASHLRMLESLLGSKKDASESIVHSYRHGFSGFAAHLTDSQAKKISEHPDVVQVTPNSFYELQTTRTFDYLGLSQSTPKGLLHKAKMGKDIIIGVLDSGVWPESQSFSDKGLGPIPKRWKGMCVDGEDFDSKKHCNKKLIGARYYMDSLFRRNKTDSRIPDTEYMSAREGLPHGTHVASTAGGSFVSNVSDNGFGVGTIRGGAPSARIAVYKVCWQRVDGTCASADIIKAMDDAIADGVDLITISIGRPNPVLTEVDMYNQISYGAFHAVANGIPVLSAGGNFGPGAYTVQNIAPWIITVAATTLDRWYPTPLTLGNNVTLMARTSYKGNEIQGDLVYVYSADEMTSATKGKVVLSFTTGSEESQSDYVPKLLEVEAKAVIIAGKRDDIIKVSEGLPVIMVDYEHGSTIWKYISITRSPTIKISSAIALNGPLVATKVADFSGRGPNSISPYVLKPDVAAPGVAIVAASTPEDMGTNEGVAAQSGTSMATPVVAGLVALLRAVHPDWSPAALKSALITTASTTDPYGEPIFSEGMTRKLADPFDFGGGLVNPNKAADPGLVYDIGAEDYRLFLCASDYDERQITKISKTNTPYRCPSPRPSMLDLNLPSITIPFLKEDVTLTRTVTNVGPVDSVYKLVVRPPLGVKISVTPKTLLFNSNVKKLSFKVIVSTTHKSNSIYYFGSLTWTDGSHKVTIPLSVRTQMLMYFDQ >fgenesh1_pg.C_scaffold_6000992 pep chromosome:v.1.0:6:4912627:4916751:1 gene:fgenesh1_pg.C_scaffold_6000992 transcript:fgenesh1_pg.C_scaffold_6000992 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSVVAKMQSNEEFVKFLGKGAYGSVDLIKYTKTDGSSFLAAVKTSYAEILEDYNALKREIQILSELKGYPNIVICYEDDLEEGFNDHGHQVYKLLLEYANEGSLRSFMENYTDKKLPDPMIRDFTRMILEGLVSIHSHGYVHCDLKSDNLLIFSRKDASSYELKISDFGNCREVGEVPDHWEIDFPFVGTPIYMPPESLIDGAAKKTLDLWSLGCLVLEMYTGEKPWAGVGIVDLVNFLSDGEAPDIPECVPCDAREFIETCFAREHEKRGNASELMLHPFLCPEEKTEKIVVAVAKEKKTLLVKLKLRIKRASKITMDIREKPLKLKMFPSKPTQLKKLLSKLLRFYYVKIWVSFNFAEFSGSSTMVTRLRLVSRSSRYATVKFTESFSASCSCRLFSASTDPESESQPAQAPPTNPVTGDEERHEKLRNLRVLLQQNRIETARGVLYSLLRSDSAPFTSPKELFSAFSLSSPSLKHDFSYLLLSVLLNESKMISEAADLFFALRNEGIFPSSDSLTLLLDHLVKTKQFRVTINVFLNILESDFRPSKFMYGKAIQAAVKLSDVGKGLELFNRMKHDRISPTVFIYNVLIDGLCKVRQMKDAEQLFDEMLARRLLPSLITYNTLIDGYCKDGNPEKSFKVRERMKADNIEPSLITFNTLLKGLFKAGMVEDAENVLTEMKDQGFVPDAFTFSILFDGYSSNDKADAALGVYETAVDSGLKMNAYTCSILLNALCKEGQIEKAEEILGREMAKGLVPNEVLYNTMIDGYSRKGDLVGARMKIDAMEKQGMKPDHLAYNCLIRTFCELGDMENAEQEVNKMKLKGVSPSVETYNILIGGYGRKYEFDKCFDLLKEMEDNGTMPNVVSYGTLINCLCKGSKLLEAQIVKRDMEDRGVSPNVRIYNMLIDGCCSKGKIEDAFRFSEEMFKKGIELNLVTYNTLIDGLSMNGKLAEAEDMLLEISRKGLKPDVFTYNSLISGYRFAGNVQRCIALYEEMKTSGIKPTLKTYHLLISLCTKEGIELTKKIFGEMSLQPDLLVYNGVLHCYAVHGDMDKAFNLQKQMIEKSIGLDKTTYNSLILGQLKVGKLCEVRSLIDEMKAREMEPEADTYDIIVKGHCEMKDYMGAYVWYREMQEKGLLLDVCIGDELVSGLKEEWRSKEAENVISEMNGRKLGDVIVDEDLSATERSFENQECVLQDKYF >fgenesh1_pg.C_scaffold_6001002 pep chromosome:v.1.0:6:4947194:4950712:1 gene:fgenesh1_pg.C_scaffold_6001002 transcript:fgenesh1_pg.C_scaffold_6001002 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFDGFFSYPPGLSLKELGIIKLTAQFVVRYGPDFLLPFKRTVDKNPLFDFLKPTDTRLLFYVGLVKAYAQVLRSTFDCVETVLQKFLHHLQLEKLEEGVDVALIDLLAFTSGVDCFTYMEDDYFPISMPPPDPLSLILKSRGMLVRTDYHGAPAAHDCVAAAKPSLEFSFLGPPPTPLPPAWITLKELGYIKLTAMFSARYGMDLLKDVVSSMFEFINPTHSWFYLFNLLVDAYSRVIRRPLREEPKTCSDSVVECFSVHLQVADLWDGVEKGMIDLHAFVGGVDCFAHMEDEEYSDRLPPPVHLSVLMNQLKQMQPPLGSQLTEYRPHSQDGPQDIQPDAPATQLDLVPLRDPPLEPRNRAFTLPAGITLKELGIIKFTALFVARLVHAYSRVLRLSKKMRKIDFCHETVLNTFFHRLQLEKLDKGVEMAMIDLHAFVDGVDCFARMEDEEYAANLPPPEHLSMWMKQLKHLQPPLGSQPLRPHDTASTNTALISLKEIGICMLAAQFVARYGMDLMEIVVANPQFEFMEPTNSSFYSFNKLVDAYSGILKPSRKLKKSDAFGATVVECFFNRLQLDKLEEGVEMAVIDLHAFVGGVDYFTVTCGGENPAAMPAQKSLSKLMTRMRPGMLVDPPLGSQLTEYRAQKQDGATDECYRHEPPPSMDPPLSSLCRLSYTLPDGIITLKEIGILKLTAQFVVRYGMDLMRRVVSFPQFVFMEPTNSWFPFFNKLVDAYSRVLKPSGKQGKSKFDKHAGGFIDCFLHRLQLDELEEGVEMAMVDLHAFVSGVDYFIFMEDDYNETEDEECSDHSTPPEHFSMLMNRITQMQPGMLVGSQRTEDCGAQSIHPDAPASHECVGDAQPDLERTPPRPLHSLALAFPAYLAVKGFMTVKELGTMKLTAQFVARYGMDSMKEVAAYPRFEFMVPTRSFFRLFNELVDAYSKVLEPSKKLWKKETSVVDLFFYRLQFVELEDGVEMAMIDLHASVSGVDCFTHMEEYMDDEKKYCDGVPQPEHLSVLINLLKKQPGLLVRPPAGLLGSQKIQPDVQREEPRRERQKHLRENHPRRKRRKRDCDVQHEETRLHAPKAGRSNRELVMKQKNSSQIIIRSTKLRSLIIGNSHVLNSEASHVSSFSSNTHRI >fgenesh1_pg.C_scaffold_6001010 pep chromosome:v.1.0:6:4983792:4984962:-1 gene:fgenesh1_pg.C_scaffold_6001010 transcript:fgenesh1_pg.C_scaffold_6001010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7M4I0] MSPTTDEIEVKDFVVNQKNGVKGLVDFLTLTTLPSPYIQPPQERFTSDKILFGSPVPVIDVSNWNDPHLAREICHAATKLGVFQIVNHGIAPAELKGVIAAARGFFELPAEERRRYWRGTSVSETAWLTTSFNPYKERVLEWRDFLKFEYLPQRHDFAATWPSVCKEQVIDHFKKIKPITEKILNILINNLNSVVDESNKETLMGTMRMNFNYYPKCPEPSLAIGTGRHSDINTITLLLQEHGVLSSLYARATEDGDKWINVPPIAGAIAVNIGDVLQILSNDRYRSVEHCVVVNKCCSRVSIPVFCGPVHDSVIEPLPGVLENNEMARYRKTVYSDYLKGFFGRPHDGKKTIESIKFP >fgenesh1_pg.C_scaffold_6001021 pep chromosome:v.1.0:6:5028711:5029659:-1 gene:fgenesh1_pg.C_scaffold_6001021 transcript:fgenesh1_pg.C_scaffold_6001021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein [Source:UniProtKB/TrEMBL;Acc:D7M4J1] MDAAIGKVFDSVSDFFSGAASASADEFPLCDSDIISGCEKELAEAQDEGRKKECMMRLSWALVHSKMPGDIQRGIAMLEALVVNDTSAMKLREKLYLLALGYYRSGDFSRSRDCIQRCLEVEPEWGQAQTLKKAIEDRIVKDGVIGVGIAVTAVGLVAGIAAAILRR >fgenesh1_pg.C_scaffold_6001025 pep chromosome:v.1.0:6:5047323:5048949:-1 gene:fgenesh1_pg.C_scaffold_6001025 transcript:fgenesh1_pg.C_scaffold_6001025 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLTMIPLDRSRPLWDIHILNVKTSDAEAVSFIRSHHSLGDGMSLCSLLLGCTQKTSDPSTSSTAIPPVKRQGAVLHSLRKKGWFLRSIFTIGSTMTLLWNTIVDVLLLLATILFLKDTKTPLKGGLNVKSNPKRFYHRIVPLDDIKLIKNAMNMTINDVIFGITQASLSQYLNRKYDKKEEDGGSLTSCQNNLPDGIRFHVACTVNLRSDIGFKLYFLPFTIGLQTDPLVYLKMSKSMMARKKHSYHATLVYFIIKIVLKVFGAKALLVHFISYAEKMIISMAVDPTVIPDPHKICDDMEESLKAMKTILCERGLL >fgenesh1_pg.C_scaffold_6001028 pep chromosome:v.1.0:6:5075690:5077572:-1 gene:fgenesh1_pg.C_scaffold_6001028 transcript:fgenesh1_pg.C_scaffold_6001028 gene_biotype:protein_coding transcript_biotype:protein_coding MKALCDGCEQFMCFPPGHIYSSKQVDTLTDIKVVKLVLVMDFHLFSGLRRLYNPPWFSELVPSTPYDPLAVRNTFEKQGEELFGDYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIRGDATGAQVVAHELAHSWTGNLITNINNEHFWLNEGFTTYAERRIVEVVQGADRATLNIGIGWRGLTDEMERFKDNLECTKLWNKQEGVDPDDVYSQVPYEKGFQFVLRIERQIGRTAFDEFLKIYIATFKFKSIDANTFLEFLKANIPGIEKEINLQLWTEGVGIPEDAYELASTIYTKIISLAKEFKEGRMPGEDDGAEWNGQEWELYLENLTKSCEPSQVMALDKRYRLAESKDYEVKVSFLQLAVASKCREYHGEDKTEDSRPEKLQKLAEKTPAIV >fgenesh1_pg.C_scaffold_6001042 pep chromosome:v.1.0:6:5151129:5152550:1 gene:fgenesh1_pg.C_scaffold_6001042 transcript:fgenesh1_pg.C_scaffold_6001042 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAKPRLRIERRIMNVSEIPSKFDFLKKSDPYHAYYKHKVTEYLAKNQDEGAQDIHQPEAPATLKGDAQHYLRPLPLRDPASKFPDYVLPEGYTIEDLDTIILTAQFVGRYGPEFWLDLMKEVDNKPLFEFLKPADSKFDYFNRLSVVASEGLKRSEKLTSSRMAIVIKAFFYHLRRKKDKRVLSHKEGAAKASTVFGALYAFVGADGCFADIKDEDLPLLQHPSAIKNILTKLQPRMPPLGSQPKL >fgenesh1_pg.C_scaffold_6001046 pep chromosome:v.1.0:6:5181328:5185057:1 gene:fgenesh1_pg.C_scaffold_6001046 transcript:fgenesh1_pg.C_scaffold_6001046 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGVIITIALLLFTSFVLVCVAKECTDIPTKLSSHTLRSELLQSQNETLKTELSSHYHLTPTDDAAWSTLLPRKMLKEETDDFAWTMLYRKFKDSNSSGNFLKDVSLHDVRLDPSSFHWRAQQTNLEYLLMLNVDGLAYSFRKVAGLDAPGVPYGGWEKPDSELRGHFVGHYLSATAYMWASTHNDTLKTKMSALVSALAECQQKSGTGYLSAFPSSFFDRFEAITHVWAPYYTIHKILAGLVDQYKLAGNTQALKMATGMADYFYGRVQNVIRKYSVERHWLSLNEETGGMNDVLYQLYSITRDSKYLFLAHLFDKPCFLGVLAIQADDISGFHANTHIPIVVGSQQRYEITGDLLHKEISMFFMDIVNASHSYATGGTSVKEFWQDPKRMATTLQTENEESCTTYNMLKVSRNLFRWTKEVSYADYYERALTNGVLGIQRGTQPGRMIYMLPLGQGVSKAVTYHGWGTPYDSFWCCYGTGIESFSKLGDSIYFQEDGASPALYVTQYISSSLDWKSAGLLLSQKVNPVVSWDPYMRVTFTLSSSKVGVAKKSTLNLRIPVWTNSIGAKVSLNGKPLKVPTSGNFLSIKQNWKSGDQVTMELPMSIRTEAIKDDRPEYASLQAILYGPYLLAGHTSRDWSITTQAKAGNWITPIPETYNSHLVTLSQQSGNISYVLSNTNQTITMRVSPELGTQDAVAATFRLVTDNSKPRISGPEALIGSLVMLEPFDFPGMIVKQATDSSLTVQASSPSDKGASSFRLVSGVDGKPGSVSLRLESNNGCFVYSDQTLKQGTKLKLECGPVATDEKFKEAASFKLNTGMNQYNPMSFVMSGTQRNFVLSPLFSLRDETYNVYFSVQT >fgenesh1_pg.C_scaffold_6001052 pep chromosome:v.1.0:6:5222417:5225541:1 gene:fgenesh1_pg.C_scaffold_6001052 transcript:fgenesh1_pg.C_scaffold_6001052 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPASSSSPTPVTDSSADTLGKDLQNQSLGAVDEGCKIKKKLEDFNWDHSFVKELPGDPRTDVISREVLHACYSKVSPSVEVDDPQLVAWSESVAELLDLDPKEFERPDFPLMLSGAKPLPGAMPYAQCYGGHQFGMWAGQLGDGRAITLGEVLNSKGERWELQLKGAGRTPYSRFADGLAVLRSSIREFLCSETMHCLGIPTTRALCLLTTGQDVTRDIGNPKEEPGAIVCRVSQSFIRFGSYQIHASRGKEDLDIVRKLADYAIRHHFPHIESMDQSDSLSFKTGDEDDSVVDLTSNKYAAWIVEIAERTATLVARWQGVGFTHGVLNTDNMSILGQTIDYGPFGFLDAFDPSYTPNTTDLPGRRYCFANQPDIGLWNIAQFSKTLAVAQLINQKEANYAMERYGDKFMDEYQAIMSKKLGLSKYNKEVISKLLNNMSVDKVDYTNFFRLLANVKANPNTPENELLKPLKAVLLDIGKERKEAWIKWMRSYIQEVGGSEVSDEERKARMDSVNPKYILRNYLCQSAIDAAEQGDFSEVNNLIRLMKRPYEEQPGMEKYARLPPAWAYRPGVCMLSCSS >fgenesh1_pg.C_scaffold_6001055 pep chromosome:v.1.0:6:5231000:5231788:1 gene:fgenesh1_pg.C_scaffold_6001055 transcript:fgenesh1_pg.C_scaffold_6001055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M563] MGPNRVSTFIAFWCLQFVRYSTRVFPWVSMGDVIAELVLEANELLDTTTDNWNALLEYSQNALLPQHLQAVRTRPVPAEVAAVDPAAANQQEASNSTSGGPQSSGSGSEA >fgenesh1_pg.C_scaffold_6001102 pep chromosome:v.1.0:6:5489482:5491554:1 gene:fgenesh1_pg.C_scaffold_6001102 transcript:fgenesh1_pg.C_scaffold_6001102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:UniProtKB/TrEMBL;Acc:D7M5U6] MASLKLGSKSEVFHLSGHTWLCKTGLKPDVMIQVEDQSFHLHKFPLLSRSGYLETLFSKASETTCVAQLHDIPGGPETFLLVAKFCYGVRIEVTPENVVSLRCAAEYLQMSENYGDANLIYLTECFLNDHVFVTWEDSIKALEKSCEPKVLPLAEELHIVSRCIGSLAMKACAEDNTSFFNWPISLPEGTTATTTFWNGIQTKATSENWWFNDVSSFLDLPMYKRFIKTVESRGVNAGIIAASVTHYAKRNLPLLGCSRKSGSSSEEGTNYGDDMYYSHEDQRSLLEDIVELLPGKKCVTQTKFLLRLLRTSMVLHASQVTQETLEKRIGVQLDEAALEDLLIPNMKYSGETLYDIDSVQRILDHFMLTFDSSIVEEKQMMGDSHPLKSITKVASLIDGYLAEVASDENLKLSKFQALGALIQEDVRPMDDGIYRAIDIFIKAHPWLTESEREQLCLLMNCQKLSLEACTHAAQNERLPLRVIVQVLFFEQMRLRTSIAGWLFGSEDNNDQSGALEGHKNRNANMAMHGMRERVYELEKECMSMKQDLDKLVKTKEGRNFFSKIFGSRSKTKTSPCGKGGEDALMIPETKI >fgenesh1_pg.C_scaffold_6001109 pep chromosome:v.1.0:6:5517589:5519395:-1 gene:fgenesh1_pg.C_scaffold_6001109 transcript:fgenesh1_pg.C_scaffold_6001109 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7M5V3] MKFERARPFIAIVFIQCLYALMSIVAKLALNAGMSPHVLVAYRMAVASALITPFALVLERNSRPRMTFKILLQIAILSLFEPVVEQNLYYSGMKLTTATFTSALCNALPAMTFIMACVFKLEKVTIERRHSQAKLVGTVVAIGGAMLMTFVKGNVIVLPWTSNSRGLDAHSHAMMIPKQEDIARGSIMLVASCFSWSCYIILQAKILAQYQAELSLTALMCIMGMLEATVMGLLWERKNMSVWKINPDVTLLASIYGGLVSGLAYYVIGWASKERGPVFVSAFNPLSMVLVAILSTFIFMEKMYLGRVVGSVVIVIGIYLVLWGKSKDKGGKLQPNTGCAETVVKIDQQKVPTPDNNKVVSTSYQLMIPKVAARSQESV >fgenesh1_pg.C_scaffold_6001169 pep chromosome:v.1.0:6:5771892:5772476:-1 gene:fgenesh1_pg.C_scaffold_6001169 transcript:fgenesh1_pg.C_scaffold_6001169 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPSLSCALNPHPKSSWWGRERTQGEYDLKQQRKGGKGGGGYEAPKALSLSKEIKSSTVVGANILKGGLDPKILPDSDYSDCLWHLLDKRPALSGLRRKNVETLPFDDLKRFVKLDTRARIKENNSIKARN >fgenesh1_pg.C_scaffold_6001195 pep chromosome:v.1.0:6:5881055:5882613:-1 gene:fgenesh1_pg.C_scaffold_6001195 transcript:fgenesh1_pg.C_scaffold_6001195 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATNRT2.7 [Source:UniProtKB/TrEMBL;Acc:D7M6K8] MEPSQGNTKTPSFPDSTIPVDSDGRATVFRPFSLSSPHSRAFHLAWLSLFSCFFSTFSIPPLVPVISSDLNLSASTVSTAGIASFAGSIFSRLAMGPLCDLIGPRTSSAILSFLTAPVILSASLVSSPRSFILVRFFVGFSLANFVANQYWMSSMFSGNVIGLANGVSAGWANVGAGISQLLMPLIYATIAEFSPRSIAWRVSFVFPAIFQVTTAVLVLLYGQDTPNGNRKNSNQNKIINPEEEVLVVQGEEGRSSFVEILIGGLGNYRAWILALLYGYSYGVELTTDNVIAGYFYERFGVNLEAAGTIAASFGISNIASRPAGGMISDALGKRFGMRGRLWGLWVVQSVAGLLCVLLGRVNSLWKSIAVMWVFSVFVQAASGLVFGVVPFVSTRSLGVVAGITGSGGTVGAVVTQFLLFSGDGVRKQKSISLMGLMTFVFSLSVTSIYFPQWGGMCCGPSEEEDLSRRLLVEDEEEDDKVTSGRLNPVC >fgenesh1_pg.C_scaffold_6001218 pep chromosome:v.1.0:6:6026768:6028675:1 gene:fgenesh1_pg.C_scaffold_6001218 transcript:fgenesh1_pg.C_scaffold_6001218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M6N6] MAVSSSHHVVLFPYMSKGHTIPLLQFARLLLRHHRVVPGDEPTISVTVFTTLKNQPFVSNFLSDVISSIKVISLPFPENIAGIPPGVENTEKLPYMSLYVPFTRATKSLQPFFEAELKNLEKVSFMVSDGFLWWTSESAAKLEIPRLAFYGMNSYASAMYSAVSVHELFTKPESVKSDTEPVTVPDFPWISVKKCEFDPVVTEPDQSSPAFELAMDHIMSTKKSRGVIVNSFYELEPTFLDYRLLDNDEPKPWCVGPLCLVNPPKPESDKPDWIHWLDRKLEERCPVMYVAFGTQAEISNEQLKEIALGLEDSKVNFLWVTRNDLEEVTGGLGFEKRVKEHGMIVRDWVDQWDILSHESVKGFLSHCGWNSAQESICAGIPLLAWPMMAEQPLNAKLVVEELKIGVRIETEDGSVKGFVTREELSRKVKQLMEGDMGKTMMKNVKEYAEMAKKALAQGTGSSWKNLDSLLEAFCKSIEPNNVNKLSSSDD >fgenesh1_pg.C_scaffold_6001233 pep chromosome:v.1.0:6:6106243:6107153:-1 gene:fgenesh1_pg.C_scaffold_6001233 transcript:fgenesh1_pg.C_scaffold_6001233 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIGDEIDGSGSQVKPPLGSPPNESCGQSPVPGNGDNRGGIIGDRGVNTQRLSTDDALTYLMEVKRTFQDQRDKYDMFLEVMNDFKEQRIHTSGLIARVKELLKGHNNLILGFNTFLPKGYEITLDNED >fgenesh1_pg.C_scaffold_6001234 pep chromosome:v.1.0:6:6108110:6108748:-1 gene:fgenesh1_pg.C_scaffold_6001234 transcript:fgenesh1_pg.C_scaffold_6001234 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTPDEIDVQMSLRHGGKTTSDALAYIWQVKDTFRDQRENYDMFRMLMFNFKAKRIDQSTLYARLKKLFKEHKNLIIGFNTFLPLGDKIILDGDVEASTSSTARDY >fgenesh1_pg.C_scaffold_6001237 pep chromosome:v.1.0:6:6114479:6115952:-1 gene:fgenesh1_pg.C_scaffold_6001237 transcript:fgenesh1_pg.C_scaffold_6001237 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMRNRLVFFLILTSVIILMTSQGSSGVNYGGNIEPCVACKHQRRRCTPDCIFRLHFPVGRYQDFQNVHRQFGVRRVVENLENLAPEERGDAMKSIIYESNVRSQFPVDGCYGVILYLQNQIALLNAELLRTRSLLTAVVAASNPSSAFFNPTAANNFFHPSAAANFFYPAANNFFHPSAAANFFYPAVSVESELINPSRNLNANNDDFTRVPANDGTIDFLGMGGYCGNFAYEECVTETTPLEGAPNNNAQQFLPNTNNLGSSPDNANANEALEQEQLGGAPRNNDIPRELSEFLTDEERSFSEAQDSGDKCASQSMTKKDDFPFP >fgenesh1_pg.C_scaffold_6001330 pep chromosome:v.1.0:6:6579301:6580995:1 gene:fgenesh1_pg.C_scaffold_6001330 transcript:fgenesh1_pg.C_scaffold_6001330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M815] MKKRNSLVDKGLTDATPSSKRKCSTPKSRVISNLQQPNSSEKQTSVPVKSIFGRVFTDITNLIPVVLEESLCPRGKNLSVNDTGSLDENSYLTPICVRQSLSSQEPYSLCSVPITASIHSSQCQGYINSTELSECLINDGSNLTDIPIKQSTSSMASKDFLSSIRSEAIKSTLADENVQNLSSVRISQSYAQLGADTFLSDIRREATKNTIIQSNSGTQTLKSAKKRTPNRVLGDISNKPDRRRRHEPLGSSVLYSAQNKENYTPNFEYSEQQPKQRKSKKTPNLEDCRVNLFDSTPFEEEEISDLEQEYDVNSQEDMGSDSSSGYETELSVETDKVIHVQNKRGTCSKGRKGISLKRRKYTKGASNHINRKTCYY >fgenesh1_pg.C_scaffold_6001338 pep chromosome:v.1.0:6:6619794:6620452:1 gene:fgenesh1_pg.C_scaffold_6001338 transcript:fgenesh1_pg.C_scaffold_6001338 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAQAQHQKLQECGEIIVLIEVSFCYPKIPDFRLSNVDVDLDPTEGDVRRSQKLRIGRYSQHFVDQLKMEETPVQYLLRLHPDQEGYSKQEAVRAKLGKFGLSIYNHLTPIVKFSGGKKARLVLASISMSKPHILLLHKPTNHLDIQTLDALADALYGFKGVVVLVTHYSRLISRVSEKEDKSEIWVEQ >fgenesh1_pg.C_scaffold_6001386 pep chromosome:v.1.0:6:6904204:6905764:-1 gene:fgenesh1_pg.C_scaffold_6001386 transcript:fgenesh1_pg.C_scaffold_6001386 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFPRGFVENSSMEEPKIGRRPTICFRPINPSDLERLEQIHRDIFPIRYESEFFQNVVNGGDIVSWAAVDRSRPDGHSEELIGFVTAKIVLAKESEISDLIRYDSSKGEETLVYILTLGVVETYRKRGIAKSLINEVVKYACGIPVCRGVYLHVIAHNNPAIRLYKRMSFRCVRRLHGFYLINGQHFDSYLFVYFINGSRSPCSPLYVQNTNGVIVESWLHCRDLAVLVLNYMRSGIKSVASKLTMNHEEKGSKWLKSKDNTRCLLPTQTKRNLASERVSSGYDYV >fgenesh1_pg.C_scaffold_6001465 pep chromosome:v.1.0:6:7286504:7288504:-1 gene:fgenesh1_pg.C_scaffold_6001465 transcript:fgenesh1_pg.C_scaffold_6001465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LX30] MAALCQACSTSSTRPLLLAKRVFSFTSSPYIFTSGHKISRHCSSFRASTFASASTSVCVDLKELRSNELVDLEYAELNLNHKISQEVGHVRIRQHVNPLSSSFSKPAPVPVWDEVYKDPSLPLMVDIGSGSGRFLLWLANKNAESRNYLGLEIRQKLVKRANFWVNELGLSNVHFIFANAMVSFEQLISSYPGPLEIVSILCPDPHFKKRHQKRRVVQKPLVNSILQNLKPGRKIFVESDVLDVAQDMRDQLDEESSVLQHMETVDTEDGWLMENPMGIRTEREIHAEFEGARIYRRLYQKRQLT >fgenesh1_pg.C_scaffold_6001475 pep chromosome:v.1.0:6:7328833:7330646:-1 gene:fgenesh1_pg.C_scaffold_6001475 transcript:fgenesh1_pg.C_scaffold_6001475 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLRNLPSLAPFVSAYASLTGYIMMIKPFIEMTIPPPLQNYIISYLNSFLHSSPSTLTLIIDDQIKNGMNNELYGAAQVYISTKINCNAARLRIFRDRSEKNVNLHLSVGEVVSDVYQGIELKWRFCVESKKTNMVHDFGEHFKLNSDREYFELSFENKHRDLVLNSYIPYVESKAKDPDVALEGLVTVLQRKRSELEKLEEET >fgenesh1_pg.C_scaffold_6001478 pep chromosome:v.1.0:6:7341781:7342823:-1 gene:fgenesh1_pg.C_scaffold_6001478 transcript:fgenesh1_pg.C_scaffold_6001478 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYMMMIRSMAHELIPAPIQDFIYRTLRSLFFRASSSTLTLTIDDDNMGMNNEIYRAAQTYLSTKISPDAVRLRISKGHKDKHVNLYLSDGEIVNDVYEDVQLVWRFVTDGGDKKSGGGGVGGRGGGGGRRGGMDDDGRSEYFELSFDKKHKDLILSSYVPYIESKAKEIRDERRILMLHSLNRLAILTKITKSNQTKIRTN >fgenesh1_pg.C_scaffold_6001496 pep chromosome:v.1.0:6:7442104:7443002:1 gene:fgenesh1_pg.C_scaffold_6001496 transcript:fgenesh1_pg.C_scaffold_6001496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXP2] MSDGSKREPEDDVDTKVSLKKQKEDVIAAVQKLRKFQPRRLQPRSMLRLLLKRPRSESSSEDDSSSDEDSDDDSEDEKAVATKAASSSDSSDEDSDEESEDTTECLHGAIVVEKMRR >fgenesh1_pg.C_scaffold_6001499 pep chromosome:v.1.0:6:7463002:7464433:-1 gene:fgenesh1_pg.C_scaffold_6001499 transcript:fgenesh1_pg.C_scaffold_6001499 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNGVFGQVMEERDNPAFFKILRREDFSSEMMRMIPHHLIRSISDKSSSFKMVLRVPWGSSWQVKISKNPIFHYMEDRGWNQFVNDNGLGLNEFLTFTHEANMCFNVTIFEADGTEMLRPRQPSTIASSSGRNKREEKKSIYIDVKKEEEIESWSESSYAGHKTAESTSGRLKQKQELNLRKKEADKTEKSKKRKKKKVDTVCNDSEAGTSSLVPEFKLTIKKSHLLFLGIPKKFVDMHMPSETKMFKIHHPRGKKSWEVWYVVNDVQSRFSGGWSRLAKELGLVVGDVCTFELIKPTEMCVKVSKE >fgenesh1_pg.C_scaffold_6001515 pep chromosome:v.1.0:6:7540272:7541404:-1 gene:fgenesh1_pg.C_scaffold_6001515 transcript:fgenesh1_pg.C_scaffold_6001515 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex subunit [Source:UniProtKB/TrEMBL;Acc:D7LXS3] MRPPRGGGSFRGRGGRDGGGRGFGGGGRGRFGDEGPPSEVVEVATFLHACEGDAVFKLSNVKIPHFNAPIYLQNKTQIGRVDEIFGPINESLFSIKMKEGIVATSYTQGDKFFISPEKLLPLSRFLPQPKGQSAGRGGGRVPPRGRGPPRGRGPPRGRGPPRGRGNFRGRGAPRSVSRGFHQRGGPRGGFRGRGRA >fgenesh1_pg.C_scaffold_6001531 pep chromosome:v.1.0:6:7617235:7618906:-1 gene:fgenesh1_pg.C_scaffold_6001531 transcript:fgenesh1_pg.C_scaffold_6001531 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7LXU1] MADSTADEATNADTLRRDLQKVLTEIWYDGGGKDRGEIDEAIRILTCLRKIESKKPEESDISPVEVPKEFICKLSKRIMIEPVLIASGQTFEKRYILEWLKHERTCPRTKQVLYHRFWIPNHLINEVIMQWCRIHNFDRPKPSDEEVIDLFTGDIESFLQRITSPSSVEDQTEAAKELARQVKRYATVRDFFVAKIPDSITRLLTVLGDEVDSNPELQENIITSLFNMSTFEKNKTLLAENPHVIPLLTKSLRKGTDQTKKVSAATVFSLSHTDSNKNIIGNSEALKALIDLVEEGDSLATSEAFSALANLCLVKEIREKAVSAGLIRAATTKIKAGSNVDVLLSFLASISTHNRTIEEMDNLGFIYDLFSILRNSNSFVNEENALTIVVYICKGYRGLRDVVQEEATGNVVLEEENKHGTFTKLAKQEAGCTVRKAQAILQCIKTFADRKEQRQRKRDDRPLRVYVRRNK >fgenesh1_pg.C_scaffold_6001577 pep chromosome:v.1.0:6:7856469:7856980:1 gene:fgenesh1_pg.C_scaffold_6001577 transcript:fgenesh1_pg.C_scaffold_6001577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYH8] MDEMNRSDLNSQSQLSDSSLASPISQPPQMSTPPADYDDMSEALPSFHGSSADDTDVFTVPGPRSCHRRRHLSEHSHSSLHSDGCVPSMGFTNLEPGESSKRKGSSVSPPVSDQRMKKKYPDFTVTFNYSP >fgenesh1_pg.C_scaffold_6001606 pep chromosome:v.1.0:6:8040757:8042300:-1 gene:fgenesh1_pg.C_scaffold_6001606 transcript:fgenesh1_pg.C_scaffold_6001606 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTRLIVFLSILAAITRKSNSQYLFPITKHEPTNQFYTTFNIGSPTKSPVNLLLDLGTNLTWLNCRKLKSLSSLRLVTCQSSTCKFIPGNGCDGKSCLYKQPNPLGQNPIVTGRVVQDIASISTTDGGKFLSQVSVPRFTFSCAGEKTLEGLPPPVAGVLALSPGSSSFTKQVTSAFNVIPKFSLCLPSSGTGRFYIAGIHYFIPPFNDSSSSIPMTLTPIRGTDSGDYLLLVLNIYVGGSPLKLNPDLLTGGAKLSTVVHYTVLQTDIYNALAQSFTLEAKTMGIFKVPSVAPFKHCFDARTAGKNLRGPNVSVIEIGLPGRIGEVKWGFYGANTVVKVKETVMCLAFIDGGKKPENLMVIGSHQLQDHMLEFDFSGTVLAFSESLLLHNTSCSTWTSKK >fgenesh1_pg.C_scaffold_6001611 pep chromosome:v.1.0:6:8068965:8070805:1 gene:fgenesh1_pg.C_scaffold_6001611 transcript:fgenesh1_pg.C_scaffold_6001611 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNPSQEDDEAMPISEVVIKRFKRLRLVFEPSLGVLGFFLVGLCLVFSFFYLDYRTVAKTKSHDFSDQSERFLWLKELDGFVDNNTKVGFLEESGNGCDLFDGKWVWDESYPLYQSKDCTFLDEGFRCTEFGRLDLFYTKWRWQPNHCDLPRFDAKLMLEKLRNKRLVFVGDSIGRNQWESLLCMLASAIRNKNLVYEVNNRPITKHMGFFVFRFHDYNCTVEYYRAPFLVLQSRPPSGSPEKVKTTLKLETMEWTADKWKDADILVFNTGHWWNYEKTIRGGCYFQEGEKVQMRMKIEHAYRRAMKTVMKWIQEEVDANKTQVFFRTFAPVHFRGGDWRTGGTCHMETLPDFGASLVPAETWDHIKLLQDVLSSSLYYLKTSETVELKVLNITAMAAQRNDGHPSLYYLGLAGPAPFHRQDCSHWCLPGVPDSWNELLYALFLKHEGYSSPISNNSDTDNFT >fgenesh1_pg.C_scaffold_6001657 pep chromosome:v.1.0:6:8296024:8298188:1 gene:fgenesh1_pg.C_scaffold_6001657 transcript:fgenesh1_pg.C_scaffold_6001657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7LZA2] MAAMDPRDNGYVTPLNERERAENLEVGEVVEVQDDQSVVSLMSNDSDLQKKMMKKEEKKKGGWTNAIILLVNQGLATLAFFGVGVNLVLFLTRVMGQGNAEAANNVSKWTGTVYMFSLVGAFLSDSYWGRYLTCTIFQVIFVIGVGLLSFVSWFFLIKPRGCGDGNLECNTPSSLGVAIFYLSVYLVAFGYGGHQPTLATFGADQLDDDKNSKAAFFSYFYFALNVGALFSNTILVYFEDKGLWTEGFLVSLGSAIVALVAFLAPTKQYRYVKPCGNPLPRVAQVFVATARKWSVDRPGDPHELYELEGPESAIKGSRKIFHSTKFVFLDRAAVITENDRDETRTNAWRLCSVTQVEEAKCVMKLLPIWLCTIIYSVIFTQMASLFVEQGDVMNAYVGKFHIPAASMSVFDIISVFVSTGIYRHIIFPYVRPTELMRMGIGLIIGIMAMVAAGLTEIQRLRRVVPGQKESELTILWQIPQYVLVGASEVFMYVGQLEFFNGQAPDGLKNLGSSLCMASMALGNYVSSLMVNIVMAITKRGENNPGWIPENLNEGHMDRFYFLIAALAAIDFVVYLVFAKWYQPISHDEDSIKGGSCGNLKKTVSELEQV >fgenesh1_pg.C_scaffold_6001659 pep chromosome:v.1.0:6:8302217:8306457:-1 gene:fgenesh1_pg.C_scaffold_6001659 transcript:fgenesh1_pg.C_scaffold_6001659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7LZA4] MKVLGGASSYAYRSCILVGFLSVSLFWLCPSTYRPQHQNLNPQNVTDSESETATKTNYIIRFKQYKPAKDHRIYLESKVRSSGWGWIERINPAAKYPTDFGVLWIEESEKDAVVGEIERLEMVKDVNVEFKYQRVLLGGSFLDGKKRPGKIFTSMSFEEGTDSSPMTDTSNTTLNWSRHLLAQKTQVTSMFGADHLWKKGYTGAKVKMAIFDTGIRADHPHFRRIKERTNWTNEDTLNDNLGHGTFVAGVIAGQNSECLGFASDTEIYAFRVFTDAQVSYTSWFLDAFNYAIATDMDVLNLSIGGPDYLDLPFVEKVWEITASNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYDDHIASFSSRGMSTWELPHGYGRVKPDVVAYGRDIMGSKISTGCKSLSGTSVASPVVAGIVCLLVSVIPEARRKDLLNPASMKQALVEGAAKLSGPNMYEQGAGRVDLLESYEILKSYHPRASIFPSILDYSDCPYSWPFCRQPLYAGAMPVIFNTTILNGMGVIGYIESPPTWHPANEEGNLLSIHFKYTDVIWPWTGYLALHMQIKEEGAQFTGEIEGNVTVKVYSPSAPGESGLRRSTCSLQLKLKVIPTPPRAKRILWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMYNMLRDAGYYIETLGSPLTCFDAQQYGTLLMVDLEDDYFPEEIEKLRDDVINTGLGLVVFAEWYNVDTMVKMRFFDDNTRSWWTPVTGGANIPALNNLLASFGIAFGDKILNGDFSIDGEQSRYASGTNIVRFPAGGFLHTFPLLDSSESGATQNLLLTGSSKEDPAVLGLLEIGEGRVGVYGDSNCLDSSHMVTNCYWLLKKMLDFSSSKIKDPVLFSKFAKRYSPVIIDEKQLPSRRTDVNFSTYSSVIGKELICESDSRFEVWGTKGYNLHVRGRNRRLPGYHGIDLGRGLNFTLEKTRPTRWRSGELSSSRSKYLGGFFSKDEIDMPFLVATRWIVLAGVVASGNILNFLSSSATKHLENPAEEA >fgenesh1_pg.C_scaffold_6001698 pep chromosome:v.1.0:6:8522837:8523806:1 gene:fgenesh1_pg.C_scaffold_6001698 transcript:fgenesh1_pg.C_scaffold_6001698 gene_biotype:protein_coding transcript_biotype:protein_coding MALQRSTASFRRQGSSGLIWNDRFLSGEIRNDERQEDRCNDHRDGSMAATAATVKRSASDGGCGHGGILGEISPALDPPSPKISSVGCGFCSLFSSDRRRRSRGRRRSSGGRFDYVLLSYVKNFETLMDKAKDGFWFQEKAYYRLILTKMCLFVCSHRFINQVLARICSLLKLVVR >fgenesh1_pg.C_scaffold_6001702 pep chromosome:v.1.0:6:8532310:8534147:-1 gene:fgenesh1_pg.C_scaffold_6001702 transcript:fgenesh1_pg.C_scaffold_6001702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soul heme-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7LZY3] MATVGQQHLYRPILTAVGSDCRRLVATRFLPVPRRNFNSRLRQILSLEVGKEVAPASSTVNMEELVGFLYKDLPHLFDDQGIDKTAYDERVKFRDPITKHDTISGYLFNISLLKNLFTPRFQLHWVKQTGPYEITTRWTMVMKFMLLPWKPELVFTGTSIMEVNPETKKFCSHLDLWDSIKNNDYFSLEGLVDVFKQLRIYKTPDLETPKYEILKRTANYEVRKYEPFIVVETIGDKLSGSSGFNNVAGYIFGKNSTMEKIPMTTPVFTQTTDTDQLSSDVSVQIVIPSGKDLSSLPMPNEEKVNLKKLEGGFAAAVKFSGKPTEEAVRAKENELRSSLSKDGLRAKKGCMLARYNDPGRTWNFIMRNEVIIWLEDFSLD >fgenesh1_pg.C_scaffold_6001705 pep chromosome:v.1.0:6:8540922:8544111:-1 gene:fgenesh1_pg.C_scaffold_6001705 transcript:fgenesh1_pg.C_scaffold_6001705 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDMEISLDRLPIKRLESIEENGAERFPSDVGYDDKRVSLIRRIDFAWALEEEDELKKKKQKKSSKDSAEQWKWKGMVENLQLAHQELSVIIDLIDTVQANDAVTVAGMTRPKPLPNEILSDLAVSTATKLQGYRNLGNYFKQSAKALEQKINREARFYGALIRLQRNWKVKRQRMLASNASNEGFTIDLSDSSLHDPTSGFRPSTLSTIRVDHDSAGMLAINVPQDSWYSLRFGFVGLNPIDNTNESDEHLDSTMGHDIPGTSEKQSASDDEYVKETHSLLREVHKSIFAEQVLFDMLNREAFNEGVGFNISGIRENFMEMSIGQGASLFVSLHPSGKNASIKKSESATLLIESSGRIEPAEGDYRLKKLGFPNRASYEIYLQQIFHEHAFGKAKDQPKSKSIRASNQTRKDSNSGLLDHFCLSLTHRIFSNRVLEHLESVVCKVPYLHLISHPTWNSRTSSWTVFMTVPPSIIPQGSSETQSPDGKRNLKMQFRTKVVVKDECISVEAECTPNVVGLLKSSSCNLFAMNKYECGVADLPVIILQQVASQIVCWLLEEARTVGTKASRDFLSLSLEIVEGERVSLVAQVNPEDAKGCISWWLVMENGSTEEREGVSESRKLLGHLSLDVLYSVLMDLINLCGTGRNALVS >fgenesh1_pg.C_scaffold_6001732 pep chromosome:v.1.0:6:8665430:8669665:-1 gene:fgenesh1_pg.C_scaffold_6001732 transcript:fgenesh1_pg.C_scaffold_6001732 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGFYNLKHPFDPCPFEFFCSGTWKPVEYMRIEDGMMTIRLLQNGYVLEDIRPFQRLRLRSRKAALSDCICFLRPDIDVCVLYRLHEDDLEPVWVDARIVSIERKPHDSECSCKISVRIYIDQGCIGSEKQRINKDAVLIGLNQISILQKFYKEQSTDQFYRWKFSEDCTSLMKTRLSLGKFLPDLSWLTVTSILKSIVFHIRTVQTRMVYQIVADEGSSSTLSSMNITVEDGVSLSKVVQFNPADILDDSQDPEIKQETDYFQEADEVVELRRSKRRNVRPDRFTGCDYQLDTNDGWVRMMPYQIGKWAVGVESDNDEDDSNDAGDTNDDMYVPLSHLFIKKMITNSREAIPKSMKGGIVLVDKRRVHGFGRKERKSELSVIPFTPVFEPIPLEQFGLNANCLGGGGSFSRSQYFDEIEKYRSKSSKYGKKMTEMEEMMESDLCWKGPNYVKSVQKRTPRPSRSFAPKTEDSDEPRVYKKVTLSAGAYNKLIDSYMNNIESTISAKDEPTNVLDQWEELKKTNFAFKVHRDMEQNLSEDGEGETSENEMLWREMELCLASSYILDDNEARVDNEALEKARSGCEHDYKLDEEIGMCCRLCGHVGTEIKDVSAPFAEHKKWTMETKQLEEDDIKTKLSHKEGETEDFTMSSDSSKILAAEESENVWALIPQLKRKLHMHQRRAFEFLWRNLAGSVEPSLMDPTSDNIGGCVISHSPGAGKTFLIIAFLTSYLKLFPGKRPLVLAPKTTLYTWYKEFIKWEIPVPVHLIHGRRTYCTFKQNSTIQFNGVPKPSQDVMHVLDCLEKIQKWHAHPSVLVMGYTSFLTLMREDSKFAHRKYMAKVLRESPGLLVLDEGHNPRSTKSRLRKALMKVGTDLRILLSGTLFQNNFCEYFNTLCLARPKFIHEVLMELDQKFKTNQSVNKAPHLLENRARKFFIDIIAKKIDAGVGDERLQGINMLKNMTNSFIDNYEGSGSGSGDALPGLQIYTLVMNSTDIQHKILTKLQDVIKTYFGYPLEVELQITLAAIHPWLVTSSNCCKKFFNPQELLEIEKLKHDAKKGSKVMFVLNLVYRVVKREKILIFCHNIAPIRMFTELFENVFRWQRGREILTLTGDLELFERGRVIDKFEEPGNPSRVLLASITACAEGISLTAASRVIMLDSEWNPSKTKQAIARAFRPGQQKVVYVYQLLSRGTLEEDKYRRTTWKEWVSSMIFSEEFVADPSLWQAEKIEDDVLREIVGEDKVKSFHMIMKNEKASTG >fgenesh1_pg.C_scaffold_6001760 pep chromosome:v.1.0:6:8795037:8799009:1 gene:fgenesh1_pg.C_scaffold_6001760 transcript:fgenesh1_pg.C_scaffold_6001760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:D7M042] MKMKHFTRLLSLFFILITSFSLANSTIVSHDERAITINGKRRILLSGSIHYPRSTADMWPDLINKAKDGGLDAIETYVFWNAHEPKRREYDFSGNLDVVRFIKTIQDAGLYSVLRIGPYVCAEWNYGGFPVWLHNMPNMKFRTVNPSFMNEMQNFTTKIVEMMKEEKLFASQGGPIILAQIENEYGNVISSYGAAGKAYIDWCANMANSLDIGVPWLMCQQPNAPQPMLETCNGFYCDQYEPTNPSTPKMWTENWTGWFKNWGGKHPYRTAEDLAFSVARFFQTGGTFQNYYMYHGGTNFGRVAGGPYITTSYDYHAPIDEFGNLNQPKWGHLKQLHRVLKSMEKSLTYGNISRIDLGNSIKATIYTTKEGSSCFIGNVNATANALVNFKGKDYHVPAWSVSVLPECDKEAYNTAKVNTQTSIMTEDSSKPEKLEWTWRPESAQKMILKSSGDLIAKGLVDQKDVTNDASDYLWYMTRVHLDKKDPLWSRNMTLRVHSNAHVLHAYVNGKYVGNQFVKDGKFDYRFEKKVNHLVHGTNHISLLSVSVGLQNYGAFFESGPTGINGPVSLVGYKGEETIEKDLSQHQWDYKIGLNGYNNKLFSTKSVGHIKWANEMFPTSRMLTWYKAKFKAPLGKEPVIVDFNGLGKGEAWINGQSIGRYWPSFNSSDDGCKDECDYRGEYGSDKCAFMCGEPTQRWYHVPRSFLKASGHNTITLFEEMGGNPSMVNFKTVVVGTVCARAHEHNKVELSCHNHPISAVKFASFGNPVGHCGTFAVGTCQGDKDAVKTVAKECVGKLNCTINVSSDTFGSTLDCGDSPKKLAVELEC >fgenesh1_pg.C_scaffold_6001799 pep chromosome:v.1.0:6:8989114:8990574:1 gene:fgenesh1_pg.C_scaffold_6001799 transcript:fgenesh1_pg.C_scaffold_6001799 gene_biotype:protein_coding transcript_biotype:protein_coding MCDDLSSDEEMEIEELEKKIWRDKQRLKRLKEMARNGVGKRLMLRQHHDDFPEHSSKRTMYKAQDGILKYMSKTMERCKAQGFVYGIVLENGKTVAGSSDNLREWWKDKVRFDRNGPAAIIKHQRDINLSDGSDSGSEVGECTAHKLLELQDTTLGALLSALLPHCKPPQRRFPLEKGVTPPWWPTGQEDWWDQLSLPEDFRGLSPPYKKPHDLKKLWKIGVLIGVIRHMASDISNIPNLVRRSRSLQEKMTSREGALWLAALNREKAIVDQIAFSRENNNTCNFLVPATGGDTNLLFPESANYDVEVIGGSYRINQQYPEFENNYNCVNKRKFEEEFGISMQPILLTCENSLCPYSQPHMGFHDRNLRANHQMTCPYKVTSFYQPTKPYGMAGLMVPFPDYNRMQQQVQSIQDQFNHPNNLYRPKAPQTGSNNDDLVEDLSPPSTLNQNLGLVLPTDFNGDVETVGMENNNQQNQEQELSTSWIQ >fgenesh1_pg.C_scaffold_6001827 pep chromosome:v.1.0:6:9196924:9198312:-1 gene:fgenesh1_pg.C_scaffold_6001827 transcript:fgenesh1_pg.C_scaffold_6001827 gene_biotype:protein_coding transcript_biotype:protein_coding MACGLSKSLGLSSSLKKQQGIVTILGGISSNTSSAPSLRRTFSADLSSKTWVSQNGFPPMKRISSSEKLRADEAEEETRSGVDIWAQIQQDKNDKKKEEEIEPGQSDVWSSILSEKKKTESSNDAVPPPYVHPLMKRASSLSEKSLEICTESLGSETGCDGYSSHASSETGDVEIEIHEETNLVINVTETKVEEITETEVEIEQESITVPNHIIELPRGSFPPPIRSLSSQSGSSLHMKTRRDNGRLVLEAVSMPSHNNFSAKRQDGRLLLTFAEISDEPINEIDKEDEIDSELQCFDEEEEEEEDDEEEEEAPDEFAYKPNGLLYKLAQKPIPITVHRLAYKPIGVPKRNSRWPVTDEFDTKSDLSTPVVHSLPPRPRVAQLARSTKPPSTVDDTVGAACFNTCDYSWKSTNTESFGPISSPKTQFQAQNFVNKSMGDGWINGCKDRRRSLLSIEPFCIAT >fgenesh1_pg.C_scaffold_6001843 pep chromosome:v.1.0:6:9265509:9266003:1 gene:fgenesh1_pg.C_scaffold_6001843 transcript:fgenesh1_pg.C_scaffold_6001843 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKKKMKNALKTIFSPIFMACGCCGCGSTVLPSSHNHYYTPGPPVSPTVLRSPCPKIDESVAMAKESINPFEDYKKSMNQMIEERYIETEDDLKELLRCFLDINPSPQHNLIVRAFVDVCSQLRPAHDRRGKSLGRLLRLYVNNPLDSNDNDTHQTSSFKMRN >fgenesh1_pg.C_scaffold_6001859 pep chromosome:v.1.0:6:9371693:9372388:1 gene:fgenesh1_pg.C_scaffold_6001859 transcript:fgenesh1_pg.C_scaffold_6001859 gene_biotype:protein_coding transcript_biotype:protein_coding MANQAAVAAFFLFALAVFSNFELSASSLVSGKISCLDCHRDFDFSGIKVLLKCDGEKKQITAMAASDGSFRSVLPTADKKGSENCLAKLLGGPEQLYAHKHNLVSELVNSKHDSKVLTISNPLAFSLSCPKPTQDDVGSMIGDSKTINFPGAGGFGFPPASFFPFLPIIGIP >fgenesh1_pg.C_scaffold_6001862 pep chromosome:v.1.0:6:9388843:9390743:-1 gene:fgenesh1_pg.C_scaffold_6001862 transcript:fgenesh1_pg.C_scaffold_6001862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7M0X7] MMFSVMVAILVCLIGYIYRSFRPPPPRICGVPNGPPVTSPRIKLDDGRYLAYRESGVDQANANYKIIVVHGFNSSKDIEFPIPKDLIEELGIYFLFYDRAGYGESDPHPSRTVKSEAYDIQELADKLKIGPKFYVLGISLGAYSVYSCLKYIPHRLAGAVLVVPFVNYWWTKVPQDKLSKALELMPKKDQWTFRVAHYVPWLLYWWLTQKLFPSSSMITGNNALCSDKDLVIIKKKMENPSPGMEKVRQQGDHECLHRDMIAGFATWEFDPIELENPFAEGEGSVHVWQGMEDRIIPYEINRYISHKLPWIKYHEVLGYGHLLNAEEDKCKDIIKALLVN >fgenesh1_pg.C_scaffold_6001871 pep chromosome:v.1.0:6:9427818:9428355:1 gene:fgenesh1_pg.C_scaffold_6001871 transcript:fgenesh1_pg.C_scaffold_6001871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0Y6] MKMMLFTVLGSFIVGASLLLLLLFLIYLLRELCYEGIEVYKEARGEEEHLISPSISDESEYQCAQSAEQVCIVSVDQSDPGVAYTSITTAWRLPSLRNEYNAVDDFVLGGQKDSDSD >fgenesh1_pg.C_scaffold_6001899 pep chromosome:v.1.0:6:9639307:9641958:-1 gene:fgenesh1_pg.C_scaffold_6001899 transcript:fgenesh1_pg.C_scaffold_6001899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase S28 family protein [Source:UniProtKB/TrEMBL;Acc:D7M1K1] MTFQQRYAIDAKHWAGAKANAPILAFLGLEASLETDLAAFGFLSDNAPHFKALKVYIEHRYYGKTIPFGSAKEAMKNASTLGYLNSAQALADYAAILLHIKEKYSATHSPIIVVGGSYGGMLAAWFRLKYPHIALGALASSAPLLYFEDTRPKFGYYYIITKVFKETNKRCYNTIRKSWEEIDRVASKPNGLLILSKKFKTCASPLSRSFDLKDFLDTVYAETVQYNDGVWVTNVCNAINANPPNRKIDILDRIFAGVVALTGSQSCYNTNYSVQVTNNDMAWRWQCCSEIVVPVGHDKQDTMYQTSPFNMTSYIEDCESSYGVSPRPHWITTYFGIQNVKLILQRFGSNIIFSNGLSDPYSVGGVLEDISDTVVAITTKNGSHCQDINLKSKGDPEWLVMQREKEIKVINSWISTYQNDLRDLNMI >fgenesh1_pg.C_scaffold_6001908 pep chromosome:v.1.0:6:9676664:9677704:-1 gene:fgenesh1_pg.C_scaffold_6001908 transcript:fgenesh1_pg.C_scaffold_6001908 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQSNALLGWSYYSHAKTTEEIRQSLLYTTLELDQTKMFAYEEIRKRDEQLIHLKDIITKTIKERDEALEKCQRLMFDNHSLQQQKHMTPPLSGASSIEDEQVQPQQLGSNKSFSSSDCEESIMSPTDHVMNPPPSQLEEVSGTEITMDPLFPDKPLPEKGKLLQAVIKAGPLLQTLLLAGPLPQWRHPPPPLKSFEIPPVTVQCPIVNNGCGKFNRKRVFSDGSYSEAKYQKVLLH >fgenesh1_pg.C_scaffold_6001940 pep chromosome:v.1.0:6:9939043:9941017:-1 gene:fgenesh1_pg.C_scaffold_6001940 transcript:fgenesh1_pg.C_scaffold_6001940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1Q0] MKYTGSGYGDGVLSQFLDVTSDSSCISDVEENVKEYLDDVSAAVDLSSVLHVEASAAVLSLDEFKDVLSDLGALSADKDYVLAVIYLESRTQLKSLYLRYLLSRYLQEAKSLDPLMQTKKNYADLRMKYFGDGRFVAAGMKPYPSDGLECDEILMNTNEDTLECINDIVISSWRLCPAYLRLRFVESLILYKSINVHTVWDACYRVLSGDVLAEQKIARNNPGLELSDVQLEWYGFRVMRKVMGDLGFHHAGFEGVRLGIVRRLLTYKCDDMSM >fgenesh1_pg.C_scaffold_6001941 pep chromosome:v.1.0:6:9949324:9949944:-1 gene:fgenesh1_pg.C_scaffold_6001941 transcript:fgenesh1_pg.C_scaffold_6001941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD63] MEVNKQNTNSTHISQTDECIVAIQQAASWVLSVDRLRGLFVMLMDVFCEADPLSVWDATWDVLFKDVLFPQQIIFNDHEPEYAYNEIQNFETFMRKENSTFNVYAELRKRVALAGGPTCDPSLEYKMRDQFNNLKID >fgenesh1_pg.C_scaffold_6001961 pep chromosome:v.1.0:6:10061491:10064458:1 gene:fgenesh1_pg.C_scaffold_6001961 transcript:fgenesh1_pg.C_scaffold_6001961 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDNGKVKGSSKKRLRKPKSLEFVGWGSKNLIEFLQSLGRDTTNKISENDVTAIIMSYIREKNRETPSKNKKRRKTVACDEKLRLLFGTRKINVIKVPDLIEKHYVENQEDSYFDYLYSPEDDKQQRLSPSDKVAKQTKQVVSKPKGTFAAIVRDNVKRLYLRKSLVQELAKSPETFESKVVGTFVRIKNPCQLVHVTGVKEGNLIDGNLLQVTNYSYYLKDVTTSSLSDDDFSQEECEELHQRINNGFAKRLTVVDMEEKARSLHEDVTKHWIARELVVLQRLINQANEKAITLSQYLEKRELLQNPEEQLRLLDEVPEIVAEELEPECVDDDREIENDLIVPNSEAHQSDEEQRRRDSPVYSSVKKSLEISKLLKNPEEQLRLLREVPEVVAEELEPEFVDDDGKIENDFIVPNPEAFTEAHQSDEEKQLSDSPDSSIHKTLENSELRDGEDQPIQTASAGNKDLHEDVYEPPTNGITQNKDSITKGEMNTKVSQHQSSTPVIDLSNQPQAQSNPLEIIELSDDESDDDKDKDDQAYQNYDPKKVMWFYEFPKGKTHGPFSLTDLKTWSDEEYFVGVPDFKVWKTGESAVLLTKLLSHIKT >fgenesh1_pg.C_scaffold_6001965 pep chromosome:v.1.0:6:10082739:10083958:1 gene:fgenesh1_pg.C_scaffold_6001965 transcript:fgenesh1_pg.C_scaffold_6001965 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOW domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M2A7] MGWKAAEKLIRHWKILRGDNVMIIRGKDKGETGTIKRVIRSQNRVIVEGKNLIKKHIKGGPDHEGGIFTVEAPLHASNVQVVDPVTGRPCKVGVKYLEDGTKVRVARGTGTSGSIIPRPEILKIRATPRPTTAGPKDTPMEFVWEQTYDAKTGKGMPDL >fgenesh1_pg.C_scaffold_6001968 pep chromosome:v.1.0:6:10088759:10089217:-1 gene:fgenesh1_pg.C_scaffold_6001968 transcript:fgenesh1_pg.C_scaffold_6001968 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKAFSQLQRIKDSSLGPVLKATEDQRLVFRTSLLIRSLTILKENELWFHFGGQPMKFSITEFHMVTRLKCSPSEGDEDAEHNRYDWENTEHRHTSDELLEILRNTDRNSGDERFFFAMLLLTESIFLNMFKGYTFHAANLKRAQDVNHLL >fgenesh1_pg.C_scaffold_6002004 pep chromosome:v.1.0:6:10271315:10274419:-1 gene:fgenesh1_pg.C_scaffold_6002004 transcript:fgenesh1_pg.C_scaffold_6002004 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3009 [Source:UniProtKB/TrEMBL;Acc:D7M2E8] MKIKIMSKTHVKPTKLVLGKKQFQLTTFDLPYLAFYYNQKFLLYKFQNLLDLEEPTFQNDVVEKLKDGLGLVLEDFYQLAGKLAKDDEGVFRVEYDADDAEINGVEFSVAHAADVTVDDLTAEDGTAKFKELVPYNGILNLEGLNRPLLAVQVTKLRDGLAMGLAFNHAVLDGTSTWHFMSSWAEICRGAQSISTQPFLDRSKARDTRVKLDLTTPKDPNETSNGEDAANPTAEPPQLVERIFRFSDSAVHTIKSRANSVIPSDGSKPFSTFQSLTSHIWRHVTLARGLKPEDITIFTVFADCRRRVDPPMPEEYFGNLIQAIFTGTAAGLLAAHGPEFGASVIQKAIAAHDARAIDARNDEWEKSPKIFQFKDAGVNCVAVGSSPRFQVYEVEFGWGKPEIVRSGSNNRFNGMMYLYQGKAGGISIDVEITLEASVMEKLEKSKEFLLSEEEEEDDGKKLTNGHVNGNGNGFV >fgenesh1_pg.C_scaffold_6002014 pep chromosome:v.1.0:6:10335681:10336748:1 gene:fgenesh1_pg.C_scaffold_6002014 transcript:fgenesh1_pg.C_scaffold_6002014 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYSRSGSTPSPTEAYSLRNSSMARAPPPHAHHLDPPWLSSELSVCFWWLEALGPSVPAFSPVKTVTAHGKTLILLEVPFNGLGPHNQQQQQGPTFTLLLSILKSCGPTIRVLKPTYKVISKAHLPFVHNLNGGPTPLTAQPRPNCLILVAKKIIKQRPFPYLHSHTLCRFMDTIDRGRHLEHNSPLFLVWYKDFLGTLPLKSPRDIFHEFKRLKKNGIMAPSPRSGGYRSFFNPFSPFPLNTDFKQVHLINSKVIIHEIESLRKNGIMIPYPRNGGYRSFLNFLSPSPSDHRTVNTHLLADEQFQLALLAPTRTSDMEPSSTSPRLLTVTNLSSIDSFVEDH >fgenesh1_pg.C_scaffold_6002019 pep chromosome:v.1.0:6:10366691:10367778:-1 gene:fgenesh1_pg.C_scaffold_6002019 transcript:fgenesh1_pg.C_scaffold_6002019 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLTKVDDLIPYDRNCIICVKVLVFWFENFGRPNQKLEMILADLEETKIEATITGGAFDLDNLTSLREDTWYFLSDFLVLYLSDLLSNTSNMFRIWFHRPTKMTSTFERSPKKPCLMNRVGCSRFYLDPEFDELEEIKER >fgenesh1_pg.C_scaffold_6002034 pep chromosome:v.1.0:6:10466783:10468648:1 gene:fgenesh1_pg.C_scaffold_6002034 transcript:fgenesh1_pg.C_scaffold_6002034 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSLRKLIGGGAMASDSDRDVFSISGPFHLTSIDWTNSYHRTSVASSLVNGVYTMERDKQEKRVGSESQAMPWWDFFNFSLVETLIDDYDGSIYGAVFEYKLSNLCQNTSHVKAPPRYVIAFRGTILESETWMTDVKHNLKFSFNTLHEGGRSLQAIRAIRNMVEKHNHSAIWLAGHSLGAALVLLAGKTMTSFGFLLESYIFNPPISCIPLEQLPGGKKIKGVFQFTKTVVKATVAMVLTDLQVQEDDPKTASWIPYLYVNPEDPICAGYIDYFKHKTFMSKIGASKIEKAGAGKSVRSLLMGKSSSSDLSTEPLHLLPSADMIVNKNKPTKSMTAHGLHQWWERDSAMRENWESCCIRPYYEDKLQQLTIE >fgenesh1_pg.C_scaffold_6002042 pep chromosome:v.1.0:6:10511746:10516962:-1 gene:fgenesh1_pg.C_scaffold_6002042 transcript:fgenesh1_pg.C_scaffold_6002042 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEVAVVVVTVMVVAAVVVVIVIVVMVTLWGKIGASLHRSHKSKAIGGIPPYLMPFFGMFGYGGPYACMHLGRRTLVSSKTKLSKKVFTLQLNREALTDNRSILGKNWKSMSLNADGGMRDPLEEEIKLSPHGSFTKVEIFESECKIPEIYQLQCRLKDIYFPYIQCDEISKTGRTERPVEFQGNSITTNKKKVVEPSSKVGSWKLASNVESARQYNVQVGSSLPPCSIACFDEYENQIAFTSVPTLEVELNASPGFQIKIDMIEGQPDYEATLEICSKDEPFSVLVACKVNPRPLKHVVEMYPESLEYLLPGSTVQNYILEVFDGYNNHVAEGTNVLICIEGYCIKDPMGFNRKVNSCGCVDLSGILQVTASYGKSISLSVMYGIDEIFKKESLIERRELMLLTKLPDCCAAGSNLTNLIFEVTDSDGAMDTSIHHDEKSGCFHTMSIESDSRSVESAIRYAFVHESCKVPTLSLPESEGVFSFRVFHSRFPELHLTLNGLSYNMIYLEIQLTPAQIFERDEIGCSTPYSRMSLTPQSKMASTTNSSVAPTEQTPCSQFRVLAIRASSSALSSQTSLLDMAQFTESLKEKLIRYSEDIVEVDERLKCLEAEQNQAKEELSTLQASLETLGATFPECLSTKESMMKQIEEKHHDTAASVFCCLYRKAPPPQSLFLSKKGVFGLVELLGSVASTSPSR >fgenesh1_pg.C_scaffold_6002048 pep chromosome:v.1.0:6:10570894:10572565:-1 gene:fgenesh1_pg.C_scaffold_6002048 transcript:fgenesh1_pg.C_scaffold_6002048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, hydrolyzing O-glycosyl compounds [Source:UniProtKB/TrEMBL;Acc:D7M331] MAGLLIIFSTGAEASIGVNYGTLANNLPPPQQVAEFLLHSTVINRIRLFDTDPHILQAFANTGIAITVTVPNDQIPHLTNLSSAKQWISDQIQPHFPSTNIIRILVGNEVISTANHLLIRTLIPAMQSLHTALVSTSLHRRIQISTPHSLGILTNTTPPSSAKFRRGYDTNVLKPLLSFLRSTSSPFVVNPYPFFGYSIETLDFALFRPNPGLFDQHPKLLYTNMLDAQLDSVYSAMDKLGFSDVEIVIGEIGWPSEGDIDQIGVDVATAAEFNKNVVTRVNSGTGTPLMPNRTFETYIFALFNENLKSGPTSERNFGIFRSDLTPIYDIGILRPTFRSSNPENNTATPVRASPEKRWCVTKAGAETVALQRNIDYVCGLGLDCRPINEGGLCFLPNTVKAHSEYAMNLYYQTMEKHEFDCDFDNTGEITTIDPSYGNCEYQA >fgenesh1_pg.C_scaffold_6002092 pep chromosome:v.1.0:6:10958002:10959009:1 gene:fgenesh1_pg.C_scaffold_6002092 transcript:fgenesh1_pg.C_scaffold_6002092 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKKWYLDAILVPLALMMMICYHIYLSFMVRTNPFSTLLGINSHGRRMWISAMIKENQKTNILAVQTLRNIIMGATLMATTCVLLCAGLAAVLSSTYSIKKPLNDAVFGAHGDFAISIKYLTILTIFIFSFFFHSLSIRFLNQVAILVNIPNLDPTPSGCFFLTSEHVSEMFEKGIFLNTVGNRLFYAGFSLMLWIFGPILVFSTVLVMVLVLYNLDFVSRNNNKEKPRIVDCRRASDLSNGDDDA >fgenesh1_pg.C_scaffold_6002130 pep chromosome:v.1.0:6:11260929:11261485:1 gene:fgenesh1_pg.C_scaffold_6002130 transcript:fgenesh1_pg.C_scaffold_6002130 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSTASSTSRINDSVLSFYWIRTYTTKNSIVQDLNLCGILEEIARRGMSFDELLTIPEQDEWVYSDGKSTACVAFILAMYKAAGVFGPLANHIQVTEFTIRDAYTPKLFESNQTRLPSWCNTEEEKLDFCQILGEYRMELFCCL >fgenesh1_pg.C_scaffold_6002131 pep chromosome:v.1.0:6:11263248:11264169:1 gene:fgenesh1_pg.C_scaffold_6002131 transcript:fgenesh1_pg.C_scaffold_6002131 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPAVNGAKFVIDAAAKAKFKPVVFTSSIGLVYINPNRDPQAIVDENCWSDLDFCKNTKNWYCYGKMVVEQSAWETAKEKGVDLLFNFLAHDDEQYVWESQAGGSFTVTRDTSGEALGRGTKMVLYLKEDQLVTGEEAIKTALGEMDSTKESLLFWKDHSKFDVGTSLLTKFLMHSTDEFSY >fgenesh1_pg.C_scaffold_6002133 pep chromosome:v.1.0:6:11302981:11305136:1 gene:fgenesh1_pg.C_scaffold_6002133 transcript:fgenesh1_pg.C_scaffold_6002133 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKSSDGEAKDGSSSETQSTEYMEISTGDESSMLGKRKVETPILEDLSENEGDIEGVDDDSDSEWDKDSFDGLEYHSCDDQKEYIDKYFEKRARFYKRTVIETKSLDDDLEEGLTVRQFLANMTSLCLDKYNKRKGFNVKLEHVLRANFNPGGRTTYYITFAARESDSPDAPLVEYQVKVDWSAGNTYPILCRPTSPPQLVEDNMYEIRMVLCTYTGFVHSGLNL >fgenesh1_pg.C_scaffold_6002146 pep chromosome:v.1.0:6:11392051:11393772:1 gene:fgenesh1_pg.C_scaffold_6002146 transcript:fgenesh1_pg.C_scaffold_6002146 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIKITLVLNLLHLLFVAALVRSDQNTLPLRSFKISENAKYDCVDIFKQPGLNHPLLQNHTIQIAGVRSRAGPFHGVEAWYDGYALNVGRYQISYSQIFIGSRLNNQNNFIQAGYIINPGFFRTGQLWTYAFWKGKDGKGCYNTAFDGFIQVSRKFPIVQPIDLKPGVPDWSRWSIHQKLVAYSISNGPNEDIGYWSKELFNLIDNGATTVGVGGAVQASGSGESPPMGNGNFPVGGRLDSALVTNIEVLDSNYNNRKMNSFPTEIMVYSPKCYGVRLVR >fgenesh1_pg.C_scaffold_6002170 pep chromosome:v.1.0:6:11511109:11512278:-1 gene:fgenesh1_pg.C_scaffold_6002170 transcript:fgenesh1_pg.C_scaffold_6002170 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIPAAVMTDIVRRVGSDGFRNLGPLIAAGPFFQEIVFSRDVLLDVDLDEFLFNSRLGREESIYRPFLLRCAAAGHEVARYLEGLWRLTQEGPSVEALEMLGEVGYSSIYATFAFAVMLLCCGSYDQGMVVTRTFFSRIQTLEEAIAVAGVVEDQIRHIGPGGRNVFDVIFISKSIQFATSHTPTRLLPFVTIVLRLITPPLSMKCVKLGGILTNGGLQTVEPILCGIGDEPSFGIMDIA >fgenesh1_pg.C_scaffold_6002201 pep chromosome:v.1.0:6:11752729:11754673:-1 gene:fgenesh1_pg.C_scaffold_6002201 transcript:fgenesh1_pg.C_scaffold_6002201 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLILVILCGFYNEAYGKGSLDIDIKLKALNKPALKTIKSTDGDIIDCIDIYKQHAFDHPALRNHKIQMKPSVEFGTKKTTTIPNNGSSEQITSQVWSKSGNCPMGTIPVRRVSREDISRASSPSEFGRKTPHRYKFLDNALQHKGNFNITAEKINQAQPRLRSEAFIVALGYNFVGAQSDINIWNPSRVEASDYSTAQIWLVGGLSDTFESLEAGWMVNPAVFGDSRTRLFISWTRDAYTKTGCINLLCAGFVQTSQKFALGATIEPVSSASSTQYDITVSVFLDPNSGNWWLTCANNVMGYWPGTLFNYLKHSATAVQWGGEVHSPNVILKKPHTTTSMGSGQWASYIWAEACYHTNIRIKDYSMQIKYPKYLSEYADEYECYSTKLHRKTYMSEPHFYFGGPGRNSRCP >fgenesh1_pg.C_scaffold_6002202 pep chromosome:v.1.0:6:11756196:11758469:-1 gene:fgenesh1_pg.C_scaffold_6002202 transcript:fgenesh1_pg.C_scaffold_6002202 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLVFAILWGLFYNVAYGKGSLDIDMKLKALNKPALKTIKSEDGDIIDCIDIYKQHAFDHPALRNHKIQMKPSIEFGTKKTTIPNNGSSELITSQIWSKSGNCPKGTIPVRRVSREDISRASSPSHFGRKTPHRYSFLDKALQHKGNFNITAEKITHARPKLRSEAVLIALGFNFIGAQSDINVWNPPRVQASDYSSAQIWLLGGLSDTFESIEAGWAVNPRVFGDSRTRLFTYWTKDGYTKTGCVNLLCAGFVQTTTKLALGAAIEPVSTTSQKQHYITVSMFLDPNSGNWWLTCAKNVIGYWPGTLFTYLKHSATAVQWGGEVHSPNVGKKPHTRTSMGSGQWASYLWAQACYHTNIRIKDYSMQIKYPTYLSEYADEYDCYSTKLHRKTYMSEPHFYFGGPGQNSRCP >fgenesh1_pg.C_scaffold_6002203 pep chromosome:v.1.0:6:11761285:11762590:1 gene:fgenesh1_pg.C_scaffold_6002203 transcript:fgenesh1_pg.C_scaffold_6002203 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRVVQLEEGKDIVVTGGRTGLNKAFPFKLLLLLGFFLAFTVVLFFISVSTFKFYGINSVVTSVTSSFVPCHEKRNDLHKWIEPPMVLMHNMSDEELLWRASFMPKTKEFPFNRVPKIAFMFLTMGPLPLAPLWERLLKGHEKHYSVYIHSPVSSSAKFQASSVFYRRHIPSQVAEWGRMTMCDAERRLLANALLDISNEWFVLLSESCIPLFNFTTIYTYITKSKHSFMGSFDDPSPYGRGRYHGNMAPEVSIDQWRKGSQWFEVNRELAVSIVKDTLYYPKFKQFCKPACYVDEHYFPTMLTIEKPAALANRSVTWVDWSRGGAHPATFGAQDISEEFFAWVLKGDNCTYNGGYTSMCYLFARKFSPSALEPLIQIAPKILSF >fgenesh1_pg.C_scaffold_6002222 pep chromosome:v.1.0:6:11899118:11899990:-1 gene:fgenesh1_pg.C_scaffold_6002222 transcript:fgenesh1_pg.C_scaffold_6002222 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 50 [Source:UniProtKB/TrEMBL;Acc:D7M4P8] MNGAETNLARSYSDDTHSGFEFPELDLSDEWMDDDLVSAVSGMNQSYGYQTSDVAAALFSGSSSSFCHPESQRTNASVAATATASANNQNKKEKKKVKERVAFKTRSEVEVLDDGFKWRKYGKKMVKNSPYPRNYYKCSVDSCPVKKRVERDRDDPSFVITTYEGSHNHSSAN >fgenesh1_pg.C_scaffold_6002224 pep chromosome:v.1.0:6:11909486:11910008:1 gene:fgenesh1_pg.C_scaffold_6002224 transcript:fgenesh1_pg.C_scaffold_6002224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4Q0] MASIAGERNANSYHDFATYYFPYVNAGGQPKSESYDLKKFHVGDMIIGRIKRVEPYGLFIDIDQTGMVKKKTIAARRSQVKMIILPEANRKDFDELAENVKEVLDGNKRYMMLIY >fgenesh1_pg.C_scaffold_6002234 pep chromosome:v.1.0:6:11985457:11987440:1 gene:fgenesh1_pg.C_scaffold_6002234 transcript:fgenesh1_pg.C_scaffold_6002234 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVISSNGNSKAFDAKMTVYVFICVIIAAVGGLIFGYDIGISGGVTAMDDFLKEFFPSVYERKKHAHENNYCKYDNQFLQLFTSSLYLAALVASFFASATCSKLGRRPTMQLASIFFLIGVGLAAGAVNIYMLIFGRILLGFGVGFGNQAVPLFLSEIAPARLRGGLNIVFQLMVTIGILIANIVNYFTSSIHPYGWRLALGGAGIPALILLFGSLLICETPTSLIERNKTKEGKETLKKIRGVEDVDEEYESIVHACDFARQVKDPYTKLMKPASRPPFVIGMLLQFFQQLTGINAIMFYAPVLFQTVGFGNDAALLSAVITGTINVLSTFVGIFLVDKTGRRFLLLQSSVHMLVCQLVIGIILAKDLDVTGTLGRPQALVVVIFVCVYVMGFAWSWGPLGWLIPSETFPVETRTEGFALAVSCNMFFTFVIAQAFLSMLCGMKSGIFFFFSGWIVVMGLFALFFVPETKGVAIDDMRDSVWKLHWYWKRFMLEEDEHDVEKRTD >fgenesh1_pg.C_scaffold_6002242 pep chromosome:v.1.0:6:12026310:12028088:1 gene:fgenesh1_pg.C_scaffold_6002242 transcript:fgenesh1_pg.C_scaffold_6002242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7M4R9] MFGVRMSWKIRSTTTAVVSVPEVVEIGEEKKGLDSIQIQPARTWHTGDFSAGSSRRPGMSLRMPEGWPPWLISACGDSIKDLTPRRATTYEKLEKIGQGTYSNVYKAKNLLTGKIVVLKKVSLYLVFEYMEHDLSGLSATQGLKFDLPQVVGKRKVMVMEIGFVPTYEDKDGDWMLVGDVPWDMFSSSCKRLGIMKGCSCSRLFLMIYRR >fgenesh1_pg.C_scaffold_6002248 pep chromosome:v.1.0:6:12068377:12069262:-1 gene:fgenesh1_pg.C_scaffold_6002248 transcript:fgenesh1_pg.C_scaffold_6002248 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSPIVSGRSFVRGTNFQYAGSQFAGLQRPLAHAPPNSQAVNVEPNEQREQAALVIRDIRQLHPLRRNGAKWFKNNTEVSTRVRKIIEGCFKGPWYSWERVPPFYKEAWFSTFKTKYEWDASIEDLVKANFDHLAATRLKGMYFLHCIMLCL >fgenesh1_pg.C_scaffold_6002251 pep chromosome:v.1.0:6:12095692:12097424:1 gene:fgenesh1_pg.C_scaffold_6002251 transcript:fgenesh1_pg.C_scaffold_6002251 gene_biotype:protein_coding transcript_biotype:protein_coding MWWVVHRRMSKRNLNRDCENKRICELGKDLEEILKGRLETIEEEPEVEERERLGDSQMQKPMLIKAKMKVEKGKAIVKAKVKSGKNYRVMILISASMKNLSLVIVPLFFFFLTILASTEPPHLILRHDNYNQTDLISAMTDMRRQSYNGFVILLRFLNDTNYFRNTDITFLMPSDNDISHADITPENLETFILKHTIPAWLMINHMLHFPNRTLVPCSLSDKMFTITKSGGSGIYVNNARIVTPNVCQNSRISCHGISDVIAFNQNYMSTKMLSSVRRNITSLKH >fgenesh1_pg.C_scaffold_6002254 pep chromosome:v.1.0:6:12107123:12107642:1 gene:fgenesh1_pg.C_scaffold_6002254 transcript:fgenesh1_pg.C_scaffold_6002254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy-metal-associated domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M5B3] MTTKKIEIKVNIDCEKCKHAIMEAVTELEGVNIVSLDQEKGILTVVGTMDPVCVAEQLRKVKQKPVVISVGPPKKPDPKKPDPKKDPCFPYYYYTPRDMISVNTYESGSGCTIV >fgenesh1_pg.C_scaffold_6002259 pep chromosome:v.1.0:6:12158490:12158843:1 gene:fgenesh1_pg.C_scaffold_6002259 transcript:fgenesh1_pg.C_scaffold_6002259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5C0] MGKMKKGADAPGRRNETVPTLGEEELQTLSPVVEARVEESEKNEEEENGEEENEEEEKEEEEKEEEEKKKKEMTKTEVRQVVVVEPFPESPPPMRVWRMR >fgenesh1_pg.C_scaffold_6002278 pep chromosome:v.1.0:6:12359386:12366824:-1 gene:fgenesh1_pg.C_scaffold_6002278 transcript:fgenesh1_pg.C_scaffold_6002278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit B [Source:UniProtKB/TrEMBL;Acc:D7M5E3] MCQNQCEESLSRDVRMIVFPKDRRGHLMVLCLSSKQVVELQICFRKSLKSLSFNCHVSIWRKWNRSSWTWQWTHYKTTKSLRHTIELASRVHEGTKKDCLYLDVELGTFKFRTYVPGLVIRSGPDTEVYWNDARQKKPEPVHKRPLPSSELMMFSSYAVVAESYVQWSPLGTYLVTLHKQGAAVWGGTDTFTRLMRYQHSMVKLVDFSPGERYLVTYHSQEPSNPRDASKVEIKVFDVRTGRMMRDFKGSADEFSIGGPGGVAGASWPVFRWAGGKDDRYFAKLSKNTISVYETETFSLIDKTSMKGGGNQPAKVALVQIPSKVELRQKNLFSVSDCKMYWQSSGEYLAVKVDRYTKTKKSTYSGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDQPRPDVSFYSMKTAQNTGRVSKLATLKAKQANALFWSPTGKYIILAGLKGFNGQLEFFNVDELETMATSEHFMATDIEWDPTGRYVATAVTSVHEMENGFTMWSFNGNLVYRILKDHFFQLAWRPRPPSFLTAEKEEEIAKNLKKYSKKYEAEDQDVSLLLSEQDREKRRALKEEWEKWVMQWKSLHEEEKLARKNLRDGEVSDVEEDEYEAKEVEFEDLIDVTEEIVQESIDSSVFALQNMEEVADPVNSVTLTLHDDKQCALWDCMRVHADGSRYCCIPHMDFALAERTIQRPHNMANVRSFIQLDKLVIPKLVNHLRNPALGITGISNLQHLNVLNASPLDQHIRFPTDLDEREEFNIWVFIWRFVPNRQLWRQGNDTRRGNYVRTRFYRLFPAVGGTSWRLFRYTINSNTVALYAMEYCKSLAHPPPVVGVYYRRTCVNASDGVEYLNSATVTDLHSSKNKTEQNEMHSEFLANKGVQHHTPARDLMAYSDGMISPELLSVRNKSPNENPNWISDPERMVNSGNEKSIRISGLRSRPWDEIRNAANKRKTPPCSHELHPKSKARKQKPDAERAAKRELLRGVSKNVYKQKRPSSIFMEKSVVLPDFEFQSPVFRYEAPISSPSPSFTKRTSKETTCQARRFPDFSGKTRDYYFGREFSGDDLGDSDMLAYYNSLEEREAASANLKDNGHVVISPSSLISKVIHITPSSQHSEMDEEEVFGRAVALFIMAFQKFEKKLKLAAEKKCSEIIASVSEEIHLEGKEDELPRQNSRKDTKNSLIVSTSLNSRGHQILKHLEGTIKTKLDNASKRIEYVNNCAKRLKRDLKKSVREYLMED >fgenesh1_pg.C_scaffold_6002286 pep chromosome:v.1.0:6:12445351:12445943:-1 gene:fgenesh1_pg.C_scaffold_6002286 transcript:fgenesh1_pg.C_scaffold_6002286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5F2] MPLNMTLEIDGFKVVPLMDEFSFAEIMSKEELEKEQRRRLDKEAGDNIICSQVPREHVGDSDDGQLGWIGNSYASSGYATLSAALRHYKTITAAPQHQGSPVSVLEPQTSSSTDDNRVTRLTRDLFSDFERAIAADGSSGDIGDNSEQQNGAATQREAPERINGRNSYTNLL >fgenesh1_pg.C_scaffold_6002299 pep chromosome:v.1.0:6:12570262:12570654:1 gene:fgenesh1_pg.C_scaffold_6002299 transcript:fgenesh1_pg.C_scaffold_6002299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5G7] MRRFQRPYHPMVGSFVNAGYHLMEIIESTTTTHPPSPAAGQDFPLWMFKEDFRPCFSAKYTWEQVPKYYFITCVALKIGLPHDLGHKVWELYSHVFYAAGRKGHMITSSSPACILSPYGWKSANLFSIIT >fgenesh1_pg.C_scaffold_6002311 pep chromosome:v.1.0:6:12649207:12652347:-1 gene:fgenesh1_pg.C_scaffold_6002311 transcript:fgenesh1_pg.C_scaffold_6002311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside-triphosphatase/ nucleotide binding protein [Source:UniProtKB/TrEMBL;Acc:D7M5I2] MLWVDKYRPKSLDKVIVHEDIAQNLKKLVTEQDCPHLLFYGPSGSGKKTLIMALLKQIYGASAEKVKVENRAWKVDAGSRTIDLELTTLSSTNHVELTPSDAGFQDRYIVQEIIKEMAKNRPIDTKGKKGYKVLVLNEVDKLSREAQHSLRRTMEKYSSSCRLILCCNSSSKVTEAIKSRCLNVRINAPSQEEIVKVLEFVAKKESLQLPQGFAARIAEKSNRSLRRAILSLETCRVQNYPFTGNQVISPMDWEEYVAEIATDMMKEQSPKKLFQVRGKVYELLVNCIPPEVILKFMLSQRLLHELLKKLDSELKLEVCHWAAYYEHRMRLGQKAIFHIEAFVAKFMSIYKNFLISTFG >fgenesh1_pg.C_scaffold_6002336 pep chromosome:v.1.0:6:12823955:12825518:1 gene:fgenesh1_pg.C_scaffold_6002336 transcript:fgenesh1_pg.C_scaffold_6002336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M643] MLIRCVAKTRLTTTGVARLVSTLGDCSDTSSLAHRNSLKEILRKNGPRRSVTSLLQERIDSGHAVALSELRFISKRLIRSNRYDLALQMIEWMENQKEIQFSVYDISLRLELIIKTHGLKQAEEYFEKLLNSSASLRVAKSAYLPLLRSYVKKKMVKEGEVFMEKLNGLGFLVTPHPFNEMMKLYEASGQYEKVVMVVSMMKVNKIPRNVLSYNLWMNACCQVSGVTAVETVYREMVGDKSVEVGWSSLCTLANVYIKGGFDEKAKLVLENAEKLLNRSNRLGYFFLITLYASLGDKEGVVRLWEASKLVCGRISCANYICVLSSLVKIGDLEEAERVFNEWEAQCFNYDVRVSNVLLGAYMRNGEIRKAESLHARVLERGGNPNYKTWEILMEGWVKCQSMEKAIVAMHRAFELMKGCHWRPSESIVMAIAKYFEEEEKIEEANTYIRDLHHLGLASLPLYRLLLRMQEHAQRPAFDIYEMMKLDKIGIDE >fgenesh1_pg.C_scaffold_6002349 pep chromosome:v.1.0:6:12990273:12991814:-1 gene:fgenesh1_pg.C_scaffold_6002349 transcript:fgenesh1_pg.C_scaffold_6002349 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATGID1C/GID1C [Source:UniProtKB/TrEMBL;Acc:D7M662] MAGSEEVNLIESKTVVPLNTWVLISNFKLAYNLLRRPDGTFNRHLAEFLDRKVPANANPVNGVFSFDVIIDRQTNLLSRVYRPANAGPPPSVTDLQNPVDGEIVPVIVFFHGGSFAHSSANSAIYDTLCRRLVGLCGAVVVSVNYRRAPENRYPCAYDDGWAVLNWVNSSSWLKSKKDSKVHIFLVGDSSGGNIVHNVALRAVESGINVLGNILLNPMFGGTERTESEKRLDGKYFVTVRDRDWYWRAFLPEGEDREHPACSPFGPRSKSLEGLSFPKSLVVVAGLDLIQDWQLKYAEGLKKAGQDVKLLYLEQATIGFYLLPNNNHFHTVMDEIAAFVNAECQ >fgenesh1_pg.C_scaffold_6002361 pep chromosome:v.1.0:6:13194342:13196646:-1 gene:fgenesh1_pg.C_scaffold_6002361 transcript:fgenesh1_pg.C_scaffold_6002361 gene_biotype:protein_coding transcript_biotype:protein_coding MNELMKTCLFIKFINKLFTSIAEHCADAEVIYKFLEVNEIDKTTVVSGDDLFVHQSISSEKFRSLAVDEAVEFKVEVDNASRLKTVEVSGPEGEDVMVEIIKEDMVVMVLALGVVNQVIWREIVVKVVEDTVVVEVVEGTIKSIGYDIYDSYTKDQSLQKNL >fgenesh1_pg.C_scaffold_6002367 pep chromosome:v.1.0:6:13220886:13221677:-1 gene:fgenesh1_pg.C_scaffold_6002367 transcript:fgenesh1_pg.C_scaffold_6002367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:D7M691] MATSFCSSISMQAPKSGTTTRFSLQKPVLIFNNGKTNLSFSLHRRSMPARLAVSCGAKQETVEKVSEIVKKQLSLTDDQKVTAGTKFTELGADSLDTVEIVMGLEEEFGITMAEERAKEIATVQQAAELIEELVQEKTA >fgenesh1_pg.C_scaffold_6002380 pep chromosome:v.1.0:6:13354163:13354735:1 gene:fgenesh1_pg.C_scaffold_6002380 transcript:fgenesh1_pg.C_scaffold_6002380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I allergen family protein [Source:UniProtKB/TrEMBL;Acc:D7M6A9] MAETTKEMPKSSLWGEVEVEVDIKAPAAKFYHVYAGRPDHVAKATSSKVQACDLLEGEWGIVGSIVNWNYVYAGKAKVAKERIEIVEPEKKLIKFRVIEGDVLAVYKSFLITIRVTPKEGEVGSVVKWHLEYEKNDENVPHPYNFLPFLAEMTKEIDEHLLSEE >fgenesh1_pg.C_scaffold_6002399 pep chromosome:v.1.0:6:13683808:13685004:1 gene:fgenesh1_pg.C_scaffold_6002399 transcript:fgenesh1_pg.C_scaffold_6002399 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLWIFVWLFVVLVPFLKRAYFKNSVALLYTTFSRKEETLTFLSLLPDEIVLNCLARISRSYYPKLSLVCKTFRTLLISNELTVARLHLKTHKTLFHVCLQFSDKPCSSLFVLWIKPGQTLTNQLEKKERSIGDTRLVPIPSSYCSTVPFYTISVGSEVYGLKQYNDPSSMMWVRNKKNLFWRKAPNMTVARAKAIARVHNGKIYVMGGCRADESANWGEVFDIMTQTWEPLPDPGAELRFSSIRKIEVFQGKLYVRSNEKKDSVYDPKEGMIEIANYGGKLLILWDKFVLHGTCQEKDIWCAVIALERRDGSDEVWGNIDWANIVLTVPNAYVFVNSLKNRV >fgenesh1_pg.C_scaffold_6002418 pep chromosome:v.1.0:6:14022958:14023637:1 gene:fgenesh1_pg.C_scaffold_6002418 transcript:fgenesh1_pg.C_scaffold_6002418 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRREGLTLPISSSTPSLPESLPDIKTVAPHKPKQRLSKQLSMRETPRDVAWEKRRRQMLKIQEKKQKGVSENDSDPPDLTDEDLRELKGSIELGFGFSEEAGQKLCNTLPALDLYFAVNRQLSPLPSPSSSRSSNGGDGSLSSTSVSSSSIPCSPKTDSDSLKILCPGDNPQQVKQRLRHWAQAVACSLMQSH >fgenesh1_pg.C_scaffold_6002421 pep chromosome:v.1.0:6:14036501:14037427:1 gene:fgenesh1_pg.C_scaffold_6002421 transcript:fgenesh1_pg.C_scaffold_6002421 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEEVSIAELYDYISKANEQTQDAEFFCNAYVVGVLQRNGWTYRSCKDCGRNLEKRTTLLRCKSCVTPNEEGILRYRVELDVHDGEHGTTFVILDKEMRKLTNKTATTIMDEKGNKGNNNILPTCLSDLAGKHFRFQIRVSPLNYTPKNQNFMVSNISYKNFDEGWSTFEEQNPIKKPTTTSTIS >fgenesh1_pg.C_scaffold_6002424 pep chromosome:v.1.0:6:14064929:14065354:1 gene:fgenesh1_pg.C_scaffold_6002424 transcript:fgenesh1_pg.C_scaffold_6002424 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYTDIDTVYVPLNWGKRHWVGAVIQLKIWKVLILDPLISSNDAKKLPRLLKPLVEILPVIIKEFAETYGIDCTLPETFTYERLVNVHQNKRTGDCGPLTVKFIELHAQGMGLDELTDAKVDEMRMRFAIDLYEECVGSV >fgenesh1_pg.C_scaffold_6002432 pep chromosome:v.1.0:6:14116300:14116605:-1 gene:fgenesh1_pg.C_scaffold_6002432 transcript:fgenesh1_pg.C_scaffold_6002432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6Y2] MAHVEERKKLGASAFSIYRVKTAPQNYQTGDCGVYSVKFIECLAIGISFEGLYDSAMLGIRLKLAAEVFDEVPNSDCFIQIKDPRGVDTVGVEFISQNDPS >fgenesh1_pg.C_scaffold_6002439 pep chromosome:v.1.0:6:14236991:14239129:1 gene:fgenesh1_pg.C_scaffold_6002439 transcript:fgenesh1_pg.C_scaffold_6002439 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEARSLQSSAKAVSADQRGRLAMSVKRLDQSRDRVREIRRLMPETEEVGIFVFQDLNQQCQTLLHVHTKVVKIDGHVIGDGKVGRVTRTLQNAYKKRQRILVCQYLLTKTLKYKTRGFEHEGTQPKEAFNVHIQTYRKPERIWIPGSYDTFGAALMENCISLRDLKASKLSGNVLNRLFNLNKFMASETYSFARLSVTD >fgenesh1_pg.C_scaffold_6002441 pep chromosome:v.1.0:6:14319453:14321720:-1 gene:fgenesh1_pg.C_scaffold_6002441 transcript:fgenesh1_pg.C_scaffold_6002441 gene_biotype:protein_coding transcript_biotype:protein_coding MQICMQFTEIGYEADIHFTYNVEESSKDSEVPSFAKVHLPSFLELGLGELVLICSNLCEMGTPPALIDADQLQLDPEVLFPWEVGTIPDGIWAPWWYKSVHESTGFSSPKKYPRVKEAIFRSLITNGMFDNTHIRLSLTRGEKWNCAGDCNHAPKLAIMLDGGWTQGGGIGNACGGANP >fgenesh1_pg.C_scaffold_6002448 pep chromosome:v.1.0:6:14396003:14397375:-1 gene:fgenesh1_pg.C_scaffold_6002448 transcript:fgenesh1_pg.C_scaffold_6002448 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAADGDPPLPEPPDPPDPPNHPSCFVLISISNPSPISPPFTHFHLPLSLDPVLDLGSSASSFGGSPFASLRIFTAVCSPWFQTCSQICLLSVGSQAKAVGNVVSPPLWPVTRRLMFLQSGSDVSPAPLASVPSRSFRLSSTVSSKWAWAKNPLVGFNPIASLSIILKAMAFTGFIGMSTRLGLFFRVTVKLSHCASILPVIAPLGSTDDPFPVFLRIVTAACSPWKLVYFQIFGYPFDTSGSDSLVLTPVLHDISPPSVLRASITLSLAQNRLWFHTCVTYSMASILVCPPPIQNFASVRSITAICSFFVFIMAFGAVILVSLSWWQVERPPPMNLDMLGIWCPGSSLTEQFLFPKFPPMWSGLDVEALSVLQGSSSRLKLLSAFDADYVISRVTTDAVFQESMEIVLVVRFPLCYSYDLYRLSIYLLTIVICLLTVGCNSSL >fgenesh1_pg.C_scaffold_6002453 pep chromosome:v.1.0:6:14520104:14520889:-1 gene:fgenesh1_pg.C_scaffold_6002453 transcript:fgenesh1_pg.C_scaffold_6002453 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLISFFIFLFLHFAKAQLRVGFYSTNCPTAESAVRAVIRKEVTSDPKKAVALLRLQFHDCFVQGYDGSILLRNDSDETVVQKNVGEWCPGVVSRADIIALVARDAVFLSNGPLFEVPTSRRDGRVSKAEDAENLPDSEDTIKTLKAKFDNKGLTEKNLVNVKIMLDWNGEFQFDAHIFTNFKVGRTVISLDDVVYQN >fgenesh1_pg.C_scaffold_6002455 pep chromosome:v.1.0:6:14551444:14553090:1 gene:fgenesh1_pg.C_scaffold_6002455 transcript:fgenesh1_pg.C_scaffold_6002455 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLDIHTALDIVSCVGADSFISLRGMLLASKFYYSLATHPTVLNHVSLQPFLADAGLINEDSVYRPFFHQCLDSHNATAAYLESIRLAVKLGCAEDALQLLSTIGNYPPHAWFSRALLQVCLGFYSESLDTIDSFVSSIGSFREADAIGSKVFRHILQMRPVKIRSHCDTWRYDDIPRCPGTRCSINRRCRICFLYWFSVMRLSQHGFRELGALIASGPEFMALVFDASVLKDVDIDEFVFVTQLCNEDSVFRPFFLRCLDSGNPAAQFVEGLRLAVAEGPSERSVELLCEASVDSMYARFALGLVLVCSGSFDTGMHVMEQFFSLLRNMEEEVDIAEMVLTQTAGFRLPRAGRFNNSFRFGGGLPHCFLNNYSVLHLCRRCFVYMYAIRFQELC >fgenesh1_pg.C_scaffold_6002459 pep chromosome:v.1.0:6:14616843:14617277:-1 gene:fgenesh1_pg.C_scaffold_6002459 transcript:fgenesh1_pg.C_scaffold_6002459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7K2] MERGFKATAKTDGNLRNIRSHSPTTTETKISVQEEEELKKKSQNEEEASKNKSQKDNINVLGLASSSNKENVNKCLQRPINSSSPISDSKTQKKIPETQSKSCALQLINKETSRELPPVLCNHYQALLSLNDDDKIDKCQIMVA >fgenesh1_pg.C_scaffold_6002462 pep chromosome:v.1.0:6:17201437:17202471:1 gene:fgenesh1_pg.C_scaffold_6002462 transcript:fgenesh1_pg.C_scaffold_6002462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7K8] MVVPFDTGDEVVVSLEYEKLANFCNHCSRLTHDMGSSPDLQKKVGSRSYEEYGDRRGVSRQQHITKQMYQGQEGGWEKPRKPAAKRALEFSGEESRGGFHNQMEALNWGQKKSFPGTWAESSEAKRNGIPKVGFSVTQHQAQSTGNARNAAGLAWLKPLYQPKSVSKETQVTLKDIGSPKIPELNEVQSALAMEDVPEMQVKNLKAGLQLSESNDDLLEDGEYQVGEDSDVQATNEDIIEEQGKESPTENKSVLKGNIQASNLFHADIEYVSQGMKGINLKSIKNQKSRDVNTLGSKGITGKDCWLRLCLLNLQMK >fgenesh1_pg.C_scaffold_6002465 pep chromosome:v.1.0:6:17236003:17238250:1 gene:fgenesh1_pg.C_scaffold_6002465 transcript:fgenesh1_pg.C_scaffold_6002465 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHIHIPDGLPKSEQELEEEEKSKMPDSAFTRLLRTKGTIPAWFSHAPDHEAALGYNDFEGQVPPELFKKDLDAIFLNNNRFTSTIPDSLGESPASVVTFAHNKFSGCIPRSIGNMKNLNEIIFKDNSLGGCFPSEIGKLANVNVFDASLNSFTGVLPPSFVGLTSLEVFDISGNKLTGFMPENICKLPKLVNLTTITERRDRRYQLY >fgenesh1_pg.C_scaffold_6002479 pep chromosome:v.1.0:6:17645712:17648496:-1 gene:fgenesh1_pg.C_scaffold_6002479 transcript:fgenesh1_pg.C_scaffold_6002479 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEDEKDKKWNPPPPPQKADLKLWGILAFTVIGATATTFAVHQLRRSFDWVYTQVARSQSARKGAKGGSFRTAYQEEAWRRYNKRMQEEYEDELERVERIRRMQSVFNRERNKFRRGYENWKENDPGAQQYHQQFQRHDWYWKTESSHRNQRTNHQEPPDQRRVYPLSHHYSVLGLSRSRATPYTEAEIKKAFREKALEFHPDQNQDNKVVAEAKFKEVLLSYEAIKEERKEK >fgenesh1_pg.C_scaffold_6002480 pep chromosome:v.1.0:6:17652890:17654720:-1 gene:fgenesh1_pg.C_scaffold_6002480 transcript:fgenesh1_pg.C_scaffold_6002480 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGQENDRRQRPSDSGPAVFPFPKLTYDSISAYNLKDSILLEMQPATRHAVQEHLITAAATTGTLVRKGITETKEKVSVGKIKMEEAAKKTAQKSKTILTDIERWQKGVASSDMFGVPIEIIVQRQESSRPIPLILIKCADYLILTGLNSPNLFKAEGDKKLIQQLVSAYNQDPSASIPEGVNPVDVAALMKYYLATLPTPFQAP >fgenesh1_pg.C_scaffold_6002495 pep chromosome:v.1.0:6:17815874:17818531:-1 gene:fgenesh1_pg.C_scaffold_6002495 transcript:fgenesh1_pg.C_scaffold_6002495 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSIAISCDQAINNLTSCISGDGNSFRNLVNNLASLRRATRQLEARGDDLLTRVKVQEDGGRSRLAEVQEWLSEVDITVRETHDLLLQSDDEIDKLCCYQYCSKNWISRNGYSKRVVKQLTETEILLFRGVFDEVTQRGPIQKVEERLFHQKIFGQEELIESTWNSIMEDGVGILGIYGMGGVGKTTLLSQINNKFLIESNQFDIVIWVVVSNNTTVKRIQEDIGKRLEIYDENWERKTENEKACDINKSLKTKRYVLLLDDMWRKVDLASIGVPVPRRNGSKIVFTTRSNEVCGRMGVDKEIEVTCMMWDDAWNLFTKNMEETIKSHPDILEVARSVAKKCKGLPLALNVIGEVMARKKTVEEWHHAANVLSSSAAQFSGKDDLIDYWVGHELIGGTKLNYEGYTIIEALKNACLLIESESKDKVKMHDVIRDMALWIPLGFGGPQEKLVAVEENARKIPKIKDQEAISSISLISNQIEEACVSLDCPNLDTVLLRDNKLRNISQDFFYCVPILKVLDLSLNANLTRLPNISNLVSLRYLNLSCTGLKDLPNGLYELNKLIYLNLEHTYMLKKIDGISSLSSLQVLRLYGSGIDTNDNVVKEIQRLEHLYQLTITLRGSSGLESYLKDEKLNSYNQQLHLSNQSSVLIVPIGMISSSRVLEILDSNIPKLEIKLPNNDSDDEYVHLLKPASEYCSNINFFSLREVRLDNCTSLRDLTCLLYAPHLAVLYLVWLPDIHAIIDRYDEFPLMSKSLRNRQPYRLLPFRALEFLTLRNLVKLRSIYRGPLPFPNLKEINIKGCPLLTRLPINSESAQSQNVIMNAEKEWLEKVKWRDQATKERFYPS >fgenesh1_pg.C_scaffold_6002504 pep chromosome:v.1.0:6:17925105:17926774:-1 gene:fgenesh1_pg.C_scaffold_6002504 transcript:fgenesh1_pg.C_scaffold_6002504 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIIFIDLILHKTKAYRHLLFNVFNQESVNIQVGDSKRWSVYDSEFYYQWSKEKQFHVGDSLLFENNNKVNDVFEISGDLEFLYCDPISPVAVHKTGHDLVKLTEPGIHYFISSEPGHCEAGLKLQVVVGPTANVPKLSPLERLTRWLDSFMFNHH >fgenesh1_pg.C_scaffold_6002518 pep chromosome:v.1.0:6:18126376:18127045:-1 gene:fgenesh1_pg.C_scaffold_6002518 transcript:fgenesh1_pg.C_scaffold_6002518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8B5] MDLPILSMKLTLLLLLLLTFCSTKSISRYPSKYSHVECFENYAVKQGKDVVMQLAAFNTGILIHLRQSLLLFNTTLTWTCVALVWKKTLKLSTLINVETLKTDMTTLKDDIVALKSEFKDEMAATRASLQAILQALGVNSATPQQVNHTQPYVPTTMSPNPTVLNATMPNTASTPPMTQAQQTAFEEW >fgenesh1_pg.C_scaffold_6002526 pep chromosome:v.1.0:6:18191453:18192646:1 gene:fgenesh1_pg.C_scaffold_6002526 transcript:fgenesh1_pg.C_scaffold_6002526 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYPLSKLNPDTSEWRIRAKVLAIWQEYYDHYSTVDVVLVDDKGGKIHGIIPMELMPQFSSRIVENRWIVITDFILRPVVDALKPVAHRFELERSGDGFYDFVDFGRILNGAHDTSICVDIIGKAINVSKITSFGCHVYEDQVEHIVFDLQDTSERVLRCVLSITDALPLYRLWMTDPSDVIICVLRFVRVEFREGMWICSGVRCSKLLLNPSIPDVKKMKSVFSIKHGPVAKKQKIKD >fgenesh1_pg.C_scaffold_6002527 pep chromosome:v.1.0:6:18193158:18194756:1 gene:fgenesh1_pg.C_scaffold_6002527 transcript:fgenesh1_pg.C_scaffold_6002527 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSKTYDSVWRLNPSKYEWVVETKVLCSWTRRLENSGRRLEFVLADREGNKIQCSLWGEVYDKFVSVIVSGSWFRIKDFKVVHQTGDCRATLHRYKMILLKNTTIVKIPGIDNNQFFDFVDFGSILDRRYSDDFLVDRSKRYNEGRLVVENKEPTRSLKHSNEDVYGFSSTKRFRPLTGGYKELLEEVGGINDQGHGRLGSEFGEDDEEDDDEEEEEDTEGDEEVEEDVDADEGEGEYEEEETYGEFDYDGDDSIYRTVSFYLRDRRNAMLRCRLFGKVALTFYDTFKEQADGAVICVIRWGLIRCYGGDVAIHATDCTRIEINPDIRGVECFDELVKENM >fgenesh1_pg.C_scaffold_6002530 pep chromosome:v.1.0:6:18206663:18211674:1 gene:fgenesh1_pg.C_scaffold_6002530 transcript:fgenesh1_pg.C_scaffold_6002530 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFTSSSIERYNDFSRLNPAIVGWHVHVKVLRRFHTDDYISKGGLGLLLVDDKGNQIEALICSPLTSHYSTFIEEDEFYTIMNFRVVENSGFTKLTRIDFKIMFYDGTIVKEASSFSQDDYIVATPFGAIFNGYHDTSYLITLIGRVVESSDLTNVTDEPSVIGGYRYSFTIEDQEKKTLKCCAYGGVAIECHDRFRNVIGSMESFRCHGWPFDVMTYNRNMFTISSLNPLIHEWSTCVKILHVWHELDDVSNALNLILMDNQGTKIRAVIRESLVTRFSPLLIEGLWMILRKFSLIPDVDLVRTTPHRFKIQFSPDTCVDYLNYLACDYDFFNFARFRDIRTDLVGKVDNVNDIQLVQMVGSSKDISVVYFDLIDTEHTRLSIRLTGETAVRFHRQWKFNTDDVVICNIRFAKIVATSNRMWHCTNIGCSKIMVDAPLPGVVELKECWADYCGSPRTGGSGYGDGVLSQFLDVTSDSSCISDVEYLDDVSAAVDLSSVLHVEASAAVLSLDEKFKDVLSDLGALSADKDYVLAVLYLESRTQLKSLYLRYLLSRYLQEAKSLDPLMQTKKNYADLRMKYFGDGRFVAAGMKPYPSDGLECDEILMNTNEDTLECINDIVISSWRLCPAYLRLRFVESLILYKSINVHTVWDTCYRVLSGDVLAEQKIARNNPGLELSDVQLEWYGFRVMRKVMGDLGFHHAGFEGVRLGIVRRLLTYKCDDMSM >fgenesh1_pg.C_scaffold_6002561 pep chromosome:v.1.0:6:18674698:18677146:1 gene:fgenesh1_pg.C_scaffold_6002561 transcript:fgenesh1_pg.C_scaffold_6002561 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRSSNCAICENSNRACICSACVNHRLIEYNTLLKSLKTRRDSLLSRLSHLLESKGKADDQKNWRLIQNENILKLKKKLKSNKELVTQGKGKIERGSCDLKVKYGVLDSACSTLEKIRVEQVEKYFPNLICTQSLGHMAISSERLHKQSVVVKQICKLFPLRRVSFDGESQNGSVRQYDVICNSRLPSGLDPHSIPSEELAVSLGYMVQLLNLVVQNVAAPGLHSSGFAGSCSRIWQRDSYWDARTSTRSNFGVASMESDRKEPRLDSTGRNSFKYSSASPHSIESHRDLQKGIALLKKSVACLTAYCYNSLCLEVPPEASTFEAFAKLLATLSSSKEVRSVFSLKMASSRSCKQAPQLNKSIWNAHSVISSSLLESAHLPERNASYNQDPNSAASYLSASASSTRKNNDMNGWDLVEHPKYPPPPSQSEDVEHWTRAMFIDAKKK >fgenesh1_pg.C_scaffold_6002563 pep chromosome:v.1.0:6:18701454:18703370:1 gene:fgenesh1_pg.C_scaffold_6002563 transcript:fgenesh1_pg.C_scaffold_6002563 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAQRIRWELLRTYDHSFPLSDYSPETRASLFSSDLQTLEHEFGRFDISEENNCVNGYDARRSYNYDFHERSRSASVMGSPYPPFNGNLVDVPFFPSNPFFDQSPWSDAYGYMSGIRNTLLSRAKDRIESRLLQDVIAKGSKETIDKIFDNLISNVCELMLDPFGHRVFEKLMEKCTDEQITRVLDIVLQQPLQFVRLCVDSHGTRAIQDLMRSLCSVEQIARFMATLCHVALLLTKDANANLVILFCFNHFSPSHSRYLLEVIVQNCYQVAIDQHGYCMLNQCIRQSSRELRDPLIKEIITNVVRLCKNCYGNYVVQYVLDLEDCEVTSALSKHLDGNYVQLSYDKYGSHVVQKCLENREFCSRRIIAELLSDIDSLLVDPFGNYVIQTAWIVSEDHMRNVLLYHINRNVSFMRCNVYGRKLLQKLNLWR >fgenesh1_pg.C_scaffold_6002570 pep chromosome:v.1.0:6:18784423:18785697:-1 gene:fgenesh1_pg.C_scaffold_6002570 transcript:fgenesh1_pg.C_scaffold_6002570 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein [Source:UniProtKB/TrEMBL;Acc:D7M8H6] MNPNPVTNGFVKPVPTEEEQAKIEEVRKLLGPLPEKLSSFCSDDAVLRYLRARNWHVKKATKMLKETLKWRVQYKPEEICWEEIAGEAETGKIYRSSCVDKLGRPVLIMRPSVENSKSVKGQIRYLVYCMENAVQNLPPGEEQMVWMIDFHAYSLANISLRTTKETAHVLQEHYPERLAFAVLYNPPKFFEPFWKVARPFLEPKTRNKVKFVYSDDPNTKQIMEENFDMEKMESAFGGNDDSGFNINKHSERMKEDDKKRLAAMEDIPSASLDSLSILSVSDGTASNSAHPSSQDVSEDEHQPQGKGSIP >fgenesh1_pg.C_scaffold_6002572 pep chromosome:v.1.0:6:18853248:18854330:-1 gene:fgenesh1_pg.C_scaffold_6002572 transcript:fgenesh1_pg.C_scaffold_6002572 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKEEDEGRGRTSVPTQLMKLKRTQWWILVFISIFFLISAQAIGVLLGRFYYNEGGNSKWISTLVQTCGFPILYLPLCLLPSSKSSSSFSSSSSSSFKTLFSFEKILKSEIFAIVLVMQIYTSLVASCVAVIGLFASGEWLLLSEEMEEFQEGQVIYVLTLVGTAVSCQLGSVGSVALIFLVSSLFSNFIGTLSLIVTPLAAIAVFHDRLTEVKIVAMLIAFTGFAFYIYQNYLDDLKVQRAREAQAE >fgenesh1_pg.C_scaffold_6002585 pep chromosome:v.1.0:6:19025479:19026098:1 gene:fgenesh1_pg.C_scaffold_6002585 transcript:fgenesh1_pg.C_scaffold_6002585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LX58] MRRWISVVSCFWNGLLRLFLSLVSGFNLIVLLRLISGSKWFSIRLISVFRIDLVDLGLFSNLLDGINLRTVLEFLGIRYIRFSFWAVEIIEISRFRWISIKLLPVSRFDLVDFGLILVLSDFNIIVVDGKILGKRFKRLGLWEEEIKMGSCDGVMWVSSPVGKSKDPANLEGCFSASTTR >fgenesh1_pg.C_scaffold_6002605 pep chromosome:v.1.0:6:19282421:19283240:1 gene:fgenesh1_pg.C_scaffold_6002605 transcript:fgenesh1_pg.C_scaffold_6002605 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPQWTRADDKDFESALVIFPEGSPYFLENIAQTLKKTVDEVNNHYNTLVHDVDLIESGKFVLPKYPDDDYVTLTEASPSRNKGTGKKNGIPWSQNEHRLFLEGLNKFGKGDWKNISRHCVKSRTSTQVASHAQKYFNRLKRGITDGKRSSIHDMTLGDVENVPGSNLTCMDQQPHFGDQISWNQCYLSQENFPVFR >fgenesh1_pg.C_scaffold_6002608 pep chromosome:v.1.0:6:19340757:19341845:-1 gene:fgenesh1_pg.C_scaffold_6002608 transcript:fgenesh1_pg.C_scaffold_6002608 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGSENNNHETKPSPTRRLRCSEISPGENSGDITIPTDLAFMKPICEKRTGSIGGLGVRKLNTKVEESYEARKKFPNAKSISSAQFLGDQNKASDLESEFTLEKLSNDFSSLVCKTKERLGTLGSVITGLKNGIFPRKPGNPDDIKALRRDVTDLMHKLRSEDAHAIPWDTRMKWVEKVCDQLVEVTLGVDLAGGLGPTVYTLREDAWDLSEQLIIERDRERARRERESSNSCSK >fgenesh1_pg.C_scaffold_6002609 pep chromosome:v.1.0:6:19345531:19346860:1 gene:fgenesh1_pg.C_scaffold_6002609 transcript:fgenesh1_pg.C_scaffold_6002609 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIDRSMVREIDGAVKLPKGSLTVQEGFEERVKGRGVVWGGWVHQPLILAHPSIGCFVNHCGPGKIWEALVSDCQMVLIPFLSDQLLSTKLMTEESEVSVEVYREKTGWFSKESLRYAIKSVMYKESGLGKLVRSNHTKLKEILVSPGLLTGYVDNFVEALQEDLI >fgenesh1_pg.C_scaffold_6002616 pep chromosome:v.1.0:6:19428325:19429345:1 gene:fgenesh1_pg.C_scaffold_6002616 transcript:fgenesh1_pg.C_scaffold_6002616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXA7] MAPKGKSSRGRGGGQNTRATAAGGDHNSRAVAADPVESTRPAAAGGGETSRRGGGETSSRGGHQTSSRGGTRTCLGYSDSYLPVFMITTWCILICSYLLGTRTLVSRTKSYNGFWMREVYLRNMQNGLRFSHSPDLD >fgenesh1_pg.C_scaffold_6002623 pep chromosome:v.1.0:6:19513042:19513671:-1 gene:fgenesh1_pg.C_scaffold_6002623 transcript:fgenesh1_pg.C_scaffold_6002623 gene_biotype:protein_coding transcript_biotype:protein_coding MTVWWMADYLIAWPSDAFDDSERFYLEDLSKLKIVKVTIETTFKGLASGGIGEHVERKDIIKSGVSECTRFLYLKGNLCWGEEDLTPMSLEEICNQNMQR >fgenesh1_pg.C_scaffold_6002637 pep chromosome:v.1.0:6:19690528:19693163:-1 gene:fgenesh1_pg.C_scaffold_6002637 transcript:fgenesh1_pg.C_scaffold_6002637 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRSQLQRTERNWATLCCSASSSCLQLYWARFLLRKWFNISANESDHVANSDDEEDDDDSVADSDIEDAEPRLRRRNSETFRVQYMDTKAFRICVGTWNVGGRVPPTDLNIDGWVDTIEPADIYVLGLQEIVPLNAGNIFGIEDNRPALEWEDTIRDALHRIRPRKVKIVSYSDPPSPSKFKPFEEVTDVVEEMVVENTSDTCNVINSVSENFSFDDGIVDTNYDMRSWLPRQEYLQRQFSSPKKLDRLFSMQLGTGSKRAESLSRWFSYSERVGLSWPEPPLRLLNQHVRERRCSLKSSLKPFKNYSSFKATANNLAGKKTPLLSDLDLKPLMNVRKPSYVKIISKQMVGVFLTIWVRRSLRKHIRNLSVSTVGVGVMGYIGNKGAVSVSMSVYQTPFCFVCTHLASGEKDGDHRKRNADVSDIHRRTQFHPHSLSATRLPRSIRDHENIIWLGDLNYRINLSYEKAHELIARKDWKRLAEKDQLVREMKQGRVFEGWSEGTLDFPPTYKYEIDSEKYGGNDPKSGKRTPAWCDRIIWYGKGMKLMSYRRSEIKLSDHRPVTATFVVEVEVFSPRKLQRTLTLTNAELNSHEGFAHD >fgenesh1_pg.C_scaffold_6002645 pep chromosome:v.1.0:6:19752667:19754503:1 gene:fgenesh1_pg.C_scaffold_6002645 transcript:fgenesh1_pg.C_scaffold_6002645 gene_biotype:protein_coding transcript_biotype:protein_coding MYPQWSNAEEDKDPALDNLIKDIIHNRLALDAWKGVPAFGVSKKKRKVKATVDEGSSTQKGKKIKKAEFSGEERVKIQKEDEKIVSEDIQVDKDDKKGFSDILLMMEKMNGSIVDMGKNLSSRIDDLENTFDSQIVAVETDLKELKQAKPASIPTAQVANSINNEDEGASSKSPASYSLSWKVEEKPSSVDGLPVQRVVKKTYTVQKKVKKEGETSGDLLLIEKKDGSKAERKKSEKAPLKEEATKAAKRGVAKPPVKAAVKEKAAKNQCLKAVVKKEAVKKDGSKAGNKMKKKSTTQEDDVVDITDKVEEEALKMVSSSEDTYSDPGLQKANKELDATLTVMVEKLKDLDEGVTVGKRVPQLAGSQKYPFLGNSTVKRIITDGEPSSSIPNHMMHVSDEKIHQLFDFLETDDEEDFLNTTNGDMRFYRQIITPRAEWLYETYGWLKDYHMGAAMAMFRKRLMRKPSAYPNQRITFLDQDMMRELARDYEHFSGRT >fgenesh1_pg.C_scaffold_6002647 pep chromosome:v.1.0:6:19762841:19764523:1 gene:fgenesh1_pg.C_scaffold_6002647 transcript:fgenesh1_pg.C_scaffold_6002647 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKSTATSLDEVDRTVYASFRTAANSLSQLYTQSMNHQKLSFQAGERHGLEKLYQWIWRQQEGGSRVTPMDIVNYIQNELECCIDEPPISPRAPPTQPTMHVTNSGLMATSGTSFPTPVPVVRSEQCENQAKNSVFSNALSSPIRRSLQNYQIPQGAYTSGGTRSSEVNRGSNSPGSIDSSMDMHAE >fgenesh1_pg.C_scaffold_6002654 pep chromosome:v.1.0:6:19805097:19805886:1 gene:fgenesh1_pg.C_scaffold_6002654 transcript:fgenesh1_pg.C_scaffold_6002654 gene_biotype:protein_coding transcript_biotype:protein_coding MWRIKLVLETSENMFKKSVKNLPVVHKEMGSELTSDDLIKILTYQPLYTMGDPHTMKEIILVTSAVPNLASSVSRNILMVAKEKCVSFEFLLVDDDPSRVHEKKETFLRTLSEIDNCCMRYWPNDSVSMKTYVQALFSKLNDASGDLGHIHPNYLFPKALWFGQHL >fgenesh1_pg.C_scaffold_6002685 pep chromosome:v.1.0:6:20063003:20064631:-1 gene:fgenesh1_pg.C_scaffold_6002685 transcript:fgenesh1_pg.C_scaffold_6002685 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEDVVSFYNSNNVGGDGNNVAGVPPNQDNVMNENEKTMPPPGNPADKDKVPLPEVSSSLPDSSLQGDDMETSYPRESEALRPLHSDLPPTTDADLGDTNLPASSSPTLPVLPDGSGAIVGASDSVLANSSPLESTSAVEEEKDSDQANNYPAKSTIAVEEQKDSDEVRYFCPVTDLIW >fgenesh1_pg.C_scaffold_6002690 pep chromosome:v.1.0:6:20092579:20093729:1 gene:fgenesh1_pg.C_scaffold_6002690 transcript:fgenesh1_pg.C_scaffold_6002690 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIHSSGKKKSDRRDIFVDVSELNPMSIVRRIKVKILRIFESCTLFYETLELILVDAKGHKIRAIIPRDVGYRFPKTLIEGNWMALKHYDIVPSSIGIRLTTHEYEIQWLSSTIPSKIKSLSSGDYFSFVSFEAINNGFFDLDISYDLIGRVLSVGSDAEGNTINIDGKEIYFELENDNCERLRCRLPWFYVSKFLAEWSQCNDDIIICIFRFARTEISEGTRRVTASYTCSQILLNHSCHDVTKMRGIFVNKNHRQMSHIQL >fgenesh1_pg.C_scaffold_6002718 pep chromosome:v.1.0:6:20392085:20393309:1 gene:fgenesh1_pg.C_scaffold_6002718 transcript:fgenesh1_pg.C_scaffold_6002718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7LYP6] MATSATSKLLVSDFDSSVSHIPSNYVRPILDRPNLSEVESSSDSIPLIDLRELHGPNRAEVVQQLDSACSTYGFFQIKNHGVPDTTVDKMLTVAREFFHQPESERVKHYSADPTKTTRVSTSFNIGADKILNWRDFLRLHCFPIEDFIEEWPSSPNSFKEVTAEYATSVRALVLRLLEAISESLGLESDHISNILGKHAQHMAFNYYPPCPEPELTYGLPGHKDPTVITVLLQDQVSGLQVFKDNKWVAVNPIPNTFIVNIGDQMQVISNDKYKSVLHRAVVNTEKERLSIPTFYFPSTDAVIGPAHELINEQESLAVYRTFPFVEYWDKFWNRSLATASCLDAFKASTT >fgenesh1_pg.C_scaffold_6002724 pep chromosome:v.1.0:6:20426400:20430721:-1 gene:fgenesh1_pg.C_scaffold_6002724 transcript:fgenesh1_pg.C_scaffold_6002724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LYQ3] MTIPNSDFMIENGVCDFPTTPAEEKRIVSELITESEDNLKEGNLYFVISKRWYASWQKYVEQSTNECLSGESSRPGAIDNHDIIESESDANDPQLRRLLVEGVDYVLVPQEVWKRLVEWYSGGPPIERKLICQGFYSRSYSVEVYPLCLMLTDGRDESRTTIRLGKQTSIRELCEKVCTMTGVAQEKAHIWDYFHKRKNGLLDPSSNKSLEESSLQMDQDILLEVDGSSSSQYAISSAGNELALVPLEPSRSRVTIAGGPTLSNGHSTSSKFSLFPRITSEDDGCNSLSILGKGEKGGLAGLSNLGNTCFMNSALQCLAHTPPIVDYFLQDYSDDINRDNPLGMCGELAIAFGDLLKKLWSSRNAVAPRSFKTKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNKVKRKPYIELKDSDSRPDDEVAEELWNYHKARNDSVIVNVCQVFDFFQGQYKSTLVCPVCGKISITFDPFMYLSVPLPSTLTRSMTVTVFYCDGSRLPMPYTVTVPKHGSIRDLITALGTACCLADDESLLLAEVYDHKIFRYFENPLDSLSAIKDDEHIVAYRLNQMPKGSGNAKLEILHGGLERDVLESVRGRDVKLFGTPLVTYVNTDPLSGTDIDAVISGFLSPLHRVHASSKIHNGSDNGHLADATTDETSASLSSPDTEIDDASDGQLSFRIFLTDERGLNYKPLQSESSVSPGTITKVLVEWNEGEHETYDSSYLNDLPEVHKTSFSAKKTRQEAISLFSCLEAFLAEEPLGPDDMWFCPSCKEHRQANKKLDLWKLPDILVFHLKRFTYSRYLKNKIDTFVNFPIQDLDLSKYVKNKNGQSYLYELYAISNHYGGLGGGHYTAYAKLIDDNKWYHFDDSHVSSVNESEIKNSAAYVLFYRRVGSETETQTAEMSRSDMD >fgenesh1_pg.C_scaffold_6002737 pep chromosome:v.1.0:6:20522934:20524687:-1 gene:fgenesh1_pg.C_scaffold_6002737 transcript:fgenesh1_pg.C_scaffold_6002737 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMSNLPNDLLEEIVSRVPLKSMRKVRLTCKKWNALFKSRSFTKMHIGKEEEASKELGETRMIVMMDCNVYLMGIIVNENPSIESLGKLTCLHDSEQVKISQVFHCEGLLLCILKVDNTKIVVWNPYLGQTRWIQTGKHYHASGWVTLDVYNYALGYENNSENRSLKILRFTKDFHYHFPENVALWYEIYDFDTDLWTTLDVSPHWRIISNCGLSLKGNTYWGAVERNASAHHIICFDFTIGRFGPLLPLPFKARGSDFVPLSSVRDEKIAALFRASEKVEIWITTNIDDAKNVSWSKFVTLNIPYLDQNLSYKSFLIDEEKKVVVVFDNERKVTHNTIIIIGEAGCLRKLELGEPVDKNCWPLVCSYVPSIVQIKQHNEGQKKNQTSDYGYMVYSLHRFMIWKLVIHVMVSALKELNHYHGYESIPYHIKEMCFRITTLEDRDTRFGVLKK >fgenesh1_pg.C_scaffold_6002741 pep chromosome:v.1.0:6:20540703:20543104:-1 gene:fgenesh1_pg.C_scaffold_6002741 transcript:fgenesh1_pg.C_scaffold_6002741 gene_biotype:protein_coding transcript_biotype:protein_coding MELQKDMPLDITPISQLTLGTDPCKINVRIVRLWGFPKKNKPEEFTGIDLLIVDEKGSRIQASVKGKLLDKFQKDLKEGKCCVLMNFELCPNLGKFRSCDHPYKINFIFYTCVKPSEEIPNLEACFNLCPFPEILARRNDDTIFIDIIGEIVGMNEVKSITTAEGPTKLLNLQLKDLGDSLIDVALWGKLAEDVYSNIKSQPSGPVVFLGSLMKTLLYQGKGTVQSSKFTTKAYINSPLPEILQFQEALCNEEPRLAITEIISSKSAHISKQSFHLSERKTIIELMETNQVIARKVNLRFSNFFKGTLHHVKLRGGYLDLKYTIVVFIFKD >fgenesh1_pg.C_scaffold_6002744 pep chromosome:v.1.0:6:20558097:20559756:-1 gene:fgenesh1_pg.C_scaffold_6002744 transcript:fgenesh1_pg.C_scaffold_6002744 gene_biotype:protein_coding transcript_biotype:protein_coding MTEREDERGIEQGKGQLLWLGRDSVRGHWSDLPFDILRSLFECLSIVDFHRAKIVCTNWYVCSKQTLHKKRKSPWLMLFPEDGCVLYNPDEDRNVVNLRGLLWVDEKKKEEYTVVWFFDTGASYIAFCKNGEDHYRSIPTDIDVIHRQLRGISDMVLRGDILYVFTIRRYIRVLDLSGHEGYNDVVSNTDLLSPLYPASHPSSDDETTYDGAFSRHNIAVTTSGEVLLVESIVYDDSSDSEIPPMIGFRLYKKVPNPDPDEFIYRPKVSVEVDSLGDEALLLDLGLTVPADHTLGIQPNSIYFTRHDRLRNCKLKPSCRARDICVFNLETKNVTRFPSLSYLGAKDAQWFLPS >fgenesh1_pg.C_scaffold_6002759 pep chromosome:v.1.0:6:20665186:20669242:1 gene:fgenesh1_pg.C_scaffold_6002759 transcript:fgenesh1_pg.C_scaffold_6002759 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQIRFIFEVEAAKEATDGNPSVGPVYRSTFAKDGFPNPIDGIQSCWDIFRTAVEKYPNNRMLGSREIVNGKAGKYVWKTYKEVYDIVIKLGNSLRSCGIQEGEKCGIYGINCCEWIISMEACNAHGLYCVPLYDTLGAGAVEFIISHAEVSIAFVEEKKIPELFKTCPNSTKYMKTVVSFGGVKPEQKEEAEKLGLVIHSWDEFLKLGEDKQYDLPIKKQSDICTIMYTSGTTGDPKGVLISNESIVTITTGVMHFLGNVNASLSEKDVYISYLPLAHVFDRAIEECIIQVGGSIGFWRGDVKLLIEDLGELKPSIFCAVPRVLDRVYTGLQQKLSVGGFFKKKVFDVAFSYKFGNMKKGQSHVAAAPFCDKLVFNKVKQGLGGNVRIILSGAAPLASHIESFLRVVACCNVLQGYGLTESCAGTFATFPDELDMLGTVGPPVPNVDIRLESVPEMNYDALGSTPRGEICIRGKTLFSGYYKREDLTKEVLIDGWLHTGDIGEWQPNGSMKIIDRKKNIFKLAQGEYVAVENLENVYSQVEVIESIWVYGNSFESFLVAIANPAQQTLERWAVENGVNGDFNSLCQNAKAKAFILGELVKIAKEKKLKGFEIIKAIHLEPVAFDMERDLLTPTYKKKRPQLLKYYQNVIDEMYKAAKEGQASGQ >fgenesh1_pg.C_scaffold_6002767 pep chromosome:v.1.0:6:20716869:20718570:1 gene:fgenesh1_pg.C_scaffold_6002767 transcript:fgenesh1_pg.C_scaffold_6002767 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDITLPIIAEDGDCGESKRVRVADPGLTVGGEDRPVKCPKIEDNGDVGTSEGTHLFVDELMADVAINDKDGKTNAGHGVVSVMGRQRAMTTAVSTVVEEIPSYDIFGIFHGLRLAKFFEDRLRRLVKDEVKACHSRGVAADWNKVMKSCFSEAVGTVGTTATKAVVTILGKEEVVVLCRGGARVVIYSHGGIALPMCHIHHHKDGVEQILKIHKRKKIDDFIVLACDGLWDVVSDGDTYQLVKSCLYGKLPDGCISESSTTKAAVILAELALARGSKENINVIVIDLRRSTVS >fgenesh1_pg.C_scaffold_6002790 pep chromosome:v.1.0:6:20925359:20925724:1 gene:fgenesh1_pg.C_scaffold_6002790 transcript:fgenesh1_pg.C_scaffold_6002790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZG9] MGSIHGQALSSLKIPVLVIQFSFPILIIYKHLLPELFPLPVQEEFFEIEEEFFDADDEFLDADEEEGAITIDADYEGDDESDPNGDMNELFEFTDEIEQVEPLIDNAVVAAEIPANAVPAI >fgenesh1_pg.C_scaffold_6002791 pep chromosome:v.1.0:6:20934540:20936299:-1 gene:fgenesh1_pg.C_scaffold_6002791 transcript:fgenesh1_pg.C_scaffold_6002791 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRSSRPEAGHGSALHRHESSTNRVSERVGVREQGRTKMTRICSIKEQQKKRLKIYVDTGTSSSKAVYKYFSSKLIDQRSSEVKALSMLSVEDKTRVESVLDYIEDIDLRRRMLPYIKAFSFGLKDWRLRINCITNPYMYEQKLLKLNKAFKIRLGRGLYGGCLGMRADGNHQLSDGKLLSLQSSAAGLRPIEAIIFMQRNNLKMCFRSTDAITDTSEIAKVCYVSKSNCNF >fgenesh1_pg.C_scaffold_6002796 pep chromosome:v.1.0:6:20962789:20963233:-1 gene:fgenesh1_pg.C_scaffold_6002796 transcript:fgenesh1_pg.C_scaffold_6002796 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKENENIQDPQGKGKSVAQVTETSTSVISEKHQEAEQKDLELSVSGKRLRLEKDNDGSPGGVFINGRKETHSTAMAISTQAVEAVDARVEVELGENQEVRETTMLLGLPEGVVDGNVA >fgenesh1_pg.C_scaffold_6002797 pep chromosome:v.1.0:6:20965008:20965634:1 gene:fgenesh1_pg.C_scaffold_6002797 transcript:fgenesh1_pg.C_scaffold_6002797 gene_biotype:protein_coding transcript_biotype:protein_coding MMHRGCSLHIPKNDCVDCQKVGVYHNKQTILVMGFESLRLPQDQVKKMFRDLFGSCGEITTLTVPINYKTGFPMGFAFINLKDGQGVEKALKLHYSELEGIPLVVTLAQERHLGKIYHGCERCMSETSARMDLPDEPEVHPVARFPRLSFC >fgenesh1_pg.C_scaffold_6002821 pep chromosome:v.1.0:6:21278097:21279091:-1 gene:fgenesh1_pg.C_scaffold_6002821 transcript:fgenesh1_pg.C_scaffold_6002821 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRSRKVPRWENMDRDILAKIFEMLNVVDITMGASRVCVTWFLVAHQKSLWKTINLANLQLADFKHPRLQNLRFESQNVIEGLHNPRRVLLEITKFSGTAPTNLFFNFYSFIEDEDLIIAFERMPNIRKLVLSQWCNISENAYQLAFSQWKNLHTLIISPHFFLKGMVKCVRVVGENCTNLTNLKLSGHVDKYLTEEIVRYLPKLKRVSMRCCVIDSVQEVSLFITCLQNLTILNLSHCVLKTLVKGSLFEESFIKIATRKIDTLIMCSKVGCRLCEDRSRALESDAFYEKHWRNDEIKELEF >fgenesh1_pg.C_scaffold_6002822 pep chromosome:v.1.0:6:21280533:21281576:-1 gene:fgenesh1_pg.C_scaffold_6002822 transcript:fgenesh1_pg.C_scaffold_6002822 gene_biotype:protein_coding transcript_biotype:protein_coding MEKADSSREDSKWATLDRNILAIIFDKLDIMDITMGASRVCIYWFLVSHNITLWNTIDLSKFQHKGKNVIYKYRVDDEVEEALRFSNLLIKMSHFFFNFCEVKGIKLRNLLIEITKLSRTAPKNLFFNFYSNIKKQDLMFVAERMPNIEKLALPVSWSLCNAVNSFRFAFSQWKNLKTLIMAHNDFFIWPYTFEFRVVGENCSNLNNLKIMGYLDNKDAVEIVRYLQSLKRLSLQCSLVTVEGVLSLIRGLENLVIFNVTHCKYLDYNPITMNIIVQAATQKLEKFIICSENDCKVCKDRPNVLRLHGFYEKSWCNDEIKELEF >fgenesh1_pg.C_scaffold_6002835 pep chromosome:v.1.0:6:21397520:21398854:-1 gene:fgenesh1_pg.C_scaffold_6002835 transcript:fgenesh1_pg.C_scaffold_6002835 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQVPLLNLPLTTTPELINKIETYLNRNYTCPHQKIENSKTSTLPKSSEKLKAMNFPISMIKIGTWTPVAINPEDIVAKFYFAKKKLIWEFLFGEEETNMPRLKRKIEIQWNDVSSFEESIYTHDETGILKIELRKRPTFFIETNPQAGKHTQWKQLDRDFTDNQASTCRRHTIHFPPGVLQKNLEKLLTNSFWSKLYNVPFPVEESLFFDIGFKNNNSSHNSHSQTVGFNVNYGLQHHHYSQGIGGVGVGEGNFNISPQFRANDGWQRNQMNQDEIRKMQIIREIVQSQAYAAVADTQTNNVPMYPPVGSFAATLIEEEERQYKDQTNVDGNYHIKEYQKIPYIRSSNWEVLPGMDVEEDW >fgenesh1_pg.C_scaffold_6002838 pep chromosome:v.1.0:6:21406633:21408216:-1 gene:fgenesh1_pg.C_scaffold_6002838 transcript:fgenesh1_pg.C_scaffold_6002838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M080] MERDRSSFQARENRIEPVAKVQHSAGIIESQKRRNKQICLKKKAPPPRIETHYARRDAPGPFSSSASGRLEPPAPGMFAPPAAGPFAPPGPGPFYPPAPGPIGVFDVIAEKTLSSYKIGKLYFANYIILWDIYLDLYPTDSAIPLKYFLEHLHMVTNLWDVIEVHEDRRLKKIQ >fgenesh1_pg.C_scaffold_6002843 pep chromosome:v.1.0:6:21437254:21438072:-1 gene:fgenesh1_pg.C_scaffold_6002843 transcript:fgenesh1_pg.C_scaffold_6002843 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVPACNYCPLSWLTSTAIGSYIYMIGGYINGAPSSRVFFFDCRSHTWHEAPSMPVARNYPFVNVLDGKIYVVDDRNVSDSSNLIDFFDPKKQIWEQVPNPSAEITGSYIARSLVLDEKLYLFGQFGYRSVVYKPKENTWDVVGLEKLLWLVTISSSCVIDNNRPWRVLEGLEEFRKLSTLHSGVRLVNYGGKIAVLWEKKVRAIGGSNKKMIWCAVIALERRNTQEIYGKIEWCDVVLTVSKSCYLFEVVAVNV >fgenesh1_pg.C_scaffold_6002845 pep chromosome:v.1.0:6:21448819:21449929:1 gene:fgenesh1_pg.C_scaffold_6002845 transcript:fgenesh1_pg.C_scaffold_6002845 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEMTVMEVFIANIGDAKAVLARSSTPSEMGNHIETCNPLKGILLTREHKAIYPQERSRSQKSGGVISSNGRLQGRLDVSRAFGDRQFNKFGVTATPDIHAFELTERENFMILGCHGLWEVFGPRFVQKLFKEGLPVSSISCRLVKERRCKEKPCTKSKELYYRSSTR >fgenesh1_pg.C_scaffold_6002847 pep chromosome:v.1.0:6:21478393:21480209:1 gene:fgenesh1_pg.C_scaffold_6002847 transcript:fgenesh1_pg.C_scaffold_6002847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M094] MAAKSQKTLILLANLIKVPPLKAFSLLNSPNFHGFQHTHESISILLRLLLSGNLYSHAQSLLLQVISGKIQSQFFTSSSLLHYLTESETSKTKSRLYEVIINAYVQSQSLDSSIYYFNEMVDKGFVPGSNCFNNLLTFVVGSSSFNQWWCFFNESKIKVVLDVYSFGIVIKGCCEAGEIEKSFDLLVELREFGFSPNVVIYTTLIDGCCKKGEIEKAKDLFFEMGKFGLVANEWTYTVLIHGLFKNGIKKQGFEMYEKMQEHGVFPNLHTYNCVMNQLCKDGRTKDAFKVFDEMRERGVSCNIVTYNTLIGGLCREMKANEANEVMDQMKSDGINPNLITYNTLIDGFCGVRKLGKALSLCRDLKSRGLSPSLVTYNILVSGFCKKGDTSGAGKVVKEMEERGIKPSKVTYTILIDTFARSDNMEKAIQLRSSMEELGLTPDVHTYSVLIHGFCIKGRMNEASRLFKSMVEKKFEPNEVIYNTMVLGYCKEGSSYRALRLFREMEEKELPPNVASYRYMIEVLCKERKSKEAEGLVEKMIDSGIGPSDSILNLISRAKNDSHSRSMDEEEPASMVFDGLMVCEL >fgenesh1_pg.C_scaffold_6002848 pep chromosome:v.1.0:6:21482511:21484436:1 gene:fgenesh1_pg.C_scaffold_6002848 transcript:fgenesh1_pg.C_scaffold_6002848 gene_biotype:protein_coding transcript_biotype:protein_coding MFCMKLFVFVALVLTSCVANPAFKELSEEEDLELERQLKVMNKSPVKTIETEDGHIYDCIDFYKQPAFDHALLKNHDFHPDMKPSKVNRPQKVENEEESRDNKTKSVTLKGIGCPRGTVPIRRTTKEDLIRLKTFNEMFDSNIHPQTNSEPGLHYAGGRVRPEWIKKHIGGADGHFALYKTPYVNQLQFSSGLIKVSNGSDFIKAGWTVNPTLYGDNRCRFFAYLHTREQHCFNTNCPGFVIVNTDIPLDYAFPEVSLTGVHMVEARFYIFRDPLNGNWWLNIGDKEKTIGFWPSRIFTDLAYNADDVFWGGEIFTIPNSKSSPMGNGLKIVYDDPKLYAYARDVSIVDADSQKIIGVAGANEVISDIGWDYVRQNYFYVNKYWGRTIMFGGPARIFGK >fgenesh1_pg.C_scaffold_6002860 pep chromosome:v.1.0:6:21574143:21578013:-1 gene:fgenesh1_pg.C_scaffold_6002860 transcript:fgenesh1_pg.C_scaffold_6002860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:D7M0B0] MAYHPAYTETMSMGGGSSHGFGQWIDQQIVPFATSSGSLRVELLHGNLDIWVKEAKHLPNMDGFHHRLGGMLSGLGRRKSKVEGDKSSKITSDPYVTVSISGAVIGRTFVISNSENPVWMQHFDVPVAHSAAKVHFVVKDSDIIGSQIMGDVGIPTEQLCSGNRIEGLFPILNSSGKPCKQGAVLSLSIQYTPMERMRLYQKGVGFGVECVGVPGTYFPLRKGGRVTLYQDAHVDDGTLPIVHLDGGIQYRHGKCWEDMADAIRQARRLIYITGWSVFHPVRLVRRNNDPTEGTLGELLKVKSQEGVRVLVLVWDDPTSRSLLGYTKQGVMNTSDEETRRFFKHSSVQVLLCPRSGGKGHSFIKKSEVETIYTHHQKTVIVDAEAAQNRRKIVAFVGGLDLCNGRFDTPKHPLFRTLKTLHKDDFHNGNFVTTADDGPREPWHDLHSKIDGPAAYDVLANFEERWMKASKPRGIGRLKSSSDDSLLRIDRIPDIMGLSEASSANDNDPESWHVQVFRSIDSSSVKGFPKDPKEATGRNLLCGKNILIDMSIHAAYVKAIRSAQHFIYIENQYFLGSSFNWDSNKDLGANNLIPIEIALKIASKIRARENFAAYIVIPMWPEGAPTSYPIQRILYWQHKTMQMMYQTIYKALVEVGLDSQFEPQDFLNFFCLGTREVPDGTVSVYNSPRKPHQSNAQVQALKSRRFMIYVHSKGMVVDDEFVLIGSANINQRSLEGTRDTEIAMGGYQPHHSWAMKGSRPRGQIFGYRMSLWAEHLGFLEQGFEEPENMECVRRVRQLSELNWRQYAAEEVTEMPGHLLKYPVQVDRTGSVSSLPGCETFPDLGGKIIGSFLLGQENLTI >fgenesh1_pg.C_scaffold_6002866 pep chromosome:v.1.0:6:21636924:21639993:1 gene:fgenesh1_pg.C_scaffold_6002866 transcript:fgenesh1_pg.C_scaffold_6002866 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLATNLLLPSKMKPVLPEKLSTSSLCVTTRRSKMKNRSIVPVARLFGPAIFEASKLKVLFLGVDEKKHPAKLPRTYTLTHSDITAKLTLAISQSINNSQLQGWANKLFRDEIVAEWKKVKGKMSLHVHCHISGGHFLLNLIAKLRYYIFCKELPVVLKAFVHGDEYLLNNHPELQESLVWVYFHSNIPEYNKVECWGPLWEATSQHQHDGNRNRKKSENLPELPCPGECKCCFPLVARLFGQAIFKASKLNVMFLGVDEKKHPSNLPRTYTLTHSDITANLTLAISHSINNSQLQGWGNRLYRDEVVAEWRKVKSKMSLHVHCHISGDHFLLDLIAKLRYFIFCKELPLVLKAFVCGDENMLNNYPELQDAFVWVYFHSNIPEFNKVECWGTLCEATSHDGCKSHPCETLPEPPCLDKCSCCFPTVSTIPWSHSHDSHGEEDDSVAIAGGLVTKYMYNRIKQKL >fgenesh1_pg.C_scaffold_6002890 pep chromosome:v.1.0:6:21781195:21782841:-1 gene:fgenesh1_pg.C_scaffold_6002890 transcript:fgenesh1_pg.C_scaffold_6002890 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSINRRRVTATDGTRGRSPRTKRPTKHHASRRIPSRISSKSYKILSRSFSEPNLHGSSNSEDDDDWRCSTQMKDLPPEEPEQIVYLSKIRSEVFASAPSLSGFSSPSSSSSPINQQVYKREAAKVMINVSVEGSPGPVRTLVKLSCNVEETIKMVVEKYRKERRTPELNRDLNFELHQSHFSIQCLEKTEVIGEIGSRSFYMRKREPDNLIAVRRSLPSSSNLIESFIAQKIGRIVRRTKKIWNILVRLFAYLTG >fgenesh1_pg.C_scaffold_6002907 pep chromosome:v.1.0:6:21893873:21896644:1 gene:fgenesh1_pg.C_scaffold_6002907 transcript:fgenesh1_pg.C_scaffold_6002907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:D7M0Z4] MEINKVRSILSSHALFASRAPLTLNSVVLEEPDKNLVRQWDKGDQLPTRKASVIARLGGDSHVLTVDLSTNLVDLVDSPVPKSGYPIVTSEEMDSAASAPFSNADFNRTILSRGINLTDVVCIPMSSGWFGNKEDNKRVTKIQCFSSQGTPNFYMRPIEGLTLLFDLDTKQILEITDTGQSIPIPGSTNTDYRYSNFPSQDKTRPLNPISIEQPHGPSFVIEDNHIVKWANWEFHLKPDPRAGLIISRVRVHDPDTEETREVMYKGFVSELFIPYMDPSEAWYFKTYMDAGEYGLGLQTMSLEPLNDCPRNAVYMDGIFAAADGTPFVRENMICVFESYAGDIAWRHTEYPVPGMPPLREVRPKVTLVVRMVASVGNYDFIIDYEFQTDGLMRAKVGLSGILMVKGTSYVNKNQVKKDKEGNEEELYGTILSENVIGVIHDHYVTFYFDLDVDGPDNSFLKVNLKRQETALGESPRKSYMKAVRNIVKTEKDGKIKLSLYDPSEYHVINPSKTTRVGNPRGYKIVPRATAASLLDHDDPPQKRGAFTNNQIWVTPYNKSEQWAGGLFTYQSHGDDTLAVWSDRDRDIENNDIVVWYTLGFHHIPCQEDFPIMPTVSSSFDLKPANFFERSPILKAAPNFEYDLQVCGAKSVSA >fgenesh1_pg.C_scaffold_6002913 pep chromosome:v.1.0:6:21921006:21921686:1 gene:fgenesh1_pg.C_scaffold_6002913 transcript:fgenesh1_pg.C_scaffold_6002913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M100] MVSQGFALWSNLINTWGSFELRVVRETNGLDYRRISIQARGCDEPKSDNGTLTATWLADSYGEGIGRSTQSYVHVDYVGFNKAVNYMEKWKSQDNYIYKKTILVRIRGAFNNCFSGDRSGRSLITLPWTIVGRRNFSKRYDVGRLSLEFECMEWSFSGCNKRFYYGWISGFSWLDVDVIKVKISRVNCDWVFLKTNQGSACHNSTHHGTVKGFTGGCNPPKPKVDK >fgenesh1_pg.C_scaffold_6002946 pep chromosome:v.1.0:6:22245285:22247411:1 gene:fgenesh1_pg.C_scaffold_6002946 transcript:fgenesh1_pg.C_scaffold_6002946 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVVSEPHCPKSVQGFSYDPEPNRSFDRLVCETESFAKKLNALKTARSDRGFVVRVSEDDMDSDEDVESAQEEEEDYSQICTCDDLYLSDDEFDHELEYMMDKMDLAENDHQTKTKEDIKNQVSVVEKEIMNEIETSRSALARVEKYRENRREVERRLDLQYKRKVAEALDTHMSAVQREHEIKSQIEERIIRSEEAQEEAKKRERANQEEKIRQEKGEKEVIERVSVVALALEKHRLKKLEELEAMNQELKSRLNQDFRSFERSIGRSIRQITGVKDTVDAKINEIVKVFKDPRCPLSISIAAFAKRMVSCRQNPFACSYIIGYVTSKFPQAMDILLAEFHKACIYTVPNHDVNSVWDSEAYERLDSTMRLYGALVQTDIRGGNATNIHGIEHGWAWLARFFNNISAINIATVTALNAFLQTAGFGLHQRYKSQFVKVMNVEPEGRTMKTSLLSTEFTAVLDQQNNNQHYQRNDYIDCY >fgenesh1_pg.C_scaffold_6002948 pep chromosome:v.1.0:6:22259376:22264320:1 gene:fgenesh1_pg.C_scaffold_6002948 transcript:fgenesh1_pg.C_scaffold_6002948 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKQPLEFHRSVSLVQLLSQLYQGSYREFNKKVLEIIQGHRRHETKRNPFSRADHMAQLLDERDLGVLASSTSLLVALVSNNHEAYSSCLPKYVKILERLARNQDVPQEYTYSGIPSPWLQVKAMRALQYFPTIEDPSPSAILMGTDVVKNVNKNNASHVVLFEALSLVMHLDAEKEMMSQCVAFLGKFISVREPNIRYLGLENMTRMLMVTDVQDIIKKHQSQIITSLKTLTSDSLLVELSCSIRRRDLDLLYGMCDVSNAKDIVEELLQYLSTMEFSMQEELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQVSAYILGEYGHLLSRQPGCSASELFSILHEKLPTVSTPTIPILLSTYATLLMHTQPPDPELQNKVGLYFRSMRVVLMWRYNRGAAFMDVLAEMPKFPERQSSLIKKAENVEDTADQSAIKLRAQQQPSNAFVLADPQPVNGAPPPLKVPILSGSTEPESVARSVSHPNGTLSNIDPQTPSPDLLSDLLVPLAIEQHGPIGAEGVPDEVDGSAIIPVEEQTNTVEVLAWMKLCFYFC >fgenesh1_pg.C_scaffold_6002971 pep chromosome:v.1.0:6:22405663:22406892:-1 gene:fgenesh1_pg.C_scaffold_6002971 transcript:fgenesh1_pg.C_scaffold_6002971 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPIVRSYIRSKVPPMRWTDDLDLLFTQVVELLGGERKATPKPILNCMDVRNLTISHVKSHLQMYRKKKKEEFIKERRMMREMNQRQSRQYLQIYERARDATQFMQNQQRPQLDIIEKITPVLGSSNKFLYQFARERLNENRNNDVVVAGGSAIGEEELSLELTLGHKY >fgenesh1_pg.C_scaffold_6002973 pep chromosome:v.1.0:6:22427229:22428391:-1 gene:fgenesh1_pg.C_scaffold_6002973 transcript:fgenesh1_pg.C_scaffold_6002973 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLIVRSYIRPKLPSMRWTDDLDIRFVQVVEFLGGERSATPKKILSHMGVRDLTISHVKSHLQMYRKKKEAESIKARRMIHEMKRRQSQQYLQIYERATQFIQNHQRLQLDNTEKITSSLGSSNKSLYQSSRVGFNENRDNDVVVAGGGVIGEEGLSLELTLGHKY >fgenesh1_pg.C_scaffold_6002974 pep chromosome:v.1.0:6:22432156:22433389:-1 gene:fgenesh1_pg.C_scaffold_6002974 transcript:fgenesh1_pg.C_scaffold_6002974 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSIVRSYIRSQMPRATPKKILRYMGVSDLTMSQVKSHLQMYRNKKEANSIQERRMIRQMKLRQSQQYLQIYERARNVTQDFQNHQRVQLDITEKVGLNENRDNDVVVVDDVAIGEEGLSFELTLGRKY >fgenesh1_pg.C_scaffold_6002983 pep chromosome:v.1.0:6:22484775:22487365:-1 gene:fgenesh1_pg.C_scaffold_6002983 transcript:fgenesh1_pg.C_scaffold_6002983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 31 [Source:UniProtKB/TrEMBL;Acc:D7M1T0] MGCYSSKNLKQSKRTILEKPFVDITKIYILGDELGQGQFGITRKCVEKSTGKTYACKTILKTNLKNQEDEEAVKREIRIMKHLSGEPNIVEFKKAYEDKDSVHIVMEFCGGGELFKKIEALSNADKFYSEKDAVGIIRPIVNVVQICHYMGVMHRDLKPENFLLSSTDDNAMLKAIDFGCSVFIQEGEVYRDCVGSAYYVAPEVLQGNYGKEADIWSAGIILYILLCGKPPFVTEPEAKMFNEIKSAEIDFHSEPWPLIDRKAKHLVMKMLTRNPKERISAAEVLRHPWMKDGEASDKPIDGVVLSRLKQFRDMNKLKKVALKVIAASLSEEEIKGLKTLFTNIDTDKSGTITVEELKTGLTRLGSNISKTEVEQLMEAADVDGNGTIDIDEFISATMHRYKLDRDDQVYKAFQHFDKDNDGHITKEELEMAMKEHGVGDEGSIKQIITEVDTDNDGKINFEEFRTMMRSGSNLQPQGELLPIK >fgenesh1_pg.C_scaffold_6002991 pep chromosome:v.1.0:6:22533656:22534399:1 gene:fgenesh1_pg.C_scaffold_6002991 transcript:fgenesh1_pg.C_scaffold_6002991 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISQLQEQVNTIAAITFNAFGTLQRDAPPVQLSPNYPEPPATTTAVDDATPFPEQPKQLSAGLVKAAKQFDALVAALPLSEGGEEAQLKRIAQLQVENDLVGQELQKQLEAAEKELKQVQELFGQAADSCLNMKKPE >fgenesh1_pg.C_scaffold_6002996 pep chromosome:v.1.0:6:22555739:22556182:1 gene:fgenesh1_pg.C_scaffold_6002996 transcript:fgenesh1_pg.C_scaffold_6002996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1U4] MKEMTRFSHAYTEEEIINTVMLEVTQVPIVKGKRYRFGRLFDEGSTFTAPRHTAKLLEKIQTLMDRDVKKDRQLAYLMKCNKLLLQQFSQIQPPRFPTVLNETTNATNTSVDDDDDETQE >fgenesh1_pg.C_scaffold_6003010 pep chromosome:v.1.0:6:22689091:22689676:1 gene:fgenesh1_pg.C_scaffold_6003010 transcript:fgenesh1_pg.C_scaffold_6003010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1W1] MYSLMKAGLQSEGFSGPLTVYAVCANDVLTKGAVVIDGSVLKGINFHRIQGKEGVLDASDDWLKEKLAAWREEDINRPPKNVTFITGDGALSREDENEETEDEEDAGEAEKKKKTETSKSD >fgenesh1_pg.C_scaffold_6003020 pep chromosome:v.1.0:6:22774407:22775727:1 gene:fgenesh1_pg.C_scaffold_6003020 transcript:fgenesh1_pg.C_scaffold_6003020 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRRLVSHLQTPEAHAAAWNIFKSAECILVPGGFGDRGVSGMVLAAKYARENKIPYLGICLGMQIAVIEFARSVLGLERANSTEFDAQTSDPVVIFMPEVWLKNTYGKHNETWIPKNTFTEPRLSHFKAVNPEVAQALEEAGLRFVGKDDTGKRVEASLEKHFVSYGQRAVLKFAQYTGANAIAGRHTPGTFTNQMQTSFSEPRLLIKLTTSVCFGCETCR >fgenesh1_pg.C_scaffold_6003021 pep chromosome:v.1.0:6:22776844:22777161:1 gene:fgenesh1_pg.C_scaffold_6003021 transcript:fgenesh1_pg.C_scaffold_6003021 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTSKTTSSNKRKANDAAPSSGSNVGEQESRPPGIKAMKAKRNKGKDKVGPALASDNMWEKKEKDMLMREKLQKMSVYNTLLAKNDTLDEDEKVLKKKLMFELF >fgenesh1_pg.C_scaffold_6003035 pep chromosome:v.1.0:6:22895002:22895764:1 gene:fgenesh1_pg.C_scaffold_6003035 transcript:fgenesh1_pg.C_scaffold_6003035 gene_biotype:protein_coding transcript_biotype:protein_coding MADIDLSSRLLRTEKNLPATVAIQGFIHALVLVFVEAMPAILSVGCDRTEPESDDEHMFPVISLKLDKVWELDREAQVDVLSIIPSADVVTGVEDCGWVDEVSDPSVQVLLKRLEEGAKFSR >fgenesh1_pg.C_scaffold_6003047 pep chromosome:v.1.0:6:22942373:22943654:-1 gene:fgenesh1_pg.C_scaffold_6003047 transcript:fgenesh1_pg.C_scaffold_6003047 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M2J7] MASSSLPPAMEVGESRNWTELPPELTSLILHRLGAIEILENAQKVCRSWRRVCKDPSMWRKIDMHNLGDLEDMEFNLDIMCRHAVDRSQGGLVEIDIWYFGTDGLLNYIADRSSNLRSLRLTRCSQITDDGLVEAVLKLPLLEDLELSYCSLSGVSLKVLGQSCPNMKILKLKSYPQKENDDDALAIAETMPKLRHLQLFGNGLSDTGLNAILDSCLNLEHLDLRRCFNVNLIGDLQKRCSERVKVVRHPNDSTHDIDIGSSEDEYPYGFSDIDLMSDDTEFDDYYDLTGASDYSDYDQFDYYDDMLI >fgenesh1_pg.C_scaffold_6003049 pep chromosome:v.1.0:6:22957584:22958083:-1 gene:fgenesh1_pg.C_scaffold_6003049 transcript:fgenesh1_pg.C_scaffold_6003049 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSAFSKKKMKTSSPSPMKDEEPRNWADLPSELTSLILIRLSVADILNNAQKVCRPWRRICKEPSMWRKIDMRSLIRDRGMLDPLAIMCRHAVDRSQGGLVKIHLGNFVNDDLLDYIADREIDGFRQKICVDIYIFE >fgenesh1_pg.C_scaffold_6003050 pep chromosome:v.1.0:6:22958853:22960409:-1 gene:fgenesh1_pg.C_scaffold_6003050 transcript:fgenesh1_pg.C_scaffold_6003050 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTTLQSLIMKEDEERRKEQRNWLDLPPELTTSILLRLSVTDILDNARKVCRQWRRVCKDPSMWQKINLRDCLFYRFDFEGMCRHIVDLSQGGLLEINIEHFVSDSLLSYIADRSSNLKSLGLSIYEPMTNKGVMNGIAKFPLLETLEVFHSSLKLDLKAIGHVCPQLKTLKLNSLCCPGPAHGNYAISQLGDMPPLVECDDDALAIAESMPKLRHLQLMGNGLTNTGLNVILDRCPHLEHLDVRKCFNMNLVGNLEKRCLERIKELRRPGDSTADYPYNIGVSVMLQIMISCRFYPSHRVAS >fgenesh1_pg.C_scaffold_6003052 pep chromosome:v.1.0:6:22965038:22965886:-1 gene:fgenesh1_pg.C_scaffold_6003052 transcript:fgenesh1_pg.C_scaffold_6003052 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEEEPSNWAELLPDLLSSILLRLGPLEILQNAQKVCRPLRRVSKDPWIWRKIDMRNLRKLYCIFDMEACCRHVVDLSQGGLLEINIDQWQFENTCLLNYIADRSSNLRRLRLRGGQITSVGIFVAVVKLPLLEELELLHCSIEDEHLKAIGQSCPNLKILKLSSVGYRLPLNVRDNNALAIAETMSGLLHLQLIGNTLNQH >fgenesh1_pg.C_scaffold_6003058 pep chromosome:v.1.0:6:22987297:22988357:1 gene:fgenesh1_pg.C_scaffold_6003058 transcript:fgenesh1_pg.C_scaffold_6003058 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRAFSAPDLVPSDSGSITSSPTRTIEHQSHEDSGLEGITTNVKLLLKLVQDHNEATSRHHDDWKVQRVNTMMTILDDLKTRIQKAQQQSSSGKKELRRCFTDLKPGHDLTKSPTKPPQNDPEDIQKLRKELSASMAARKSLQMMCSSLGKEKEIMALELSRKAHELNEMEELISDFRAQNEKLLKKVQNCAAEHNKEKKGDVDCPEDNNVPLQGRNKELSEQLLKSIDGYRSLKRKYKDVQEENGSMRRALRDYAEGVNVGTQRLTKLHEKITRKDEVNIENEISDLEKLFQGLGLKISNHSQKK >fgenesh1_pg.C_scaffold_6003066 pep chromosome:v.1.0:6:23033603:23034325:1 gene:fgenesh1_pg.C_scaffold_6003066 transcript:fgenesh1_pg.C_scaffold_6003066 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEASSMVLKPCVATKERDSSEFKEAAEPMDDVSSVVVNSYLTKRKAEFDLEEEEQNVGENEEGSDEESKMDEPEAIFDMLMMWTASKPYSDMEKANKHYHIYKRQIIESKGFYAEREFSLGYNYKRIFPMSLEKEALHGKTFREFWEEMVYVCLQKHNQVKECNVEFVEVVRG >fgenesh1_pg.C_scaffold_6003076 pep chromosome:v.1.0:6:23112264:23113034:1 gene:fgenesh1_pg.C_scaffold_6003076 transcript:fgenesh1_pg.C_scaffold_6003076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2N3] MKLPSGSKKIVPSGCMAMIGQVAGGGRTEKPMLNAGNTYHKFFLGSNKVMQVALGRDEFRSGIYKSPSQVPIIDGIYLEAYLPPKKIPFLSNLNIFFLGKLLQRERYSQSTRNKPSHDHSETELSNAHGEVIEQGFKLKWLKLPG >fgenesh1_pg.C_scaffold_6003094 pep chromosome:v.1.0:6:23214479:23216083:1 gene:fgenesh1_pg.C_scaffold_6003094 transcript:fgenesh1_pg.C_scaffold_6003094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 14 protein [Source:UniProtKB/TrEMBL;Acc:D7M2Q0] MKKVYRKLIWIRDPLARVKRHVSSHSGFRVFRDRRKWMFPFLASFVLSVTLLMSVIYVQFDTSYVEESLPFDNVLEESNDYFVESRLRMSLNSTGNSNSSEVPRLAYLISGTKGDSLRMMRTLQAVYHPRNHYVLHLDLEAPPKERLELAMSVKSDPTFREFENVRVMSQSNLVTYKGPTMIACTLQAVAILLKESLNWDWFINLSASDYPLVTQDDMLYVFAKLSRNVNFIEHMKLTGWKLNQRAKSIIVDPGLYLSKKTEIAWTTQHRSLPTSFTLFTGSAWVVLTRSFLEYSILGWDNFPRTILMYYTNFVSSPEGYFHTVICNTEEFKSTAIGHDLHYISWDYPPKQHPNSLSIKDFDKMVKSKAPFARKFHKNDPVLDKIDRELLGRTHRFSSGSWCIGSSENGADPCSVRGDDSVLKPGPGAERLKELVQTLLSDEFRTKQCS >fgenesh1_pg.C_scaffold_6003128 pep chromosome:v.1.0:6:23396708:23400443:-1 gene:fgenesh1_pg.C_scaffold_6003128 transcript:fgenesh1_pg.C_scaffold_6003128 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQDIGLSAAINLLSAFAFLFAFAMLRLQPVNDRVYFPKWYLKGIRGSPTRSRGIMTRFVNLDWTTYVKFLNWMPAALKMPEPELIEHAGLDSAVYIRIYLLGLKMFVPITLLAFGVLVPVNWTGETLENIDDLTFSNVDKLSISNVPPGSPRFWAHITMTYVFTLWTCYILYMEYKTVANMRLRHLAAESRRPDQLTVLVRNVPPDPDESVNEHVEHFFCVNHPDHYLCHQARFFSWLNVVYNANDLAKLVAQRKAMQNWLTYYENKFERKPSSRPTTKTGYGGFWGTTVDAIDFYTSKMDILARQEAVEREKIMNDPKSIMPAAFVSFRSRWGTAVCAQTQQCHNPTIWLTEWAPEPRDVFWDNLAIPYVELSIRRLLTTVALFFLIFCFMIPIAFVQSLANLEGIQKVLPFLKPVIEMKTVKSVIQGFLPGIALKIFLIILPTILMTMSQIEGYTSLSYLDRRSAEKYFWFIIVNVFLGSIITGTAFQQLKSFLEQPPTEIPKTVGVSIPMKATFFITYIMVDGWAGIAAEILRVVPLVIFHLKNTFLVKTEQDRQQAMDPGHLDFATSEPRIQFYFLLGLVYAAVAPILLPFIIVFFAFAYVVFRHQVINVYDQKYESGARYWPDVHRRLIICLIISQLLMMGLLSTKKFAKVTALLLPQPILTFWFYRYCAGRFESAFSKFPLQEAMVKDTLEKATEPNLNLKEYLKEAYVHPVFKGNDFDRPRAVDEEESNPLVRTKRTSQGTTRYNSEASSSATATPVANTGSPRLM >fgenesh1_pg.C_scaffold_6003131 pep chromosome:v.1.0:6:23409487:23411064:1 gene:fgenesh1_pg.C_scaffold_6003131 transcript:fgenesh1_pg.C_scaffold_6003131 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSDDHLSSTGKNRARTSQESRESPQGSSFLRSKETETSLSDDPPPPRKKNRALTSQEPRESPQDSSFLRSKETEKKSQTSMGQETEKPFVSPINTDEEVFKGYESYRENPDYYDSDKILINTENTLNPYGDMFEGSLDSAGYIGSQNDHDALEMPRLTLHNSGSQIGVGLIRPDMEQEFSTEMQGEGYGTNTCGPCENKIDIAAGSVGNIESLMNAEREKEKIVMIPTPGFVDNSGSQIGVIGLIRPDMEQEFSTEMQGEGYGTHTCGPLENKIDIAVGSFGNVGSIMNAEREKEKTVMIPTPRFVEAPQWTIKKKLTQYDTNPHYDRLILQKSSFDEHIGRHLPKADYQKVVDKIGTTTVNVYDYDTDTMHELRLELEKSYGLRSGWLEHFVRRRCLRQNEEIGLLWDSSASRIQFGVISRRTRRKRQTYK >fgenesh1_pg.C_scaffold_6003139 pep chromosome:v.1.0:6:23443458:23450603:1 gene:fgenesh1_pg.C_scaffold_6003139 transcript:fgenesh1_pg.C_scaffold_6003139 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQYHALNSIQSTNFLSSTKTTLSSSFLTISGSPLNVARDKPRSGSIYCSKLRTQEYTTSQEVQHDLPLIQWQQLQREDAPQISIGSNNNAIEEAVKSVKSILRNLTDGEITISAYDTAWVALIDAGDKTPAFPSAVKWIAENQLSDGSWGDAYLFSYHDRLINTLACVVALRSWNLFPHQCHKGITFFRENIGKLEDENDEHMPIGFEVAFPSLLEIAREINIDVPYDSPVLKDIYAKKELKLTRIPKEIMHKIPTTLLHSLEGMRDLDWEKLLKLQSQDGSFLFSPSSTAFAFMQTRDSNCLRYLRNAVKRFNGGVPNVFPVDLFEHIWIVDRLQRLGISRYFEEEIKECLDYVHRYWTDKGICWARCSHVQDIDDTAMAFRLLRLHGYQVSADVFKNFEKEGEFFCFVGQSNQAATGMFNLYRASQLAFPREDILKNAKEFSNKYLQGKRERDELIDKWIIMKDLPGEIGFALEIPWYASLPRVETRFYIDQYGGENDVWIGKTLYRMPYVNNNGYLELAKQDYNNCQALHQLEWDTFQKWYEENRLNEWGVRRSELLECYFLAAATIFESERSHERIVWAKSSVLVKAISSFGKSSDSRRSFSEQFHKYIANARRSDHHFNGRSMRLDRPGSVQASRLVGILIGTLNQMSFDLFMSHGRDVYNLLYQSARRNDEKEKTIRSMETEMEKMVELALSESDTFRVVSITFLDVAKAFYYSASCGDHLQTHISKVLFQKVL >fgenesh1_pg.C_scaffold_6003141 pep chromosome:v.1.0:6:23459207:23459879:1 gene:fgenesh1_pg.C_scaffold_6003141 transcript:fgenesh1_pg.C_scaffold_6003141 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTSKTTSKTTSNKRKSRDASASVGSTIGEEESRPPGVKAMKKMRKKGNQKAAQSVDFNNMWEAKQKDMKLKKQCQQMSLLDTLIARKETLDEEEIALKKKLVAEVEEDMGLGDSYDYGINSPLDYSSEEEDMGLVDSYNYGINSPLDSTETFSLKFSG >fgenesh1_pg.C_scaffold_6003142 pep chromosome:v.1.0:6:23462363:23465011:1 gene:fgenesh1_pg.C_scaffold_6003142 transcript:fgenesh1_pg.C_scaffold_6003142 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPNPKRPYLAPLVTYSIENPFSLPIAPDFNQSNIDMTISSFLSLSDLPLFSSPLSIGCSFDRVLDKVIPSAAGTSRDEFDQDRFLDRTLQLASLLYKSTKRCIRKRATLQNSSSWPLLPELTTKVFSMLDTKSLMQASACCTLFNKCAMDRVCYSHIDLTTAVEDVDNGVVCVMIHRAGKELRSLKLGSISSSAGFTTSSLTRSCLTPLTFNHGFAGGHLRSLHLYHLRMMDCESLSPVLSACLNLTDLKIVGLIQGSRYNPLEQLGLLTRNCRLLEHLFIEIYGAAGLITDSSLLEFVDNCPNLISLSLLCFMLKGAILQKLIKGFRQLKYINLSKSPEISGCFFRGLELCCKDSPLETLILRNCYTLEEDVSNVNGLVCDGGNRTFEPRFPIEELKKQRSDVTLVAIFESQSSLSSSSSGEVYSDGTSSWSSDYSREEEEHDIDLDSI >fgenesh1_pg.C_scaffold_6003143 pep chromosome:v.1.0:6:23467650:23468063:1 gene:fgenesh1_pg.C_scaffold_6003143 transcript:fgenesh1_pg.C_scaffold_6003143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3D5] MTHILQTKKTEFNNNTNITNRLTQVSNQLATGACITTYLKLRALIITEFPDRYTSAYLKRPKGITFTDLPPVVVAPFAFAIQQLGAVNIANLTHKARYVPVLPPTGHQYGLPNNQIWDPNSYTQAVEYGRNFGLTPL >fgenesh1_pg.C_scaffold_6003148 pep chromosome:v.1.0:6:23502060:23504499:1 gene:fgenesh1_pg.C_scaffold_6003148 transcript:fgenesh1_pg.C_scaffold_6003148 gene_biotype:protein_coding transcript_biotype:protein_coding METPNPKRQCRDDFSSIQSPNSVAIAPDFNQNDFDSTISSFLLLPDSPYNSIGCSFDRVLGQVLDSASEASGDDSVRDRLIDRTNKLAFLLLESTKRFSRMRSTHYNYNSWSLPEELTIKVFSGLDTKSLMQASACCTMFRKCSIDPLCYSHIDLKMGGKRVFDEIVCSMIHKAGKELRSLKLGRVISSRKGSSFTSTCLTSVIRNHGFNGGLLRSLQLYNLVSTGTKSLCAMLSVCPNLTDLMIANLKQILEQVLKTLTKNPRLLIERLFLQNNIDLIPASGLSSSTVEAFVTSCPSLTWLKLVGFGLKEETARNLAEGFPKLTYMNLSKTPGISGRFLRDLRISCRDSTIKTLILRDCPSLERREIGYFLDSLLNGHFKFIRQIDVTSEVIADGGKEIIVGKYILSKLKEQRSDVTVVAKSVCF >fgenesh1_pg.C_scaffold_6003159 pep chromosome:v.1.0:6:23572232:23574603:1 gene:fgenesh1_pg.C_scaffold_6003159 transcript:fgenesh1_pg.C_scaffold_6003159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Atidd12-domain 12 [Source:UniProtKB/TrEMBL;Acc:D7M3F3] MDMFSSHSLSYKLSSLSSEASASSGNNTLSTIQEFSGFNNVISSVCTHTETHKTKKRRGLPGNPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQKNSKEQQKKKVYVCPETNCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKFYAVQSDWKAHTKICGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARIHSTSSSNLTNPNPIFHDHHHFMVNKSSSLLFTSSPLYNEPSHSTAALSTSSTAALSATALLQKATALSSSTFGGGGQTRSIGHHRHLTTVNELLGVDRVMVTSSSSSEYDQLVVDGLTSTWQKADRLTRDFLGLTGHGMHVSVRPGDMLEYAGGVAFPMSAYDTESHHHSFQKAYDHLGFSGPHRI >fgenesh1_pg.C_scaffold_6003186 pep chromosome:v.1.0:6:23706284:23707420:1 gene:fgenesh1_pg.C_scaffold_6003186 transcript:fgenesh1_pg.C_scaffold_6003186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3I0] MRDLNPRVFLRFCYGCCLVREDLVAFLAVLASSCLDLVLSFADSGFDLGVRDISLQDGSIQVLFWSYPVRPHQIWRGCDLFSGFTVLILESNMEVLQIPVWVVLLMDVELESIGSEYWGTSMCCVWWKLTEEFKFCFERLSRGSMEIEYLVLNVRGIFMEFISSGKVRTKSDYSRSELFFRWASGVISGYVRGSLRNDFGRVLRLRKVGLTLLWWQRKELCHLMVEMSRFWASVLFPNQEGIKWYTDSQFWNVGDSISGIHDWRFCLIIGKGFSKRIKLNVLSLRRLNWSDMASFLSWHFRPLSRVWFLVSIALRRDYVWFNKERGRYILFGKYGYYLRRQNKTKERNQGKECNNPMNHGTVKGVPGGGKPPKPKVDK >fgenesh1_pg.C_scaffold_6003197 pep chromosome:v.1.0:6:23779152:23780077:1 gene:fgenesh1_pg.C_scaffold_6003197 transcript:fgenesh1_pg.C_scaffold_6003197 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLGLCDSTFQISDLSLFAGMLYRLVIVYPRKATTLIENGLRERVILRVDGGLKSGVDVLMAAAMGADEYGFGSLAMIATGCLMARICHTNNCPVGVASQG >fgenesh1_pg.C_scaffold_6003212 pep chromosome:v.1.0:6:23863504:23864583:1 gene:fgenesh1_pg.C_scaffold_6003212 transcript:fgenesh1_pg.C_scaffold_6003212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M439] MFSGTFRASQQEADSDSSTQSGGSNHSIASPGEEADSESQGVGQMGSEPMQDSQIQIQPDVHVSIPSPWLQRSSISLGDGAHAVGGMDDCVEFLPDSIDAETKKLVSALILAGVP >fgenesh1_pg.C_scaffold_6003237 pep chromosome:v.1.0:6:24036028:24037089:1 gene:fgenesh1_pg.C_scaffold_6003237 transcript:fgenesh1_pg.C_scaffold_6003237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M470] MGMVVRRGCVMACATACVMVLLMSTQVLGKLSGTGGRGSGKSRHYKHGGGGVGAGAEGGIGAGGGASGGIVAGGGGTGANIGAGGGVGVGGGGGTTRGGGRGRGNKRSGHGGGGGGGGDGGAASSDSTIRGSVGVSAGGSANGTSIEIVVGDGTKIVGGGSGTSTSTSSGDISGAGGAGGASGAGGAGAASGAGGASGAGGASGAGGATGAGGASGAGGATGADAGGTGGAGGGPSGAGGATGAGGASGAGGPSGAGGPSGAGGNGGASGAGGAGGASSAGGSAGRAGGRGARLFGNVV >fgenesh1_pg.C_scaffold_6003257 pep chromosome:v.1.0:6:24111321:24113212:-1 gene:fgenesh1_pg.C_scaffold_6003257 transcript:fgenesh1_pg.C_scaffold_6003257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M489] MAQKQQIFHQQRPFSSSPRSYSSISVRPISVRSRNGLLLLLLALFFLLGVFLPWPGSPLFQFPNRLSSSSSSLSPSRQSKWHDYTLAQAAKFVAKNGTVIVCAVSSPFLPFLNNWLISVSRQKHQEKVLVIAEDYATLYKVNEKWPGHAVLIPPALDSKTAYSFGSQGFFNFTARRPQHLLQVLELGYNVMYNDVDMVWLQDPFQYLEGSHDVYFTDDLPQIKPLNHSHDLPHPGRNGETYICSCMIYLRPTNGAKLLMKKWSEELQSQAWSESIRFKANDQPAFNLALNKTAHQSSLTDELFG >fgenesh1_pg.C_scaffold_6003280 pep chromosome:v.1.0:6:24258237:24260535:1 gene:fgenesh1_pg.C_scaffold_6003280 transcript:fgenesh1_pg.C_scaffold_6003280 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKPGFGFRPTDEELISYYLKNKILGNTWLVDDTINEINILNHHPSSFSFTKIKDESGNKIGKKRGILFDDLDAPKAKGEKSSWVIHEYQITSLPHPNLDSYVLYKIFDNNSKKKADISNGNSSSDPSQSLVFDMNTIRVTTSIPPEVSPVSVVKYKGEAADISYEPSRSDSNTTRAINRAAEPELQVEQPGRENFLGMSLDDLEQEDPKTCLQPQGPHLALNDDEFIRGLRHVDREQVEYLFANEENIDGLSMNDLRIPMIVQQEDLSEWDGFNADTFFSDNNNNNNLNVHQLTRYGDDYRNAGYNGGNFEGVHPYQELIMQENRNDHMPKKPLTGTIDYSSDSSSDAGSISTTVTHITHNGGNFEDVFSDLEFIMQENHNDYRPKKSLSGIIADYSSDSDRDAVSISATSYKGTSSPGDSVGSSNRHFLQNFGGEILSLSKDTQTSDEPFISRKTRESQLARCTKPSKPEVTQGMVKTEKKGLFITKEAMERKRENSPYIYLINMIIGFVLFLALINDIISV >fgenesh1_pg.C_scaffold_6003283 pep chromosome:v.1.0:6:24279022:24279360:-1 gene:fgenesh1_pg.C_scaffold_6003283 transcript:fgenesh1_pg.C_scaffold_6003283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4U7] MLAKSPRSASEKSKEGGTGTNTIVQQLGQRLLQDRENTTPLQPSNTNNVEKIEHLSVQSEEKDQQQQWTVVRRNSGSASLSTSKKAEEPVVKSVSPSGFRVLQVEGDDESQA >fgenesh1_pg.C_scaffold_6003322 pep chromosome:v.1.0:6:24525750:24530528:-1 gene:fgenesh1_pg.C_scaffold_6003322 transcript:fgenesh1_pg.C_scaffold_6003322 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRHSSATESLKRRKDGGESLSQVVEPDSDRRLITIFVIFFILIPAVSIVVYKVKFADRVIKTELSIRHKGIVKTDINFQEIITEHSKAAENQQLGTMIIQNSKGYDIAKIFNSKFTHLSPVWYDLKSSSQGSGLVLEGRHNADKGWIQELRSRGNALILPRVVLEAIPGEMLKKKKLREKAINLIVTECKEMEYDGIVLESWSRWAAYGVLHDPDMRKMALQFVKQLGDALHSTSSLRNNQQHMQFMYVVGPPRSEKLQMYDFGPEDLQFLKDSVDGFSLMTYDFSNPQNPGPNAPVKWIDLTLKLLLGSCNSIDSNIARKVLLGINFYGNDFVISGDSGGGGAITGRDYLALLQKHKPTFRWDKESGEHLFMYRDDKNIKHAVFYPTLMSILLRLENARLWGIGISIWEIGQVKCLVSVLCVLDKGHFGKYAEASLEESSILCGHTFDMQFGTNPMSVLSEKRSEPRKPFSLPNLFPPKSPRPISQESFLKRFNGGLALLTSVLSSATAPAKSLTYEEALQQSMTTSSSFDSDGLIEGISNFVTDNPLVISGGVAALAVPFVLSQVLNKKPKSWGVESAKNAYTKLGTDDNAQLLDIRATADFRQVGSPNIKGLGKKAVSIVYNGEDKPGFLKKLSLKFKDPENTTLFILDNSLIQSDLFEWKARFDGNSELVAELVALNGFKSAYAIKDGAEGPRGWLNSGLPWVEPKKTLSLDLSSLTDSISGVFGESSDGVSVALGVAAAAGLSVFAFTEDRKQTLKQVDEFLNTKVAPKELVDELKEIGKALLPQSTSNKALPAPATVAAEAATATTTTVDKPVPEPEAGAATTTTVDKPVPEPEPVVEAAAAVAQYPDLKPPSSPMPSQP >fgenesh1_pg.C_scaffold_6003333 pep chromosome:v.1.0:6:24570617:24572538:1 gene:fgenesh1_pg.C_scaffold_6003333 transcript:fgenesh1_pg.C_scaffold_6003333 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEAGKLEWRISVDNGTTERLVPRAGLSKRIFLWLKDLVMKVIVERMAEFMMKAWRIGADDPAKVVHCLKVGLALSLVSIFYYMRPLYDGVGGNAMWAIMTVVVVFESNVGATFCKCVNRVVATILAGSLGIAVHWVATQSGKAEVFVIGCSVFLFAFAATYSRFVPSFKARFDYGAMIFILTFSLVSVGGYRVDKLVELAQQRVSTIAIGTSICIIITVFFCPIWAGSQLHRLIERNLEKLADSLDGCVAEYFKENEVSTNRNEDEDTSMKLQGFKCVLNSKGTEEAMPLIHFAGFSLISQANLARWEPAHGSFNFRHPWKLYVKIGAAMRRCAYCLENLSICVSYETETPDQVKKHFGEACMKLSSASSKILRELMEMMKNTRKSSKMDFLVFDMNSAVQELQETLKTVPIETKKKPEEVPSEEENKVDNEERNTLMSLHEVLPVATLVSLLIENAARIQTAVEAVDELANLADFEQDSKKKTGDNNTKQPPRSS >fgenesh1_pg.C_scaffold_6003405 pep chromosome:v.1.0:6:25031365:25032575:1 gene:fgenesh1_pg.C_scaffold_6003405 transcript:fgenesh1_pg.C_scaffold_6003405 gene_biotype:protein_coding transcript_biotype:protein_coding MARGESDGESSGSSGEESEPKGKISEYEKQRFSRIAENKARLDALGISKAAKALVAPSPISKKRRVKRNSGEQDDDYTPGNADDDDEDEEFLGNSTCKRKASASKRKVLSKKILNTSDDEYDDLDKAIALSLQDSVAGGSHSRTTPTKTMRNDKEAATLKKKKTPDLMSKMQMTQDELVLYFYQFDEAGKGFITLRDVAKMATVHDFTWTQEELQDMIRCFDMDKDGKLSLDEFRKIVSRCRLLKES >fgenesh1_pg.C_scaffold_6003418 pep chromosome:v.1.0:6:25095104:25096114:1 gene:fgenesh1_pg.C_scaffold_6003418 transcript:fgenesh1_pg.C_scaffold_6003418 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFEGDWLDGKYEGYGVETWARGSRYRGQYRQGLRHGYGIYRFYTGDMFSGEWSSGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDVYAGEYFADKMHGSGVYVFANGHRYEGAWHEGRRQGLGMYTFRNGEAQSGHWENGILDIPSTQNTTCSVSSVAVNHSKVLNAVQEARRAAEKAYDVDKVDERVNRAVTAANRSANAARVAAVKAVQKQSRNMDNFPIPVV >fgenesh1_pg.C_scaffold_603000001 pep scaffold:JGI8X:scaffold_603:2313:3907:1 gene:fgenesh1_pg.C_scaffold_603000001 transcript:fgenesh1_pg.C_scaffold_603000001 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKLGFNLQLKELEEEVKLLKNLSHPNIVVFDELPHYWFACFLTLFGVIYECKICLKVHNNERDYLSHLHAHFQEKKFRDEMKTENTSDNDLYDSEFSESESIKDLKIKKETPTKIDDLVSSKIDDMTDSQAAYSELVVEDSDIDDAVIDKNRRSLFRRVAKRKPTIPTGEEELTYLKNRVSDLEDKVSYLYDVISRLIISNGNNGN >fgenesh1_pg.C_scaffold_610000001 pep scaffold:JGI8X:scaffold_610:2174:3308:1 gene:fgenesh1_pg.C_scaffold_610000001 transcript:fgenesh1_pg.C_scaffold_610000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7E7] MIPFTSDPCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIFNVRELVFVPSVEHSQGGYFELYFDLRDTECIHLECRLTGDLAVEFYDLWKHRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDFSITDEMLCWNPENDQVPIITRKENAME >fgenesh1_pg.C_scaffold_61000004 pep scaffold:JGI8X:scaffold_61:28759:30330:1 gene:fgenesh1_pg.C_scaffold_61000004 transcript:fgenesh1_pg.C_scaffold_61000004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroflavonol reductase [Source:UniProtKB/TrEMBL;Acc:D7MW35] MVSQTETVCVTGASGFIGSWLVMRLLERGYFVRATVRDPGNLKKVQHLLDLPNAKTQLTLWKADLSEEGSYDGAITGCDGVFHVATPMDFESKDPENEVIKPTVNGMLGIMKACVKAKTVRRFIFTSSAGTVNVEEHQKSVYDENDWSDLEFIMSKKMTGWMYFVSKTLAEKAAWDYAEEKGLDFISIIPTLVVGPFITTSMPPSLITALSPITRNEAHYSIIRQGQYVHLDDLCNSHIFLYEQETAKGRYICSSHDATILTISKLLRQKYPEYNVPSTYEGVDENLKSIEFSSKKLTDMGFNFKYSLEEMFIESIETCRQKGFLPFSLPYQSISENKGPTKDDNIELKAGDGLTDGMMPCNKIEPGLTGERTDAPMPAEQMCA >fgenesh1_pg.C_scaffold_65000001 pep scaffold:JGI8X:scaffold_65:22837:23731:1 gene:fgenesh1_pg.C_scaffold_65000001 transcript:fgenesh1_pg.C_scaffold_65000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MW44] MQRSRNKMYEDYGDRRGGQRQHNNAKQLSQGQDGGWEKPRKPAAKRALEFSGEETVGGFHYHSEMGDSSKQNHRRIEKNQVPTWGQKKSFPGTWAENSESSGKGVAKDSFIEVHSQSQGAGYGRKGAGPAWPKPLYQPKSVSKATHEKEKTEQEDDLNDLVMEDVPEMQDNDINAGLQFSESNDDLLEDGECHVDEETDIPVTEEKTNDDDGQIIPEEEKSDSQGIFSVPKDLSNDIAYVSQGLNGIVLDSNKMM >fgenesh1_pg.C_scaffold_655000001 pep scaffold:JGI8X:scaffold_655:212:1700:-1 gene:fgenesh1_pg.C_scaffold_655000001 transcript:fgenesh1_pg.C_scaffold_655000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXX2] MGGPSMGCPCPTPNGPVMDKLVLTWPLIDWTIMDIGFIGLDSNGIERPNRQKMLGQMIWGKNEKSSKLSKIKNQSFSGDFFATELDACLHGFIASISATSFDSGFLSTMEKNKSEIEVGSDLGNDSKTQEKKRELSLAETCRYDEINLAIK >fgenesh1_pg.C_scaffold_66000002 pep scaffold:JGI8X:scaffold_66:24303:24835:-1 gene:fgenesh1_pg.C_scaffold_66000002 transcript:fgenesh1_pg.C_scaffold_66000002 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTAPNNENIEFYSTPPKRWRRNEAINTSRSIPRSNTPVSNGFVPLRSAFTTVLQDITNLSSTPVIPTVMSEDPPTVRSTLKKTIVRGTPLGSHLTSVSPISILSTLVSTPLGLRDMTLGTAQTPLMPPPSETRPRQG >fgenesh1_pg.C_scaffold_662000002 pep scaffold:JGI8X:scaffold_662:1934:5046:-1 gene:fgenesh1_pg.C_scaffold_662000002 transcript:fgenesh1_pg.C_scaffold_662000002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXX5] KKVARFASVRSSAFRASAVAARAANPNTDCSTLINCHSSGKGATHNSSLENSVGEVGNQQSLTSIFVEEKNDLRTANKTARKLFIEDLPEENCHSTDGNVDLGNLSYIDSQEPGEASQASALNLVDKLISECRLEFDFEVEADYGRKTEEKSKFVQIFKGPQELAKKVSYKSGAVGNDIFDWDDNREDEGGGDIYRRRKDEFFGVASKRREFSSLPREQKRELIPVAVDKRRASDSKLLQHSVTRSRKNIQGAKKNLGKELDEVREAVVLGNYTQVAAEAIDDLSSGACGKFDAEASCLTGKKLSPGEERGFSPGGVVTRQSKGTKRIQAMSKDELLKKRMKKASPSPAKACRRNIEGSLKGDQLDKEGPCFWKSRKVQTASRETKKNLVDELDEVSKESNTEIFDRHEEAEAGPDTQMAAEVMNALHSGDGREIDPEPNNLIGKKLSPEGGISSCGVVTRKSKRIKGIQAVDSDVESLKQKTKKARSILGKSSEKNMDRYSKNDKVDMPDEAVVSTTEKRQGELSNKHCMSKLLKKSSRGEAEVLNYPKRRRSARISQDQVNEAGRSSDPAFDTPVKSKTPSTNVSPICMGGEYHRLSCKDSFTSHTTREFRSLTLPLAEPISKTKSTRKRRDLGSIRVLFSQHLDEDVTKHQKKILARFDISEASSMKEATHFLADNFTRTRNMLEAIASGKPVVTTQWLESIDQVSIYVDEDMYILRDSKKEKEFCFNMGVSLARARQFPLLQGRRVFITPNTKPGLNTITTLVKAVHGLPVERLGRSALSEDKVPENLLVLSCEEDRATCIPFLERGAEVYSSELLLNGIVTQRLEYERYRLFTDHVRRTRSTIWIKDGKGKFQRRSG >fgenesh1_pg.C_scaffold_674000001 pep scaffold:JGI8X:scaffold_674:2178:3481:-1 gene:fgenesh1_pg.C_scaffold_674000001 transcript:fgenesh1_pg.C_scaffold_674000001 gene_biotype:protein_coding transcript_biotype:protein_coding MMIFMSPCVTKAEVKVEPEAEVKVEQPPLRVSGPLRRISEDAGIRRDSMELTPSMIRSTMMKTGQCIIELFLERLDSASPQNNVGSATKVPQDSKKSNAAKRVKCESKNTNDVIMEEEYDVMAMSVPDADFYNFEKDRVEASFGENQVWAAYDDYGMPQWYALVHKVVSQEPFKTCISWLDGKKNGYVGSMKKWIDSGYYKTSGCFSIHKRSSNDSLNSFSHRVQWTICEKGLVHIYPRKGNVWALYENWSPSWDFSTSVEEMNKYEMVEVLQDFSEDGGVTVVPLVQVPRFITVFRRIPKHRTFPRNELFRFSHQVPSHFLTSQDGENAPEGCLELDPAALPQELLKIVTKEEMKESENVVIKKPEEEANEVVQAMNNVGIDDEAKKKLETVVKKPEEEMESDKVKW >fgenesh1_pg.C_scaffold_68000001 pep scaffold:JGI8X:scaffold_68:3579:4198:1 gene:fgenesh1_pg.C_scaffold_68000001 transcript:fgenesh1_pg.C_scaffold_68000001 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTPKTTSSNKRKADDAPPSAGSNVFEEESRPPGIKAMKAKRNKGKGKVGPALATDDNIWEKKEKDMAQREKLQKMSVYKTLLARSESLDEDEKVQEDMGLDSIALKRRLIAVTLEAHSPVCGPLVM >fgenesh1_pg.C_scaffold_7000014 pep chromosome:v.1.0:7:69867:72342:1 gene:fgenesh1_pg.C_scaffold_7000014 transcript:fgenesh1_pg.C_scaffold_7000014 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWP-RK domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MEX9] MEESNNSAVVDFPDNFMDQLLWEECSWEEEATQNDQALSSGLKERVACAMGHLQEVMGERELLIQLWVPVETRSGRVLSTEEQPYSINTFSQSQSLGLYRDASTGYSFAAEVGSEQLVGLPGRVFLRRMPEWTPDVRFFRREEYPRIRYARRYQVRASLALPLFQGTSGNCVAVMEMVTTHRNLEYASQLHTICHTLEAFDLRTSQASIVPASLKVTSSSSSSRTEVASILQGICSSHRLPLAVTWAHQDSSSCLSALISASYAADQDSRCFLAACSEHHLLVGEGIAGRAFATKKQCFATDVAIFSKWSYPLSHYARMFHLHAALAVPILTRGNRTVQFVVELFFPRDCLDIQTQSLTLASQLNLRFQSSPHLMVDDNQIAQQVRDAATPPLTQEDPKGKQVSFSFSSSSSLENRKRKTKAEKDITLDTLRQHFAGSLKDAAKNIGVCPTTLKRVCRQHGISRWPSRKIKKVGHSLRKLQVVMDSVEGVQGSLHLASFYSSFPQLQSSSSSSFPLINPTQTVHVPPKSPPSSSGSQGSSGSSTCCSSEEQQLGGFENPSLSHPQLLTLSSLHEDQRAVRVTSSLPPLPSSTAPRKAKDGMKVKAMFGDSMLRMSLQPHSRLTDLRREIAKRFGMDDVLTSNFSLKYLDDDQEWVLLTCDADLEECIQVYKSSLKETIRILVHRPLSLPSFGS >fgenesh1_pg.C_scaffold_7000016 pep chromosome:v.1.0:7:81751:84238:1 gene:fgenesh1_pg.C_scaffold_7000016 transcript:fgenesh1_pg.C_scaffold_7000016 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISKSYHLLAYSAPAWASFMAGAFLVLTLSLSLFLVFDHLSTYKNPEEQKFLIGVILMVPCYSIESFASLVKPSISVDCGILRDCYESFAMYCFGRYLVACLGGEERTIEFMERQGRKSFKTPLLDHKDEKGTIKHPFPMNLFLKPWRLSPWFYQVVKFGIVQYMIIKSLTALTALILEAFGVYCEGEFKWGCGYPYLAVVLNFSQSWALYCLVQFYGATKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLSSLGLFKSSIAQSLQLKTSVQDFIICIEMGIASVVHLYVFPAKPYGLMGDRFTGSVSVLGDYASVDCPIDPDEIRDSERPTKVRLPHPDVDIRSGMTIKESMRDVFVGGGEYIVKDVRFTVTQAVEPMEKSITKFNEKLHKISQNIKKHDKEKRRVKDDSCMSSSSRRVIRGIDDPLLNGSFSDSGVTRTKKHRRKSGYTSAESGGESSSDQAYGGFEVRGRRWITKD >fgenesh1_pg.C_scaffold_7000071 pep chromosome:v.1.0:7:326067:328408:-1 gene:fgenesh1_pg.C_scaffold_7000071 transcript:fgenesh1_pg.C_scaffold_7000071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 9 protein [Source:UniProtKB/TrEMBL;Acc:D7MFQ9] MKPSIYFVTVFILLLLPTAIPHDYSDALRKSILFFEGQRSGRLPKQQRMTWRSNSALNDGRNLKTDLVGGYYDAGDNVKFHFPMAFTATMLAWSSIDFGRYMSQNDFRHNLVALKWATDYLLKTVSQLPDRIFVQVGEAQADHDCWERPEDMDTPRTAFALDAPYPASDLAGEIAAALAAASIAFKQANPKYSTILLNKAVQTFQYADSHRGSYTENPGVKQAVCPFYCSVNGYKDELLWGAAWLRRATGEDYYLTYLVTNGQVFGANFNYFEFGWDNKVGGVNVLIAKEVFEKNVTALAAYKDTAEKMMCAFLPETNGPHMTYTPGGLLYKHGSSQLQNTAALSFLLLTYADYLTTSSQPLNCGNLKFQPDSLRRIVKRQVDYVLGDNPMKLSYMIGYGEQYPRLIHHRGSSIPSFTVHPTAFGCSAGWNIFASPNPNPNILIGAVIGGPDADDKFIGGRTNASETEPTTYINAPFVGVFAYFKSNPKFS >fgenesh1_pg.C_scaffold_7000076 pep chromosome:v.1.0:7:361175:363927:-1 gene:fgenesh1_pg.C_scaffold_7000076 transcript:fgenesh1_pg.C_scaffold_7000076 gene_biotype:protein_coding transcript_biotype:protein_coding MNATEQSLLHAFSKKSSTVEDQEMPIRPLNASSAEKPEIDVAIGGLFDILKAEKSVVEKEPEAFRQAVGNILERRLFYNPSFDIYGGITGLLDYGPSGRLVELNVLSLWRKFFVNEEDMLEVGCSALTPEIVFNASGHVKKFTDLMVKDEVNGAFHRADHLVKGYCESRKKDVTISAEKAAELDNVLAVVEDLSAEELGAKIKEYGITAPHTKNPLSHPPRPFNLMFQTFFGPTGSSLGYLRPETAQGIFVNFMNYYNDNGRKLPLAVAQVGRVFRNEISPRQGPIRAREFLLAEIEHFVDPENKSHPKFPDVELLEFLMFPREEQEKSGQSAKKLCLGEAVAKGTVNSETLGYFIGRVYLFLVHLGIDKERLRFRQHKAKEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLCAHSEKSGVSLVAEAKLAEPKEIEKLVITPVKKELGVAFKADQKNVVEALEAMNEQEAMELKATLESKGEAEFEVCTLGKNVTIKKNMVSISKKRTEVRKRFFTPSVIEPSFGIGRIMYCLYEHCFSTRPSKAGDEQLNVFRFSPLVAPFKCTVLPLVQNQQLEEAAKVISKQLKSDGIKHKIEITVTLKQIETISASIGKRYARADELGVPFAITVDSPTSVTIRERDSRDQVRVSLKEVASVVTSMAEGKKTWQDVCARLNG >fgenesh1_pg.C_scaffold_7000117 pep chromosome:v.1.0:7:585869:589447:-1 gene:fgenesh1_pg.C_scaffold_7000117 transcript:fgenesh1_pg.C_scaffold_7000117 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLLDASIAIICFLFFHFFIFKKPHDQVFRNWPVIGMIGFLMVLHRMYNFGVEALEISHLTFPFKGPWFAGMDMLFTVDPANIHYILSSNFSNYTKGADFKEVFDVFGEMIFSSDSELWKNQRKAAQFMLNHHEFQKLSMSATRTKLYDGLVPLFNQYCEEEKVVDLQQVFQRFTFDTTFFLVTGSDPKSLSIEMPEVEYAKALDDLGEGIFYRHIKPKFLWKLQNRFGLGQEKRMTEADATFDRVSAKYISAKREEIRSQGIDHHSNGQSEDLLTSHIKLDTTKYELLNPSDDKFLRDTILAFNLAGRDTMSSALSWFFWLLSENPQVVTKIRKEIISKNISKDGRNGQENLDKLVYLHAALYESMRLYPPVAFQRKSPIKPDLLPSGHKVDANSVIIIFLYGLGRMRAVWGEDATEFKPERWVSETGGLRHAPSFKFLSFNAGPRTCPGKQLAMTLMKTVVVEILQNYDIKVIKGQKIEPEPGLILHMKHGLKLSHPSLIYFFNKTTHGRLPRNWPVLGMLPCLLAVLRRIYDYIVEILEISDLTFSFKGPLFAGMDMLLTVDPANIHHIMNSNFSNYIKGSDFKEVFDVFGDGIITTDSDLWKNLRKSYQAMLHHQAFQKFSLSTTRSKLMDGLVPLLNHFAEEGTIVDLQDVLGRFTFDTVLILITGSDPRSLSIEMHEDELAKALDDVAEGILFRHVKPKFLWKLQKWMGLGHEKKMTEANATFDRVCAKYISDKREEIIRSQRFNDISYGESHEDLLSSFIKLDTTKYKLLNSSEDKFLRDTIMAFILAGRDTTASALTWFFWLLSESPQVVTKILQEIININLSKNGNGQENLDKLVYLHGVLCEAMRLYPPVSFGRKSSIKSDVLPSGHKVEANSKIIICLKALGRMRAVWGDDALEFKPERWVSEKGSLRHEPSFKFLSFNSGPRTCLGKHLAMTQMKMVAMEILQNYDIKVIKGQKIEPVLGFILSMKHGLRITITKRCPS >fgenesh1_pg.C_scaffold_7000120 pep chromosome:v.1.0:7:603347:607695:-1 gene:fgenesh1_pg.C_scaffold_7000120 transcript:fgenesh1_pg.C_scaffold_7000120 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYRSWRQVQETIRLYSSSSSSTSALLEFVNAEFPSTIGIRGRREFARLLQLRALDDPLLYHNVVHGQIIVSGLELDTYLSNILMNLYSRAGGMVYARKVFEKMPERNLVTWSTMVSACNHHGFYEESLVVFLDFWRTRKNSPNEYILSSFIQACSGLDGSGRWMVFQLQSFLVKSRFDRDVYVGTLLIDFYLKEGNIDYARLVFDALPEKSTVTWTTMISGCVKMGRSYVSLQLFYQLMEGNVVPDGYILSTVLSACSILPFLEGGKQIHAHILRYGHEKDASLMNVLIDSYVKCGRVRAAHKLFDGMPNKNIISWTTLLSGYKQNSLHKEAMELFTSMPKFGLKPDMFACSSILTSCASLHALEFGTQVHAYTIKANLGNDSYVTNSLIDMYAKCDCLTEARKVFDIFAADDVVLFNAMIEGYSRLGTQWELHDALNIFHDMRFRLIRPSLLTFVSLLRASASLTSLGLSKQIHGLMFKFGLNLDIFAGSALIAVYSNCYCLKDSRLVFDEMKVKDLVIWNSMFSGYVQQSENEEALNLFLELQLSRDRPDEFTFVDMVTAAGNLASLQLGQEFHCQLLKRGLECNPYITNALLDMYAKCGSPEDAHKAFDSAASRDVVCWNSVISSYANHGEGRKALQMLEKMMCEGIEPNYITFVGVLSACSHAGLVEDGLKQFELMLRFGIEPETEHYVCMVSLLGRAGRLNEARELIEKMPTKPAAIVWRSLLSGCAKAGNVELAEYAAEMAILSDPKDSGSFTLLSNIYASKGMWTDAKKVRERMKFEGVVKEPGRSWIEINKEVHIFLSKDKSHCKANQIYEVLDDLLVQIRGHMKLINDGRRSRCELLTIRSKNNKTRKIEPEIEKPSKQMIRVSNGQSPWRSLRVISASSCLSSLQTVLSYFYFFTSIRKSLDKLVTIDCCDHNGFKLYMSLPMVAGEMGTHVELMEVYPTLSGCEGLTVFGMGFSEGALILHKLIMFWDQPEALHTTGYEILMDLLYGLGALVYATRIPERWMLGKFDIAGHSHQLFHVLVVAGAFTTHYRAGLVYLKWRDIEGC >fgenesh1_pg.C_scaffold_7000127 pep chromosome:v.1.0:7:630729:631921:1 gene:fgenesh1_pg.C_scaffold_7000127 transcript:fgenesh1_pg.C_scaffold_7000127 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYPLSKLNPDTSEWRIRAKVLAIWQEYYDHYSTVDVVLVDDKGGKIHGIIPMELMPQFSSRIVENRWIVITDFILRPVVDALKPVAHRFELERSGDGFYDFVDFGRILNGAHDTSICVDIIGKAINVSKITSFGCHVYEDQVEHIVFDLQDTSERVLRCVLSITDALPLYRLWMTDPSDVIICVLRFVRVEFREGMWICSGVRCSKLLLNPSIPDVKKMKSVFSIKHGPIAKKQKIKD >fgenesh1_pg.C_scaffold_7000128 pep chromosome:v.1.0:7:632454:634048:1 gene:fgenesh1_pg.C_scaffold_7000128 transcript:fgenesh1_pg.C_scaffold_7000128 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSKTYDSVWRLNPSKYEWVVETKVLCSWTRRLENSGRRLEFVLADREGNKIQCSLWGEVYDKFVSVIVSGSWFRIKDFKVVHQTGDCRATLHRYKMILLKNTTIVKIPGIDNNQFFDFVDFGSILDRRYSDDFLVDLIGEVVDVQTSNIEAETNGTKLREGSVVFNDKGVPLSDEVIPDYDYLLDRSKRYNEGRLVVENKEPTRSLKRSNEDVYGFSSTKRFRPLTGGYKELLEEVGGINDQGHGRLGSEFGEDDEEDDDEEEEEDTEGDEEVEEDVDADEGEGEYEEEETYGEFGYDGDDSIYRTVSFYLRDRRNAMLRCRLFGKVALTFYDTFKEQADGAVICVIRWGLIRCYGGDVAIHATDCTRIEINPDIRGVECFDELVKENM >fgenesh1_pg.C_scaffold_7000130 pep chromosome:v.1.0:7:638160:638780:1 gene:fgenesh1_pg.C_scaffold_7000130 transcript:fgenesh1_pg.C_scaffold_7000130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD63] MEVNKQNTNSTHISQTDECIVAIQQAASWVLSVDRLRGLFVMLMDVFCEADPLSVWDATWDVLFKDVLFPQQIIFNDHEPEYAYNEIQNFETFMRKENSTFNVYAELRKRVALAGGPTCDPSLEYKMRDQFNNLKID >fgenesh1_pg.C_scaffold_7000144 pep chromosome:v.1.0:7:694019:694878:-1 gene:fgenesh1_pg.C_scaffold_7000144 transcript:fgenesh1_pg.C_scaffold_7000144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8N9] MKPKTSVLPLTLDESDEVLDEWYKEVESNDNFYQFLFQRKQWFVGQNVGNDGHDSLRLANSRLLFERLADLRDVIQYDDLVFERQLREMAFGYNMRTTPLDPLHGHASDTLSTPCLI >fgenesh1_pg.C_scaffold_7000184 pep chromosome:v.1.0:7:868968:871491:-1 gene:fgenesh1_pg.C_scaffold_7000184 transcript:fgenesh1_pg.C_scaffold_7000184 gene_biotype:protein_coding transcript_biotype:protein_coding MERYDILRDLGSGNFGVAKLVREKANGEFYAVKYIERGIKIDEHVQREIINHRDLKHPNIIRFKEVFVTPTHLAIVMEYAAGGELFERICSAGRFSEDEARYYFKQLISGVSYCHAMQICHRDLKLENTLLDGSPSSQLKICDFGYSKSSVLHSQPKSTVGTPAYVAPEVLSRKEYNGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNIRKTIQRILSVHYTIPDYVRISSECKHLLSRIFVADPDKRITVPEIEKHPWFLKDPLVMPPEEVKCDNGVEDEEAAEEKCRQSVEEIVKIIEEARKGVNGMNNNDGLGLIDGSIDLDDIDDADIYDDVDDDEERNGDFVCAL >fgenesh1_pg.C_scaffold_7000188 pep chromosome:v.1.0:7:880061:880823:1 gene:fgenesh1_pg.C_scaffold_7000188 transcript:fgenesh1_pg.C_scaffold_7000188 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLAPSQRSRSSSTDMDWQGQKLAEQLMQILLLIAAVVAFVVGYATASFRTMMLIYAGGVVVTTLITIPNWPCFNRHPLKWLDPSEAEKHPKPQVVVVSSKKKSSKK >fgenesh1_pg.C_scaffold_7000189 pep chromosome:v.1.0:7:881315:882022:-1 gene:fgenesh1_pg.C_scaffold_7000189 transcript:fgenesh1_pg.C_scaffold_7000189 gene_biotype:protein_coding transcript_biotype:protein_coding MEISRTNAQNGGSSDSAKESSGGGNRPVSNDDDGNGTKKDQFAGFSFKWGELLNPDQDNFVAVGLAGVLTWASLQVLSQLFFISFAILVAALKYSFIAALLIFILVTLL >fgenesh1_pg.C_scaffold_7000194 pep chromosome:v.1.0:7:915077:916254:1 gene:fgenesh1_pg.C_scaffold_7000194 transcript:fgenesh1_pg.C_scaffold_7000194 gene_biotype:protein_coding transcript_biotype:protein_coding MGRITSFADLMGMIKDKASQGKAALVSSHTKSKTVSFHLSVLRATTHDPSTPPGNRHLAVLLSAGTGSRATAASAVESIMERLHTTRDACVALKSLIIIHHIVKHGRFILQDQLSVFPASGGRNYLKLSGFRDEKSPLMWELSSWVRWYALYLEHLLSTSRIMGFFISSTSSTIHKEEYEEMVSSLTNSDLLREIDALVGLLEEACKIPDLPFSGGKSLADKITHLVGEDYVSSINELYTRLNEFKERSNTLSFGDMIELVCALKRLESCKERLSEICHGNWKRGWIDGFWGLVLEVKGIIGNLEDNYGQIEKSIVGFGTREKRYESARFTDRLIIGYGDAVRFSSGRFSSVDRFNFPVSGGRL >fgenesh1_pg.C_scaffold_7000196 pep chromosome:v.1.0:7:918831:920269:1 gene:fgenesh1_pg.C_scaffold_7000196 transcript:fgenesh1_pg.C_scaffold_7000196 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPTKETTVKSEVTTNDQNPKPPQSTDLKPGVDQVATKGAEDASSVIALSNESTLQVEIETKGDRAPTRTASGSRKSVHWNPELVSESPAPDQKSLSSSSAAGSNPYVARAPAETSDASLKETMETVKGVLGRWGRRVGEAAMKAESLAGNTWQHLKTAPSFADAAMGRIAQSTKVLAEGGYEKIFRQTFETVPEEQLQNSFACYLSTSAGPVMGVLYISTAKLAYCSDNPLSYKNSGQTEWSYYKVVIPLHQLKSVNPSASIVNPAEKYIQVISVDDHEFWFMGFLNYEGAVTALQDTLQAGALQSV >fgenesh1_pg.C_scaffold_7000204 pep chromosome:v.1.0:7:951717:952355:-1 gene:fgenesh1_pg.C_scaffold_7000204 transcript:fgenesh1_pg.C_scaffold_7000204 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLHHKTIISHLKDQNTSSLRFHCSLSCRPEKVLRTTAHPSTRDRSKRAIKIISVRYISVRYIIQMNQLVRSKRYDDDQFEQVEAICDVLIAAENRLPNGLKDYYGMIRANRFGPVLLEDFEKLMKLLDKTHNHFPFSQEAADKASLAWSLLSKPPIKAHYDLAIFAFCGECSKGKRKMGFHESFIPKKQIEVVVISDDDDDDYDDRVRP >fgenesh1_pg.C_scaffold_7000209 pep chromosome:v.1.0:7:967568:971607:1 gene:fgenesh1_pg.C_scaffold_7000209 transcript:fgenesh1_pg.C_scaffold_7000209 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKKIASLIICFLLLLLLPLSFSKPTRNLDGISARAPTVQQIRNRHHGTREVIVDNGIIRVSFSNPQGLVTGIKYKGIDNVLHPHLRARGYWDITWQGTNIRQGGLDRIEGTKFRIITQTQEQVEISFSRTWEDGHIPLNVDKRYIIRRNTSGIYMYGIFERLPEWPELDMGLIRIAFKLNPDRFHYMAVADNRQREMPTEDDRDIERGHAKVLGYKEAVQLTHPHNSMFKNQVDDKYQYTCEIKDNKVHGWISTKSRVGFWIISPSGEYRSGGPIKQELTSHVGPTAITTFISGHYVGADMEAHYRPGEAWKKVLGPVFIYLNSDSTGNNPPQDLLWEDAKQQTEKEVKAWPYEFVASSDFLSRRERGIVTGRLLVNDRFLTPGKSAYVGLAPPGEAGSWQTNTKGYQFWTKTNETGYFTIENVRPGTYNLYGWVPGFIGDFRYQNRVNVAAGSHISLGRVVYQPPRNGPTLWEIGVPDRTAREFFIPEPYKNTMNPYLNHTDKFRQYGLWQRYTELYPTHDLVYTVGASNYSQDWFYAQVTRKTGDLTYVPTTWQIVFHLPYVNWQGGYTLQLALASAARANLQVRINTQNSWPFFSTGNIGKDNAIARHGIHGMYRLYSINVPGRLLRTGTNTIYLRQAKATGPFEGLMYDYIRLEEPSRD >fgenesh1_pg.C_scaffold_7000220 pep chromosome:v.1.0:7:1017560:1020279:1 gene:fgenesh1_pg.C_scaffold_7000220 transcript:fgenesh1_pg.C_scaffold_7000220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein [Source:UniProtKB/TrEMBL;Acc:D7M9Z2] MDKEKEQTLEWNEAQKIEISVDLFAAAKKQLLFLGAVDRNRCLYDGPALDRAIYRYNAYWLPLLAKYTESSSICEGPLVPPLDCEWVWHCHRLTPVRYKTDCEQFYGRVLDNSGVISSVNGNYKSQTETLWRRLYPMEPYDLDFGKAISEPADISALEKCTTYDLVSAVKRQSPFYYQISRAHVDNDVFLQEAVARYKAFLYLIKGNRERSIKLFCVPTYDIDLIWHTHQLHALSYCNDLTKMIGKVLEHDDTDSDRSKGKKLDTGFSGTTAQWEETFGRRYWKAGAMNRGNTPKPVTTSPYVWSGKKSTVKEEESHNVIQFPEVKVIEVILEIVGVKNLPDAHKGKVFVLFSKTQPDSLFNAERRLTVLSESCGEKQVALFQCEPTGELSFQLMSSKSKSLGFTSLSLSEFLFPVTKLSVEKWLEITPTKRGKADDPNPISLRVAVSFTPPTRSPTVLHLVQARPSLKGSCFLPMIRKVRLVKSFTRVVDETETEVINLQMRNSNDTAPKADRRQVIGVKECGETYVLAEYDGNFWSLLDSKWSLKQTSNPATDGPLFELSGTRMVKVYSGRKLEYEPKHCSRLRSEQDFMTAVEFSKQYPYGKAVGLLDLKLGSIEANEKWLVLPGIVSSFILSDLLKKEGFSAAAKETVKANGITEENKEIDVLTQVNQEEETMMNVDTTTQVAVATEKITGGARCLSKELSGNMVEEEGGHCGGCGGCGGCGGGGGCGGGGRCGGMTKIEGCGGGSCTGGSTGCGNCGGGCGNMMKNNANGNASSVENDAVTA >fgenesh1_pg.C_scaffold_7000226 pep chromosome:v.1.0:7:1054010:1055425:1 gene:fgenesh1_pg.C_scaffold_7000226 transcript:fgenesh1_pg.C_scaffold_7000226 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYLPRNIQSYKSEFLEFRQRIKESIRKKMQNGNTIIAPTSQTHESKSNLPYNDFGSFFGPSQTVIASRVLQESKALLENKTSAAKMLNSIQNKKSPVQINANEVKRKAEKLRDARDYSFLFSDDAQLPVSIKQPPKFRPSSREIQMNHSKQASSGSQMLPRPGSSTNVEAHMSGDSARKYSRKQKSISKNGQPSSKLGPQRQLSSSKPLTYDPKQQRVKQRNVSLELTRSQLPPAKHQSISEPPLKRALQLKKKKKKKPVKMSEDDLAALQMVRQMCKTDRFAGRDLDEDYDDRCMEANFDDIMREEKRSEKLAKKEDAEQLRLIEEEERVRKQIKKQKLSH >fgenesh1_pg.C_scaffold_7000230 pep chromosome:v.1.0:7:1066071:1066633:-1 gene:fgenesh1_pg.C_scaffold_7000230 transcript:fgenesh1_pg.C_scaffold_7000230 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSSKMSSFLLILLILNSTHFSLMANGRPEPNSVEFTKSGDQDEKMMMRGLIGSRPPRCERVRCRSCGHCEAIQVPTNPQTKLHSPFTTSSSSEIIHLDYTRGDDSTNYKPMSWKCKCGNSIYNP >fgenesh1_pg.C_scaffold_7000275 pep chromosome:v.1.0:7:1366811:1367614:1 gene:fgenesh1_pg.C_scaffold_7000275 transcript:fgenesh1_pg.C_scaffold_7000275 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSVVLTPTRFLQSNTTQESVVEKMRMGGGGIDNTSAVTLEWALSSLLNNPEVLKKARDEIDRKIAPMLLPHVASKDCKVAGYDMPHGTMLLTNAWAIHRDPRLLDDPTSLNPERFEKGGEAHKLMPFGLGRRACPGSGLAQRLVTLSLGSLIQCFEWERIGEEEVDMTEGPGLTMPKGIPLVAMCRARAFVGKILPESA >fgenesh1_pg.C_scaffold_7000292 pep chromosome:v.1.0:7:1477717:1479388:1 gene:fgenesh1_pg.C_scaffold_7000292 transcript:fgenesh1_pg.C_scaffold_7000292 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKLISSSSSSVYDTTRINHHHHPPSSSDEISQFLRHIFDRSSPLPSYYSPATTTTPTSMIGVQVHGDPHADNSRSLVSHHPPSDSVLMSKRVGDFSEVLIGGGACFGFSGGGNNNNAQGNSSGTRVSSSSVGASGNETDEYDCESEEGGEAVVDEAPSSKSGPSSRSSSKRCRAAEVHNLSEKRRRSRINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTMRNGINLHHPLCLPGTTLHPLQLSQIRPPEATNDPLLNHTNQFASTSNAPEMINTVASSYALEPSIRSHFGPFPPLLNSPAEMSREGGLTHQRLNIGHSNANLTGEQALFDGQPDLKDRIT >fgenesh1_pg.C_scaffold_7000300 pep chromosome:v.1.0:7:1513404:1514597:1 gene:fgenesh1_pg.C_scaffold_7000300 transcript:fgenesh1_pg.C_scaffold_7000300 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIFCNTLYSSSSPSSLSPLTLTSTPTKQSRFPKNLKARAQFQSMEDHDDHLRRKFMEFPYVSPTRKQLMVDLMSTVENRLQSQLLPCNLPPDVRNFNNPNGSAEASLHIRSGQKSSPIDFVIGSWIHCKIPTGVSLNITSISAFLNSSTKAPNFVVELIQSSPESLVLILDLPHRKDLVLNPDYLKEYYQDTALDSHRQSLLKLPQVKPYVSPSLFVRSAFSPTASMLKIDAQEEDKLEEILRDHVSPAAKEVLEVWLERCAKEEEEKRVIGDEERMELERRDKSFRRKSIEEDLDLQFPRMFGDDVSSRVVHAIKEAFGVL >fgenesh1_pg.C_scaffold_7000304 pep chromosome:v.1.0:7:1526277:1527583:-1 gene:fgenesh1_pg.C_scaffold_7000304 transcript:fgenesh1_pg.C_scaffold_7000304 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGAGEEPPRTKKLKLSSLPSTSEFSSLPDEIVLSCLARVPRSDYASLSLASKCLRSIVVSPEIYDVRSLIGCGDFDSKPRKWGEVFDPKTQTWDDLPMPPSNQYNLSLPLMFESAVMEEKGIQKHVEIEGVGGRILWCEAEELDWRIHEGMVWREVMGLKTLTDTLCASKLVNYGGRMSEHWKSCKRKMVRHGLTSDELDKLLPGHILSNSGPNMLLFWDVLSPKKLEIWCAEISLKRRKEGVEICGKIEWSEAVMTFKPPPLHQHHCKLLYSLPHNL >fgenesh1_pg.C_scaffold_7000326 pep chromosome:v.1.0:7:1623514:1624597:1 gene:fgenesh1_pg.C_scaffold_7000326 transcript:fgenesh1_pg.C_scaffold_7000326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MB31] MACSFDHIGQKATSYLFSKFGPSNGGKCLGLTRKNVNQRSTYESALPRVSPSIAETLSNLGASSSVSATTSLSSIQLYINISNRVNRHRQRGIMILERLSSDDGDGDRGSRRLRTWLCFYREVVFFIEASLRRAAAIDDGDGLRFRWRLKGLD >fgenesh1_pg.C_scaffold_7000333 pep chromosome:v.1.0:7:1662518:1663589:-1 gene:fgenesh1_pg.C_scaffold_7000333 transcript:fgenesh1_pg.C_scaffold_7000333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7MBD5] MASQSLMNCRTSSSSLAVRTRVPKDIGEATIDPEPGDLTISQRFLHKFSMNGIDTTSKMSMGESLMGKLKEMDVNKDRIRLDGLSHPKEETLGLTLQDVKKLLRASEIEVVKTKLLETGKIWIRYSDFVRVCSDSSLDPSQGPLIAKMLDDSGNVIVLGNSVCLRPDQVTKSIEGLLPLPQIHSPNDPRRKELRELEAIKTVIDQKAHSLVRRELWAGLGYLIIQTAGFMRLTFWELTWDVMEPICFYVTSVYFMAGYAFFLKTSREPSFEGFYQSRFEAKQRKLMESEDFDVGRYDELKKLFNPKPSGAVSKILGTLWN >fgenesh1_pg.C_scaffold_7000359 pep chromosome:v.1.0:7:1788765:1791269:1 gene:fgenesh1_pg.C_scaffold_7000359 transcript:fgenesh1_pg.C_scaffold_7000359 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7MBG7] MRIDYTERMPQSYKMHSSMCLELKKFVDRIMRIFPDIEDARPGCSSGIQTLCLLHIALDRAKQLLQYCSESSKLYMAVTGDAILSRGSRAKKSLEQCLNDIRSMVPTILDTKISQIVQDLRSTVFTVEFSEEAAGKTIRELMRPSTSSSVSPDEIRDFHFAALKLQLSTPEAIVTERTSLRLLLAKLGEDDVDKKQILKKQILKYLLYLLKKHERIICADHKENSFSHHHPIDDSLRANAAEAEGSEEHNGILPEQFKCPLSLTVMYDPVIISSGHTFERMQIQNWFDEGNDSCPISRRKLNDFALEPNVALKIQISKWCARNGLHVQDPATKHTEASNNIDFSVSIASFGSSLYNIPDHSGLSITDLNSSYSIDSSSYSKMSKGGYFIPMQRIDSASGAGEGDTDSSHSEIEIDPLCGLTNLPWDAQIKVIEDVRSRFEHSTRAFRSMSPSKFLEPLITYLKNALERNGTAGDIIKGGLDLLLAFLSGNRRAIEYLEEEVFKMFSVFLESEVVAEEALNILEVLSNHPHGPSKITSSGSLSSLLRIVESQAEHLQEQAMITLKNLSSSIEICPEMVSLDFIQKLTSFLQQKVFCKHSIIILKNLCSTEKGRVYITETPDCLASIAELLDSNVPEEQENAIYTLLQLCTQKIEYCCLVVREATDIYSSLILITKNGTEEAKGGASELLRALEEVDSDREDESSRPEEETTASTTSQVVTPVTHQEPIKITPSPKKSGRFGFNFSSLMKKKK >fgenesh1_pg.C_scaffold_7000367 pep chromosome:v.1.0:7:1830425:1831599:1 gene:fgenesh1_pg.C_scaffold_7000367 transcript:fgenesh1_pg.C_scaffold_7000367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:D7MBH7] MAMLVDPPNGIRQEGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGVVCSSINRETNERVAIKKIHNVFENRIDALRTLRELKLLRHVRHENVIALKDVMLPTHRYSFKDVYLVYELMDSDLNQIIKSSQSLSDDHCKYFLFQLLRGLKYLHSANILHRDLKPGNLLVNANCDLKICDFGLARTSRGNEQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPIFPGTECLNQLKLIINVVGSQQDWDLQFIDNQKARRFIKSLPFSKGTHFSQIYPHANPLAIDLLQRMLVFDPTKRISVSDALLHPYMAGLLEPECNPSENVPVSLEIDENMEGDMIREMMWEEMLYYLPEA >fgenesh1_pg.C_scaffold_7000413 pep chromosome:v.1.0:7:2110078:2112873:-1 gene:fgenesh1_pg.C_scaffold_7000413 transcript:fgenesh1_pg.C_scaffold_7000413 gene_biotype:protein_coding transcript_biotype:protein_coding description:La domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MBR7] MASATSNNSASSSMSPRRISENHGSPIAAVSQSPRRPSRQVSSPWTQIVRGELEPIAAAAAAAGPSSPQSRAPIEPIASVSVAAPPASVLTVEAAAGDEKSEESGGQGNAGKKPVWKRPSNGASEVGPVMGASSWPALSETTKAPSNKSSSDSLKSLGDVPSSSASSSVPVSQGIANASVTAPKQASRANPNPTQNHSRQRSFKRNGASGSANGTVSQPSAQGSFAELPSHNPSPRGQNQKNGFASHNHGGIENLSQRDSYRNQNGNHHQSHGGRRNQEHGNQNWTFQRSFSGREGNAQSQRGIPAFVTHAPPPMQPIPPQFMAAQPIQSFGVPFPAELASSGPYYPRMQPFMTPLPHGPVFYHVQDPPLHMKLHNQIQYYFSDENLITDIYLRGFMNEQGFVPLRVVAGFKKVAELTDSIQQIVEALQNSPSVEVQGESIRKRHNWQNWLLPIMRIPAVSSPQSGDRADAVAKRLGNLSIDQSSADPIGGSSSQLQPTEALSDDQQQSSGAASVSNHNDPDGANR >fgenesh1_pg.C_scaffold_7000451 pep chromosome:v.1.0:7:2306612:2307089:1 gene:fgenesh1_pg.C_scaffold_7000451 transcript:fgenesh1_pg.C_scaffold_7000451 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTYGILLLLAQTQLRNGCTGLSNIHLLRICLRGGGGDRKIKFWNTHTGACLNSVDTGSQVCSLLWSKNETELLSSHGFTQNQLTLWKYDPSMVKMAELTGHTSRVLYMAQIPDGCTVASAPADDS >fgenesh1_pg.C_scaffold_7000472 pep chromosome:v.1.0:7:2393981:2395096:-1 gene:fgenesh1_pg.C_scaffold_7000472 transcript:fgenesh1_pg.C_scaffold_7000472 gene_biotype:protein_coding transcript_biotype:protein_coding MVTICFQSPSKPIHNIQPKSKLSSQPPYKSQSLVIVTEPEPVFTSVKTFAPATVANLGPGFDFLGCAVDGLGDHVTLHVDPTVRNGEISISEITGTTTKLSFDPHRNCAGIAAMATMKMLGIKSVGVSLALHKGLPLGSGLGSSAASAAAAAVAVNELFGGKLGNDELVLAGLKSEAKVSGFHADNIAPAIMGGFVLIRSYEPLDLKPLRFPLEKDLFFVLVSPDFEAPTKKMRAALAKEIPMAHHVWNSSQAAVLVAAVLEVEPKRAPLIPGMEAVKRAALEAGAFGCTISGVGPTAVAVIDTAEKGFEIGEKMVEAFLKVGNLKSIASVKKLDKIGARLIKSM >fgenesh1_pg.C_scaffold_7000482 pep chromosome:v.1.0:7:2449374:2450135:-1 gene:fgenesh1_pg.C_scaffold_7000482 transcript:fgenesh1_pg.C_scaffold_7000482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MD31] MERQRTTPNPSSQIREMMRFPGNSVAKEQKLQNFRVARSQYRHCSSMSQKDVQMGDFELLVDNMAGLFFKGAYGVVDSCSILIKMKKHNDEREKDLELMRQRHLERNRIVSSRNVHTVEGKHTSI >fgenesh1_pg.C_scaffold_7000492 pep chromosome:v.1.0:7:2491785:2493134:1 gene:fgenesh1_pg.C_scaffold_7000492 transcript:fgenesh1_pg.C_scaffold_7000492 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNRKAHPDCVYADNPFHECASACLERIAQGHVKKNTKKQSSRLLSFSGSFGRKKKESHSQPPSPLSARPYQNGRGGFANSNSPKVHHSVAPSVSVKKKIVSESNKSLTSSSSGDPDDFFNHKPEKKPSQTIPLSSNNLVDQSKAVSPKPGIQEHDGKIGAGGETRLFSFLSLPRSHEEESNDDYTDDDEENNNEIGVELDLESVMSDTFVSVGKYRVRSGSSTILSAIIEKHGDIAQNCKLESDSMRSRYLECLCSLMQELRSTPVGQLSKIKVKEMLAVLKDLESVNIEVAWLRSVLEEFAQSLEDAENEKERHDGLVKAKKEELEAQETDLVRMEKEVAEARLRIEETRAQMVEIEAERSRLEKMGFKMEKFKGKSFIDELL >fgenesh1_pg.C_scaffold_7000524 pep chromosome:v.1.0:7:2655781:2659725:1 gene:fgenesh1_pg.C_scaffold_7000524 transcript:fgenesh1_pg.C_scaffold_7000524 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSISSSLSLNAKPSKLSNNINNKPHRFLRNPFLKPSSSSSFSPLPASISSSSSSPSLPLRVSNPLTLLAADNDDYDEKPREECGVVGIYGDSEASRLCYLALHALQHRGQEGAGIVTVSKDKVLQTITGVGLVSEVFSESKLDQLPGDIAIGHVRYSTAGSSMLKNVQPFVAGYRFGSVGVAHNGNLVNYTKLRADLEENGSIFNTSSDTEVVLHLIAISKARPFFMRIVDACEKLQGAYSMVFVTEDKLVAVRDPHGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVYPGEVLVVDKDGVKCQCLMPHPEPKQCIFEHIYFSLPNSIVFGRSVYESRHVFGEILATESPVDCDVVIAVPDSGVVAALGYAAKAGVAFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRGVLEGKRVVVVDDSIVRGTTSSKIVRLLREAGAKEVHMRIASPPIIASCYYGVDTPSSNELISNRMSVDEIRDYIGCDSLAFLSFETLKKHLGEDSRSFCYACFTGDYPVKPTEDKVKRGGDFIDDGLVGGINNIEGGWCMANPRRVKMVAKQIMRELSDMLLTDTVLQHAVLPEAALGADRYLSSLTTISDVEVSNDLQVVKVYVSVFGDDRGKDVAIAGLKSKAKYVRSELGKRMKLRLTPEVRFIEDESMERGSRVIAILDKIKAEKGSGDGVNEGLPEPSDLAEDNQDWEVDDPDEDIIYVNNECNALIERSAFLDKTKAEWRTGEGEYRWQFIMAPESLFGSITQLSPTNCYFGLISVRVWS >fgenesh1_pg.C_scaffold_7000564 pep chromosome:v.1.0:7:2828903:2830098:-1 gene:fgenesh1_pg.C_scaffold_7000564 transcript:fgenesh1_pg.C_scaffold_7000564 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKTSRKSKETSARNYTTELRSYEAACKEDMEIQSFDKRMQARTSHVISTLPTGVEVRALSFDSLKVVTESLLDMNQEVVKVILDCKKDMEESRNGGLQRVRVSHLLILGALHQFEEESLIQYGNGYKKTLEELKRFKDTERPFDQDFIKMFQSVYKQQMLMLEKLQLCKKKARQEAQAHPHMAKSLQHHLHGYVCHYAMAPLGSMGKWIDSLWKNYENAIKGQKGVISSMQAGTYVAVKDLDNIRDLIERLDIKIRGMVKNAEFAVEHDAVKIGINVIKKKLEVFQKNVEALGIQADICSREIIKARTVILQRIINHPNTGSCST >fgenesh1_pg.C_scaffold_7000571 pep chromosome:v.1.0:7:2854422:2855981:1 gene:fgenesh1_pg.C_scaffold_7000571 transcript:fgenesh1_pg.C_scaffold_7000571 gene_biotype:protein_coding transcript_biotype:protein_coding MTMISDLPEELVVEILSRVPLTSLSAARSTVKRWNALSKDRLVCKGEARQQFLGFMVMDMRVCSVRFDLLNAPSIRQIGKLDQFQISDVFHCNGLVLCVMDNYSRLVVWNPYLGQTKLIESGTAHFRSCYYSLGCDSCGSHKILRFFNDSVQGSGRRVLKYEIYDVNSSSNSWRVLHVTPDWYISSDHKRGVSLKGNTYWIAIDSRRNEEEDQLEEDQIVLYDFLLCFDFTKERFGPRLQLPFNDFFEVTSSLCCVREEQLALMFQHSGFYKMEIWMTTKIEPQEVSWSKFFAFDMTPYAGLNCALRHGSFFIDMEKKVAVLFDLTIFRDSTSPRRDIAYIIGEDGYYMEVDLGKSECDHCRPVACSYVPSLVQIEITSHGLACNIDADLKSFEHIVPCGIADKEERQTLCFSEEVIHEQLVSCLAKAFSYDDVVWKEDPSVILDTQDNE >fgenesh1_pg.C_scaffold_7000594 pep chromosome:v.1.0:7:2956213:2959715:-1 gene:fgenesh1_pg.C_scaffold_7000594 transcript:fgenesh1_pg.C_scaffold_7000594 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSAESLSISGATASEVVSEPSVSSSSSSSRLSASSPNQASSTAFSTMDHAFATVAVEDEEDLCRICRIPGDTDNPLRYPCTCRGSIKFVHQDCLLQWLNHCKARHCEVCKHPFSFSPVYAENAPTRLPFQEFVVAIATKLFGVLHFFLRLSFLISAWFITIPYITFWVWRLAFVRSFGEAQRLFLSHISTTVILTDCLHGFLLSATIYFFYFGVIILKVIFRRLRELRGQEERDDEVDRNGARAVRRPAGQANRNLAGEENCEDAGDQGAAVGQIVNRNPENVLERLGIQAVRIEAQVEQSFHGLDDADGEEEAVSSLLKQFSAAMRHLMTMLKATCFYVYFLGVFPLMCGWSLDICTVGMFGKTMSHRVQFLSTSPLVSSLLHWVVGFMYLMFTMIFESLLREVLRPGVLYFLDYLEDPNVDPFQDMIDDPVHKQARKVLLETAVYGSLIVMLVFLPVKLAIRMAPSIFPLDISVSDPFTEIPADMLLLQICIPFVIKHFRFQTSIKSLLRYWFTGVGWALGLTDFLLPRPEDNIGQDNGNGEPGRQNRVQVLQVGRPDRPMAVLPAAGDPNRSRLCAGSVNTGEEYEDDEKQSDSEYNFVVRIILLLVAWVTLLLFNSALIVVPVSVGRTLFSVIPILPTTHGIKCNDLYAFVIGTYALWTTISGATYAIEHVKSKRTSVLLNQIWKWCEIVSKSSVLLAIWVFIIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMLPIVDDSWRAKFERVREDGFTRLQGLWVLGEIVFPIVMKLLTALCVPYVLARGVFPMLGYPLVVNSAVYRFTWIGCLSVIFFCFWFRNLHNSIRDDRYLIGRRLHNFGESALANQNQK >fgenesh1_pg.C_scaffold_7000597 pep chromosome:v.1.0:7:2967413:2973079:-1 gene:fgenesh1_pg.C_scaffold_7000597 transcript:fgenesh1_pg.C_scaffold_7000597 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKDPDKSSIMIVPDTPVKTKQFEKFYVRRKSIKLPQDSVISPQAIKDRGEEESKEKFFFPQDDPQNTHQKDEKENSKENHERKRDESELFQDDDSQRVTGKGRRKNLKGTPRKQRFNRPRILEEGKKPRNPATTRLRTISNKRKKKDIDSEDEIIPEPATPKKQSLPRRRKNEKIKRNVARTLNFKKEIVLSCLEFDRICGPTFPKGRQRMTTLRRYDFHCLLLPMPIWKKQSRRSMRRKNVVRWARIASSSEQIEETLPLIVKHQKTDGRADVSLPIEDTLTRHVGSVVSKRNKKSTKNIVEHLNQQISYQKYHSLSSLADVPLHIEDTLMKSASSVLPEQPIKKTKDIAKLIKEMGRLKINKRVTTMIKSAKKLVTAKVSLDPETIKEWELLMVNDLPSRSYADKETEAKWKKEREIFQSRIDLFINRMHLLQGNRKFKQWKGSVVDSVVGVFLTQNVTDYLSSNAFMSVAAKFPVDAREGLESLAYYIEEPQDVNDLVVDGQRPTHIGNNDAKSSEFITLSDESIPEVEEHENTAKRKNEKTGIMEDETVDWKTLRKMYTKEGSRPKMHMDSVNWSDVRLSGQKVFETTIRRRGQFRILSERILKFLNDEVQHNGTLDLEWLRNAPSDLVKRYLLEIEGIGLKSAECVRLLGLKHHAFPVDTNVGRIAVRLGWVPLEPLPNGVQMHQLFQLCFLINLIKKIHYTFTNNYLLSIKTNSFQVFCTKVIPNCNACPMKSECKYFASAYVSSKVLLEGPEEKMHEPDTFMNAYSQDADVDMTSNINLIEECVSPGCSDQAICCKPLVEFPSSPRAEIPESTDIEDIPFINPYQLYARVPKIDFDMDALKKNVEDALVKGGRMLSSSDEEISKALVVLTPENACIPIKPPRKMKYYDRLRTEHVVYVLPDNHELLHDFERRELDDPSPYLLAIWQPGETSSSFIPPKKKCNSDGSKLCKIKSCSYCWTIREQSSNTFRGTILVFADHETSLKPIVFPRELCDGLEKRALYCGSSVTSIFRFLDTRRIQLCFWTGFLCMRAFDRKQRYPKELVRRLHTPPDERGPKFMNDDI >fgenesh1_pg.C_scaffold_7000622 pep chromosome:v.1.0:7:3099865:3102349:1 gene:fgenesh1_pg.C_scaffold_7000622 transcript:fgenesh1_pg.C_scaffold_7000622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 10 protein [Source:UniProtKB/TrEMBL;Acc:D7MGF2] MNSIKNGFFLCMLSLLWCLVDSRISTDPFSHSHSLNTECVMKPPQSSETQGLLQFSRSVEADSGEEWKIDGNGAIREMAQRIQLHQGNIYSFSAWVKLREGNDKKVGVVFRTENGRLVHGGEVRAKQGCWTLLKGGIVPDFSGPVDIFFESENREAKISANNVLLKQFSKDEWKLKQDQLIEKIRKSKVRFEVTYQNKTAVKGAVISLKQTKSSFLLGCGMNFRILQSQGYRKWFASRFKITSFTNEMKWYATEKARGQENYTVADSMLKFAEDNGILVRGHTVLWDNPRMQPSWVKKINNPEDVMNVTLNRINSVMKRYKGKLTGWDVVNENLHWDYFEKMLGENASSRFYNMASKIDPDVRLFVNEYNTIENPKEFTATPIKVKKKMEEILAYPGNKNIKGAIGVQGHFGPTQPNLAYIRSALDTLGSLRFPIWLTELDIPKCPNQAKYMEDILREAYSHPAVKGIIIFGGPEVSGFDKLTLADKNFNNTQTGDVIDKLLKEWQQKSSEIRTIFTADSGNEEEEISLLHGHYNVNVSHPWIENLSNSFSLEVTKEMGQHQVVRVGFNA >fgenesh1_pg.C_scaffold_7000623 pep chromosome:v.1.0:7:3104549:3107288:1 gene:fgenesh1_pg.C_scaffold_7000623 transcript:fgenesh1_pg.C_scaffold_7000623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 10 protein [Source:UniProtKB/TrEMBL;Acc:D7MGF3] MKNINNGFFLCMLLLLWCFVHSGISIDPFSQSDSLKTECVMKPPRSSETQGLLQFSRSVEADSDEEWKIDENGSIREMTQRIQLQQGNIYSFSAWVKLREGNKKKVGVVFRTENGVVHGGEVRAKQGCWTLLKGGIVPDVSGSVDIFFETDDKEAKISASDVSLKQFSKEEWKLKQDQLIEKIRKSKVRFEVTYQNKTAVKGAVISIEQTKPSFLLGCAMNFRILQSEGYRNWFASRFKITSFTNEMKWYTTEKERGQENYTAADSMLKFAEENGILVRGHTVLWDDPIMQPSWVQKIKDPNDLMNVTLNRINSVMTRYKGKLTGWDVVNENVHWDYFEKMLGANASSIFYNLAFKLDPDLTLFVNEYNTIENRVEVTATPVKVKEKMEEILAYPGNMNIKGAIGAQGHFRPTQPNLAYMRSALDTLGSLGLPIWLTELDMPKCPNQEIYIEEILREAYSHPAVKGIIIFAGPEVSGFDKLTLADKDFNNTATGDVIDSLLKEWQQSSEIPKIFMKDSENDEEEVSLLHGYYNVNVSHTWMKNMSTSFSLEVTKEMGQRQVVRVVINA >fgenesh1_pg.C_scaffold_7000652 pep chromosome:v.1.0:7:3253053:3254658:1 gene:fgenesh1_pg.C_scaffold_7000652 transcript:fgenesh1_pg.C_scaffold_7000652 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVSSNMFDIKKNALKKLSKQQSAYRIKLLSSYKEMVSVTMLNLVNLGNLFSCNLESIKKTLEKCRFGTCHIDMITKKMAEEFVEMFIREVMIKGLLIMELISLSTEVPQPINNTEPVLPRVKENNFGISSISHTNQPTVEILQIWAEFEAEETDRNFSGKIGYVGSWIGEGGLFAVVNTLVCDKLE >fgenesh1_pg.C_scaffold_7000654 pep chromosome:v.1.0:7:3261568:3262432:1 gene:fgenesh1_pg.C_scaffold_7000654 transcript:fgenesh1_pg.C_scaffold_7000654 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB69 [Source:UniProtKB/TrEMBL;Acc:D7M8R2] MEMSRGSNSFDNKKPSCQRGHWRPVEDDNLRQLVEQYGPKNWNFIAQHLYGRSGKSCRLRWYNQLDPNITKKPFTEEEEERLLKAHRIQGNRWASIARLFPGRTDNAVKNHFHVIMARRKRENFSSTATSTFNQTWHNVLSPSSSITRLNRSQFGLWRYRKDKSHDLWPYSFVSAPINDQFGSSSVSNVRHEIYLERRKSKELVDDHNYTFHAATPDHKKTSNEDGPSIGDDGEKNDVTFIDFLGVGLAS >fgenesh1_pg.C_scaffold_7000670 pep chromosome:v.1.0:7:3331810:3333806:1 gene:fgenesh1_pg.C_scaffold_7000670 transcript:fgenesh1_pg.C_scaffold_7000670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7M8T0] MAFQDFDKIQERVNAERKRKFRKRIIFGIVSALVVVAAIIGGAFAYVTYENKTQEQGKTTNNKSKDSPTKSESPSRKPPSSAAQTVKAGQVDKIIQTLCNSTLYKPTCENTLKNGTKTDTPLSDPRSLLTSAIVAVNDDLDRVFKKVLSLKTENKDDKDAIAQCKLLVDEAKEELGTSMKRINDTEVNNFAKIVPDLDSWLSAVMSYQETCVDGFEEGKLKTEIRKNFNSSQVLTSNSLAMIKSLDGYISSVPKVKTRHLLEARSSAKETDHITSWLSNKERRMLKAVDVNALKPNATVAKDGSGNFTTINDALKAMPAKYQGRYTIYIKHGVYDESVIIDKKKPNVTMIGDGSQKTIVTGNKSHAKKIRTFVTATFVAQGEGFMAHSMGFRNTAGPEGHQAVAIRVQSDRSVFLNCRFEGYQDTLYAYTHRQYYRSCVIVGTVDFIFGDAAAIFQNCDIFIRKGLPGQKNTVTAQGRVDKFQTTGFVIHNCTIAPNEDLKPVKAEFKSYLGRPWKTHSRTVVMESTIEDVIDHVGWLRWQETDFAIDTLSYAEYKNDGPSGATVSRVKWPGFRVLNKEEAMKYTVGPFLQGEWIREMGSPVKLGLYDA >fgenesh1_pg.C_scaffold_7000678 pep chromosome:v.1.0:7:3382795:3385445:1 gene:fgenesh1_pg.C_scaffold_7000678 transcript:fgenesh1_pg.C_scaffold_7000678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monofunctional lysine-ketoglutarate reductase [Source:UniProtKB/TrEMBL;Acc:D7M992] MKSSGGEEKKLGNGVVGILAETANKWERRTPLTPSHCARLLRGGKDRTGISRIVVQPSGKRIHHDALYEDVGCEISDDLSDCGLILGIKQPELEMILPERAYAFFSHTHKAQKENMPLLDKILSERVTLCDYELIVGDHGKRLLAFGKYAGRAGLVDILHGLGLRYLSLGYSTPFLSLGSSYMYSSLAAAKAAVISVGEEIASQGLPLGICPLVFVFTGTGNVSLGVQEIFKLLPHTFVEPSNLPELFGKNQGISQNGKSTKRVYQLYGCIITSQDMVEHKNPSKSFDKADYYAHPEHYNPVSHEKIFPYTSVLVNCMYWEKKFPRLLSTKQLQDLTKKRCPLVGICDITCDIGGSVEFVNRATLIDSPFFRFNPSNNSYYDDMDGDGVICMAIETLPTEFAKEASQHFGDILSEFVGSLASMTEIADLPAHLKRACISYMGELTSLYEYIPRMRKSNPEEEQTNISNGVSNHRTYNILVGFDEVKVSLSGLQGLF >fgenesh1_pg.C_scaffold_7000696 pep chromosome:v.1.0:7:3489853:3491490:1 gene:fgenesh1_pg.C_scaffold_7000696 transcript:fgenesh1_pg.C_scaffold_7000696 gene_biotype:protein_coding transcript_biotype:protein_coding MASILISGAAGVSIPLIGTLLPLNGGLMRGAKAFAAGVILATGFVHMLSGGSQALSDPCLPEFPWKMFPFPEFFAMVAALLTLLADFMITGYYERKQEKMMNQSAESLGTHVSVISDPSLESGFLRDQEDGGALHIVGMRAHAEHHRHSLSMGAEGFEALAKRSGVSGHGHGHGHGDVGLDSGVRHVVVSQILEMGIVSHSIIIGISLGVSHSPCTIRPLLLALSFHQFFEGFALGGCVAEARLTPRGSAMMAFFFAITTPIGVAVGTAIASSYNSYSVAALVAEGVLDSLSAGILVYMALVDLIAADFLSKKMSVDFRVQVVSYCFLFLGAGMMSALAIWA >fgenesh1_pg.C_scaffold_7000700 pep chromosome:v.1.0:7:3507056:3507394:1 gene:fgenesh1_pg.C_scaffold_7000700 transcript:fgenesh1_pg.C_scaffold_7000700 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHPLLIPISSFPAPPLEASSVVVLDGGIYVIGGRIKGKLLLLDCRTHTWRHVPSMGVARAAAAAEVVGGKIYVCGGCLDPESSNWAEVFDPKTQTWDPLPPMPDPEMRLQ >fgenesh1_pg.C_scaffold_7000706 pep chromosome:v.1.0:7:3531426:3532765:-1 gene:fgenesh1_pg.C_scaffold_7000706 transcript:fgenesh1_pg.C_scaffold_7000706 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYVLKITADLENLTNLQPSGGCDDSNFPYLFKLKCERCGEVTPKETCVTLNETFTPPGGRGTCHLVQKCKFCGREGNVTMIPGKGRPLTLEDSEAGEHAPLMVFDCRGYEPIDFGFGGYWKAEAGSGTKFDDIDLSSGEEFTEYDEKGECPVMISNFRASFSVTK >fgenesh1_pg.C_scaffold_7000720 pep chromosome:v.1.0:7:3616452:3619464:-1 gene:fgenesh1_pg.C_scaffold_7000720 transcript:fgenesh1_pg.C_scaffold_7000720 gene_biotype:protein_coding transcript_biotype:protein_coding MASLITTKAMMSHHHVLSSTRITIHYSDNSIGQQQLKTKSQVPHRLFARRVFGVTRAVINSPAPSPLPEKEKVEGERRCHVAWTSVPQEKWEGELTVQGKIPTWLNGTYLRNGPGLWNIGDHDFRHLFDGYSTLVKLQFDGGRIFAGHRLLESDAYKAAKKHNRLCYREFSETPKPVIINKNPFSGIGEIVRLFSGESLTDNANTGVIKLGDGRVMCLTETQKGSILVDHETLETIGKFEYDDGLSDHMIQSAHPIVTETEMWTLIPDLVKPGYRVVRMEAGSNKREVVGRVRCRSGSWGPGWVHSFAVTENYVVIPEMPLRYSVRNLLRAEPTPLYKFEWCPEDGAFLHVMSKLTGEVVASVEVPAFVTFHFINAYEEDENGDGKATVIIADCCEHNADTRILDMLRLHTLRSSHGHDVLPDARIGRFRIPLDGSKYGKLETAVEAEKHGRAMDMCSINPLYLGQKYRYVYACGAKRPCNFPNALSKVDIVEKKVKNWHEHGIIPSEPFFVPRPDATHEDDGVVISIVSEENGGSFAILLDGSTFEEIARANFPYGLPYGLHGCWIPKH >fgenesh1_pg.C_scaffold_7000729 pep chromosome:v.1.0:7:3676702:3679749:-1 gene:fgenesh1_pg.C_scaffold_7000729 transcript:fgenesh1_pg.C_scaffold_7000729 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPSSSPAPATSPPATLSPPADSVPDTSSPPAAPLSPLPPPLSSPPPLPPPPSLSAPTASPPLPPVEPPPSLPIESPPPPLVESPPLPPLESPSPPSPQVSAPSGSPPFPFLPAKPSPPPSSLPSETVPPGNTISPPPPYSLPSESIPPVNTASHPPPSPPRRRSGPKPSLPPPINSSPPNPSPSLPETSPPPKPPLSTTPFPPSSTPPPKKSPAAATLPFFGPVGQLPDGTVAPPIGPVIEPKTSPGESIISPGTPQPLVPKSLPETTSYHRSSAGFLFGGVIVGALLLVLLGLLFVFYRATRNRNNNNSSAHRQSKTPSKVQHHPGGNAGPNQAHVITMPPPIHTAPPKYLSSGGNGTKENNSVAKNITMPSGMFTYEELSEATCGFSEANLLGEGGFGYVHKGVLKNGTEVAVKQLKIGSYQGEREFQAEVDTISRVHHKHLVSLVGYCVNGDKRLLVYEFVPKDTLEFHLHGNRGSVLEWEMRLRIAVGAAKGLAYLHEDCSPTIIHRDIKAANILLDSKFEAKVSDFGLAKFFSDTNSSFTHISTRVVGTFGYMAPEYASSGKVTDKSDVYSFGVVLLELITGRPSIFSKDSSTNQSLVDWARPLLAKAISGESFDLLVDPRLEKNYDTTQMANMAACAAACIRQSAWLRPRMSQVVRALEGEVALRKVEETGNSVTYSSSENLNDITPRYGTNKRRFDTGSSDGYTSEFRIWS >fgenesh1_pg.C_scaffold_7000732 pep chromosome:v.1.0:7:3694709:3696470:1 gene:fgenesh1_pg.C_scaffold_7000732 transcript:fgenesh1_pg.C_scaffold_7000732 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSREARRRKILERGSDRLAFITGQINGAHPPPPSDSTSSLSQSHLQSSPDPIPPRDQILKAQEIVSYKRNKIKPEGNLLILMIPGCEIAAFTSHQENISDAEMLDNVDRIIHQSRAESLQPQRHAETLAEASGSDPRDATIQASPTTSSVQNPSVVNLGASQAFIPVVSFVNAITPKHIGAAIDASEYARMFTALAIALVVILSHLGFSSLGNIVSFRPVFLLLLTDATIVLGRVLLSHHGDSSSASGTVMSEQGMMDQVGNALEMVMMMKKIMDALLMDFSLYAVILICGLLVTQSIFP >fgenesh1_pg.C_scaffold_7000735 pep chromosome:v.1.0:7:3708775:3709944:-1 gene:fgenesh1_pg.C_scaffold_7000735 transcript:fgenesh1_pg.C_scaffold_7000735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9R0] MEMDSSYAITRTVLVYLGSKVTIGKLALVLPYSSPLISSLGERPDYNFLLLKRETMLVYIPHLRGAVLVCLLRTNSSESRHDLLPPATISTGQDTLLDVYTPTILYSNTLPRISLLDLGTSLLQFVLDGFDTLIATATIESLRIFDDVAKEALSSVLLTCDSDFGGFVSQLSEDGLD >fgenesh1_pg.C_scaffold_7000746 pep chromosome:v.1.0:7:3767340:3769297:-1 gene:fgenesh1_pg.C_scaffold_7000746 transcript:fgenesh1_pg.C_scaffold_7000746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7MA31] MEAHPHNKTDQSKHIILVHGPIIIGAGPSGLATSACLSSRGVPSLILERSDSIASLWKSKTYDRLKLHLPKHFCRLPLLDFPEYFPKYPSKNEFLAYLESYASHFRIVPRFNKNVQNAAFDSSSGFWRVKTHDNTEYLSKWLIVATGENADPYFPEIPGRKKFSGGKIVHASEYKSGEEFRRQKVLVVGCGNSGMEISLDLVRHNASPHLVVRNTVHVLPREILGLSTFGVGMTLLKCLPLRLVDKFLLLMANLSFGNTDRLGLRRPKTGPLELKNITGKSPVLDVGAMSLIRSGMIQIMEGVKEMTKNGAKFMDGQEKDFDCIIFATGYKSNVPTWLQGSDFFTDDGMPKTPFPNGWRGGKGLYTVGFTRRGLLGTASDAVKIAGEIGDQWRDEIKGSTRNMCSSRFVFTSKS >fgenesh1_pg.C_scaffold_7000747 pep chromosome:v.1.0:7:3777837:3781433:1 gene:fgenesh1_pg.C_scaffold_7000747 transcript:fgenesh1_pg.C_scaffold_7000747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7MA32] MIRGGRNNITSAPAFSKDAKKLLLCTANTVSVYRVATGLKITSLEDHTAPVTTVIVDMASNETHSYCWTSSLDGKIRIWEFSEPKLLKTFDTHLPIHSLVMIPSHVSHSVIAYVSVEDYSSVSSDLFGQIRRYDLTEEPLPSGDILKEMEEPKPIVLSPLGDFFGVCHSCNIHIWNASLGASEHLKPKETTLHHTELITVFAFHPNQRILAAGDVKGRVLIWKDIGNGELTSVKSEGDPESCTAFNWHSAEVTVLNFSSDGALLYSGVKEGVFVVWELDTRKKKLLPKIGSPLLYFISSSDPTLSSVICADNQIHLSKMPSMEILRTISGIKPRRSERHGFICYVKTRDICLTRTVSIDRSSGIAAFCAENHRVQLYNLLSDHEISEVQICERNHHPDGDEVRVLVTAVALSRNGSVMTTADARFAASNFSEGLVSLKFWVFVPDSKTFSLSTVINQPHREAAITAIALNPARSMAVSISSAGDFKIWVCNSDKNLTPEDSNWICHGDGSYKRTPITAAVFSGDGSCLAIAAKTVITIWHPLKNELLYVVGKANATIMELSFTGGGFLIAASHGSTPHLSVWDLMTFCLSWSYRLYIEAIATEVGSPYFAVLTWLPESDRLVKSNGQMFCGKDGAILLFDGSGPKPVAIWTVMKARGGTLSFVEDGKKSQPLLAYVNRSHEYVLFDPYGDEKLETSAIDYEVFLAAISKKTKRSRKKALMSEKPWETNFCGSTLNFPPFPDVCSAFFSSRMQKKVCERAEREPESHSS >fgenesh1_pg.C_scaffold_7000779 pep chromosome:v.1.0:7:3957442:3958143:-1 gene:fgenesh1_pg.C_scaffold_7000779 transcript:fgenesh1_pg.C_scaffold_7000779 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHTEQESSLVLTRELLRTAIFNISYIRGLFPVKYFRNISVPALDMKMKKLMPMDAESRRLIGWVEKRVYDALQKKNLKTLTFYICETVDGPMIEEYTSIFWDSAPFFSTTFLDSFDSSTFFGLV >fgenesh1_pg.C_scaffold_7000791 pep chromosome:v.1.0:7:4005020:4005358:1 gene:fgenesh1_pg.C_scaffold_7000791 transcript:fgenesh1_pg.C_scaffold_7000791 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMHRNHQNKLQRINGKRNTSGRWDAGYSECPSSSLVCYSNGSQLHDLEELQNSTDIDEYKLRDGAGAARRFGEAQARKSREFEVQSRSSEIFFCGPKQQWVRKLKRRMSR >fgenesh1_pg.C_scaffold_7000794 pep chromosome:v.1.0:7:4019580:4020282:1 gene:fgenesh1_pg.C_scaffold_7000794 transcript:fgenesh1_pg.C_scaffold_7000794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MAS1] MAFALKCFVVFVLLSMVSQGLCHCTFGKIRIGAVRTGREIAGQPEWKVTVTNTCNCFQKHVTLSCGGFVPAKPVKPSLLQPQGNTCLLIKGASLPAGATAQFTYAGQPYIFRPVGSMVDPSCKN >fgenesh1_pg.C_scaffold_7000795 pep chromosome:v.1.0:7:4021284:4021982:1 gene:fgenesh1_pg.C_scaffold_7000795 transcript:fgenesh1_pg.C_scaffold_7000795 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALKYFVVIFLFSMVSQGMCRCTFGDIQIGAVRTGREIAGQPEWKVTVINTCKCLQKHVTLSCGGFAPVKRVEPWLLLPQGNTCLLIKGEALPAGADAEFSYAGEPYIFRPIGSTVDPSCKNLLL >fgenesh1_pg.C_scaffold_7000796 pep chromosome:v.1.0:7:4024064:4026544:1 gene:fgenesh1_pg.C_scaffold_7000796 transcript:fgenesh1_pg.C_scaffold_7000796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MAS3] MGKPTAKKKNPETPKDASGGGGGKSGKTYHRSTSRAFDEDMEIFISRALELKEEGNKLFQKRDHEGAMLSFDKALKLLPKDHIDVAYLRTSMASCYMQMGLGEYPNAISECNLALEASPRYSKALVRRSRCYEALNKLDYAFRDARIVLNMEPENVSANEIFDRVKKVLVDKGVDVDEMEKNFVDVQPVGAARLKKIVKERLRKNKKKKKSGGKDEELKSNNRGVVESPKVVVDKGEEAESRNKLKEEKSDKSEIEGKSGGSREDKKTSFKGDKGQKKKSGGKKAGEERKVEDKVVVMDKEVIASEIVEGGGSTKGGATVTRTVKLVHGDDIRWAQLPLDSTVRLVRDVIRDRFPALRGFLIKYRDTEGDLVTITTTDELRLAASTHDKLGSLRLYIAEVNPDQEPTYDGMSNTESTDKVAKRLSSLADNGSVGEYLESDKASACFENWILQFAQLFKNHVGFDSDSYLDLHDLGMKLYTEAMEDAVTGEDAQELFEIAADKFQEMGALALFNWGNVHMSKARKQVCLPEDASREAIIEAVEAAFVWTRNEYNKAAEKYEEAIKVKPDFYEALLALGQEQFEQAKLCWYHALKSKVDLESEVSQEVLKLYNKAEDSMERGMQIWEEMEECRLNGISKLDKHKNMLRKLELDELFSEASEEETVEQTANMSSQINLLWGSLLYERSIVEYKLGLPTWDECLEVAVEKFELAGASATDIAVMIKNHCSSESALEGMGFKIDEIVQAWNEMYDAKRWQMGVPSFRLEPMFRRRAPKLHDILENVFSGPA >fgenesh1_pg.C_scaffold_7000832 pep chromosome:v.1.0:7:4235832:4237221:-1 gene:fgenesh1_pg.C_scaffold_7000832 transcript:fgenesh1_pg.C_scaffold_7000832 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKAALELGVLDTIVAVDDGVWLSSSEIAFGLPTKPTNPEAPILLDRMLRLLVSHSILKCRIVETGENDLTGKTQRVYAAEPVCKFFLNRGVKSGSLTSLFMLLQSQVFFKTWTHLKDVILQEEKDAFSSAHDMRLFEYIGLDEQFAGMLNQAMSESSTMIMKKIFEVYKGFKDVNTLVDIGGGLGTILNLVTSKYPQIKGINFDLATVLANAPFYSGVEHVSGDMFIEVPKGDAIFMKWILHDWNDEDCVKILKNCWKSLPEKGKVIIVDMVTPSEPKSDDLFSNIVFGMDMLVLTQCSGGKERSFSQFEALASASGFLKCEISALAYTYYVIEIHK >fgenesh1_pg.C_scaffold_7000837 pep chromosome:v.1.0:7:4254738:4259142:1 gene:fgenesh1_pg.C_scaffold_7000837 transcript:fgenesh1_pg.C_scaffold_7000837 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLSSSQSNRPFFVRSLAGHNSNLIIPDAFFTAHLKDKTGLTKLKLTSDASDRTWDVKLNGRRFAGGWDDFSAAHCLRDDDVLVFRHDGKMSFSKHFSRTNGLTKRWCVIDLMNLSGESWALGLRHNNRTGQDYIRGHWRSFCRANEMKPGSSYRFKLVRNGTRPLLRLCSEVIPEGNRSRANGKDNVSEKYSRAAGSASTKQNKFLTVTFKHYMIQSGHLRLPRSFARENGIKEAEEIILVDKNGVKWPSYVASAKQRGEFYMAHGWKRFCEANKLNTGDTFTLEFVRGEDTTPMLKFCSKAKVKIEQEEAPEETETPFQKRARVSAEVGHSRRTQTPNKASHDPKILQRKQPLQPCSFSDQAKKVKQSIVNILTGIKRFRSELEIKEQSLEAALLEIDALVLNINRGESIGNQQNPQVIIKSRSEVKIRKWNMNFCKPCLYLELVQQSRQMIPAEFFSTYVEGKNQSTKLKLTSDALDRTWEVKLNGRRFARGWENFSTVHSLQDDDVLIFREIGDMTFHVTASGRSFCKVQYISSDEDGADEDDAWTEYSDSKNIASKKKPRTEAKSSSKNSYLVAHVTSSNLSRDRLSLIKGFARSNGLNQRCCEIDLMNQHGKSWTVGLRYSTANEQASISGGWRDFCLANGLEAGSFYRFKLVQNGARPLLRLCSETIPEEDCYKGNRKEIFSESASMKQSKFLTMTFKPYMLKSGQLHISRPFAIENEIKEAEDITLVDKNGGNWPSYVASGDGQGGKYYLAKGWRGFCAANRLKTGETFTLEFVRGESTTPMLKFCSKTKIEQVPLDEERETQEETETRVEKRARVSAGGGSSRRNQASNKPNADPQNLKPRQPPCSISDRVQKVKQIIEDTLTGVKRIRSELEVKEQNLEASILEIDALGEKVLEINKILK >fgenesh1_pg.C_scaffold_7000863 pep chromosome:v.1.0:7:4391987:4393407:-1 gene:fgenesh1_pg.C_scaffold_7000863 transcript:fgenesh1_pg.C_scaffold_7000863 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTNEQENATRTNVKRKRFTEMEVDMIMPDPSPKGNNYYSAFEMNLECAKKVPSTNRDQRAATFDLGGICCLFPGNAEELQAAVRSMGRRLTIIKIPSDAARNGFTVPPKIMDVPKARAIFGYLVIMLYKNVNESNFREFSNKRFKALRAVASCIEEGYISVFNDVEDAICCRNSYDMAGYCVVEECLVYPDSPVLKAPELATEIYNAGTILVKSMCYFWDVPEIEDNWGYSDSSRTDLGSKILSSRSLQGNRSLSGPYGSDIHSLRTQDSAETPHNYDWHLL >fgenesh1_pg.C_scaffold_7000870 pep chromosome:v.1.0:7:4424349:4425755:-1 gene:fgenesh1_pg.C_scaffold_7000870 transcript:fgenesh1_pg.C_scaffold_7000870 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHAVIVINLITALISWGENGKSFIIWDQQEFCRDLLPRVVRISSFPIFVKRLETYGFTEVESDHFEYANDDFVKGKPKLALEIHKRFVQTLIRPNSVFKPLGIEPAALKAMMKQYKECLPPGTFDFKPSLRDPDALRAHLMDAMKQGRAVPKDPLSLHEKELAATLMADLKDVIKRRKAFLMEALAAAGSSSGVTQ >fgenesh1_pg.C_scaffold_7000873 pep chromosome:v.1.0:7:4437291:4444698:-1 gene:fgenesh1_pg.C_scaffold_7000873 transcript:fgenesh1_pg.C_scaffold_7000873 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase family protein [Source:UniProtKB/TrEMBL;Acc:D7MB87] MQRTISLAAAKSSSSSTSVLSLRPLMAKLQLQCRAIQNFPAYSSSSLVQVDRVYRNVSQLQFKRGNSSCLKLACALPSHLGSLSYATHWSSFTSRSFGYSFRSFTRRYISQVPNTGIKDSIVGGVEKFGGNKIGFKKFNKKWKKHKVLASTKADVVASTVIGDVNIAIKATAASPASNAKQASTVKTKRRPKSKKVENKSSPTVSVLETFSVDESLQSFPKPRHSGSGNRKSSSAEYSSQKEVAKNPNVEGPKSPTPSNSMSEQQHWTSSKASNAPKQEQDGKQGSKYSSQVPNTVSKDNIVGGDEKAGGKKLGFKKFNKNQKKHNVLASSEAEVVTSTEPVIGDGNSGIKAELSTAASPASASNGNQATTVKKRRPKNKKVEDKSSSVGPVLEAVSLEESPISVPKPKHSGSGNRKSSSAKSYPCLFYLTEYQYNSQKEVAKNHPVEEPKSPAPSNSKSEQQHLKSTKASKAPKQKLVPQHMKNSIEHRGQNASKPLYPPSGKSVIVVESITKAKIIQGYLGDMYEVLPSYGHIRDLATRSGSVRPDDDFSMVWEVPSSAWTHIKSIKLLTYDAGSNLYDVNYFFPVVFLIVLLYLIMFTLFLRVENLILASDPDREGEAIAWHVIEMLQQQGALHESMTVARVVFHEITESAIKTALQSPREIDGDLVHAYLARRALDYLIGFNISPLLWRKLPGCPSAGRVQSAALALVCDRESEIDGFKPQEYWTVGIKAKGKDNSATFSAHLTSLNSKRLNQLSISSEASAQDIEQRIKSEGFLVKGTKTSTTRKNPPTPYITSTLQQDAANKLHFSTAHTMKLAQKLYEGVQLSDGKSAGLITYMRTDGLHIADEAIKDIQSLVAERYGKNFTSDSPRKYFKKVKNAQEAHEAIRPTDIRRLPSTIASLLDADSLKLYTLIWSRAVACQMEPASIAQIQLDIGNASESIIFRSSCSKVEFLGYQAVYEDPEAKAIKNKDGDQSSEREETFKTLSLLKDGDLLQIGEVELKQHHTQNPPRYSEGSLIKKLEELGIGRPSTYASIFRVLQLSAFLTHYFTEITDYSFTADMEVELDNVSGGVTEWKGLLRDYWTRFSAYCKRVENVQRQQVEKMLEKKYEDFLFSSLPYPSRTCPSCMEGTLSFKASKYGAGYFIGCDQHPSCKFIAKTIYGEDEDEDDPPKNTCVEEPKLLGLHPNTSEKVILKCGPYGHYVQLGEDKKGHTPKRANAAHIKDVNSITLESALELLRYPLTLGNHPIDGQPVVLRLSKSGFTIKHRCTMATVPKNTEPGEVTLEKAMKLLSGKNARHSGRPPKGIQPEEEKGEEEVAVVM >fgenesh1_pg.C_scaffold_7000890 pep chromosome:v.1.0:7:4498882:4501261:-1 gene:fgenesh1_pg.C_scaffold_7000890 transcript:fgenesh1_pg.C_scaffold_7000890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein [Source:UniProtKB/TrEMBL;Acc:D7MBJ8] MENSMNKRGHGHNQEHADNLPEPKRQKLPALASVIVEAVKVDSLQRLCSSLEPLFRRIVSEEVERALFRLENSKSISRSPEPKKNQGLDGRNLQIRFRTRMPPHLFTGGKVEGEQGSAIHVVLIDANTGNVIQTGQESMAKLIIVVLDGDFNDDDDEDWTREHFESFQVKEREGKHPILTGDRHVILKEGVGTLGKLTFTDNSSWIRSRKFRLGVKAPSGFHIREAKTEPFAVKDHRGELYKKHYPPALHDEVWRLDKIAKDGALHKKLLKSNIVTVKDFLRILMKDPQKLRSLLGSGMSNRMWDNTVEHAMTCVLGGKLYVYYTDQTHETGVVFNHIYDFQGLIVNGHFLSLESLNHDQKISADILVKTAYENWHKVVEYDGKMLNCLPVAKNEIKIAQNHQTQQKTLQCQQTANGYSWVPQHLIEYPLVQQPCNQLRDYTSMESSSVSGSYNGGLEDMFTEEIRARSSEMLETDDMHRLLKTFGISGGFGHRDESSYCFSDRYEAQIDKGYKREGGRGAGKAVVGWLKLKAALRWGIFIRKKAAERRPQIVEID >fgenesh1_pg.C_scaffold_7000892 pep chromosome:v.1.0:7:4505038:4507311:-1 gene:fgenesh1_pg.C_scaffold_7000892 transcript:fgenesh1_pg.C_scaffold_7000892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase S28 family protein [Source:UniProtKB/TrEMBL;Acc:D7MBK0] MERMSACLCLVFLFLTVVAEAKYPPGGSYHLFSLRQNSKTSKSKAELPFHFQTRYFPQNLDHFSFQPESYRIFHQKYLISSHFWRKGGPIFVYTGNEGDIEWFASNTGFMLDIAPKFQALLVFIEHRFYGESKPHNLAKTLGYLNSQQALADYAILIRSLKQNLSSEASPVVVFGGSYGGMLAAWFRLKYPHITIGALASSAPILQFDKIVPSSSFYNVVSQDFKDASLNCFEVIKKSWRELEVFSTMKDGLQELSKKFRTCKDLHAVYLASRWLETAFTDTAMVNYPTPANFMAPLPAYPVEEMCKIIDWFPLEASNLDRAFAAASLYYNYSGSENCFDIENQTDPHGLNGWYWQACTEMVMPISCSNQSMFQPFEYDEKVDQEDCLKEYGVKPRPHWITTEFGGHRIEMVLKRFGSNIIFSNGMQDPWSREGVLKNISSSIIAFVTKKGAHHTDLRAATKDDPEWLKEQRRQEVAEIEKWISEYYSDLRQEEQAK >fgenesh1_pg.C_scaffold_7000893 pep chromosome:v.1.0:7:4508973:4524609:-1 gene:fgenesh1_pg.C_scaffold_7000893 transcript:fgenesh1_pg.C_scaffold_7000893 gene_biotype:protein_coding transcript_biotype:protein_coding MATSADARAVKSLNNSEGRKRFVFKSFSERINDIDININGYRTLNKVKAEPSEGSTFFRDCLVEWRELNTAEDFISFYEEMLPLVQNLGLVLVQKEKILSKLVSRLQMKARLSLEPILRLIAALSRDLLKDFIPFLPRIVNSLVTLLKNGAHKDPEIIEQVFTSWSSIIVSLQKYLVCDIESILRDTSELSYYPKDYISEFMSESMSFLLRNAQDEQLEKGEKLRVICFPMSTLGIAHLIHSPVDSSNLNITGFKMILSEVAHPSKKAGGVGLLYYVMRGPGTVVEVVSLALQRICEDLEAEKLVVMWEYLYKKINKSISNKKSVHLSRLLSLLTAVVKIEKGRKVHDSPSLIGIVSRTVSTFVASSETVVEGDNLSAVLDEVLQLILCTINRVNEIETVALLWAPIFALKSPSAINNMIWESSEEVIPLLLTLCERQQTSHDMVNIISQTFESRYERIHEFLEENIKKVQQNIEDTGLARIDEAELAAIWGVVNCYPYFKVDSSLLFCFKKSLRQHLAVSDDTCSGPELMWQSLLGTALRSCYKMLGRINHSDLEEALSFAKDYKSCVQVLSPVADVLEFMHRLMHFPILIRRPALAHDDRSKAYPELQAKKAGDAFEIFSENLRHPNKDIRLMTLRILCHFETLSSEEIKKSLPKGNVLQLLLSVEETAHTVDTSRMLVNLISTIQKDLSAGRIHAAYVKLVLNGMLGLLHNEFLYLWGPASECLAVLVRNYTGAVWSDFVCYLEQCQLKFETLHDHSENANQSMSERHTDLIGRFNSVLFPLSKSTPTATVVSQLLQILQKASSVAQSRASEILPLLLKFLGYNSENPVSVGSYNGRVCKGEDWKRVLIQWLILLKLMKNPMSFRFSQFLNDVLQNRFLDDNDAEIQTNVLECLLLTNDFLLPHRQRLLNLIKPKELREELTTWNLSEDIGEPHRSYIFSLVIRILMPKVRTLKNLASRKHTSIRHRKAVLCFISQLDVNELALFFALLIKPLNIISEETMDSFWSSGKSSLEYFQNSNFLKYFTVDTISTLSRNQKSGFLHVIQHILEVFDELRVRPFLDFLMGCVVRLLVNYAPNVDEERNIDSLALSNATDAQSASDDKENASINHDQAGTALKQFKELRSLCLKIIAHVLDKYEDCDLGSKFWDLFFSAVSPLIKSFKQEGSSSEKPSSLFSCFLSMSKSRNLMKLLCREESLVPDIFSILTVTTASEAIKSSALKFIENLLCLDNELGEDDNMICGFLDPYIEALINSLHSLFIGDILKRKSVKYHGEREIKILKLLSKRMRDRSHVMKYLDVLLSFLNKSVKDSDIRRDALLAIQDIISYLGMESTSKIINTVSPLLVDAELDVRLCICDLLESLANIDFSLDDVAKRVRDMNAISAMEVDDLDYEKIVNAYLEINAEFFFKSSEQHTMIILSQCIYNVSSESIMLRGSAQKLLSSFIDFSASILCLEAPAHPEFGEEEVQKADVNWTGDRVLCILRNFILKHIGDAINRGGIIIKEWILLIREMVTKLPDAGNLSAFRSLCSEDENVDFFKAIVHIQAHRRARAISRFANVVKDSSLPEGVVRKLLVSVFFNMLLDGQDGKDNNVKNACTEALASISAHMSWTSYYALLNRCFREMNKHAKKGKILLRLICLILDKFHFAKDGYPQEAEEIRTCLQKIVFPRMQKLMNSDSDNVNVNSSVAALKVLKLLPEDVMDSHLSSIVHKIASFLKNRLESTRDEARLALVACLKELGLEYLQVVVNILRAILKRGSEVHVLGYTLNSILSKCLSNPTCGKLDHCLGDLLAVVETDILGEVAEQKEVEKFASKMKETRKRKSFETLKLIAENVTFRSHGLKLLSPVTAQLQRHLTPKIKTNLEEMLKQIAAGIEGNTSVDQGDLFLFIYGLVDDGINNRSGLGDQVSAPPSKTSRKSRDLKETTGLFFGPKSCPHLITVFALDLLYNRMKKLKLDNTDEELLSKLDPFVKLLAGCLSSKYEDIVSSSLRCFTSLVKFPLPSLTSEADELKTALLTIAQSAVSSSSPLVQSCLKLLTTLLDNKNITLSSEQLKMLIQFPIFIDLESDSSFVALSLLKAIVKRKLVVPEIYDIANQVSKLMVNSQLESIRKKCKQILLQFMVHYTLSEKRLEQHVNFLLENLRYEFPTGREAVLDMLHALILKFSEPNLGKQSVLDQQSQKLFIQLTVCLSNETDNEVLPRVGAVIEVLIGRMSKDQVDSSLLYCLCWYKQQNLRAAAAQVLGFFIGAMKKTFRKHIYNTVQDAKTILESAICASSLQLQDTVEEASLPFWKEAYYSLVMIEKMLEQFPDLRFGKDLEDIWKMVFKFLLHPHAWLRNKSCRLLNHYFGALAGRKRPECHTFVVDSLLERPSSLFMVAVSLCFQLKEQPTMGNVDVDLLTANIVFAVSSLHSLIGQSDQETYNGFWSSLGEDEQVVFLKAFEVLDSGKGRSTFLALTSGKRTENGEDDGNDIRNVLIGSLLKRMGKIALDMEAVQMRVVFNVYKAFASQLNQEECYLYAYKILLPLYKVCEGFTGKIITDELKQLAEEVRDSIRDKSLGNKMFVEVYSEIRNSLRRKREKKKREEKLMAVVNPERNAKRKLSNDDGDNATPLDLHFISVSGGFRPLHHQTRLLRLMEKVAETYKAKFVLSSSEHGEQDSLLQNATRLSSSLKLPWYTRRKGFGYFREHIKMPFGGSLDVVFVDTGSLQQEVLGGALNGSMISQLKGLARILKAVDGDWRIVVGSDPLLANTLTKEPEESKRVARTFHQILTKYGVNLYISKKGCTSGGNNEGFSCIMVPNQPENQGPTNDSMREREDGFLLHRVSFSEFVTYTINSSGKVIDTKLVKQKGKETI >fgenesh1_pg.C_scaffold_7000919 pep chromosome:v.1.0:7:4654310:4657624:1 gene:fgenesh1_pg.C_scaffold_7000919 transcript:fgenesh1_pg.C_scaffold_7000919 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGDSVLSLRPGGGRGGSRLFAPRFTLSSSSDLTNGADAPSFAVKRGDSRFEGRELLRFTREQLLQLREAIQVSDEILKLNQEIASDLFGEEEQSWGRSENKPENQLQNRYSEPDNRDWHTRAPIPSPSKERSREDQREARDAHTQGSGPPPALVKAEVPWSAKRGTLSEKDQILKTVKGILNKLTPEKYDLLKGQLIDSGITTADILKGVIQLIFEKAVLEPTFCQMYALLCFDINGKLPSFPSEEAGGKEITFKRVLLNNCQEAFEGAGKLKEEVRLMTDPEQEMERRDKERMAKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGQDNKACPGEEDVEALCQFFITIGKQLDESPRSRGINDMYFQRLNELAMNPMLAPRMRFMVRNVIDLRSNKWVPRREEMKAKKITEIHSEAEKNLGLRPGAMANMRNNNNRGGADAGAAEILGSGNFLGRSGTGGMMPGMPGARKMPGMPVTDDDGWEMARSCSMPRGNRQNPHPVGRVQSPVIIDKSLSLNSRLLPQGSGGLMNGRPSALVQGNGSELPKPVPSPTRPTVETPKPQPQPQEVAPPTATSLNTGELSRKTNSLLEEYFNVRLLDEALQCVEELKTPSYHPELVKEAISLGLEKNPPCVEPVAKLLEHLVSKNVLTPKDLGNGCLLYGSMLDDIGIDLPKAPNNFGEIIGSLVMAKASDFELVKEILMKMEDEWFKKAVLKAVIGSVSESLLATQEAEVEVCRSLV >fgenesh1_pg.C_scaffold_7000929 pep chromosome:v.1.0:7:4700949:4703986:-1 gene:fgenesh1_pg.C_scaffold_7000929 transcript:fgenesh1_pg.C_scaffold_7000929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine amidotransferase class-I domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MBU8] MVKQVRRYALFQATPDSEFVKEMYGGYFNVFVSAFGDEGEQWDLFRVIDGEFPRDDDLEKYDGFVISGSLHDAFTEEDWIIELCTVCKKLDVMKKKILGICFGHQIICRIRGGKVGRARRGPDLGLGNITIVKDLIKPGGYFDETESLSIIKCHRDEVLEAPESAIVIGFSEKCDVEIFSVEDHLLCFQGHPEYNKEILLEIIDRVHKIKFIEEEIVEKAKDSIEKIEPDTQRLHMLCKNFLKGRRTHLV >fgenesh1_pg.C_scaffold_7000932 pep chromosome:v.1.0:7:4727478:4728657:-1 gene:fgenesh1_pg.C_scaffold_7000932 transcript:fgenesh1_pg.C_scaffold_7000932 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGAKKRKDENARHMAKLRLIMICCNILYVIVRVIISHSSHTWKHWIGLVVTSLGYAIPYKLLDQMAKPSVSDDGELLDGGFDMSTGGMCGYLHDVLYITCFVQLGSIISGKFWYAYLVIPAFGAYKASGLIKGLLSHGSEGGVEDEKTRKKREKMEKKASRGQVVKTRSR >fgenesh1_pg.C_scaffold_7000960 pep chromosome:v.1.0:7:4886242:4886990:-1 gene:fgenesh1_pg.C_scaffold_7000960 transcript:fgenesh1_pg.C_scaffold_7000960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MC76] MKKEKRKMKMKMKKEKIEKKKKTKKIKIKRKMRRNHVFKKMKRRVGVEEGIANEKRTTIMDLPDEILREEILRKIRRPVDLWSMTRATKHWNLLFKSMTNPFSGLDVSKLSGARALITYGSLFSIFCKTSYTDRIRLLYVSMQSI >fgenesh1_pg.C_scaffold_7000961 pep chromosome:v.1.0:7:4900488:4900937:1 gene:fgenesh1_pg.C_scaffold_7000961 transcript:fgenesh1_pg.C_scaffold_7000961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MC78] MKVDMKNEMYKMKMRMKYEKKKKKMKKEKRKRMKAIENERKKMNIRNEIEKKKLKMKMKQEKRKMKRRVGFEKSTTIMDLPDEILRRIPEPQDLWSMTRATKHWNSLFKSMTNPYSGLDTSDLLDYKFLSNKNMTRISSVFCFSLKLKN >fgenesh1_pg.C_scaffold_7000988 pep chromosome:v.1.0:7:5104593:5105905:1 gene:fgenesh1_pg.C_scaffold_7000988 transcript:fgenesh1_pg.C_scaffold_7000988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7MCG7] MICEDAYQYQQLHGQKDHMMTMMMMDLSTSPPSSPISPSSPSYNNNEEDRLEVVNLSGMALQSLPNPSLNLANICKLDLSNNHIKKIPESLTARLLNLIALDIHSNQIKALPNSIGCLSKLKILNVSGNFLVSLPQTIQNCRSLEELNANFNELIRLPDNIGLELTNLRKLCVNSNKLISLPTTITYLTSLRVLDARLNCLMILPEDLENLINLEILNVSQNFQYLSALPSSIGLLLNLLELDISYNKITVLPESIGCMRRLRKLSAEGNPLVSPPIEVVEQSLQAVREYLSQKMNGKLVNSAAKKKTWGFRKLVKYGTFNGRSRAWTREEREGLIMPEYRPIDILTSTKFPVMCSPRLLFSPRTYFSR >fgenesh1_pg.C_scaffold_7001000 pep chromosome:v.1.0:7:5146936:5147854:-1 gene:fgenesh1_pg.C_scaffold_7001000 transcript:fgenesh1_pg.C_scaffold_7001000 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAINSVFSFQTLLSDVGATPGIHLTLRTLACRIKCNKPTKDYWDGTSVDDFYHGEMPKLFPDDVLASGSKRFYEVQEADLRENDWLQLFTEIAFFSKAELKLMAPPLLEIKKIVIETKEEYTIEAREKLKADSAIFYISYKCTGDASSARGLAGDHEGIIRKTMDGKPEHMCIEVARETEEYIPSDNESLLF >fgenesh1_pg.C_scaffold_7001001 pep chromosome:v.1.0:7:5148235:5149628:1 gene:fgenesh1_pg.C_scaffold_7001001 transcript:fgenesh1_pg.C_scaffold_7001001 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQKGTKFKFECWEKFSCDYTCEVCIYLTFQARDPASDSLISFQTLFSDGGCGADFTIEWRSLACRLSSNERLDDYWDKSGLIDEFYMGDMPKWLSDEALAADNKKFYVVQEPDFLENDWLYLYSEIAFYAKTDCNLTTSPRLEVKKVVIETKEEYMTEAREKLKAENAIFYISYKYDGDDRSATGLAGDHRAIVRKTMDGKPGHMCLEVARRTEALTSPGETSTPITDFTKFVEYLDC >fgenesh1_pg.C_scaffold_7001070 pep chromosome:v.1.0:7:5477780:5479799:1 gene:fgenesh1_pg.C_scaffold_7001070 transcript:fgenesh1_pg.C_scaffold_7001070 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFVLVLLLLLQFSSNKAVSESEEEGEFGFNGYLYNSYGTANLDSNGLLKLSNYTVQKTGQVFYNLPVRFKNSPNATVSSFSTTFVFAIVSQIPTLSGHGIAFAICPTKGLPYATPSQYLGLFNISNNGNFSNHVVAVEFDTIQSTEFRDIDDNHVGIDINSLRSEKAYTAGYYEDDGTFKNMSLINRKPIQAWIEYDSSRKQLNVTIHPIHVSKPKTPLLSLTKDLSPYLFERMYVGFTSSTGSVLSSHYILGWTFKLNGTASNLDISRLPKLPDDYKKDSPSSLRKILAISLSLTGLTVLVFLIISVMLFLKRKKLMEVLEDWEVQFGPHKFSYKDLYIATKGFKNSELLGKGGFGKVYKGTLLTSNMDIAVKKVSHDSRQGIREFVAEIATIGRLRHHNLVRLLGYCRRKGELYLVYDCMPKGSLDKFLYHRPEQSLDWSQRFKIIKDVASGLCYLHHQWVQVIIHRDIKPANILLDDSMNGRLGDFGLAKLCDHGFDPQTSKRKATTSSDVFAFGILMLEITCGRRPVLPRASSPSEMVLTDWVLDCWEDDILQVVDERVKQDDKYLEEQVALVLKLGLFCSHPVAAIRPSMSSVIQFLDGVAQLPNNLLDIVKARENVGAIEGFGEAAESLAEPCSVATLTFTEPFVSHGR >fgenesh1_pg.C_scaffold_7001090 pep chromosome:v.1.0:7:5554977:5556623:-1 gene:fgenesh1_pg.C_scaffold_7001090 transcript:fgenesh1_pg.C_scaffold_7001090 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSSSSPTSSSSPAKRGKNKNGSDKPKQPQRGLGVAQLEKIRLHGEYNCNSFNTYPSYHPSTFNNQEDVRMQGGYPSIPSSSPSFSYASSPPSSGPYGFHPNMMMNANNDQYERTTIRYGDSQPHIAPSWNPSYGILESQHFVEPNTTRHFLHEDQRNISLGSGIQNFETSEANELDLELRL >fgenesh1_pg.C_scaffold_7001167 pep chromosome:v.1.0:7:5920980:5921691:-1 gene:fgenesh1_pg.C_scaffold_7001167 transcript:fgenesh1_pg.C_scaffold_7001167 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSRTYFNLTEFVSILSGIVNPNICVDVVGKVVNVRELVFVPSVEHSHGGYFELYFGLRDTECIHLEYSLTGDLAVEFYDLWKRRSRNTVICIIRFVKLELSQERRWRCTNVSGCTRIMLNPNLSITDEMLCWNPENDQVPIITRKENAME >fgenesh1_pg.C_scaffold_7001173 pep chromosome:v.1.0:7:5943306:5945112:1 gene:fgenesh1_pg.C_scaffold_7001173 transcript:fgenesh1_pg.C_scaffold_7001173 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport family protein [Source:UniProtKB/TrEMBL;Acc:D7MDP9] MNNPRSVSPLVSPANHPDQRQSSCGEFSRLEKRNGARKMMKFHSKSMPRGAMFLDQEASRNFHDKRYDLFRTMSGKLERQISNLRGKPTECSLQDHKEITESLTADRYFDALQGPELETLKEKEKIVLPEDKTWPFLLRFPITSYGMCLGVSSQAIMWKTLATTNAEKFLHVTQVINHVLWWISLLLLLAVSITYLLKTILYFEAVRREFRHPIRVNFFFAPLISILFLALGIPHSIISHLPSTLWYFLMAPILFLEMKIYGQWMSGGQRRLSKVANPTNHLSIVGNFAGALLGASMGLKEGPMFFFAVGLAYYLVLFVTLYQRLPTNETLPKELHPVFFLFVAAPAVASMAWTKISASFDLGSRLAYFISLFLYFSLVCRINFFRGFKFSLAWWAYTFPMTAVASATIKYSDEVTGVATKILSVVMSGAATLTVIGVLGLTVMHAFVQRDLFPNDVVIAISAEQPKQRRWFKQLTKESSRNSERCLKVLDPEDSQIDLESPPLVNVDSSTVQNSN >fgenesh1_pg.C_scaffold_7001183 pep chromosome:v.1.0:7:6022951:6025279:-1 gene:fgenesh1_pg.C_scaffold_7001183 transcript:fgenesh1_pg.C_scaffold_7001183 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTTNPTPTQSSAGNSVCTDELTNLPPDSPLRSEKDDGVDFSHEQGSESNETIDTENGSRSIDKNQYSETEDVAKAKDLQTEPDSLDDDVETVIKNQHKYYIYCPCCGEDITKTVKLVKMSDPQPTKDHDTENRANDSDIENGSRSIGKKTKVPSWFLDFCQPLVSSVYGPNKDQGEKGVDSKLPETSDDLDINGEEPSIDVSNEKGGQSFPKWYLDVFAWSFLCIIIALSVFSTSPPPFIQPHVHLPSMPSLRLPSASILLLLPTFAVLLLFIISMRSRFSPRYHDEKGENRVDSKSTDTTSEEPAKAQHHDDQAANPDQDFDKKTENQNNHLTPIYPSTLEQPSKKIINKETQAEPVLPPNAQPEIPNSVEPRKDGNKLEILKSIVYGGLTESITSLCTVTSAAATGASTLNVLALGVANLSSGLLLTVHSLQELINEKPRRQTNTDDSPGDVEGEEDRYEEILGRREYSRLHRLIAISSFVIFGLIPPLVYGFSFRRRLEKRQEYKVLAVYAVSLLCVLLLSVAKAYVSKKRDYVKTLFRYTTMATTASGFSQFVGYLVSQWLEKSGLYDDSPETQRV >fgenesh1_pg.C_scaffold_7001202 pep chromosome:v.1.0:7:6096919:6098297:-1 gene:fgenesh1_pg.C_scaffold_7001202 transcript:fgenesh1_pg.C_scaffold_7001202 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVKSQKSPLTQISDDEEASLYAMQLGSASVLPMVLKSAIELDLLEIMAKNDGFSGVQMSPSELASHLPTKNPDAHVMLDRILRLLASHSILTCSVRKLPDGGAERLYGLGTVCKYLTKNEDGVSLATHCLLNQDRVLMESWYHLKDAVLEGGIPFDKGYGMPTFVYHGTDQRFANVFNNGMSNHSTIVMKKLLEVYKGFKGLSFVVDVGGGIGASLHMIVSKYPNIKGTNFDLPHVIENAPSFSGIEHVKGDMFVSVPKGDAIFLKWVCHDWSDEHCLKLLNNCYKALPDNGKVIVVECLVPVAPDSSLLTKQVVHLDCIMMAHTAGGRERTEEEFELLARRVGFKGFQVICNVFGTYIMEFYKMI >fgenesh1_pg.C_scaffold_7001236 pep chromosome:v.1.0:7:6238505:6240073:-1 gene:fgenesh1_pg.C_scaffold_7001236 transcript:fgenesh1_pg.C_scaffold_7001236 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKRNKKNRDDDVSMDVSESQSVSEAAAPQAMDTTETGDGKLAARARSLISTRKGKPMKRTKNASNMKAMAVAMDTMETGDVKLATLSRNPTNMKRTKNARKMKAVAKAIALSEKYEAKATKDKTKTLRTLSAKKLYE >fgenesh1_pg.C_scaffold_7001252 pep chromosome:v.1.0:7:6336233:6338683:-1 gene:fgenesh1_pg.C_scaffold_7001252 transcript:fgenesh1_pg.C_scaffold_7001252 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYGDSIDDTKINRLQIVIATYHEVPPCSRRFAIWVMSSSQDDSHSLVMSGIGLREFPLEKYFPSIRRVSLMNNKLKKLPDQVVECVELSALLLQGNFHLEALPVGFLLSFPALRILNLSGTRISSLPLSLSELHELRSLILRDCYYLEEVPSLEKLTKIQVLDLCATRIKELPTGLETLNSLRLLDLSRTHHLESIPAGIIQHLSSLEVLDMTLSHFHWGVQGQTQEGQATLEDIACLHCLLVLSIRVVCVPPLSPEYNSWIEKLKKFQLFIGPTANSLPSRHDKRRVTISSLNVSEAFIGWLLVNTTSLVMNHCWGLNEMLENLVIDSTSSFNVLRSLTVDSFGGSIRPAGGCVAQLDLLPNLEELHLRRVNLETISELVGHLGLRFQTLKHLEVSRCSRLKCLLSLGNLICFLPNLQEIHVSFCEKLQELFDYSPGEFSASTEPLVPALRIIKLTNLPRLNRLCSQKGSWGSLEHVEVIRCNLLKNLPISSSKAHKVKEVRGERHWWNNLSWDDNTTRETLQPRFVPADGNILTGSL >fgenesh1_pg.C_scaffold_7001270 pep chromosome:v.1.0:7:6418115:6428145:-1 gene:fgenesh1_pg.C_scaffold_7001270 transcript:fgenesh1_pg.C_scaffold_7001270 gene_biotype:protein_coding transcript_biotype:protein_coding MAESISDLEDQGMMVDGGNRDVEVENIPVMAFRPSHEAKLRELLHKICLHEIKLCSDAAKEFVKLLKGETGGDLLRLYFQSSPNFAELLEAWKLRHEKQGLSYIFSLIQTILSHPDGKDRSTDIGRAIDQFGRLLIEDKLDDIYKELNRKEGKQQSAALSLLASIVRRGPGMASEIAKKFDFKSFAKLAEYKTRGTEKVKKHSTRKAFVGFAISFLEVGKPGLLRSVLQQKEMYSKVLRGLGKDDEDTVASVLSTLKDKILVEESLISPGLRSVLFGSATLEQLASISAREDGGIVNELAHDVLVKVCTDPCNGLMPDAKRNLRGNSDRLLMLMKRLRAAEIGYHRDLLLAIVRGRPSLASAFLDEFPYNVEDFASPSWFSSISVAANLVSSVRTSCSFDFLNPDQRATLPSGGSDVQTIMKCICPRPFSRSLITKGMLHSDFLVKHGTLRFLLETLRLLDSFVTAWNLCSSHRCSVERNVMGEVSSFFPDSQVLLIVLKSLDGSSGTQKLSLKREAELDSGLVGRKKRFKRSEKDVLEEEAGDIVIGGVGSDKDIFLAEDNMDAHMTDQEDAEKEYLGIVSEIWVSELCSKPIDSVEEAEMCFHIKLLDALKIYVRAVPNELEGSFDVFMKFLSNSSGLPVELQRALLSLLNEYISWTPKSQSDRGPTRIPPLMHKHLRVFMNLLLSSPHNGVKDLAYNLAVAAMNSTGAFENNPSEIGAWFLFLPCFEKIKLPHEVQEAVQSMSSVVISFLCDAVSTVGNNLFKHWDIVRSSLSHLKGVSIGFSPLIICLLQKCVRLLNSESKTSLPEKSAISLYVCSTLKYLLQTQVDSKLLSCLIQSVLSDVVDGSKDSLCEWRPLRRLLRFSQSLSNEKPIILHSRRTTGLPTDSTFTETLDEIKRLVRSISPDEIAGIVKAFSSALICATPESILQNFAPVMDVSWAFYGTPFSFLQSITFLEENFLGNLSKLSPDLFAPGSEFTGSRNLCEATVDSEIDFSGHSSVTEEIRSKMNNCDIESSAFSMFLEQAPFPVLLNAIMSMDISCLPEFPRISELLLLKVSQPKSGSIDSNIQLIMFWLFQIRSSYKVQPAPVLHQLSEICLHLMKNLFSQISEPELVSGSSSNKLFASFAKWKHQVALTVLCHPVVMALLESPLDCGTLPPVQNVEIFSETSLTTGRLVYSEIDQHILDLLVSTCEHFLLDEKHNLWKEDLRENKSIIAFKDLVERLLLEFRVKFELCGCSQSYASLLQPSQLIHALLRFISPFKLFIIAHSMLSKIDEGGLASPNSSILLSLGLGIAGGAFEMLVLYSHQPTAKRGVYDLLWELEEKNYASNIIEKVYSMACKFSTSLDLDSADICLLKVCGGIFRGKHNQNYSVHPLVLKISLIVGRTPEDLIIHCINRASITRAKILFYLVESSPLHLLVFGNFFFSMLSKKQDVSALTDDQFIMLLPAVLSYLTSVFAKLEKPFNRCLDITSVYSNILINGFLQWPRFLSRCIFEEKYEEILLSTTEDMDTMFNASLIGKAVRMFQYHFSLTESPTKEDDLFKVFDSMFPHTSTGKEMLDYEIKEVDVQSVDQMLNVAIRVVAKVTLSRICLFPEDSSLCQVKRAAGTCVKKSSSKIGSNRAILSNPLLDALVNSWQCVVKKSDGSFKGNSEGKQDKCWSLCKSLENFILRSILQFLENMCEELVQLDSLPFLERLMKSVLLYRFEDSKTLKILREIFSLLCRGKYSYAPYIQLLISHSQFTPTISSLSISSSHTGELFRPVSSILNHLIISSPNSVGVKRCCLEAPNYAKQLEIVKILRVLLFKCGKDPGINLKELHFFLLCSYGATLSEIDLEIYKLMHDIKLIDAEQTLNVSETDLWGKAALKLREGLRFKQDASNVGQAELVEDVQQSLFKENLCVDPKICASTVLFFPYQRTTEKSDNFYLYDDPINEKCSPVIEDIERYDPAFILHFSIDSLSVGYIEPVEFASLGLLAVAFVSMSSADLGMRKLGYETLQIFLDALENCRKNKHVTGLRLLLMYVQNGVEEPWQRIPTVSAIFAAETSLILLDPSHEHYVPINKLLQSSSTLKLRGIPLFHDFFWSSAVNFRSQRFWELRLVCLGLKSDDDVQIYIKNSILETVISFSSSPLADDETKRLILQVVRKSVKFHKMARHLVENCGLFSWCSSFISNFTTKPIGDKDLHLVVVLEIITDVLASRNITEWLQRFGLEGLMEISSRLYKLLGGGLVSVQANGTSVDLILQILSATLKISQKRKMYQPHFTITIEGIFQLFEGVANFGSPQVEASAESGLITILMSTPPVDIICMDVDKLRRFLLWGTSTALKSDLKKGSKPIESHQDTKILTEDPQEETLVAKFLRWLSASVILGKLYSKASDFDQTVLSKTKPETLLTLLGYFKKRNLEDSMKNSEHIIGEVIVHLQQLLCTNYRVLLPSVVFALSLMLLHNDLGTGESDGDYKLIKSLCSKISSPPEAIPGWRWSYYQAWRDLSSEQATDLDKINELHACQHLLLIFSDMLGETPWESQQMLPRKSFDMSHVFEWERSLVET >fgenesh1_pg.C_scaffold_7001286 pep chromosome:v.1.0:7:6496658:6498687:1 gene:fgenesh1_pg.C_scaffold_7001286 transcript:fgenesh1_pg.C_scaffold_7001286 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEISFVEEMNQRLLITVTDTEQVPELQSISSRNDQESEPANISQWSLLFKLFLAITIMGACVACVSFIILITPTPPTVHVQSMHISFANHNLPVWSATFSIKNPNEKLHVTYQNPSVWLSHRGRLVSTVRTDSFGQKGGEENKVIVNGDETKVIDEEAAWEMEDEVAVTGGVVGFDIVFSGRVGFYPGVSALWGEQYMSAVCENIYGTNRSVLSFDGRLDCRRLKKAITDNPKKLGNLIDLVNLPSTLREFVGQSQISRLGCFMRVWSYIKTNNLQDPKNKNVVICDEKLKSILLGKQRVELVELPSLIKLHFPKEPK >fgenesh1_pg.C_scaffold_7001341 pep chromosome:v.1.0:7:6742232:6742928:-1 gene:fgenesh1_pg.C_scaffold_7001341 transcript:fgenesh1_pg.C_scaffold_7001341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ATP synthase g subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7MFB8] MASKLLQLKSKACEASKFVSKHGTTYYKQLLEKNKQYIQEPATVEKCNELSKQLLYTRLASIPGRSESFWKEVDHVKGLWKNRADLKVEDAGIAALFGLECFAWYCAGEIVGRGFTFTGYYP >fgenesh1_pg.C_scaffold_7001358 pep chromosome:v.1.0:7:6839206:6840243:-1 gene:fgenesh1_pg.C_scaffold_7001358 transcript:fgenesh1_pg.C_scaffold_7001358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7MFD9] MRAITALFFLFCFVAPSALAQLRQGFYGRSCPRAESIVANVVASRFRRDRSITAAFLRMQFHDCFVRGCDASLLIDPRPGRPSEKSTGPNASVRGYEVIDEAKRQLEAACPRTVSCADIVTLATRDSVALAGGPRYSVPTGRRDGLRSNPGDVNLPGPTIPVSASIQLFAAQGMNTNDMVTLIGGGHSVGVAHCSLFRDRLADPAMDRSLNARLRNTCRAPNDPSVFLDQRTPFTVDNAIYGEIRRQRGILRIDQNLGLAGSTRGIVSSFASSNTLFRQRFAQAMVKMGTIKVLTGRSGEIRRNCRVFNNGR >fgenesh1_pg.C_scaffold_7001361 pep chromosome:v.1.0:7:6866689:6868400:1 gene:fgenesh1_pg.C_scaffold_7001361 transcript:fgenesh1_pg.C_scaffold_7001361 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCVSGGAAYSFELEMMKSPPSHNTPSPSSTISESNSPPFSISTRRPRTPRKRPNQTYDEAAALLSTAYPKIFSSKKTKTQIFGNNKSPLSAYDEASQLLIPYASIEENDFLFNPTIPTKAEHFSEHKEVCFDDSEVIRIGIFEDFDAESILDEETEEGIDSFMGNIESNHGDSGRIGRLEEIMKNAWNGRFRLGLGLRSSLRENDDGNWWKFPTVEFDQISPKIQTTAAATDDGQSNVLDSNNNKTIVTAEGGKRKKKKKKKTKVAPPTAELEVPDSSPKMEQRVSPLLKLDYDGVLEAWSGKESPFSDEILGSDAAGVDFHARLGEIDLFGESGMREASVLRYKEKRRNRLFSKRIRYQVRKLNADQRPRMKGRFVRRPNARNLSGLRL >fgenesh1_pg.C_scaffold_7001381 pep chromosome:v.1.0:7:6963615:6964701:-1 gene:fgenesh1_pg.C_scaffold_7001381 transcript:fgenesh1_pg.C_scaffold_7001381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MFW8] MAMISYSTVVVALLASFMICSVSANFQRDVEITWGDGRGQITNNGDLLTLSLDKASGSGFQSKNEYLFGKIDMQIKLVAGNSAGTVTAYYLKSPGSTWDEIDFEFLGNLSGDPYTLHTNVFTQGKGDREQQFKLWFDPTSDFHTYSILWNPQRIIFSVDGTPIREFKNMESQGTLFPKNQPMRMYSSLWNAEDWATRGGLVKTDWSKAPFTASYRGFNEEACVVINGQSSCPNGSGQGSSGSWLSQELDSTGQEQMRWVQNNYMIYNYCTDAKRFPQGLPRECLAV >fgenesh1_pg.C_scaffold_7001401 pep chromosome:v.1.0:7:7086619:7087586:-1 gene:fgenesh1_pg.C_scaffold_7001401 transcript:fgenesh1_pg.C_scaffold_7001401 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB18 [Source:UniProtKB/TrEMBL;Acc:D7MFZ6] MAKTKSGERQRKGLWSPEEDEKLRSFILSHGHSCWTTVPIKAGLQRNGKSCRLRWINYLRPGLKRDMISAEEEDTILTFHSFLGNKWSQIAKFLPGRTDNEIKNYWHSHLKKRWLKSQSLQHPKSVSSSSSSSSLVACGKRNPETLICDQVISFQRLLEDKSSSPSQESNGNNSYQCSSAPEIPRLFFSEWLSSSDPHIDYSSDFIDSKHNQAPNVKETVSAYEEMGDVDQFHYTEMMINNSNWTLNDVVLGSKCKTQEHHI >fgenesh1_pg.C_scaffold_7001406 pep chromosome:v.1.0:7:7109187:7112411:-1 gene:fgenesh1_pg.C_scaffold_7001406 transcript:fgenesh1_pg.C_scaffold_7001406 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSAINNNMRIPTSPVSFSPSSINIPGSLVLDGSASMQHLPQQQQQQPVPMRENNYSHVDKKPRLQVKHEDLLQQQILQQLIHRQDPTGRNPQLQALLQQQRLRQHQQMLQSMSPSQRLQLQHQQQLRQQLQQQQISPNVVGVCARKLMMYLYHLQQRPAENCITYWRKFVAEYFSPRAKQRLCLSQYESAGHHALGMFRQAAPDMWQCDLCGTKSGKGFEATFDVLARLIEIKFASGIIDELLYLDHPRENRFPNGLMMLEYRKAVQETVHEQFRVVREGHLRIIFSQDLKILSWEFCARRHEELLLRRLIAPQVNQLLQVAQKCQSTISESGSEGVSQQDLQSNSNMVLGAGRQLAKFMELQSLNDLGYPKRYIRTLQISEVVKSMKDLMNFTGEHKIGPMEGLKQLLEQTATLKLQRQKMQEMEQFGPAQAQMALSSGTISGSTANNNSNNHHQIVGGGAMNGSDQAAAALTNYQSMLMRQNAMNNPNSNTGKQEGFSSQNPTPNSNQSPSASSHQRQNLATGGFPSSPQMQQQRRTMDGAPSMLPQNHPRQLQSAQSHGNTQEQQMLHQLLQEMSENGPSVQQHQAFLGQSGSNSNAERNTTASTSNISGGGRVPSRNSSFKAASNNNLPFSDDISVTDHDFSEDGFFNISDIYGGL >fgenesh1_pg.C_scaffold_7001417 pep chromosome:v.1.0:7:7171983:7172882:-1 gene:fgenesh1_pg.C_scaffold_7001417 transcript:fgenesh1_pg.C_scaffold_7001417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basix helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7MG08] MDPYQNLNPKGYQRQRPFSLSGEGGSSGGSGMPHETDDNKKKKKLLHRDIERQRRQEMATLFATLRTQLPLKYIKGKRAVSDHVNGAVNFIKDTETRIKELSARRDDLSRETGQRYKSNPDSANSTGSDLGRSEPATVMVQPHVSGLEVVVSSKSSGPEALPLSRVLETLQEKGLEVMSSLTTRVNERLMHTIQVEVNSFGCIDLAWLQQKLVEDLILSTEY >fgenesh1_pg.C_scaffold_7001430 pep chromosome:v.1.0:7:7232834:7236512:1 gene:fgenesh1_pg.C_scaffold_7001430 transcript:fgenesh1_pg.C_scaffold_7001430 gene_biotype:protein_coding transcript_biotype:protein_coding description:UMP-CMP kinase [Source:UniProtKB/TrEMBL;Acc:D7MG22] MWRRVALLSPMISSSSRSLMLKQAASGLKVGESFATDIIKPEEPVLPPKEKAPFITFVLGGPGSGKGTQCEKIVETFGLQHLSAGDLLRREIAMHTENGAMILNLIKDGKIVPSEVTVKLIQKELESSDNRKFLIDGFPRTEENRVAFERIIRADPDVVLFFDCPEEEMVKRVLNRNQGRIDDNITTMKKRLKIFNALNRPVIDYYKNKGKLYTINAVGTVDDIFQHVLPIFNSFEQLKESSHVNPKSHLGSSLKPRHHQQLKQHRQNEYNNSGFTSLSFTKPSPTPLLIGKQSIHRTQLEALDSVITDLEASAQKGISITEPEIFASLLETCYNLRAIDHGVRVHHLIPPYLLRNNVGISSKLVRLYASCGYAEVAHEVFDRMSKRESSPFAWNSLISGYAELGQYEDAMALYFQMAEDGVKPDRFTFPRVLKACGGIGSVQIGEAIHRDLVKAGFGYDVHVLNALVDMYAKCGDIVKARNVFDMIPNKDYVSWNSMLTGYLHHGLLHEALDIFRLMVQNGIDPDKVAISSVLARVLSFKHGRQLHGWVIRRGMEWELSVANALIVLYSKRGQLGQACFIFDQMLERDTVSWNAIISAHSRDSNGFKYFEQMQHADAKPDGITFVSVLSLCANTGMVEDGERLFSLMSKEYGINPKMEHYACMVNLYGRAGMMEEAYSMIVQEMEFEAGPTVWGALLYACYLHGNTDIGEVSAQRLFELEPDNEHNFELLMRIYSKAKRAEDVERVRQMLVDRGLET >fgenesh1_pg.C_scaffold_7001459 pep chromosome:v.1.0:7:7360684:7361872:1 gene:fgenesh1_pg.C_scaffold_7001459 transcript:fgenesh1_pg.C_scaffold_7001459 gene_biotype:protein_coding transcript_biotype:protein_coding MHNWIWPCPKERSQSIYKEEAATSLFKILLKYRPEDKAAKKERLLKTAQSEAEGKPSESKKPIVVKYGLNHVTYLIEQRKAQLVVIAHDVDPIELVVWLPTLCRIMEVPYCIVKGKSRLGVIVHQKIASCLCLTTVKNEDKLEFSKILEAIKANFNDKYMEERKKWGGGIMGSKSQAKTKAKERILAKEAAQRVN >fgenesh1_pg.C_scaffold_7001503 pep chromosome:v.1.0:7:7597895:7600863:-1 gene:fgenesh1_pg.C_scaffold_7001503 transcript:fgenesh1_pg.C_scaffold_7001503 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQDSVQLDVQESHVVMGNGIVKVTISKPDGFVTEISYQGVDNLLETHNEDFNRGYWDLVWSDEGAPGTTGKSERIKGTSFEVVVENEELVEISFSRKWDSSLQDSIAPINVDKRFIMRKNVSGFYSYAIFEHLAEWPAFNLPQTRIVYKLRKDKFQYMAIADNRQRKMPLPEDRLGKRGRPLAYPEAVLLVHPVEEEFKGEVDDKYEYSCENKDLKVHGWISQNLGLGCWQIIPSNEFRSGGLSKQNLTSHVGPISLAMFLSAHYAGEDMVMKVKAGEPWKKVFGPVFTYLNCLPDKTSDPLLLWQDAKNQMLTEVQSWPYDFPASEDFAVSNKRGCISGRLLICDNDELLPANGAFVGLAPPGEVGSWQLESKGYQFWTEADADGYFAINDIREGEYNLNAYVTGWIGDYQYEQLINITAGCDIDVGNIVYEPPRDGPTVWEIGIPDRSAAEFFVPDPNPKYINKLYIGHPDRFRQYGLWERYTELYPKEDLVFTIGVSDYKKDWFFAHVTRKLEDDTYQKTTWQIKFKLENVQKNSTYKIRIALATANVAELQVRMNDDDTEKSTPMFTTGVIGHDNAIARHGIHGIYRLYNVDVPSEKLVEGENTLFLTQTMTTTGAFNGLMYDYIRLEGPPLDSNSH >fgenesh1_pg.C_scaffold_7001509 pep chromosome:v.1.0:7:7637890:7639206:-1 gene:fgenesh1_pg.C_scaffold_7001509 transcript:fgenesh1_pg.C_scaffold_7001509 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTSFGSWLRTKILFFFLSSSSSSSLPCTFCLLPPPLHTTKSAITIRKLNRRGPYIGLVTVFETEENAFLGSVDFRPDPMHPFLDLSGRRFRFRIGKIHGKKVVYVRCGIGMVNAAAATQQMIDVFNVKGIVHFGIAGNINNSMSIGDVSIPKQITNAGLWDWLNPDKAKGSEDVAYLDVGNYNVPQGKNELGTIGYSYEELYSVNGHINSPQKVFWINTTQEWLHLAADLVVVQNEIFDYIN >fgenesh1_pg.C_scaffold_7001513 pep chromosome:v.1.0:7:7654942:7658533:-1 gene:fgenesh1_pg.C_scaffold_7001513 transcript:fgenesh1_pg.C_scaffold_7001513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M8V3] MAKFPLPHQLKGYEALLLMIWLFASYGVLREDTNRTANVSQTRHDIQEWFGRLPQSQELADMSLMAKLIIGVALASQHQRNLLLREEESVTDLLGGAMNVQHSPANLFAFGLFLSFPNLFTSHTCFLLLSADISTHERHCSDRGGCEVGSSSSPQHIPENDEQLNSGDDSGRGEVSDLLGNLPTTDLIDRTRVDIVGEGRAEEATYFPTNLSVVTNRDDSGGGGRVEGEERLDIERGEYHPLPNPRQVSRTRKRIDKDLSYRPPTKRQKLPVPMSRLGLELPSISRSTLNPATTLARPEDQNQPERHCSDRGGFEVGISSSPPYIPESDEQLNSEEVLLFSDENNAPCTGSDGPESGDDSGRGEVSDLLGNLPTTDLIDRTRVDIVGEGREEEATGVPGNLPHSVENFPETVTDRTRDGREEEATNFPVLENLIQPVPAQPVPAQPPVPAQPVPAQPVPAHPVPAHPLQPNPRRVPRWKIIDNKWPKYVYSKNPDNPKYPMKWWIPKTRQPLPKSQCRPKSYNDFEFMFREFFPSGLNLAAKFPLDQPEDQNQPDQNQPERHCSDRGGFEVGSSSRSPGDRMRDDSLGDGREEEAAYLRGNLRHPAENYGGGRGVEGGETIDIESSSPHNEVSPGNIMRDDSLGDGREENYGGGRGVEDEEWREERHPAENYGGGRGVEGGETIDIESSSPHNEVSPGNIMRDDSLGDGREEGDTYLLGNVRHPAENIPTVVTNRDDSGGGSPSPNNDEEAEESQWASFKKLSMELSLDRKESFWLFTQYLVLSQLEDGAKKEGYTTLLQMRQDPPEEGEDYGDIRIKARPRGPNDRIQHPDELGL >fgenesh1_pg.C_scaffold_7001531 pep chromosome:v.1.0:7:7725486:7727199:-1 gene:fgenesh1_pg.C_scaffold_7001531 transcript:fgenesh1_pg.C_scaffold_7001531 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRAERKEDFVGGFGFGVVENSHKDVMVLPHHHYHPSYSSPSSYSSLCYCSAGVSDPMFSVSSNQAYTSSYSNMFSHTGSGSTAVTVADPFFSLSSSGEMRRSMNEDAGAAFSEAQWQELERQRNIYKYMMASLPVPPELLTPFPKNHPSNTDPDVTVAVAKGGSLQLGIASSASNNSADLEPWRCKRTDGKKWRCSRNVIPDQKYCERHTHKSRPRSRKHVESSHQSSHHNDIPTTKNDTSQFARTYPQFYGQPVSQIPVLSTLPSASSSYDHHRGLRWFRKEDDAIGTLNPEIHEAVQLKVGSSRELKRGFDYDLNFRQKEPVVDHSFGTLQGLLSLKETPQHNQETRRFVVEGKQDEAMGSSLTLSMAGGGMEEAEGTNQHQWVSHEGPSWLYSTTPGGPLAEALCLGVSNNPSSSTTTSSCSRSSS >fgenesh1_pg.C_scaffold_7001549 pep chromosome:v.1.0:7:7838614:7840678:-1 gene:fgenesh1_pg.C_scaffold_7001549 transcript:fgenesh1_pg.C_scaffold_7001549 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRGMCSTICLNEKLQRFRIVRISEKADNVNSRSGSFFERSISLVLLLWCFLFLVYSKLGQSHDYDYGNGEIAILKKVIYFVDRIGNYTDGSVSKTLNTTSSVFPQASGKENNYCLLRNGQLQDVYEHVLGNNALLICKIVLPERRISKKTLEARDPRYGNLEDKSLKVNGSGLPSQLVNNVTHYRVEPDGTGYNYAAAMKGAKVVDHNKEAKGASNVLGKDHDKYLRNPCSVSDKYVVIELAEETLVDTVRIANLEHYSSNPKEFNMSGSLSYPTDMWTPAGSFMAANVKQIQTFRLPEPKWLRYLKLNLISHYGSEFYCTLSIVEVFGIDALEQMLEDLFVPSETPPSKPAMLELKTADEKEVGEVKSNRTDQIGKETEAQKKKDDVVKTINIIGDKKYEVREKHNVLKVMMQKVKLIEMNLSVLEDSVKEMHEKQPEVSLEMQKTLVLVEKSKADIREITEWKGKMEKELRDLELWKTLVASRVESLARGNTALRLDVEKIVKEQANLESKELGVLLISLFFVVLATIRLVSTRLWSFLGMSFTDKARTLWPDSGWVMILLSSSIMIFITLLS >fgenesh1_pg.C_scaffold_7001567 pep chromosome:v.1.0:7:7899230:7899826:1 gene:fgenesh1_pg.C_scaffold_7001567 transcript:fgenesh1_pg.C_scaffold_7001567 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGDQSENNNKISDNVPLAQHEILKGKYESLVTEHENLVKEHETLIKTLELIEKTHQITVEDLVKKKERESLVGKEEIEKFDNEIAEIKKMQEMLDKDIEYLKSRGETEVNGMSSGPSSARDAEKKRNLSKFASKEDVIEISDDDEGDQSESNIGNSNSSHKNIEYSFKL >fgenesh1_pg.C_scaffold_7001606 pep chromosome:v.1.0:7:8127279:8129046:1 gene:fgenesh1_pg.C_scaffold_7001606 transcript:fgenesh1_pg.C_scaffold_7001606 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNHFVLLILLTITLILSAEATKERRAIPSKAEKKEMERQLKAINKPAIKSFKTKHGDIFDCIEIHKQLAFDHHLLKNHSVQLKPTTVPEWITGNNGSGSFDLLQEGISCPDGTVIVKRTTMQDLMHAQRLKSMGFDGPRPFLKESNNTNLNGKFYFATADYGPDRFGGVKGNINVWKPNILQDQVSIAYIAVSGGRIEENLASISVGWVVNPSMYSGDHVRLYAYWTTHGNMTGCYAMSCPGFVQVSKTIPVSAILQPSSIYNGPQYELRLSLYQDRVKGDWWFAFKDENVGYWPASLFKSWRESNSANHAFWGGQVYSPVTEKTPPMGSGHWPSEGFHKAAFISGLQIMDGYGTVFNPESGTVKVHESRRNCYKARYVHEVAKPWKKSVFYGGPGGCIG >fgenesh1_pg.C_scaffold_7001641 pep chromosome:v.1.0:7:8381838:8384692:-1 gene:fgenesh1_pg.C_scaffold_7001641 transcript:fgenesh1_pg.C_scaffold_7001641 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDYSRPPPDKIFYKTKKIKYRGQTRNIILQDDNGPCPLIAICNVLILKHGIDLDTHNSQVSEEKLLNLVGEILSDEDEYIDVELIERLADGIIVDLKFESITDFELTPELAIFASLKIPLYHGWLVDPQDLETAAAIGGRSHDDLKIALTALETQTVKAQNDQSSVDFAASITASAEHRGLGKGDTEEEELLLKALTLSEMEASLKGSFDTHRDSNEGEVVSGSEDVNQISEDDTLVTAVDAAAGCTITLGRNICQQSKFDGQFSSTESEDRTDCDLGNKTNLIAFSDLSDISQDDDGSLSESEGCVSLGSSVYEVESLLGQSSSEGKDRNGLTQEEGKVIKEFLKDSASQLTWHGLYTLENDLEEWELCVLFRNNHFSTMLKRDEKLYTLVTDQGYQREQDLVWERFDQINGDSAFFTGNFTEFKFKSDNGKSRKWDQQHGISNTEFLLSSGTGVAGRILGWRNWIRGIGDFKS >fgenesh1_pg.C_scaffold_7001672 pep chromosome:v.1.0:7:8519465:8521039:-1 gene:fgenesh1_pg.C_scaffold_7001672 transcript:fgenesh1_pg.C_scaffold_7001672 gene_biotype:protein_coding transcript_biotype:protein_coding METVSANFSLSQILNTEEPYSSVMLGVAALLAVVCYFWIQGKSKSKNGPPLPPGPWPLPIVGNLPFLNSEILHTQFQALTLKHGPLMKIHLGSKLAIVVSSPDMAREVLKTHDITFANHDLPEVGKINTYGGEDILWSPYGTHWRRLRKLCVMKMFTTPTLEASYSTRREETRQTVVYMSEMARDGSPVNLGEQIFLSIFNVVTRMMWGATVEGDERTSLGNELKTLISDISDIEGIQNYSDFFPLFSRFDFQGLVKQMKGHVKKLDLLFDRVMESHVKMVGKKSEEEEDFLQYLLRVKDDDEKAPLSMTHVKSLLMDMVLGGVDTSVNASEFAMAEIVSRPEVLNKIRQELDQVVGEDNIVEESHLPKLPYLQAVMKETLRLHPTLPLLVPHRNSETSVVAGYTVPKDSKIFINVWAIHRDPKHWDEPNEFKPERFLENSLDFNGGDFKYLPFGSGRRICAAINMAERLVLFNIASLLHSFDWKAPQGQKFEVEEKFGLVLKLKSPLVAIPVPRLSDPKLYTA >fgenesh1_pg.C_scaffold_7001679 pep chromosome:v.1.0:7:8569787:8571122:-1 gene:fgenesh1_pg.C_scaffold_7001679 transcript:fgenesh1_pg.C_scaffold_7001679 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNQNPNTWSELPLDLLNLVFKRLSFANFRRAKSVCSSWYSASKQCVPKNQIPWLMLFPKDKNSNSCTFFNPEDKDFLYKTQDLGVEFAKSVCIATYGSWLLMQDSKYNLYILNPFTKESIDLPAVESQQPAMVKVERTIDDDFITFGDHNHVKLFKGNNTVRTPVFWIDEKTKDYIALWGLGYWCVVYAKKGDKLWNQIPEIILDSLDMVYKDHKLYSFSYRELFTILDFSGEIPRQTFQRFMHVYRFEWLSPRSRQLSNSWCLAETKLVVTVTGDALLVERMLRPRSRIQSFNVYKFYSSGNFFDKYELADSLGDEAMLLDLGITVLANEVEGLNRNEALRLVNRVSARWVQSNYGKDGRNEQTVLDTSSSVAVDDAALEDMMKLVSIFVDANRLRMKVITGLVKLMNDSQAAQYLESLCKFFPGFTL >fgenesh1_pg.C_scaffold_7001729 pep chromosome:v.1.0:7:8837725:8840467:-1 gene:fgenesh1_pg.C_scaffold_7001729 transcript:fgenesh1_pg.C_scaffold_7001729 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLNRVRSSSIFPFTITFLVIFTGNSLAGELRPSDHGLQYQFSSPPTESNSPPGKMMSFFGDSHSSSPPPSHSQLLPKATAADGGDDDSWWRDGAGNRRDHVMRHVFLAASIICGVSGVALLVVFTLIYFFRIRLGFVEHEFERAGVTKIVRTREDEVVQTTICAELTDLYLGSLCFQKKEKEKVYRIQDLGVEFVSSHCLAIYGSWLFMKDPRYNLYIMNLFTRERINLPSVEAEFGRIKIERTIDDMFRIKIDDEYFHYPEKDIHIELPILWIDEKTKDYVVMWLMQRQYPCLVYCRNGDNLWKHAINDYSDMVYKDHKIYLYNSSRDVKVLEFSGDIPRQIFETHVNYDEAIEKGMPERIYPELGDVWHIKRTHLVVTLTGETLRVKSMIWSHLDVWSFRIYKLNSSNTDWEKLTSLGDEAVLLDQGITVLASATEGINRNSIYFSGYHDSEYDDRVWSEKDIFVFNLDTQEVERPHQSFCSSIQLSDARWFVPNFKHI >fgenesh1_pg.C_scaffold_7001731 pep chromosome:v.1.0:7:8850777:8851190:-1 gene:fgenesh1_pg.C_scaffold_7001731 transcript:fgenesh1_pg.C_scaffold_7001731 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSKADVTSPLRYDERLRILEAATEKMRKEGALDSNLNLGVMASRTVNYDRPELELLVRLAQGNALSTQLLRNRGDPTTEGLKLTINGDFTSAMVDVKPASENER >fgenesh1_pg.C_scaffold_7001739 pep chromosome:v.1.0:7:8902635:8903504:1 gene:fgenesh1_pg.C_scaffold_7001739 transcript:fgenesh1_pg.C_scaffold_7001739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MEA3] MDNPDVLKHFDSLWRWKCGLILSPTWVPEVLMEETAHNVFDQNKRKADTLADSDHPSKTRKVTTEYISSSAAPNIFLGNSAETMSRLFSIPTTAREMCRETLVLWVIEDVNLPAVTEFWSKHDEITYALLKEGYRGKVRIQGYVVNPKLPKRYFRDAYGDHGITIYLNPEEGDESIRYNRMLLHLLYWAHMMESQSNLVVLSRNQNFGQGTKFDRVRETLEELSIKVAVLNPDKSR >fgenesh1_pg.C_scaffold_7001740 pep chromosome:v.1.0:7:8904134:8906206:-1 gene:fgenesh1_pg.C_scaffold_7001740 transcript:fgenesh1_pg.C_scaffold_7001740 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSVQENIVEIKGAYEDRQSIHLVMELCDGSELFDRILAQGHYSEKAAAGVIRSILNAVQICHFMGVIHRDLKPENFLLASTDENAMLKATDFGLSVFIEEGKVYRDIVGSADYVAPEVLRRSYGKEIDIWSAGIILYILLCGVPPFWAETEKGIFDEIIKGEIDFQSQPWLSISESAKDLVRKLLTKDPKQRISAAQALEHPWIKGGEAPDKPIDSAVLSRMKQFRAMNKLKKLALKSLSEEEIKGLKTMFTNMDTDKSGTITYEELKTGLAKLGSKLTEAEVKQLMEAADVDGNGTIDYIEFISATMHRYRFDRDEHVFKAFQYFDKDNSGFITMDELESAMKEYGMGDEASIKEVIAEVDTDNDGRINYEEFCAMMRSGITLPTQGKLLPVQ >fgenesh1_pg.C_scaffold_7001741 pep chromosome:v.1.0:7:8907394:8908586:-1 gene:fgenesh1_pg.C_scaffold_7001741 transcript:fgenesh1_pg.C_scaffold_7001741 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNSSSLSNSNVIRADKSGIENYCCFVNSVVCPTARASMASPKKATYAQMLSRPPPNILPIQPPEKKKDLQSEAEKDPLFEVPFLWDFENSPIRSDQVAQLEANINSSLQTLHPKLHLAKRKYGAGNTNLDFVKGHRAKLHEMGFHMDDSVIPGRYCFVCKGDREVGDFGDYYMRLREVADRMILQQLQRHVLNGSPSRFVLLVSRNADFKLSMEFLKAKNYIVFLAILGKTNEAFTHTGNFVWDWEKMPDGKASPIWPLVIRKTK >fgenesh1_pg.C_scaffold_7001742 pep chromosome:v.1.0:7:8914102:8917739:1 gene:fgenesh1_pg.C_scaffold_7001742 transcript:fgenesh1_pg.C_scaffold_7001742 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLVGFLLPSLLLAGWFLSLSSLLSLIYSLTLYLLQIFLYSCSVDVKVYDGSSKDESSLLKAVTNVHAIIDKEIAGEINPENVYICGFSQGGALTLASVLLYPKAFGGGSVFSGWIPFNIWSYGSNKGCRALGIKRQHCTQETETPRSVQDLTTSSKLLTLYAGDLVSSLGLFGELKDKDVIVWNTVGLFVEMIQKGYEFDSTTLLLAASALSTLHLSKKFPMVHCLAIETSLVSDSSLCNALMILYAKGEDLSSTEYGYPRKSLKYFKSMTGSGQEADSVTFSCVISACSSLEKLPLGEPLHGLVIKSGYSPEAEVSVANSIISMYSKCEDAEARKLCLKNYCARMLFLGMQSLMGLLQMECSRKHLREEQFMVIRFAGRCNPDIRDNNRSRLGFVELDMIFAFAQNGFTQEAKNLFKEVFSEYSCDSSDSLIFGKSVHCWLQMLGLGDNILLANSVIIKHVHQLQGPYFSIPAVRDDIGNKGSHILELCYRWLRIKWSPFKNSLEKHVLYMNRYSFCINEISQLDKGKS >fgenesh1_pg.C_scaffold_7001747 pep chromosome:v.1.0:7:8943756:8947439:1 gene:fgenesh1_pg.C_scaffold_7001747 transcript:fgenesh1_pg.C_scaffold_7001747 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVKTKGSHGIFYGGLLVAFATSGSCSVDVKVYDGSSKDESSLLKAVTNVHTIIDKEIAGEINPENVYICGFSQGGALTLASVLLYPKALGGGSVFSGWIPFNIWSYGSNNGCRALGIKRQHCTQETETPRSVQDLTTSSKLLTLYAGDLVSSLGLFGELKDKDVIVWNTVGLFVEMIQKGYEFDSTTLLLAASALSTLHLSKKFPMVHCLAIETSLVSDSSLCNALMILYAKGEDLSSTEYGYPRKSLKYFKSMTGSGQEADSVTFSCVISACSSLEKLPLGEPLHGLVIKSGYSPEAEEMQSVDKIQPDIATVVSITSICGDFCLSREGRAVHGYTVRWEMQSRALEGPYFSIPAVRVDIGNKGSHILELCYRWLRIKWSPFRIFESVSSNEYFYQNNFLAFAFCI >fgenesh1_pg.C_scaffold_7001749 pep chromosome:v.1.0:7:8961857:8962762:1 gene:fgenesh1_pg.C_scaffold_7001749 transcript:fgenesh1_pg.C_scaffold_7001749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MEB4] MDNPDVLKHFDSLWRWKCGLILSPTWVPEVLMEETAHNVFDQNKRKADTLADSDHPSKTRKVTTEYISSSAAPNIFLGNSAETMSRLFPIPTTAREMCRDTLVLWVIEDENMPAGYRGRVTIKGYVVNPKLPKEHFRHAYREYGINIRLNPEEGDESIRYNRMLLHLLYWAHMMKSESNLVVLSRNQNFGQGTKFDRVRETLEELSIKVAVLNPDKVNDYALDQDGLLLS >fgenesh1_pg.C_scaffold_7001753 pep chromosome:v.1.0:7:8976868:8977464:1 gene:fgenesh1_pg.C_scaffold_7001753 transcript:fgenesh1_pg.C_scaffold_7001753 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSNETVETTDLRRPLVDPADTEVKRLSEVGLESVLTESSLSYPRRVCLGACIEMKVLFQLALPAILIYLVNSGMGISARIFAGHVGSQELAAASIGNSCFNLVYGLMLQFSHIMLNNSLNGCTVINFLRR >fgenesh1_pg.C_scaffold_7001755 pep chromosome:v.1.0:7:8984694:8987276:1 gene:fgenesh1_pg.C_scaffold_7001755 transcript:fgenesh1_pg.C_scaffold_7001755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7MEM3] MKVSNETVERIELRQPLVDTEKKPRFEVGLESVLTDSSLPYRRRVYLGVCIELKLLFRLALPAILVYLINGGMGISARIFAGHLGSNQLAAASIGNSCFSLVYALMLGMGSAVETLCGQAYGAHRYEMLGIYLQRATIVLALVGLPMTILYTFSYPILLLLGEPKTVSYMGSLYIAGLIPQIFAYAVYFTAQKFLQAQSVVAPSAYISAAALLLQISLSWITVYVMGLGLMGIAYVLTISWWVIVGAQTSYIIVSVRFKDTWTGVSWKSLHGLWSFFKLSAGSAVMICLELWYTQILVLLAGLLKDPALSLDSLSICMSISALSFMVSVGFNAAVSVRTSNELGAGNPKSVLFSTWTATFVSFVISVVEALVVIASRDNVSYVFTSDADVAKAVSDLCPFLAVTIILNGIQPVLSGVAVGCGWQTYVAYVNVGCYYIVGIPIGCILGFTFNFQAKGIWTGMIGGTLIQTLILLYVTYRADWDKEVEKARKRLDMWDDK >fgenesh1_pg.C_scaffold_7001757 pep chromosome:v.1.0:7:8994943:8996734:1 gene:fgenesh1_pg.C_scaffold_7001757 transcript:fgenesh1_pg.C_scaffold_7001757 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQNQDTMNSPPSAANDGLAKRKRGRPRKDENSTPKPDTNLVGKVVTGVVEGSFDAGYLLNVKVKDSDTKLRGLVFIPGRVNPITPENDVAPLVKIYEREDIKNNQADHSFPTDQPMKDAAVTTDLDISESTRALVLVPQASNGQPEEATMEKDGVIAQHADTRLVEFFPTPGTMMMTTAQPNFVLVPKETEQGKSLGETRGFDLMAKEPVCQGEKVPEQLQLELGNKTTLSGDNNMLRTCVSKSGFIANLLEGEEKKVDSNMEEEKEYDQTQLWFNMSMRKTMLVISQEHINDQPKISCFIGCITDNEVSQQKDWDKIQGTCFKQKEVGTKLNSRICSMACNNECKMFADITRPASHIFSTTSRAVATDNTEGYLKSTMETGEYRK >fgenesh1_pg.C_scaffold_7001765 pep chromosome:v.1.0:7:9037125:9037804:1 gene:fgenesh1_pg.C_scaffold_7001765 transcript:fgenesh1_pg.C_scaffold_7001765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine sulfoxide reductase domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MEN3] MPTPATSVAPSTGSVQKKDQDWRAILSPEQFRVLREKGTENRGKGEYTKLFDDGIYSCAGCATPLYKSTTKFDSGCGWPSFFDAIPGAIKQTPEAGGRRMEITCAACDGHLGHVVKGEGFPTATDERHCVNSVSLKFSEISSQ >fgenesh1_pg.C_scaffold_7001768 pep chromosome:v.1.0:7:9046357:9046983:1 gene:fgenesh1_pg.C_scaffold_7001768 transcript:fgenesh1_pg.C_scaffold_7001768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-methionine-s-oxide reductase [Source:UniProtKB/TrEMBL;Acc:D7MEN6] MTTAAIPATRSFQKQEEEWRAVLSPEQFRVLRLKGTDKRGKGEYTKKFEEGTYSCAGCGTALYKSTTKFDSGCGWPAFFDAIPGAIKQTPEAGGRRMEITCAVCDGHLGHVFKGEGYSTPTDQRHCVNSVSLKFASTNSSQ >fgenesh1_pg.C_scaffold_7001782 pep chromosome:v.1.0:7:9117289:9119139:-1 gene:fgenesh1_pg.C_scaffold_7001782 transcript:fgenesh1_pg.C_scaffold_7001782 gene_biotype:protein_coding transcript_biotype:protein_coding MWFFSVCNKLNNGVNVLFSLQRRTLSRGYGSSMGRFGLYKRISPLGDPKISIVPVLDEWRGEGNYTSKEELRGMIKELIKYKRFVHALEVSRWMSDRMFFPLSLTDFGTRINLISRVCGLGEAEVFFENIPKDMKGIAVFSSLLSCYAREKSAEKAAKLVEAMKEAGVSMDTRCYNLMMNMYYQMNVHGKLDDLMLEMEQNGVSFDQFTLSIRLSAYAAASNIEGIEKTIEKISSMSETAIDWTIYSAAANAFLKVELIDEATMMLKKCEEFVNEDSGNEAFHTLLKLYGETGRKEDLSRVWLRFKEERKVFNSGYKIMISSALKFGDIELVEKVFNQWESEKLSYDFRIPNLLINFYCEKDLTEKAELLLKKAEGNRVSPPMDAYICLANIYLEGDEISKATEAIERAVSTQIETEQRLEAQRELLNSCLACLRGNGDGRERFGEVMDSLTSENLFSIAALERLSHHLSQVRENNILSC >fgenesh1_pg.C_scaffold_7001784 pep chromosome:v.1.0:7:9121193:9124197:-1 gene:fgenesh1_pg.C_scaffold_7001784 transcript:fgenesh1_pg.C_scaffold_7001784 gene_biotype:protein_coding transcript_biotype:protein_coding MATFWPPSSSSNYPSILLYLQSYPSLFFLLFLITSATSLTVASLVNPHSFISPRIPYSDHCNHIVPESPIDPSPSAVFSRASLAFDVSFFSGGDSFFSRYQSQNGDVKSARFRPMSIRKTLGDGKIYKVEAKLTLQISKTSAFSSYYGGDFGQKKLQVTQIDGRSSWGGASFDFSGFWSESTGQVCMVGSTQVLSVEGTDLKSFDARVVLNYSNESNIYGSLVKGVLESVNSQSDFKTISILGARNTPLNYEYKLLEQSKSDCGVNSGESLSLENVLGGMCKVFEGKSNVFGLMYRTDCGINHSCSPFVSDVEYTPGFMSLLSFLCDGERMRMLLSFSNISSYSRLFPFDPRASLVAEGTWDVERNRFCGVACRILNFSESLSNAVVDDCSLRLSLRFPAILSIKSLAPVVGELWSIKKESDPSNFRRIEFSSLNDPLWRFPSLRYEYTESERVGKLCEAGKRRPKSKGNHYPDAQTSDMRFVMSVKYSGEGNVLRSARASPYFVGDRLYRDLLVRGQGVGLTGIPMNVNSVTKSFTNITYRIRFLNPHSESRGDIYAEGTYDRDTGELCMVGCQSVRLKSTVEMRNKTLDCSLAIKIKFSPIDSRSDDRLKGTIESAREKTDPLYVGRMEVLSRSIYVHQAKESVWRMDMEVAMVLISNTLSCIFLGMQLYHMKKHQEALPFISIAMLILLTLGHIIPLLLNFEELFKSSQNQQSLFFENDRWLEAKEIVVRIVTLIAFLLECRLLQLAWTARKTEDHHHREEVWNAEKKVSYVCLPLYITGGLIAWLVNHNRTPKRIVFIRKPHARNLLYRPVNLKRSFQRPPLWKDLKSYGGLMLDAFLLPQILFNGFSNSDLKPLAASFYGGNSFVRLLPHAYDLYRSRSYGKSLDWSFIYANHKMDYYSTAWDIIILCIGFLFVFLIFLQQTFGGRCFIPKRFRENVGYEKVVELQQAGCNLYSFCGRQFEASE >fgenesh1_pg.C_scaffold_7001785 pep chromosome:v.1.0:7:9124657:9126493:-1 gene:fgenesh1_pg.C_scaffold_7001785 transcript:fgenesh1_pg.C_scaffold_7001785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 3-oxidase 3 [Source:UniProtKB/TrEMBL;Acc:D7MEQ4] MSSVSQLFKNNPVNRDRIIPLDFTNTKTLPDSHVWSKPEPETTTRPIPVISLSNPEHGLLRQACEEWGVFHITDHGVSHSLLHNVECQMKRLFSLPMHRKILAVRSPDESTGYGVVRISMFYDKLMWSEGFSVMGSSLRRHATLLWPDDHAEFCNVMEEYQKAMDDLSHRLISMLMGSLRLTREDLGWLVPDKTGSGTDSIQSFLQLNSYPVCPDPHLAMGLAPHTDSSLLTILYQGNIPGLEIESPQEEGSRWIGVEPIEGSLVVIMGDLSHIISNGQFRSTMHRAVVNKTHHRVSAAYFAGPPKNLQIGPLTSDKNHPPIYRRLIWEEYLAAKATHFNKALNLFRC >fgenesh1_pg.C_scaffold_7001791 pep chromosome:v.1.0:7:9172891:9176177:1 gene:fgenesh1_pg.C_scaffold_7001791 transcript:fgenesh1_pg.C_scaffold_7001791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MER4] MENSFLSSKLVFFLAIALALFLNTELSFLTAERASDSNNKVYIVYIGQREHDDPELVTASHHQMLESLLQSKEDAHKSLVYSYQHGFSGFAALLTSSQAKKISEHPSVIHVIPNRILKLKTTRTWDHLGLSPIPTSFSSSSSAKGLLHDTNMGSETIIGVVDTGTVRGGSPRARIASYKVCWNVVGHEGKCTVADMWKAFDDAIHDQVDVLSVSIGGGIPEESEVDKLSFIAAFHAVSKGITVVAAAGNDGPGAQNVTNAAPWLLTVAATTLDRSFPTKIILGNKQTLFAESLFTGPEISTGLAFLDSDSDDNVMKGKTVLVFDTTYPTLFAGKGVAAVILAQKPDDVLARYNPIPYIFTDYEIGTDILQYIRTTRSPTVRICAARTITGQPAMTKVAAFSSRGPNSVSPAILKVIKPPDIAAPGVSILAAVSPLDPDAYNGFGLHSGTSMSTPVVSGIIALLKSLHPNWSPAAMRSALVTTAWRTSPSGEPIFAEGSNKKLADPFDYGGGLVNPEKAAKPGLVYDMGIDDYINYMCSAGYNDSSISRVLGKKTNCPIPGPSILDINLPSITIPNLEKEVTLTRTVTNVGPIKSVYKAVIEPPLGITLTVNPTTLVFKSAAKRVVTFSVKAKTSHKVNGGYFFGSLTWTDGVHDVTIPVSVKTEITIKP >fgenesh1_pg.C_scaffold_7001792 pep chromosome:v.1.0:7:9177017:9180304:1 gene:fgenesh1_pg.C_scaffold_7001792 transcript:fgenesh1_pg.C_scaffold_7001792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MER5] MENSLLSSKLVFLLAIALVLFLNTELSFLTAEGASDSNSKVYIVYLGEREHDDPELVTASHHQMLESLLQSKEDAHNSMIYSYQHGFSGFAALLTSSQAKKISEHPEVIHVIPNRILKLKTTRTWDHLGLSPMPTSFSSSSSSKGLLHDTNMGSEAIIGVVDTGTVRGGAPRARIASYKVCWNVLGGICSVADMWKAFDDAIHDQVDVLSVSIGSHIPEDSEVDNVDFIAAFHAVAKGITVVVAAGNDGPGAQTICNAAPWLLNVAATTLDRSFPTKITLGNNQTFFAESLLTGPEISTGLAFLDDNVDVKGKTVLVFHKAHPSSIAGRGVAAVILAHNPDDRLSPYNSYIFTDYEIGTDILQYIRTTRSPTVRISAATTLTGQPATTKVAAFSSRGPNSVSPAILKPDMAAPGVTILAAVSSLDPYAYNGFGLLSGTSMSTPVVSGIIALLKSLHPNWSPAAMRSALVTTAWRTSPSGEPIFAEGSNKKLADSFDYGGGLVNPEKAAKPGLVYDMGINDYINYMCSAGYNDSSISRVLGKKTKCPIPEPSMLDINLPSITIPNLEKEVTLTRTVTNVGPIKSVYKAVIKPPLGITLTVNPTTLVFNSAAKRSLTFSVKAKTSHKVNSGYFFGSLTWTDGVHDVTIPVSVKTEITIKP >fgenesh1_pg.C_scaffold_7001808 pep chromosome:v.1.0:7:9276639:9278563:1 gene:fgenesh1_pg.C_scaffold_7001808 transcript:fgenesh1_pg.C_scaffold_7001808 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGIVVGDGKKEYPGKLTLYVTVTCIVAAMGGLIFGYDIGISGGVTTMDSFQQKFFPSVYEKQKKDHVSNQYCRFDSVSLTLFTSSLYLAALCSSIVASYVTRKFGRKISMLLGGVLFCAGALLNGFATAVWMLIVGRLLLGFGIGFTNQSVPLYLSEMAPYKFRGALNIGFQLSITIGILIANVLNFFFSKISGWGWRLSLGGAVVPALIITVGSLILPDTPNSMIERGQFKLAETKLRKIRGVDDVDDEINDLIVASEASKLVEHPWRNLLQRKYRPHLTMAILIPAFQQLTGINVIMFYAPVLFQTIGFGSDAALISAVVTGLVNVGATVVSIYGVDKWGRRFLFLEGGFQMLISQVAVAAAIGAKFGVDGNPGVLPKWYAIVVVLFICIYVAAFAWSWGPLGWLVPSEIFPLEIRSAAQSITVSMNMIFTFLIAQVFLMMLCHLKFGLFIFFAFFMVVMSIFVYFFLPETRGVPIEEMKQVWRSHWYWSKFVAA >fgenesh1_pg.C_scaffold_7001824 pep chromosome:v.1.0:7:9384977:9385757:-1 gene:fgenesh1_pg.C_scaffold_7001824 transcript:fgenesh1_pg.C_scaffold_7001824 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSRFQEPVRMSRRKQVTKEKEEDENFKSPNLEAERRRREKLHGRLMALRSHVPIVTNMTKASIVEDAITYIGELQNNVKNLLETFHEMEEAPPETDEEQTDQMIKPEVETSDLKEEIKKLGIEENVQLCKIGESKFWLKIITEKKAGIFTKFMEVMRFLGFEIIDISLTTSNGAILICSSVQIHQELCDVEQTKDFLLEVMRSNP >fgenesh1_pg.C_scaffold_7001869 pep chromosome:v.1.0:7:9567571:9570200:-1 gene:fgenesh1_pg.C_scaffold_7001869 transcript:fgenesh1_pg.C_scaffold_7001869 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MFH6] MELINITIGYKTEQCDFASDEASFQHNADEFQCVKSVYSTPTREQFQNCLSTKQFNSTFQNPINLTTHKPDSRVYTDFSESSSPNSSFLNLNFTSLKPILIVKPKTESEIKQSILCSRKLGVQVRTMSGGHDYEGLSYLSQSPFIIVDLVNIRSINLNLTDDNAWIQSGATLGELYYKIAKTSKIHAFAAGICPSVGVGGHISGGGFGTIMRKHGLASDNVVDARLMDVNGKILDRKTMGEDLFWALRGGGAASFGVVLSWKVKLARVPEKVTCFISQHTMGPSMNKLVHRWQSIGSELDEDLFIRVIIDNSLEGNQRRVKSTFQTLFLGGIDRLIPLMNQKFPELGLRSQDCSEMSWIESIMFFNWRSGQPLEILLNRDLRFEDQYFKAKSDYVQKPVPENVFEEVTKRFLEQDTPLMIFEPLGGKISKIPETESPYPHRRGNLYNIQYMVKWKVNEVEEMNKHVRWVRSLHDYMTPYVSKSPRGAYLNYRDLDLGSTKGINTSFEDARKWGETYFKGNFKRLGLVKGKIDPTNFFRNEQSIPPLF >fgenesh1_pg.C_scaffold_7001883 pep chromosome:v.1.0:7:9644307:9647746:1 gene:fgenesh1_pg.C_scaffold_7001883 transcript:fgenesh1_pg.C_scaffold_7001883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MFJ1] MMRVLMVNFGVFLLFCFGFLSNSFGQDNNAGDSDINSTTAVYIVTLRQAPSLHLFQQETEVKNRVRDKSKHGDTSKFTRPKLQPRNISKSRYWRSRRSAIAQAHDSLLRNALKGEKYIKLYSFHYLINGFAVFVSSQQAEKLSRRGEVANIVLDFSVRTATTYTPQFMGLPKGAWVKEGGFETAGEGIVIGFIDTGIDPTHPSFNGTDTSQRQYPIPNHFSGVCEVTPDFPSGSCNRKLVGARHFAQSAITRGIFNSSEDYASPFDGDGHGTHTASIAAGNHGVSAVVSGHNFGSASGIAPRAHISVYKALYKSFGGFAADVVAAIDQAAQDGVDILSLSITPNRRPPGVATFFNPLDMAMLSAVKAGIFVVQAAGNTGPSPKSMSSFSPWIFTVGAATHDRVYSNSIILGNNVSIPGIGLALPTDEGKVYTMISALDALKNKSLVLDKDMYVGECQDYDSFDKDIIRGNLLICSYSIRFVLGLSTIKQALAVTKNLSAKGVVFYMDPYVLGFQINPTPMDMPGIIIPSSEDSKVLLKYYNSSLVRDGTTKEIVRFGAVAAIAGGQNANFSNRAPKIMYYSARGPDPEDSLFNDADILKPNLVAPGNSIWGAWSSAATESTEFEGESFAMMSGTSMAAPHVAGVAALVKQKFRKFSPSSIASALSTTSVLFDNKGEAIMAQRAYANPDQTLSPATPFDMGNGFVNATAALDPGLIFDTSFEDYMSFLCGINGSAPVVFNYTGKNCLLSNATISGADLNLPSITVSRLNNTRTIQRLMTNIAGNETYTVSLIPPFDVLVKVSPTQFSIASGETKLLSVILTAKKNSSIASFGRVKLFGTAGHVVHIPMSVTVKIASNQ >fgenesh1_pg.C_scaffold_7001893 pep chromosome:v.1.0:7:9680447:9683319:-1 gene:fgenesh1_pg.C_scaffold_7001893 transcript:fgenesh1_pg.C_scaffold_7001893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor [Source:UniProtKB/TrEMBL;Acc:D7MFK4] MEKSITVVQKTVVLEPFIKLVKLLVRIFYDNYTPESDNQQKSAKNVRGTAVIVLDALARRQWVREEDLAKELKRNTKELRKLIRHFEEQKFVMRYHRKETAKRAKMYSYAVGGTTDGRAEDNVKFHTHSYCCLDYAQIYDIVRYKLHRLKKKFKDELEDRNTVQEYGCPNCKRKYNALDALRLISMEDDSFHCENCNGELVMECNKLTSEEVVDGGDNARRRQREKVKVWLQDLEVELKPLMELINRVKDLPFPAFEPFPAWEVRAAKAARENGDLNLNDPSRSLGGYGSTPMPFLGETKVEVNLGEGKEDVKSKGGDSSLKMLPPWMIKQGMNLTEEQRGEMRQEANADGLSDDKKSVMENGDDNKDLKACFLEKNFILWIDEYLKAYYAAILEQQKLAAKLNQQESAGESTTTDIESATTSSDRQVGMKSKREEEEEEDVEWEEQASVTANGNYKVDLNVEAEEAEEKEEEDDDDIDWEEG >fgenesh1_pg.C_scaffold_7001905 pep chromosome:v.1.0:7:9760974:9764811:-1 gene:fgenesh1_pg.C_scaffold_7001905 transcript:fgenesh1_pg.C_scaffold_7001905 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLVLLVLFILCSSLESGSGQPGIINNDFQTLLEVKKSFVTTPQEDDPLRQWNSVNVNYCSWTGVTCDDTGLFRVIALNLTGLGLTGSISPWFGRFDNLIHLDLSSNNLVGPIPTALSNLTSLESLFLFSNQLTGEIPSQLGSLVNLRSLRIGDNELVGAIPETLGNLVNIQMLALASCRLTGPIPSQLGRLVRVQSLILQDNYLEGLIPVELGNCSDLTVFTAAENMLNGTIPAELGRLGSLEILNLANNSLTGEIPSQLGEMSQLQYLSLMANQLQGFIPKSLADLRNLQTLDLSANNLTGEIPEEIWNMSQLLDLVLANNHLSGSLPKSICSNNTNLEQLILSGTQLSGEIPVELSKCQSLKQLDLSNNSLVGSIPEALFQLVELTDLYLHNNTLEGKLSPSISNLTNLQWLVLYHNNLEGTLPKEISTLEKLEVLFLYENRFSGEIPKEIGNCTSLKMIDLFGNHFEGEIPPSIGRLKVLNLLHLRQNELVGGLPTSLGNCHQLKILDLADNQLLGSIPSSFGFLKGLEQLMLYNNSLQGNLPDSLISLRNLTRINLSHNRLNGTIHPLCGSSSYLSFDVTNNEFEDEIPLELGNSQNLDRLRLGKNQFTGRIPWTLGKIRELSLLDISSNSLTGTIPLQLVLCKKLTHIDLNNNFLSGPIPPWLGKLSQLGELKLSSNQFVESLPTELFNCTKLLVLSLDGNLLNGSIPQEIGNLGALNVLNLDKNQFSGSLPQAMGKLSKLYELRLSRNSFTGEIPIEIGQLQDLQSALDLSYNNFTGDIPSTIGTLSKLETLDLSHNQLTGEVPGAVGDMKSLGYLNLSFNNLGGKLKKQFSRWPADSFVGNTGLCGSPLSRCNRVGSNNKQQGLSARSVVIISAISALIAIGLMILVIALFFKQRHDFFKKVGDGSTAYSSSSSSSQATHKPLFRTGASKSDIKWEDIMEATHNLSEEFMIGSGGSGKVYKAELDNGETVAVKKILWKDDLMSNKSFSREVKTLGRIRHRHLVKLMGYCSSKSEGLNLLIYEYMKNGSIWDWLHEEKPVLEKKTKLIDWEARLRIAVGLAQGVEYLHHDCVPPIVHRDIKSSNVLLDSNMEAHLGDFGLAKVLTENCDTNTDSNTWFACSYGYIAPEYAYSLKATEKSDVYSMGIVLMEIVTGKMPTESVFGAEMDMVRWVETHLEIAGSVRDKLIDPKLKPLLPFEEDAAYHVLEIALQCTKTSPQERPSSRQACDSLLHVYNNRTAGYKKL >fgenesh1_pg.C_scaffold_7001912 pep chromosome:v.1.0:7:9809035:9813845:1 gene:fgenesh1_pg.C_scaffold_7001912 transcript:fgenesh1_pg.C_scaffold_7001912 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1895 [Source:UniProtKB/TrEMBL;Acc:D7MG42] MEKVSAACAMEWSIKLEKSLRSKNPVKAVEAILETGEKLEQWSKEQESAIAVYNLFGLVPEEDKLFSNTILLRLVDAFCVGDKLVKLAVVRVFMSMFKLSRGNNVNESAAWFLSKARVHNHLEILIRVKNVYEKGDTEAKALALILFGCWRDFASEFAPVRYLIFTSLVSSHDLEVRSALFAAACFCEVADDFALVVLGMLNDMVKFPEIMQKTRLAAVRVFAKMGCSHAIANRAFKICMKLMLDSPKEDNLIPFLVSLTKLASRSTHLASELTEVIMPFLSKDKTSHVRAAVLRCLHFLIERGMCFSLAHEREIASVSSLLKQEDLSSDMQLKALQIFQKILVYKLCMIDAFELHQLIAIVENASLSQIFSSSCLAISILVGIWKEIERTAEIRSIEVSSTSLPLQLVVLIMDRVTLLGRLCCDPFQAEDAVVSDVQELLKVLHLYVGKHSELRLLVLEKVRLFLELIVNLNDGLRKADGAHELLFGVINYKGKRGAVMRSEFLASIHKFLIVFLENLEGNDNLLSEIYEKVKHIIEFVRSCSFIDFHTQMIFTLLLHSPILWGFSVNDDAGNSGVSFVADIVNYGIVSLDCSNQILMERNYWPAYRAGVYAARLGAWVISAMIFDQLKTNVQSDINCCWLKSLTYLSHAEGKFQLLLTPSDSVKLVNWLKSNCYLPELSKDASGEFAHCLALHEAYMNLQSSLGMMGNIIASREVFCFQTWLLVLKTRLLETVLELVECLGLLNQDIHNKKQVEEKISTGCNSLQQLPQISIQLQKLAKEFDMLATCFIDIDDSSSSIITTFSLSCSVLAFAAGIVLFLPSFSFHQALVPFTSQSGLCSRLVQDLVRRLWKVDPEICKELNILVKTNESLNSLHLQPRNQVLRVCGKVKILLSICRDALSCIYGLQNQSMSMHKEEIMSEITKSCRNLLSQAIMKWMQIPFGIPKYFFNIRPCVGAELFALSSESSKRTPDTVSVEQGFQLSLDLCLQLKNAQQQQVPVRLNKLYCLLYTKLAYHTPTQHGETNRNQKSYTPWRDEDLVEMSNKLFHHAIKSGKKPEVSGRFDWTKSGVSTVVQFEPNERGQGFSSCLLDVSHFPVGSYQIKWLSCCTDQHGSYWILLPLNGKPVFTIKKAS >fgenesh1_pg.C_scaffold_7001919 pep chromosome:v.1.0:7:9837312:9838116:1 gene:fgenesh1_pg.C_scaffold_7001919 transcript:fgenesh1_pg.C_scaffold_7001919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MG50] MTLKKNLSCATPFTSHGKTYLPLNEDNNQLKEAVDINTEHVSQLSIQNDEQTIDNSGCEVTVDKMNTSVPEKSTEPDEGVDVNNSTCDKGKEEAATEEEKDAMDINAEHISQLSIQNDEQTVDNSGCEAPTSKTGSWLGSPARSKEMCVPLVK >fgenesh1_pg.C_scaffold_7001921 pep chromosome:v.1.0:7:9844385:9845675:-1 gene:fgenesh1_pg.C_scaffold_7001921 transcript:fgenesh1_pg.C_scaffold_7001921 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPRWFQVLTEENLDGYCKKHSCGFRKNIFRFESCDVVCLEGIDPYHIQPHVQVVPLFDVNGLDMDEISDIFDCFQIQNKGLIGGKGLIALRPWRRCIRTKEGCTKHVRGTSKFCSFTCKVEHVLENEGDLSSIIRNWSEDDSRETSEHIDDLSLHPCGDPEKVFPFKKRSRRRIEFYDSDEFEEAFAYSFRDHLLVVNSVHKIFIQRIIYLSLRSIMRLFISLGYWRKFVWFGRLKPSKESLIETSLRGW >fgenesh1_pg.C_scaffold_7001922 pep chromosome:v.1.0:7:9847834:9848382:-1 gene:fgenesh1_pg.C_scaffold_7001922 transcript:fgenesh1_pg.C_scaffold_7001922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MG53] MDLAEAIQETGYCSQVVKFNSCNSVWLVDISKFVDCSHIRRQSSADNNNNNNNNNMLIYLRENHVEDNICQVDNLCSLACKLDHVLNHYGTLSNIVKQHTVKKKRTGGRKRGQISIFHGFYRLFLLNRKTRSGGRRRRTYVNHAERLLPHKKRHRGAE >fgenesh1_pg.C_scaffold_7001929 pep chromosome:v.1.0:7:9883956:9885112:1 gene:fgenesh1_pg.C_scaffold_7001929 transcript:fgenesh1_pg.C_scaffold_7001929 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSKESSSPVNIPYLPDDILLNCLARVSRLYYPTLSLVSKRFRSLLASTELYETRKLLGSTESCPYVSLMFPGSSKPRWFTLSRVPTRIPNPKSRWFTSYFSPCKTRTTRNLMVSVPSHSFPPQSRWIPTAVDFSVYIIGGYINGEPSSRVFVMDCRSHTWHEAPSMRVARNFPLVSAVDGKIYVVEGCDNCNPSEFMEFFDPKTQMWEHVPSPGAEIRGSYMLESLAKEGNLYLGPGMHFGGISDSSCMIDNVRYCYTDGSGSASSRSLKWYSKERSRWIALKNLEKLPKLPKGYGRVRLENCGGNILVFWEENVRAIGSTKKKMIWCVEVAIERRNRDEVYGKVEWCDVVLTVPKSCSFEEFVVATV >fgenesh1_pg.C_scaffold_7001937 pep chromosome:v.1.0:7:9928079:9930448:-1 gene:fgenesh1_pg.C_scaffold_7001937 transcript:fgenesh1_pg.C_scaffold_7001937 gene_biotype:protein_coding transcript_biotype:protein_coding MANMEGSSNQCEAATIQPENVILDIGETSGSSPNVEGVLSRDDNTAEILARSGQLSQEDDRRLSKHPLRFYLCEEAKQEARRREKLDRGVQLYQATLKGDWNAAKTRIDEQEDIVRQEINSNSEIALHIAVAAKHEEFVRNLIEKMHPDDLRMENKDNNTPLHFAAASGVVKIAEMLIEKDDNLPNLRGPREITPIHAAALFGRGEMVMYLYERTRIEDLSDTNLIDLFIAIISADIYDVALKMLQDMAHKDLAISRNRDRETALHLMARKPTSISYRSQLNWFQKSAISIFKGSFPKAKMGTLAHQLVDELWKSVLQHPMEIVMDLLRSPSKLLFDAAELGNVEFLVILIRSYPDLIWKVDNKCRSLFHIAALYRHESIFKIIYELGGIKDHLTSYIEDESKNNLLHFVARLPPPNRLHVVSGAALQMQRELLWFKAVKEIVPRSYIKTKNKDGQVAHDLFTKEHENLRKEGEKWMKETATACMLVAALIATVVFAATFTLPGGTDTGLPGFPQFRGELWFTIFILSDSAALFSSVIAIVLFLSILTSRYAEDDFRTKLPTKLMLGLFALFISINTMVLAFTASMILIRRVDEPVWRLILIVCLSSLAAITFALLHVKLWFITLRSAYFSKFLFRKCKSVLFL >fgenesh1_pg.C_scaffold_7001939 pep chromosome:v.1.0:7:9964272:9965359:-1 gene:fgenesh1_pg.C_scaffold_7001939 transcript:fgenesh1_pg.C_scaffold_7001939 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVMLRFADLVEKHSEELASLETWDNGKPYQQSKTVEIPMFARLFRYYAGWADKIHGLTVPADGNYHVQTLHEPIGVAGQIIPRNFPLLMFAWKVGPTLACGWSSSWCFEYSFWIRCNSRDALSSHMDVDKLAFIGSTDTVKDNVAERGLGHLFMRKCMMSLLRNQRHGH >fgenesh1_pg.C_scaffold_7001940 pep chromosome:v.1.0:7:9975614:9976807:-1 gene:fgenesh1_pg.C_scaffold_7001940 transcript:fgenesh1_pg.C_scaffold_7001940 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDAGGASARRRRDLSTLINLLLLCLSFFFLISLFVYSNLSKYPNPFFMWSSSLRILDASLSSNPKPEASQQSNGSSPQTSEQGFIDITIVSRSGLAPTPFMAPFHRISLMAGVSLGPSDVFFPVGTSTAHDELKRWQNGRGLHSILGTMPLEEICLFNYRNLMDSAGYLSPDATLIFKLSGLVFFSNLRCCDATQASALICEYILSMDIPTSKPNYGAPRLMGEASQPSRTFWIKPPRKIYPSSTAFSAFWTCDILWRIQQTYSSKEIPSPEPYFGIGKKSPPAASYMENFYKSSYAFNRASLL >fgenesh1_pg.C_scaffold_7001962 pep chromosome:v.1.0:7:10102891:10106017:1 gene:fgenesh1_pg.C_scaffold_7001962 transcript:fgenesh1_pg.C_scaffold_7001962 gene_biotype:protein_coding transcript_biotype:protein_coding MNREGLYEVDDDDDDDDDDIGEDLEELRRAFIVTEAKGLPSDSENDEEDDLEMLRSIKSQLASSTTNVGLSVPSDSESENHFEMLRSIKSQLALSMDEDEDEDGAFETLRAIRRRFFAYENFDVEGNFMNDSSHEKKKKQVHASDNEPSTEILSRSNTCESFPDHGKSAVTEPDSETSIEQLDHLETCQSSLMPATSSGFPEAALAFLDAIRKNRLYQKFLRRQLSEIEATIEQNEKHRKNVKIVKDFEASCKRITKQALSRGKDPRVELISTPKSRPDSGSSGGNDKKTSYLTMGPPENTCVENYRMALEKYPVSVDRANWTTEEKENLAKGLKQQVQDTLIREAFERSSDLEGSTDGINTILEGIKSLEITPEMIRQFLPKVNWDQLDIKNRSAAECEARWMSSDDPLINNGPWTVAEDNYIRLFTKNKGFTDWLDIAVSLGTNRTPFQCLARYQRSLNPDILRKEWTAEEDDQLRAAVDLFGESDWQSVANVLQGRTGPQCSNRWKKSLHPSRTRKRNWSSEEDKRLKVAVTLFGTKNWRKIGQFVPGRTGTQCVERWGNSLDPKLKFGKWTKEEDAKLREAMKEHGHCWSKVASYMSCRTDSQCARRWKSLYPHLAHLRQEARRLQKEATIGNFVDRESERPDLVVGDFLALAEISLEPEEPVLTKKRKPRHTKKADTECESEAVCADTERQPKKQRKGLERCSGDVCRKKNEKTEDNVKEKKQRRKRTSVAGTSNNSSVTTNCPQVKIGIQKLKPRRKVSALVPIENLDAQN >fgenesh1_pg.C_scaffold_7001966 pep chromosome:v.1.0:7:10121068:10121920:-1 gene:fgenesh1_pg.C_scaffold_7001966 transcript:fgenesh1_pg.C_scaffold_7001966 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTYGITAPKVMYFSARGPDPEDDSFVNADIMKPNLVAPGNAIWGAWSPLGIGTTDFQGERFAMESGTSMSAPHFLQFTPAAIASALSTTASLSDRKGEHIMAQRTVLNPDISQSPATPFDMGSGFVNATAALDPGLIFDIGYNEYMKFLCSINGSSPVVLNFTGESCSAYNSSLAASDLNLPSVTIAKLVGTRTVLRWVTREEYLRKSM >fgenesh1_pg.C_scaffold_7001968 pep chromosome:v.1.0:7:10141040:10141806:-1 gene:fgenesh1_pg.C_scaffold_7001968 transcript:fgenesh1_pg.C_scaffold_7001968 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLQAISPLAANQGQERVKTWFEEYREKLAAAVARGNANSTTHEADRLSKKPMKKSTFEEERLYKNTKTSGDANSTHEAERLFKNPMTSGHGNSTHEAERLFKNPMTSGHGNSTHEAERLFKNPMTSGHGNSTHEAERLFKNPMKTGNANSTQEAERLFKNPMKTGNANSTQEADRLFKNPMTNVK >fgenesh1_pg.C_scaffold_7001973 pep chromosome:v.1.0:7:10168691:10170403:1 gene:fgenesh1_pg.C_scaffold_7001973 transcript:fgenesh1_pg.C_scaffold_7001973 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKSVKKLSSKDVTPVDIISQALLSSKSLARNRKVCGNKGVFPCRQSKGDLAEDLSDISDAEVADNLTNKKEFILKKRAWELMNPEYQKGNYRKVTTGKKKDPANKTAPSKKTSATRTESNAGSENKKRPSLEINYDVLDKLFDPENSPKRAKLDKPVVGDQTEYSKQSSEESLLKPQYSNEEEAEEPDWNEDYSNEDAYRGNEECYGDENLEFEDQDEEEEDNEGVIW >fgenesh1_pg.C_scaffold_7001977 pep chromosome:v.1.0:7:10195729:10198003:1 gene:fgenesh1_pg.C_scaffold_7001977 transcript:fgenesh1_pg.C_scaffold_7001977 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNKFVDYSKGHPFALKLLGSDLCQRDKLYWIRKLERPQRRPDGKVQEVLHMSYEELCLEEKSIFLDVACFFRSEKLDLVSRILSTYHIDASNVINDLIDKCLVTVSDNRLEMHDLLLTMEKEIGYESSIKEAGKRGRLWDQEEICRVFKHKTGTAKIRDIFLDMSNVESMKLSADIFTGMLSLKFLKFYNSHCSKWCKNDCRFRFPGGLDCFPDELVYLHWQGYPLEYLPLNFNPKKLIDLSLRYSSIKQLWEYEKNTGELRSSLNLECCTSLAKFSSIQQMDSLVSLNLRDCINLKRLPKSINLKFLKVLVLSGCSKLKKFPTISENIESLYLDGTSVKRVPESIESLRNLAVLNLKNCCRLMRLQYLDAHGCISLETVAKPMTLLVIAEKTHSTFVFTDCFKLNRDAQENIVAHTQLKSQILANGYLQRNHKVQYLRFYHFQELVLGPLAAVSFPGNDLPLWFRHQRMGSSMETHLPPHWCDDKFIGLSLCIVVSFKDYEDRTSRFSVICKCKFRNEDGNSISFTCNLGGWTESSASSSLEEPRRLTSDHVFISYNNCFYAKKSHELNRCCNTTASFKFFNTDGKAKRKPDFCEVVKCGMSYLYAPDENDYRLQGLQENNLEKAVSRKETELNETALDEAAMSKRARLCLQEEELLNRKRKKDDISYPDHECSVTSVFSYV >fgenesh1_pg.C_scaffold_7001983 pep chromosome:v.1.0:7:10241159:10242084:1 gene:fgenesh1_pg.C_scaffold_7001983 transcript:fgenesh1_pg.C_scaffold_7001983 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAFVDRAWDKWVTSGNVGSSGNPLKAAILINYDPTGPSRLLSTIAEHEGIDLYPVDLKPFIDFMRRGNLPTETFVLGSNQYIITSIHENWFAARCLNSSQPAGEGAIVMQTAVYVLVALYDGSIGSASQAVAAADQFVSQLSRKNF >fgenesh1_pg.C_scaffold_7002029 pep chromosome:v.1.0:7:10550999:10551478:1 gene:fgenesh1_pg.C_scaffold_7002029 transcript:fgenesh1_pg.C_scaffold_7002029 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVRNAIYTVLARFQVNLIDNLRFHVNEMVDVDQLVAIRVLLENIMNALDANELLHPVADPVDPVADPAGPEAQAPAGPEAPAPAPAPDEIVINDHDVPPGSNSDED >fgenesh1_pg.C_scaffold_7002054 pep chromosome:v.1.0:7:10691939:10692917:1 gene:fgenesh1_pg.C_scaffold_7002054 transcript:fgenesh1_pg.C_scaffold_7002054 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLVEEKFLEFYESWVIQLELYLHQLLIAHNTMSETELRALISKLTTHHKAYYTAKWAAIGEDVLAFFGPIWLNPLEKACFWLTGWKPSTVFRMVDRLRKYSRVVLVEAQVRKLEELRVKTKFDEQKIEREMERYQVAMADRKMVELARLGCHVGGESVVVVEAAVRGLATGLEKMVKAADCVRLKTLKGILDILAPPQCVEFLAAAATFQVQLRRWGNRRHNVTHS >fgenesh1_pg.C_scaffold_7002077 pep chromosome:v.1.0:7:10835262:10835822:1 gene:fgenesh1_pg.C_scaffold_7002077 transcript:fgenesh1_pg.C_scaffold_7002077 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTDLKPESKSESNQVPSKEVGDRLQREDECTEAYSMLEKCMKARFDYFEPYLALKNASAEVMAREIEVFLPAKPKDRDELLGKFMTRGDCKQAFMAWMDSYEEAKKNKGSLYTPALNTLSKCIEAHSDYYQPLLTVVKNYKEHYSKERIAFLDAWEQAQAEAEG >fgenesh1_pg.C_scaffold_7002091 pep chromosome:v.1.0:7:10925861:10927569:-1 gene:fgenesh1_pg.C_scaffold_7002091 transcript:fgenesh1_pg.C_scaffold_7002091 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRITLKYGGWRSRHHGDNVGVRDSWGSLPQELLRTSTNLLNPNFAEYEALRRVNSHWRKTFPSFRDGSFREERPWLLYREMGSRHAHFYDPVRESLHYRKDPNLEGAKFLGSTLGWVVMSNSIATHRREHHQTFLYNPFTSQRYQLPVLTVLPVLTFGNPRRESVIRYGVLTGDPREDNTYACLLGDSFHDNNLMDIPYVHVPNLQIYYVAKRNGEWDQDWSVTHIPTSYHDIYNSTIQSISPSADKISILLSDHSFDFTFQTQEWNVSKTQENDPIDWNPFHGQSFDQMKDRLQISPQTLAIIGTKTDRERVRNNTWGANDGQSVSYIDGVWVEVRA >fgenesh1_pg.C_scaffold_7002104 pep chromosome:v.1.0:7:11023720:11025918:-1 gene:fgenesh1_pg.C_scaffold_7002104 transcript:fgenesh1_pg.C_scaffold_7002104 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEDIVYPYISITGNYDVYESVDFLRQGENSDVALKNLNALIRTGYDFSDFEWDAVESPDVEEETIDEDLVEDGYVEGQGEIHTNDKEEANVTVEGSLLSGLQSNEHEMATSSKKRRSKDGDHGAQTRKMKLLCQRAPAAPHGFDEEMKTFIREMFETSFKHFGQEVSNKLGKIELDVATLKKAVITMDENSKKDKAPPFGNGQPVDDNCLFHELFTRPGEIDLNMDSQDPDFLQKEMGHLSQKSQAPGFDPSQGIFSQNLEKQQRKDDTAEFMDWNDKGDSGKYDADAVLVYLPEARWTAFEAWFRNVNRDVPKLGPSHLTDALFKRLIDQKEWLGNDEIDAVLYLHRESTSLRRLNSARVGFMSCEFSARIKNEYPKFMKNKKTHKWDSRLIDFVTGESPSHGKTGKSWALDFDRIYAPVNVNNSHWISICVNFVLRTVEVFDCFGNNNRRNVEMFAYIIPRIVKDVHGKVYGKVPLLTQYEIINVKVPKNLNTTMCDCGVYALKHIECHMLNLSMDLINDGNIKEARMKIAVDLWEAAHDPILIERMKNYKPPHQSSDILEID >fgenesh1_pg.C_scaffold_7002107 pep chromosome:v.1.0:7:11038180:11039468:1 gene:fgenesh1_pg.C_scaffold_7002107 transcript:fgenesh1_pg.C_scaffold_7002107 gene_biotype:protein_coding transcript_biotype:protein_coding MKNILEENPVEEHVLPTDGEESSNEPSDSDGSVEEEEYLHDLEDDDDDVNDMDYEEVAVEEANHVDLEDDDDDFNLDIYSPRPNEEVAEEDILLLRIEETVEVLEKFSQLRQQGFTRSDYVDQLKDDLASLYVYNRFLITTLVEDGLVIGDGHACLIALTLQYMVGCFMIQNIGSLFDVMTLDP >fgenesh1_pg.C_scaffold_7002122 pep chromosome:v.1.0:7:11120182:11123424:1 gene:fgenesh1_pg.C_scaffold_7002122 transcript:fgenesh1_pg.C_scaffold_7002122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCY0] MCTFKQLIGKGVVQTSIIKDDSNHEVDAFVKPGDVEEEWESLTNQTDQVLLHVKEDMVQVKFSDDALVSFETTGGYSLSVVPDCNDILLTPTLDSGTSTNLNVSEGLVFASGCSSTNQTNEHESVYEGKQEPGEINRKLSIPDEDSSSSLNSSFETAHETVKNGEQISEQSNKQWKPLVKRKTKLEKLCDGVHIERFQRRLKMVVLDSQLSSILSDVSSASDSDPIPVLFDRLNTLNSQFHEEKLRNKSIKRTMEQILLRLPPIEPDRESHEASQKKQNSELREVISVLDHQEFVVKDDLSDEKKSLQREESVFVKKMCQHRELLCETSSITTLAETASVPKHTLILLDDSKKGQVHRVESQNKSPVVEAINEQFVANGEKLLLRENGG >fgenesh1_pg.C_scaffold_7002123 pep chromosome:v.1.0:7:11124038:11125446:1 gene:fgenesh1_pg.C_scaffold_7002123 transcript:fgenesh1_pg.C_scaffold_7002123 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDEKCRKFWDRVGESEGFDVEHLMDNKPKSCLLYYENSDFDSEVFLYAKLGIHKYNMLQGTNLQLCCIEKCNTRSSTVYTGYSITLVAKDPSAGGSLVTFQTKVVNEDNYKINTLTVSIARLKSEPPRANPEHHGWLYDCAQVRLPDEWPSEDSFNDKKRYYVMKKSELRKHNWIRLYMELAFIHANEDLPNPNLSNLVIMKVAVETEENVKPPNERLKARNAVFYIRYRYYPNKGRAPKGNNGHKPPRDRVAIVKRTMDMNKGLLKLMFDNRYAKTLL >fgenesh1_pg.C_scaffold_7002124 pep chromosome:v.1.0:7:11127209:11128596:1 gene:fgenesh1_pg.C_scaffold_7002124 transcript:fgenesh1_pg.C_scaffold_7002124 gene_biotype:protein_coding transcript_biotype:protein_coding MREEDYEKCREFWNRVGESEGFDVEHLMDKIPGWCLLHYQNSDFDSKVFLYAKLGIHKYNMLQGTNLELSCIEKCNSRPTPAFTGYSITLVAKDPSAGGSLVTFQTKVVNEGRYKSKTLTVSIARLKSEPPRANPEHHGWLYDCAQVRLPDEWPSEDAFNDKKRYYVMKKSELRKHDWIRLYMELAFIHANKYLPNPNLSNLVIMKVAVETEENVKPPNERLKARNAVFYIRYRYYPNKGRAPKGNGHKPPRDRVAIVKRTMDMNKGLLKLVFDNRYAKTLL >fgenesh1_pg.C_scaffold_7002133 pep chromosome:v.1.0:7:11184559:11189662:1 gene:fgenesh1_pg.C_scaffold_7002133 transcript:fgenesh1_pg.C_scaffold_7002133 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPKQTLNPNKHLKEQFVSNLDGSSILEIAALLTIVPLLVLLRYSIDFHWKIDNNNGGIAVSSKKNDDEIVVSRNWKAYTHAISLDFILIVFPMLLFFTVLSEWVYLGAVLLSLLLLILSLTAKRSSSGLQRGQSLSFRASVSSYRVALMLITCLCILAVDFTIFPRRYAKTETYGTSLMDLGVGSFVLANAIVSRQARDVSSGNWITGLKATAPLLLLALIRLVTTSGVDYQVHVTEYGVHWNFFFTLAAISILTSFVNIPAKYCGILGFSVLAGYQTWLISGLNTYLLSDERGTDIISKNKEGVYSILGYWGMYLLGVHLGYRLFYAKHSNIRSTTSSIARVFLVSLLLWIVTILLDNYVERISRRTCNMPYVTWVLAQDLQALGIFMLSSYIPMNKLSSLEEAIDQNLLATFLLANLVTGIVNLTVDTIFASPFSSLLILTAYAFALSAIIGTIHISGFRLKFCYINFSPPPISYEQFLNDGVSSNPNLSPLVIAIFGIFATAFLLAAYYTLVSKYCANDATNEAASETGRSDIILDVNSPESGDQDDLFSHESSNAGLDDALIKKIGFFKLKKHQNGFKIKGTDCSICLGEFNEDESLRLLPKCNHTFHVVCIDRWLKSHSNCPLCRTKIIIPTTQQPDHHVVVMNLDRFTSNVGSAEGNVVVVDHREEVSVSISSHHPRRFSAADIVLGIRRGGEEEEENYDLENGNREKLVDLKRSFSSGELVLGTQGRTRRSLNLCP >fgenesh1_pg.C_scaffold_7002146 pep chromosome:v.1.0:7:11284075:11285030:-1 gene:fgenesh1_pg.C_scaffold_7002146 transcript:fgenesh1_pg.C_scaffold_7002146 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPIWKQPGPAHLAPKIRFDWNSNLSPSSQSRCSFMKPSVRRLHLDNKLPLLLVWLKIFLDNLLLELSRTLAFEASNLNELGIMIQSIRNCGYRCVFMLPSSTRLIHEPVKLPLINLLKFPLKNLKTSGIMIPIQCSGGYHNIFKSFSPEPKTATNPITIFRQSILNPVQTLGKALLRVDILIPVRFPALAFSTLLSLRSKTVAIFSSIEVFMEEASLFLDLTGKFNDNSVTAT >fgenesh1_pg.C_scaffold_7002148 pep chromosome:v.1.0:7:11302017:11302913:1 gene:fgenesh1_pg.C_scaffold_7002148 transcript:fgenesh1_pg.C_scaffold_7002148 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEKNPSSIDIVSDLLEDIFLRLPLKSILISKSVSKRWRSILESKTFVERRMSLQKKRKILAAYNCKCGWEPRLLPGSSRCKGNEEMVYLHYNAARPSLSCDGLVCILEPHWIDVLNPWTRQLRRYGYGFGPIIGVWSAFSPGNWAMGFGKDKVTGSYKVVKMCLLSFSQIRVRDPEVEYSVLDVETGEWRMLSPPPCKVSAVKRSVCVNGSIYWLQNIIDRVCKILALDLNKEEFHNVSVPAMSATQETHIVNLEDRLAIANTDTKAEWKLEIWILEHGCRSKNMDQDLLYKFRE >fgenesh1_pg.C_scaffold_7002157 pep chromosome:v.1.0:7:11337601:11338866:-1 gene:fgenesh1_pg.C_scaffold_7002157 transcript:fgenesh1_pg.C_scaffold_7002157 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQSFLENPVLPRQPLELEPVDVFYKGSLHEWPQEDAFNDNKQFYVLNLSKVAIETKENMEPSSERLKARNVFFYIKYKYHANQGRDPKRHLGHKTRDRIAIVRRALDKNTGHLTLEFPEAV >fgenesh1_pg.C_scaffold_7002165 pep chromosome:v.1.0:7:11367709:11373736:-1 gene:fgenesh1_pg.C_scaffold_7002165 transcript:fgenesh1_pg.C_scaffold_7002165 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTVLPLSSCSSDFSLHSKFPDSSRHCLDLASASSLLNSRLMLRKSLRRFDLSNVETLIPRLLCSHASKPALFCTSIEPARLSWEVSSQVILKKKLETALKDHRVDDAWDVFKDFKRLYGFPESVIMNRFVTVLSYSSDSGWLCKASDLTRLALKQNPGMLSGDVLTKLSLSLARAQMVESACSILRIMLEKDFVLTSDVLRLVVMHLVKTEVGTCLASNYLVQVCDRFVELNVGKRNSSAGNVVKPDTALFNLVLGSCVRFGFSLKGQELIELMAKVDVVADAYSIVIMSCIYEMNGMRDELRKFKEHIGQVPPQLLCHYRHLFDNLLSLEFKFDDIRSAGRLVLDMCKSKDLVSVQNLGFDSEKPRVLPVGSHHIRSGLKIHISPKLLQRDSSLGVDTEATFVNFSNSKLGITNKTLAKLVYGHKRHDILPELSKLLFSLGGSRLCADVIDACVTIDWLEAAHDILDVMVSAGHPMELATYRKVLSGYYKSNMLRNAEVLLKQMTKAGLITDPSNEIVVSPETEEKDRENTELRDLLVQEINAGKQEKVPSMLYELNSSLYYFCKARMQGDAIITYRKIPKMKIPPTVQSFWILIDMYSSLGMYREITIVWGDIKRNIASKNLKVTQDLLEKLVVNFLRGGYFERVMEVISYMKENDMINDLTMYKNEYLKLHKNLYRTLKASDAVTEAQAQRLEHVKAFRKLVGIASFQFHIIDNKVMLKNSIGNSLQRKRNRGLYLNLVMDSPPKKNPMDDLFGEDSDNDSPSSRSKSSSSGNASSSSSSSSSSSSSSSAAGGDGDGDCGGAESGSASDSGSGSSGGKEEHGDDRVESYRSNDNGESGIYPYDEEEEVEEDEKDLFGSDNEEYTKTPAISTYSIPVLPAGWSNDNHGGRGGMGRGRWSNGRGGPGLLPRPGPYPGRGGNRGGFGGRYQNYQRDERFVSELKLSKSKETLARKHTNFQEPCELTSYSRVEGGEVFYDERGLRLFKRRVAEEIGADLNQGYDTFIEKIDLGSEGFGDLLDSIRAKNISLENIHFVTFRNNLNKILGAAYNRHEAWEMGVHKRNGTIYLDVHKLPERPQSDLDRRRCYWGYCFESLATEDPGRDYGEEIHHVDANVEFCSVVKTKLGAHRVLMGAEMDCCDETEEGKRIYIELKTSREIQSFVAGVPYIVVGYRYEFANHSEDKLKKPLAIQVNITIFPFSSWCRDDGGKLVRTEMLKTKDIAHRARLKNFWQGGVCLAFADEVLCWLYGTVKENEDYILQFVHPFMRLELLQAQSCPDAITNHVHLLQHPASPPPQ >fgenesh1_pg.C_scaffold_7002172 pep chromosome:v.1.0:7:11410819:11411964:1 gene:fgenesh1_pg.C_scaffold_7002172 transcript:fgenesh1_pg.C_scaffold_7002172 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSKKNSNRLSVIPNKWSELCQDLLRSVFERLSFTNLTRAKSVCRSWNFASRDCVPNLIPWLILFPLEEKAINSDNNSCLLFVPDDKDKIYKTRDLGVDFAHSLCLATYGSWLLMFEFDHLRNPYILNPLTCNRSACFWIDDITKDYLVVWFIYNNIVFTKKGYDSWRFVQTSEIRMYDQIVYNHKDHKVYTYVPYGHVYEWDFSGDVPPRDGYHLPASFVDREIDQEDRFYREKYLNYKVKIATTLYGEVVLVEIMHRLTSWQFRINELNPLTKRWVKIDTLGDEALLLDMGFTLVAKDIPGIKKNSIYFSGLNHPIKDPKHIFVYDLTTHTMEPVPQCVFPSMFFSDARWFVPGFN >fgenesh1_pg.C_scaffold_7002215 pep chromosome:v.1.0:7:11639182:11662177:1 gene:fgenesh1_pg.C_scaffold_7002215 transcript:fgenesh1_pg.C_scaffold_7002215 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAYLLQRYLGNYVRGLSKEALKISVWQGDVELKNMQLKPEALNALKLPVRVKAGFLGSVKLKVPWTRLGQEPVVVYLDRIFVLAEPATDVEGRSEDSIQEAKRNLIREMETKLVERARRLQTEMNKSWMGSVINTIVGNLKLSISNIHIRYEDLESLCYKCSNPGHPFSAGVTLEKLSAVTIDESGKETFITGGTLDSIQKSVELDRLAFYLDSDMSPWHIDKPWEVLTPFEWDQIFRFGTKDGKPADCLTRKHFYILQPVSGNAKYSKSQPNESSNTAQPLQKAYVNLDDVTLCLSKGGYRDVMKLADNFAAFNQRLKYAHYRPSVPVKIDAKSWWQYAYRVVSEQIKIASGRMSWEHVLKYTSLRKRYITQYASLLKSDISRIVVDDDEEIEALDRELDTDVILQWRMLAHKFVERSVQAENYSKKQQAKSSWWPFGGKSEVSEGEGESIQFTDEDWERLNKVIGYKEGDEQSIINNAKPDALHTFLEVQMKRSASKLYDGEKECLAELSCEGLNCSVKLFPETKIADIKLGRYRLSSPSGLLAESAPASHSVLAVFCYKPFDAKVDWSLVAKASPCYMTYLKDSIDGIVNFFESSTAVSQTIALETAAAVQSTIDEVRRTAQEGMNRALKDHSRFLLDLDIAAPKITIPTEFRPDNHRSTKLLLDLGNLVIRSQDDYKHELTEEMDMYLQFDLVLSDVSASLVDGDYSWKQLSSKRSSSSGRESSVTFLPVIDKCGVLLKLQQIRRPNPSYPSTRLAVRLPSLGFHFSPARYHRLMQVVQIFQTKDDESSQILRPWEEADFEGWLSILSWKGREATWQRRYLCLVGPFIYVLESPGSKSYKKYTSLRGKHIYKVPVELAGGVEHVLSIRNASRISDKVMEDVNALILMFDSEDSRKTWHSRLQGAVYRASGSAPIAGLSDTSSDSEESETEQKDVFDLSNLESVYVTGVLDELKICFSYGHQDDASFMAVLLARESKLFEFRALGGKVEVSMRGSDMFIGTVLKSLEIEDLVSHSGLNESCYLARSFIQSSEMLPSFEDAESRSPERIDPTSSEGEEKFYEAPEILVDSIDYTSLRTPSFSRIDGLLPVDNKNITKPSNETTESLDSFVKAQIVIYHQTSPQYKNIDNQVMVTLATLSFFCRRPTILAILEFVNAINVEDPSCESFEDNSPVAGEHTSPRRDGFEDSRDAAVKGLLGKGKSRIIFNLALNMARAQIFLMNENGTKFATLSQDNLLTDIKVFPNSFSITASLGNLRISDDSLPDNHMYFWICDMRDPGGTSFVELAFTSFSIIDEDHEGFDYCLSGQLSEVRIVYLNRFIQEVAEYFMGLVPSDSKGVVKMKDQITDSEKWFTTSEIEGSPALKLDLSLKKPIIVMPRHTDSPDYLKLDIVHITVDNTFQWFAGDKNELNAVHVETMKIMVMDINLNVGSGAEIGESIIQDVKGVSVTINRSLRDLLHQIPSIEVSIGIDELRAALSNREYQILTECAQSNISELPHTVPPLSGDVVTSSRNLHETLTSEDTNAAQTEKTDAWISMKVSVVINLVELCLYAGTARDAPLAAVQISGGWLLYKSNTHDEGFLTATLKGFSVIDNREGTEKEFRLAVGRPADLDFEYSHSVTDEDQGLTQSHVTTGSGIGPFPSMLTLDAQFGQLSTFVSLSIRRPQLLVALDFLLAVVEFFVPTIGSVLSSEEDKNLNMVDAIVMDKSIYKQQTAEAFLSPLGPLIAEDEKFDNFVYDGNGGTLYLRDRNGGILSSPSTEPIIYVGSGKRLQFRNVVFKNGQVLDSCISLGACSSYSVSREDGVELEVYHKAPQQDFERKEDPVSQSPSTTTERSTEMIIEFQAIGPELTFYNTSKDVVKTPLLSNKLLHAQLDAYGRVVIKNDEIEMSAHTLGLTMESNGVKILEPFDTSVKYSSVSGKTNIRLSVSNIFMNFSFSILRLFIAVEEDILSFLRMTSRKMTVVCSEFDKIGTIRNPYTDQIYAFWRPHPPPGFASLGDYLTPLDKPPTKGVLVVNTNLMRVKRPLSFKLIWSPLASGGLGGSSTGDKDERDSSCSIWFPEAPKGYVALSCVASSGSTPPSLASAFCILASSVSPCSLRDCMAISSTDMYAALNLSLLLLRVAHFLYTWTQCMHKLKYMGISQSSLAFWRVDNSVGSFLPADPSTLNLLGRPYELRHILFGSTGVLPKESSYVDVRTTPDNNIQPTRPQPQPLNSVNSGHRFEAVATFELIWWNRGSGSQKKVSIWRPIVSEGMAYFGDIAVSGYEPPNSCVVFHDTSDQEILKAAVDFQLVGRVKKHRGVESISFWMPQAPPGFVSLGCVACKGSPKPYDFTKLRCARSDMVAGDHFAEESLWDTSDVWQRVEPFSIWSIGNELKTFIVRSGLKKPPRRFALMLADQGLPGGTDNMVIHAEIGTFSAALFDDYGGLMVPLVNISLNNISFGLLGKTDYTNSTINFSLAARSYNDKYEAWEPLIEPADGFLRYQFNPRSFGAVSQLRFTSTKDLNVNVSVSNANTIIQAYSSWNSLSNIHGYHKERGALPLVDNGKSVIEVHQKKNYFIIPQNKLGQDIYIRATEIKGFKDIVKMPSGDVRPVKVPVLTNMLDSHLRGELCRNPRIMITVIVMDAQLPRTCGLSSHQYTAVIRLSPNQTSPVESVLRQQSARTCGSVSNMLSSELEVVDWNEIFFFRIDSLDDFILELIVTDVGKGAPVGNFSAPLKQIAEYMDNLYQHNYANDLVWLDLSTTETMDVAIVVKKMILMMCYQYMHVKDFSTFWVHRLRKSMNQGDQRKNCGKIRCAVLLPAISKVDQSKSFKEKKSGFLQVSPSIEGPWTTVRLNYAAPAACWRLGNDVVASEVSMQDGNRYVNVRSLVSVENNTDFLLDLCLQSKVNSIPDIPIGLLKPGDTLPVPLSGLTQSVSYVLKLKCVFPVGSDEYSWSSVVSRPGGPEVSCESESEICISALTESEHLLFCTQINSTSSGDNQKLWFCLKTQATEIAKDIRSDPIQDWTLVVKSPFSIANCLPFGAEYSVLEAQASGHFICRCRGVFSSGETVKVYSVDIRNPLYFSLLPQRGWLPMHEAVLISHPNGVPAKTIGLRSSATGRIAQVILEQNYDEQQIVLSKMIRVYAPFWFLIARCPSLTLRLLDLSGNKQTRKVGLPFRSKKNDEVVLEEVTEEEIYEGHTIASTLNFKLLGLSVSISQLGNQQHGPAKDLSALGDMDGSLDVDAYDPDGNCMRLFLSTKPCTYQSVPTKIISVRPFMTFTNRIGEDMYIKLNSADEPKVLHAYDSRVSFVFQPSGRDELQVRLRDTEWSFPVQVTREDTIVIVLKSQNGAQRYVKAEIRGFEEGSRFIVVFRLGPSNGPMRVENRSTVKSISVRQSGFGEDSWVFLEPLSTANFAWEDPYGQKFLDAKVESDHRSGVFKLDMEKGVVDSELCRELEVNFDVQEIGNIKIARFTDGDSNSQSPNEIISLTSVGNHGYSTPQTPTEHKTTTLEFIIEMGLVGISLVDHMPKELSYFYLERVFVSYSTGYDEGRTSRFKIILGHLQIDNQLPLTLMPVLLAPDNTGDSRQPVLKMTITMCNEETDGIQVYPYVYVRVTDNTWRLNIHEPIIWASADFYNKLQMDRLPKSSSVAQVDPEIHINLIDVSEVRLKVSLETAPAQRPHGILGVWSPILSAVGNAFKIQVHLRRVMHRDRFIRKSSIVPAIGNRIWRDLIHNPLHLIFSVDVLGMTSSTLASLSKGFAELSTDGQFMQLRAKQVWSRRITGVGDAIVQGTEALAQGVAFGVSGVVTKPVESARQNGILGFAHGVGRAFLGFIVQPVSGALDFFSLTVDGIGASCTRCLEVLSNRTALERIRNPRAVHADGILREYDEKEAIGQMLLHLAEASRHFGCTEIFREPSKFALTDCYEEHFLVPYKRIVMVTNKRVVLLQCSDLDKMDKKPSKIMWDVPWEELMALELAKAGSQRPSHLILHLKSFRKSESFAQVIKCSVPEELDGLEPQAVRICSVVRKMWKAYQSNMKNLVLKVPSSQRHVYFAWNEADGRDSKTYKNKAIIKSRELSSSSSVSDDRKLVKHSINFSKIWSSERESKGRCSLCKKQDSEDGGVCTIWRPSCPEGFVSVGDVAHVGSHPPNVAAVYNNTNGVFALPVGYDLVWRNCLDDYISPVSIWHPRAPEGFVSPGCVAVAGFIEPELNTVYCMPTSLAEQTEFEEQKVWSAPDSYPWACHIYQVRSDALHFMALRQTKEDSDWKAIRVRDDYRSIESESGTNLRLE >fgenesh1_pg.C_scaffold_7002243 pep chromosome:v.1.0:7:11841353:11842166:-1 gene:fgenesh1_pg.C_scaffold_7002243 transcript:fgenesh1_pg.C_scaffold_7002243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCC0] MTKRELGLFHNRLQKPLIALTIIQGTLQPSLLTTIAGQAPVIRFLPESTGTDIDTLPSRCNGRRKPHLWLNFARKILFLQESAARKQRVVQGEANNLMSTTADQIIEAAVASAPESSHHLLSNPELNVFSRLSHSEDSLPPALKKGKGVKRGRGRPPLAKSTWLIKEKYWSKAASKTGFFSGGFQ >fgenesh1_pg.C_scaffold_7002262 pep chromosome:v.1.0:7:12006681:12008850:-1 gene:fgenesh1_pg.C_scaffold_7002262 transcript:fgenesh1_pg.C_scaffold_7002262 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPSDAEVANKEEEEEVRNSEDVDGEVEVTNSKEGDGEAEVSNSIDADEEERHLDDERGERGSADEEVERNIDAEGRERGSDEEKEEGTNAANAKLCARVLESSIVDPLLDDGSFLYIVISFLVTGLTRLRSSVAARTMSSQSSQRVFQLRQDPLTGNSEWIVIEDNDQPGTSTDGLLATTSYLDMLNDSRRNRAYRLAIEKTITETKSYDKKSGMQSKSYYIIKN >fgenesh1_pg.C_scaffold_7002275 pep chromosome:v.1.0:7:12056347:12057390:1 gene:fgenesh1_pg.C_scaffold_7002275 transcript:fgenesh1_pg.C_scaffold_7002275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9T0] MGGHGGLNILPQKRWNVYNFDNREKVRKDEEAAAREEQIKREEARKRDAESRLEVLRNVRGLAPLKRASPEAEKGKDEAVVVAAAVVKSTAVVVESVEPEEPKTGHINLFEGIRIFDPIEIPKNDKPAEEEDQRRKKMRKEAAATARASAKDAAARAGDPDEEKYRLGYGVAGKGVKRPWYLEKRNGDDDCVRGEDDDGGYRGDEAKKKKMSGKKSLKELREERLKRERVEKERERALFMKQSQRDGGGDQITRMTKKMKEDLMQRSKGCFTF >fgenesh1_pg.C_scaffold_7002295 pep chromosome:v.1.0:7:12164309:12166861:1 gene:fgenesh1_pg.C_scaffold_7002295 transcript:fgenesh1_pg.C_scaffold_7002295 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKASDRNNVHLSSDHERCPVEEVALVVPETDDPSIPVMTFRAWFLGLTSCVLLIFLNTFFTYRTQPLTISAILMQIAVLPIGKFMARTLPTTSHNLLGWNFSLNPGPFNIKEHVIITIFANCGVSYGGGDAYSIGAITVMKAYYKQSLSFICGLFIVLTTQILGYGWAGILRRYLVDPVDMWWPSNLAQVSLFRALHEKENKSKGLTRMQFFLVALGASFLYYALPGYLFPILTFFSWVCWAWPNSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWSSILNVGVGFIMFIYIVVPVCYWKFNTFDARKFPIFSNQLFTTSGQKYDTTKILTPQFDLDIGAYNSYGKLYLSPLFALSIGSGFARFTATLTHVALFNGRDIWRQTWSAVNTTKLDIHGKLMQSYKKVPEWWFYVLLAGSVAMSLLMSFVWKESVQLPWWGMLFAFALAFIVTLPIGVIQATTNQQPGYDIIGQFIIGYILPGKPIANLIFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLGVAWWMLESIQDICDIEGDHPNSPWTCPKYRVTFDASVIWGLIGPRRLFGPGGMYRNLVWLFLIGAVLPVPVWALSKIFPNKKWIPLINIPVISYGFAGMPPATPTNIASWLVTGTIFNYFVFNYHKRWWQKYNYVLSAALDAGTAFMGVLLFFALQNAGHDLKWWGTEVDHCPLASCPTAPGIKAKGCPVF >fgenesh1_pg.C_scaffold_7002299 pep chromosome:v.1.0:7:12203405:12204583:1 gene:fgenesh1_pg.C_scaffold_7002299 transcript:fgenesh1_pg.C_scaffold_7002299 gene_biotype:protein_coding transcript_biotype:protein_coding METASRVELIVKGNLPEVPSQYIQPPEARPHLHYSGDAASIPTVDLSSSNSAREAIGDACRNWGAFHVINHGVPIHLLDRMRSLGLSFFQDSPMEEKLRYACDSTSAASEGYGSRMLLGAKDDVVLDWRDYFDHHTFPLSRRNPSRWPIHPPDYRQVVGEYGDEMKKLAQMLLELISESLGLPGSSIEEAVGEIYQNITVSYYPPCPQPELTLGLQSHSDMGAITLLIQDDVGGLQLYKDAQWLTVPPNSHAILVLIADQTEIITNGLYKSAQHRAVTNANQARLSVATFHDPSKTARIAPVSQLSPPSYKEVVYGQYVSSWYSKGPEGKRNLDALLY >fgenesh1_pg.C_scaffold_7002306 pep chromosome:v.1.0:7:12241321:12242237:-1 gene:fgenesh1_pg.C_scaffold_7002306 transcript:fgenesh1_pg.C_scaffold_7002306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9X4] MRDFDRRFLTWLINDLKWNSSAWIYGFRLVFRVIGLISERFDDLLRGLRKDFRVNWIWSKEAAEVLRDFQIGFKVTRLDLAPRRDLKVYGYAFVYLNNGLIDLFLFAMADSRVLLTEAENKDQWELRFVVLKISGFTIDVVLKFSGFMSRWISVFSGFWNGLIRLFLRLVSGFNLIVSLRLIFGSKWISIRLISVFRVDLVDLGLITELSDGINLGFDLEFLSTRFIRLSQSDFQMVPVSFNFAILVLDVETKIC >fgenesh1_pg.C_scaffold_7002309 pep chromosome:v.1.0:7:12265257:12266606:1 gene:fgenesh1_pg.C_scaffold_7002309 transcript:fgenesh1_pg.C_scaffold_7002309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9X7] MGKVSKRLGFLGLMLVVLIIGVAECRRLEKDTLGGGGLGGGGGAGGGFGGGKGGGGGVGGGAGGGAGGGFGGGAGGGKGGGLGGGGGQGGGFGGGAGGGLGGGAGSGHGGGAGGGFGGGAGGDHGGGDGGGFGGGAGGGHGGGAGGGFGGGAGGGGGHGSGAGGGFGGGAGGGGGHGTVLVEDLAEVQEVEEAMDCHRLSQDGKLKIGDEQLMVFR >fgenesh1_pg.C_scaffold_7002311 pep chromosome:v.1.0:7:12274164:12275764:-1 gene:fgenesh1_pg.C_scaffold_7002311 transcript:fgenesh1_pg.C_scaffold_7002311 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVFLFQVIALSVLFFSEVCHAGKNIPANFVFGDSLVDAGNNNYLATLSKANYDPNGIDFGSPTGRFTNGRTIVDIVYQALGSDELTPPYLAPTTRGYLILNGVNYASGGSGILNSTGKIFGERINVDAQLDNFATTRRDIISWIGESEAAKLFRSAIFSVTTGSNDLINNYFTPVVSTVERKVTSPEVFVDTMISRFRLQLTRLYQFGARKIVVINIGPIGCIPFERETDPTAGDECSVEPNEVAQMYNIKLKTLVEDLNKNLQGSRFVYADVFRIVYDILQNYSSYGFESEKIPCCSLLGKVGGLIPCGPSSKVCMDRSKYVFWDPYHPTEAANVIIARRLLSGDTSDIFPINIWQLANLKINA >fgenesh1_pg.C_scaffold_7002312 pep chromosome:v.1.0:7:12277229:12279706:-1 gene:fgenesh1_pg.C_scaffold_7002312 transcript:fgenesh1_pg.C_scaffold_7002312 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKKTNKSKREEKLSEKNKKKDVPEKKLEAEELSVTNGGESEQPKVVMTGKDVEEAKYATLKTFAESNLPENVLEKPSPIQSHKWPVLLDGRDLIGIAKTGSGKTLASGILAIMHVLKKNKKIGGGSKNVNPTCLVLSPTRELDVQISDVLSEAGEPCGVKSICVYGGSSKRRQISAIRFGVDIVIGMHGRLRDLIESNELRPSKVSFVVLDEAYQMLDMSFEEPVRFILSKTNKVREMVMFSATWPLDVHKLAQEFMDPNPIKVVIGSVDLAANHDVTQIIEVLDERARKQRLVALLEKYHKSQKNRVLVLALQQGEAKGEHYADKSTFHGKEEKDYQGRSWIKAMRKEILELGYLDDGYNYLEHLREIKNPGGGSTFYADSKFEVDHVESSQEKGKDKAEPTREVMVDKEKKAKAGSDKEKEGEEEKEGLKLEDETEEEKKKREEKEESFENLCKTIKEILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKTEALRDSSMSGYMSSKKTMEINPDNGIMEELRKRAEVDKNGKSVKDLVMKLFVTALLTSGFSLDEPNTFGDLLFIFKVKKLTLTDGVDGDHFSVYKPRHAHAGSCNWFILLVQANTFADVPWFYYVENTSKYFMVALDRFIIATSMREKTMWTF >fgenesh1_pg.C_scaffold_7002321 pep chromosome:v.1.0:7:12351949:12356828:-1 gene:fgenesh1_pg.C_scaffold_7002321 transcript:fgenesh1_pg.C_scaffold_7002321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator 5 [Source:UniProtKB/TrEMBL;Acc:D7MA72] MAGVDSGRLIGSEIHGFHTLQDLDIQTMLDEAYSRWLRPNEIHALLCNHKFFTINVKPVNLPKSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTIKEAHEHLKVGNEERIHVYYAHGEDTPTFVRRCYWLLDKSQEHIVLVHYRETHEVQAAPATPGNSYSSSITDHLSPKIVAEDTSSGVHNACNTGFEVRSNSLGSRNHEIRLHEINTLDWDELLVPADISNQSHQTEEDMLYFTEQLQTAPRGSAKQGNHLAGYNGSVDIPSFPGLEDPVYQNNNSCGAGEFSSQHSHCGVDPNLQRRDSIATGTDQPGDALLNNGYGSQDSFGRWVNNFISDSPGSVDDPSLEAVYTPGQDSSTPPTVFPSHSDIPEQVFNITDVSPAWAYSTEKTKILVTGFFHDSFQHFGRSNLFCICGELRVTAEFLQMGVYRCFLPPQSPGVVNLYLSVDGNKPISQSFSFEHRSVQFIEKAIPQDDQLYKWEEFEFQVRLAHLLFTSSNKISILTSKISPDNLLEAKKLASRTSHFLNSWAYLMKSIQANEVPFDQARDHLFELTLKNRLKEWLLEKVIENRNTKEYDSKGLGVIHLCAVLGYTWSILLFSWANISLDFRDKQGWTALHWAAYYGREKMVAALLSAGARPNLVTDPTKEFLGGCTAADLAQQKGYDGLAAFLAEKCLLAQFKDMELAGNISGKLETIKAEKSSNPGNANEEEQSLKDTLAAYRTAAEAAARIQGAFRVHELKVRSSAVRFASKEEEAKNIIAAMKIQHAFRNFEVRRKIAAAARIQYRFQTWKMRREFLNMRNKAIRIQAAFRGFQVRRQYQKITWSVGVLEKAILRWRLKRKGFRGLQVSQPEEKEGSEAVEDFYKTSQKQAEDRLERSVVKVQAMFRSKKAQQDYRRMKLAHEEAQLEYDGMKELEQMAMEES >fgenesh1_pg.C_scaffold_7002322 pep chromosome:v.1.0:7:12375433:12377150:1 gene:fgenesh1_pg.C_scaffold_7002322 transcript:fgenesh1_pg.C_scaffold_7002322 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDCNRADDFLFSQQQESTSGANKYEGLVPKKKPLISKDSKRAFFDSADWALLKQEASIDQRPIAAIEKLRPKFQRTPRKELPPRRPTCATGHDNLTESSF >fgenesh1_pg.C_scaffold_7002326 pep chromosome:v.1.0:7:12393205:12399964:-1 gene:fgenesh1_pg.C_scaffold_7002326 transcript:fgenesh1_pg.C_scaffold_7002326 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDENEGVSASSKHLVFAYYVTGHGFGHATRVVEVVRHLIAAGHDVHVVTGAPDFVFTSEIQSPRLKIRKVLLDCGAVQADALTVDRLASLEKYVETAVVPRAEILETEVEWLHSIKADFVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRKEVRKELGIGEDVNVVILNFGGQPSGWNLKETSLPTGWLCLVCGASKTQELPPNFIKLAKDAYTPDIIAASDCMLGKIGYGTVSEALSYKVPFVFVRRDYFNEEPFLRNMLEFYQCGVEMIRRDLLMGQWKPYLERAVSLKPCYEGGINGGEIAAHILQETAIGRHCASDKLSGARRLRDAIILGYQLQRVPGRDIAIPEWYSRAENEIGQSAGSSPTVQANENNSLVESSTDDFDILQGDVQGLSDTWTFLKSLAMLDAIHDSQKNVEKKTMRERKAAGGLFNWEEEIFVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRNLPGKHRLWKHAQARQQAKGQVPTPVLQIVSYGSEISNRAPTFDMDLSDFMDGDEPISYEKARKFFAQDPAQKWAAYVAGTILVLMIELGVRFEDSISLLVSSAVPEGKGVSSSAAVEVASMSAIAAAHGLNISPRDLAILCQKVENHIVGAPCGVMDQMTSSCGEANKLLAMICQPAEVVGLVEIPNHVRFWGIDSGIRHSVGGADYRSVRVGAYMGRKMIKSMASSILSQAALSANGGNPEELEDEGIDLLEAEASLDYLCNLSPHRYEARYADKLPNIMLGQTFIEEYSDHDDPVTVIDQKRSYSVKAPARHPIYENFRVKTFKALLTSATSDEQLTALGGLLYQCHYSYSACGLGSDGTNRLVQLVQGMQHNKSNSEDGTLYGAKITGGGSGGTVCVIGRNSLRSSQQILEIQQRYKTATGYLPLIFEGSSPGAGKFGYLRIRRRISL >fgenesh1_pg.C_scaffold_7002353 pep chromosome:v.1.0:7:12604433:12605142:-1 gene:fgenesh1_pg.C_scaffold_7002353 transcript:fgenesh1_pg.C_scaffold_7002353 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYGLTILNALGAYYRPIPLAPAAIKLEAKGGDGGASWDDGGNFEGVRKIFIGLSENAIAFVKFMYYKDARMVYGDDHGNKTLFDDKEFELNYPVEYVTSVEGSYENKSGVITMLRFKTNNQTFPDIGIGTTSSFELVDNKIVGLYWKIK >fgenesh1_pg.C_scaffold_7002358 pep chromosome:v.1.0:7:12630410:12633901:1 gene:fgenesh1_pg.C_scaffold_7002358 transcript:fgenesh1_pg.C_scaffold_7002358 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKPGIVSILTDSEKPRVSISAVRAVAAARADSFLLTRYVPIRAPVTIDEDDDTGSVTDEHNSASSEASSYSNGVDFETDEDETEELEDVILGGVRVDRLLGEEFGGEIGDESEFSGGSVIYRPFAGDVDEETSVNYSSLGEESENSDEVEGVSESEVSEGERGSMKAKVVIPRAVLSLDDNEFDEILGSDDEEGVLLRVTKALGEEETEIEDRGLQFGGKGVVDEPECSGSFISDVDSNEEEEVRMNYSDECDDGLVSFGNIEESSLGVVPPGGFNDFKAETEGNSIEASMEVGKSFKQLLETGVVQRTIIKEDGSGSNNEFDALMILNDAERVEDSAVELAEMVMTDKPEYVGSNTGELVSTEEDMVQEKSSDGGLVSFASSLGVATGECNEFLVESEGNAVDVDKGLDETVTVSSLVEQGVVVGQGSTSKESNNRTDVGSVCEADELMILGDGSIGSESRDSRDEDTGNMIGEAKEHCGNDCGAVCELEKTECEGGPEVVMSSESVQLTPPPDSETTRNLEVAGGIDVAVSGCGSSDRKAEQESESEGNQESDDTDRKLSLPVEDRASSLRNSFETANETVENGKQINKQVIFQSDSEPNQISVGIEETSLPSVKEIERTMPENSSVIGYDIMENDTRMAPLIHNHTFVKLDEYEGTCDTRERLSESSFQNYSEVLCSDHSVQLISEKVKERVEKTQLLKEKLQRIIRRTDLCSENLTVTEVESKLSIAGGEHQTTLGLDNVSDRTKIMLPEHEFPDDLDFSINVLVIGKTGVGKSATINSIFGETKSLVGAFGVTTKSANYVVGNVGGILIRILDTPGLMSSATEERFNQKVLMSIKKSMRKFPVDVILYIDRLDEHPDIHLLRIITNSLGSSVWRNAIVVLTHAASNIPDSSSYKDFIAQRCSLMHQSIRQAVPELSCVGQSKMPGIVLAENNMSSFSTNKRSESTCPDWRLNLLILCCSVKIRSKAGLLQKQITVVEKADVFGNQLHSFTLFCSLWNVLLLGANSGHTSHSHDDLDEKKRQLLDSYPKIIWDEQSQECLEQRESQEPEDQERRDEKGKTNRGLEEVTVRGRMRRGRLGFQATKRFGIYLDTSDLHTGFSIGSRGCRKNEQEEGRILVRMRGSMSVLGLVPMLISVFTSVYGGKNI >fgenesh1_pg.C_scaffold_7002364 pep chromosome:v.1.0:7:12666967:12668679:1 gene:fgenesh1_pg.C_scaffold_7002364 transcript:fgenesh1_pg.C_scaffold_7002364 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDIVIVGGGIAGLATSLALHRKGIKSIVLERAESVRSEGAAFGIQTNGWLALQQLGVADKLRLNSLPIHQIRDVLIEKGIKQRESVGPASYGEVRGVLRNDLVRALAHALPLGTLRLGCHILSVKLDETTSFPIVHVKNGEAIKAKARLATVLIGCDGSNSVVSRFLGLNPTKDLGSRAVRGFTNYPDDHGFRQEFIRIKMDNVVSGRIPITHKLVFWFVVLLNCPQDSSFLRNQADIARLTLASVHEFSEEWKEMVKNCDMDSLYINRLRYRAPWDVLSGKFRCGTVTVAGDSMHLMGPFIGQGCSAALEDGVVLARCLWRKLSLGQDGMNNVSYSSSRMQIEEAIDEYIRERRGRLVGLSTQTYLTGNLIKASSPVTKFLLVVLLMILFRDQIGHTRYDCGRL >fgenesh1_pg.C_scaffold_7002368 pep chromosome:v.1.0:7:12678018:12678821:1 gene:fgenesh1_pg.C_scaffold_7002368 transcript:fgenesh1_pg.C_scaffold_7002368 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPMIKVMLLLFLVLSIRADEELMKKECSQTGNQNLCLFCLESDPISYQTDRAGFVSITIHCLESQLDILINDVTSLSMKGEGEEIENVLEDCNVNFSIAKLQLNEAKDKLVTLNNEKAFGLVKTSVSYLQTCRGNLQKIKFNESHDVYDDIDVYVDLSSVAKTLIHRLHK >fgenesh1_pg.C_scaffold_7002387 pep chromosome:v.1.0:7:12782674:12783663:-1 gene:fgenesh1_pg.C_scaffold_7002387 transcript:fgenesh1_pg.C_scaffold_7002387 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNQNVSDMATDIESAAVFIAENGVEFEKKIMDSFPGSIKTLIERTALFVAKGLKAEEMMMECNVYNPSYNFMRRSDPFHAFYKQKLNEYRSQVGDDDATDSEVDDAAEQPRTRPQFIELPDFLLFCNPPGMSLKELDTIKLTAHFVSWYGAAFWLGLAKRKIPELQFMDPDDSRFKCFSEFVGAYSKVLKPPAGLKQELRNSAAYTATIIDAFLQRLKWDPVQHYEWLQRGVKAMLDWHASVTKDLTNKDQNPQMQQSPPRIHKCSRIVLLILPPLLMLLVHV >fgenesh1_pg.C_scaffold_7002408 pep chromosome:v.1.0:7:12924689:12927125:-1 gene:fgenesh1_pg.C_scaffold_7002408 transcript:fgenesh1_pg.C_scaffold_7002408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroperoxide lyase [Source:UniProtKB/TrEMBL;Acc:D7MAH9] MAAASPRSPPSTPLTSQQPPSPPSQLPLRTMPGSYGWPVVGPLSDRLDYFWFQGPDKFFRTRAEKYKSTVFRTNIPPTFPFFGDVNPNIVAVLDVKSFSHLFDMDLVDKRDVLIGDFRPSLEFYGGVRVGVYLDTTEPKHAKIKSFAMEILKRSSKVWLQELRSNLNTFWGTIESEVTKNGAASYIFPLQRCIFSFLCASLAGADVSVSPDIAENGWKTFNTWLALQVIPTTKVGIVPQPLEEIFLHTWPYPSLLVAGNYKKLCNFIDENAVDCLRLGQEEFGLTRDETIQNLLFVLGFNAYGGFSVFLPYLIGKISGDNSGLQERIRSEVRKVCGSGSDLNFKTVNEMELVKSVVYETLRFNPPVPLQFARARDDFQISSHDAVFEVKKGELLCGYQPLVMRDANVFDEPEEFKPDRFVGETGSELLNYLYWSNGPQTGTPSASNKQCAAKDMVTLTASLLIADLFLRYDSVTGDSGSIKAVVKAK >fgenesh1_pg.C_scaffold_7002415 pep chromosome:v.1.0:7:13003366:13008253:1 gene:fgenesh1_pg.C_scaffold_7002415 transcript:fgenesh1_pg.C_scaffold_7002415 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKSKKSRVKDEKVSFYKLFSFADRNDVVLMTVGTISAVANGLTQPLLTLLFGQLINAFGSSEIFQEVSKINEFESLTIYIDLVFAIHYCFLCYFYRGTNIQIAVKILYLAVYACVFSFLQVSCWIVTGERQSSRIRNLYLKTILRQDIEFFDTETNTGEVIGRMSGDTILIQEAMGEKVGKFIQLISTFIGGFVVAFIRGWELTLVLMACIPLIVAVGATMALTMSKMVGNRQAAYGEAGNVVEQAVGAIRTVAAFTGEKQAIEKYNKKLEIAYKTTVKQGLVSGLGLGAMLFVIFSSYGFAVWYGAKLIMEKGYNGGQVMNVIFSVLTGGMSLGETSPCLNAFASGQAATFKMFKTIKRNPKIDAYDATGTHEEMIQDPEGAYSQLVRLQEGSKEGTRIKEPESYDIDMSVGQTGSYRLSSTVSKNTMRSRLNDEHHEGSSYENKTAEKKHEKVSLRRLAHLNKAEIPVLLLGSVAAMVHGTMFPVFGYLLSSSINMFFEPADKLKKDARFWTLIYIILGLVNLVAVPIQNYFFGIAGGKLIKRIRWMTFDKVLHQEISWFDNTSNSSGAIGARLSTDASTVRSLVGDALAMIVGSITNVTAGLVIAFVANWMLALIVLAISPIIFIQGYLQTKFLSGFSEDAKMKYEEASQIANDAVSSIRTVASFCVEKKVMNLYEKKCEGPKKQGVRLGIVSGLGYGFSFFALYCINALCFFIGSLLIQHGKATFREFFIVFFALTVTAIGISQTSAMAPDMTKAKDSAASIFDILDSKPKIDSSSDEGTTLEIVKGDIEFQHVSFRYPTRPDVNIFLDLCLTIPSGKVTMS >fgenesh1_pg.C_scaffold_7002418 pep chromosome:v.1.0:7:13034402:13036316:-1 gene:fgenesh1_pg.C_scaffold_7002418 transcript:fgenesh1_pg.C_scaffold_7002418 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVYELLTVVVSLIVVKLFHWIYQWRNPTSNGKLPPGSMGYPIIGETFEFMKPHDVLQFPTFVKERVLRYGPVFRTSLFGAKAIISMDLEMNVEIAKANHVPGVTKSIARLFGKNNLFIQSKQSHKHVRNLTFQLLGPQCLKLRMVEEVDLLARTYMEEGARNGCLDVKETSSKILIECLAKKVMGEMEPEAAKELALCWRYFPRDWFQFSWNVPGAGVYRMMKARKRMMKLIKEMVLKKRASGEEFGEFFKIIFGEMEGGAEKMSLTKQHQSPGILAATVKLISDHPKVVEELNKEHEGIVRGKTEKEAGLTWEDYKSMTFTHKVINESLRITSTAPTVLRITDHDFQVGDYTIPAGWIFMGYPNVHFSPKKYDDPLVFNPWRWKREDLSSILSKTYIPFGAGSRLCVGAEFAKLQMAIFIHHMFRYRFIFITY >fgenesh1_pg.C_scaffold_7002421 pep chromosome:v.1.0:7:13068484:13070170:-1 gene:fgenesh1_pg.C_scaffold_7002421 transcript:fgenesh1_pg.C_scaffold_7002421 gene_biotype:protein_coding transcript_biotype:protein_coding MVGETESEAVKELGLCWNAFRSSWFQFSYNIPGTTVYRFVKEGCEAANGLDSEEESIKRRTWRFLGQLDIIFDEMEKDGAALDIDRAVNLIFTFFILSQETTPGVLGAVVKLVADHPSVMEELQVIKESLRFTSTQPTVHRIHAQDVQIGDYTLPAGWLFFGIPQVHFDEEKYGDPLTFNPWRWSLDPKTRVLRRYTLTFPAGCVVHISKDSE >fgenesh1_pg.C_scaffold_7002432 pep chromosome:v.1.0:7:13185969:13192057:-1 gene:fgenesh1_pg.C_scaffold_7002432 transcript:fgenesh1_pg.C_scaffold_7002432 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSQTDGVEFASRNTIENDDDDELRSQWVAIERSPTFERITTALFCKRDEKGKRSERRVMDVSKLEDLDRRLFIDELIRHVENDNRVLLQKIRKRIDDVGIDLPTIEVRFSDLFVEAECEVVYGKPIPTLWNAIASKLSRLMRSKQEKKISILKGVSGIIRPKRMTLLLGPPGCGKTTLLLALSGRLDPSLKTRGEVSYNGHLFSEFVPEKTSSYISQNDLHIPELSVRETLDFSGCFQGTGSRLEMMKEISRREKLKGIVPDPDIDAYMKAASIEGSKTNLQTDYILKILGLNICADTRVGDASRPGISGGQKRRLTTGEMIVGPVKTLFMDEISNGLDSSTTLQILSCLQQFARLSEGTILVSLLQPAPETFELFGDVILMGEGKIIYHGPRDFICSFFEDCGFKCPNRKSVAEFLQEVISRKDQEQYWCHRDKPYCYVSIDSFIEKFKKSDLGLQLQDKLSKTYDKSQTQKDGLCFRKYSLSNWDMLKACSRREFLLMKRNSFVYVFKSGLLIFIGFIAMTVYLQTGSTRDSLHANYLMGSLFFSLFKLLADGLPELTLTIARIAVFCKQKELYFYPAWAYAIPSAILKIPISFLESFLWTLLTYYVIGYSPEMGRFIRQLLIFFALHLSCISMFRAIAAVFRDFVLATTIGSISIVLLSVFGGFIVRKPSMPSWLEWGFWLSPLSYAEIGLTANEFYAPRWRKITSENRTLGEQVLDARGLNFGNQSYWNAFGALIGFSLFFNTVFALALTFLKTSQRSRVIVSHEKNTQSSEKDSEIASQFKNALPFEPLTFTFQDIQYFIETPQGKKLQLLSDVTGAFKPGVLTALMGVSGAGKTTLLDVLSGRKTRGDIKGQIEVGGYLKVQDTFSRVSGYCEQFDIHSPNLTVQESLEYSAWLRLPSNISSETKSAIVNEVLETIELKEIKHSIVGIPGISGLTTEQRKRLTIAVELVSNPSIIFMDEPTTGLDARAAAIVMRAVKNIAETGRTVVCTIHQPSIDIFETFDELILMKNGGKIIYYGPLGQHSNKVIEYFMSIPGVPKLKENSNPATWILDITSKSSEDKLGVDLAQIYKESNLFKENNIVIEETRCTSLGSKRLILSSRYAQTGWEQFKACLWKQHLSYWRNPSYNLTRIIFMCFTSLLCGILFWQKAKEINNQQDIFNVFGSMFTVVLFSGINNCSTVLFCVATERNVFYRERFSRMYNSWAYSLAQVLVEIPYSLFQSIVYVIIVYPMVGYHWSIFKVFWSFYSIFCSLLIFNYFGMLLVVVTPNVHVAFTLRSSFYSIVNLFAGYVMPKPNIPRWWIWMYYLSPTSWVLNGLLTSQYGDMEKEILAFGEKKKVSDFLEDYFGYRYDSLALVAVVLIAFPVLLASLFAFFIGKLNFQKK >fgenesh1_pg.C_scaffold_7002435 pep chromosome:v.1.0:7:13230599:13233264:-1 gene:fgenesh1_pg.C_scaffold_7002435 transcript:fgenesh1_pg.C_scaffold_7002435 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMFLRRMSNPLHISDGDDDFDTLFPLRPPSRRPSRIGKAAHRVPQAPDDGLGLNNSVEGIASYYNRFVSGDCSGSRSGDGLASHVDVEENGLVNCQSLEESSKVSTEYGNNGNTAVNNNDDNLEGDHAIGDPVNNVRCGVSVNSDSSRVDEDVFEFSTLDTEMGDVADKEAAHTEGDDEEVFQNIPQSYFGGNMITKTITLLLSRQELAIEDIAPKDSELIQDSQSEAICHEELSSRDASPKHGKPIQDSLIEDEGEEELQCLDASPKDRELIQDSQTEAKGDEEKQSSGDALPKDREPIEDSQTEGDNEGDEEGPRNETAPEMAVDPLQDPPVLVAAPISVWRCSISD >fgenesh1_pg.C_scaffold_7002452 pep chromosome:v.1.0:7:13386133:13387729:1 gene:fgenesh1_pg.C_scaffold_7002452 transcript:fgenesh1_pg.C_scaffold_7002452 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKLGFNLQFKELEEEVKLLKNLSHPNIVVFDELPHYWFACFLTLFGVIYECKICLKVHNNERDYLSHLHAHFQEKKFRDEMKTENTSDNDLYDSEFSEPPHLSKWFPDYVYESPMLDTCYGFEFSDLKESESIKDLVIKKETPTKIDDLVSSKIDDMTDSQAAYSELVVEDSVIDDAVIDKNRRSLFRRVAKRKPTIPTVEEELTYLKNRVSDLEDKVSYLYDVISRLIISNGNNGN >fgenesh1_pg.C_scaffold_7002465 pep chromosome:v.1.0:7:13470112:13476600:1 gene:fgenesh1_pg.C_scaffold_7002465 transcript:fgenesh1_pg.C_scaffold_7002465 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQRERKHGTQKFNELKESHHDRKEDESPVEFTETARLRDRSGGNTKKDRDRERERKRDRLNSRSQRRRGERLMMIQGNIDDGSGDDDDDTSEESVNDDEEYDDGGAMKMLPPTLTNHHHQRKSFPQTKVFRSSPSPTPPPASSPIVSSWKAADEMIGVSVPRKARSASTKRSHESWTTGGGVFASGRQLHRQISSPASLLASPSPPAPHFTNDLVRKKMTPGPKQKPLPPKSPVAVQDEIEIEIAEVLYGMMRQPQGPAKQDAAGNDSGQIGKPTANSAIAPKRKRPRIVKYEEETISTTVKGEDEVPSGLTGENLSVLDSTPSTTARESKPSLDLRSAAEKIENGIAKEEKVSPEMESPGGIRSDGDVTVTLRVKAGLPTPEMEKIEINLMSPPQKRSSPARNGDIECVVTEAKPKVTIMESENESKAHKEDLILKPEDRNPRSEAEAELQKSERNCELKLDFEKSDPENKHHVQKQLSGSDGAAQASPLPLHMSIPSWPGGFPTMGYLGGPTQGVVPTDANSLPSTTMQPPHLLFNQPRPKRCATHWYIARNINYHQQFTKMNSFWPAAAGSAPLYGSKVCNLSAELQGSVLSTSSNSVPEKSSQSLPNSSDTVQRKQILLQQALSPGAGNNILHGPTFIFPLGQQPHAIAAASVMPPNIGNTVASSSGAMAAYASAAPTMSFSYPNMPTNETQHLAILQNNGYPFPVPAHVGAPPAYRGVTGQPMPFFNGSFYSSQMVHAPHLQPQQQSGQGQQTHVPSNQNTNVSTGSSAAQKHLQNQQRRPPVNSQGFPTQKVQSQPLSFQHRQHPRENATQNSETAGEDSPSTTDSRASRSNVAYGQNFALPMQPANIGLMGPSGGGVVGTSNNHGERKPEQQGSKVGAESIQSQPYAMTLAAFNGGLNMPSMAQNHAILHGVPETARQGYHQMMAAAVAAQSAKHKMNNCGPEDGKSGSNAAANATEERKITGVNGKTKTAGVGAFSGKQDSADASASTVTGGGIIDSSARLLNLGSAQPWSSNSAPTSHQQQHMQRNQPQQQQYSNYLQMQQQYAVAAARGKGPVMSNGSGIPDHNFATAPSVGSNNPNATSGFPQNLVQSCSGSPAQSPQWKNNSPRANTMAQAQSPSMMSPSASSSLKNVQQKQQGRPHQSQISFAANSKTLPSNSPMQQGAGSANQAPSPTTSSVSKNAGGIRRTLASTSTVNTAGHGQASSSSLSSSQPSKNSQSASPAGGRNNGSSILLGNPHVTTTTPNPTSKSQHQQHLQQPQLYFSSPYMQAQHQQQQQQQQMTISPASGYYIQRHQQQQQQQAGSSTAATSATLSSCTTVTSDPAKAINMKGGGSNNNNLQNTQTAGRTTHPQQQQLIPPGFP >fgenesh1_pg.C_scaffold_7002488 pep chromosome:v.1.0:7:13596730:13598061:1 gene:fgenesh1_pg.C_scaffold_7002488 transcript:fgenesh1_pg.C_scaffold_7002488 gene_biotype:protein_coding transcript_biotype:protein_coding METMKVETIIASLFYTKNDLISREHISHKLKASLSETLTKFYPLSGRINGVTIDCNDEGAIFVDARVDNCPLSGFLRCPDFEALQQLLPLDVVDNPYVAAATWPLLLVKATYFQCGGMVIGICISHKIADATSISTFIQTWAAMARGEAGDEVAGPEFAAADFYPPANDAFKFPVDEQANKRSSITKRFVFDASKLEQLRTKAASTDVVARPTWVESVTALLWKDLVAAASLSLTTSDQKVLLQPANLRPKIPSLLPESLFGNVMFTSVVLSIGPEGEVKIEEAVGELRKKGEDLRCLIKDEDGSSSSMIGSKLANLMFANYSKMSYETHEPYTVSSWCKLPLYKAHFGWGSPVWVAGNVSPALGNLAMLIDSKDGQGIEAFVTLSQENMSSFEQNPELLAFASLNPSVLV >fgenesh1_pg.C_scaffold_7002496 pep chromosome:v.1.0:7:13702655:13704061:-1 gene:fgenesh1_pg.C_scaffold_7002496 transcript:fgenesh1_pg.C_scaffold_7002496 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSDIETGGGNELYPGMKESSELRWAFIRKVYSILSLQMLLTVGVSALVYFVRPIPEFITETHRGLAVFFVILILPFLRYVSFLHISFFFVLWPLLAFEKKHPINCIVLSIFTLSISFAVGICCSLSKGRIVLEAAILTSVMVFGLTIYTFWAVKRGHDFSFLGPFLFGALLIILAFTLLQIFHPLGKLSSMIFSGIASIVFCGYIIFDTNQLIKKLNYDEYIPAAIRLYLDVMNLFLNLLGIMSNTQ >fgenesh1_pg.C_scaffold_7002507 pep chromosome:v.1.0:7:13840760:13841751:1 gene:fgenesh1_pg.C_scaffold_7002507 transcript:fgenesh1_pg.C_scaffold_7002507 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEEEEEEVKTLVWWNISSCPIPPGYDPRQVGPRIVSALMNSKVSGPVTITAIGRLTHDPNAPDNDVLRELSSTGVALIHAEELQTDLSEWTERNPPPANILLISGPTELESLARTLYGLDIDGYTLLLSYPQRHPAPDWLWESFLSGVYKEWLWKSLLDDMDSVSAVDKKLTTRLVLQDKCSETGESPWSCSMCDFAGQSFEDFSTHLKSDNHTHNALETLKMVDVVKLNQFKAS >fgenesh1_pg.C_scaffold_7002516 pep chromosome:v.1.0:7:13950469:13954333:-1 gene:fgenesh1_pg.C_scaffold_7002516 transcript:fgenesh1_pg.C_scaffold_7002516 gene_biotype:protein_coding transcript_biotype:protein_coding MENHFVTSKLIRDSLHEVENQLELSIRQAFESLQPKLRPPFSLEIPEPQEYLELNKAIVYGVLCEPNYSKTHIKHLHALVTDGYAFFTSLLIGIVVELHVKLVDSAKIQLIWVTKEMIDVSSVGIEDLLVSLLRRIGSGDYGDQNVWLCSELVSLFLEKWDCLLEDMPLMLTSALYSFLRLLADHYRVLGVAKLENVKRLEIKFCVKMFREQLHLSMKIGRDLILLLQDLSHVSEFREIWNDLVSNHCSDIYQLKTSSRYFLLRITPEMETQLRFLLGNVKLGSHKRHQMWFLKKFLLGPEKETLLIDIVRFVCCVIHPTNEIIRSEIMPRWAIIGWLLELCRQNHHIERSVKLALFYDWLFFDERFDNIMNVEPAALLMVWSIPQYPHITHSLLEFVLHLVETYDISSRDIIVRGLTSAFREIERKGVIRSLDIFLTNPALAADLKKKLANLLTCHQVKVPVNLHQFSVPSKQTFLSSEANLKECSTKIVDIPDQKLDNSVENPAKHQIPAAMEAQLSLCLRSRHSHLRRHTNRTLGTKTERNDTRVIASHASARTESSYPLSQVYKIIKSSTNRRFTTKQIRLDFASMASNPHRGGAGGSLYGGAAPYRSREGLSTRNAAGSEEIQLRIDPMHSDLDDEITGLHGQVRQLKNIAQEIGSEAKFQRDFLDELQMTLIRAQAGVKNNIRKLNMSIIRSGNNHIMHVVLFALLVFFILYMWSKMFKR >fgenesh1_pg.C_scaffold_7002557 pep chromosome:v.1.0:7:14213725:14216288:-1 gene:fgenesh1_pg.C_scaffold_7002557 transcript:fgenesh1_pg.C_scaffold_7002557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MH44] MVDRTTDVVVEVGSSGRTIFRPDETPTPEPRRPTQLPVPAPEKKLTLFALRLAVLEKIASRLGSLGFVWATVVLLGGFAGSLEITDFWFVTVILVIEGARLFSRSHELELQHQSKYTISGINIFRFLVKHVIQIFHQAAHIAGNDNRPPVRERKIVQRNSGQITRTRTWKSSDVPMLPYTGWVFVSRNVSRVFYWLQIASAFASIAISTIQLIKQDYGGNDSKPKRTNLHAALTLFYSLALAEALLFLVEKAYWEYMISVIKILEKVNEECGLERFGTGSVRRFFYDAYSRCLNGSIFDGLKMDMVIFAMELLVTNSLDEQLIGAEILSIFSTHDDYSVDTLQKIGTNLAIIERLVEMLNWRNKNQEDVRMSAAEILSRLASKKQNSLRVAGIPGAIESISSLLENTRDSGEATDEIGENSINQLNLWTLNNLGLLILKRLARDHDNCGKIGKTKGLLSKIIDFTYAEKRLLEKSNVAVAEPYKVLAVKRSLKLLKKLVSTTGATGKNLRMIVSGIVFTVSNIRETLHHGKSQPDLQKLGAEILTFLAFEEGATEKIGGTGGVLKGLLCIFLNNEIPKDKSGVRVSAGESIAMLAQGSKSNCQRILRSNVLKGLVEALDNPLIRLNSARILRNLCAYTAPGQFNEEMKKIIKSAGATVLMAIKSEDRKPQEVMVGLAPHILKLMSTPEELRGIFEEAGVSEEELANALINILKKYEQPVPKVPRIRRFAIELTIAMMKANPETVKTFQNLEMKNELETIFETAAELENFDIFSGTVGLARHGSTINELIEEAMLLLS >fgenesh1_pg.C_scaffold_7002575 pep chromosome:v.1.0:7:14340910:14341537:1 gene:fgenesh1_pg.C_scaffold_7002575 transcript:fgenesh1_pg.C_scaffold_7002575 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIETGQKTQQSSPSGSAAAATGTLKQSSASFKRWGRRHPFVRYGLPMISLTVFGALGLGQLLQGSKDIAKVKDDQEWEIIETRKALSRTGPVDAYKPKNTSIEDELKAMQQKVDINTYEYKKIPKLNESKSS >fgenesh1_pg.C_scaffold_7002576 pep chromosome:v.1.0:7:14355598:14356318:1 gene:fgenesh1_pg.C_scaffold_7002576 transcript:fgenesh1_pg.C_scaffold_7002576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MH67] MAISYGHLLLLLILSFFWLPNLLAIPFSDCGDGSSPVEVTSVDIREDKGMASFQISGSTSKSISKGFMSVKARVGVVLILWKMYDLCEYNMFPLHSPEIDDYEEEMIILHRHHCFA >fgenesh1_pg.C_scaffold_7002586 pep chromosome:v.1.0:7:14397332:14403143:1 gene:fgenesh1_pg.C_scaffold_7002586 transcript:fgenesh1_pg.C_scaffold_7002586 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MH77] MDSKAGKQKKRSVDSDDVSSERRPKRAAACTNFKEKPLRISEKSATVEAKKEQIVVEEIVAIKLTSSLESNDDQPRPNRRLTDFVLHDSDGVLQPVEMLELGDIFIEGVVLPLGDDKKEENGVRFQSFGRVENWNISGYEDGSPVIWISTALADYDCRKPASSYKKMYDYFFEKACACVAVYTNLSKNPDTSLDELLAAVVRSMIGNKFFSSGAAIQEFVISQGEFIYNQLAGLDETANNHETSFVENPVLVSLRDRGSSKLHKALSNVALEIDESKAVTSDQLMDGAEDEDVKYAKLIQEEEYRISMERERNKRSSTTSASKKFYIKINEDEIAHDYPLPYYYKNTKEETDELLLFEAGYEVDTRDLPYKTLHNWALYNSDSRFISLELLPMKQCDDIDVTVFGSGVVAEDDGSGFCLDDTESSTQSNDPDGMNIFLSQIKEWVIEFGAEMVFVTLRTDMAWYRLGKPSKQYAPWFATVMKTVRVAISIFNMLMMESRVAKLSYADVIKRLSGLEENDKAYISSDLLEVERYVVVHGQIILQLFEEYPDKDIKRCPFVTGLASKMQDMRHTKWIINKKKKILQKGMNLNPRRGMAPVVSRMKAMQATTTRLVNRIWGEFYSIYSPEDSLEAVDENVGEEELEEVEEEDEDEEDDTEENVLDAVEVQKSHTPKKSKASSEEMEIEWDGGIIGKTSAGEPLYGRALVGGDVVAVGSAVILEVDDPDETPVIYFVEYMFESLDHCKMLHGKFLQRGSETVLGIAANERELFLTNECITVQLKDIKGIVSLEIRSGQWGHQYRKENIVVDKLDRARAEERKAKDLPTEYYCKILYSPERGGFFSLPRSDMGLGSGFCSSCKIREDEEERSKTKLNDSKRGFLSNGIEYSSEDFVYVIPNYINEDGKRRPSFKYSQNVGLQAFVVCQLVDIIVLTEPKKGSKASFEVNLRRFYRPEDISVEKAYASDIQEVYYSKDTYVLPPDAIKGKCEVRKKTDMPLCREYPVLDHIFFCELFYDSSNGYLKQLPSNMKLKFSTIKDDKLLREKKGKGVESETDSVKPDEAPKEMRLATLDIFAGCGGLSYGLEKAGVSDTKWAIEYEEPAAQAFKQNHPDATVFVDNCNVILRAIMEKGGDVDDCISTTEAAELAAKLDENQKSTLPLPGQVDFINGGPPCQGFSGMNRFSDRSWSKVQCEMILAFLSFADYFRPKYFLLENVKKIVSFNNGQTFQLTIASLLEMGYQVRFGLLEAGAYGISQPRKRAFIWAAAPNEVLPEWPEPMHVFNNPGFKISLSRGLHCAAVHNTKSGAPFRSITVRDTIGDLPPVENGESEINKKYKDVPVSWFQKEMRGNMTVLTDHICKQMNELNLIRCKKVPKRPGASWRDLPDENVILSNGLVEKLVPFAMSNKANEQNRYKGLYGRLDWQGNFPTSITDPQPMGFVGVCFHPDQDRIITIRECARSQGFPDSYEFSGKKKDKHRQIGNAVPPPLAFALGRKLKEALYLKISLQQQS >fgenesh1_pg.C_scaffold_7002608 pep chromosome:v.1.0:7:14617604:14618980:1 gene:fgenesh1_pg.C_scaffold_7002608 transcript:fgenesh1_pg.C_scaffold_7002608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHB1] MVILVLMVIAEKVMNVVQVEMVKLMSMVITKKMMHVVLNKKTEEEFIIVSDDEEEVEIPINNQENKQTETREESCSPPDEDSHENVNDEKVAQEEGATDEVPHANEENDPQCSNVNDKVSQKKEKQHEEMRNMDGAPQGTQESDSQRSNVNDKVCINEKSFL >fgenesh1_pg.C_scaffold_7002615 pep chromosome:v.1.0:7:14696650:14700087:-1 gene:fgenesh1_pg.C_scaffold_7002615 transcript:fgenesh1_pg.C_scaffold_7002615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7MHC0] MASSSQKLISVCVALLVVLALTAMIFRNSEISLSRKLKTEVIQSSNSSTMAATRFRNNTLLFPVFGFTRKLKTEEFQSLNSSTMAATRLDGEPQQQHAVAADPDMVADEVAKLVQMSEQNRTARRKLGFFSCGTGNPIDDCWRCDRNWHKNRKRLADCGIGFGRNAIGGRDGRFYVVTDPTDEDVVNPKPGTLRHAVIQEEPLWIVFKRDMVIELKQELIMNSFKTIDGRGSNVHIANGACITIQFITNVIIHGLHIHDCKPTGNAMVRSSPSHFGWRTMADGDAVSIFGSSHIWIDHNSLSHCADGLVDAVMGSTAITVSNNHFTHHNEVMLLGHSDSYTKDKLMQVTIAYNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYAAPMDRFAKEVTKRVETDASEWKKWNWRSEGDLLLNGAFFRPSGAGASASYGRASSLAAKPSSMVDTITSTAGALGCRKGRPC >fgenesh1_pg.C_scaffold_7002618 pep chromosome:v.1.0:7:14723956:14725418:-1 gene:fgenesh1_pg.C_scaffold_7002618 transcript:fgenesh1_pg.C_scaffold_7002618 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPIPKIRVFGQRSIASSFLNLSSIPVDGSPENPSEKVENCSKLNKSVLFKPNNNTQERLRPFTSLVSSKDVTQLSHGFGMERKAREERKNGDGGLEKMMLQQFKPRETQVSEEVSRDVTCEVSLESLDQTVAEETEHPYSTEINEDIIVRDDKTSKKRKDPFEGMESIGRTGKPVIVFGDNSKVSKPMQRERERGRGSNNNSKKQRPTYNHYANGSGWWDCDMEGVDSEEVGHSEVWEGVGSTTFGDIVDWH >fgenesh1_pg.C_scaffold_7002626 pep chromosome:v.1.0:7:14807286:14809497:1 gene:fgenesh1_pg.C_scaffold_7002626 transcript:fgenesh1_pg.C_scaffold_7002626 gene_biotype:protein_coding transcript_biotype:protein_coding MRCQEVRSWTFKGLVAAFVDLSVAFSLLCASSLVYVTSKFLGLFGLALPCPCDGLFSEPHKCFQESLGNLPVKKISSVQRSVRNRTPFDSILCKEVNGGCVGKKRKGERKRVELEDEVSSTPSVGKIEKASGFDLLTAQSLKKGSFKVKSKRLSFHRSPYGFKNHFQGSLGHKNSEGSNESVMEYLKDVNENDPLLVKWKDSGTTLEDVSLRKSVSLSSVGCEAGAQNKQPERKFSWAGEGTCSSPVDLTYSGMTQKTIEILEQVLAEERAARASLALELEKERNAAASAADEALGMILRLQEEKASIEMEARQYQRMIEEKSAFDAEEMSILKEILLRREREKHFLEKEVDTYRQMFLETEQPHNTPDSKPARIERLQTPQQITESWDDMETADVSFGFEIFTNQMDSRLLAHGNKSVLPGDYSEVDNDDENKNGVDQSPERGQSRSEPFDVHHEKAKLLSDVELKEREEGVTSFPELVSRTSDITVTKNLGEESHDIDGHVHDIHVVTDEDNKAQLNVPFDHAISDLKLDRSQSVSDTSYVLPPGKSNMSPNMRRNSMSAIDYERLKIESEVGLLRGRLRAVQKGREKISFSSKEQSKSQIQRRGDKTSRFWEARRSGPFDSSSPSSTMVKAMSMTLDLHSA >fgenesh1_pg.C_scaffold_7002628 pep chromosome:v.1.0:7:14838723:14845152:-1 gene:fgenesh1_pg.C_scaffold_7002628 transcript:fgenesh1_pg.C_scaffold_7002628 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MHE2] MERKAGKQKKRSVDSDDVSREKRPKRAAECTSFKEKSLRISKKSATVEAKKEQIVTEEIVAIKLTFSLESNDDQPRPNRRLTDFVLLDSNGVPQPVEMLELGDIFIEGVVLPLGDEIMNEKGVRFQSFGRVENWTISGYEDGSPVIWISTALADYDCRKPANSYKKMYDYFFKKAYACVAVFKNLSKNPDTSLDELLAAVVRSMMSGSKIFSSVAAIQEFVIFQGEFIYNQLAGLDETAKSHETSFVENPVLVSLRDKSSNFHKASSNVAMRIDESKAVTSDQLMDDAEDEDVRYTKFIRDEEYRKSMDRARNKRSLTTSASNNFYTKINEDEIANDYPHPSYYKNTEKETDELVLFDPGYEVDTRYLPWRTLHNWALYNSDSHFISLELLPMKPCDDIDVTVFGSGVVDEDDGTGFCLDGSESSTQSNDGMRIYLSQIKEWTVEIGEEMVFVILRTDLAWYRLGRPSKQYAPWFEPVLKTARVGRSILALLENEMRIPKLSYLYVIKRLSGLEENDKAYISSKLLDVERYVVVHGQIILQLLREYPGIRRCPFVTCLASKMQDMHHTKCIIKKKKKILKKGKNLNLRVDMPRVVSKMKAMQATTTRLINRIWGEFNSIYSAEDSSEEIVAEEEVEEEDENEEEDTIAKAAKVQNAATFKKIGIIWEGETLGTTRAGEPLYGQALVGGGKVVVGGAVILEVGDQDEIYFVEYMFQNSDHYKMLHGKLLQRGSETVLGTAANERELFLTNVCLTVQLKDIKATVNFEIRSRSWGHQYRKENMAADKLDRAREEERKAKDLPTEYYCKSFYSPKRGGFFSLPRSDMGLGSGFCSSCKIRENEEERSKTKLNDSKTGFLSDGIEYSVGDYVYVIPNYITKGKGKRRSMFKYGRNVGLKPFVVSQLLDIVLKEPKKGSSAPFEVGLRRFYRPEDISAELAYASEIQEVYYSQDTYILPPEAIKGKCEVMKKHDMPLCREYPVLDHIFFCERFYDSSNDHLEKLPSNMKLKFSTIKDEKLLREKKGKGVLSETDSVMSVKPDEVPKEMRLATLDIFAGCGGLSYGLEKAGVSDTKWAIEYEEPAAQAFKQNHPKTTVFVDNCNVILRAIMEKCGDVDDCISTTEAAELAAKLDESQKSILPLPGQVDFINGGPPCQGFSGMNRFSDRSWSKVQCEMILAFLSFADYFRPKYFLLENVKKFVTFNKGRTFQLTVASLLEMGYQVRFGLLEAGAYGISQPRKRAFIWAAAPNEVLPEWPEPMHVFNNPGFKISLSRRLHYAAVQSTKFGAPFRSITVRDTIGDLPPVESGESKINKEYDIVPVSWFQKEMRGNKIVLTDHICQKMNELNLIRCKKIPKTPGADWRDLPDENVKLSNGVVVKLIPSGLINKAKEHNGYRGLYGRLDWQGNLPTSITTPQPMGWVGMCFHPDQDRIISVRECARSQGFPDSYEFSGKIKDKHRQIGNAVPPPLAFALGRKLKEALATPQEFSSTVPILKEHPNEC >fgenesh1_pg.C_scaffold_7002632 pep chromosome:v.1.0:7:14878750:14883247:-1 gene:fgenesh1_pg.C_scaffold_7002632 transcript:fgenesh1_pg.C_scaffold_7002632 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAPQGTQESDSQRSNVNNKVAQTKEAQHNKMGHSEEPSQESDSQQSKVNDKEEEARLKKEHSDRKLSEIQQKEIDDRVILWAKNKNFIFMMSSLHQQQWDIYIRKKQNKKTEEEFIIVSDDEEEVEIPINNQENKQTETREESCSPPDEDSHENVNDEKVAQEEGATDEVPHANEENDPQCSNGTQESDSQRSNVNDKVAQTKEAQHNKMGHSEEPSQESDSQQSKVNDKEEEARLKKEHSDRKLSEIQQKEIDDRVILWAKNKNFIFMMSSLHQQQWDIYIRKKQV >fgenesh1_pg.C_scaffold_7002633 pep chromosome:v.1.0:7:14907001:14907662:1 gene:fgenesh1_pg.C_scaffold_7002633 transcript:fgenesh1_pg.C_scaffold_7002633 gene_biotype:protein_coding transcript_biotype:protein_coding MPELGKLVAFFDFKDGRDGLLHFSGMTACSETLSVITRHRFCVQATDNTGKPASTTPARDKNKPTLDRYYKSVYFILHDKFWIGHGLVNSDWAKLEEEFNHIGKPSPKPKGIIDTVPFISSGTKLAQLAVLFGLGEPSLGYYNNCEENYDAIKQCRDAMPILDMETEKDMGTATRKSNN >fgenesh1_pg.C_scaffold_7002635 pep chromosome:v.1.0:7:14943759:14945147:1 gene:fgenesh1_pg.C_scaffold_7002635 transcript:fgenesh1_pg.C_scaffold_7002635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHF2] MKKWLGTQASINIAKVLSIQELMNSTPRHYYDEHESGTVYEEDCPITEEQVFLDESTTTEGCERIIPSICDVHSIYDYIADYGGEDGVSMFHQELEYQLRKGGPLSIQFEVYPSYYTTQGNLYNPSNSELEFIPLGGHYVIATALGTLDGFLALECQDTLLENKYFVRIFQQLKSDKLLIGRAFSLKSKV >fgenesh1_pg.C_scaffold_7002638 pep chromosome:v.1.0:7:14963110:14963814:1 gene:fgenesh1_pg.C_scaffold_7002638 transcript:fgenesh1_pg.C_scaffold_7002638 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSTSKQERNSSKRRIKVKSNEQQRRRETRRELDEKERVILALKMAETEWRKERKRLREEVERLRQKMEEKEEAKVKQHEWEWVVEQMCLERAVREEAVERWKQLYFAIKNELDDLIHTTYGEALRQKPKEEIVQELRKEVKVRGETIETLKGRIALMEKQRNEKEREIDLLRQSLRILGGISGRNKAPSSTTRSLPVFKTKFIGRK >fgenesh1_pg.C_scaffold_7002655 pep chromosome:v.1.0:7:15261741:15262777:-1 gene:fgenesh1_pg.C_scaffold_7002655 transcript:fgenesh1_pg.C_scaffold_7002655 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRDRLRVETQRCEQITNLIEATEQDHEKEKLNERIAKLSGGVAVIQVGAQTETELKENKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKDTLAKNEEKVSPKD >fgenesh1_pg.C_scaffold_7002664 pep chromosome:v.1.0:7:17688073:17689461:-1 gene:fgenesh1_pg.C_scaffold_7002664 transcript:fgenesh1_pg.C_scaffold_7002664 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELCSVRPLFGGAISTFFPQRFQDVSNIRQVSDHQEVFVDPSRDESLIFELLDFKTEVGDIGSASWFLNDLAREQDAEGFLLIEQSEVIEAPGLSFRNIPAIGTTAIGEMAISKGRQGREAQNLVRVYVANLRLKGIDTDVLVTAYEPILINPLSESVDAVGSGLVVPASQSGIMPMCDVIK >fgenesh1_pg.C_scaffold_7002667 pep chromosome:v.1.0:7:17703706:17706077:-1 gene:fgenesh1_pg.C_scaffold_7002667 transcript:fgenesh1_pg.C_scaffold_7002667 gene_biotype:protein_coding transcript_biotype:protein_coding MANSMFSSSAKPHFLKPILPGFKTYILIPKAFYSKYLEGRQEGNAAELRSDASEITWNIKIDGRRMTKGWEEFAVGHNLQVGDILVFRHEGNLLFHVTPFGLSCCEILYSQNDEKVVKDKTGKVTRYKTVKKTAKNECSSVDTDFVYLPRGFTTSSGLSKLCNEIILMDEKSRPSTLKLCYHKSRKPPVLRIYPLERDEDNIGNHSKKIKQEVEHETVKEETNVESGKLKRDGLLKKDPQKVCSSSHDTIFVVPGTASNQRNDSFHLPKGFTTSSGLSKLCKKIIFMDEKDNRFTVRRGWRGFCCRNGHKTGCFLRLILVRNGKTPVLRIFPLEKDESSIGKNSKEIKQEVEHESAKEEKNVESLSLSDNSSFVVSVTVSNLREDILYLPIRLSRSNILDKKFHEISLMNKQGRTWTLSLKYSKSSGKFRITHGWKSFCEANGQNAGCTFLFNLVRNRTSPVLLMTSLWDDIHQSKTASFDNSVGPRRSSMGQEVKIMG >fgenesh1_pg.C_scaffold_7002673 pep chromosome:v.1.0:7:17789839:17792346:-1 gene:fgenesh1_pg.C_scaffold_7002673 transcript:fgenesh1_pg.C_scaffold_7002673 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYRLSNKFVIECYNRFMWFKQSLEKLNLMESRIPKRNYPPRLYREGKSQLQTRSMHHNCTLAIESGYEMWSLIERYPVRFSLHEFSEITGLNYDAIDEEDKVDDHREFWAELEVDAMFLLWGRSMFVVQYMVVKSEEELYPTWSNEKVIYGEVEGSWELLDNLMHDILLDRVDEKEVQILKISHNEKKYDEEGSVNKSVYLGVKETVSAALPINKSVLHKSMTPKSTGPHSSVKKKCIKTEPIDPLESLSPVRNPKTSPVVDFTELSEGSDSIENARDNAFDGAVDKLLLLCKKDDFVSQVPRQKNFASAQVHPFVGSSLVKGILRGKKQSLTIYDPLEKATQLLVDMLLAIIHHDL >fgenesh1_pg.C_scaffold_7002679 pep chromosome:v.1.0:7:17868670:17870004:1 gene:fgenesh1_pg.C_scaffold_7002679 transcript:fgenesh1_pg.C_scaffold_7002679 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSWMVSQKPSHLLPSTLLTSPNPPKPPDPPDPPPRRRCLEALVTTSSSHSPHPILEAALARFSLDKGHICTLFNEHPRHPMSPLPFNLMSEGYLSDHFYGDFDFPCFKDGVRLVLTFFVAKDSVAKELGLKAAINAALAVGVSGMACYSDWQELPLLLNVGGHAFAVDDFIADIKRIKTKILHLRFIMLLRFENIFASCIFFSIYGV >fgenesh1_pg.C_scaffold_7002698 pep chromosome:v.1.0:7:18111141:18111614:-1 gene:fgenesh1_pg.C_scaffold_7002698 transcript:fgenesh1_pg.C_scaffold_7002698 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVETCIQPLEKESNKEVVGSCRRMVVVEPCSLPLEKESNKEVVGNCRRMVVVETCSQRLEKESNKEVVGSCRRMVVVETCSQHLEKENNKEVVENCRRMVVVETCSQPLEKANNKGNCSVVFEGMSTDMLSP >fgenesh1_pg.C_scaffold_7002720 pep chromosome:v.1.0:7:18414047:18415882:1 gene:fgenesh1_pg.C_scaffold_7002720 transcript:fgenesh1_pg.C_scaffold_7002720 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKKSSWRSLMVLCFQDPDNIYSPKKTKKDDGQGVITKQKSFLGLSILDISNPSSTTLSEDLSISLAGSDLHVFTQAELKVITQSFSSSNFLGEGGFGPVHKGFIDDRLRPGLKAQPVAVKLLDLDGLQGHREWMTEVMCLGKLKHPNLVKLIGYCCEEEHRLLVYEFMPRGSLESQLFRRCSLPLPWTTRLKIAYEAAKGLQFLHEAEKPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPQGDDTHVSTRVMGTQGYAAPEYIMTGHLTAKSDVYSFGVVLLELLTGRKSVDIARSSRKETLVEWARPMLNDARKLGRIMDPRLEDQYSETGARKAATLAYQCLRYRPKTRPDISTVVSVLQDIKDYKDDIPIGVFTYTVPTKPSREVKVTSLQNFDKPRNVSKTDNHQKFRSPAHTARNHRVTLRNGFNSPMRNEAGGERY >fgenesh1_pg.C_scaffold_7002738 pep chromosome:v.1.0:7:18620923:18622369:1 gene:fgenesh1_pg.C_scaffold_7002738 transcript:fgenesh1_pg.C_scaffold_7002738 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIIRLETNSLEIIGKMADIDLSSRLLRTEKNLPAAVAIQGFIHALVLVFVEAMPAILSVGCDRTEPESDDEHMFPVISLKLDKVWELDGEDQVDVLSIIPSADVVTGVEDCGWVDEVSDPSVQVLLKRLEEGVKFSR >fgenesh1_pg.C_scaffold_7002743 pep chromosome:v.1.0:7:18727113:18728996:1 gene:fgenesh1_pg.C_scaffold_7002743 transcript:fgenesh1_pg.C_scaffold_7002743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHV8] MSEATAIQLGMTNQTPSCYKLRMEDDSFFQPIGELLDEVLVIENTQMVLDFHICKLMESSPQLILGRDFLSNIGAVIDYGTRRCRAGTVSRRMSLINCIGSINVKLQVIGIFPFCYVAKLISCTIYISRERGIVKVPEIWLLLEAVKAYLDDEYYEGPHTPYLVDFGALLAAEFASVRTSAGLRREVHLGSLVPPRGSTFSQAPLLIFYKMEFIIEELPEETMTEYKRVMYMTGKKNNLMLRTFLCTISWFRFFCFTTPLATAPIATPVASPVASLEDVAVNTSGTDDLSAILSDTRRRLIDSPPSATTTPSDGPNSPERRRSRRHIRQRTTRRVSRVVVTDRY >fgenesh1_pg.C_scaffold_7002750 pep chromosome:v.1.0:7:18822073:18827519:-1 gene:fgenesh1_pg.C_scaffold_7002750 transcript:fgenesh1_pg.C_scaffold_7002750 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGTMDVDESPVSWKVKSLETLVDGSFSSTLSKLSSSSRLIPSSRDFHFYYNFDEFKRPIDDIAGSSQSVLETIGDSEQVWGKSMKFPGDVDEVDAEDWLCNVNDEFIERFDVSVDEFQRIRKKEEEIGRPVAYNGDDGFQMVYGKKKKPVGNVITGLAANGGSVIDVKMAERDKNSSGKAKVPFHVPTIKKPQEEYNILVNNANQPFEHVWLERSEDDQRVMHPLEKLSVLDFVDKDLNEMEPVKPLPLEETPFKFVQEVKDLKELVAKLRGVEEFAVDLEHNQYRSFQGLTCLMQISTRTEDYIVDTFKLRIHIGPYLREIFKDPKKKKIMHGADRDIIWLQRDFGIYVCNLFDTGQASRVLNLGRNSLEFLLQHFCGVTANKEYQNADWRIRPLPEEMTRYAREDTHYLLYIYDVMRLELERMAKDDEHTDSPLLQVYKRSYDVCTQLYEKELLTENSYLHVYGLQAAGFNAAQLAIVAGLCEWRDFIARAEDESTGYVLPNKVLLEIAKEMPVSVGKLRRMLKSKHPYIERNVDSVVSVIRQSMQNSAAFESAALSLKDVSPGTVMDKNIEHISEKKDMHAVDVASPSLKENSSQLESTRDLNMDAANTNEGSGLGTGLFGSAKVSAAVRISKKPSSGLGALLGSAASKKKSRTDEKVKEDVKLEQIRSSVNLSFHSFTEKVPDSKSTTETTPKVYGKPEEMFTTMQASVSKEDGVTELKDDSEEASEIVGTSGRVSESKVFGSKTGDIILLENGDEKEVEAEDEPMSLSELSTNFQKCFKSMKKSNKAQKQTEFLNIEPFDYEAARKEVKFGEGHKGRQGKKEVAAGQKKKGSGPEQSEFGQGKRRQAFPASGNRSATFKS >fgenesh1_pg.C_scaffold_7002751 pep chromosome:v.1.0:7:18847009:18860377:1 gene:fgenesh1_pg.C_scaffold_7002751 transcript:fgenesh1_pg.C_scaffold_7002751 gene_biotype:protein_coding transcript_biotype:protein_coding METELEPRVKPLPFKVKAMSRESSSQKAAQVLEPDLRSHWSTGTNTKEWILLELSEPSLLSRVRIYNKSVLEWEISVGLQYKVGFLIMIVVNVMASLYQPEAFVKVRPRCEAPRRDMIYPVNYTPCRYVRISCLRGNPIAIFFIQLIGISVPGLEPEFQPVVDHLLPHILLHKLDAHDMYLKFLQDMTRRLHTFLPQLEADLSSFQDDVDFNLHFLAMLTGPFFPILQFLSEREIARTSSTIVVTVSSNFEPRRSCGPSSVTFSASSSAAFWPDAIFLLLRKALKDPHLEIVCRMGSKILEKLNESTCQEESSDAGGTSKLDEQTSKSEPFSNKDQTDYSSLFGEKNSLLASEFNCCIININILDTSAVEEGILHILFSCAAQPALCHRLSENPSNLCFALPLVQALLPALRPYGSSSCDHISDFSLWGQASVQQALSQIVLMSSSPSYHPLLEACAGYLSSFSQSHAKAACLLIDLCSSVLASWTAQVIAKVDLSIELLEDLLGTLQGASQSMASVHAAIKYIVLALSGHMDNILGKYKEVKHKILFLLEMLEPFLVPAMCPVKGGTIFGDTTFTKKEEENCAIALDIIRIAVEKPAVLPSLETEWQHGSVSPSVLLSILDPQLDLPTGIDLCKPARKSIEFDPSSTSKVPCQVGLKKAPKQVDTDISADVSDSTIKVDVYDDVRLYFAPQELRSLSLTNTNLSCNKAVRDVMAEKKDDDEKFTLLSPSGLVLDSDLGTKFFNLQADSFQLENIQDCEIKASEFKRLAHELQTHDSISSEGHDAAVDALLSAAECYVNPFFLKSVGEGSNFVKEFEITKARNQKDLKPRSGAEKKHVSIETIAKLERQRDVIVFQILLEAAELDRKFKLELSTKEMYSSAEVCDEHVIDLSPSDMIYLDAITLVRQNQALICNFLVQRLMKDQHSHHETLMHCLVFLLRSATKLFCPPEDVIHIILQSADYLSVMLTSIHHHLREENYRLRPEKGVVIQRRWLLLQKLVIASSGNAGDFDSTEKVEDCFMRQSFIPSISWVQKITPLSHSSSAVVRFVGWMAVSRIAKQFISDRIYLVSDLSELTGLLSIFADELAVVHKYIDPETGNMNTEFARQKESAGEASEHSDFHVIYPYLGMFFPQMKKQFQVFGEFILEAVSLQLRLLSSAALPDILCWFSDMCTWPFSDKGEVGKMNSDFFKGYVGRNTKAVILYILESIVKAHMEAMVLEIPRVVQVLVSLCKASYCDVAFLNSILLVLRPIITHALQKASSVEKVTADETCLDFETLCFEELFKCIRQRDENQYTCMGKTSTMSRTIFVLASVLPDLTFQSKRAFLQSLMQWTHFPDFEPTSSFHDYLCAFQAVLDSCKLFLMQILQAFGCLPIQFPGISGPQTVCNKNPLKRPLFLKEIILNSSNVSGSQYISKDDAFLSTQNVKLLSSEVEGFTKDLEDLIVCLQVTIEKCWSLHCQLSKKLTNISAECFVYLRCLASASAISQNCEEDNNENLDSDRCLGHCKKSLEGLAERILILQDNHCWEVSSTILDCLLGSPPCFQLDNVTNYICSAIRKNVSSAPRLLLRVQSDEWLSLLFERVGHGLCESDVSPLADLFCAMLDHAEPEQRLIGLRHLCKLLGKDMDDEVAVKSSILCHKPLGIVSSVPQPTLSLLVGSTWDSVVFRASSDTSISIRTCAMALLVDFIPYASRKLLQKFLCASDSVLHCFGKAGGSLGEGPLLRLSLAIIASCYGRLELVETKACQLLCRFRNGEDEAREVLREVVSSSFEVEDNLDFRSTRQSILEVLSSLSSVESYFELLAKKVDEETIELEEAEIELDIVKKEHNVPDILGSKENHQLPHVTSSRKHDNRLQQIKDDIYALEKAKLREDLVARRQKKILMWRDRQKYLEETALREAELLQELDRERAAEAEKEIERQLALELERAKTRDLRHQLEMEKEMQMQARISISLPPCPMHDRIIELQRELEQAESGLRSSRRDSSASHSGRPRERFRERDNGRSGSEGSTRGQETVNTPTMVLSGSRSFSGQPPTILQSRDRLEEYDETLEGSRDSGDTGSVGELEMGSGFEGQSGQRLSGSRGGSKSRQVMERRERSESSRREGKWERKH >fgenesh1_pg.C_scaffold_7002757 pep chromosome:v.1.0:7:18937678:18939598:-1 gene:fgenesh1_pg.C_scaffold_7002757 transcript:fgenesh1_pg.C_scaffold_7002757 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPDSSSPTGVLEEFFRTEEFGSSETVKEYPSSSRFRKMVQLLRSRSKKSIENLKIPFHNNGVIQSSLRRCSSMRDNLRFGSSDAQFLLLSPRRIFTFSDLKTATNNFALENLIGKGGYAEVYKGMLPNGQMVAIKRLMRGNSEEIIVDFLSEMGIMAHVNHPNIAKLLGYGVEGGMHLVLELSPHGSLASMLYNSKEKMKWSIRYKIALGVAEGLVYLHRGCHRRIIHRDVKAANILLTHDFSPQICDFGLSKWLPENWTHHIVSKFEGTFGYLAPEYLTHGIVDEKTDVFALGVLLLELVTGRRALDYSKQSLVLWAKPLMKKNKIRELIDPSLSGEYEWRQIKSVLLAAALSIQQSSIERPEMSQVVEILKGNLKDLKCIMKCRVPFYRKAFRDEVGKRD >fgenesh1_pg.C_scaffold_7002765 pep chromosome:v.1.0:7:19145005:19146554:1 gene:fgenesh1_pg.C_scaffold_7002765 transcript:fgenesh1_pg.C_scaffold_7002765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHZ0] MTFLMSCVSLGDILQIAEVHKKYLKGSLLLLWSCLVAILLLWPAFIWFYCARRQMDVAESRRAAVNQNLYMFKKSFGQVLTLGFFAYLDTYLDAHNRFWIRFLCVSVYMHLVLLMVIFRIREDFDLCFGFLAAAYTMFYDASETLEEQLLLGAVMWFLCSLKNYLIDGKGIRPMQSVSRLPRDLRELMKQQPVRTSNKVEETLSEFRTRWYLMYNLNVICTFLTFWAAYMGCCTILNKTHQEQLNRHAGALGGFIPFWAGFCSLYGWGKCTDTGEDKEDPVNLNLHMLKKSLGQVVTLGLFTYLDKYLLAQGIWVAIIVCVGLYLHIVFLLVLFRVREDFDCTGGCLAAGFGVFYKFLEDRLGNKFSKEKLGKLVVLALVTVLLSCLKNYLIGGRGVAYSKNAGTIRPGREKRKVESKESKDKKKCKVESKVPKDKKKPKKKHLYKLDNVKSTKHSLLWFEVYDINEVKLVVPPLLLRECA >fgenesh1_pg.C_scaffold_7002802 pep chromosome:v.1.0:7:19531818:19532753:1 gene:fgenesh1_pg.C_scaffold_7002802 transcript:fgenesh1_pg.C_scaffold_7002802 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYPALHINFIGPKLYVNTTPVTSEKNPVTGMRLFLEGKKCNRLAIHLQHLENTRTTVGEKITDEHIWRGSDQITDNDRYFETLNGKKFSHMCTAPVKYDPNWITTRSNHKSQNDVAVIVTRAQLEVKKHGSKSVLHLRLRFTKVSDHYIVQNNWVHGPTGTSQKSGILMKRKLLGIELDLMQRISKTNVSFVTFGSLYSNRDRENGVIA >fgenesh1_pg.C_scaffold_7002811 pep chromosome:v.1.0:7:19652153:19653104:-1 gene:fgenesh1_pg.C_scaffold_7002811 transcript:fgenesh1_pg.C_scaffold_7002811 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNVKACRDRKLKAESNNSQVLKPKRRRDEREVEASKARKVKEQQARLTRVEAQWLVQEESWKMRDQARRREATPQQRREKPAPPPETPGRRRSRSRSTSENLLRRQRGESLGKKPSLRLDLSPSTGNRGREHEIPTTENGRHARRTLNSHREKQDRNKTSESSSAQMKSDRKNLEPSHGTGRNRSPERQAIDGDCVVLGEKNGGKSPTRATHAPDFLVFLFFLTGFNIAKSILILIPMHFFKLSLSSRLGAVRSIYATLIQIQ >fgenesh1_pg.C_scaffold_7002817 pep chromosome:v.1.0:7:19713361:19714990:-1 gene:fgenesh1_pg.C_scaffold_7002817 transcript:fgenesh1_pg.C_scaffold_7002817 gene_biotype:protein_coding transcript_biotype:protein_coding MKALKMGFEKGNEKEWFIISERNKVDQGLSKKKRVGNGATKQKRGDTKGGYWHATGAAQEINTGEGVVGYKTALAYFVRTRSGSVKTDWLMIEYSLHHTCHNNDKDYTLCKIYMTPQAIKKTKEVEEENKKQKKGEGVSCGSINGSKTAIAHVEALEQQQPRNVEYHQPHQSQGPLDSYQLQPAHDIVYQQPQHFSQAPIDSYHQQPSHDVLYQQPHTPLLLPDLFSFEIEYNQKEQSLMMQDSRSGMEMTGWSNDKSTQEDLLDMSKDDRIFSMDELFDNVEEHGTVVTQQQQQQQQQHQLSTPILTTEEINQDEFFILVLKNKKRE >fgenesh1_pg.C_scaffold_7002829 pep chromosome:v.1.0:7:19920560:19923678:-1 gene:fgenesh1_pg.C_scaffold_7002829 transcript:fgenesh1_pg.C_scaffold_7002829 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNEKTSLVDRVFSWSIKDILNKDLYKQKLKTVPDKFRSVDEYYQCFVPHLLVEAHTELFSSFKSVSKSPVVQIRSMETKMKQSRGTSSNKLVYDINLKVAESFSAKYQPKCGDLIALTMEKPRRIDDLNPLLLGYVFSSDGDLKISVHLSRSISLVENYRFGVFLMTLTTNTRIWNALHNEADISTLIKSVLQANTLGLNNVFVLGTEQCFCSGNDVERSDLVLDIIRSTKLNSSQEAAILGCLETRKCNHKNSVKLIWGPPGTGKTKTVATLLLSLLKLRCKTVVCAPTNTAIVQVASRLLSVFKENCSSEHATYRLGNIVLSGNRDRMGINKNDHVLLDVFLDERIGKLGKLFSPFSGWMQRLESLIQFLENPEGKYERHVYELEEVERMEEEVERQEVVVNIPTFGEFVKKNFNSLSEEVDKRMVDLYTHLPKSYISSQDVKKMIASRQALQRVRYFLQENSSRVDFKEGNFKFDCFKRLISVDCLAALRLLPKRFEIPDMLENEDIRKFCLQNAHIILCTASGAAEMNPERTGNIELLVVDEAAQLKECESVAALQLKGLHHAILIGDEFQLPAMVHNEMCEKAKFGRSLFERLVLLGHNKHLLDVQYRMHPSISRFPNKEFYGGRIKDAANVQESIYQKRFLQGNMFGSFSFINVGRGKEEFGDGHSPKNMVEVAVISEIISNLFKVSSERRMKMSVGVVSPYKGQVRAIQERIGDKYSSLSGQLFTFECSVGFLSNRQRANVALTRARHCLWVIGNETTLALSGSFWAKMISESRTRGCFYDAADEKNLRDAMSDALLEDVSSSLGSLSIRSGYGRRNAW >fgenesh1_pg.C_scaffold_7002878 pep chromosome:v.1.0:7:20493250:20494176:-1 gene:fgenesh1_pg.C_scaffold_7002878 transcript:fgenesh1_pg.C_scaffold_7002878 gene_biotype:protein_coding transcript_biotype:protein_coding MATWRTKSPHILPPYACQQCDVCWAVALIHQLLAGKIRLDEHLSIQELINMLLRRYREYEGSIHGSYFAKYYLTKRGTVLDSVCPLTYALDRPGQQTPEITEIARVTIRVPWTESYPTLTGKGGIYQPTADEIATANLLGYGHFLLVLSPVTIDGVQCWECQDSFGSCWGKNGGCVYIRRKLDLVQSLFIWRFDFL >fgenesh1_pg.C_scaffold_7002893 pep chromosome:v.1.0:7:20628420:20628878:1 gene:fgenesh1_pg.C_scaffold_7002893 transcript:fgenesh1_pg.C_scaffold_7002893 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHVEHLLEGYIVEHFVMNPIVGHLVMNATVEHLVVNSIGAHFVVFPIVGHLKVNSIEEQLEVFPIVEHLKEVDPIVVQLEVFPIVGHLKVNSIAEQLEVFPIVEYQDPIDYQNFDPMNNYHQKMDFQKKNRFQ >fgenesh1_pg.C_scaffold_7002897 pep chromosome:v.1.0:7:20660704:20662922:1 gene:fgenesh1_pg.C_scaffold_7002897 transcript:fgenesh1_pg.C_scaffold_7002897 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGSDTTWVGKKPIRRIGGLSDALSIASDLGFAVAPPPSKNYKAWRLQMGKEDDKNVAHLTHVSEMQKKIETLSMITQILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTTLVSDFQWSQNFKEPPSVWGEMLRPIPVALASCTRFFEAMSAMRESFATLQELRVGNSVVSVPTTPGGNEMTHRDLDCVTPPQGRTESSFDDLAVHNTRRQNNDQNEEEEEEEEEEDGNNNSNWRLSWPPSVKRVAFKQLLLLFA >fgenesh1_pg.C_scaffold_7002898 pep chromosome:v.1.0:7:20666812:20676172:1 gene:fgenesh1_pg.C_scaffold_7002898 transcript:fgenesh1_pg.C_scaffold_7002898 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVDKRKETPDGHEKLVNEGSAARTRPTSVDEIRLRRKRKESLENVTEETVGVAQLLGNDLVEKAPDYHESEKGYDRSKNLRHEEHVKDSSRKKEDAISSSMEEKLEKPMEEDPMGAAQFACKDLVEKVPDYHESEKGYDRSEKLRHEELVLDSSRKKAEVICSSREERLDKPMKDVPVGAAQLLGNDLVEKVSDYHASEKEHDRSKKVRREERVKDSSRKKEDATSNSREGKPMKEDHVGAAQLLGNDIVEKVSDYHASEKGNDRSKKVRREEHVKDSSRKKEEATSSSREQRLEKPMKEDPVGAAQLLGNDLVEKVSDYQASEKGHDRSMKVRREERVKESSRKKEEAISSSREEKPRKEDHVGAGSAQLLGNDLVDKVSDYHESEKGYDRSEKLRREERVRTSSRKKEEAISSSREQRLEKPMKEDPVGAAQLLGNDLVEKVSDYQASEKGHDRSMKVRREERVKESSRKKEEAISSSREEKPRKEDHVGAGSAQLLGNDLVDKVSDYHESEKGYDRSEKLRREERVRTSSRKKEEAISSSREENLDKRKKEEEPAANRKRKAEGESSTAETKLVEEHSKDRRRKKEETNFSCKEERRDKKKKKEDRKIEGELPTTEIITMTDRDRLDETDSEQARSALENLSSSRKRLRSLVVTDRPRDENSMKPDNGNKRKNQNGDHKKNRERNMSKRHDPGKVHSVEVSERWQKREQPKSHQREMRKKRRRSRSRDHGQDRQKRSSPLPRAQKATSRHKRDHEERLENAVTDRSGKHHFNDNGNKVASTVNNKSKRYSASKSELGGYSPRKRREEASAKAVSPPNLSSEKKSAKWDLAPAVTAAMFSGSVFSGLQAAAQTAYPTNSEASLTLLKPLMEAPFRTPSAREITSVDSVQLTESTRRMRRLYAENVSDSASEKSLIECFNSYMLSSGSNHIKGSEPCISCIINKEKSQALVEFLTPHDASAALSLDGCSFAGLNLKIRRPKGYVETTGVYVGYVIIHIQEGDEAVCYVMVTIHEAGFQTVAIFMQSGELAKKEPATNAISDNVKDSSNKIFIGGFPKSISSEMLMEIVSVFGPLKAYRFVINNDLNKRCAFLEVNENPPFYGIPEHAKPLLGKPKEMSMGSDTTWVGKKPIRRIGGLSDALSIASDLGFAVAPPPSQEELQSLASSNGERGDDLIRVLRELSAVQRKIADLQVELQGRKDDKNVAHLTHVSEMQKKIETLSRITQILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTASVSDFQWSQNFKEPPSVWGEMLRPIPVALASCTRFFEAMSAMRESFATLQDLRVGNSAVSLPTTPGGNEMTHRDSDCVTPPQGRIESSFDD >fgenesh1_pg.C_scaffold_7002915 pep chromosome:v.1.0:7:20852501:20853490:-1 gene:fgenesh1_pg.C_scaffold_7002915 transcript:fgenesh1_pg.C_scaffold_7002915 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNVYEPLKTYFLKVNINCQGCKRKVKKTLRKIEGVYSVDIDTDQEAVIVRGNLDPEILVKKLNKRGKHAQLMFLTPYHKDQYFGNHQAGLNHDNRSLGNTQYNFGSNHNNVPSYERQSLLNHQSDEEMMMMMNMKPVMMNDADYFEMSDSPEDFQELFGEIPQRHNNNYGEVKPNLMRDMDLGYSNAYPATEAMNMQIGGRVNNMMVTERGFNGQMMNERGFHGQMMTGPSLVPQSMNHEQFSSRPLQSMSHEQFSSRPVHGFYY >fgenesh1_pg.C_scaffold_7002916 pep chromosome:v.1.0:7:20854846:20855415:-1 gene:fgenesh1_pg.C_scaffold_7002916 transcript:fgenesh1_pg.C_scaffold_7002916 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:D7MIJ2] MESVLRSVIVPCRNAKLGCTENIPYGRDSNHEKKYCRFSLCSCPEIKECNYTGLYNEILFHYLVSHLLKPDCFFTFGEPRNVRMAINDKNLVLMTLPKTLLFVVQCFREPNGVYVALNSLAPLGPEVEKFSYRISYYFDGGTCSHKLPEMKRILEVSYQTPQDKNFMFVSNSLLCGEVLEMELCISEIK >fgenesh1_pg.C_scaffold_7002925 pep chromosome:v.1.0:7:20952893:20953543:1 gene:fgenesh1_pg.C_scaffold_7002925 transcript:fgenesh1_pg.C_scaffold_7002925 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFLHLLADPCSVSGIAKLEHVKRLEIKFCVRMFRETLHLSLKIGRDFVRLLQDLVYVSEFEDIWNDKASNHYSDTSQFYRLKTSSRQNHHTEQSAKLALFYDWLFFDDRINNIIMNVDHAALLMVRSTAVSVCYVLGY >fgenesh1_pg.C_scaffold_7002927 pep chromosome:v.1.0:7:20981687:20984487:-1 gene:fgenesh1_pg.C_scaffold_7002927 transcript:fgenesh1_pg.C_scaffold_7002927 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPKRSRSSDDDSDDDFKRQRIADPGRPSKSFTLENGLKVYVFSCGEDVDDSSASMTVRVGSFADPPEIPGLAHLQDYLAKYDGNTNAHTEFDHTTFSFEVDTEHFHDALDRFSHLFINPLMETERLEHEIDIIDSEFLLIKYSDADRLDQILAHTSYEDHPFKCFSWGNRVTLTKVPLASLRKSALDFFNTHYRASSMILVIVLGSGSGDVDKIQSSVTEFFRDIPKGISPYTPEISRPWDSGKTYFLQSVENNQRVMITWRIPRESHQQNKAAKYVMQLFSEEREGSLPFFLKEKGWIWSLKVYTGGKNGFSADDEDPSAYSSTSFGQLFILVLELTNEGLEQEYVLINHVYEYLGFLSLNTLPPYLMKEQKDLQDMRFRFLHSDGQLIDSLLGFADRLSAYILWCDANHALSQCFSDPTCDHSEIDFFLKKHFTPANMRIYWLVKTLPEKEVCQDEPWFGTSYMEKEIPESCIKDWVGLRNFFPKGSRFSFPSENLFMLSNENLLGSDDEELRPSNENLLGSDDEELHPSNENLLGSDDEELHEGVEMELHDSSEDGDSDGIDNTIKITKNIFYVSEEEDGEENPNNDGAEAKTSERIL >fgenesh1_pg.C_scaffold_7002928 pep chromosome:v.1.0:7:21034283:21037268:-1 gene:fgenesh1_pg.C_scaffold_7002928 transcript:fgenesh1_pg.C_scaffold_7002928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF-1 alpha [Source:UniProtKB/TrEMBL;Acc:D7MIL5] MGDLVKGKYWPKVADEHRKKPQSIMLADKNAPNEDVWRQIEDMCRRTRASAVPIVADSEGTDTNPYSLDALAVFMFRVLQRVNHPGKLDKESSNAGYVLLMFYHLYEGKNRNEFESELIERFGSLIKMPLLKSDRTPLPDPVKSVLEEGINLFNLHSRRHGRLESTKGTYAAEWTKWEKQLRDTLVVNSEYLNSIQVPFESVVHLVREELKNIAKGEYKPPSSEKTKHGSIVFAAINLPATQVHSLLEKLAVANPTMRFFLEGKKKTIQEKLERSHVTLAHKRSHGVAAVASYGQHLNREVPVELTELIYNDKMATLTANVGCVDGETVVSKNEWPHVTLWTGEGVTAKEANTLPQLYLEGKASRLVIDPPVSISGPLEFF >fgenesh1_pg.C_scaffold_7002931 pep chromosome:v.1.0:7:21054752:21056206:1 gene:fgenesh1_pg.C_scaffold_7002931 transcript:fgenesh1_pg.C_scaffold_7002931 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSFISTITYCLFLRYPHKKPKHKSHLNYYHTMPRARPLSLQTIDLTVRMCCSGCERVVKHAIYKLRGVDSVEVNLEMERVTVVGYVERKKVLKAVRRADTTRKFRESYNYYRHGYNLSDRHGHIHVTNRGDDKVSNFFNDDNVHACRLM >fgenesh1_pg.C_scaffold_7002946 pep chromosome:v.1.0:7:21162988:21165997:-1 gene:fgenesh1_pg.C_scaffold_7002946 transcript:fgenesh1_pg.C_scaffold_7002946 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGTCIAQHVLTAADDSNPFWRILEKAVKDARDKTSKPEIFPASTDARYFWKAAADERKNIVLLAFETMEKIVREYFSYITETEATTFTDCVRCLITFTNKGGLVWNEKGRSSSPGTPVTDDHTPTSQNFMEADENISYWVPLLIGLSKLTSDSRSAIHKSSLESSSPSTFSPRPNEASWDAETSAMAAQSLVDLFVSFFTVIRSQLSSVVSLLAGLIRSPAQGPTVAGVGALLWLADELGGSFSEDEWKEIFLAVKEAASLTLSSFIKILRIVDDIPDEETLSDQDFSNEDDVDEDSLQIMSYVVSRTKSHITVQLQVVQKKLRRACSILELSEPPMLHFENDTHQNYLDVLQDLLTYNPGVSLELNIESELITGGAELEETRQPKNWILPMGTASKEEAAARSPLVVTVLKTLRGLERDSFKSSDNPELVAFMMKNGNMPACPPEDPTVKMLYVKRLSRTTLVEEDLRDCLSVYGDIESIRMVQEYNGAAFITYGTREAVEKCMEDLKTWVEIKGHKLKILWVYKYQPHRYTTISSNQQGAVTSTQEGASSSSSALEVKRRKIE >fgenesh1_pg.C_scaffold_7002947 pep chromosome:v.1.0:7:21166787:21167549:-1 gene:fgenesh1_pg.C_scaffold_7002947 transcript:fgenesh1_pg.C_scaffold_7002947 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPMLYSAGNSLLWRNRTPIPPSRPPPSFKSLVSPFSSILVFMMNRTRISPISCSAEISSNVALAQSQPSSDYNPLSDFAQNVSGEWDGFGADFSREGKPIELSVVPEAYREWEVKVFDWQTQCPTLAQPDDAATRYCIDERSIGSALAYSYSFIGSYVAVWPLGKNNSNQLEVEHCLINPNDKVSRVRVINLAETEVLLGWSLQDGDQLVALPLPLHLPQQLQL >fgenesh1_pg.C_scaffold_7002949 pep chromosome:v.1.0:7:21173339:21173991:-1 gene:fgenesh1_pg.C_scaffold_7002949 transcript:fgenesh1_pg.C_scaffold_7002949 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTKYDIHGFPLALHIWILESIPMLQTAYSRISLIERPTAFLCEKYTSLPSPQLSQIQNIEASNHLNVFCILPSIPDDPEDKVSLEDEDDPELGLLVELLGKGYKMKSEDWTKRTLDVGAVIEEFAMIQCHSMRIEDFSKLCDQDS >fgenesh1_pg.C_scaffold_7002952 pep chromosome:v.1.0:7:21182872:21186248:-1 gene:fgenesh1_pg.C_scaffold_7002952 transcript:fgenesh1_pg.C_scaffold_7002952 gene_biotype:protein_coding transcript_biotype:protein_coding description:RabGAP/TBC domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MIP8] MFPRLRLTKVFFSPILAGKWIVFADGSSGGGAGRNGYYGGLWSSAIVPSNVGLAVAVTVMAVAVAFTVYSRRGGIGSPWSLRRRKRVLQPKQWNAFFTEEGRLSDGGAFLKKVRSGGVHPSIRPEVWPFLLGVWQPVINLLNVLIKLGIRSRSLDLLSLRFRVSLTEYENLRRQCREIHERNENGCDSKQTAQSSNTEDSQVLDSHDIEEVESSTRSITVEESEKLNSESIMQDEICEKSDITTDDAAGNDSDSTNPDETETSPLLANEEVESHNTVNQEKDNSSPSSKPKSQAAEEDFMSTWQRIIRLDAVRANDEWVPYSPSQAAVSETKARGIAIQVGLNDYDHLEPCRIFHAARLVGILEAYAVYDPEIGYCQGMSDLLSPLIAVMEDDVLAFWCFVGFMSKARHNFRLDEVGIRRQLSMVSKIIKVKDIHLYRHLENLEAADCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQAAIRTGIAKATSGRIRLRAPPTEDLLLYAIAASVLQRRKTIIEKYSGMDEIMKECNSMAGHLDVWKLLDDAHDLVVKLHDKI >fgenesh1_pg.C_scaffold_7002983 pep chromosome:v.1.0:7:21366932:21371603:1 gene:fgenesh1_pg.C_scaffold_7002983 transcript:fgenesh1_pg.C_scaffold_7002983 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7MIS6] METVFAFGLFSGDRIFESVVVLEWRKFHHRGSSYSEGKRKPEYDLETKQPFLKKHKKISKETTKGLADSLQQNDLLETNSDQANLISVKEAAVRKKTLFVANLNYETKIPNIIDFFKKVGRVVRVRLIVNLKGKLVGCGFVEFASSKEAKKALRKKNGEYLLDNKIFLDVANKKATYLPPKYCIDHKVWYKDYRRGDCLPIEEDETPPEFDEKVLFVSNLSPQTKISDIFDFFNYVGEVVSVRLIVNHEGKHVGYGFVEFASANLTKKALENKNGEYLHNHKIFLDVAKTAPYPPRPKYNLAEKFCYEDYLRRESLPIEEEQTPPDFVEAVGVSTKTLFVAHLSRKTEISHIINFFNDVGEVVHVRLILNHNGKHLGCGFVEFGSANEAKKAYEKKNGENLNDCKIFLEVAKIAPYPPPKYCIDHKVWYEDYLRRESLLIEENEAVEGLDEPPVFVEEVAVQKKTLFIANLSHKTKISHIIKFFKDVGEVFRVRLIVNHRGEHVGCGFVEFASANEAKKALQKKNGENLRSQKIFLDVAELAPYPLRPKYNLAEKLWHERESLLKKQKASKLLSEKSEFCGTKIIFSYDDDDEDD >fgenesh1_pg.C_scaffold_7002986 pep chromosome:v.1.0:7:21394243:21394862:-1 gene:fgenesh1_pg.C_scaffold_7002986 transcript:fgenesh1_pg.C_scaffold_7002986 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTPKTTSSNKRKADDAPPSAGSNVFEEESRPPGIKAMKAKRNKGKGKVGPAFATDDNIWEKKEKDMAQREKLQKMSVYKTLLARSESLDEDEKVLKKKLMVQEDMGLDSMALKRRLIAVTLEAHSPVCGPLVM >fgenesh1_pg.C_scaffold_7003005 pep chromosome:v.1.0:7:21538307:21538904:-1 gene:fgenesh1_pg.C_scaffold_7003005 transcript:fgenesh1_pg.C_scaffold_7003005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIV6] MAVVTKEVMMEKIRPMVVEIKKKKRKEYDNSKNRTIFGSKKPMYESKDSCSNCRTYDDGLWRILKSEKIKKIWTRMTYVTVEGDMWRICCNVRGNKDCGGGSGVEGDVDDEED >fgenesh1_pg.C_scaffold_7003025 pep chromosome:v.1.0:7:21694937:21699725:-1 gene:fgenesh1_pg.C_scaffold_7003025 transcript:fgenesh1_pg.C_scaffold_7003025 gene_biotype:protein_coding transcript_biotype:protein_coding MANEHVIVQFQLERALARANSGMIWRKKKMKRERRRITICFFIKVPIKAQPKPDNFLIVSINICSLGLLLALNGLFPEQIHKPSVFIFSMASSSNLLAKRKPEDDLETKPILKKHKEISEKEKEITEGFADSLHQVELLETKSDQPNLISVKEAAVRKKTLFIETLPYDTEIPNIIDFFKKVGEVVRVRLILNLKGTLVGCGFVEFASSNEAEEALQKKNGEYLCGHKIVLDVANKGAKYLPPKYCIDHKVCYEDYLRRESLPIEEDETPPGFVEKVLFVANLSPQTKISDIKDFCKDVGEVVSVRLIVNHECKHVGYGFVEFASANAAKKALEKKNGEYLHDHKILLMKGLDETPDFVEAVAVRKKTLFVAHLSHQTEISHIISFFKDVGEVVHVRLIVDYAGKHLGDGFVEFASANEAEKALEKKNGEYLHDRKILLDVAKTAPYPPRPKYCIDHKVWYEDYIRRESLLIEEDEAVEGHDETPDFVEEVAVRKKTLFFANLFYRIRISNIINFFKDVGEVVGVRLIVNHRGEHVCCGFVEFSSANEAKKALQMKNGENFLGRFIFLDVVKLAPYPIRPKYNLVEKLWFEEYLRRQYLLIEDNLETKPNKKKQKAPTMMSRFCGKKITFSYDD >fgenesh1_pg.C_scaffold_7003044 pep chromosome:v.1.0:7:21808556:21809163:1 gene:fgenesh1_pg.C_scaffold_7003044 transcript:fgenesh1_pg.C_scaffold_7003044 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLIMFLFLLQLLSLNTLSLKHSSAKPNAKITVMGLVYCDICSNNSFSKHSYFIPGVEVRIICRFNSASSRTREMITFSANRTTNELGLYKLDITSLEGVACAAAAKKDSLMASCQASLIGSSKDSCSVPGFKTTTDQVVFKSKRSNLCVYGFTALNFRPLQKNLHLCGK >fgenesh1_pg.C_scaffold_7003049 pep chromosome:v.1.0:7:21823238:21824997:1 gene:fgenesh1_pg.C_scaffold_7003049 transcript:fgenesh1_pg.C_scaffold_7003049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:D7MJ03] MPSFRYRERAHRVDEALGFMHVAGLMLDHPIIQQQISGIPYECLSYKQSLTRLDSTSGLYNDCSSHMIWVAKERGTPTTRQVEQARTEIENLWRMVGEENNQKKRHYQHSHRVQCLLYSLVPMEHQYLKMRVCRTGDSENTTKTKFTALVLDATSNESWGPNGSLLVDIAYAWRYQ >fgenesh1_pg.C_scaffold_7003055 pep chromosome:v.1.0:7:21843468:21844819:-1 gene:fgenesh1_pg.C_scaffold_7003055 transcript:fgenesh1_pg.C_scaffold_7003055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of outer membrane 20-4 [Source:UniProtKB/TrEMBL;Acc:D7MJ11] MDMQNKNERLMVFEHARQVSEATYVKNPLDVDNLTRWAGALLELSQFQKPSESKQMIQDAISRLGEALLIDPKKHDALWLIGNAHISFGFLTPDQTEARENFEKASQFFQLAVEEQPENELYRKSVELASKGPELHTEVHRHGLGPQPLGGTAGPSSTSAKTMKQKKNSEFKYDVFGWVILAGYVVAWISFAKSQMPESRQ >fgenesh1_pg.C_scaffold_7003069 pep chromosome:v.1.0:7:21952292:21952824:-1 gene:fgenesh1_pg.C_scaffold_7003069 transcript:fgenesh1_pg.C_scaffold_7003069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJ28] MSKKTAPNNENIEFYSTPPKRWRRNEAINTSRSIPRSNTPVSNGSVPLRSAFNTVLQDITNLSSTPVIPTVMSEDPPTVRSALKKTIVRGTPHGSHLTSVSPISILSTSVSTPLGLRDMTLGTGQTPLMPPPSETRPRLG >fgenesh1_pg.C_scaffold_7003070 pep chromosome:v.1.0:7:21962198:21963592:1 gene:fgenesh1_pg.C_scaffold_7003070 transcript:fgenesh1_pg.C_scaffold_7003070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJ29] MPKKKKKKKPLRGVVLASSKFAVLYARAPASSSGGGQTGGSGVPIKCAATVPAVSDLVSSDLGSVSSDLSLVMASGAVPNPEPSLVASSLVSAVDGSASGVETSVLSEASRAIPSLAQSTVSETDLGIAPRAERVSEIVSMAVLTDPVRVVESFSSGKIIVEPGVALVQKGTDDSWVDFVRELLLLLIIVFLQNPNFLFHSFFVSSIFACCNLIQSYPSME >fgenesh1_pg.C_scaffold_7003084 pep chromosome:v.1.0:7:22060672:22062153:-1 gene:fgenesh1_pg.C_scaffold_7003084 transcript:fgenesh1_pg.C_scaffold_7003084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MJ49] MQSSLSTFKHKMSKDEGKINREMLMLQKNQARGTRASLNQFDTRKRLIVGTKPTLPDLNAKLCSDSEEEEETIKNLTSLTPQAAHYGLPKLLFDLEVEIFARVSCFQYWKLNFLNKQFSQLLRNREIFKVRRERGLVQPYVLMFWSGETCWAMFDKGFKNFRQLPEIPSDFCFFSGDKETITAGTHLIVIGREKERIVVWRYELEINKWIKDNEMITPRVMYASASRGTDAFFAGGIKTGEKGVSNVVNIAERYNSDTKTWKAMKAMHKRRKFSSGCFLRGKFYALGGRDENDVYLTCGESYDELTDSWKLIPNMLKGMTFMNPQSPPLIAVVKDNLYLLETWLNELWVYDINANAWKSLGVVPVKANAALGWGVAFKSVGDRLLVIGASATQSWDNNTMSVYTCRPSPKVEKIIWEETRCDGVKLSHFIRNCCVMLA >fgenesh1_pg.C_scaffold_7003096 pep chromosome:v.1.0:7:22135753:22136915:1 gene:fgenesh1_pg.C_scaffold_7003096 transcript:fgenesh1_pg.C_scaffold_7003096 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSLESSKTGPDVFGYYRTQVEELLSQKEKIPHHKHVDTKKSSTEIIGAELSDLKKEKLNALLRQCVLDSTPEVDEMQSRVDSLHLMSQLSNKKPSTLPTDAESVIPEDPSFKEVEDDIQLLMKSDPGLVKEIVGKHSSDLLARLNDVQQQLEKLLDNVVTTCRPMSRGEKRDLQRTIKELPGENLERVAGIIKNHYVALGKEMPDDVFVINMEEEDNILLWRLHYFVAAVKSARKLAS >fgenesh1_pg.C_scaffold_7003106 pep chromosome:v.1.0:7:22166767:22167281:-1 gene:fgenesh1_pg.C_scaffold_7003106 transcript:fgenesh1_pg.C_scaffold_7003106 gene_biotype:protein_coding transcript_biotype:protein_coding MATLASNVVCVGKVGGRLEINCRKKEKGRDQSNYPPYKVIEITPPPKSLGIRCLPHNLQCGENVMIEGQTYTISAVTHRYQLRKGKYEPSERRLDVLSAARYVLNLYFDNLLQNS >fgenesh1_pg.C_scaffold_7003120 pep chromosome:v.1.0:7:22282001:22285943:-1 gene:fgenesh1_pg.C_scaffold_7003120 transcript:fgenesh1_pg.C_scaffold_7003120 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDVIVMSRIVKHPAISLLDSGTTFKEIRQIHAKLYVDGTLKDDHLVGQFVKAVALSDHTYLDYANQILDRSDKPTLFALNSMIRAHCKSPVPEKSFDFYSRILSSGNGLKPDNYTVNFLVQACTGLGMRETGLQVHGMTIRRGFDNDPHVQTGLISLYAELGCLDSCHKVFNSVSYPDFVCRTAMVTACARCGDVAFARKLFEGMPEKDPIAWNAMISGYAQVGESREALNLFHLMQLEGVKVNGVSMISVLSACTQLGALDQGRWAHSYIERNKIKITVRLGTTLVDLYAKCGDMDKAMEVFWGMEEKNVYTWSSALNGLAMNGFGEKCLKLFSLMKQDGVTPNAVTFVSVLRGCSVVGFVDEGQKHFDSMRNEFGIEPQLDHYGCLVDLYARAGRLEDAVSIIQQMPMKAHAAVWSSLLHASRMYKNLELGVLASKKMLELETSNHGAYVLLSNIYADSDDWDNVSHVRQSMKSKGVRKQPGCSVMEVNGEVHEFFVGDKSHPKYNEIDAVWKDISRRLRLAGYKADTTPVMFDIDEEEKEDALCLHSEKAAIAFGIMSLKADVPIRIVKNLRVCGDCHQVSMMISKIFNREIIVRDRNRFHHFKDGRGYLGKCFEVLSRMMRSEVGFRPNEVTFLSMISACVHGGNKEEGVCIHGLVMKSGVLEEVKVVNALMNLYGKTGDLISSCKLFEDLSVKNLVSWNTMIVIHLQNGLAEEGLAYFNMSRWVGLKPDQATFLAVLRVCEDIGVVRLSQGIHGLIMFCGFNANTCITTALLDLYAKLGRLEDSSTVFLEITSPDSMAWTAMLAAYATHGYGRDAIKHFELMVHYGLSPDHVTFTHLLNACSHSGLVEEGRYYFETMSKRYRIEPRLDHYSCMVDLMGRSGLLQDAYGLIKEMPMEPSSGVWGALLGACRVYKDTQLGTKAAKRLFELEPRDGRNYIMLSNIYSASGLWKDASRIRNLMKQKGLVRASGYSYIEHGNKIHKFVVGDWSHPESEKIQKKLKEIRKKMKSELGFKSRTEFVLHDVDEDVKEEMINQHSEKIAMAFGLLVISPMEPIIIRKNLRICGDCHETAKAISLIEKRRIIIRDSKRFHHFLEGSCSCRDYW >fgenesh1_pg.C_scaffold_7003125 pep chromosome:v.1.0:7:22356856:22357363:1 gene:fgenesh1_pg.C_scaffold_7003125 transcript:fgenesh1_pg.C_scaffold_7003125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJ94] MESINRTRVIAAYENMVSDELRALLNSRPPKDVCCRARARRVAILKSRRIKARTRPPETGLVLPIYEDDYVHFLATDSDYDHGDREDQTDDDNAMDYDFVEHLDNADECIMEQEGFGSIPIINVKPGLTENGTYYGCFFYNF >fgenesh1_pg.C_scaffold_7003126 pep chromosome:v.1.0:7:22361168:22362302:1 gene:fgenesh1_pg.C_scaffold_7003126 transcript:fgenesh1_pg.C_scaffold_7003126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L476] MIPFTSDSCDGGYFRIASLNPNMGEWSVSVKILNCWSVSRGTGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWVSIKNFDVSRVNSILRPVPHRFKIVFRSDTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKIVNVRELVFVPSVEHSQGGYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKQRSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDLSITDEMLCWNPENDQVPIITRKENVME >fgenesh1_pg.C_scaffold_7003156 pep chromosome:v.1.0:7:22608961:22613107:-1 gene:fgenesh1_pg.C_scaffold_7003156 transcript:fgenesh1_pg.C_scaffold_7003156 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPKAQEETTKGFSDDTLQHKSDQANLVSVKETVEGLDDDIPDFVEATIKTLFVTGLCRKTTISDIIDFFNDVAQVVHVRLIVNHKGKHSGYGFVEFASANEAKKALEKKSGEYLHGHRIFLHLANYKKPAYFLPKYCIDHKVWYDDYLGRESFLIEDDETEEGLDDREGLLIEKNQAEEGLYDTPDSFEKVLFVANLSPQTKISDIKGLFKYFGVVSVRLIVNNKGKHLGYAFVEFASAYRANKALKKKNGEYLHDRQIYLMKEHDETPDYVEAVAIAKKTLFVSHLSPQTEISDIINFFKDVGEVVHVQLTLNNKGRHVGYGFVEFASADEEEKVRVVYSNDENRQVVILKRWNHDRKIVRRVVDAPYRPPKYCVDHKVWYDDYLRREGLLMEENEAVKGLYETPDFVEPFAVTKKTLFASNLSHLTKISDIISFFKDVGEVVRVRLIVDHMGKPVGCGFIEFASAEEAEKALEKKNGERLRFSKICLDMAEIAPYPLRLKYNLAEKLWYEDKLRGGSLDLETKPKVVPKFCGFSYLSRVLSGGTLKYA >fgenesh1_pg.C_scaffold_7003158 pep chromosome:v.1.0:7:22615723:22617587:-1 gene:fgenesh1_pg.C_scaffold_7003158 transcript:fgenesh1_pg.C_scaffold_7003158 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQTPNLESSLHDCSSSNYNNANASPVSGSASFKTSSNGSEDVRLNNSISLSFCSSNSVSSEANLEKSQSFDANEANFKRVFAPSKPHKGNDLRWDAIQSVKCSKNEDLGLGHFRLLKKLGCGDIGSVYLAELREMGCFFAMKVMDKGMLIGRKKLVRAQTEREILGLLDHPFLPTLYSHFETEKFSCLLMEFCSGGDLHILRQKQPGKHFSELAARFYASEVLLALEYLHMMGVVYRDLKPENVMVREDGHIMLSDFDLSLQSFVSPTLIQSTSQPSCHIASYCIQPPCIDPSCKLPVACIQPSCFKPRFLNNKPRKAKTEKAGSDSLPMLIAEPTDARSMSFVGTHEYLAPEIIRGDGHGSSVDWWTFGIFLYELLTGKTPFKGNGNRETLFNVVGQPLKFPEGSISFAAKDLIRGLLTKDPKKRLGFKKGATEIKQHPFFNNVNWALIRSTTPPEIPKPIDLSILNETLKSSVQQQGKHSKQSDSSSGPYLDFEFF >fgenesh1_pg.C_scaffold_7003160 pep chromosome:v.1.0:7:22645906:22647085:1 gene:fgenesh1_pg.C_scaffold_7003160 transcript:fgenesh1_pg.C_scaffold_7003160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJC9] MVSFIAFFTFLVLIIVIITNQPQPTATVDEDIEQGDPSHSIGGEETPIQKCPLPPRPPTERPSSSLTPPETQVPRNSPNRRRSNVNPEASSSSSGQDNAFLNLAPRHFQERRNTRLVPEPHQMDAISWVSLGYCLSAGLEFNSIYAQVNDPRNLSPRFILISMLTMNALCLLIGANALKEEDLGLEDERDVIFDSDHQTEEATRPQFVEVFRVSLNVTFEDPRF >fgenesh1_pg.C_scaffold_7003163 pep chromosome:v.1.0:7:22677590:22678264:1 gene:fgenesh1_pg.C_scaffold_7003163 transcript:fgenesh1_pg.C_scaffold_7003163 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLDLPENLRQIATFSQNGNNEGLTQMMANFNGNVNAILTTEGHSALHLAVLGGHAGCVTVLLSHGSDANATMDGGNTPLHCAARVGKRTIVDSLLAAGASLTAKNSSEKTASMIAEPGTSLGLDGVDDSGTRNFSRRQAR >fgenesh1_pg.C_scaffold_7003166 pep chromosome:v.1.0:7:22723755:22728186:-1 gene:fgenesh1_pg.C_scaffold_7003166 transcript:fgenesh1_pg.C_scaffold_7003166 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTESCVMTMTVTTTPASDLALTNLAYCSPSDLRCFAVPGTPDLFLANVGESISDGSIALNSIQRRHARVSAGDIVSVTRFIPPESFDLTVLTVDLEFVKKGTKNEQIDATLLSTQLKKKFTNQVLTVGQRVTFEYHGTNYIFTVNRAVIVADDENQTNCIERGMICKDTYFVFEASNASGIKIINQRESVTSKIFKEKEFNLQSLGIGGLGAEFVAIFRRAFTSRILPRDVVNRIGAKHVKGMLLFGPPGTGKTLMARQIGKMLNGKEPKIVNGPEVLSKFVGETEKNVRDLFADAENDQRTLGDASELHIIIFDEIDAICKSRGSTRDGTGVHDGIVNQLLTKIDGVEALNNVLLIGMTNRKDMLDEALLRPGRLEVHIEISLPDEVGRLQILQIHTKKMKDNSFLSPDVNLQELAARTKNYSGAELEGVVKSATSYAFNRQLSMEDLTKPVDEENIKITMDDFLQALLEVKPAFGASSKDLELCRPKGFVDCGYRQKYIYERVMFLVEQVKVNEISPPLTCLLHGSSGSGKTALAATIGIDSDFPYVKIVSAEAMNGLHESKKCAHITKVFEDAYKSPMSIVILDDIERLLEYTEIGPRFSNEILQSLLTFLKQSPPGGSKLLVLGTTSEVTFLKSVGLRKTFSVTYYVSLLRTEDAMKVLEQLNVFSEDDIEEASEALDDIPIKQLYLLMEMAAQRDGMSKEPIYNRKEKLNICHFLDCLQEVNGCI >fgenesh1_pg.C_scaffold_7003169 pep chromosome:v.1.0:7:22740843:22742594:-1 gene:fgenesh1_pg.C_scaffold_7003169 transcript:fgenesh1_pg.C_scaffold_7003169 gene_biotype:protein_coding transcript_biotype:protein_coding MESALVAFKRPSNEVQEEINSLLSRRWTLSEDLKLKELVAVSGPRKWTQIGKQMQGRTGKTCRSRWFNHLDPRIRNDAFSDEEEKLLIKAYNEWGCQWSRIARLFRGRTDRQMQKLWRKVMKKLKKTSTSIHELIANDKREGFLRDESRKMPQEETTHLEANKYLKETRQYLAHQRHFYNIPTGYLPMSTPHVSISQPSSSSLPSKPEDAFTPHASISQPSSSSLPSKPKDAFTPHVSISQPSSSSSLPSKSEEAKANVLVKYIDFLGVGDS >fgenesh1_pg.C_scaffold_7003189 pep chromosome:v.1.0:7:22916326:22918746:-1 gene:fgenesh1_pg.C_scaffold_7003189 transcript:fgenesh1_pg.C_scaffold_7003189 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAGVLRRAYSSRVRVLLSTRNLHSFRETESRSLCNSDFDVPTNRFCSCNRVRIQLPWNDYRFGCFEIGKVRTFSSTVDNNGENDDVEESAGSESDDYDEEGVINELGDVDESLLNDSVVAKTDEIGSEAARALNARYNDPVELYRELRESEVRSKIQRSEWDSLHEIFGYFAQSGWAANQALAIYIGKSFFPTAVSKFRDFFLEKCRIEVVQDLLRVGPTDEAVKFLFPVFVEFCIEEFPDEIKRFQSIVDTADLTKPATWFPFARAMKRKIVYHCGPTNSGKTYNALQRFMEAKNGLYCSPLRLLAMEVFDKVNALGIYCSLLTGQEKKHVPFANHVSCTVEMVSTDELYEVAVIDEIQMMADPSRGHAWTKALLGLKADEIHLCGDPSVLDIVRKMCADTGDELVEEHYERFKPLVVEAKTLLGDLKNVKSGDCVVAFSRREIFEVKMAIEKHTNHRCCVIYGALPPETRRQQANLFNDQENEYDVLVASDAVGMGLNLNIRRVVFYSLNKYNGDKIVPVAASQVKQIAGRAGRRGSRYPDGLTTTLHLEDLNYLIECLQQPFDEVTKVGLFPFFEQIELFAAQVPDMAFSKLLDHFGKHCRLDGSYFLCRHDHVKKVANMLEKVQGLSLEDRFNFCFAPVNIRNPKAMYQLYRFASTYSQDTPVNIAMGVPKSSAKNDTELLDLESRHQILSMYLWLSNQFEEKNFPFVERVEAMATNVAELLGESLSKASWKMESKEEKVKGQKKEDRGYERPASLIKLVNKRKEENLV >fgenesh1_pg.C_scaffold_7003239 pep chromosome:v.1.0:7:23289269:23289822:-1 gene:fgenesh1_pg.C_scaffold_7003239 transcript:fgenesh1_pg.C_scaffold_7003239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJN7] MKKKPLSPTENNVPESNPPKRNRGNEPYTRLRNISQFNLPIIRNGSVPLSTAFGSAFGDITNLPDPQVSPIENSADANTSSSAKQNSKNQGSLRGKILVPCSPYTRPNPLLTPYGLSAMTNTSQSLFSRTPTTPIMPPPSVTPKRIGG >fgenesh1_pg.C_scaffold_7003247 pep chromosome:v.1.0:7:23350395:23351681:1 gene:fgenesh1_pg.C_scaffold_7003247 transcript:fgenesh1_pg.C_scaffold_7003247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-a24 [Source:UniProtKB/TrEMBL;Acc:D7MJP8] MELLKRLLYAKILMMVMVIWIAPMTYGHGHATHVPGGRTGPPMTGAHPSHGAHPSHGVHPSHGSQPSHGAHPSHGAHPSHGGLGPHSGWGHGRATFYGDINGGETQQGACGYGDLHKQGYGLETAALSTALFNNGSRCGACFEIKCVDAPQWCLPGSIKITATNFCPPDFSKPKDCWCNPPQKHFDLSQPMFLKIAKYKAGVVPVKFRRVPCAKIGGVKFEIKGNPHFLMILPYNVGGAGDVRAMQIKGTRTEWIAMKKNWGQIWSTGVVLTGQCLSFRVTTSDGITKEFIDVTPPDWKCNGQSFDGKINF >fgenesh1_pg.C_scaffold_7003270 pep chromosome:v.1.0:7:23541314:23542887:1 gene:fgenesh1_pg.C_scaffold_7003270 transcript:fgenesh1_pg.C_scaffold_7003270 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLIYQPIRFHAWTNIDQNFLYKFDFKIWWRRNSEQAEEEKIKPFSQSSLNSNLSTCFDGSDRICDRGLRRSPATFSQPYPLLNSISKSKKEVHTFPSGALSHKSEPCLIDPPRYSSSRPLPEGSTHQQMLFSVPILGMTSPVMLAAVYGRAPQTRGMLGFPLLDWTQLGPIDYISRPITKSCNPTSHFAWPRSAFLNFLLSPSSLTSRYSDLTGRGLQLSPMSLSLRWVCNYISRTLAICKSAITSSFHQSFRLIDLLSLVSRYVNRLKNNGIMISSLRRGDYRNFFNLFSMFPLNTESEQVLSYDYKVDIHEIKGWRNFGIMIPFPWNGGYQSFLNFLPPNPPDDRTSLTSLLADEQIQLAILVPARTSAMEPYSTSLSLLTVTIVSSNASFVDDSSTNRVITCTNLLHSFGLQALMDPLSNYFCYLCVAFALTFVCCCYFFLSLLILVPLATLNLVSFE >fgenesh1_pg.C_scaffold_7003274 pep chromosome:v.1.0:7:23579605:23580899:1 gene:fgenesh1_pg.C_scaffold_7003274 transcript:fgenesh1_pg.C_scaffold_7003274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MJT8] MSSLLILIFIASIIAFSNYSSVAATTLPDTVHCNNNNHFSVSGGLDCIQEKPSFRHETKGYHREFQVLCPFSEVRCAKTRRILKHIRQARRRDHQHKKRRSSRFLQNKANSNKIPSDKKFFFPHPWFLPPNPFAPPHSIFPPNPFAPPPSIFPPNPFKPPPPSFLPPNPFQPPPPSIFPPNPFQPRPPPPSVFPPLFPQPPPPPSIFPPLFPQPPPASPPSIFPPNPFQPRPPQPPPAPPSIFPPNPFQPRPPQPPPAPPSIFPPNPFQPRPPQPPPTPPSLFPPIFPQPPPAAASPPPSIFPPNPFQPRPPQPPPTPPPSIFPPNPFQPHPPLPSPPPPWSLFPPLPPIFPGLHPPPPPPPPPPPPPSFFPFPPFPFLPPPRNPGPPPASSSSANKQPP >fgenesh1_pg.C_scaffold_7003279 pep chromosome:v.1.0:7:23608690:23610315:-1 gene:fgenesh1_pg.C_scaffold_7003279 transcript:fgenesh1_pg.C_scaffold_7003279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7MJU4] MENLDEIRTDIVEGSAPLLQESSSESDGGGEFNGASFSGAVFNLATTIIGAGIMALPATMKILGLIPGIAMIVLMAFLTDASIEFLLRFSNIGNQRSYGGVMDDSFGKCGRILLQVSILVSNIGVLIVYMIIIGDVLAGKNEYGIHHAGMLEGWFGINWWNRRTFVLLVTTLIVFAPLTCFKRIDSLRFTSAVSVALAVVFLVITAGITIVKLFTDGLMMPRLLPNVTDLSSVWKLFTVVPVLVNAYICHYNVHSIQNELEDPSRIKPVVRSALAMCSSVYVMTSLFGYLLFGDATLDDVLANFDTDLGIPFGSVLNDAVRFSYAAHLMLVFPVVFYPLRINIDGLIFPTAPPLTSSGSDLRFGSITAGLIAVIFLGANFIPSIWDAFQFTGATAAVCIGFIFPAAVILKDRHNQATKRDKTIAICMIVLAVFSNAIAIYSDAYALFKKNTYVYPI >fgenesh1_pg.C_scaffold_7003284 pep chromosome:v.1.0:7:23638324:23640252:-1 gene:fgenesh1_pg.C_scaffold_7003284 transcript:fgenesh1_pg.C_scaffold_7003284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MJV3] MVNLLSANREALIAQSICATVLKGNWKNILKHKVDSGLLKSSIITQVISELSLYSGYGGPSLSWSFYSWTDSLPSCKHSLQSSWKMILILTKHNHFKTAHQLLDKLSQRELLSSPLVLRSLVGGVSEDPEVLSHVFSWLMIFYAKSGMINDSIAVFEQIMSCGLKPHLQACTVLLNSLVKERLTDTVWKIFKKMVKLGVVANIHVYNVLVHACSKSGDSEKAEKLLSEMEEKGVFPDIFTYNTLISVYCKKSMHFEALSVQDRMERSGVAPDIVTYNSLIHGFSREGRMREATRLFRKIKGVVMANHVTYTTLIDGYCRMNDIDEALRLREVMESRGFCPGVVTYNSILRKLCEDGRIREANRLLTEMSVKKIEPDNITCNTLINAYCKIEDMVSAVKVKKKMIESGLKLDMYSYKALIHGFCKVLELDNAKEELFSMIEKGLSPGYSAYSWLVDGFYNQNKQDEITKLPEEFEKRGLCPDVALYRGLIRRICKLEQVDYAKVLFESMEKKGLMGDSVIYTTMAYAYWRTGKVTDASALFDVMYNRRLMVNLKLYKSLSASYAGDNDVLRFFWSHLMLTVLT >fgenesh1_pg.C_scaffold_7003305 pep chromosome:v.1.0:7:23915415:23917106:-1 gene:fgenesh1_pg.C_scaffold_7003305 transcript:fgenesh1_pg.C_scaffold_7003305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7MJX7] MRALTWTAMSPTSMVVSQTATSTVNFRRISLRRDRVCVRATASSGTAVSGGGVVEAVELVEIGERSKKWKWKGEYSVNYFVKDSPEEVTPASQTVLLVHGFGASIPHWRRNINALSKNHTVYAIDLLGFGASDKPPGFSYTMESWAELILNFLEEVVQKPTILIGNSVGSLACVIAAASESQRDLVKGLVLLNCAGGMNNKAVFDDWRIKLLMPLLLLIDFLLKQRGIASALFNRVKDRENLKNILTNVYGNKDNVDDTLVEIIAGPANSEGALDAFVSILTGPPGPNPIKLIPEITKPVLVLWGDQDGLTPLDGPVGVGHCPQDDRPDLVHERLLPWLAQLSST >fgenesh1_pg.C_scaffold_7003324 pep chromosome:v.1.0:7:24047515:24051466:-1 gene:fgenesh1_pg.C_scaffold_7003324 transcript:fgenesh1_pg.C_scaffold_7003324 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLVSPLTSQLNHEAVCSKFVLPKSPFMSGSKLFSSNMPCSTVPRRTRRSHCFASSKDMSFDHIPKQFRGDNLKDGVMQNFQTVPQYFYGLNPAQMDMFMTEDSPVQSRTFLNYGQHEQGSRVLIALCEESEIAEMLSHDMKKEGLTARTLTLKLKTTSFEIRSRAVSLQRYTCSSDDILKHGAKLLKAELPVSVLLIGLRMSQFVGEIRNSDPSQGTITKFIVQKDSSRQDLDDNDSFDLDAKRSQIDSEKVIPNQECVEKEERPQVLEGDVLLKKHKECKPDTNHLMNDSYKVQNTEAVSVFPQMEPLHWVDGYKCVLCGIELPPSFVEERQEHSDFHLAQRLQNEESGSSSSTTPSKRRILGKEKVNSKPKKQKPDQKDSSKHKEQSKLERNSEEMKMALIPAKLNLSPSNSLGEPKSLPSMSDILTSSRARKIDLKIQTLGPFFRVTGKNLETGGEVGRVEGVVRPWFGHGLVLHLDTIRLTKETVAMDKSVLGVGLYVGAVAIRHGYDCGCRTAQLLAIYDSDLYHSKLVRFYRRIGFEEVKEVSGSSIGDMADMLMWGGVGTRMDANIHHLLLKWSKVFLKSHS >fgenesh1_pg.C_scaffold_7003331 pep chromosome:v.1.0:7:24122744:24124395:1 gene:fgenesh1_pg.C_scaffold_7003331 transcript:fgenesh1_pg.C_scaffold_7003331 gene_biotype:protein_coding transcript_biotype:protein_coding MQISAKTQNTGVSLHPGVEATWQSLVGGYMCKNAYTMTDEFVVSIPGMENAFPELSGAVLVCSVPPSGNSGLVLRYLFSKPVAAFKVTLSLAAKGFQKSIPLCRETFFSQAMDDQLVKRYQDLMIESSRMPLFDLRKLNASLPVPKPMENSTNVLVLGAKDDFIVDDEGLKETGRFYEVEPVCIEGVAHDMMLDCSWEKGSEVKERNEKC >fgenesh1_pg.C_scaffold_7003332 pep chromosome:v.1.0:7:24132566:24133248:1 gene:fgenesh1_pg.C_scaffold_7003332 transcript:fgenesh1_pg.C_scaffold_7003332 gene_biotype:protein_coding transcript_biotype:protein_coding MSKENHMLPESSNRTRVSPYPLRSSRTKKYKAHESFIQSEWEDVRGEVFETTKGTSTASRFMNAKPRSCPIDDCNFSGTYSQLDKHLKKSIAVSSPPKVDPQRQCRWEQMERHVEYDDLMSAAEIPHIHEVVHHQLPYTHHLPVFRLNIVNGTVRNIIRPVHVRN >fgenesh1_pg.C_scaffold_7003333 pep chromosome:v.1.0:7:24140220:24141880:1 gene:fgenesh1_pg.C_scaffold_7003333 transcript:fgenesh1_pg.C_scaffold_7003333 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIEQRRSKSERENPPLVFVHGSYHAAWCWAEHWLPFFSSSGFDSYAVSLLGQGESDEPLGTVAGTLQEWKMRFQNFQGLYLFARFHLRVTLSLAAKGFQKSIPLCRETFFSQAMDDQLVKRYQDLMTESSRMPLFDLRKLNASLPVPKPMENSTKVLVLGAKDDFIVDDEGLKETGWFYCVEPVCIEGVAHDMMLDCSWKKGAEVKERKVKC >fgenesh1_pg.C_scaffold_7003350 pep chromosome:v.1.0:7:24285777:24289064:-1 gene:fgenesh1_pg.C_scaffold_7003350 transcript:fgenesh1_pg.C_scaffold_7003350 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSGDDDPIIHFVFVHGASHGAWCWYKIITLLDAAGFKSSTVDLTGAGISLTDSNTVFHSDQYNRPLFSLLSDLPPFHKVILVGHSIGGASVTEALCKFTDKISMAIYITASMVKPGSISSPDLLNKLEGYEEICDYTFGEGTDKPPTGFIIKEEFRCHYYYNQSPLEDITLSSKLLRPAPMRAFQDLDKLPPNPKAEYVPGVYIKTAKDNIIDPMRQDQMVENWPVFQKYVLEESDHSPFFSVPTTLFAYLLHAVSSLQR >fgenesh1_pg.C_scaffold_7003354 pep chromosome:v.1.0:7:24333399:24335445:1 gene:fgenesh1_pg.C_scaffold_7003354 transcript:fgenesh1_pg.C_scaffold_7003354 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTTNLSFSVFFFLFCLISVTSDLEADRRALIALRDGVHGRPLLWNLSAPPCTWGGVQCDSGRVTALRLPGVGLSGPLPIAIGNLTKLETLSFRFNALNGPLPPDFANLTLLRYLYLQGNAFSGEIPSFLFTLPNIIRINLAQNNFSGRIPDNVNSATRLATLYLQDNQLTGPIPEIKIKLQQFNVSSNQLNGSIPDPLSGMPKTAFLGNLLCGKPLDACPVNGNGTVTPLKGKSDKLSAGAIAGIVIGCFLGLLLFFLILFCLCRKKKKEEVRSRNIEAAPIPTSSAAVAKESAVANGPPPVANGAPHLNGASKNPVVSKDLTFFVKSFGEFDLDGLLKASAEVLGKGTFGSSYKASFDNGLVLAVKRLRDVVVPEKEFREKLQVLGSISHPNLVTLIAYYFSRDEKLVVFEYMSRGSLSALLHGNKGSGRSPLNWETRAAIALGAARAISYLHSRDATTSHGNIKSSNILLSESFEPKVSDYCFAPMISPTSTPNRIDGYRAPEVTDARKISQKADVYSFGVLILELLTGKSPTHQQLHEEGVDLPRWVSSITEQQSPSDVFDPELTRYQSDINENMIKLLKMGISCTAQYPDSRPTMLEVTRLIEEVSRSPASPSPLSD >fgenesh1_pg.C_scaffold_7003356 pep chromosome:v.1.0:7:24356920:24358739:1 gene:fgenesh1_pg.C_scaffold_7003356 transcript:fgenesh1_pg.C_scaffold_7003356 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDMRVPIVKSSLVVEAMHMSRKKLGVYDESRGDSETAKARAEAGLFEVKKSGLLIEMLNRGPEFKEKDMEVSKIEEKYAEVMRVLEAVKEEVSRVKLDVSSVLGERIAAEKEVEELRFKTEGNLRLLESLKKEIEVANEEHLMAALGKIEALKGYKEIERQREGKAVEVLDLLVERNKRIKKMLDEAERSKDIENELFETNSDVEMLEIQLKLFKKIERRVQGRDSSMSRSNRSFGRGKYSLSVLKEVTEATEAKKEELVSVNVEIFRIMTVMDGLRDEIIRAKDETARLEKILRKNDVKIQKINSKMLIERSKLEAVSIAEERISSLADNLVGSLEKLKRSSKAAEKEEFFLKEEKMVTKAETQKTKIEIDKKERELISKLDELEKVKHTEALVLEKLETLVEDTIESREMESEHCSTITISRFEYEYLSKHASQAEETAVKKVAAAEAWVEALKVSTKSVLLKSDTLMRESEMMRAEEEKELFRIERSLSTKRLMEGEIQKFKENSETEGYISPKPVGKFTPVQRGKPRRYSSAGTPTFFVIKKKKKVPRLAKFFSRKS >fgenesh1_pg.C_scaffold_7003361 pep chromosome:v.1.0:7:24402286:24403423:-1 gene:fgenesh1_pg.C_scaffold_7003361 transcript:fgenesh1_pg.C_scaffold_7003361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK45] MMRPTVASSSVNPNPSIEEYCIMMKPSEPYVHSVRQIHDIKKASLSYEPGKTSTKLRSRDVSGSPQFHFRGKTKGYMTRLIYLWLGKKSVMKFTPHFKMVSLDSPCHLAKACEIFIEEGVPHDVVHQQMFTSANVNVPEMKTLIDVDQI >fgenesh1_pg.C_scaffold_7003362 pep chromosome:v.1.0:7:24404010:24405673:1 gene:fgenesh1_pg.C_scaffold_7003362 transcript:fgenesh1_pg.C_scaffold_7003362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C [Source:UniProtKB/TrEMBL;Acc:D7MK46] MVRPIVATSSVNPNPTVEESFVMMEPSEHYVNSVRQIHDQSWLVCTTDQERHLLNQDLVKFWVHHNSIGLHEKLDLPLARIKKVMKSDPQVKMVSSDSHVLLAKACDIFIEEVTLRAWRHTQSCSRNTIQSCDIYKALKQSVIYDELNDLVSFGQRSVTHQGVPQDVVQQQLFPSANVNVPEMKDPIDIDKIQQQCLYFQTTEHFIDTGEFELDPNLIFDVSAMDCTYGFDCAVRIVLVEAVFEEKLS >fgenesh1_pg.C_scaffold_7003383 pep chromosome:v.1.0:7:24626648:24628180:1 gene:fgenesh1_pg.C_scaffold_7003383 transcript:fgenesh1_pg.C_scaffold_7003383 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:D7MK83] MDLESVTLNVKHECIEMNEEDDDGETEGLVRSETQQTQTVFESNHCVKDDVWKEFVPIGKGEDGKERCRCIHCGKDLVTPTFTSNLWRHLRSCTKKTETKRGGNGCGQDRLNKDQNKCNSVDVVGASVYECSSDREGVSNNTLLKKRQRSSILEGKTRSGMLLGLDVLDCPICFEALTIPIFQCDNGHLACSSCCHKLSNKCPTCASPVGHNRCRAMESVLESVFVTCRNAKFGCAKNVSYGKVSIHEKECTFSQCSCPALDCNYTGSYNNIYSHFVDNHRNKSTSISFVCGGSVDVQMNISTGNILVLQESKKGLLFALQCFYKPHGLYVTVRCIAPSTPEVGKLAYCLYYSMDGHTLTYKSPEVKKVLEVSSETPQDNFMFVPHSLLRGEFLEMKIAIGLKVHAGS >fgenesh1_pg.C_scaffold_71000005 pep scaffold:JGI8X:scaffold_71:15328:16216:1 gene:fgenesh1_pg.C_scaffold_71000005 transcript:fgenesh1_pg.C_scaffold_71000005 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFKIDLEGSVYKCKHCEVEFVVYGDPPITRNLLLQYPPSLGKLYCITKCYNVVIDADIMEFTVNGRVDKSMRPVFCIGCGSHVGMYYEGADDTVMYNEGNFFINRFKLHGPPEGSDDENPSNQEE >fgenesh1_pg.C_scaffold_74000002 pep scaffold:JGI8X:scaffold_74:11318:18504:1 gene:fgenesh1_pg.C_scaffold_74000002 transcript:fgenesh1_pg.C_scaffold_74000002 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:D7MW76] MSAENSREVGRKLSLGLEASSRKEALERLKATRKGGLRNGGGGCEIRLQKPIFDTVGDEEYQSLVSRRREEDRGFVVDDGDLGYVDEGEEEDWSKPSGGAESTDESDDYGGRLQRRKTAKKKKTLKGAASITGEGRLSSMFTCSSFNKGKETTDKVKCCESILDEVLAEFTPDDMDRERHKRRKQSATVNKKYLVHDSPSIVTMEKEQVVTEFEVIPPSDANEVFTLNAAIDMKDKDTPLSANAGWKEAMCTGGTENEVPLASGSDSECQTEEFDLEADGSLLFYILDACEKPFDASMGTIYLFGKVKVTLFYSLVLLSCSSSGLIVDTLSGQLFVNRPFKDTAKPGQPNDIPKMFQSSKTLVELIECGETDAWLSMELMFHLNVLPLTLQLTNISGNLWGKTLQGGSAQRNELVLRHTYHWKKFILPDKISPHMKERTSHNVDDPSKGNKTKKGPAYAGGLVWSQRKAYMTNMCCFSISVVFTLSIIQEYNICFTTIPRSEDGVPRLPSSQTPGILPKLMEHLVSIRKCVKLKMKKETDLKCRELDIRQKALKLTANSLYGYLGFSNSRFYAKPLAELITLQGREILQRTVDLVQNHLNLEVIYGDTDSIMIHSGLDNIKEVKAIGAKVIQEVNKKYRCLKIELDCIYKRMLLLRKKKYAAIKLQFKDGKICEDIERKGVDMVRRDWSLLSKEIGDLCLAKILYGGMRQRGYKKGFSAKDTVPYIICYEQGSTSLASSAGIAERARHPDEVKSDDNRWLVDIDYYLSQQIHPVVSRLCAEIQGTSPERLAECLGLDPSKYRSRSNVTTCSDPSTSLLCATSYEERYKSCEPLALACPSCSAAFNCPSITTSVCASISNKSETEESDSIFWLKLRCPKCEAEGSTRRISPAMIANQCDDESCKYTTRSPNFRLLGDCERGTVCPNYPNCRGTLLRKYTEADLYRQLSYFCHILDTQCSLEKVSTFNLIASNQMDVGVRIQVEKAMTKIRPAVESAASMARSIRDRCGYGWLQLTDIAI >fgenesh1_pg.C_scaffold_747000001 pep scaffold:JGI8X:scaffold_747:3426:3958:-1 gene:fgenesh1_pg.C_scaffold_747000001 transcript:fgenesh1_pg.C_scaffold_747000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHN4] MSKKTAPNNENIEFYSTPPKRWRRNEAINTSRSIPRSNTPVSNGSVPLRSAFNTVLQDITNLSSTPVIPTVMSEDPPTVRSALKKTIVRGTPRGSHLTSVSPISILATSVSTPLGLRDMTLGTGQTPLMPPPSETRPRQG >fgenesh1_pg.C_scaffold_756000001 pep scaffold:JGI8X:scaffold_756:6:506:-1 gene:fgenesh1_pg.C_scaffold_756000001 transcript:fgenesh1_pg.C_scaffold_756000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MY29] MDKKTRSFLGFDPIEKQFKVLFMMGIVGSERVNLIMTLGTGKLRWRNIQCPFTLNPFRLCEGICINGVLYYLAKHIDERNQKCYVIVCFDVRYEKSKFLDSVCLFDQLINYKGKLGGFYLNYASSDGFPLKLTMWILEDVEKQEWSKYVYTVWDESKTVKVDHKLSV >fgenesh1_pg.C_scaffold_770000001 pep scaffold:JGI8X:scaffold_770:5226:6111:1 gene:fgenesh1_pg.C_scaffold_770000001 transcript:fgenesh1_pg.C_scaffold_770000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MY36] MMDSLKSKFNKFFQHINHDFENRLKKRNKEMKEMQPVVLAAKECFQNCLSLSELHECLSAKFSQNRQITVNLYEHYTRKKGGDAYDQLSLVRSLQRKKKKQLEDQKTEESLLQDDTEESLLQDDTKESLLQDDTEESLLQDDHQKTDETGLVDQKTLECLQDDQAKRQDLPQILRQLFTSKTRMGEAISSQREMVDTLMGLQRKTATKEELSSVQRDLRRKLQNVGSDISSQVVTNIQGTLTSRSDEAVTLFEKAVISKLKRAINKLQTSVQ >fgenesh1_pg.C_scaffold_80000002 pep scaffold:JGI8X:scaffold_80:7958:8982:1 gene:fgenesh1_pg.C_scaffold_80000002 transcript:fgenesh1_pg.C_scaffold_80000002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW84] MVVVILVTTELTLLAMVMAMVAAVIMMVVMAVAVLIMVAMVVVVKLVVVVVVIIVSDSCSSGGDEMASCGKVRDGFSVMGLSGSVGHGDPDMMMPLLTNPWLGLSQFWVSTLIADANSILALRVFFPLHRGVIVRAMMIWFIHGVFFGYARPSRFNDNSCRRLLNANLRPSTHMESRNHIGDICREALQRRLWFEMYNRMGLH >fgenesh1_pg.C_scaffold_80000003 pep scaffold:JGI8X:scaffold_80:11151:13179:-1 gene:fgenesh1_pg.C_scaffold_80000003 transcript:fgenesh1_pg.C_scaffold_80000003 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCFSKITDCYLGFKNSNFFLVGSEVGSGSVTRTITTTSERSFSTSYAAHQVDQTKDSPVSDMLIDSFGRLHTYLRISLTERCNLRCQYCMPSEGVELTPKPQLLSQSEIVRLAGLFVSAGVNKIRLTGGEPTIRNDIEEICLQLSSLKGLKNLAITTNGITLAKKLPKLQECGLDSLNISLDTLVPAKFEFLTRRKGHDRVMKSIDTAIELGYNPVKVNCVVMRGLNDDEICDFVELTREKPINVRFIEFMPFDGNVWNVKKLVPYAEVMDKVVSLRDPLRSGADDEALREIIGAAVKRKKAAHAGMLDIAKTANRPMIHIGG >fgenesh1_pg.C_scaffold_8000001 pep chromosome:v.1.0:8:5107:6382:-1 gene:fgenesh1_pg.C_scaffold_8000001 transcript:fgenesh1_pg.C_scaffold_8000001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLPEQNSLWDSMALAFEMFGRAFSVSESLFPTDVCQCTLEVLRKVMDVLASKGLLVEDRFMWRFYSCLLDCVHEVLTNIKCPVSDHVSSFIAALRMFFCFGLTGPPQFSHSDVVHKDKQLNVMLSTLISGVSKNAKNNPYRPPHLRKRDVLNTKQPVSCDWRHLSAHDSGSCDVISSDSDFSDSDGSVRDSYCAQSSKVRIAAIVCIQDLCQADSKSFTTQWMDLFPTSDVLKPRKFEATLMTCLLFDPHLKVVILVPLSH >fgenesh1_pg.C_scaffold_8000047 pep chromosome:v.1.0:8:278455:280027:-1 gene:fgenesh1_pg.C_scaffold_8000047 transcript:fgenesh1_pg.C_scaffold_8000047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Palmitoyl protein thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7MPU6] MEKGLKRSCVMVVVAFLAMVHVSLSVPFIILHEIGTQCSDATNANFTQLLTNLSGSPGFCLEIGNGISDSWLMPLTRQAEIACDNVKQMEELSLGYNIVGRAQGNLVARGLIEFCDGGPPVYNYISLAGPHAGIAAFPPCNSGGICNITNEIINGDVYSDFAQDNLAPSGYYKNPHNVTEYLKGCKYLPKLNNERPDQRNQTYKDRFTSLQKLVFVLFQNDTVIVPKESSWFGFYPDGDLTHVLPVSQTKLYIEDWIGLKALARAGKVQFVIVPSAHLIIADEDLVNYVVPLLEDQVSAAPRLNRKTKEPLHP >fgenesh1_pg.C_scaffold_8000066 pep chromosome:v.1.0:8:403443:405647:-1 gene:fgenesh1_pg.C_scaffold_8000066 transcript:fgenesh1_pg.C_scaffold_8000066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MPX3] MGKQGPCYHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGSLVNYTPLHARAEGDEIEIEDHRAQRVMIKGMSLNKKILKRKPYQENFTVKRANLEFHTGFKRKVLDEEASNRSSSGSVVSNSESCAQSNAWDATFPCKRRTCVGRPKAASSVEKLTKDLYTILQEQQSSCLSGTSEEDLLFESETPMLLGHGSVLMRDPHPGAREEESEASSLLVESSKSSSVHSVKFGGKAIKQEQLKRSKSQVLGRHSSLLCSIDLKDVFNFDEFIEKFTEEEQQKLMKLLPQVDSVDRPDSLRSMFESSQFKENLSLFQQLVADGVFETTSSYSSGSKLEDIKTLAKLALSDPYKSHLLESYYMLKEQKKRTEDSVTTTSKVSNLSPSKNNSLVTIERPCESLNQNFSETRDVMRSPKEVMKIRSKHIETKEILENSVSSFKPMSCGGPLVFSYEDNDISDQDLLLDVPSNGSFPQAELLHMI >fgenesh1_pg.C_scaffold_8000074 pep chromosome:v.1.0:8:503396:505473:1 gene:fgenesh1_pg.C_scaffold_8000074 transcript:fgenesh1_pg.C_scaffold_8000074 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQVQHTNKDYITIDQLNKKRSYRRMVENTIQGSVHHFLIEKFGAQLCEGSLIEICNFNVQDCNKNYKVSDHKFQMILTERTTITSVDQNFCKISLENFRFRNHEDLAKLKDMTQHLYDVIGYIKKIKKSDVRTHDTPTLRRVTLPLLLEGGMEITATIWAEQAEQAEQAEQVEQLEDKYRVVGSDNIVLIMTSVLIKTYQGAICLSASSGTKFYLSREFDPVTTFRKSFSYDGGCLVNLGSMIEQAPMIIRCSEPLHSINDIWEFISSDVPQKKIFVCKATITDIVLRKGWNYISCSTCSTKLEKSGSSLNCQKCGKTSQSVGVLSFKIEVIVDDGDDSATLVIFDQDGSQITGTTAEDIKRNSGEEELKGIPKSLRTIIGETYLFALSRVTTTAGLQILKVGTKHYDSSVLQNIVYQEVFNNI >fgenesh1_pg.C_scaffold_8000076 pep chromosome:v.1.0:8:510432:512590:1 gene:fgenesh1_pg.C_scaffold_8000076 transcript:fgenesh1_pg.C_scaffold_8000076 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRRSGLDRFKKSETSEPFSVSANPPPVVVQHSSAALSGKTQIGAGQSNWHPPDWAIEPRAGVYSLEVVKDGQILDRIHLDRRSHIFGRQHQTCDYVLDHQSVSRQHAAVVPHKNGSIFVIDLGSAHGTFVANERLTKDTPVELEVGQSLRFAASTRIYLLRKNSEALFSRPQIKLPPPPDASDEEALVAYNTLLNRYGLSDGESGSVLGKRKENTGSEAGVAKRMKNVRVSFRDQLGGELAEIVGMSDGADVETEPGPINVKEGSLVGKYESLVQVTLIPKGKVKEEKAFTGTRGVTDRLQEAMNMLKGGPKRGIYDDLYGGDSLAKAVGTSWASVSEPASKVKEEIECRGVGEEDDNDDLFERYGLELSVDELLEFDAFEHVYKVDWHLKNLRKKISSTSEELREQNNKANSLTARGDARYDGSVHNFTSIMQQKFLSGEDHQHLDYTELNNDETLDDHWLREIGLDAEEKYFGEED >fgenesh1_pg.C_scaffold_8000080 pep chromosome:v.1.0:8:526213:526859:1 gene:fgenesh1_pg.C_scaffold_8000080 transcript:fgenesh1_pg.C_scaffold_8000080 gene_biotype:protein_coding transcript_biotype:protein_coding MNHAVRAVEPRRRSQTADPAPAPRRVDHGPAPQNAIIVGRGEERSDRRYVAVEIRQHEDAWTEHTLLEKKLEAMLSKELKAETRFEQVKSQLRHAGMTFARETDIVTVGHEGHRRGTREATVSIRFDELQAALLRLSMTKADLKKATSNEREFRIKIEQGEEQLRRAAIRPSQGLLSSTAPAPTTRRSSTRTHRSSR >fgenesh1_pg.C_scaffold_8000104 pep chromosome:v.1.0:8:699356:701263:-1 gene:fgenesh1_pg.C_scaffold_8000104 transcript:fgenesh1_pg.C_scaffold_8000104 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKQMASLWLLIIFSLSLLCFHGRSQNTKPQEPEYECVDIYKQPSLLHPQLKNHQIQMRPSDEFLAMLSGDTSAENLSDDEMVAEFDIPEEGCPQGQVPIHKPRNLNHTEKPFQPINGYGTVGQHAAIMKKIDAIPWRGASAWISIYQPKLRNKEQFSMALIWLNTENQGERTSAQFGWAVIPALYGDYRTRLTAYWSPDKLENGCYNTKCKGFVQIDRRIFLGAGFSKTSVVGGTQFKAFFSINQDPKTKNLLLTVGKIYIGYWPEELLPYFFNGAEAVIYGGFTNAPSENIQLFNIVSPPMGNGNKPLDEEVDLKHTCYMHSLKYVTPDYKSVDIDSDKVTEVADAGKCYDVIYFDKLGQYGQAFTFGGPGGYCDV >fgenesh1_pg.C_scaffold_8000124 pep chromosome:v.1.0:8:810235:813145:1 gene:fgenesh1_pg.C_scaffold_8000124 transcript:fgenesh1_pg.C_scaffold_8000124 gene_biotype:protein_coding transcript_biotype:protein_coding MWNKVEARSMEISMEDEDSRKNRKNVLKLPKKMKKILKNLWNVGKEDPRRVIHAMKVGVALTLVSLLYLMEPFFKGVVKNALWAVMTVVVVLEFSAGATLRKGLNRGLGTLIAGSLAFFIEWVAIHSGKVLGGIFIGTSVFIIGSTITFMRFIPYIKKNYDYGMLVFLLTFNLITVSSYRVDTVIKIAHARLYTIGIGIGICLVMSLLVFPIWSGDDLHKSTFTKLQGLSRCIEACVHEYFEDKEKDQESSDSESDDEDLIYKGYKTVLDSKSADEALAMFAKWELRNTRLCHKFPSQQYIKVGSVLRKFSYTVVALHGCLQTEIQTPRSIRILFKDPCVRLAGEICKVLSELSENIKNRRQCSPEILSDSLEAALKDLNSTIKSQPKLFLGSNLHSNITNKHLNGDVSHYNDTNSHDIVSYHNDNNSNGCVLGQTVEQNDTVSPLPLNSVVSLSSLRSVKKSAATGEKRRLRKQLSKIAVMKSLEFSEALPFAAFASLLMEMVARLDTVIDEVKELGTIACFKEYDKTVEVRVEKPVNLVVGD >fgenesh1_pg.C_scaffold_8000144 pep chromosome:v.1.0:8:1005894:1006797:-1 gene:fgenesh1_pg.C_scaffold_8000144 transcript:fgenesh1_pg.C_scaffold_8000144 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L32 [Source:UniProtKB/TrEMBL;Acc:D7MRE7] MAVPLLTKKVVKKRSAKFIRPQSDRRITVKESWRRPKGIDSRVRRKFKGVTLMPNVGYGSDKKTRHYLPNGFKKFVVHNTSELELLMMHNRTYCAEIAHNVSTKKRKAIVERASQLDIVVSNKLARLRSQEDE >fgenesh1_pg.C_scaffold_8000150 pep chromosome:v.1.0:8:1028791:1033546:1 gene:fgenesh1_pg.C_scaffold_8000150 transcript:fgenesh1_pg.C_scaffold_8000150 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSGKKRKGQRKKEEEEEEEDINVNSIPENSREIVQAMKEIVNCSEQEIYDMLVECNMNADEAMNRLLSQDSFQEVKSKRNKKKEAKEKSRMGERDEGSNVNADSVPVDFKEVVQNVQEIVKCSEEETKKMLVECNMDADKAVNRLLSQDSVQQVKSNQDEKKESLDTSDSQRVDSSNQNRELRNGSDNYVGQGGGNKFDSDETSNVQGIRNQLASSSTTAGILGPGPPLNRNVLNVETKRMPKSSGEAVPSLSVPSSRLIPAWGCGTSGQKTMADVLKMGLASSNESVTKAPVKDDCPLPERPNESTARRDQLRESASVSNQNLCDDDFACQLANSVIESAAGEDQLGESTSVSNQNFRDDDYGGSQLLCDNHSNKNDVTEFEHNQNKDPPVSVVTSLLQLPTENDEPEAPVKELQHLRFGNYGSGMNGSVVVKMGIASVKESVTKGPLKDDCHLPERTDESAARRDESALVSNQNLHDNFSYFSNSIFEFDARRNQLRESASVSKQNLRDDGVTYPLSTSYDAKTEQFRKSTAEFIHNLRVDDVACPLSNSFVESAARGDQFGESTSSIFNQRFRDDDFGGSQLLCDNYNNKNDETYLVQRRSFIESASLFKQNLRDDSVTYPLSTSYDAEREQFLKFTPEIIQNLRVDDLASQLSTSFIESAAISAKDPPASVVTSLQQLSTENDEPEASVKELKHLRFGSFGSGMNGSCQPSGLPSRFLDDDDSEDISDFADDLSLSYLNTRDGEFHEDEEQRLRINAANGQTEPTQENQYESSSARDFVFDTRQLLNPVVAPLSLQMQNINTFPAMRQQAYTREPDPQYSASPHNQSMPTTSSLCPRLLGSVTEALLNTSISEPQMNQQAMNNHYSQPIMVPSGNNGNMMNYPYSQTTQNGTYNMSPSASHQHGGRNNSSYHLRSLTAPLPHYRNSVLSPSAVPFVPSTHSSAYGSTNGSTHGFGMLSDNAPNLRFEYEDDFHSQFSNHLATLQHQNGTPSMWTPQGLNDSGSTYYRLYSGPQNQQSQSLRHSQQQQQQEPEQTYRRIG >fgenesh1_pg.C_scaffold_8000155 pep chromosome:v.1.0:8:1054333:1057968:-1 gene:fgenesh1_pg.C_scaffold_8000155 transcript:fgenesh1_pg.C_scaffold_8000155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flagellin-sensitive 2 [Source:UniProtKB/TrEMBL;Acc:D7MRY0] MKLLSETFLILTLTFFFFGFALAKQSFEPEIEALRSFKSGISSDPLGVLSDWTITGSVRHCNWTGITCDSTGHVVSVSLLEKQLEGVLSPAIANLTYLQVLDLTSNNFTGEIPAEIGKLTELNELSLYLNYFSGSIPSEIWELKNLMSLDLRNNLLTGDVPKAICKTRTLVVVGVGNNNLTGNIPDCLGDLVHLEVFVADINRLSGSIPVTVGTLVNLTNLDLSGNQLTGRIPREIGNLLNIQALVLFDNLLEGEIPAEIGNCTTLIDLELYGNQLTGRIPAELGNLVQLEALRLYGNNLNSSLPSSLFRLTRLRYLGLSENQLVGPIPEEIGSLKSLQVLTLHSNNLTGEFPQSITNLRNLTVMTMGFNYISGELPADLGLLTNLRNLSAHDNHLTGPIPSSISNCTGLKLLDLSFNKMTGKIPWGLGSLNLTALSLGPNRFTGEIPDDIFNCSNMETLNLAGNNLTGTLKPLIGKLKKLRIFQVSSNSLTGKIPGEIGNLRELILLYLHSNRFTGIIPREISNLTLLQGLGLHRNDLEGPIPEEMFDMMQLSELELSSNKFSGPIPALFSKLQSLTYLGLHGNKFNGSIPASLKSLSLLNTFDISGNLLTGTIPEELLSSMKNMQLYLNFSNNFLTGTISNELGKLEMVQEIDFSNNLFSGSIPISLKACKNVFTLDFSRNNLSGQIPDDVFHQGGMDMIISLNLSRNSLSGGIPEGFGNLTHLVYLDLSSNNLTGEIPESLANLSTLKHLRLASNHLKGHVPESGVFKNINASDLVGNTDLCGSKKPLKPCMIKKKSSHFSKRTRIIVIVLGSAAALLLVLLLVLFLTCYKKKEKKIENSSESSLPNLDSALKLKRFDPKELEQATDSFNSANIIGSSSLSTVYKGQLEDGTVIAVKVLNLKQFSAESDKWFYTEAKTLSQLKHRNLVKILGFAWESGKMKALVLPFMENGSLEDTIHGSATPIGSLSERIDLCVQIACGIDYLHSGFGFPIVHCDLKPANILLDSDRVAHVSDFGTARILGFREDGSTTASTAAFEGTIGYLAPEFAYMSKVTTKADVFSFGIIMMELMTRQRPTSLNDEKSQGMTLRQLVEKSIGDGTEGMIRVLDSELGDAIVTRKQEEAIEDLLKLCLFCTSSRPEDRPDMNEILTHLMKLRGKVNSFQEDRNEDREV >fgenesh1_pg.C_scaffold_8000176 pep chromosome:v.1.0:8:1181502:1182879:1 gene:fgenesh1_pg.C_scaffold_8000176 transcript:fgenesh1_pg.C_scaffold_8000176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 2 [Source:UniProtKB/TrEMBL;Acc:D7MSM4] MDLSEMKKYRTGLRQQPRSLPQPPLTESRSLGTGARTTTPNVTHPPPTYRLGGTSEIQLRTRIVHGTTIEILRTIFPSIISMFIAIQSFALLYSMDEVVVDPAITIKAIGHQWYQTYEYSDYNSSDEKSLTFDSYMILEEDLELGQSRLLEVDNRVVVPAKTHLRIIVTSADVPHSWAVPSSGVKCDVVPGRLNQISILVQREGVYYGQCSEICGNNHAFTRAPGNIGRLLSHSGSAAPPGVQATREASYYSERLEL >fgenesh1_pg.C_scaffold_8000184 pep chromosome:v.1.0:8:1239691:1240297:-1 gene:fgenesh1_pg.C_scaffold_8000184 transcript:fgenesh1_pg.C_scaffold_8000184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 homolog [Source:UniProtKB/TrEMBL;Acc:D7MSN1] MGKRKSRAKPAPTKRMDKLDTIFSCPFCNHGSSVECIIDMKHLIGKAACRICEESFSTTITALTEAIDIYSEWIDECERVNTAEDDVVQEEEEEEEVEEEEEEEEDDEDDHVSVKRKYNF >fgenesh1_pg.C_scaffold_8000186 pep chromosome:v.1.0:8:1264492:1265621:-1 gene:fgenesh1_pg.C_scaffold_8000186 transcript:fgenesh1_pg.C_scaffold_8000186 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVVTPAPAQIPSERNIVLAPATTTTTATVENPQEEQDQVSNTKKPFLLLIFDASHGSQRERDRERAAGDKGKNSNNGLKPEQRRERDGKALQEKAVNKAAEAAAAGSSGGAGDLHAFCISLLEEIQTLKDRDLEKDRQLKYLMEQAPPPTICTNSTTGLSERAK >fgenesh1_pg.C_scaffold_8000195 pep chromosome:v.1.0:8:1323409:1324430:1 gene:fgenesh1_pg.C_scaffold_8000195 transcript:fgenesh1_pg.C_scaffold_8000195 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNLTLRRLITSVNRVRCRRMVSRLQKLSGRSRTVAYLTVAVVGTGGRRGLRRILSWLQIDGGFGRCDLNRWILILEKSFESEACLLQILHSFFNYREEEVSLETLSKKMDDFAKARDWEKYHIPRNLLLAMVGEVGELSEIFQWKGEVARGCPDW >fgenesh1_pg.C_scaffold_8000198 pep chromosome:v.1.0:8:1340304:1340741:1 gene:fgenesh1_pg.C_scaffold_8000198 transcript:fgenesh1_pg.C_scaffold_8000198 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEKLTKVSRQLERKLTRAEKDKIFCSVVEIKNGRRFGVGSIPFEEVCQSSNTQLYEENYRVTQEKHVQIQKDHSKKIKDFDRILKDVAFWNSKMSQLYPNLVPPSRRNQADATNPANV >fgenesh1_pg.C_scaffold_8000219 pep chromosome:v.1.0:8:1460170:1461278:1 gene:fgenesh1_pg.C_scaffold_8000219 transcript:fgenesh1_pg.C_scaffold_8000219 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIGSSHARNEGGVKKKKIRKPKPWAHTEPITRAQLTNMREEFWDTSPHYGGQREIWEALRAAAEADLKLAQTIVDSAGVIVQNRDLTLCWDERGARYELPRYVLRASPTLVSLFQFLIYV >fgenesh1_pg.C_scaffold_8000224 pep chromosome:v.1.0:8:1493045:1494500:1 gene:fgenesh1_pg.C_scaffold_8000224 transcript:fgenesh1_pg.C_scaffold_8000224 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDERPGAYPARDGAENLPPGDPKTMKTVMMDKGAAMMQSLKPIKQMSLHLCSFACYGHDPSRQIEVNFYVHRLNQDFLQCAVYDCDSSKPHLIGIEYIVSERLFKNLAPEEQKLWHSHDYEIQTGLLITPRVPELVAKPELQNIAKTYGKFWCTWQTDRGDKLPLGAPSLMMSPQDVNMGKIKPGLLKKRDDEYGISTESLKTSRVGIMGPERKNLMADYWVHHGKGLAVDIIETEMKKCAPFP >fgenesh1_pg.C_scaffold_8000236 pep chromosome:v.1.0:8:1592641:1594100:1 gene:fgenesh1_pg.C_scaffold_8000236 transcript:fgenesh1_pg.C_scaffold_8000236 gene_biotype:protein_coding transcript_biotype:protein_coding MERVNLGGLGYDNGGVMMTRDPKPRLRWTADLHDRFVDAVAKLGGADKATPKSVLKLMGLKGLTLYHLKSHLQKYRLGQQQGKKQNRTEQNKENAGSSYVHFDNCSQGGISNESRFDSGNQRQSGNVPFAEAMRHQVDAQQRFQEQLEVQKKLQMRMEAQGKYLLTLLEKAQKSIPCGNVGETDKGQFSDFNLALSGLVGSDHKNEKVGLVANISHLNADSSEDFRLCGEQEKIETGDACVKPESGFVHFDLNSKSGYDLLNCGKYGIEVKPNVITDRHQ >fgenesh1_pg.C_scaffold_8000238 pep chromosome:v.1.0:8:1601270:1603675:-1 gene:fgenesh1_pg.C_scaffold_8000238 transcript:fgenesh1_pg.C_scaffold_8000238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mob1/phocein family protein [Source:UniProtKB/TrEMBL;Acc:D7MTE2] MSLFGLGRNQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDANEWLAVNTVDFFNQVNLLYGTLTEFCTPDNCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIETQLDDETLFPQRLGAPFPQNFKDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTHEFGLIDKKELAPLQELIESIISPY >fgenesh1_pg.C_scaffold_8000242 pep chromosome:v.1.0:8:1635253:1637651:1 gene:fgenesh1_pg.C_scaffold_8000242 transcript:fgenesh1_pg.C_scaffold_8000242 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTWKSIRFGFASSGSYFELVLHQLVPCLTDILLARELQVLVTILIMTQQEYNIDKIISYLSELQTQLSQIPLSKKATVNNNEQPSERRSEEKRHTKSCDRRNEEKKRPSWWVRFREKLKKICKVKNEATNHASDSKDSQGGSRRIMRNTDEDEDAHLEIRKLQSDIGQMISAFKNWTQFQNNMSKSLENDLRSSMVGATLQKTGSIKSRTQDLKEIRRKISALKCQIPSSLYKQSSRVLSITESLTDEDIDEINETGSDIYLPDLHVSEDFKHSSGFEDVVEKFQGLDDFTQKLCLLSFAVFPENREVTRTMLMYWWIGEGFIDCDDSENSVTRILDAFSTKKLLEPVEDERKLLPNSYKMEPHVHSAVIYLAKKMDLFELYNHNGKLIMKKSSKKKVCLVKDSSLLRDAKTSAMKPKTLQTVFNSSERFPDFTFKWFPLMDSIRVLYLGRWERMAERHIEVESTEFLKNMKSLKNLRLASFQGISGIKKIDNSICALQKLMILDLRACYDLQVLPNDIGSLENLIYLDVSECLKLDCMPDRFEKLSGLQVLKGFVISQSDDEHNCAVKHLVNLRKLSITIKNYRFKAENLIESLRDLKQLESLTFAWRARFPVDDRRGEETKEAKLLMGMGNQTKKWGIRWQKDQSIDDTYKFPDSLKKLELECFPETEPPSWLNPKDLKELKRLSIKGGKLSRMSDESRNTEDKWAVEILRLKYLHEFKVEWRDLKDLFPKMTLLEKYKCPKIAFCPTDGNGVWRSQPETSPNM >fgenesh1_pg.C_scaffold_8000253 pep chromosome:v.1.0:8:1743307:1745658:-1 gene:fgenesh1_pg.C_scaffold_8000253 transcript:fgenesh1_pg.C_scaffold_8000253 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVIPAHIKDVWDAWNIRGIVILSLSIQTILIILAPLRKRTSNRFLALVLWLSYLLADWSANFVIGLIAKNQGKELKPDDPPQDQQLMALWAPFLLLHLGGPDTITAYSLEDNALWHRHFLGLALQALSGAYVVIQSLPNPLWVIILLLFIAGTLKSLMVNNIFSSDQREESREFFSKLTDEEALRILEMELGFIYEGLYTKVSVLHTWVGALTRTIALGSLLSAFCMFHYRPKKSHEFHRADIVITYTLFLVGIALDLVSLLMILPSDWTFAVISRINEDEVDSGSWIDPALKWFLGLKQLHWKKQKSCGGLNHEVLNTPFLIQRWAGSIKLFNFIAYSVKADIERIHDVKGRTRRLVWKAILHPFIYAINTFRKLFETIAIWHHDLQQYIRYVINMLSRKNPVTRCIIIFVEFWLMIPHFFTLIGNYITDFLGINDLLDEIILIRSVHSEPLTKELWVFIFTQLKSKLQRPDKERSKTKAGRKGWASDINELGMADTKRLVRYIADVDYDRSLMQWHIATELCYQEEASTKENCDDREFSKILSDYMMYLLIMQPKLMSEVAGIGKIRFRDTLAEAEKFYKRRHIENSSVKRASHEILSVRIEIQPRNVKGNESKSVLFEARALAKELNKMREENMWSVVSKVWMELLFHAACNCDATARMEQLSKGGELLVFVWLALAHLGLGGQLGSSATETSETN >fgenesh1_pg.C_scaffold_8000257 pep chromosome:v.1.0:8:1831556:1834129:1 gene:fgenesh1_pg.C_scaffold_8000257 transcript:fgenesh1_pg.C_scaffold_8000257 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNELESATIDSFIKTVNQLYSIIQLQDADEGVKEEHIQEHMMPTSKSIDGEIRVERSLDPPMMQPNSGLNRTKSAPPLNLPDSVNLIRKLQGALRRLKRNLKHMESLQSEVETELTIQLNSLNEVVEGVKPSDMSSTNNDLEDITKKLLDLVSKVTVSPEKQKSKKASPYYLDGDGSKNRRPIVCLPGIHANEEDLKRLAVFRYVQGELDKVSDQQRMCLLSFAVFPENKEVNRTMLMYWWIGEGILSSQHIPSEKGILKPEDVVKDILDGFTEKNLIQPVENKRKMEPSSYKMAPFVHASVVLISKEIGLFDMYDEKEKPTMKHSRLNKVCLVEGSSSQPEAKAKKMDSPHLIETVFNVSERFPEFTRRWFTQAKKLRVLYLGRWERTEREIEMDSRRVMKDLGSLTSLRFLSFQGILTIRSLSRSALKLRELIILDLRDCYNLETLPDDIHQLKSLVYLDLTGCEALESIPMQLSWLDNLEVLKGFVLGDVDTSIKCKLRDLVHLRKLQNLSVVVHQQDYGLHELGVDINDFEKLEKLKVRWGSIVSGFSQIKMKPVKDGIVSIIEPPKLFSLLERTTYVRGHKKREPRVPGNLKKLNLQRFPNSELPRFLQPHNLQHLEKLHLGSSRLLKSFGPLPPNLYTFPELMVVPHVKSEAYVCAGAASEESSMSNTPFLLQRWEGSIKLFNFIGHFCESRYREDT >fgenesh1_pg.C_scaffold_8000261 pep chromosome:v.1.0:8:2015502:2017982:1 gene:fgenesh1_pg.C_scaffold_8000261 transcript:fgenesh1_pg.C_scaffold_8000261 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLNKKLQDSLMSEIFILRRINHPNIIRLLDMIENLLLSTDENDADLKIADFGFARSSQPRGLAETLFGSPLYMAPEIMQLHKYDAKALDSQNSAPSTSHGSLDLGDAFEQPSTNSLTRISSLKKCAATIAELVHERIKSDKHLEAFSIQLAILAIWKQALHICHTQAISGLEGSPTQDINKLRGSSCRKHERITDVSHDGLEEISSQIQRQFIRETEVSEELSKEKLFCSVFVVVKILINLAVGNTMMPDAMETLFEAALDLGKLGGVKEVMGDIENAGNQYSKAVRLLVFLLVEAPMLILNPPLSLTNSDRYRSEHISIS >fgenesh1_pg.C_scaffold_8000262 pep chromosome:v.1.0:8:2018628:2019616:1 gene:fgenesh1_pg.C_scaffold_8000262 transcript:fgenesh1_pg.C_scaffold_8000262 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCAMNFQFSSVVKVRNEISSVRIYNRGFVFRNLAKEMKVPVLRIKGVSGKQRSRLLMVNMSQSPVEPQSSVAATEQMKGVEEDGSGGNGGFNNGGGGGGNGGEGDGEEDYEEKESGPLLKFEEVMRETEARGATLPSDMLEAAKTFGIRKLLLLRYLDLQSSAGLLGFAIRSWSMLRSRMLADPSFLFKIGTEIVIDSCCATVAEVQKRGQSF >fgenesh1_pg.C_scaffold_8000271 pep chromosome:v.1.0:8:2069741:2072812:-1 gene:fgenesh1_pg.C_scaffold_8000271 transcript:fgenesh1_pg.C_scaffold_8000271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:D7MUR1] MHTLNTTTGSQDPNFDPIPDPDQFPNRNRNLQQSRRPRGFAAAAAAASIAPTENDVNNGNIAGIGGGEGSSSGGGGGGGKGKREREKEKERTKLRERHRRAITSRMLAGLRQYGNFPLPARADMNDVIAALAREAGWSVDADGTTYRQSHQPNNVVQFPTRSIESPLSSSTLKNCAKAALECQQHSVLRIDENLSPVSLDSVVIAESDHPGNGRYTGASPITSVGCLEANQLIQDVHAAEPRNDFTEGFYVPVYAMLPVGIIDSFGQLVDPEGVRQELSYMKSLNVDGVVIDCWWGIVEGWNPQKYVWSGYRELFNIIRDFKLKLQVVMAFHEYGGNASGNVMISLPQWVLEVGKDNPDIFFTDREGRRSFECLNWSIDKERVLHGRTGIEVYFDFMRSFRSEFDDLFVEGLIAAVEIGLGASGELKYPSFPERMGWIYPGIGEFQCYDKYSQLNLQKEAKSRGFAFWGKGPENAGQYNSQPHETGFFQERGEYDSYYGRFFLNWYSQLLIGHAENVLSLANLAFEETKIIVKIPAIYWSYKTASHAAELTAGYYNPSNRDGYSLVFETLKKYSVTAKFVCPGPQMSPNAHEEALADPEGLSWQVINAAWDKGLLIGGENMITCFDREGCMRLIDIAKPRNHPDSYHFSFFTYRQPSPLVQGSTCFPDLDYFIKRMHGDIQR >fgenesh1_pg.C_scaffold_8000275 pep chromosome:v.1.0:8:2119526:2121175:1 gene:fgenesh1_pg.C_scaffold_8000275 transcript:fgenesh1_pg.C_scaffold_8000275 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:D7MUR7] MEKTCGEDELTLTVTRLNSESKRRKICKSLEKYKADSAGILRSLQEHLDTLETDIEKKSLEVETKENKLQGLTLKLGKIQKQIKVAEIESGDKEKELDLLKNQIKSEENQLQVLSLNLGKIQKQIEQQTNVVEAKAREIKAIEIEAGGKRKELDLLRNQITAEEMALIELKKLVQNTQRELELKKKELRQTSSVFVKNEQQPVAAETEQFSGDPLMRYEISSVSLGHHEVSNVLRAKPDPGRYVLNLVEGEVKDAHRKKESGLRELLVENLVVFIEELAEIKGWDQAQLQLKATQVATIWKRLISIEAPRSSLEALAFLLFIVAYGLKSLINEEETALLVTSVSHYKQGPKLFHSLGLELKIPDYVLGLINNRHYIPAVRLVCLFKLTDFQPQTLLMKEVIDLKRSALEKAENKDVGRLRAIVELAADYKLDIDLPADLIAKLMFHKENSTPPVLHCAVEAPSPSANGGSSGSRVGLQVPKRETEPFVIPLKNGRF >fgenesh1_pg.C_scaffold_8000280 pep chromosome:v.1.0:8:2138298:2139947:1 gene:fgenesh1_pg.C_scaffold_8000280 transcript:fgenesh1_pg.C_scaffold_8000280 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:D7MUS1] MEKTCGEDELTLTVTRLNSESKRRKICKSLEKYKADSAGILRSLQEHLDTLETDIEKKSLEVETKENKLQGLTLKLGKIQKQIKVAEIESGDKEKELDLLKNQIKSEENQLQVLSLNLGKIQKQIEQQTNVVEAKAREIKAIEIEAGGKRKELDLLRNQITAEEMALIELKKLVQNTQRELELKKKELRQTSSVFVKHEQQPVAAETEQFSGDPLMRYEISSVSLGHHEVSNVLRAKPDPGRYVLNLVEGEVKDAHRKKESGLRELLVENLVVFIEELAEIKGWDQAQLQLKATQVATIWKRLISIEAPRSSLEALAFLLFIVAYGLKSLINEEETALLVTSVSHYKQGPKLFHSLGLELKIPDYVLGLINNRHYIPAVRLVCLFKLTDFQPQTLLMKEVIDLKRSALEKAENKDVGRLRAIVELAADYKLDIDLPADLIAKLMFHKENSTPPVLHCAVEAPSPSANGGSSGSRVGLQVPKRETEPFVIPLKNGRF >fgenesh1_pg.C_scaffold_8000304 pep chromosome:v.1.0:8:2347535:2350949:1 gene:fgenesh1_pg.C_scaffold_8000304 transcript:fgenesh1_pg.C_scaffold_8000304 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMSIERPFMLDIVKNVKFALTKELTQREENPELEGEKSDAKEGVNKDPNRTEPGEQRLKQLGEKLDVECNDNETRIVAVVGMGGIGKTFLAKKLLEKLKRKIGSHVFIESVRETSKAHGFDKLKLQKTLVDGLLPNEDIICDNENPLEVWKDHLLKKKVAVVLDDVHGKEQVNALLGNCDWIKKGSRIIITTRDKSLLKGVEMVSDIYEVPGFNDSDSLELFSTYAFDDKSCKFMELSRKFVDYTGGNPLALKALGEELLGKDKGHWEARLVTLTQRSNEKIRKELILSYDELNEHQKDVFLDIACFFRSQDENYIKTLLHCSFDAESGEAGKEVRELSDKFLIRISEDRVEMNDLIYTLGRELAISCVETIAGKYRLLPSNREEFINALKNKEERDKIRGIFLDMSKMEEIPLDYKAFVGMSNLRYLKVYNSHCPRQCEADSKLNLPDGLEFPICNVRYFHWLKFPVEELPCDLDPKNLIDLKLHYSQIRQVWTSDKATPRLKWVDLSHSSKLSSLLGLSKAPNLLRLNLEGCTSLEELSGEILQNMKNLILLNLRGCTGLVSLPKISLCSLKILILSGCSKFQKFQVISENLETLYLNGTAIDRLPPSVGNLQRLILLDLKDCKNLETLSDCTNLGNMRSLQELKLSGCSKLKSFPKNIENLRNLLLEGTAITKMPQNINGMSLLRRLCLSRSDEIYTLQFNTNELYHLKWLELMYCKNLTSLLGLPPNLQFLYAHGCTSLKTVSSPLALLISTEQIHSTFIFTNCHELEQVSKNDIMSSIQNTRHPTSYDQYNRELPRHWYEGRVNGLALCVAVSFNNYKDQNNGLQVKCTFEFTDHANVSLSQISFFVGGWTKIPEDELSKIDSDHVFIGYNNWFYIKCEEDRHKNGCVPTNVSLRFEVTDGASKVKECKVMKCGFSLIYESEGSEKVSRDATFDANSKIEESKLSETKSYKTAEYDADFYGEGAQGLETFDKLTLLNPLISEVH >fgenesh1_pg.C_scaffold_8000309 pep chromosome:v.1.0:8:2379190:2380372:-1 gene:fgenesh1_pg.C_scaffold_8000309 transcript:fgenesh1_pg.C_scaffold_8000309 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSQLQTLWKGTKNLKMLKRINLRHSQNLLEVDELSEARNLEKLDLCGCKNLKRFPDIHQLHQLRVVDLSGCTQVQSFPEFPSNVELKFETKKFRPGLVGKPLSLLRLRPGGDSLIDTHTRR >fgenesh1_pg.C_scaffold_8000314 pep chromosome:v.1.0:8:2411704:2415560:-1 gene:fgenesh1_pg.C_scaffold_8000314 transcript:fgenesh1_pg.C_scaffold_8000314 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVTPSVVSPLLEPPDLLMLSLSRLPPATLIFRRDRLLPPPLLSQISWLHFTRVSSTSIFFSGSAFDSRRIISLPGNSYSVNFSSASPKIQTLWSIQAILVTPSTSASISVSMSDGFLTTTISRLLDLVVGDLVSAEHGLACSLPCPSIGKKCFHRSPVNLITEVEPLFPPRLGFSSINWNGSPTINGRRLLCTQNAEVVPRVSSGPSLGIASNGLGYGFTTNGPYRTKAQNCFWKRTSSNSSLWERPLLYYLIFGLSETKDMLYLFPNFRACIAFFSLFVEDSLELELMGGYTLMFSNDYPVRLVSINACLWVLETSIRNQVITSFFVHPVVKDRDSNWISLGHNGTPRGDVWFLKDDYFLITVYEEKRRTNHQLNTSLLISLDSSFMERLFPLSLFLVREFYRKVVRPLLFDGSKAPKFQESGCEKKRTENFRWRTDIRLSISSIVFSRLSRVRVCLISRIILLLLLLQFATGEVPIFIAGLDIFVLEYTLMAGVLAGECSYSEIGVSSLSRNSHVKQDKVEMRVAVRIAENRVILLSSSHNETEVPTTSLVTNLQMPIRGRRLNPIRYTSLHTNLEMTVAGLPVDLVHCSSIHGLFCLVDPSDPGRFTICNPSTRQVITLPDIKAFSGRRKRINMFLGYDPVGNEYKVLCSTAVHGEPCQVHKVLTIGGGNLSWRSIKGRKIPRYTVVTNGICINGMVFYGGLTTKRQEKKLWIFRFNVRSEGMSSISTYPQVTNHGSLINYNGKVAAASPLPRAPLGSFDLWVLDDFDEKRRWLREKFNVHPLVLHLSGKTELKILGMNRSNEVVIGPLKVPPKHEPLYIYYFHKGGQKLIRRVELEGFEEFRCNHTSDEQCVCQVIFSPEHFESLVSL >fgenesh1_pg.C_scaffold_8000317 pep chromosome:v.1.0:8:2425813:2427788:1 gene:fgenesh1_pg.C_scaffold_8000317 transcript:fgenesh1_pg.C_scaffold_8000317 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAISQNITPDILVGDGVQHNWKQYREVFGYPGQLGVHDVVDGFVEDSIERTTFKTPVKDINNDPSFDKSDNLTAIKSPMAADSIQPPTTKLQKQFEETLATVHVSEYVIDTPVEPMDEDNPLKPLVFAEDVPIKETLVSVIASQEIIDTPMQPISEDNPLQALVLVGDPVFDTTSKSEISNQSSPTKGKIFLRENIDGQNIVDNQVYL >fgenesh1_pg.C_scaffold_8000336 pep chromosome:v.1.0:8:2601348:2601933:1 gene:fgenesh1_pg.C_scaffold_8000336 transcript:fgenesh1_pg.C_scaffold_8000336 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLFNGINNGVYKCGFARKQEPYNEAVNQLYEAVDRCEEILGKQRYICGNTFTEADIRLFVTLIRFDEVYSVHFKCNKRLLREYPNIFNYIKDIYQIQGMSSTVNMEHIKQHYYGSHPTINPFGIIPHGPNIDYSSLHDRDRFSS >fgenesh1_pg.C_scaffold_8000359 pep chromosome:v.1.0:8:2783312:2785111:-1 gene:fgenesh1_pg.C_scaffold_8000359 transcript:fgenesh1_pg.C_scaffold_8000359 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSFSDEEIPPQHQVFINYRGDELRKSFLGFLVKAMRDANINVFTDEIEVKGKDLQNLFSRIEESRVAVAILSKRYTESSWCLDELVKMKERNDQDKLVVIPIFYRLDANNCKRLEGPFGDNFRKLEREYRSEPERIKKWKEALIYIPQKIGLTSAGHRRKTVVSSRIHFMLSSYAPVISSARAYHKQFSVPEITTSVFEPPI >fgenesh1_pg.C_scaffold_8000387 pep chromosome:v.1.0:8:2999589:3001309:-1 gene:fgenesh1_pg.C_scaffold_8000387 transcript:fgenesh1_pg.C_scaffold_8000387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdenum cofactor sulfurase family protein [Source:UniProtKB/TrEMBL;Acc:D7MLH7] MEEALKIQSLVIYPIKSCRGISVPQATVTHTGFQWDRYWLVVNYKGRAYTQRVEPKLALVESELPKEAFLEDWEPKKDSFLVVRAPGMSPLKIPLTKPSSVAEGVSMWEWSGSAFDEGEEAAKWFSDYLGKQSRLVRFNKDTETRPSPPEFAAGYSTTFADMFPFLVASQASLDQLNTLLPEPVPINRFRPNILVDNCDPFGEDLWDEIKINDLVFQGVRLCSRCKVPTVNQETGVMGAAEPTETLMKFRSDNVLMPDKKPRGKVFFGKEMVWNWNLTNTEGKGKKTIKVGDTISVLRKIPSRAEAAV >fgenesh1_pg.C_scaffold_8000419 pep chromosome:v.1.0:8:3353111:3353581:-1 gene:fgenesh1_pg.C_scaffold_8000419 transcript:fgenesh1_pg.C_scaffold_8000419 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPHGKKQYFAYLPEMVGYVETFYVEKDLVILRANDIHFGEDAIFVFKVQHLMLIEGVLGGHVPRDIAQLMTNNLSSIVDMCKDFQTIGGEKYLMENIRGNIKQYLEFAREARFESESLNSKVQPPIQ >fgenesh1_pg.C_scaffold_8000425 pep chromosome:v.1.0:8:3411769:3415710:1 gene:fgenesh1_pg.C_scaffold_8000425 transcript:fgenesh1_pg.C_scaffold_8000425 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPGFIFRPIHESQVQASIICSKKLGIHFRVRSGGHDFEALSYVSRIEKPFILLDMSKLRQITVDIKDNSAWVQPGATLGELYYRRKLVPVPETLTVFTVTKTLKQDARLKIISKWQRIASKLIEELHIRVELRAIGNNGNKTVTMSYKGQFLGKKGILMKVMKKAFPELGLTQEDCIEMSWIESTLFGGGFPTGSPIEVLLQVKSPLGKGYFKATRDAPFLNWTPYGGMMAKIPESEIPFPHRNGTLFKILYQTNWQENDKRQSRHINWIKEMYSYMAPYVSSNPRQAYVNYRDLDFGQNRNNSKVNFIEAKIWGAKYFKDNFNRLVRIKTKVDPDNFFRHEQSIPTLPVRS >fgenesh1_pg.C_scaffold_8000433 pep chromosome:v.1.0:8:3478649:3479719:1 gene:fgenesh1_pg.C_scaffold_8000433 transcript:fgenesh1_pg.C_scaffold_8000433 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIYYEEQRREADDMARASLNNTTLYTREVEKELELMWHNLLSQVDAGLKGGNAYHKYRVKRNSWPKVRGVAMNPVEHPHGGGNHQHIGQASTVRRDASPRTRRTGGLRG >fgenesh1_pg.C_scaffold_8000436 pep chromosome:v.1.0:8:3505742:3506603:-1 gene:fgenesh1_pg.C_scaffold_8000436 transcript:fgenesh1_pg.C_scaffold_8000436 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSCTLKGGRADWLIEKCTELGASSVTPLLTERSSIISENRVDRLERVSFAVATQCQRLHQIVLNPPIKFVTLLDHIFKSKLCLVATAEATPLLNAVNSSAKESSGLLIVGSEGVCYNLTVNYEIEANFTKKEVEMML >fgenesh1_pg.C_scaffold_8000444 pep chromosome:v.1.0:8:3580183:3580923:1 gene:fgenesh1_pg.C_scaffold_8000444 transcript:fgenesh1_pg.C_scaffold_8000444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MMK3] MEENWYRRLFKMTLGIAGDMKNLEPKDCTFDMDLMEERDGCWHYGLSMEVMEEKCCKEIKAYANFTENFFETWALLLAFDLNEKGNIAEALEELVTFMEKLKPILRTFGSKEICKIITKNLTGKKLCDYVGENYRVRIFHYKDLGTEVLVPLCLRFRITYTHVGLKAAVWKNKVQPSDAAFKSYQRKANMKLDNNGSYDRLASKATLRKASSNSLLLYFSQYMAHTYAYVIICVTVILHNILVITL >fgenesh1_pg.C_scaffold_8000446 pep chromosome:v.1.0:8:3585373:3591430:-1 gene:fgenesh1_pg.C_scaffold_8000446 transcript:fgenesh1_pg.C_scaffold_8000446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7MMK5] MKRFVYINDDEASKELCCDNRISNRKYTLWNFLPKNLWEQFSRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSASKEAWDDYHRYLSDKKANEKEVWIVKQGIKKHIQAQDIQVGNIVWLRENDEVPCDLVLLGTSDPQGVCYVETAALDGETDLKTRVIPSACVGIDLELLHKMKGVIECPIPDKDIRRFDANMRLFPPFIDNDVCSLTIKNTLLQSCYLRNTEWACGVSVYTGNQTKLGMSRGIAEPKLTAMDAMIDKLTGAIFVFQIVVVMVLGVAGNVWKDTEARKQWYVQYPEEAPWYELLVIPLRFELLCSIMIPISIKVSLDLVKGLYAKFIEWDVEMIDQETGTASYAANTAISEDLGQVEYILTDKTGTLTDNKMIFRRCCIGGIFYGNENGDALKDAQLLNAITSGSTDVIRFLTVMAICNTVIPVQSKAGDIVYKAQSQDEDALVIAAAKLHMVFVGKNANLLEIRFNGSIIRYEVLEILEFTSDRKRMSVVVKDCQNGKIILLSKGADEAILPYACAGQQTRTIGDAVEHYSQLGLRTLCLAWRELEENEYLEWSVKFKEASSLLVDREWRIAEVCQRLEHDLYILGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLMIDGKTKEDVSRSLERVLLTMRITASEPKDVAFVIDGWALEIALKHHHKDFVELAILSRTAICCRVTPSQKAQLVEILKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGRFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIFLFNSVSLMAYNVFYTSIPVLVSVIDKDLSEASVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFVITIHAYAYEKSEMEELGMVALSGCIWLQAFVVAQETNSFTVLQHLSIWGNLVAFYAINFLFSAIPSSGMYTIMFRLCSQPSYWITMFLIVGAGMGPIFALKYFRYTYRPSKINILQQAERMGGPILTLGNIETQPRTIEKDLSPLSITQPKNRSPVYEPLLSDSPNATRRSFGPGTPFEFFQSQSRLSSSSGYTRNCKDN >fgenesh1_pg.C_scaffold_8000457 pep chromosome:v.1.0:8:3656587:3657781:-1 gene:fgenesh1_pg.C_scaffold_8000457 transcript:fgenesh1_pg.C_scaffold_8000457 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPHB7 [Source:UniProtKB/TrEMBL;Acc:D7MN25] MNVKKVPNVPGSPGLSALLKLGVIGGLGLYCIGSSMYNVDGGHRAIVFNRFSGIKDKVYPEGTHFKIPLFERAIIYDVRARPYVENSETGSHDLQTVTIGLRVLTRPMGDRLPEIYRTLGQNYGERVLPSIINETLKAVVAQYNASQLITQREAVSREIRNIVTERASKFNIALDDVSITNLKFGKEFTEAIEKKQVAAQEAERAKFIVEKAEQDKKSAVIRAQGEAKSAQLIGQAIANNEAFITLRKIEAAREIAQTIARSANKVYLNSSDLLLNLQAMNLEPSPNK >fgenesh1_pg.C_scaffold_8000474 pep chromosome:v.1.0:8:3789168:3792897:1 gene:fgenesh1_pg.C_scaffold_8000474 transcript:fgenesh1_pg.C_scaffold_8000474 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEKKTYVDSFEKRVGEEIIKEMKKTIQAEKENIRESYAEKDVIDKMAEFFVELIYKDSIFIMEFIMKLYEGHGSSESLIVKHRIDSSRVIADLMLLENQLPYFILDRLFSPHLETLGIYKTLDRVILELFSLHTKVKRNTTFKHFTDMFRCAYEESLDKTPSLTDLSGPAIAEMQNASNLSRVGVEFKAYNLLNSSQQNSIHHQPLDLLSLPISIRKEVDDYSLHVAFSESCLVMSTFHASEASERILRNVIAYEQRHVTLTPFTSNYIHFINFLITSDRDVEVLIEEGVLTNGVGRPSLVVEIVNNLKGLNDAVTVSVASPGQDGSWEFKDNNIPIKDKDQLIPSLDKANRCRNLKEVYKSRTGGYDGRCTVPMLWDSRKKEVVCNESYDIIEFFNSGLNELARNADLDLSPPELKEKIQDWNQIVYPKVNNGVYRCGFAQSQEAYDGAVNELFSTLDKIEDHLGSNRYLCGERLTLADVCLFTTLIRFDPVYNNLFKCTKKKLVEYPNLYGYLRDMYQIPGVAATCDISAIMDGYYKTLFPLNASGIQPAISSSGDQESLLRPHNRDLVGKAIEAQLVV >fgenesh1_pg.C_scaffold_8000489 pep chromosome:v.1.0:8:3935090:3936035:1 gene:fgenesh1_pg.C_scaffold_8000489 transcript:fgenesh1_pg.C_scaffold_8000489 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-HSFA6A [Source:UniProtKB/TrEMBL;Acc:D7MNK4] MEYNLPLPLEGLKETGPAAFLTKTYNIVEDSCTNNIVSWSRDNNSFIVWEPETFALIFLPRCFKHNNFSSFVRQLNTYGFKKIDTERWEFANEYFLKGERHLLKNIKRRKTSSQTQTQSLEGGRFRLEGEIHELRRDRLALEVELVRLRRKQESVKTYLHLMEEKLKVTEVKQEMMINFLLKKIKKPSFLQSLRKRKLQGIKNREQRQEVISSHGVEDHETFAKAEPEECGDDIGDQFGGVFSYGDELHIASMEDQGQEGDEMEMDSEGIWKGFVLSEEMCDLGKHFI >fgenesh1_pg.C_scaffold_8000500 pep chromosome:v.1.0:8:3990951:3992063:-1 gene:fgenesh1_pg.C_scaffold_8000500 transcript:fgenesh1_pg.C_scaffold_8000500 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVQPNSYALVVSTENITLNWYLGNDRSMLLSNCIFRMGGAAVLLSNRSSDRSRSKYQLIHTVRTHKGADDNAFGCVYQREDNNAEETGKIGVSLSKNLMAIAGEALKTNITTLGPLVLPMSEQLLFFATLVARKVFKVKKIKPYIPDFKLAFEHFCIHAGGRAVLDEIEKNLDLSEWHMEPSRMTLNRFGNTSSSSLWYELAYSEAKGRIKRGDRTWQIAFGSGFKCNSAVWKALRTIDPMDEKTNPWIDEIDDFPVQVPRITPITSS >fgenesh1_pg.C_scaffold_8000501 pep chromosome:v.1.0:8:4001324:4003059:1 gene:fgenesh1_pg.C_scaffold_8000501 transcript:fgenesh1_pg.C_scaffold_8000501 gene_biotype:protein_coding transcript_biotype:protein_coding METDKLLFIAPLNWKKKQLLYADMKLGNITVATDTRKQVFEKKRSRLAKIIMRPRKSLLKGSDSIKGPTESILLLGWRGDVVQMIEEFDNYLGPGSSMEILSDVPLEDRRRVGDSMGSVKIKNIQVSHKVGNPLNYDTLKQTIIRMKSKYRKGKNIPLTILVILDRDWLLGDPSRADKQSAYSLLLAESICNKLGVKVHNLASEIVDSKLGKQITGLKPSLTFIAAEEVMSLVTAQVAENSELNEVWKDILDADGDEIYVKDIELYMKEGENHSFTELSERAWLRREVAIGYIKGGKKIINPVPKNEPLSLEMEDSLIVISELEGDQPITL >fgenesh1_pg.C_scaffold_8000515 pep chromosome:v.1.0:8:4106977:4109581:1 gene:fgenesh1_pg.C_scaffold_8000515 transcript:fgenesh1_pg.C_scaffold_8000515 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTQELWTQTGSEDDGLLDLSDDDFFDKGSSSDEADADDELTDKSAKEAASSASGRATSGMSSDERNQKQNSDRALMPPPQARFESNYRKNSYVQRNEMPSSSRNNTSNRRSEPSYNARAAAASSYSSQSSNLSSNSDAHKPKRKRRPKKKKQQVGLLNYELICRKKIFE >fgenesh1_pg.C_scaffold_8000529 pep chromosome:v.1.0:8:4271831:4272532:1 gene:fgenesh1_pg.C_scaffold_8000529 transcript:fgenesh1_pg.C_scaffold_8000529 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEIDRVNELTNFISTKTGVKGLVDAEITKVPRIFHVPSSTLSNNRPSDITGLNLTVPIIDLGYGNTSARNVVVSKIKDAAEKWGFFQVINHGVPLTVLEEIKESVRRFHEEDPEVKNQYLPTDIINKRFVYNNNFDLYHSSPMNWRDSFTCYIAPDPPNPEEIPLACRSAVIEYTKHVMELGACSSKFSQKL >fgenesh1_pg.C_scaffold_8000534 pep chromosome:v.1.0:8:4310924:4311535:-1 gene:fgenesh1_pg.C_scaffold_8000534 transcript:fgenesh1_pg.C_scaffold_8000534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MP64] MLFLFLREQEQLKNINTILQYLGGISAVGILNPPGGLDQNGHVRFRHKASYYVYFGCAEFAFLASLVGAVYLTVNVSRDAARIRRMLRNGFIILSILSYYLHRWGLDDCPNPGGEECLVRPDWRNSGCSVEYGCLDRCLVSQALSDGAVKLITAAYPNNSWTIEE >fgenesh1_pg.C_scaffold_8000547 pep chromosome:v.1.0:8:4490304:4491087:1 gene:fgenesh1_pg.C_scaffold_8000547 transcript:fgenesh1_pg.C_scaffold_8000547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MP78] MPGGSGLCTSKVCSADSYLVLCIFKCFTLWNFLCSPSEEARLTRTQKEEYPPDPFYKQSWNYMNHVFSVATFTYESLTELSTTISSGATPIMICASRVLNIACVRSSQAVSKSTNGFSIIATQFNTRGS >fgenesh1_pg.C_scaffold_8000590 pep chromosome:v.1.0:8:4899861:4901123:1 gene:fgenesh1_pg.C_scaffold_8000590 transcript:fgenesh1_pg.C_scaffold_8000590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQ17] MRTMKDITGTSKRSQLTTVTYEIVTELTKFEITNFQVLNDFYSDDKKSVYTNVNKTNVFYHPEALLISNLEMDMALKLLTNRYMISNKQGPTIMMVTFTLF >fgenesh1_pg.C_scaffold_8000596 pep chromosome:v.1.0:8:5027395:5027927:-1 gene:fgenesh1_pg.C_scaffold_8000596 transcript:fgenesh1_pg.C_scaffold_8000596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHN4] MSKKTAPNNENIEFYSTPPKRWRRNEAINTSRSIPRSNTPVSNGSVPLRSAFNTVLQDITNLSSTPVIPTVMSEDPPTVRSALKKTIVRGTPRGSHLTSVSPISILATSVSTPLGLRDMTLGTGQTPLMPPPSETRPRQG >fgenesh1_pg.C_scaffold_8000606 pep chromosome:v.1.0:8:5410216:5410738:1 gene:fgenesh1_pg.C_scaffold_8000606 transcript:fgenesh1_pg.C_scaffold_8000606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQR7] MAKELKSRNLNRIPIKLVTMKQAVEKQNKQMKGELQPWENNPAKPRNKSDTGNLSGEGRGRGEKEAGAVREQPHEPKVQIRRNQSLQQRRGIEARDYKQRRRSLSEQNGGLAEEIRTLKLLSSVEE >fgenesh1_pg.C_scaffold_8000613 pep chromosome:v.1.0:8:5565161:5566277:1 gene:fgenesh1_pg.C_scaffold_8000613 transcript:fgenesh1_pg.C_scaffold_8000613 gene_biotype:protein_coding transcript_biotype:protein_coding MKQISDDSLYDSPLSEPPNLNNWFSSYVYESPMLDTSDDLGLFVFGKDECIEETIIEKEITNFESTIDVDEDLDQLIVDESDIEEGKENTTSLFRFTKKEKAVYLAMVNSKDYKEKLAAHKEKAAYLAMANSNDYKEKLAAHKNGTTTITDETEVIFLRNRVKCLEDEGLSVRHEMNRHEASLKWMFYYKFKLLSIL >fgenesh1_pg.C_scaffold_8000614 pep chromosome:v.1.0:8:5574309:5574847:1 gene:fgenesh1_pg.C_scaffold_8000614 transcript:fgenesh1_pg.C_scaffold_8000614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQS8] MKRIWPISIRVSTLPRQKILEAIQRMRRLNVDTPTSAREAFRAGGVDLTRGCDWTLLASCGFDGSRREIEINGGENGSVVDPKTVLDDGDREGEQVYFGGETRNRERVVDHGWLAEAEDGDQAKATLWSG >fgenesh1_pg.C_scaffold_8000615 pep chromosome:v.1.0:8:5599014:5600467:1 gene:fgenesh1_pg.C_scaffold_8000615 transcript:fgenesh1_pg.C_scaffold_8000615 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDYRGRFSSRRCSDDSDDSSDDASSVEGETASSVEGETTSSMYSAGKEYMETEWTNEKHRLYLKSMEASFVDQLYNSLGALGKNENVSESTRFGSGRKPSQEQFKVLHDGFWQKINVKQPEHRINGRHGSSHEFLRSPWIKHYKPLVKTQISVTDEPENQVVSSSNGKKVIVICSSGSASNLKQICSHSRDHDQISVGEEVSDQNFVNEGTKGENGSSKKMKTVMSESPSTDQVVPLNKLLEHDINLKSVS >fgenesh1_pg.C_scaffold_8000640 pep chromosome:v.1.0:8:5962343:5963796:1 gene:fgenesh1_pg.C_scaffold_8000640 transcript:fgenesh1_pg.C_scaffold_8000640 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIPIESSLQRVPSSFLILSLKELAQAYEVLSDPEKREIYDEYGDDALKEGLIDDEQGRYSQFSEDFLLSNPCDGGDSSLLTLSGDASSDLDLGCPNDNFSLTEQLELQFLSDELELGITDSAETPDLIFFVLIAGDLPKLSPGSAVNHIPGMRWIPELHELFLEAVKKLEGPENCGYVSMILSHHCLSLINARLLVDSMDV >fgenesh1_pg.C_scaffold_8000651 pep chromosome:v.1.0:8:6072608:6073457:1 gene:fgenesh1_pg.C_scaffold_8000651 transcript:fgenesh1_pg.C_scaffold_8000651 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEKFVEKLGLRGEEWNQKEESEKAIAIHNVMKRKKYQYFLNDIWEKVELTKIGVPNPTRENECKIAFTTPSWEVCRRMEVYDPIEVKYLAKDVAWKLFKKKVGENLFINDPEIRELAREVIVGYARNIDINQGINRATNRGFEIVGVLSRAKLLMEDGGSKQYVEMHDVIRKIAMWITSNFGNDKERWVVQANTR >fgenesh1_pg.C_scaffold_8000659 pep chromosome:v.1.0:8:6247122:6247445:1 gene:fgenesh1_pg.C_scaffold_8000659 transcript:fgenesh1_pg.C_scaffold_8000659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7I8] MKILRLMRLTKSIWDANLRLTKSISSSNSVFYGFNVVKVNTLNMDGKKKSKVIRVSFVEEEDDKKYHHWLDRKEKWEIAVMVKVKIVVVVKELILRRGLVLRRRRRE >fgenesh1_pg.C_scaffold_8000669 pep chromosome:v.1.0:8:6389264:6390496:1 gene:fgenesh1_pg.C_scaffold_8000669 transcript:fgenesh1_pg.C_scaffold_8000669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MS43] MYDSTTLSLSNAILFVNNKFKDVLSDLGALSADKDYVLAVLYLESRTQLKSLYLRYLLSRYLQEAKSLDPLMQTKKNYADLRMKYFGDGRFVAAGMKPYPSDGLECDEILMNTNEDTLECINDIVISSWRLCPAYLRLRFAESLILYKSINVHTVWDACYRVLSGDVLAEQKIARNNPGLELSDVQLEWYGFRVMRKVMGDLGFHHAGFEGVRLGIVRRLLTYKCDDMSM >fgenesh1_pg.C_scaffold_8000672 pep chromosome:v.1.0:8:6407863:6409698:-1 gene:fgenesh1_pg.C_scaffold_8000672 transcript:fgenesh1_pg.C_scaffold_8000672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MS48] MYAYQEALSKVNTEDRLPFPENWRASHITANTPSTLFSSLNRPMSFSYGISLWEILTGEESYVDMHRGAIIGFNFSLRIRDLYSSDKQFDVTINATKLIHTTKNTKNSHQSGTFDLIFISLTITVMSESEITMAPDSFTPWPHITGVETISNSELLFAYKIIHMVSLFRVPSTIISTYYKTELQKSTLDFGKHQDCFLPSKKHDRLIHIQDQTLAT >fgenesh1_pg.C_scaffold_8000680 pep chromosome:v.1.0:8:6489449:6490230:1 gene:fgenesh1_pg.C_scaffold_8000680 transcript:fgenesh1_pg.C_scaffold_8000680 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLSGQPNIVEIKGAYEYRQSVHLVMELCAGGELFDRIIAQGLLSMFGSRQYGAGVDVWAAGCIFAELLLRRPFLPGSTEIDQLRKIFQAFGTPVPSQWYDMIYLPDYMEFLCLVWYLEPQTIRPGETEIEFAERVRDMISLRAGLKKVPWDGYCHYSF >fgenesh1_pg.C_scaffold_8000681 pep chromosome:v.1.0:8:6492701:6493624:-1 gene:fgenesh1_pg.C_scaffold_8000681 transcript:fgenesh1_pg.C_scaffold_8000681 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDDLPYQVKINGQGDLETIGRFGFDDQIDCLVIAHSKVDATTGDLHTLSYNVLRKPHLMYLKFDTCGKKTRDVDITLPEPTMIHDFAITENFVVIPDQQMVFKLTEMIRGGSPVIYDKEKMSRFEVLSKQIRPVRRTEDGDPVIVIIGSCMSPPDTIFSESGEPTRIELSEIRLNMRTKESNRKVIVTGINLEAGHINKSFVGRKNRGIAKVDIENGTVSKFDTGPGRLDTVSKFDTGPGRLDGEPYFVPEGEGEEDKGYVMGFVRDEEKD >fgenesh1_pg.C_scaffold_8000699 pep chromosome:v.1.0:8:6737637:6739376:1 gene:fgenesh1_pg.C_scaffold_8000699 transcript:fgenesh1_pg.C_scaffold_8000699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MTF4] MMISEIPLGEESIRQDLEVLTMSKRLVKSVSQKLKKKIHKSEVVEDEVDARGAVNCLSISVSCRVADTGENFEDRTDKRFSSASEEGKGLLMTICGTEETRLDCFSYGVKERFWKKHNRKYLVDSAQDYRKHIFLPDDILEMCLMRLPLTSLLNAQLVCKKWRSMATNQRFLQIRREGSFQTPWFFLFAALKDGCSSGEIHGYDVSQEKWHSIESDLLKGRFMYSVTSIHEEIYIIGGRSTDRNSFKSHRGILVFSPLTKSWRKIASMRYARSLPIVGATEVTSEFSTIQTQHNRQHRRFYLSRSGGESDVYEDPHRLSVRRQNRNLSDQNGTKSHRLTRQKLDRLNRNSSKRIVLIAIGGTGLFDEPLDSGEMYDSSTNAWSEIQRLPMDFGVVSCGIICNGIFYAYSENDKLSGYDIERGFWIAIQTPIPPRVHEFYPKLVSCNHRLFMLSVSWCDEGDGQIGRRNKAVRKLWELDLVYLTWTEVSVHPDAPMDWNATYVSDQNIVMGVEMFKIFGQDSVKID >fgenesh1_pg.C_scaffold_8000710 pep chromosome:v.1.0:8:6908483:6909334:1 gene:fgenesh1_pg.C_scaffold_8000710 transcript:fgenesh1_pg.C_scaffold_8000710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTH0] MKLRNWGRITTKQQITTIDCSILKSTERRWREETAGEEPPTNASEFETPKGTAEMEVDPIEPPTDASKIESRKGTSDMEEDSESEKETPKETKIDKTELAREAERENDPT >fgenesh1_pg.C_scaffold_8000726 pep chromosome:v.1.0:8:7516143:7520144:1 gene:fgenesh1_pg.C_scaffold_8000726 transcript:fgenesh1_pg.C_scaffold_8000726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MU63] MQRPKATKTKKKRIGEVMTDVVNLEEAEEAVGDLVQDVQKFGDIAAEEVVGGPVQEVQKFGDVAAEEANGDPDQTVSEKEVANTSQQPAEQVDAQDDDETVEPMAVETDNMNQDSQPVASEPNAREDDSHRTDAGTEMRSTSGLGTPQGDAKLINNDTGKDDSKIQLSHGDPKQAFDRSRNGCHGWCLDLVK >fgenesh1_pg.C_scaffold_8000753 pep chromosome:v.1.0:8:10482061:10482668:1 gene:fgenesh1_pg.C_scaffold_8000753 transcript:fgenesh1_pg.C_scaffold_8000753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKC5] MEMVNKQNTNSTHNSQTDECIVAIQQAASWVVPVDRLRGLFVMLMGVFCEADPLSVWDATWDVLFKDVLFPQQILFNDHELEPDYNEIQNFETFMRKEGSTFNVYAELRKKVALAGGPTCDPTLEDKMRDQFNNLKID >fgenesh1_pg.C_scaffold_8000755 pep chromosome:v.1.0:8:10493071:10494221:1 gene:fgenesh1_pg.C_scaffold_8000755 transcript:fgenesh1_pg.C_scaffold_8000755 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTMIPFTSDSCDAGYFDIASLNPNMGEWSVSVKILNCWSVSRGSGRELNMILGDKHFTQIQAVVRDELIDNYFSRLIVDEWTLVQSINMCSSRTYFNLTEFVSILSGIVNPNICVDVVGKVVNVRELVFVPSVEHSHEGYFELYFGLRDTECIHLEYSLTGDLAVEFYDLWKRRSRNTVICIIRFVKLELSQERRWRCTNVSGCTRIMLNPDLSITDEMLCWNPENDQVPIITRKENAME >fgenesh1_pg.C_scaffold_8000778 pep chromosome:v.1.0:8:10908522:10910305:-1 gene:fgenesh1_pg.C_scaffold_8000778 transcript:fgenesh1_pg.C_scaffold_8000778 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVRGDSLVPLSDIPQPIYKASVNWIKQHPFGDLSHFVSWAIEHIIREQHTCGVAPFVALAMVLRTKPNVLTTLLPMLRERIMFQGQDKLPVTVWLMAQASEGDLSVGLYSWAHNLLPLVGNNKCYSLQSVDLILQLVEKILSNPESRTILVNGAVRDGIQLIPPTSFEILVRFTFPASSARVKTTERFEAIYPLLKEVALAPGSREIFGFSLKLAGEGNPVLAKEATEIAIGSLTANADCFKQWDILYKENLEASVVLLKKLVDEWKDHSLKLISTPSDTLTLNRAMNSFRLKNKKAITKREALCSIYKEADKSCKVILGRLSSGSGYLKGITAVTTAGAVVVGAVAGAVATVVIGGATGMEYFQ >fgenesh1_pg.C_scaffold_8000788 pep chromosome:v.1.0:8:11056694:11057506:1 gene:fgenesh1_pg.C_scaffold_8000788 transcript:fgenesh1_pg.C_scaffold_8000788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML07] MVVDDQVCCQLANGSNGFSFLLDIFVGVWLVCLEWALVLLIRFASCILISTHMEVFLMKLKTHEDAITNIKNELAVVELKVQVGGCQYIMFPGRYIYTKRLKDAKRLKSSLRWVWPWEE >fgenesh1_pg.C_scaffold_8000792 pep chromosome:v.1.0:8:11157975:11160095:1 gene:fgenesh1_pg.C_scaffold_8000792 transcript:fgenesh1_pg.C_scaffold_8000792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML13] MAFYCGIRQIVVKEAYARAESAKSLTNPQALFIEERSSVLSYVDLRFLDNEDEVCICEELDDLVLGELEAKEGTRMDISVSVPPSDTCSTSEKGQGNYSLFLPPARDSAVISIGSSHSTAAHENQKEPHLSVGTSFTSAAHENQKEPHPSVRTSSISVSYSDTCSTGEKRIGNKSLDLLPAPDRSPIPIGGVHSTQGANDIYVNKYFMNKAELMQKMRTWELEYKFEFRYRWSNKERVVLVFVDDKCTWRMRTMRFASNLSQIAQLRCKGYAESIQLSG >fgenesh1_pg.C_scaffold_8000812 pep chromosome:v.1.0:8:11412146:11413138:1 gene:fgenesh1_pg.C_scaffold_8000812 transcript:fgenesh1_pg.C_scaffold_8000812 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMRSLQHFPSSAIQRRLSQFNIHDKLTRPSSCLPLRAQKSGITEGSSDSALEGKVSDLKISEQEVGMYQNEVVESQGIRIRRRPPTGPPLHYVGPFEFRLQNEGNTPRNILEEIVWHKDKEVAQMKERKPLYTLKKALDNVPPAKDFIGALRSAHQRTGLPGLIAEVKKASPSRGILREDFNPVEIAQAYEKGGAACLSVLTDDKYFQVIP >fgenesh1_pg.C_scaffold_8000815 pep chromosome:v.1.0:8:11425475:11427667:-1 gene:fgenesh1_pg.C_scaffold_8000815 transcript:fgenesh1_pg.C_scaffold_8000815 gene_biotype:protein_coding transcript_biotype:protein_coding MSHACLHVPLQTQPTHCLSIYFSFAEEVVESSISQASSFQKAFLFGVSASEDSSRSLSYELGVCEDDFNGNLITDEVDLALENYEELFGSAFNSSRYLFEHGGIGSLFEKDEAPEGSNKGNEMQQPAVNNNASADSFMTCRTEPIICYSSKPTHSNISFSGITGESNAGDFQDCGASSMKQLLREPQPWCHPTAQDIIASSHATTRNNAVMRYKEKKKARKFDKRVRYVSRKERADVRRRVKGRFVKSGEAYDYDPMSPTRSY >fgenesh1_pg.C_scaffold_8000828 pep chromosome:v.1.0:8:11619366:11620533:-1 gene:fgenesh1_pg.C_scaffold_8000828 transcript:fgenesh1_pg.C_scaffold_8000828 gene_biotype:protein_coding transcript_biotype:protein_coding MWFESLHQSHHFIRYMMVVGSRLVFAIFLGNCLCLLLLRTLIDANQADVDCLRTFKSQVEDPNSYLSSWVFGNEAYGYICKFSGVTCWHDDENRVLSIKLSGYGLRGVFPLGIKQCSDLVGLDISRNNFSGPLPSNLTDVIPLVTTLDLSFNSFTGGIPVNIANITFLNSLMLQNNQFTGQLPPELVKLGRLKTFSVANNRLSGPIPTFKSTSINSENFANNTGLCGKPLDECKTASRGKVVIIAALGGFTVAAFVVGIILGLFCSSISVERLLFKRS >fgenesh1_pg.C_scaffold_8000831 pep chromosome:v.1.0:8:11630177:11633171:1 gene:fgenesh1_pg.C_scaffold_8000831 transcript:fgenesh1_pg.C_scaffold_8000831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7MLN4] MEKRFCIQNSPLLSFLLVLLLFISNCFASSQNDEVDEQVRVRVGLVLDLGSVEGKIVRSSVSMALSDFYAIHNDYKTRVSLSVRNSHGEPLLTLSSAVDLLQTEGVEAIIGGNSLLEAKLLGELGEKARVPMISLDSPISCSLSKYSHLIQATHDSTSEAKGITSFINGFDWNSVALVYEDHDDWKDSMQLLVDHFHENNVRIKSKIGFTVSSSEDSMMDRLRKLNALGTTVFVVHLSKVMVTYLFPCAEKLGMMGEGFAWILTAKSMNRFHESIDDFAKETMEGVVGFKSYIPMSKEVHNFTLRWRKSLHVEEVIGTEITRLSISGIWAHDIAWALASAAEVIRMPNVTSTLLEAITESKFKGLSGDFQLDDRKLLSDKFEIVNMIGSGERRIGFWNFNGSFSNRRHLSSTHNNLETIIWPGGSTQSPKGSSLKQSDRKKLRVLVTSSNRFPRLVNVTTDPVTHDIINVDGFCIEVFNASIAPFNYEVEYIRWRNGSNYDNLAYVLNSQKDKYDAAVGDITITWNRSTYVDFTLPFTEMGLGIVALKERSIIVWLIERHENPEFQGSWSQQIGVMLWFGFSTLVYAHRERLNHNLSRFVVTVWIFAVLILVTSYTATLTSMMTVQSIRFNSNKNYVGHLSGSLIAKAALTNSSMQTMRSLGLNTSDDYARALLDKNVSLIVSELPYLKVLFRDYPKDFLMVKKQYTTNGFGFMFQKGSELVHNVSREISKLRTSERLNEMERRWFEKQSSYPTDDKSNPLTLDRFRGLFMITGVSFAFSLAVLFILWLREKWDIIVHSVNIFLSRRLRHFRIIFTRTIHPSPLDNTIGENAIQMAQRNRR >fgenesh1_pg.C_scaffold_8000834 pep chromosome:v.1.0:8:11644007:11644743:-1 gene:fgenesh1_pg.C_scaffold_8000834 transcript:fgenesh1_pg.C_scaffold_8000834 gene_biotype:protein_coding transcript_biotype:protein_coding MANLDFKTLEFLTSLTFNFKTLSDTITSSSYSVSSPVASGVRVAADLDLIDGGCFRWRMVSHREGSRYSVKDGVNRDGYDTDEDDFDYYDDLDDDYELVKVQRIRKSGKRTFAKSKEKSSYSQLKSGCVHGKHGLGISFRCYDIGEIFRDESMKKSWLAFDRVVITMNNLEDCTCFSSSWMVF >fgenesh1_pg.C_scaffold_8000843 pep chromosome:v.1.0:8:11704865:11705630:1 gene:fgenesh1_pg.C_scaffold_8000843 transcript:fgenesh1_pg.C_scaffold_8000843 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTNKEHFSGGLAKVLAEKWQEHFSAISAHKLILASRSEVFKKMLELDEFKTSTKHVETITLSEMKQEELEAFVEFIYSDGSKLSANVKQHARSLYLAADKYEIMHLRDLCRAELISSLSFSNSLDILELAQIPFDKVLHDAAFSFIKKNLKTIDSSDEFKLFIASNPNLAVEIVKASLTCTLGCSSCGYNYTPRNGSCCSCGRIGTLRLI >fgenesh1_pg.C_scaffold_8000855 pep chromosome:v.1.0:8:11873193:11874345:-1 gene:fgenesh1_pg.C_scaffold_8000855 transcript:fgenesh1_pg.C_scaffold_8000855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7MM39] MASKMSLHCSLFLIFFSLISLQGFAKKTGDVSELQIGVKFKPKTCEVQAHKGDTIKVHYRGKLTDGTVFDSSFERGDPFEFKLGSGQVIKGWDQGLLGACVGEKRKLKIPAKLGYGEQGSPPTIPGGATLIFDTELIAVNEKPAGGEEDGGDEDDEGYGNDEL >fgenesh1_pg.C_scaffold_8000858 pep chromosome:v.1.0:8:11969943:11970701:-1 gene:fgenesh1_pg.C_scaffold_8000858 transcript:fgenesh1_pg.C_scaffold_8000858 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKILEALNFYLVVFHPYRSLPEFSQDSEIYDTSMTHLTWGLVNDTYRMDLILIHPPFLITLACIYIASVHKEKDIRTWFEELFLDMNIVKNIAMEILDFYENHRLFTEERVHAAFNKLATNP >fgenesh1_pg.C_scaffold_8000873 pep chromosome:v.1.0:8:12115094:12115543:1 gene:fgenesh1_pg.C_scaffold_8000873 transcript:fgenesh1_pg.C_scaffold_8000873 gene_biotype:protein_coding transcript_biotype:protein_coding MCRCGLSAKIVTSWTDNNPGRKFFGCRLFKKRRTDHCNYFEWFDEGVVDGWPKEALIQARDEIIEKDKVINQLTTQLMELCLELEKHEVEISSGSEDENNSVESDHKVGWMKKILGKMSISNA >fgenesh1_pg.C_scaffold_8000883 pep chromosome:v.1.0:8:12175181:12178376:-1 gene:fgenesh1_pg.C_scaffold_8000883 transcript:fgenesh1_pg.C_scaffold_8000883 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYPLSKLNLDTSEWRIRAKVLAIWQEYYDHYSTVDIVLVDDKGGKIHGIIPMELMPQFSSRIVENRWIVITDFILRPVVDALKPVAHRFELERSGDGFYDFVDFGRILNGAHDTSICVDIIGKAINVSKITSFGCHVYEDQVEHIVFDLQDTRHVDMLRGALFETAVESFDTGCKKNEISVFLVSFIAYKYIVPYASIRVIIVVMFTSKTYDSVWRLNPSKYEWVVETKVLCSWTRRLENSGRRLEFVLADREGNKIQCSLWGEVYDKFVSVIVSGSWFWIKDFKVVHQTGDCRATLHRHKMILLKNTTIVKIPGIDNNQFFDFVDFGSILDRRYSDDFLVDLIGEVVDVQTSNIEAETNGTKLHEGSVVFNDKGVPLSDEVIPDYDYLLDRSKRYNEGRLVVENKEPTRSLKRSNEDVYGFSSTKRFRPLTGGYKELLEEVGGINDQGHGRLGSEFGEDDEEDDDEEEEEDTEGDEEVEEDVDADEGEGEYEEEETYGEFDYDGDDSIYRTVSFYLRDRRNAMLRCRLFGKVALTFYDTFKEQADGAVICVIRWGLIRCYGGDVAIHATDCTRIEINPDIHGVECFDKL >fgenesh1_pg.C_scaffold_8000888 pep chromosome:v.1.0:8:12190925:12196522:-1 gene:fgenesh1_pg.C_scaffold_8000888 transcript:fgenesh1_pg.C_scaffold_8000888 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPRSRERSNRPYLGPLLQAAVTNREASTPFMWPEKQSSHHRRFLSGGLKEIRLGFNLKIDKGLEEEALAKQTHGDSSVSGKRRLGFRMIVGDMEFCITWEVPSTKLSDEGGVDEQRARFGEVLDELISRFVSVYWKQVTADVSFSRRCTNDMFYFNKTTRNWRRRFVAPSCFTDRVGFCKHVLSGLKYSLRPNILKERTEIGSKARSYSSIYLLFSYIAKGSDVSVDKYGSYPLKTEGLGRWFRSKMSEQIYLSNLARGRTVKYVRVKILSLWRVRIYGFRWKTEMLLADEQGTKIEGTIGCGPFHNVDMRELREDACLLDLCGVVVYVSAIKRMTYVPGEYDASTACNYLYFRLMDQKGRELPCFALGHYAADFMNVWTSRGYQASFRYQPVFCVLRFWKVDEFMGEPSISTRIGCSKIYLEPTLPEIKDLRMM >fgenesh1_pg.C_scaffold_8000898 pep chromosome:v.1.0:8:12264239:12265353:-1 gene:fgenesh1_pg.C_scaffold_8000898 transcript:fgenesh1_pg.C_scaffold_8000898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMN4] MYLSFVKYRTLSPVDSFSSELGIHFDIVYLAPHVIRVDCQRTEESPHEETRARSSQQETQQTEQTTEARTGQESQGNQQRTDVGTGQEARPEEIRVRSSQHETQQTEQTTEARTGQESQGTLTRARSSQHEARGTLQRSGLGTGQEAQTFCSSPL >fgenesh1_pg.C_scaffold_8000911 pep chromosome:v.1.0:8:12396091:12401336:1 gene:fgenesh1_pg.C_scaffold_8000911 transcript:fgenesh1_pg.C_scaffold_8000911 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPKTTVFVVLCFLFGLAPYFTRATTDELQARCDSHSFNNGKHFRSCVDLPVLDSFLHFSYVRETGVLEVAYRHINVESSRWIAWGINPTSKGMSGSQTLLAYRNSTSGIMRVYTSSIKGYSPTLQEGPLSFRVLQLSGEYLNGEMTIFATIVLPSNITVVNHLWQDGPLKEGDRLGMHAMSGDHLKSTATLDLLSGQVTTSKAANDNMLLVKNIHGLVNAVCWGIFMPIGVIAARYMRTYKGLDPMWFYIHIIFQTTGYFGGLLGGLGTAIYMAKHTGMRSTPHTVIGIFLFALGFLQILAFKARPDKEHKYKKYWNWYHHITGYVVIVLSVYNIYKGLAILQPGSSWKIAYTTIIGVIGMFATVMEVLQFKSRWGGLCCKESENLEADQTVSTNAAKPEILSFGLRLRSSSFVTPCVLRLRSSSSVFDCSCFMESESENNNDDILGQENHMEEDGPPPSSVGGKRTKSQRSSSGFAVKPRKRPAHRASVHRLMPNHQLTLELELEIEENNDNIPNASMLCRKLAFTDGQPPWKKKKPSKDPTPNPSIPYDLIVSILARVSRSYYPKLSLVSKSFRSILASPELYQTRTLLGKTETFLYVCLRFPDEANPRWFTLYQKPNQTLTKKKKKKKKEESTVKLLAPTPVLNSPPLEWSSLIAVGSNLYAITAAIDDSPCSNFDGTIYLAGSSENPDSLNCVEMYNTMTRTWNPVPPRRRIFKLENMEGKIYVNVCVESTKEVVLVTPKIMTWEALNLDLDRGSLCTIDNVVYLYNPSGVFLFRKGFIKEAVVWGILRGLERLPKFAKYSAVKLADYGGKLVVLWDKYVAASGYKEKMIWCAEISLEKRNNEEIWGKVEWFDAVLRVPKSYKILCATAATL >fgenesh1_pg.C_scaffold_8000918 pep chromosome:v.1.0:8:12437611:12438240:1 gene:fgenesh1_pg.C_scaffold_8000918 transcript:fgenesh1_pg.C_scaffold_8000918 gene_biotype:protein_coding transcript_biotype:protein_coding MLMERRYPVASVVDGKIYVVGGLKDFDSSKWMEVFDSKTQTWEFVLSPPTLVKRFIFWTAVIEEEIYMFGENGMAYKPEEDTWKSEVMSVGVVCHCVVDNVLYYYYYFDGINWYDSEIGHWRELKGLEGLPEFARYSNVYLVDYGGKIAVFWDKDLPSSGCKSRAIWCAVISLERCNNSREIQGNIEWLDVVLTVPYCYSFVNVLAATV >fgenesh1_pg.C_scaffold_8000929 pep chromosome:v.1.0:8:12514125:12514942:1 gene:fgenesh1_pg.C_scaffold_8000929 transcript:fgenesh1_pg.C_scaffold_8000929 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVLMSFLLLLPLCSSSFGESHGDGAPHTDQYSVNMVEESIPKMMDSPETGPNPSHDQRVNGWGRPTRPPSPDVNGWGRPTRPPSPDVNGWGRSVQPPPSDVNGWGPSVQPPPSDVNGWGRSVQPPPSDVNDWGRPILPPPTDVNGWDRPTRPPSPDVNGWGRPMRPPPPRVNG >fgenesh1_pg.C_scaffold_8000937 pep chromosome:v.1.0:8:12589039:12590484:1 gene:fgenesh1_pg.C_scaffold_8000937 transcript:fgenesh1_pg.C_scaffold_8000937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MN69] MKNGKVNYKTKKFILKKSEKEEGEISKKTEEGDDDIWKKMLEDVDAILKKMEEDEAAEALKKMREGEEAKAKVKPEAIKIFYKVLKIAKEVILSSLERSSSGYLKHSEWNGSLHYAMNRYFDGLYDHLAKKSIDDDRNNTIFSFEIERVKCIIVPAYSKINLLLFSENEKVYKYLEPPVESKKHWNLLAEVKKNDLETPAYGRLLSVNT >fgenesh1_pg.C_scaffold_8000939 pep chromosome:v.1.0:8:12623309:12624822:-1 gene:fgenesh1_pg.C_scaffold_8000939 transcript:fgenesh1_pg.C_scaffold_8000939 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFSCERERQRLAALRLHEDGIKSDFHHEMIEEESRAFQQPIDKTIEAHQFTGKYRNNEVSIKFLKSLDVCKVREIARLSHKNSPVSRSLHEILHNDQRVLDHSTLIHIALDIACGMEFLHAHQIMHGDITSSDLLMSKDGVIKVSGYGVTGNQKLYTLSMKADVFSYGIFLWELITTETPMSFALEQAKKKLKAKEEDRKPNDFVKQPRNIMETFLEVFRERVPKEASAILVGIMEMCWTEEPPSFAEITKLLRKDSVFRGRQSTDTSERYKKPPGFFHYR >fgenesh1_pg.C_scaffold_8000961 pep chromosome:v.1.0:8:12902616:12903958:1 gene:fgenesh1_pg.C_scaffold_8000961 transcript:fgenesh1_pg.C_scaffold_8000961 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLEHRGVMANDDGDGPFRDPLPNFSDMFPSNFPEGLRMLVFDEDSSHLQMLETNLQKFQYQVTICNEENKAMYALRNHRNRFDIAIIQGNNSDGDMFRFLSEIGSEMDLPIIIISEDKSVQSVMKWMINGATDYLIKPIKPEELRIIFKHLVKKVRERRSVVTGEAEEKAGGEKSSSVGDSTIRNPNKRKRSMCLDAEVNEEDRHDHNDRACAASSKKRRVVWTKELHKKFVDAVEYLGLDKAVPKKILELMNVENLSRENVASHLQVTFLLVYYYT >fgenesh1_pg.C_scaffold_8000970 pep chromosome:v.1.0:8:13015038:13021675:1 gene:fgenesh1_pg.C_scaffold_8000970 transcript:fgenesh1_pg.C_scaffold_8000970 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRNTNSMQRVKVYRLNEDGQWDDQGTGHVTMDYMERSGDFGLYVIDEDDNSTLLVHNISSENIYKQQEDSIISWSEPKHSAQLALSFQETAGCTFVWNQISSMQRILHFDSLHSEAFHNVISELKELPDVNRSNLPLLLKVVTENCITDQMRLTELILKDATFFQKLMDVFDSCDNVKDVADLHMIFKIVKGIISLNSSQILEIIVGDQLFMKIIGCLEYDPDVPQSQHQQTMLREHAVFKEAIPIKNALVLSKIHQTYRLGFLKDVLTNVVDAATTTNLDSVIDANNATIVTLLKDDIQELFARLRSPSTSDESRNNLVLSVRFSDFGQGPVIKVHFLHEFCSLCKSTKKVSVLRELISDGLFDIIAVILKSPDKKLILMGAEILSIVLAQDSLMLLCSYVVRPETPLLGLLVKRMMEDFGDKMESLFVDIIQNVLEFRGAQNVQFSDKQKKMQGMILDTFCEKHLPELVDLIMASCPERPGDTSEGAFVRVGSNRGAKPEVLLHICQLLCSCVQLDPFRTNFLHNNLTEKVLLLTRRKEKPLVAAAVRFVRTLLSVHDDNVQSYIVESNTLKPIIDVFVADGHQDNLLTSAVLELLEHIRKKNAVLVKYVVDTFWDQLAPFEHLPSLQDFKTKYEKCLERKGPKSTTDDQSDMRQDGRALDEEKSPSASCQQMEEAEPHNSDVAAASSTPSTQMEEDEPYNPDVPAASSASPSKRSGGLVDYEDDEDEQEKRKRQKLSSTSEGNKNSPEQGGEAKEPGEL >fgenesh1_pg.C_scaffold_8000972 pep chromosome:v.1.0:8:13054531:13055570:1 gene:fgenesh1_pg.C_scaffold_8000972 transcript:fgenesh1_pg.C_scaffold_8000972 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNMMMPWNRSNHNVVGMLTRHFATKPKPKMKPIELNTPPEQTQTITRVIFDILKDHGPLTIAETWDRVKEVGLRGLTSKRHMKIILRWMRERQKLKLICNHVGPHKQFLYTTWFTKHNPSSKFPKSPENLTGKSSSHPKLP >fgenesh1_pg.C_scaffold_8000989 pep chromosome:v.1.0:8:13199577:13200644:1 gene:fgenesh1_pg.C_scaffold_8000989 transcript:fgenesh1_pg.C_scaffold_8000989 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWSVSMKILNCWSVSRGSGRELNMILGDEHFTQIQAVVRDELIDNYLSRLIIDEWFICDSCSDCIIHVCFIDVFGKIVNVRELIFVPSVEHSQGRYFELYFGLRDTECIHLECRLTGDLAVEFYDLWKHCSRNTVICIIKFVKLELSQEHRWRCTNVTGSTRIMLNPDHSITDEMLCWIPENDQVPIITRKENAME >fgenesh1_pg.C_scaffold_8001006 pep chromosome:v.1.0:8:13411277:13413307:-1 gene:fgenesh1_pg.C_scaffold_8001006 transcript:fgenesh1_pg.C_scaffold_8001006 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MPE2] MDDKKEEVMHIAMFPWLAMGHLLPFLRLSKLLAQKGHKISFISTPRNILRLPKLPSNLSSSITFVSFPLPSISGLPPSSESSMDVPYNKQQSLKAAFDLLQPPLTEFLRLSSPDWIIYDYASHWLPSIAKELGISKAFFSLFNAATLCFMGPSSSLIEESRSTPEDFTVVPPWVPFKSTIVFRYHEVSRYVEKTDEDVTGVSDSVRFGYTIDGSDAVFVRSCPEFEPEWFSLLQDLYRKPVFPIGFLPPVIEDDDDDTTWVRIKEWLDKQRVNSVVYVSLGTEASLRREELTELALGLEKSETPFFWVLRNEPQIPDGFEERVKGRGMVHVGWVPQVKILSHESVGGFLTHCGWNSVVEGIGFGKVPIFLPVLNEQGLNTRLLQGKGLGVEVLRDERDGSFGSDSVADSVRLVMIDDAGEEIREKVKLMKGLFGNMDENIRYVDELVGFMRNDESSQLKEEEEEDDCSDDQSSEVSSETDEKELNLDLKEEKRRISVYKSLSSEFDDYVANEKMG >fgenesh1_pg.C_scaffold_8001013 pep chromosome:v.1.0:8:13455561:13456552:-1 gene:fgenesh1_pg.C_scaffold_8001013 transcript:fgenesh1_pg.C_scaffold_8001013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPE9] MPPKKTGLKRKREPSLTLSPVLEPENEEEESEEEESEEEEEKEEEKEEGNEEGNEEGEESSSDDGSRSLCGESSSDESKKDEIVVENAPENAMHCYWAHKDWRRCYVHGVIFSESVV >fgenesh1_pg.C_scaffold_8001036 pep chromosome:v.1.0:8:13604781:13607855:-1 gene:fgenesh1_pg.C_scaffold_8001036 transcript:fgenesh1_pg.C_scaffold_8001036 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRQKKKSLRIPFEHLQKPGLKIKKVTLMNGFDIPGTFLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGAYIASLLGQGGSTKYHLNSRWQQFFKSDRDRRDLVTCGCAAGVAAAFRGGQIMVFLEAEKGYRSLIKIVIALGEDPPRVCVHCVG >fgenesh1_pg.C_scaffold_8001071 pep chromosome:v.1.0:8:13827628:13828604:-1 gene:fgenesh1_pg.C_scaffold_8001071 transcript:fgenesh1_pg.C_scaffold_8001071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQ46] MLHRITYDFQKSLQLFRKMLTFLITINPEAGEENVVGPIAPIKATNKTEVIAGKLVALGTVALIYLKRFYDMRKLRTALTTAKVEAQQADSQLLEMQAPTLADGIAAGRETSLQKSVQLALVRRQITATAVAAGIIQKIPKRYPRVFLLANKA >fgenesh1_pg.C_scaffold_8001105 pep chromosome:v.1.0:8:14055371:14056238:1 gene:fgenesh1_pg.C_scaffold_8001105 transcript:fgenesh1_pg.C_scaffold_8001105 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQQPPSNLQTYGIPIYAVDWILSNLKSLRIRMMMMISPRRRHRTSFYPAEAGKDEACGCTDLPYRMTLHPRQDGLICALPNSCRLFDWDHITEVDNEEESEKVIKELKDVGQPLAEAR >fgenesh1_pg.C_scaffold_8001134 pep chromosome:v.1.0:8:14286590:14287777:-1 gene:fgenesh1_pg.C_scaffold_8001134 transcript:fgenesh1_pg.C_scaffold_8001134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQV9] MNTSENNVDDVPPPSKKKTAPESARKEADMSDNESAGLYYSGPSDEDEVICDGGEYDKGPLDSASKQQDKSDTEMTDISEDDKDVFPAEEHKTGDDEDVLPEDETKTGDDQDMLHQEESNVGDDQELFNAPSSPKRGADKLERNAGGAIGVDGNLSSMPSPSSVIVYTVLTELGTSVDVTAGTNVIEVEPMVKADIILGGDNNTIVDEARTTASAPQNLVSLYMLFTYHAMISI >fgenesh1_pg.C_scaffold_8001135 pep chromosome:v.1.0:8:14290932:14292027:-1 gene:fgenesh1_pg.C_scaffold_8001135 transcript:fgenesh1_pg.C_scaffold_8001135 gene_biotype:protein_coding transcript_biotype:protein_coding MTSISDLSQDLVEEILSRVPMTSLGAHCGKAAKEIMVVMMYHFEAYLMSINLHNHKDLVDPFIKKIGKLKHVKICEVFHCNGLLLCVTHEYKLVVWNPYSGQTRWIQPRNDDISGPVIYCVGYDINNNHKILRLSYWIDNYVCEIYDFKCNSWRVLDITMEWYIRGQGVSFQKNSYFIAQGMRKVEEVTSKGCLLCFDFTRERFLGLSLPCHCRIEDSVILSVVREEKLAVLYRRRDALEIEIWITNKIEPNEVLWSHFLKLDMVRFHYLNGSFFVDEKKKVAVICDVDYTTRANYKACMVGEGGHYREWISENLSVSHICALMFQVRCRSSNVPILTRRQDSLVLLYSLH >fgenesh1_pg.C_scaffold_8001140 pep chromosome:v.1.0:8:14311150:14313535:1 gene:fgenesh1_pg.C_scaffold_8001140 transcript:fgenesh1_pg.C_scaffold_8001140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7MQW7] MSTTHGVEHVGLPTKIEATKSSKQGCCNPVKKPGPVSMDHVLLALRETREERDLRVRSLFNFFDSENVGYLDCAQIEKGLCALQIPSGYKYAKELFRVCDANRDGRVDYHEFRRYMDDKELELYRIFQAIDVEHNGCISPEGLWDSLVKAGIEINDEELARFVEHVDKDNDGIIMFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAVIPEGISKHVKRSNYFIAGGIAGAASRTATAPLDRLKVLLQIQKTDAKIREGIKLIWKQDGVRGFFRGNGLNIVKVAPESAIKFYAYELFKNAIGENMGEDKADIGTTARLFAGGMAGAVAQASIYPLDLVKTRLQTCTSQADVVVPRLGTLTKDILVHEGPRAFYKGLFPSLLGIIPYAGIDLAAYETLKDLSRTYILQDAEPGPLVQLGCGTISGALGATCVYPLQVVRTRMQAERARTSMSGVFRRTISEEGYRALYKGLLPNLLKVVPAASITYMVYEAMKKSLELD >fgenesh1_pg.C_scaffold_8001143 pep chromosome:v.1.0:8:14336750:14338415:-1 gene:fgenesh1_pg.C_scaffold_8001143 transcript:fgenesh1_pg.C_scaffold_8001143 gene_biotype:protein_coding transcript_biotype:protein_coding MNRARSYISLGLLKKSSYVSSHIPWNRCFYMPSKYSLKPSCVTALGLSSVHCYSTRSKTAKSKISTAVSVSDSDKEKDAFFVVRKGDIIGIYKDLIDCQAQVGSSVYDLPVSVYKGKGYSLLKDTTEEYLSSVGLKKPLYVFRAFDLKEDMFGPLTPCIFQDQLPSASMSVVNPLEKLAKQKPSADTSYETCIIEFDGASKGNPGLSGAAAVLKTEDGSLICKMRQGLGIATNNAAEYHGLILGLKHAIEKGYTKIKVKTDSKLVCMQMKGQWKVNHEVLSKLHKEAKQLSDQCLSFEISQVLRNLNSDADEQANMASRLSG >fgenesh1_pg.C_scaffold_8001177 pep chromosome:v.1.0:8:14555996:14557943:-1 gene:fgenesh1_pg.C_scaffold_8001177 transcript:fgenesh1_pg.C_scaffold_8001177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7MR13] MNMMMQKISFLSLHLLLLLVLCVHPLTTVADGNSTDIDRWCDKTPYPDPCKCYFKNHNGFRQPTQISEFRVMLVEAAMDRAISARTELTNSGRNYTDIKKQAVLTDCIDLYRDTIMQLNRTLQGVSPKAGAAKRCTDFDAQTWLSTALTNTETCRLGSSDFNVSDFITPIVSNTKISHLISNCLAVNEALLTAGNNGNTTANQKGFPTWVSDKDRRLLRVVRANLVVAKDGSGHFNTVQAAIDVAGRRKVTSGRFVIYVKRGIYQENINVRLNNDDIMLVGDGMRSTIITGGRSVKGGYTTYNSATAGIEGLHFIAKGITFRNTAGPAKGQAVALRSSSDLSIFYKCSIEGYQDTLMVHSQRQFYRECYIYGTVDFIFGNAAAVFQNCIILPRRPLKGQANVITAQGRADPFQNTGISIHNSRILPAPDLKPVVSTVKTYMGRPWMKFSRTVVLQTYLDNVVSPVGWSPWIEGSVFGLDTLFYAEYKNTGPASSTRQRVHWKGYHVLGRASDASAFTVGKFIAGTAWLPSTGIPFTSGL >fgenesh1_pg.C_scaffold_8001201 pep chromosome:v.1.0:8:14714157:14716216:1 gene:fgenesh1_pg.C_scaffold_8001201 transcript:fgenesh1_pg.C_scaffold_8001201 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRTLPSPAPVNSPFSSSVASHHDRTTTRIVPPLAAVGFSLFITLSICFCKFNRKRRSPAAVTSSSSPPQKPPLHEFSYSSLRKATSSFSPENRLGQGGFGSVFRGTLSPSSGGNVAVKVMDSGSLQGEREFQNELFFAGKLDSPHVVSVIGFSRRRSRLILVYELMDNGNLQDALLLRKSPELMIWNRRFLVAIDIAKGIEYLHSLNLPVIHGDLKPSNILLDRFFSAKISDFGLARLKSEHVEVKVASESDEVNVVEDYGSVVEEVESVVTNTTGYDESNFGFTDQSPVPLSSPEMVAQAPMASPETVVSVSPEMGEKVEKKKSSKKLECCFSLDEEKEKGKKKKNRRMVRDWWKDEYRKELAKRMKKKKKKKTLESEFYSDDVSGSVDQRRRGDEELYRKKRRGGSSNSIGSSIDWWLDGLSGEQWRARRRNSQDSVKSCGVSSTPSMRGTMCYVAPECCGNNIDDVSEKSDVYSYGVLLLVLVSGRRPLEVTGPASEIMLRANLMSWARKLARRGRLGDLIDEKLQLLDKEQAVLCIKVALQCLQKSPVSRPSMKDVLEMLTGAMSPPDLPTEFSPSPQTRFSFKTRRKHNR >fgenesh1_pg.C_scaffold_8001204 pep chromosome:v.1.0:8:14740626:14744238:1 gene:fgenesh1_pg.C_scaffold_8001204 transcript:fgenesh1_pg.C_scaffold_8001204 gene_biotype:protein_coding transcript_biotype:protein_coding MGETTKGDATKPSPNQISSPKDSSLDHQAPNPSLLHHHHHHHQSFLPSPIFIPTVSSPGAPVIPKRPRFSTSGGLSPPQWKALPSPSTVPTASTISSSPTPSTAVVTASSTETAGSSPPGQEATNSEKQQQQQPKPESFQHKFRKGKYVSPVWKPNEMLWLARAWRAQYQNQVTGSGSGSGSGEGRGKTRAEKDREVAEYLNRHGINRDSKIAGTKWDNMLGEFRKVYEWEKCGDQDKYGKSYFRLSPYERKQHRLPASFDEEVYQELALFMGPRVRAPTINRGGGGGATVTVASTPPSVEALPPPLYPALMTSRDEYDIDNNPISSIGRGKRLALSLLGDDHPQYPYSHNIARGSGLFSNKSLYNPFFEMIPSSSSSSSSLKDLRRIGKIRLTWEESVNLWAEEGDVDYGRIRVSGSSFLNADELTYLDDSMVACKMESFEDGPLKGFSLDKFISGQHLKVFGRQRSTSSSAPSPSVNVAGVFDRPQLQLSEPIYKSISTLEFQDPSEHFLSKLRVPAGNIPSLFELARYLQEPPPENLRFPLRPDVYKDLPSGKELFFSISSTELLDCRAITYDVIGPIMSRLNPNTGFVISSKDSLISLWDDCVNRMVSKFCEMVVLRKPDSSSWIENVQDQWPNVMGFVKGFGLWRGEETDKVREGAADPSSLLVEKILWSYNDLPYILGYHAIGFIVTFCALSLSSEDRVICTDLYSFNVSSPSDRIKALVPCYRLASLIPLLADRCTTRPLCYNDFERIDHGDYVTEVTPNTVTKYYSSKRKWMGVKGIYDFLDQRVPHAEHLDRASEKDLSLSFKPRGIRVKPQNIDQLIDSLMCVSKALVALHDLSFMHRDMGWHNVMRSTATITTTDTDWFVCGFDAAVEAPQLNPHRPVAKAVMEEEEERGRHAPEMERGLHAVKVDVWGVGYMIKTCGLSNVPKILRDLQGKCLEPNQENRPTAADCFHHLLQVQSASTASY >fgenesh1_pg.C_scaffold_8001227 pep chromosome:v.1.0:8:14851912:14852928:-1 gene:fgenesh1_pg.C_scaffold_8001227 transcript:fgenesh1_pg.C_scaffold_8001227 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNKEGIFAPKEKKVVVLWDLDNKPPRGPPYEAATALRKVAENLGRVVEISAYANRHAFIHLPHWVVEERRERRNLDFMERKGEVTPIDPYICGVCGRKCKTNLDLKKHFKQLHERERQKKVNRMRSLKGKKRQKFKERYVSRNDKYNEAARRLLTPKVGYGLEAELRRAGVYVKTVEDKPQAADWAVKRQIQHSMTRGIDWLVLVSDDKDFSDMLRKAREADLGTLVVSDRDRALGRHADLWVPWSGVEKGEIGEMDLIPGKRPRFEEDEESEVGFSGGDELFSLSYDEDERAEMTVESDGLGRFNVSAFSEDEWVEDEGDFALSDSDDDSDDELF >fgenesh1_pg.C_scaffold_8001234 pep chromosome:v.1.0:8:14923104:14924349:-1 gene:fgenesh1_pg.C_scaffold_8001234 transcript:fgenesh1_pg.C_scaffold_8001234 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMMRNKPTNLPTAVGGGRGSGGGRESGGHDWEMRPGGMLVQKRNPDLDPVGAPPPPLIRVRIKYGAVYHEISISPQASFGELKKMLTGPTGIHHQDQKLMYKDKERDSKAFLDVSGVKDKSKMVLIEDPISQEKRFLEMRKIAKTEKASKAISDISLEVDRLGGRVMPCPPSPYFLRLDSMKSWKSYMVIKKGGKIPEKDLVTVIELLMNELIKLDAIVAEGDVKLQRKMQVKRVQNYVETLDVLKVKMANGQQKHLGAQRLAPIQEHNNEERQGQKPIQSLMDMPVQYKEKKQEIEEEPRNSGSGPFVLESSTKWETFDHHPATPLSSATANNQAIPPRFNWEFFD >fgenesh1_pg.C_scaffold_8001243 pep chromosome:v.1.0:8:14992676:14993304:-1 gene:fgenesh1_pg.C_scaffold_8001243 transcript:fgenesh1_pg.C_scaffold_8001243 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQGLNEVEASVGDDNTGLPEWKISKLRTHKYGKKPKFRWWWQKKKKFVADDSQCTICLVDYEKGDKIMTLPCNHIYHKDCILHWFKENRVCCVCKREVY >fgenesh1_pg.C_scaffold_8001258 pep chromosome:v.1.0:8:15059629:15060391:1 gene:fgenesh1_pg.C_scaffold_8001258 transcript:fgenesh1_pg.C_scaffold_8001258 gene_biotype:protein_coding transcript_biotype:protein_coding MADIDLSSRLLRTEKNLPATVAIQGFIHALVLVFVEAMPAILSVGCDRTEPESDDEHMFPVISLKLDKVWELDGEAHVDVLSIIPSADVVTGVEDCGWVDEVSDPSVQVLLKRLEEGVKFSR >fgenesh1_pg.C_scaffold_8001268 pep chromosome:v.1.0:8:15111646:15113659:1 gene:fgenesh1_pg.C_scaffold_8001268 transcript:fgenesh1_pg.C_scaffold_8001268 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSDQKNLSQMNHDPRLEAIVDRMLEKYDASVRRSISWPWVFRKMTEIRSDILVGPRQGALIAIAMVMIQISEATNSRVRAFRCKIEKIILDKVEDTVSKIGVILASGILDVGGMNVTLRQLSKTKHNKITADHSLAVFSQCKLKKILLDKLDDTMSKMGVILLDIGSRDEDCSQRKNMKKYS >fgenesh1_pg.C_scaffold_8001270 pep chromosome:v.1.0:8:15117860:15121331:1 gene:fgenesh1_pg.C_scaffold_8001270 transcript:fgenesh1_pg.C_scaffold_8001270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase/ transition metal ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7MRR4] MATWTPSSLQLRIALNHGSFKAPARAKMTKLSRRFRMSCVAQNAELGRDFGESNGSDRFRGWADSGDDENTVDSRGGDWFKGTLLSGVAGMVLFVGLTYAALSFKRNVSRPKVEVMVTTVTKSSSDQISTGDNEGNIVKDQDKQESYRDSPVLSLDDKDLVSKSASTSKVNDEGNKASESSAERYTLSKELDGVDTHTSLIPYEKQKTRSYTGIPAPSTVPQVNPVEPIFPTVVDPVQSQIFSALQALKVIESDALPYDLCTRREFARWVVSASNTLSRNSASKVYPAMYIENVTELAFEDITPEDPDFPFIQGLAEAGLISSKLSNHNMPCSESSRFTFSPESPLTRQDLLSWKMALEFRQLPEADSKKLYQLSGFLDIDRINPEAWPALIADLSAGEHGITALAFGRTRLFQPAKAVTKAQTAVSLAIGDAFEVVGEELARIEAEAMAENVVSAHNALVTQVEKDINASFEKEFLREKEIVDAVEKLAEEAKSELARLRVEKEEETFALERERTSIETEMEALARIRNELEEQLQSLASNKAEMSYEKERFDRLQKQVEDENQEILRLQNELEVERNALSIARDWAKDEARRAREQAKVLEEARGRWEKYGLKVIVDSDLHEQTTTESTWLIARKQNPVEGTMKRAGNLIAKLKKMTKDVGEKCREVINLIIEKISLLISALKQQVHGMENKAKDLKMKTKSKVEEVCRQTSLRVDEIRNISIVKAKETVEELKDRVGKLGEKFKSK >fgenesh1_pg.C_scaffold_8001279 pep chromosome:v.1.0:8:15160883:15161860:1 gene:fgenesh1_pg.C_scaffold_8001279 transcript:fgenesh1_pg.C_scaffold_8001279 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHVENKLQKKEIKQEISNEMCGFRVAVTSETEPIWGKKKSHGANYNSSELTHVSIVGFDVTELDTENSLSGFMDQVPISLRRWACYPMLLGRVSRSFKHVMLVDAKTSLFIGDPLTRIRNRSPDVVLFFYSKYKKASEVNPAILIGGAKGIRRLSSSMHTQIVRARASATMMIKKKNSVTESVVLSQLVGNFPYD >fgenesh1_pg.C_scaffold_8001297 pep chromosome:v.1.0:8:15253759:15254836:1 gene:fgenesh1_pg.C_scaffold_8001297 transcript:fgenesh1_pg.C_scaffold_8001297 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIIVFKFKVLNDRIIRDAMEVIWEFPGVTSVEVKGDADQLEVNGGEFSKIVMAAKLKDIDESVSFIIKAGPDVEAPNNATSYGTNSKIKDALSAFRAPKPAPPHTPEPTYARGRGKSFNHDRLIIPQQPILNDVAAGVRNFMYKPDPNQRAEINWGKKPQPEVKHQEQGGGLFGYFGKKQQPQKEKPVREIPKPQSTASTSKKGTKTELRCTFSLVD >fgenesh1_pg.C_scaffold_8001300 pep chromosome:v.1.0:8:15268488:15269108:1 gene:fgenesh1_pg.C_scaffold_8001300 transcript:fgenesh1_pg.C_scaffold_8001300 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKAVLQLIIDNEKIRTKVFVTVAGFTGVTSITMDDKTGKLTVVGEIDVPIIVMKLRKLCNTEIVSVEVVKPPEKKPEPEKPAPPKPAPPKPTENIAAPMNYQNQYNPAYAYPDSYYQPNTCVIM >fgenesh1_pg.C_scaffold_8001308 pep chromosome:v.1.0:8:15308410:15310423:-1 gene:fgenesh1_pg.C_scaffold_8001308 transcript:fgenesh1_pg.C_scaffold_8001308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7MS92] MENPPSPPPETAAYTLTTSSISYTKPKTSLSLLGFPATEPPSFILRNITLTAHPTEILAVVGPSGAGKSTLLDILASKTSPTSGSILLNSIPINPSSYRKISSYVPQHDSFFPLLTVSETFSFAARLLLPNPSKVSETVTSLLSELNLTHLSDTRLAQGLSGGERRRVSIGLSLLHDPCFLLLDEPTSGLDSKSAFDVIHILKSIAVSRQRTVILSIHQPSFKILSIIDRLLLLSKGTVAYHGRLDSLEGFLLFKGFTVPPQLNSLEYAMEILQELRESEGNTDAIALPSTENRKQREKQSIVRYRTSRITEISLLSRRFWKIIYRTRQLLLTNALEALVVGLVLGTIYINIGIGKAGIEKRFGMFAFTLTFLLSSTTETLPIFINERPIILRETSSGVYRLSSHILANTLVFLPYLFAISIIYSVSVYFLIGLCPTWQAFGYFVLVIWIILLMANSFVLFLSSLAPNYIAGTSLVTILLAAFFLFSGYFISKESLPKYWLFMYFFSMYKYALDALLINEYACLASKCLVWLEEAQAKICVVTGGDVLKKKGLDEKQRWLNVYVLLGFFVLYRVLCFLALLRRVSGSKRCLLCRDMSRTKNERLNSTFCRPS >fgenesh1_pg.C_scaffold_8001350 pep chromosome:v.1.0:8:15571965:15573006:1 gene:fgenesh1_pg.C_scaffold_8001350 transcript:fgenesh1_pg.C_scaffold_8001350 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTDRRRRRKQHKTALHDSEEVSSIEWEFINMTEQEEDLIFRMYRLVGDRWDLIAGRVPGRQPEEIERYWIMRNSEGFAEKRRQLHSSSHKHTKPHRPRFSIYPS >fgenesh1_pg.C_scaffold_8001357 pep chromosome:v.1.0:8:15603500:15604995:-1 gene:fgenesh1_pg.C_scaffold_8001357 transcript:fgenesh1_pg.C_scaffold_8001357 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQNFHCVRFNPSDEEIFQTFLIPKLALPEDAPLPNSLIEPFDFYHFDPITAEKPGSEFFPEGNFWYFVTRTRLNARSVRPNRSIRTNPFLGKWRKYGVTEKITQGEVFLGKKHTYDYLNQQNLKTGWRMSEYERPGNAFQQLVVVHLFYKTTLDQTLLDDQQHVQQGNEVHAHGNVVGIDDVVDDVVDDVVDQDQIHGEQIVNNQQQENEVHAHGNVVGVDHVVDQDQIHGDVVEQIVNNQQEENEVHAHGNVVGVDHVVDQDQIHGEQIVNNQQQGNEVHAHGNVVGIDDLIDQEVEHHQIHGEQIVNNQNQAHGIVDDWYYIFGNLGDI >fgenesh1_pg.C_scaffold_8001359 pep chromosome:v.1.0:8:15610058:15611854:-1 gene:fgenesh1_pg.C_scaffold_8001359 transcript:fgenesh1_pg.C_scaffold_8001359 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMEEAAKDRCCSSLEPIHLDLKLTRLPAKFLMKTHQKKLLCRVESLVLLGTAIKREDDQKDKLDPIPFDLEVEILTRLPAKSLMKFQCVSKMWSFIIRSQRFVDSYYALSSTRSRFTVTFSNGLFAEDDARRLFIFSSSYEEEKSSSLVAKLDITIPVVTMDYRSKCPSIHGFVSCCNGPQFIVCNPSTRQVITLPIKGPRASLGYDPVGEQFKVLNLVSSPDMYLGFLVHEVITLGGGGEESRNQVTTAPYYPVTKGLYINGSIYHGAWAPRLRMDPVIVCFDVRYESLSFIKAPRVVVVRERESILIDYKGKLASIAINPYAPFQIFDLWILEDVNKHDWSKQTFELPFSLVNMTSPGTNKAGEIIFAPKTLPPTVQPFFIFYYNVETKDLRRVSLHGIADDKEFWRRYGLKHNMCVSISPEHVESLILKDPGKKQGRERLGEGVLPYYFP >fgenesh1_pg.C_scaffold_8001364 pep chromosome:v.1.0:8:15661423:15663370:-1 gene:fgenesh1_pg.C_scaffold_8001364 transcript:fgenesh1_pg.C_scaffold_8001364 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQVVLVLIGVIFNICIEAETIKEDKHTLLQFVSNINHSHSLNWSPSLSICTKWTGVTCNSDHSSVDALHLAASGLRGHIELSTIARLTNLRFLILSSNNISGPFPTTLQALKNLTELKLDFNEFSGHLPFDFSSWDSLTVLDLSKNRFDGSIPSSIGKLTRLHSLNLAYNMFSGEIPDLHISGLKLLDLAHNNLTGTVPESLQRFPLSAFVGNKVSSGKLAPVHSSLRKHTKHHNHAVLGIALSACFAILALLAILLVIIHNREEQRRSTKEKPSKRRKDSDPNVGEGDNKIVFFEGKNLVFDLEDLLRASAEVLGKGPFGTTYKVDLEDSATIVVKRIKEVSVPQREFEQQIENIGSIKHENVSTLRGYFYSKDEKLVVYDYYEHGSLSTLLHGQRGLRDRKPLEWETRLNMVYGTARGVAHIHSQSGGKLVHGNIKSSNIFLNAKGYGCISGAGMATLMHSLPRHAVGYRAPEITDTRKGTQPSDVYSFGILIFEVLTGKSEVANLVRWVNSVVREEWTGEVFDVELLRCTQVEEEMVEMLQVGMVCTARLPEKRPNMIEVVRMVEEIRPEKLASGYRSEVSTGATTTPIGSLSGSPYIL >fgenesh1_pg.C_scaffold_8001388 pep chromosome:v.1.0:8:15832201:15832987:1 gene:fgenesh1_pg.C_scaffold_8001388 transcript:fgenesh1_pg.C_scaffold_8001388 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATB5-A [Source:UniProtKB/TrEMBL;Acc:D7MSZ7] MASDRKVLTFEEVSQHNKTKDCWLIISGKVYDVTPFMDDHPGGDEVLLSSTGKDATNDFEDVGHSDTARDMMDKYFIGEIDSSSVPATRTYVAPQQPAYNQDKTPEFIIKILQFLVPILILGLALVVRHYTKKD >fgenesh1_pg.C_scaffold_8001397 pep chromosome:v.1.0:8:15919531:15923373:1 gene:fgenesh1_pg.C_scaffold_8001397 transcript:fgenesh1_pg.C_scaffold_8001397 gene_biotype:protein_coding transcript_biotype:protein_coding METASSVAATRGGSLQNPSPTAPSRKEWRAVSDSQDTTDYGDLEQLKLNRTDERTIYEFFYVLESGNDILMGLLVAQNGREQDGFSSSEMLQQQILNVSRKKGELQQLEIELRAQMIARHEIMEIQSNYESQFTEYANASARMQEQLHEKERSIREAERKLEEKDRELHAIKLDNEAAWAKEGILREQNKELASFRRERDHSEAERSKNIQKISELQEHIQEKESQLSELQEQNRIAQETILYKDEQLREAQGWIARAQEMDALQSSTNHSLQAELRERTEQYNQLWHGCQRQFAEMERLHVHTVQQLQHELANVREAGGSKTNSSGASQTIQNSGNQFDAHGNSAESANISADNISSFTSTDDKATQNNRVDRMSSSNLGTHGYLQAGQMTPLHSFVMHQQDISQLVQPQVPSPHFAQSVLLQQKAVPDGSQMPMQNHVHPSQGAHGLVQSFGQGYGDIQTSQVAQYGATTPSSSVNEQAVESGNGDYNGSNQSENNFQDISSQFRDVLRLDSHTHNQKPKEANGQVSPDEHNGAKSIVPETLVSSGKPERNSESALLDERSLLTCILRTIPAGGRIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVASHLELFAIEDDYIQVRDGAQKMVAASAAAAKVAAAAAASSSPNSIYVAMTPMAQSLGLKKNDNRGRQSSDFMMKQQRKL >fgenesh1_pg.C_scaffold_8001398 pep chromosome:v.1.0:8:15959856:15962008:-1 gene:fgenesh1_pg.C_scaffold_8001398 transcript:fgenesh1_pg.C_scaffold_8001398 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKSSDGEAKDGSSSETQSTEYMEISTGDESSMLGKRKVETPILEDLSENEGDIEGVDDDSDSEWDKDSFDGLEYHSCDDQKEYIDKYFEKRARFYKRTVIETKSLDDDLEEGLTVRQFLANMTSLCLDKYNKRKGFNVKLEHVLRANFNPGGRTTYYITFAARESDSPDAPLVEYQVKVDWSAGNTYPILCRPTSPPKLVEDNMYEIRMVLCTYTGFVHSGLN >fgenesh1_pg.C_scaffold_8001411 pep chromosome:v.1.0:8:16040733:16044573:-1 gene:fgenesh1_pg.C_scaffold_8001411 transcript:fgenesh1_pg.C_scaffold_8001411 gene_biotype:protein_coding transcript_biotype:protein_coding MADESRRAEEALHASKHRLGKIDGMKFARVLRLGTVMKKDLWKKVDKILKMKGSHQCFCKRLEQLKLNQTDERTIYEEHIQEKEGQLSELTEQAELRERTEHYNQLWHGCQRQWFQFVEMERLHLHTVQQLQLELANIIQNSGNQFEAHGNSSESANVDVPSNGKNADNISSFTSSNDRATQNNRVDVYQLLILDAWVLLKRSNDSSALFDHASTRDSSASSASGTFSSCCTISVAAAKVHRCPDQNHVHSSQGIHGLISSDAKSDYQVPANGQSLGQGYGDVQGAQYGSSTPASSVNEQAVKSGNGDYLGPNHAENNFQDISSQFRDALRIDSNALNQKPEEANGQVSPGEHNGAGSIVPETLVSSGKAEWNLESALLDERSLLTCILCTIPAGGRIRISSMDLTTFMEGNFFRFDAQRAHGDGFVLKQKPNHIVVPTESGCFDCNICLETAHDPVVTLCGHLYCWPVYL >fgenesh1_pg.C_scaffold_8001412 pep chromosome:v.1.0:8:16047819:16049183:1 gene:fgenesh1_pg.C_scaffold_8001412 transcript:fgenesh1_pg.C_scaffold_8001412 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDEIRRHDSFKAFKEICSIAEEKQVNFLLLGGDIFHENKPSRTTLVKAIEILRRHCLNYKPVHFQVVSDQTVNFQNAFGHVNHEDPHFNVGLPVFSIHGNHDDPARVVHNLHLTTFLQLKFSLHALSLQLMVLGVAQISLYPILMSKETSGMNVSIECLRPHPHAAQWMRPEVQEGGDVSDWVKSKPKNAISEHFLPRFLDFIVSGHEHECLIDRQVH >fgenesh1_pg.C_scaffold_8001413 pep chromosome:v.1.0:8:16053241:16054192:1 gene:fgenesh1_pg.C_scaffold_8001413 transcript:fgenesh1_pg.C_scaffold_8001413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTJ5] MIYDSVKEAYARAKSAKSPTNPQALFIEERSCVWSYVDLRFLDDEDEVCICEELDDLVLGELEAEEGTRMDISVSVPPSDTCSTSEKGQGNYSLFLPPARDSTVIPIGSSHSTAAHENQKNLILQLELLLSLQHMKTRRNLIFQLELLLSLLHMKTRR >fgenesh1_pg.C_scaffold_8001414 pep chromosome:v.1.0:8:16054349:16055304:-1 gene:fgenesh1_pg.C_scaffold_8001414 transcript:fgenesh1_pg.C_scaffold_8001414 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFNLVANLSVEEESDHKDARFFSSSPKKQTPYMVLEGDKVGESSEAENIIMSFKLFDLSKEEIIQVIHKSFPKLLYEESRVIGSSRGWIAFMSKHDGTVHLSDVFNLGSLRVITLPPLPDPMYHPSTAIINVSLSYPPDQEDDYAMYIKFLCSEIYYCRPNHHSQWVLCDSNEIHTTASDIVYSPRNQMLFLVIMGASFLLSFEASLYSNSHVLIFCINSALFMKYLLTYISSAPCVECTPPIGIGLRSGAGSKSNDLLPIPFSTVEHVSLYGTEMEEIPTVG >fgenesh1_pg.C_scaffold_8001416 pep chromosome:v.1.0:8:16065880:16067286:1 gene:fgenesh1_pg.C_scaffold_8001416 transcript:fgenesh1_pg.C_scaffold_8001416 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFGLVAKHFVEEGDKARFCSYPRQTPYMLVNYSFDEDKYLNISTSYCLFNPRNEKIIKIIDKNFLKSLPLSEGFIFLGTSRGWAVFKCMHDSIIFLSDVFNPWSSESSTRTIVLPPLVFNGMNAKASLSTPFPDQDNDYIVSVTFFGSKLYYCMPTRDSEWTSINIPFSCDFDSQVVYSRKDQMFYLLTTGCAYIAALDLKNNKDPTFLQIQFQNFPLIPQHEWEILASCSRSDYIAESSSGERFIVQWYLTYVESWGNGNITRVVRKTNRFMVFREEEEHKLQRSKMIANYTENIGDLCIFIGENDTFCLEASKYPGLRPNSIYYVGHGFGVYDISKKRVREYDGSDFPTMCNQLFFLSPPLY >fgenesh1_pg.C_scaffold_8001417 pep chromosome:v.1.0:8:16071286:16072644:1 gene:fgenesh1_pg.C_scaffold_8001417 transcript:fgenesh1_pg.C_scaffold_8001417 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIVGYEFRPTDEELVGFYLRNKLLGNSCLIEAIGELNIYQFDPWELPSQSMMRSNDTVWFFFSGREITFAIRNRQSRTTASGYWKITGQPSVIKERSIFQRVIGQKKTLVFHKGKSPNGERTHWIMHEYSDLSLPESQRTHVVCKVEYTGHDVESVLVDYGPSDFGLLPSLTQEDWDFAFSD >fgenesh1_pg.C_scaffold_8001430 pep chromosome:v.1.0:8:16148789:16149103:-1 gene:fgenesh1_pg.C_scaffold_8001430 transcript:fgenesh1_pg.C_scaffold_8001430 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEICDDRNGPARNLMYIALELVLLEIVTGRKSVDNSQENTEPQKSLVGRVWDLYGRQQLMSAMDEKLCENFNREQAECLVVVGLWCGHPDRTSRPSIREAAI >fgenesh1_pg.C_scaffold_8001442 pep chromosome:v.1.0:8:16230684:16231075:1 gene:fgenesh1_pg.C_scaffold_8001442 transcript:fgenesh1_pg.C_scaffold_8001442 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKRKDLDLSERVLEVKTKSTKLRESLLDKKDEPKNVYVANRMLEKWRVDTHKNLQSIIQEDGELRVRETYLNKIEQLSEKIEILYYKALFKKLRSSEEGNSLVCRRLKSCQ >fgenesh1_pg.C_scaffold_8001466 pep chromosome:v.1.0:8:16385087:16386578:-1 gene:fgenesh1_pg.C_scaffold_8001466 transcript:fgenesh1_pg.C_scaffold_8001466 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSSSEESEVKKGPWTPEEDEKLVGYIQTHGPGKWRTLPKNAGLKRCGKSCRLRWTNYLRPDIKRGEFSLQEEETIIQLHRLLGNKWSAIAIHLPGRTDNEIKNYWNTHIKKKLLRMGIDPVTHCPRINLLQLSSFLTSSLFKSMSQPMNTPFDLTTSSINPDILNHLTASLNNVQTESYQPNQQLQNDLNNDQTTFTGLLNSSTPPVQWQNNGEYLGDYLSYNGSGDPSMNQVPQTGNYSSAAFVSDQINDGENFKAGWNFSSSMLHGTSSSSSTPLDSSSNFYVNGGSEDDRESYGSDMLMFHHHHDQNNNALNLS >fgenesh1_pg.C_scaffold_8001469 pep chromosome:v.1.0:8:16419412:16419857:-1 gene:fgenesh1_pg.C_scaffold_8001469 transcript:fgenesh1_pg.C_scaffold_8001469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MU73] MDSSKSTPDKSDMIILSFYGILFSFPRLGAETKVVRAREKSDAYLQKAIESNDDFFLKLVAEKDEEGHKGEMNMQSFKDAAAELRAKHAVATVAGSEDKTK >fgenesh1_pg.C_scaffold_8001515 pep chromosome:v.1.0:8:16732484:16735144:-1 gene:fgenesh1_pg.C_scaffold_8001515 transcript:fgenesh1_pg.C_scaffold_8001515 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWSVRIVITRFCNSSSTQVPRFLSSPFLLLRSTSVRNNLLPRFHASISRCCSSVCVDSRRESTHTENSAIDSALDSVVKIFCFSSEPDVAEPWKNSWVGLATGSGFAIFGRRILTNAHVVEDHSYLQVKKHGSPTKYRAIVEAVGDECDLAILAVDNEEFWEDLNPLELGDIPSIGETIFALGYPRGGDTISVTKGITSRVELTNYCQSSTELLTIQIDATVKHGNSGGPVIMGNKVVGVAFQGLPRYIIPTPVIKHFLSVVEKNGYYIGFDLPDISCQAMENSQIRKNFKMNHGMSGILINEINLVSAAHKVLKKDDVILAIDGVPIGNDEKFVFRGKERVNFNHLVSMKKPGETGLFKVLRDGREHEFKISLNSVQQRLVPVRKFDTNCYVFAGFIFVPLSKPNIENSSGAICDCTLKRRPQKPVHEIIIISQVLWDVINVGYSSFKNLQVKKVNGEEVESMNHLRRLIKKCRTEDLRLDLEKGKVIVLNYKSARKETSLILERHRIPSAMS >fgenesh1_pg.C_scaffold_8001517 pep chromosome:v.1.0:8:16738780:16740122:1 gene:fgenesh1_pg.C_scaffold_8001517 transcript:fgenesh1_pg.C_scaffold_8001517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex subunit [Source:UniProtKB/TrEMBL;Acc:D7MUC9] MAPVGPRSGDAIFSSIDRVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSGVSRCVDFKETAEMIAKVGFKMFLGVTASVTSWDADGTCCSIILEDNPLVDFVELPDTCQGLYYCNILSGVIRGALEMVSMKTEVTWTRDVLRGDDAYELQVKLLKQVAEEYPYKDDE >fgenesh1_pg.C_scaffold_8001548 pep chromosome:v.1.0:8:16901151:16902639:-1 gene:fgenesh1_pg.C_scaffold_8001548 transcript:fgenesh1_pg.C_scaffold_8001548 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding nuclear protein [Source:UniProtKB/TrEMBL;Acc:D7MUZ4] MALSNEQNVDCPTFKLLIVGDGGSGKTTFLKRHLTGEFEQNHEPTVGVEVYPLDFFTNRGKIRFECWDTAGQEKYSGLKDAYYIHGQCAIIMFDVTARNTYMNVDTWYRDLRRVCKNIPIVLCGNKVDVPSRQIKPKHVSFHRKKGLQYYEMSTKSNCNFEKPFLYLARRLAGDAKLSFVESPALAPTEAHIDDIDVDCLQLLGMKLNLKCFIMRKIEAELVEAGTQPLPDDEDIV >fgenesh1_pg.C_scaffold_8001596 pep chromosome:v.1.0:8:17138299:17140286:-1 gene:fgenesh1_pg.C_scaffold_8001596 transcript:fgenesh1_pg.C_scaffold_8001596 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMVKIMNEKTRITTYKKRKACLYKKASEFSTLCGVDTCLIVYGPSRAGDEMVAEPELWPKDERKVREIITKYRDTVSSNCTKTYTVQECLEKNNTKEEKPKIAMEYPTWDKKLDKCSLNDLYLVFMAVENKIQEATNRNQTFPDTTCWSNDQLGLCGYNQQCFEQYQLFPLPTMEHNGFSFFPFNNQMTSNTAEVASFSNVTEPMIANGQNLFYGSCSDGPYGPVVQRTAYMEPIQWGLGNSVFNNVKQFQDYPFRFAQVNDLEDSRNISGVLAGVDGILRVVIGDVGAISGVSAVTGAWFDGAVAGDSVEVVGLIVGADIGDFTGIVVGGETREVAGVETGVVAVVGEETGEVAGDKTGEFKGDAMVRDVTGEVAGDETGDFNGEEIVRDETGDVAGVKAGVRDGEAAGVNTRDFDGDSTVRDGEIAGVITGDIKGDVMVRDVTGEVTGETTGYFDGVTNAGEETEDFSGDFVGEVCFVGGGSSGGFWITGGCKVGVRGDVDGEITR >fgenesh1_pg.C_scaffold_8001604 pep chromosome:v.1.0:8:17174100:17180708:1 gene:fgenesh1_pg.C_scaffold_8001604 transcript:fgenesh1_pg.C_scaffold_8001604 gene_biotype:protein_coding transcript_biotype:protein_coding MANVKMENHFVQIFERKRRIVEQVKQQVDLYDHHLASKCLLAGVSPPSWLWSPSLPSQTSELNKEEIISELLFPPSRPSIICPSNRPFSYQRPVSFLADNVVRQDLTSMVNNPLEEQLLEEELQHDLSHNLVRRVSNHSHEQGANIASPRDVHEKERLPESVSIGCKENQSCPSLEYSQNQRVETNLDATSPGCSLGKRVPKSVSTTGCKRKPSPLGYFQDETEPDTSLDPGLSLAKMQRSRSRQKALELRSSAKASKSRSNSRNDLKPSPGGDIGFGIASLSSDSVSEIKLFKHDENDEECRDNVENSNSQGKGGDRSSAKALKSRSNSRNELKPSPGGDVGFGIGSLRSDSVSEIKLFKHDENDEECQGEVENSNSQGKGGDQCIKRSLTTESLTLHQKVASVQKSSSGDSYASIVPESLLDSGHAKDIDILQSIETLDEVSAKVDEQVDDPKSRSCKETANLNGSTRSKSSSQGISKRKHQKSSNSFSGNFPSISSNPSHWADHEVELPQVIPMTNEVSMVTDAGTSIFHSEIISRSRSNARENRSKIEHSGSVESSAIDVEPRDSVSVLQGSHVKDSLNPSTVDVEGLVVITSNDQSEEKGEYVDTNRCSSAERESQTGISPDETLCVGAIQDSISKTKILGFVESSSVEPQSRHSVMQSDDESVFLKPIAVTGEALLVEEDKNGVSIEISSISNSRSLNQTDITVVEPLVVEAILQESGMPANLIDYSKRCDISCGFKEVQPLGSLTQAGSSQCHERISRPRSSAIEEKSANEYKALSIGSDHKSADKQLEVREGNSSLRTPDRPVFVKPESPHFDDNEEHNFDEVPVNSREKSMMEKVPTPSPAARVFDVPSLTESGVNLSADNEIEDHNGLKIEMVPEMESHASHSGLKVGENEPTESNTFTGHIDALKKRSQHETSFQKGVPPVKRDVTCTETDESHDPKSSIQIFFCSSSSMGGSRRQNKRRRTLEKPTSRVLSSSPGVKILSLCLGTAQYMRVLNCSFLPNGDILELDSVWDTVHHKEEAACPNLDNYDVELQKMLGSASSDHYGVELQKMIGSTSSADLRFEESYLFKEAGLMSPASLSFRTEQPSVQKSQIAPDHGVGSEKMNFLPYAGETSHGLASCIVHDSDDSPCFSPLGLISSDDGSPPVLEGFIIQTDDENQSGSKNQLNHDSFQLPRTTAESAAMIEQICKSACMNTPSLQLAKTFKLDGKLDLDQSISNELFDGMFFSQNLEGSSVFDNLGINHDYTGRSYTDSLPLFGAGSSAETRNPCTSPTEKLWYRSLQKSSSSEKRSSQTPDLPCISEENENVEEEAENLCMNTPKSRRLEKRGSSIPDLPCIAEENENIDEISEAVNEGSGFERENVSAERKPHGDDNEDPMKFLPSVSEAKISVDRQSLDSVNTAFSFSAKCNSVKSKVGKLSNRRFTGKGKENQGGAGARRNVKPPSSRFSKPKLSCNSSLTTVGPRLPEKEPRHNNIVSNITSFVPLVQQQKPAPALITGKRDVKVKALEAAEASKRIAEQKENDRKMKKEAMKLERARQEQENLRKQEIEKKKKEEDRKKKEAEMAWKQEMEKKKKEEERKRKEFEMADRKRQREEEDKKLKEAKRQRIAEIQRQQREADEKLQAEKELKRQAMEARTKAQKVLKADQSNAEKIRGQARSKSYSSDDTNASRSSRDTDFKVISNPGNMSEEHNMGIEEMEESYNISPYKCSDDEDEEEDDMSNKKFVPTWASKSNVRLAVISQQNLDPNIIFPAKNVCDFFCRESSNRDNIN >fgenesh1_pg.C_scaffold_8001667 pep chromosome:v.1.0:8:17608027:17609655:-1 gene:fgenesh1_pg.C_scaffold_8001667 transcript:fgenesh1_pg.C_scaffold_8001667 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGTVNIKKWVVIYPVYINSKKTVAEGRRISVSKSCENPNCIEISDCCKHLKLPSAVEIDKAYPRDFMQVGRVRVQLKREDGTLLNPAITSRKHLLQKIAELVPRHPERVKKQEAQKAKKQEPQATTSSSGTSSKSGKGGKKKR >fgenesh1_pg.C_scaffold_8001669 pep chromosome:v.1.0:8:17620781:17622981:1 gene:fgenesh1_pg.C_scaffold_8001669 transcript:fgenesh1_pg.C_scaffold_8001669 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRKKTKVVPKCLSKHLEILEWRQYEGTEQESITPYPACTVFSSLKHLELCTCSAGWANLLACILNAAQELRSLKLKSKHSAKYSDPMSLWKEPTVVPDCLSKHLEILEWRQYEGTEQERNVAEYTLANATCLKMATFSTRCRNKNHRMLKKLKSMDRVSKTCQLVFD >fgenesh1_pg.C_scaffold_8001699 pep chromosome:v.1.0:8:17798084:17800789:1 gene:fgenesh1_pg.C_scaffold_8001699 transcript:fgenesh1_pg.C_scaffold_8001699 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAP1_3 [Source:UniProtKB/TrEMBL;Acc:D7MM87] MSNDKDNFNVSNLTAALKDEDRAGLVNALKNKLQNLAGQHSDVLENLTPKIRRRVEVLREIQGKHDEIETKFREERAALEAKYQKLYQPLYTKRYDIVNGATEVEGAPDDAKMDHGDEKTAEEKGVPSFWLTALKNNDVISEEITERDEGALIYLKDIKWCKIEEPKGFKLEFFFDQNPYFKNTLLTKAYHMIDEDEPLLEKAIGTEIDWYPGKCLTQKILKKKPKKGAKNAKPITKTEDCESFFNFFNPPQVPDDDEDIDEERAEELQNLMEQDYDIGSTIREKIIPHAVSWFTGEAIEGEEFEIDNDDEDDIDEDEDEDEEDEDEDEEEDDDEDEEEEVSKTKKKPSVLHKKGGRPQLNDGQQGERPPECKQQ >fgenesh1_pg.C_scaffold_8001703 pep chromosome:v.1.0:8:17833049:17834357:-1 gene:fgenesh1_pg.C_scaffold_8001703 transcript:fgenesh1_pg.C_scaffold_8001703 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVLTEYMHTPKKPRLEKSSGESNSTSTRKQLRKYDPYDDEYIRQYILYYYQFHKSEGFVIDWDKLDFRFETRPIMDPYRIAAYSTNDELIRGVACRAIAQHNADTVSVSSSGSRIEFVDHVSASYRWCAGILYWVTFWARDLASSNPEPKLYQANVRLCGQTFCEIYIFRLKPTDEEIAAVQVDPPPPLYDDDPELPTILFTATGPGSGYMSIPEVSFTRIPAEVEPVSSP >fgenesh1_pg.C_scaffold_8001731 pep chromosome:v.1.0:8:18008088:18009024:1 gene:fgenesh1_pg.C_scaffold_8001731 transcript:fgenesh1_pg.C_scaffold_8001731 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTFLDRMLLQLRSTCKYYSGYPKDLGPSRVLHFTSEREFVQLLHQGYPVVVAFTIRSNYTQHLDRMLEEAAAEFYPNIKFMRVECPKYPGFCITRQKSEYPFIEIFHSPQQAGNEGKVQDPNITRYSVKVVPYNYDMSPYGFREFFKRQGVRTSDPK >fgenesh1_pg.C_scaffold_8001782 pep chromosome:v.1.0:8:18321092:18323226:-1 gene:fgenesh1_pg.C_scaffold_8001782 transcript:fgenesh1_pg.C_scaffold_8001782 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLALTSTDHNPSPSEAHPDTMDFLSREWCNFAVQSLHPDPILYDRSIVPVETSIAKFQGDSSPVSCAMMDKSMKMEDPDFKPSMASWKTNDVKSWIWMQQAMHPELSYEGFFRKKLVIGHLKLPWKITPSIKKWWKEIKAKRKEEVRLQRAEVHAAVSLAGLAAALAAVASENAGKDGGNGRPSTRETAVASAAAVVAAQCAQMAETMGANRDQLSTMIGSAMTGTSVSEILTLTASATTSLRGAATLKARRGCKINRLNGSAPVLPIEDSSYLPPEFDKNISVLAKGTDLFVETPDGDFKARTVSMVLNKDGKVILKMKKHNLLRTKKESIVTNVHVELYKDSDSEDNNIEDTCYLIVLRTNRGAIKLDMADDYSRYKTWVTTIQHMLTLSSSSLSTNYALTFYNKN >fgenesh1_pg.C_scaffold_8001787 pep chromosome:v.1.0:8:18352769:18353337:1 gene:fgenesh1_pg.C_scaffold_8001787 transcript:fgenesh1_pg.C_scaffold_8001787 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKARDDDKTLNLDSKAKDGEEALNMLLKLQQRLDKIHSELQSSGTSATTSSDIQNIYDEIQQVLKMTTKTPQDSSKVSPHIRDELDMVFVVEEVGHSCDLCQRDLATDPERPNAPLRGLQEASVLSCGHVYHFKCLKGTTLDIDNHSNDPSCIFCISFSN >fgenesh1_pg.C_scaffold_8001796 pep chromosome:v.1.0:8:18393709:18395362:1 gene:fgenesh1_pg.C_scaffold_8001796 transcript:fgenesh1_pg.C_scaffold_8001796 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKIQSRFREREAKKFAISVNCERIDRTWRRDARGEEKMVGANLKAETMTLMDKRAAMETEMNSIVERLCNPGGPGLSGNLIDSEGFPREDIDIPVVRAERRRLAELRSEHGEITEKINVNIQILHSVRPTSRASSTKDSGNDAGPEETSLSGAVNSLSASIETSGFSVTSSAMDVDVVTSIPFAMVDEINESSPAAEDGLQLGDQVLKFGNVEGGDNLLQRLAAEAQSNQGQAVSVQVMRQGAKVGLSVTPRIWQGRGAISVWYEQQILPWIIIWGSGYGESFCESWWVMKSICKVENRFFIFCMEKPTKQIAFVL >fgenesh1_pg.C_scaffold_8001838 pep chromosome:v.1.0:8:18541213:18541951:1 gene:fgenesh1_pg.C_scaffold_8001838 transcript:fgenesh1_pg.C_scaffold_8001838 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKTEGAGGDESRGEREDVNGIDRENATDGDGMECLENDGIDNVNAAEEEHTMSAQEQEHEQSLDKGDKMVARELEDYLLEIQRHIDPSIRRGEEPNTAINHSVDVTPQPTRVNRTGTRGQDHNEATDNVNEKGSDSQRTWSGRVRPRLPTPVTLNVSPLKKDGLAKPHVRRPKKFWTPEEVEALREGVKRVCKHSLPSPVLYYQYSLVIYLGPH >fgenesh1_pg.C_scaffold_8001840 pep chromosome:v.1.0:8:18546417:18548305:1 gene:fgenesh1_pg.C_scaffold_8001840 transcript:fgenesh1_pg.C_scaffold_8001840 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLKKCSASTDAESTTEPSQNEETDIYGFLNEILIFFLCCVKVLDDLEVTLKKQKKEIDEDEKTALKKDEEKALALDGSYLGSLRLEVRMAKRTVEYVAYPNFKGCKRCHGILSNRRYVNYVRTCRGHIHPWSPESILLRDELRRKVESKNAHEKVEAENQS >fgenesh1_pg.C_scaffold_8001848 pep chromosome:v.1.0:8:18598680:18603487:1 gene:fgenesh1_pg.C_scaffold_8001848 transcript:fgenesh1_pg.C_scaffold_8001848 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKFGLAGGIPERRVRPIWDAIDSRQFKNALKLVTSLLSKYPKSPYALALKALIHERMGKPDEALSVCLDAKELLYNDDLALMDDLTLSTLQIVLQRLDHLDLATSCYAHACGKFPNNLELMMGLFNCYVREYSFVKQQQTAIKMYKLAGEERFLLWAVCSIQLQVLCDKSGEKLLLLAEGFLKKHIASHSMHEPEDFFTLSSTNGSMVFSALMVYISLLEQESKYNDALEVLSGDLGSLLMIEVDKLRIQGRLLARANDYSAAVDVYKKILELSPDDWECFLHYLGCLLEDDSIWKYFDNIDQIHPTKHIECKFSHLTEEMFDSRISSASDLVQKLQRDNENSNLRGPYLAELEIEKRKFLFGKKNENKLLESLLQYFLKFGHLACYASDVEAYLQVLSPNKKAEFVGMLVKNSDSFSESATKVLGQTTTILKVQELTGNIFELPVDEIEASAVKLAKLYCQNLSLSKDLDPQESMFGEELLSLISNMLVQLFWRTRDFGYLAEAIMVLELGLTIRGHVWQYKILLLHIYSYIGALPLAFERYKALDVKNILTETVSHHILRQMLESPMWVDLSNLLKDYLKFMDDHLRESADLTFLAYRHRNYSKVIEFVLFKQRLQHSNQYQAARVEAAVLQLKQNADSVEEEERILENLKSGVQLVELSNDIGSKTLRFNEDMQTRPWWTPCPEKNYLLGPFEEISYCPKEDVKDDREENMKRAIQRKSLLPRMIYLSIQCTSTALKESAETNGSGGDVKICGELKCLLDEYTKMLGCSLNDAVEMITGISQGVRTSESLGSNLVDWLNFAVFWNAWSLSSHEHWHVLNSLFERLILDRVRSMGSLDMSSCYSDVQVLIQIVTEPLAWHSLIIQACTRSSLPSGKKKKKNQHSDQLSSSPMSQAIKDSIHSLCSTIQEVSSWLLNQLNHQEDEQVERFLSTLKRDEDAAGGPGQILGVLESFIASSEESEVGNRIFQALKSWNTADTARKTVMAQQIVLREFLQICESKRKLLETLKQQMSHV >fgenesh1_pg.C_scaffold_8001866 pep chromosome:v.1.0:8:18690541:18694848:-1 gene:fgenesh1_pg.C_scaffold_8001866 transcript:fgenesh1_pg.C_scaffold_8001866 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPEEEEPIFGWNSTGGRNLRRKKLLVGDKIEVRSLEEGFLGSWYLGTVTSARKRRRRSIRYDNILSDDGTDSLVETVDVSDVVEGLDDCTDVSDTFRGRLRPVPPTLQFGKSNLAYGLCVDVFFSEAWWEGVLFDHENGSEKRRVFFPDLGDELDADLQSLRITQDWNEATETWECRGRWLFLDLIEKYKEDNYLPVSVRQLWYDIRDRIGFVRIQEWTCSTRHLWEDLMLEVIEDNLRITIHQFLHDYDAEIYPQLKLLNEASKAVYETNACLSSVLAIAPQEQQFSCIDKDYKPASQRCQSLSVLTSASGIRSDASYINKATETSSKKSTAAHKKMILHKKPGIWHPFDCLAKSGPQAVSSFIRSPLPPMAMRVRMHLKYMGWTIEHMVDEAGRQRFRYLSPNGRLTEHSLRQVCFRLKQRDESLTTPGMANPPSLSCENQTYNTQEIRCIVLALPACNRSVALGEGMKPSTDTLLEYETQGNEEVFTRESRNFCPRNAFPGQKKTLHVRLEPKTKAQGIILRLKSKRKQKPKKDEVIVGLQNVNLSMRRGHTSRRLMDIKNRVTGRSKTHVLRSSKRVQRVITPISRHHSPRSILSWLIDNNVILPRENIRYRNQKDDTVIKEGKLTREGIKCSCCRRIFTISGFEVHANGGSCRAAANIFLDDGRSLLECQVEAYETRKKAQPPDILKMKLRQGENDVICSVCHYGGKLILCDGCPSAFHANCLGLEEVPDGDWFCESCCCGACGQFFLKATSKYAKEEKFISCKQCELKYHPSCLRYDGAGDSLDTFLGEKWFCSKDCEEIFVNLCELIGKPREVGVEKLTWRLVQSFEPNMYGDDAYKIEAVAENHCKLSVALDVMHELFEPVKRPHGGRDLAEDVIFSRWSKFKRLNFSGFYTVLLERNEELVTVATVRILGKKVAEMPFIGTRFQHRQRGMCRVLINELEKVLIDLGVERLVLPAVPCVLNTWINSFGFTKMTISERKEFLKFTLLEFGRTILCQKILIKSSVVDPIPSTVSQGEQHCDILRIEDNSASDDRSDLHM >fgenesh1_pg.C_scaffold_8001884 pep chromosome:v.1.0:8:18762295:18763068:1 gene:fgenesh1_pg.C_scaffold_8001884 transcript:fgenesh1_pg.C_scaffold_8001884 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTMVKQTQRINAKELDLGISDEASWHAKYKDSAYVYVGELLYDLTEGDLLAVFAQYGEVVDVNLARDKGTGKSKGFAFVAYEDQRSTNLAVDNLNGAKVLGRIIKVEHCGKYSKREEDDEETKHKKREARGVCRAFQRKECTRGDGCKFSHDENRGANTGWGHEDRRSSR >fgenesh1_pg.C_scaffold_8001901 pep chromosome:v.1.0:8:18862564:18863298:-1 gene:fgenesh1_pg.C_scaffold_8001901 transcript:fgenesh1_pg.C_scaffold_8001901 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDRRSEEETYLWISFQFLDQTLIAIFKCLGILCQTAKETASSPVTLNLPEEENDVAMKDDVVLSTRGKKPKAKKRDKENTSKGRPGQTNKITLFSSINGSEIEKGDLGE >fgenesh1_pg.C_scaffold_8001915 pep chromosome:v.1.0:8:18928779:18929668:1 gene:fgenesh1_pg.C_scaffold_8001915 transcript:fgenesh1_pg.C_scaffold_8001915 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEYYKEDEGIHFDPTYEEVIHKYLKPKLRGEDCGDFILMKDVYAKEPWLLDHPNNSFFKEDEWYYFSTRNQISEKKIGRGTNTNKKQKRGDGASAPSSESGWIMDEFVIVLPKPDEDKFQELVVCKIHKKKESKKDHQHEASSSSEQQPIKKRKSKKSKKEHESVLAASSEQQQPLLCGCNESEIPKIASSPCSAAETERNGEQSGEGNMVHQTEKNAMEMTREEEGDWIADNDIFVNRQYRDQPYSWI >fgenesh1_pg.C_scaffold_8001972 pep chromosome:v.1.0:8:19197758:19199496:1 gene:fgenesh1_pg.C_scaffold_8001972 transcript:fgenesh1_pg.C_scaffold_8001972 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKMPDRKISDNGVFVWNLLGHVDPLLFLDIVSVDNYSLENQETVEDVFLGHLLIRFIDPFCKEFKTQDILPCCQRLGLFLGFIYLYALFLSNSGIGAFAVIGCVRAQDQQEFISLDCGLPVSEPSSYVESVTGLRFSSDAEFIQTGKSGKIQANMENDYLKPYPDDVYDRRWRNFFLVGWTQISTTLEVSNDNDYQPPKKALAAAATPSNATTSLRYKIYRPMIPGNLTYYGMELLLLKLSSLQS >fgenesh1_pg.C_scaffold_8001980 pep chromosome:v.1.0:8:19254156:19255953:-1 gene:fgenesh1_pg.C_scaffold_8001980 transcript:fgenesh1_pg.C_scaffold_8001980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:D7MSI2] MSPFLKFYLFTYNFLQASAWTISLLNILNSFLSNKTINVAYASAGYLISVFQTVAVLEVLHGAIGIVPSGFLSPLMQWSGRTHFILAIVGQIKEVQDSPWLSLTLVAWCIGEMIRYPHYAFTCLGSCPYWLTYLRYTGFIIIYPTGLVGELLIMYKALPYVKETNLYVKFFSVFPFSYYDFLWDVLLVYPFLWLKLYLQLFKQRKSKLGKSEKLHGKRKRM >fgenesh1_pg.C_scaffold_8001991 pep chromosome:v.1.0:8:19309388:19309925:-1 gene:fgenesh1_pg.C_scaffold_8001991 transcript:fgenesh1_pg.C_scaffold_8001991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:D7MT38] METTAKVKKAFGGRKPGGAKTKSVSKSIKAGLQFPVGRITRFLKKGRYAQRLGGGAPVYMAAVLEYLAAEVLELAGNAARDNKKSRIIPRHLLLAIRNDEELGKLLSGVTIAHGGVLPNINSVLLPKKTASKSTEEKASKSPVKSPKKA >fgenesh1_pg.C_scaffold_8001992 pep chromosome:v.1.0:8:19311382:19311913:-1 gene:fgenesh1_pg.C_scaffold_8001992 transcript:fgenesh1_pg.C_scaffold_8001992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:D7MT39] METTAKVKKAFGGRKPGGPKTKSVSKSIKAGLQFPVGRITRFLKKGRYAQRLGGGAPVYMAAVLEYLAAEVLELAGNAARDNKKTRIIPRHLLLAIRNDEELGKLLSGVTIAHGGVLPNINSVLLPKKTASKSTEEKAGKSPAKSPKKA >fgenesh1_pg.C_scaffold_8002008 pep chromosome:v.1.0:8:19382270:19383875:-1 gene:fgenesh1_pg.C_scaffold_8002008 transcript:fgenesh1_pg.C_scaffold_8002008 gene_biotype:protein_coding transcript_biotype:protein_coding MASREVSTMIKQGFIADPSLSFSPSRITSPVKLSSPPLPPPPPPPPPPNVSAHSNPTLFDMMSDEHNRELPRRKSHARVAQILTEFKNGVVYGHSLGPGDVKLTVVGKDGYRVTMDVHRKVLSEKSRFFMEKMNSRREKGVSHMVEISECDDLEIYIETVVLMYSDDLKKKLIGENVIKILALLKVSAAISFDEGVMSCLEHLEAVPWSEDEEEIVVSCLEELHLPDDSVTLILQRVSSQPTPSSTRTRTDDIFLKLLTGVLQAKDDKARREMKVLIFKLVREEADYDVSKDTLYGLCHRCLTSLVLCLSEVTTQMNDPGIDRGALMGEIAREADNMLWMVDILIEKKLCGEFVKLWADQKELANLHSKIPTMYRHEISKITAQICVGIGKGRILVNRETRFAVLNTWLEALYDDFGWMRRLSSRSLDRKLVEDGLSQTILTLSLRQQQVILMKWFDRFLTKGDDCPNVQRAFEVWWRRAFIRQVLTEPDAPQLQITLYD >fgenesh1_pg.C_scaffold_8002014 pep chromosome:v.1.0:8:19399602:19403234:1 gene:fgenesh1_pg.C_scaffold_8002014 transcript:fgenesh1_pg.C_scaffold_8002014 gene_biotype:protein_coding transcript_biotype:protein_coding MATCEMDLDGVSLIDSAERKVKEKPQFHKLYFNSLVSVEIVSKSETPVTTTRFDVVIRDHKDNLVFKSNGLLHDHYMTSTEAELSEISDYKEKKIALLMDDVKVMRKRFISSNPVKMFNEDVKFFYRPSMMKRCTKKKNRLTMSMLYQAKEAKKENQGKEPKKETCGICMEDIDPSLMFSACVYGHRYCLTCVKSHIEVKLLDGMKPNCPQPLCKCQLSMARCGEILNEKLSLMWKQRIREDSIAYSQRVYCPYQRCSYLMSKTELSSSSAKYGRRRCFKCGGDFCIHCKVPWHSKLTCTKYKRLHTQNDVLKSLANLREWRQCSNCQHMIERSSGCDHMTCRCGNSFNYTRGANGISLAGHRAFITRYNNQFGYSLTGHREFSSRYENRFQSEKHDERFGEMGRLFKIDLEGSVYKCKHCEVEFVVYGDPPITRNLLLQYPPSLGKLYCITKCYNVVIDADIMEFTVNGRVDKSMRPVFCIGCGSHVGMYYEGADDTVMYNEGNFFINRFKLHGPPEGSDDENPSNQEE >fgenesh1_pg.C_scaffold_8002022 pep chromosome:v.1.0:8:19443745:19448196:1 gene:fgenesh1_pg.C_scaffold_8002022 transcript:fgenesh1_pg.C_scaffold_8002022 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHGEKTCPLCAEEMDLTDQQLKPCKCGYQICVWCWHHIVDMAEKDQIEGRCPACRTPYDKEKIVGMTVDCDSLASEGNMERKKIQKSKSKPSEGRKQQLTSVRVIQRNLVYIVGLPLNLADEDLLQHKEYFGQYGKVLKVSMSRTASGVIQQFPNNTCSVYITYGKEEEAVRCIQAVHGFILDGKPLKACFGTTKYCHAWLRNVACVNPDCLYLHEVGSQDDSFTKDEIISAYTRSRVQQITGATNILQHRSGSMLPPPLDAYCSDSSSAKPIIKVPSTSATSVPRYSPPSGSGSSSRSTALPAAASWGTHHSLATSVTSNGSSDIQRSTSVNGTLPFSAVVANAAHGPVSSSDILKRPSRKKESQMVMDKVKTSVLKPLQHNVVVSVSERTTSPDRDPTSNRLSSSVDSSYGGRDIDQPSAYSGRDIDEPSATVNSFDDVNEAIEDVPTVNNLSDGVARMGITVNCRDERPDITMAIGSQCDQGSIRQPGHEVSKLPHLEQCRMNSSIDTDKKAIPLEDRVPRTRPEWDWRSDLQSQMQGSSKLQEEEILSFDSQRHHPEEDIIHSRFLCNLSSSSLDTNHMASRSSLPCEIAGVNDSNLRSSLDSGSDRLHLPNGFGERSMSSVEHSLFANEDRNKVNNAEDPILSNILSLDFDPWDESLTSPHNLAELLGEVDQRSSTLKPSNLLKQHNNQSRFSFARYEESSNQAYDSENHSIYGQLSRDQPIQESVVSRDIYRNNLGSLNGFASNYAGGLDNFAASPLFSSHKNPVSRPQVSAPPGFSAPNRLPPPGFSSHERVGLSSDTALGTRFLDSTSLLRNAYQVPPPVGNSNGASDIDFVDPAILAVGRGLVNADLDLRSGFSSQLNSFEHETGLHMLRQQSLSAAHQQVNGFHHDLRNLSPSLNDPYGFSARLMDQTQGSSLSPFSQLSRQQPSANSILPNGHWDKWNEGQSVNSIGMADLRRNERLGFNGSFYNNGYEEPKFRIPSPGDVYNRTYGI >fgenesh1_pg.C_scaffold_8002027 pep chromosome:v.1.0:8:19472475:19473460:1 gene:fgenesh1_pg.C_scaffold_8002027 transcript:fgenesh1_pg.C_scaffold_8002027 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSNNLIGLVNFFTFLLSIPILGGGIWLSSRANSTDCLRFLQWPLIIIGISIMVVSLAGIAGACYQNKFLMWLYLFAMFFVIAALIGFIIFAYAVTDKGSGRFVMNRRYLDYYLHDYSGWLKDRVTDNGYWNEIGSCVRDSGVCKKIRRDLNGVPETPQMFYFRKLSPVESGCCKPPTDCGYTYVNETVWIPGGEMVGPNPDCMLWNNDQRLLCYQCSSCKAGVLGSLKKSWRKVSVINIVVLIILVIFYVIAYAAYRNIKRIDNDEPVGEARMTKSHPSHFQI >fgenesh1_pg.C_scaffold_8002041 pep chromosome:v.1.0:8:19537507:19538705:1 gene:fgenesh1_pg.C_scaffold_8002041 transcript:fgenesh1_pg.C_scaffold_8002041 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box protein [Source:UniProtKB/TrEMBL;Acc:D7MTU5] MVKKSKGRQKIEMVKMKNESNLQVTFSKRRSGLFKKASELCTLCGAEIAIVVFSPGRKVFSFGHPNVESVIDRFLNNNPPLSHQHNNMQLSETRRNSIVQELNNHLTQVLSQLESEKKKYDELKKIREKTRALGNWWEDPVEELTLPQLDGFKGNLENLKKVVTVEASKYFQATVPNFYVGSSSNAAFGIDDGSHINHDLDLFSQRRMMDINAFNYNQNQIHPNPALPFGNNAYGHINEGFVPDYNMNYRPEYIQNQYQNQNQNLSFKRENISEYEHHHHGYPPHSRSDYY >fgenesh1_pg.C_scaffold_8002054 pep chromosome:v.1.0:8:19587551:19588949:1 gene:fgenesh1_pg.C_scaffold_8002054 transcript:fgenesh1_pg.C_scaffold_8002054 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSTRLAEKLPGILPAPSSPLLRKGISELRCFSIANHSRRLRWGLQKKMVWSLERAETCVVSNSCLVHPATEAYAQEAIEAIKSEKVIAVPTDTLYGFACDACSLEAVNRIYEIKGRKLTSPLAICVGDVLDIKRVATTNHLPHGLLDSLLPGPVTIVLQRGESSILEKSLNPGIGTIGVRVPDCEFIREVSRGSGSVLALTSANLSGDRSSVCVKDFENLWQHCAYVYDGGLLPSGRAGSTIVDLTKAGKYKIIRPGSAKQATVVILEKYLLEEEEEEEEDR >fgenesh1_pg.C_scaffold_8002077 pep chromosome:v.1.0:8:19739552:19740486:-1 gene:fgenesh1_pg.C_scaffold_8002077 transcript:fgenesh1_pg.C_scaffold_8002077 gene_biotype:protein_coding transcript_biotype:protein_coding MTSESATSPAIRPPQVEQPPPQEIPVISEHISAAPPSPAAQITMMDIVNSNRILTDQLVVEEPSFYKYLDSDEYAEKYRRYEADFRKYLMDKHFSQVDEYEETTTIDGETICSSVWPCARWYADPDASFLDPPQCIEEEEEDEVEEMEEVEEVEEEVEEVGDVEEEQEEVIDSASAEIPNGEISNGGTVLEDTCDVGKKPDMPI >fgenesh1_pg.C_scaffold_8002087 pep chromosome:v.1.0:8:19801609:19808457:-1 gene:fgenesh1_pg.C_scaffold_8002087 transcript:fgenesh1_pg.C_scaffold_8002087 gene_biotype:protein_coding transcript_biotype:protein_coding MESTECVRVAVNIRPLITPELLNGCTDCITVAPKEPQVHIGSHTFTYDFVYGNAGYPCSEIYNHCVAPLVDALFKGYNATVLAYGQTGSGKTYTMGTNYSGDGTNGGIIPNVMEDIFRRVDTTKDSSELLIRVSFIEIFKEEVFDLLDSNSSALLKNDSGVQAKHTALSRAPIQIRETASGGITLAGVTEAEVKTKEEMGSYLARGSLCRATGSTNMNSQSSRSHAIFTITLEQKKIASGSCATTEDGGEDILCAKLHLVDLAGSERAKRTGADGMRLKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVINRDPATAQMQRMRSQIEQLQTELLFYRGDSGAFDELQILKHKVSLLEASNRELQNELQERRVASEHFSKCAYDAQVEKDKLIMIIESVRNGKSLDEIESCPNEDVGLVKKYVSKIQELEGELLHIKSLKKTSNHQFSDDSYDDGPRSNNVLFPSSNESSDCEDKVIDVTDELEFQEKEIEHCSLQEKLDMELKELDKRLEEKEAEMKRFSSGGTSVLKQHYEKKVHDLEQEKRALQREIEGLRQNLASIPSGSGDGAQKLKEEYVQKLNTLETQVSVLKKKQDAQAQLMRQKQKSDDAAIKLQDEIHRIKSQKVFYFLAVISIRDSLHVQLQQKIKQESEQFRAWKASREKEVMQLKKEGRRNEYEMHKLMALNQKQKLVLQRKTEEASQVTKRLKELLDNRKASSRETLSEDFSYNIIQYDHLCLCLLTVYPKIYAGGANGPGTQALMQAIEHEIEVTVRVHEVRSEYERQKEERARMAKEVARLREENELLKNAKISAFSDQSSVHGDTMSPGARNSRIFALENMLATSSNTLVSMASQLSEAEERERVFGGRGRWNQVRTLGDAKSIMNYLFNLASTARCLARDKEADCREKDVLIRDLKEKIVKFSSYVRYMEIQKADLVHQVKAQTSAMKKLSTEENLKNEHSMKKQETRNSTIVLEDMDTSDSEESGHEREDPDLDVEWKPEHESERESEQESVIKLNRKRNFKVGRRRSSVVMRRSYEENSEAPSDDAVRSDECCCTCSKSSSCKTMKCQCRATKGSCGPSCGCSSVKCSNRNADGKENNSISESEALENGENSQESDEKDKDQQQQVLASRGAMLLQNALADKPGEETNEDGGTRRRRKPLSDIGNTTGKSNVPRPSQRKKWKKTVLQLVPVGPPASSQEEANPVTLDSEAARMPENSESGESNSIKLKLPRAMRSASSNGSNLLRERNADQTGGESVGNGGFVQSNSGRASGSRTSDEKENHTRRM >fgenesh1_pg.C_scaffold_8002097 pep chromosome:v.1.0:8:19849931:19851265:1 gene:fgenesh1_pg.C_scaffold_8002097 transcript:fgenesh1_pg.C_scaffold_8002097 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSKFGNILKQTTSKQLNAQVSLSSPSLFQAIRCMSSSKLFIGGMAYSMDEDSLREAFTKYGEVVETRVILDRETGRSRGFGFVTFTSSEAASSAIQALDGRDLHGRVVKVNYANDRTSGGGFGGGGYGGGGGGYGGGGGYGGGTAGGYGGGAGGYGGNAGGGYGDGAGGYGGIAGGGYGGNAGGGYGGSGAGGYGGSGAGGYGGDATGHGGGGGYGASSGFGSSGNTYGEGSSASAGAVGVYNGSSGYGSANTYGSSNGGFAGDSQFGGSPVGNSSQFGGDSTQFAAGGQSGSEDQFGSMENGEMKMENGPVGGDFEDDIAKRA >fgenesh1_pg.C_scaffold_8002102 pep chromosome:v.1.0:8:19869361:19870418:-1 gene:fgenesh1_pg.C_scaffold_8002102 transcript:fgenesh1_pg.C_scaffold_8002102 gene_biotype:protein_coding transcript_biotype:protein_coding MVYESGYKPGSENPTFTSDFSLSNSLVKLKKKPCEVCGSDANEHLMMTCFMCRDAREHTYCARVMLQRVPRLWICEECRDFSSIANKTANSQVEEAAQPSRTIIQVEQVVVKEVCIDQTVPSSTTIQVPLDQTTPSSRTIVDNENLIEAAAPSSRSNQVADNKDWIEAAAPSLRSNQVVEQVVPVAPRTDHEYITDESTPESSSPVSPCSLRDETNLFHFNYPSLSLPPLMKN >fgenesh1_pg.C_scaffold_8002103 pep chromosome:v.1.0:8:19871382:19872331:-1 gene:fgenesh1_pg.C_scaffold_8002103 transcript:fgenesh1_pg.C_scaffold_8002103 gene_biotype:protein_coding transcript_biotype:protein_coding MADESGYDAGSEASLPSSGSEISFVEITKPCEVCGSNANDDDEIMTCFFCRNTREHTYCSRVMKGAVPPMWICDECISSRFNQVVNNEAPNDQAAASSRSTYQVVDSEVVHDQNMTSGDSGNQISATHDQLSQAHASPVAEEAVPMDTSSGENQKPPSDSESAI >fgenesh1_pg.C_scaffold_8002107 pep chromosome:v.1.0:8:19897017:19900856:-1 gene:fgenesh1_pg.C_scaffold_8002107 transcript:fgenesh1_pg.C_scaffold_8002107 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVEKRSEMVGVEKRSDIMHNLFGDNSEEEEIESEHESNRQPNYASVTLSLFISFVSSLLIVSVFVFFTATVFELHLGMRMDEAEGGVEPEGEGEAEVEVHGEPEVESDGEQGDVELDPGESEGEREQSSQEVDVDDPQEESEARDSDSDNKEEEHGGRVAKNRRQDVVESGSERSGEKQYESEDEEVDQTRSPRFLGCSIFLMDHFVSSTWKNAMVGTSPSEEKEETQVAQSDVNIRNVFGSSDDEDAEEYVRNDVEHFTEYLFPFQCLLPLRNHRNVHRSPIEDEEGSEKDLRPDDMVLDDMVPEEDPQYESEAEHVEARYREKPVGPPLEVEVPFRPPPGDPVKMNMIKVSNIMGIDPKPFDAKTFVEEDRFVTDEPGAKKRIRLENNIVRHRFVKSRDGKTYSESNARFVRWSDGSLQLLIGNEVLDITEQDAKQDQNHLFIKHEKGILQSQGRILKKMRFIPSSLTSNSHRLLTALVDSRHKKAYKVKNCVTDIDPEREKEKREKAESQNLKASTKLSQAREKIKRKYTLPAERRQLSTGYLEDALDEDDETDHYGSHRSNRGYEEDLEAEAQRERRILNAKKSHKGIPGRSSMTSARPSRRQMEYSESEREESEYETEEEEEEKSPPPRGRGKESEDEYEEDAEEDEEEGGGKSNRYSDEDEEEEEAAGVRAEKDHRGSGRKRKGIESDEEESPQRKAPTHRRKAVIDDSDED >fgenesh1_pg.C_scaffold_8002119 pep chromosome:v.1.0:8:19945641:19947418:-1 gene:fgenesh1_pg.C_scaffold_8002119 transcript:fgenesh1_pg.C_scaffold_8002119 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRQIHRMSCRCECQEFENKEEERRSQTFQARRKREERRSNYGVKELTWENGQLTVHGLGEEVEPTTSNNPIWTQSLNGCETLESVVHQAALQQPSKLQLQSPMLSPNHNYESKDGSCSRKRGYPQEMDGMDRWFAVQEESHRVGHSVTASDSGTNMSWASFESARSLKTARTGDRDYIRSGSETQDTEGDEQETRGEAGRSSGRRGRAAAIHNESERRRRDRINQRMRTLQKLLPTASKADKVSILDDVIEHLKQLQAQVQFMSLRANLPQQMMIPQLPPPQSVLSIQHQQQQQQQQQQQQQQFQMSLLATMARMGMGGGGNGYGGLVPPPPPPPLMVPPMGNRDCTNGSSATLTDPYSAFFAQTMNMDLYNKMAAAIYRQQSDQSTKVNTGMPSSSSNHEKRD >fgenesh1_pg.C_scaffold_8002124 pep chromosome:v.1.0:8:19970269:19973034:-1 gene:fgenesh1_pg.C_scaffold_8002124 transcript:fgenesh1_pg.C_scaffold_8002124 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSKKTKRARLDDSESEDISDQENLKVESDNEDDELPDGIEDDEVDSMEDDEGESEEDDEGDNKEDEDGESEDFKDGNDKEGNDDNKDAQMEELEKEYMELRSQEQDILKNLKRDKGEDAVKGQAVKNQKALWDKTLEFRFLLQKAFDRSNRLPQEPVKSYFCSEDEDVSTAYTDLVTSSKKTLDSLLELQEALFEKNPSVDQQVNGTASAESNKSDAEDSDEWQRISDLQKRMSVFRNKAVDKWQRRTQVTTGAAAIKGKLHAFNQNVSEQVASYMRDPSRMIKQMQQSRSTVAVFGTVPLEAIEPIPEEKQQEGDPELVEDAEFYRQLLKEFLETIDPASSEAAFYEMKKFQTKKRKIVDRRASKSRKIRYNVHEKIVNFMAPRPAKIPPNTADLLKNLFGLKTRNVQSEA >fgenesh1_pg.C_scaffold_8002143 pep chromosome:v.1.0:8:20064075:20066329:1 gene:fgenesh1_pg.C_scaffold_8002143 transcript:fgenesh1_pg.C_scaffold_8002143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MV28] MTTFSLPMSSLSRCVPMSSGSFSSLRSPSAPPSEPPSPPTPPEPPDPPDLQICLSSGDALVQLLLLQHPTGLQRSHIGSERPSPLPSRTAPPCRKNAPLSITVLARRRHLLLSPHFSNMNLGFHYLGQIWDNFLLGHGCLLGQKSGVFLLGLTFPRLSPPFSFYRVFSCLVSLLCCKPSQSHNLVVAINLPPQKFPQVCSYSSPSNSSQIGRVWMLVEFVALVLWNFDIAHSSSMSLDTFVSTFVLSCSTFIVLMRSFTAVCGFWLDLAMLKVVSSQLGQSSLSLENRPGFLVHWGYHSPHLSFMEFIIFPTTSLVFSDSVTGSIECKTVLLEVEARNLLVFGNRAFSEEDTALKAITAAKEWQEANLAVHGQSRNRAQAVPLIEDIPTPLPHSEDPSLILPLIEALSLNLPLIEAPFHRIPRDEVLKSLCLV >fgenesh1_pg.C_scaffold_8002158 pep chromosome:v.1.0:8:20134459:20136012:-1 gene:fgenesh1_pg.C_scaffold_8002158 transcript:fgenesh1_pg.C_scaffold_8002158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7MKL4] MGYNYVSLIVTILLVVITSPVVFGNDAAPIPENKGRIEQWFNTNVKQNGRGHFKTITEAINSVRAGNTRRVIIKIGPGVYKEKVTIDRNKPFITLYGHPNAMPVLTFDGTAAQYGTVDSATLIVLSDYFMAVNIIVKNSAPMPDGKRKGAQALSMRISGNKAAFYNCKFYGYQDTICDDTGNHFFKDCYIEGTFDFIFGSGRSLYLGTQLNVVGDGIRVITAHAGKSAAEKSGYSFVHCKVTGTGTGIYLGRAWMSHPKVVYAYTDMSSVVNPSGWQENREAGRDKTVFYGEYKCTGTGSHKEKRVKYTQDIDDVEAKYFISLGYIQGSSWLLPPPSF >fgenesh1_pg.C_scaffold_8002162 pep chromosome:v.1.0:8:20151888:20152679:-1 gene:fgenesh1_pg.C_scaffold_8002162 transcript:fgenesh1_pg.C_scaffold_8002162 gene_biotype:protein_coding transcript_biotype:protein_coding MYHLKVEPTEESKAFMTFVIPHDKEQLLTGFFGELQDRESEFGISDIQLGLATLEEVFLNIARRAELESATVEGTMVTLELESGIAVEIPVGARFVGIPGTENAENPRGIMVEVYWQQDGSGSMCISGHSPEMRIPENVSVIYEPSSQVLGHGQRRVRGIVIDYESNN >fgenesh1_pg.C_scaffold_8002171 pep chromosome:v.1.0:8:20189840:20196542:-1 gene:fgenesh1_pg.C_scaffold_8002171 transcript:fgenesh1_pg.C_scaffold_8002171 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKSKKNPVKKPVEATMEHVLVALRETKEERETRIRKLFEFFDNSKLGFLDDTQIEKGLSSLSIPQNYRYASDFLKVCDANRDGRVDYQEFRRYMDSKELELYKIFQAIDVEHNGDICPEELWEALDKAGIKIKDEELASFMEHVDKDNNGIITFEEWRDFLLLNPHEATIENIYHHWERVCLIDIGEQAVIPDGISTHAQRSKLLLAGGIAGAVSRTATAPLDRLKVALQVQRTNLGVVPTIKKIWREDKLLGFFRGNGLNVTKVAPESAIKFAAYEMLKSIIGGVDGDIGTSGRLLAGGLAGAVAQTAIYPMDLVKTRLQTFVSEVGTPKLWKLTKDIWIQEGPRAFYRGLCPSLIGIIPYAGIDLAAYETLKDLSRSHFLHDTAEPGPLIQLGCGMTSGALGASCVYPLQVIRTSCSYLLKLCRTLKHLHQFHAQFITSGRISSSLNQNSVFANVLFAITSISPSASTSNVVVSYATSVLRFITNPSTFCFNTIIRIYTLHEPLSLSSQRFFVEMRRRSVPPDFHTYPFVFKACAAKKNGDLSLVKTLHCQALRFGLLSDLFTLNTLIRAYSLMAPIGSALQLFDENPQRDVVTYNVLIDGLVKACEIVRARELFDSMPFRDLVSWNSLIAGYAQMNQCREAISLFDEMIGLGLKPDNVAIVSTLSACAQSGDLEKGKAIHDYTKKKRLFIDSFLATGLVDFYAKCGFIDTAMEIFHLSSDKTLFTWNAMITGLAMHGNGELTVDYFHKMVSSGIKPDGVSFISVLVGCSHSGLVGEARKLFDQMRSLYDVDREMKHYGCMADLLGRAGLIEEAAEMIEQMPKDGGKREKLLAWSGLLGGCRIHGNIEVAEKAAKRVKALSPEDGGVYKVMVEMYANAERWEDVVKVREMIERDKKVLYESFDEPFDGRWIVSKNSDYEGVWKHAKSEGHEDYGLLVSEKARKYGIVKELDEPLNLKEGTVVLQYEVRFQEGLECGGAYLKYLRPQEAGWTPQGFDSESPYSIMFGPDKCGATNKVHFILKHKNPKSGEYVEHHLKFPPSVPYDKLSHVYTAILKPDNEVRILVDGEEKKKANLLSGEDFEPALIPAKTIPDPEDKKPEDWDERAKIPDPNAVKPDDWDEDAPMEIEDEEAEKPEGWLDDEPEEVDDPEATKPEDWDDEEDGMWEAPKIDNPKCEAAPGCGEWKRPMKRNPAYKGKWSSPLIDNPAYKGIWKPKDIPNPDYFELDRPDYEPIAAIGIEIWTMQDGILFDNILIAKDEKVAETYRQTTWKPKFDVEKEKQKAEEEAAGSADGLKSYQKVVFDLLNKVADLSFLSAYKSKITELIEKAEQQPNLTIGVLVSIVVVFFSLFLKLIFGGKKAAPVEKKKPEVAESSKSGDEAEKKEETAAPRKRQPRRDN >fgenesh1_pg.C_scaffold_8002211 pep chromosome:v.1.0:8:20400972:20406206:1 gene:fgenesh1_pg.C_scaffold_8002211 transcript:fgenesh1_pg.C_scaffold_8002211 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGGISPSGVVTVKEDEALVPRTEFQQNPSFLQFVSPTTVVSPLPLPPAPSPAPVPATVTPDSAAASTGSDPTKKKRGRPRKYAPDGSLNPRFSRPTLSPTPISSSIPLSGDYQWKRGKAQQQHQPLEFVKKSHKFEYGSPAPTPPPPGLSCYVGANFTTHQFTVNAGEDVTMKVMPYSQQGSRAICILSATGSISNVTLGQPTNAGGTLTYEGRFEILSLSGSFMPTENGGTKGRTGGMSISLAGPNGKIFGGGLAGMLIAAGPVQVVMGSFIVMHQAEQNQKKKPRVMEAFAPPPQQPPQLQQQQPPTFTITTVNSTSPATVEEPKQQPYGGGIVRPMAQMSSSFQNENSTMNNFTPPYHGYGNMNTGTNKDEHEDDDGGEDDDSGDTRSQSLSGSDGVGGDDNGWDIATGGSFGGTGSDDLDWDNKSMWSTGLTKEHFDGVSVGRQKNAANPSSDNTASDSGDVMSKLGPKEVALVNEMNEYDDMLKEIEQDNRQGRAFVDGIKQRMMEISVLLKQVKEPGARGSYLKDSEKTEMYRLHKENPEVYTVERLAKDYRIMRQRVHAILFLKEDEEEEERKLGRPLDDSVERLLDEYPEFFISHDREFHVASLNYKPDFKVMPEGWDGTIKDMDEVHYEISKKEDDMLYEEFVRKFEFNKMKWRGEVKCHKYSRRRSSDGWKITVEKLGAKGKRGAGGGWKFMSLPDGSSRPLNDVEKIYVKRETPLRRRSMVSTEMMRYSPGNQKRRSKRKQKRKERRVECLKAGKQT >fgenesh1_pg.C_scaffold_8002229 pep chromosome:v.1.0:8:20471201:20472579:1 gene:fgenesh1_pg.C_scaffold_8002229 transcript:fgenesh1_pg.C_scaffold_8002229 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQDLDRELREAIPWMLWEIWKARNSSMYAAKTNSPHFVVATVLEEAKEWLQQNALSQQGHSQRNQRQAMDCRAAIDALATPEKYPKYRFQIIKIQQVIRVMRETKFVDGVSTVQRTW >fgenesh1_pg.C_scaffold_8002230 pep chromosome:v.1.0:8:20482501:20483802:1 gene:fgenesh1_pg.C_scaffold_8002230 transcript:fgenesh1_pg.C_scaffold_8002230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MLW7] MRSDVARLRCGKTIPLLGMGTYCPQKDRETTISAVHQAIKIGYRHFDTAKIYGSEEALGTALGQAISYGTVQRDDLFVTSKLWSSDHHDPISALIQTLKTMGLEYLDNYLVHWPIKLKPGVNEPIPKEDEFEKDLGIEETWQGMERCLEMGLCKSIGVSNFSSKKIFDLLDFASVSPSVNQVEMHPLWRQRKLRKVCEENNIHISGYSPLGGPGNCWGSTAVIEHPVIKSIALKHNATPAQVALRWGMSKGASVIVKSFNGARMRENKRALEIKLDDQDLSLIDHLEEWKIMRGEFLVNQTTSPYKSIQQLWDNEI >fgenesh1_pg.C_scaffold_8002238 pep chromosome:v.1.0:8:20519731:20521033:-1 gene:fgenesh1_pg.C_scaffold_8002238 transcript:fgenesh1_pg.C_scaffold_8002238 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRGRKRRRQRGGDLVAPEITLDLQIEILTRLPAKSLMRFKCVSKLCSSLILSRYFSNCYLTVASPLRPPPRLYMSLVDHECSSTKVCHNPRESVLLSLSSSSSFDQDLTTMQGMGGRNMVILRGLILYIVCGKACIYNPTTRQSVTLPAIKFNIFIQGNDHCVLYFLGHDPVLDQYKVVCNFVSSSSQDLDMINLEHWVFVLEVGDSWKRIDMFEDIVVSFDVRSEEFNIIQAPLVVSAYVGSLGFIEYGGKPAIFYHTSLKENGLVDLWVLENAGNWSMRSLSLQPSQLHLVDNDIDLTVEGTSRNGEVILVPIFTYVKPFT >fgenesh1_pg.C_scaffold_8002242 pep chromosome:v.1.0:8:20530633:20532443:-1 gene:fgenesh1_pg.C_scaffold_8002242 transcript:fgenesh1_pg.C_scaffold_8002242 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSMEKSVSSAASGNSINSKLRYPLRSALRSKEGKPPVPDFSASSVPRRGRVASAVSQSTTVLDLSVKKSVDRTKLPPRRLSIPNKPTSNSSVKSVRSSVTSLSEVKPKRSGIVARSLNETTTPVSSNLRSSMTRRRVEDLSSSTYWLTHIKLAESAAKHSISLGFFKLALHAGCEPLDKMKEELKSYARRNDLDGLADAMKELSELYNISDESKEIQISETSSVVAEERTVSLNNDNDVQSSISTPGNSNITSEITKYDALPDSTVTETTKEEEASETVTQGRARKSLEVINVNQENVSEAVQESEEGLRSSADGVPIVAVVQPSDKKRARKETVPKNNQPVRTKKSIATNSANSKTVPINKDDKSQKKSERITKPRTKKVQEETKKSIKKSTAKEGEVKSLKQTEKMENKENTLKGLTQAFSSQVVGGAGEDIQV >fgenesh1_pg.C_scaffold_8002250 pep chromosome:v.1.0:8:20568439:20570366:1 gene:fgenesh1_pg.C_scaffold_8002250 transcript:fgenesh1_pg.C_scaffold_8002250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MMC8] MVANLWFFCLRFFELVMDTEIFNFSRRSLRFSNFVIESAMSTSIVSGNASSEKQHSDSDSDSIPSKESSYEMASSDDNLTDIEVSNVGTSLPRSDSQVVHVNGQPGLTVNRNHLIRDLPRPVQRPPVVQVSQPQLSRSARKKLNRGPGTMSLTAAQKRMFGHRKGKKKHRRRKYGHSY >fgenesh1_pg.C_scaffold_8002252 pep chromosome:v.1.0:8:20573760:20576791:1 gene:fgenesh1_pg.C_scaffold_8002252 transcript:fgenesh1_pg.C_scaffold_8002252 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRGDDWFASEIPLDLQIEILIRLPAKSLMRFKCVSKLWFSLIRCRYFSNRYLTVASPPRAPRLYMSLVDHIQCNSMEVCYNPRESVLLSLSSSSSTDAKSFHQDLTMPGMGGRNMMILRGLILYIVCRKACIYNPTTRQCVTLPAVQSNIFAQEDYHKSVLYFLGHDPVLDQYKVVCTVAVSSKRFKRITSEHWVFVLEPGGSWKRIEFDLPHCPARLGLCINGVIYFLASACMSSDILVSFDVMSEEFKWIQGPPVASAFKPMGFIEYLGKPSVFDHSHLKRKGSVDLWVLEDAGKWSKKSLVLQPCQMHLVDKKLSFTVKGNDHSVLYFLGHDPVLDQYKVVCNFVSSSSQDLDMINLEHWVFVLEVGDSWKRIEFDQPHISTRPGLCIGGVIYYLAFTSMFEDIVVSFDVRSEEFNIIQAPLVVSAYVGSLGFIEYGGKPAIFYHTSLKENGLVDLWVLEMLETGRGKL >fgenesh1_pg.C_scaffold_8002253 pep chromosome:v.1.0:8:20583654:20586041:1 gene:fgenesh1_pg.C_scaffold_8002253 transcript:fgenesh1_pg.C_scaffold_8002253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MMD1] MKMSKMLCLRRRFSRRARAAPLVVPVNANPVLVAVAQEQVALPAPLVVPVHANPVLVAVAQEQAALPAPLVVPVHANPDLVAVAQEQAALQAPLVPVHVAPEEAALPGLTVNRNHLIRDLPRPVQRPPVVQVSQPQLSRSARKKLNRGPGTMSLTAAQKRMFGHRKGKKKHRRRKYGHSY >fgenesh1_pg.C_scaffold_8002257 pep chromosome:v.1.0:8:20600764:20603604:1 gene:fgenesh1_pg.C_scaffold_8002257 transcript:fgenesh1_pg.C_scaffold_8002257 gene_biotype:protein_coding transcript_biotype:protein_coding MALWFRFFMDLGDAVSYVKKLNIPSGVVGACRLDLAYEHFKEKPHLFQFVPNERQVKAANKLLKSMPQNGNKQKVQEAIDEIGSSGIPMSVVAKASKKIQLIYVVVRVLRGGRWFRKATAIQPKISSLISDGKRGGPAFVGQVFSMCDLTGTGGLGAPNLIHMETENRPYPDGGPIICSPKVWLFSPRGACELVAMVMSLALIASMQWKKRSSKTIRRGRRRRQRGEYFFVAPEIPLDLLIEILTRLPAKSLLRFKCISKLWSSLICSRFFSNRYLTVASPLRPPRLYMSLVDHLVCNSMEVCHNHPRESVLLSSSSSSAESLEQDLTLAGMGGRNMVVLRGLILYNVCRKACIYNPTTTQSVTLPAVKSNIFAHEYYNKSVLYFFGHDPVLDQYKVVCTVAVSSKKVKRITSEHWVFVLEPGGSWKRIEFDQPHIPTRLGLCIKGVIYYLASTCKRRDIVVSFDVRSEEFSMIQGPPVVPAYGESVGFIEYGGKPAVVDHTILEQTGLVDLWVLEDAGKWSRKSLVLQPCQMHLVDNNIPLMVQGTTQNGEIINI >fgenesh1_pg.C_scaffold_8002274 pep chromosome:v.1.0:8:20686342:20687284:1 gene:fgenesh1_pg.C_scaffold_8002274 transcript:fgenesh1_pg.C_scaffold_8002274 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:D7MMW2] MEGSNSHSHIQLSKEKISHRQKKQRMEKNETRSAKLLDLDVLDCPICFEPLTIPTFQCDDGHLVCSFCFAKVSSNRCPGPGCDLPIGNKRCFSMEKVLESAFVPCPNTEFGCTESFSYGKVSSHEKECNYSQCSCPNLECNYTGSYNIIYGHFMRSHLYNSTICSSIWGYSSVDVRININEKVLVLWESLQKLLFVVQCFRERHGVYVTVRRIAPSASELKKFSYCLSYSIDGHNVTYESPEVKRLLEVNSQIPDESFMFVPNCLLRGEMLELKLGIKKLMQK >fgenesh1_pg.C_scaffold_8002293 pep chromosome:v.1.0:8:20784396:20786924:-1 gene:fgenesh1_pg.C_scaffold_8002293 transcript:fgenesh1_pg.C_scaffold_8002293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MND2] MESESENNNDDNLGQEIDREEDGPPPSSVGGKRTKSQRSSSGFAVKPRKRPAHRAPVWKHFVQQEDNLALSKCRYCGQLIGCDTVKTGTSAMTKHIKRCKLFKMYESDSQKVLAGDSSGVMTAIKYDKWFTDSCPIISWPLNWSWKLRRTMTTYQMHPCSIVT >fgenesh1_pg.C_scaffold_8002294 pep chromosome:v.1.0:8:20791988:20793368:-1 gene:fgenesh1_pg.C_scaffold_8002294 transcript:fgenesh1_pg.C_scaffold_8002294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MND3] MTTVEDLVTYYFLFVTAILYIYLLVDTCSLYFWKEEEEEEDDDDIELGDDDHCCRICHEDIRAFSDIIRLSKCDSIRSMKSMALTVDTADLVCAIIAIGLFVLCLIGILPDLLEERRELILEDVENRRLKKSTNLKNCSCGDGVDRCPVYHEDLKALRKELDKSKCKHIPTILKDHP >fgenesh1_pg.C_scaffold_8002298 pep chromosome:v.1.0:8:20799347:20800655:1 gene:fgenesh1_pg.C_scaffold_8002298 transcript:fgenesh1_pg.C_scaffold_8002298 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MND7] MDAAHCYLEGNADAVEFCPHEPYANLLAASTYTLQEGDCPSRSGSVYLFDVGDVEDVSLNLIQKIDTAGVFDIRWSRGGDGGGSVALAQADADGCLRVYKIDETELKGCSLREVSGEKISSSMCLCLDWDPSSTSIVVGLSDGSASVVSFTDSMLETVQEWKGHDFEVWTASFDLNNPNLVYTGSDDCKFSCWDIRDSPADNRVFQKSKVHTMGVCCISPNPSDPYSIFTGSYDETLRVWDTRSVSRPVNETSVSLGGGVWRIKHHPSLSGVVLAACMHNGFAVAKVSDGKGEVLESYNKHDSLAYGADWYRGKDQKQSVVATCSFYDRLLRLWMPETAFEL >fgenesh1_pg.C_scaffold_8002303 pep chromosome:v.1.0:8:20813980:20814475:1 gene:fgenesh1_pg.C_scaffold_8002303 transcript:fgenesh1_pg.C_scaffold_8002303 gene_biotype:protein_coding transcript_biotype:protein_coding MESKWSVVMMVYFVFVVIAAIGGEAEDHLSCETKCAITCKDSMFPKKCSTKCLESCRRYPPTQLHTRMMAAAIEGEVSLGCSFKCEIHCKTPIPTTACFKRCIRERCEHLPPTSTFHSTSHSP >fgenesh1_pg.C_scaffold_8002332 pep chromosome:v.1.0:8:20946454:20951736:1 gene:fgenesh1_pg.C_scaffold_8002332 transcript:fgenesh1_pg.C_scaffold_8002332 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2746 [Source:UniProtKB/TrEMBL;Acc:D7MP09] MMKPASLQGFSSQASSIYSDVRSPATTPSKMAAFSALSLCPYTFTFRQSSRIKSTVSCSVTSAPASGTSPSSKTPRRRSGRPEGVGKSMEDSVKRKMEQFYEGTDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGIMFPDYDEPGVQKIMPDTGFIRRWKHKIEAVVITHGHEDHIGALPWVIPALDPNTPIFASSFTMELIKKRLKEHGIFVQSRLKTFSTRRRFMAGPFEIEPITVTHSIPDCSGLFLRCADGNILHTGDWKIDEAPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISEKVVADALVRNVMAAKGRVITTQFASNIHRLGSIKAAADLTGRKLVFVGMSLRTYLEAAWRDGKAPIDPSSLIKVEDIEAYAPKDLLIVTTGSQAEPRAALNLASYGSSHAFKLTKEDIILYSAKVIPGNESRVMKMMNRIADIGPNIIMGKNEMLHTSGHAYRGELEEVLKIVKPQHFLPIHGELLFLKEHELLGKSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFSSLGRENLQLMYSDGDKAFGTSSELCIDERLRISSDGIIVLSMEIMRPGVSENTLRGKIRITTRCMWLDKGRLLDALHKAAHAALSSCPVNCPLSHMERTVSEVLRKIVRKYSGKRPEVIAIATENPTAVHADEVSARLSGDPSVGSGVAALRKVVEGNNKRSRPKKAPSQEDSPKEVDRTLEGYVSLTHLLVYIQVLYDIIDSDARLLAAEVTAASTYTEEVDMPVESSSEESDDFWKSFINPSSSPSPSETENVNKVTNTEPGTEDKESIRDDDDPSDASNSETKSSPKRVRKNKWKPEEIKKVIRMRGELHSRFQVVKGRMALWEEISSNLSAEGINRSPGKCKSLWASLIQKYEECKADERSKTSWPHFEDMNNILSELDTSASK >fgenesh1_pg.C_scaffold_8002340 pep chromosome:v.1.0:8:20980065:20980748:1 gene:fgenesh1_pg.C_scaffold_8002340 transcript:fgenesh1_pg.C_scaffold_8002340 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLVFLVPLIAFCFASSDAREMPKEEGDCIGKCPPTPPPMDDAREMPKEEEDCVGKCPPTPPPMDDAREMPKEEGDCIGKCPPTPPPMDDAREVSKVAVVRPDPSYRLPPLPPMDDAREMSEEAVVLTPPCYARPPPPPMDDAREMTMKSPPCYVSPPPPMM >fgenesh1_pg.C_scaffold_8002341 pep chromosome:v.1.0:8:20980905:20983793:-1 gene:fgenesh1_pg.C_scaffold_8002341 transcript:fgenesh1_pg.C_scaffold_8002341 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein At5g63520 [Source:UniProtKB/TrEMBL;Acc:D7MPP7] MAEVSETRKEMTTTKGKSENWKKMKTDTVPIAAMNEDLLHNILLRLPAKSFAFASCVNRSWSSVCNRILSRPKMISAFSRNPDQLRAGEEVLDKVLSEPIRPHFVIANITCGNMEETLTLITERVGSRVPIIVSVVTGILGKEACNDKAAEVKQHSTSDDELFIVPNFAILLTIGYLPGMKVDVIPVIQAKGESESDIGDKFVMDIRNYVSMVSGHAAAPACLILFGEDTHATEPVLHKLDYAMPAETVIVGDQIGEFLHKRGNESRNVQLPKDDCRVLAGLIFARDRLRPAQAERIQFDTAISRGMSSVDLRYKAANVNVSRPRCPSTLLTAKRRGEAEVLDGEQILDDIDNILENHIWENDPYLGVIKRRKYSIGLEEKPKIMSSLVFHQVNGSDDQDLLVDGAGIKTGDQFQVYLPDLKVAEASLKAVTSQHRNLKSKANKPEIVGGFAFVGNSRGDLFFGRPDADSSPFLENFPELRFGGIFCDSEIGRSLFVEEGEEKKEVSIRRFLHVYSSVYLIVSYTS >fgenesh1_pg.C_scaffold_8002442 pep chromosome:v.1.0:8:21518543:21519773:-1 gene:fgenesh1_pg.C_scaffold_8002442 transcript:fgenesh1_pg.C_scaffold_8002442 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRVMTTAAAASANQTKLCLFTKRPFVLPTRKVCGFNGFWFRQFKTETLDYRVFLQDGSGKKVSPWHDIPLHLGDGVFHFIVEIPKESRSKMEVATDEAFTPIKQDTKKGKLRYYPLKVLLEIMIQIGEVLKVKPLAALAMIDEGELDWKIVAIYLDDPKAHLVNDVDDVEKHFPGTLTAIRDWFRDSKIPDGKPANKFGLGDKPTNKDYALKIIHETNESWAKLVKRSVSPGDLSLF >fgenesh1_pg.C_scaffold_8002446 pep chromosome:v.1.0:8:21534192:21538982:-1 gene:fgenesh1_pg.C_scaffold_8002446 transcript:fgenesh1_pg.C_scaffold_8002446 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNQSVVHFSRGNGIAKLDNFGDTALSLKCLGSSAGRFIGSSHHNHKLCSDVSNCPDGGCRLVLGLGPTPPSYYYNVTGNDNNIKGSASSGSVQELSSGGNSILQLGPPAVTMDTFSGLDCSLLTYTDTNVSQAVVDEGSTSARRSGGYMPSLLFAPRTENVRKPSRMQECSTNFGTDAYNSQLSHESEFSVGAFSDRSASATSSQQRLSNPKKCKFMGCVKGARGASGLCIGHGGGQRCQKLGCNKGAESKTTFCKAHGGGKRCQHLGCTKSAEGKTDLCISHGGGRRCGFPEGCAKAARGKSGLCIKHGGGKRCRIESCTRSAEGQAGLCISHGGGRRCQSSGCTKGAQGSTNYCKAHGGGKRCIFAGCTKGAEGSTPLCKAHGGGKRCMFDGGGICPKSVHGGTSFCVAHGGGKRCVVAGCTKSARGRTDCCVKHGGGKRCKSDGCEKSAQGSTDFCKAHGGGKRCSWGGDWKCEKFARGKSGLCAAHNSMSQDKAGSKVGLIGPGLFRGLVSTSSQTTTTATTTTTDHSQSGVSAVSDCTESIDRPLPPLLHQPEKRQKLMIPMQVLVPPSMKSLSFSNTERPEIETNNNSSGSNGRNIFDFMIPEERVHGGGLMSLLNGFVILRSEISVLLLRFIVQSFSILISSSCDDDSRFSYCSGMLDKDEPFVYNEVDYKTPKQSPQTWPMDKIRQSRFQHKMSSLLSPVTPKRNYNISNAKSLLLQCLRDAKSDPLPRRRFPVSCTDEGILMDGVLVTSATKKKVSESPSSCPGRSARSSIFRSQHKKNTEFSSPISESGRNQETTLSQQSSSAGEGKILANSPCTPKAANPSKLQASAEPFILTPRQAASPFPPQQLPYDHYNPQFPCAPYPYLHRPRPLIYVYQRPPLLPLLPHGPDPYTLNSQKDFPPILSGNQTPTTSPHSLPTTPSSSQPNTTTSDSPVHTPISTTFTANLNTSTTTSTHGPSPSVDRVDTVAKIPDLSSSPSDGLETVTQKPDLASPSTGRETVTQKPDLASPSAGLGTMTINKDWSPSDDGLPTFTQDQLDFMKSHNRLFPDYVFGRYKYKKRMSAFKHQEEHR >fgenesh1_pg.C_scaffold_8002460 pep chromosome:v.1.0:8:21621082:21622074:-1 gene:fgenesh1_pg.C_scaffold_8002460 transcript:fgenesh1_pg.C_scaffold_8002460 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLVAERIIVFSDNSCKKFGSSGKVSKFQRASKNSIRFTCTVKEKRSDAKKALHNLLFHTKGSRDFLQIAREYVTCLKISDVKNAFRSAALKWHPDKHQGPSQEAAQEKFKLCLDAYKSLCSSLS >fgenesh1_pg.C_scaffold_8002497 pep chromosome:v.1.0:8:21778048:21779316:1 gene:fgenesh1_pg.C_scaffold_8002497 transcript:fgenesh1_pg.C_scaffold_8002497 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDGCSLVASKETRPALCDMTNVPSKRGLSAILGDLLLKSGDDSGKSLVAREGSGVKFSKRLCLVVDDLVKESTRTSDTNEASSSDDKISSFDCDSENFDVKESQGETNAVDIGVEPSNVDGDAVKETCEKDSDMNVCASQTDAVTSEDLAMTLFSCNNSESAGLLVPNSQTVKSFNINRCSNVDGMGIVVNHDMEADQELKSCSCSFCLKAAYIWSDLHYQDIKGRLSVLKKSQKEASGLIQRNDRGKPMDIYGSENSNNSTNTENPMDQWTSLFLNMEGILARESNHLHNSFVAMKELRENCKIDLERATKTPQHNNT >fgenesh1_pg.C_scaffold_8002504 pep chromosome:v.1.0:8:21813843:21815164:-1 gene:fgenesh1_pg.C_scaffold_8002504 transcript:fgenesh1_pg.C_scaffold_8002504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MT89] MDRRDEAGGEAEERKSAEAEEKQRKDREARIGEGEKFEEPNKMWHVAPKDILADYSQIYRRYVQENMGWGQQVVGGEGRRGSGLRCASPEVSPVRFLIKINDNFCKHHISEYLRP >fgenesh1_pg.C_scaffold_8002516 pep chromosome:v.1.0:8:21864289:21866307:-1 gene:fgenesh1_pg.C_scaffold_8002516 transcript:fgenesh1_pg.C_scaffold_8002516 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAVESVAEQRIRSNDGDGAGEKIENGELHHLLSEPSAPTIYLPTESFLRAATLLKNQVVEAMWKGVVDVLAPGSSPVLDPTVYTGLLGTAFTCLKSYEVTRNHQDLLTCAEIIDTCANVARATTRHVTFLCGRGGVYTLGAIVANYRGDQSKRDFFLGLFLELAEARELPAGPEEGGFGMSYDLLYGRAGFLWAALFLNRYLGQGTVPDHLLSPIVAAILAGGRVGAADHEACPLLYRFHGTRFWGAANGLAGILYVLLHFPLSEEDVKDVQGTLRYMMSNRFPNSGNYPCSEGNPRDKLVQWAHGATGMAITLAKASQVFPKERDFREAAIEAGEVVWKSGLVKKVGLADGVAGNAYAFLSLYRLTGDVLYEERAKAFASYLCHDARDLMNMTRQEAEHDYSLFRGLAGPVCLWFDLVSPVDSRFPGYEI >fgenesh1_pg.C_scaffold_8002520 pep chromosome:v.1.0:8:21890586:21892092:-1 gene:fgenesh1_pg.C_scaffold_8002520 transcript:fgenesh1_pg.C_scaffold_8002520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7MTX1] MFSHVETSSLSYEILDYLQNFVVSNSENVASQQNSLSSSSYSSATLSCSITEQQSHLTEKLSHVRGRCSCGDVLSRKRRRRSENTMEDKEYQRMNHIAVERNRRKQMNHFLSILKFFMPLSYSQPNDQASIIEGTINYLKKLEHRLQSLEAQLKATKPNKSPNIFSDFFMFPQYSTTASSSPSSHYHHKRLPAVADVEVTMVEKHINIKVLTKTRPRLLFKIINEFYSLGLSTLHLNLTTSKDMYLFTFSVKVEADCQLMPSGNEIANAVHEVVRRVHKES >fgenesh1_pg.C_scaffold_8002523 pep chromosome:v.1.0:8:21907146:21908190:1 gene:fgenesh1_pg.C_scaffold_8002523 transcript:fgenesh1_pg.C_scaffold_8002523 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLTTLNGILKDEASQMKLNVVHLCSSVNAKTIDLALLKATSHTSHNPPSDKYVTFLQSTIDTCYGPETVAAILHRLRLTTDACVAAKCLILLHKMIKTESGYNGEDNLRDCNSHRTLIYNQGGSNLKLNSLNGNSSRFTRELSPWVQWYQQYLDCYLSIAEVLGVTPNIKDKTEDKRLETQRVSSYTTDCIFKQIDFLVDLFEHISDRPKTPTSKLNKIIIEMIELMVQDYFSVIKLIRIRFEELNERVAKSYQLVPVLERLENCKEGLNEYSWRSKYLIEDFWCLVSKLKDM >fgenesh1_pg.C_scaffold_8002541 pep chromosome:v.1.0:8:21991241:21992947:-1 gene:fgenesh1_pg.C_scaffold_8002541 transcript:fgenesh1_pg.C_scaffold_8002541 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPNLKLHVAVFPWLALGHMIPYLQLSKLIARKGHTVSFISTARNISRLPNISSDLSVNFVSLPLSHNVDHLPENAEATTDVPGTHIAYLKKAFDGLSEAFSEFLEASKPNWIVYDILHHWVPPIAEKLSVRRAIFCTFNAASIVIISGPASVMIHGHDPRKTAEDLTVPPPWVLFETNIVYRLFEARRIVEYPTAAVEGLSFGVVGASVGISCGRRQSDSLEISRNERDGLFMSASVADRLLWKKKERSTETMLHLSKRLQDHYADGFIEFLENPRAGVYSK >fgenesh1_pg.C_scaffold_8002546 pep chromosome:v.1.0:8:22015024:22016789:-1 gene:fgenesh1_pg.C_scaffold_8002546 transcript:fgenesh1_pg.C_scaffold_8002546 gene_biotype:protein_coding transcript_biotype:protein_coding MERDLADNRRQSYSTGRCLSSPLSCFIHTETEYARISNHGKKTRPSPKLRDLMRRLLLVRSCGLENNKSKTLVTFHYDAVSYSQNFDDGFYLRDDDPKHIWSHRTGKASNFNTSFSFKIDARNLSADGHGICFFLVPMGAQLPAYSVGGFLNLFTRKNIRLRSRIPAASLATLASIINLMFKFSSGTDKSFKQSIVLTSHQQEVSSRRYKQDRNRRIHISIRTRTRPTATNPTIKVATEKNCRVVSID >fgenesh1_pg.C_scaffold_8002556 pep chromosome:v.1.0:8:22085723:22091524:-1 gene:fgenesh1_pg.C_scaffold_8002556 transcript:fgenesh1_pg.C_scaffold_8002556 gene_biotype:protein_coding transcript_biotype:protein_coding MQATANLPAIWNLSLCGSSSKVHIVNPRHRKSHALHCLRSEGHEEFDNSQDNLGLSSITKEAKHKDIWNLFREAQKNILILNKQRLAAVEELEKLKNEKDELLERINQLEAESQIVIKKDKSSLFWELLLRIDSMVINGLINIEEASSLRKLVKEHEANISEFPLDVLQQGDADILAELRLFINKGKRNGLHVIHICTEMAPLVSVGPLASYITGLSSALQGEGYMVEVILPKYSTLDLDEIEGLREIEADAYSYFNGQLHANRIWNGVVSGIGVTLIQPVYYSSMFSRDKVYGYQDDFDRFAYFSRASLDYIAKSGKQPDVLHIHNWQTAIVGPLFWDVFVNQGLVPPEKLELCGLDSAELHRLDRLQDNTNPHFVNILKGGVVYSNKVVIMSSSHSSIPGLEPTLAIHKVLKLNLTAKEIMTKVGQRDSPAKEEAPPATKKRFLTPGRFVTILCIINLINYVDRGVIASNGVNGSSKTCDAKGVCSAGTGIQGEFKLTNFEDGLLSSAFMVGLLVASPIFAGLSKRINPFKLIGVGLTVWTIAALGCGFSYNFWMIAVFRMFVGVGEASFISLAAPYIDDSAPVARKNFWLGLFYMCIPAGVALGYVFGGFIGNHLGWRWAFYIEAIAMAVFVVLSFCIKPPQQLKGFADKDSKKSSTSIETVAPTDAEASQIKTKTPKSKNLVVLFGKDLKALFSEKVFIVNVLGYITYNFVIGAYSYWGPKAGFGIYKMKNADMIFGGLTIICGIIGTLGGSYVLDRINATLSNTFKLLAASTLLGAAFCFTAFLMKNMYAFIGLFAVGEILIFAPQAPVNFVCLHCVRPNLRPLSMASSTVLIHILGDVPSSPLYGKMQDHLKNWRTSTLIITSILFVAAIIWGIGIFMNSVDRSNEVSEDDEVEEEKLESKAENSTLV >fgenesh1_pg.C_scaffold_8002557 pep chromosome:v.1.0:8:22091948:22094181:1 gene:fgenesh1_pg.C_scaffold_8002557 transcript:fgenesh1_pg.C_scaffold_8002557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7MUK8] MAEEVKGGCFIPYIHSQTLNFHSHAVSSVKFSTDGRLLASASGDKTIRTYAIDIAQEDSIAKPVHEFSGHDNGVSDIAFSSDARFLASASDDKTLKLWDVETGSVIKTLIGHSNYVFCANFNPQSNMIVSGSFDETVRIWDVKSGKCLKVLPAHSVPVTCVDFNRDGSLIVSSSYDGLCRIWDSGTGHCVKTLIDDENPPVSFVKFSPNGKFILIGTLDNKLEETDHGRAKTEASCCSVLMVSFLDSGIFRAQIVGWPPVRSYRKNTLATTCKNSDEVDGRPGPGAMFVKVSMDGAPCLRKVDLRSYANYGELSSALEKMFTTLTLGQCGSNGAAGKDMLSETKLKDFLNGKDYVLTYEDKDGDWMLVGDVPWEMFIDVCKMLKIMKGCDAIGLAAAPRAMEKSKMRAQKMEHDKNLHWILKIFV >fgenesh1_pg.C_scaffold_8002562 pep chromosome:v.1.0:8:22127398:22128814:-1 gene:fgenesh1_pg.C_scaffold_8002562 transcript:fgenesh1_pg.C_scaffold_8002562 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAKLVNFAAPSVKGTFLSFRFFSGGFATAVTFVTGNAKKLEESKLCTTFLFLSSILNLTFWVGSVIHSQAYNPQSYKHAIVGLSGFTHSSTQSTGTSTLPPYSHPSPISVSSQSGFPINVGSWDVSSGGLLWTGGSSSNRDTTTISGNHKTNTYNTPVVTTSIRPTNVQIGRTAQMTDEFPHLDIINDLLEDEHGSMDSSVYHVPQQFNNQYSYHGGADLGISGRSRSYSDDGFHQSYGEYMPHSASSSPYGNGQTQSQWQMAMDLSLRAMRNQDDASASASASATYSYFDLDSSNPNLSGINGYRDFRPSNGH >fgenesh1_pg.C_scaffold_8002580 pep chromosome:v.1.0:8:22218855:22219313:1 gene:fgenesh1_pg.C_scaffold_8002580 transcript:fgenesh1_pg.C_scaffold_8002580 gene_biotype:protein_coding transcript_biotype:protein_coding MKKESNLARLVKSPVRFLIMARDAYIRSMTSCSAGFIRGGGGSSGFGLPAGNFQICEAPSTLLPRSFTLNSATTTRERCRFVTSCSRGAGSGEITVETAAMRRQMDLRRNYSCMVMGRIDEEKVCDEFEAEDLLFDYTKKRKIGRVFTTHQQ >fgenesh1_pg.C_scaffold_8002585 pep chromosome:v.1.0:8:22237877:22239137:-1 gene:fgenesh1_pg.C_scaffold_8002585 transcript:fgenesh1_pg.C_scaffold_8002585 gene_biotype:protein_coding transcript_biotype:protein_coding MALRATTQGTSRIAATLRRVARPFSTDAVVESDYKRGEIGKVSGIPEEHLSRKVIIYSPARTATQSGSGKLGKWKINFVSTLKWENPLMGWTSTGDPYANVGDSALAFDSEEAAKSFAERHGWDYKVKKPNTPLLKVKSYSDNFKWKGNPQPEN >fgenesh1_pg.C_scaffold_8002601 pep chromosome:v.1.0:8:22294127:22295571:-1 gene:fgenesh1_pg.C_scaffold_8002601 transcript:fgenesh1_pg.C_scaffold_8002601 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFKVVVVREYDPKRDLTSVKELEESCEVGSLLVDLMGDPLARIRQSPSFHMLVAEIGNEIVGMIRGTIKMVTRGGNALRQAGGVLPEISTTKLAFVSGLRVSPFYRRMGIGLKLVQRLEEWFLRNGAVYSYVQTENDNTASVKLFTEKSGYSKFRTPTFLVNPVFNHRVTVSRRVKIIKLTPYDAESLYRSRFSFTEFFPSDINSILTNKLSLGTYLAVPRGEDHVSGSLPDQTGSWAVISIWNSKDVYRLQVKGASRLKRTLAKITRVFDGAFPFLKIPSFPNLFKSFAMHFMYGIGGEGPRAAEMVEALCSHAHNLARKSGCAVVAAEVASCEPLSVGIPHWKVLSPEDLWCLKRLRDDGDDDGVDWTKSPPGLSIFVDPREI >fgenesh1_pg.C_scaffold_8002607 pep chromosome:v.1.0:8:22324938:22325554:1 gene:fgenesh1_pg.C_scaffold_8002607 transcript:fgenesh1_pg.C_scaffold_8002607 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLNPYDKQCMKMAMLKHEETFKQQVYELHRLYQVQKILMKNMEINKFTTKNNHVNSGLGTFIRRVDHEIDRPANFSGGNNIEIMDESEIELTLGPSCYGGDELMRMNKKKKKNSLPEMMDGNLNSGRRSFSSSSTGSSNNNNNNLEEQVRQERMMKHQKQPWLQALTLNVI >fgenesh1_pg.C_scaffold_8002613 pep chromosome:v.1.0:8:22365418:22367828:-1 gene:fgenesh1_pg.C_scaffold_8002613 transcript:fgenesh1_pg.C_scaffold_8002613 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7MV54] MEVSWLRVLLDNISSYLTLSSMDDLSSNPALKYYTRGEEISNLLKPVLENLIDSDAAPSELLNNGFEELAQYCDELREQFESWQPLSTRIFYVLRIESLASKLRESSLEVFQLLKHCEQHLPADTISPSFEECIELVKLVARDEISYTIDQALKDQKNGVGPTSEVLVKIAESTGLRSNQEILIEGVVLTNMKEDAELTDNDTEAEYIDGLISLTTRMHEYLSDIKQAQLRCPVRVPSDFRCSLSLELMTDPVIVASGQTYERVFIQKWIDMGLMVCPKTRQSLSHTTLTPNFIVRAFLASWCETNNVYPPDPLELIHSSQPFPLLLESVRASSSENSSPIKNGQADAEELRQVFSRSASAPGIVSEVVCKTKRSTNATAAADRSVSQARSNTPWKFPEERHWRHPGIIPATIRETGSSSSIETEVKKLIEDLKSSSLDTQREATARIRILSRNSTDNRIVIARCGAIPSLVSLLYSTDERIQADAVTCLLNLSINDNNKSLIAESGAIEPLIHVLKTGYLEEAKANSAATLFSLSVIEEYKTEIGEAGAIEPLVDLLGSGSLSGKKDAATALFNLSIHHENKTKVIEAGAVRYLVELMDPAFGMVEKAVVVLANLATVREGKIAIGEEGGIPVLVEVVELGSARGKENATAALLQLCTHSPKFCNSVIREGVIPPLVALTKSGTARGKEKAQNLLKYFKVHRQNNQRRG >fgenesh1_pg.C_scaffold_8002628 pep chromosome:v.1.0:8:22434466:22436323:1 gene:fgenesh1_pg.C_scaffold_8002628 transcript:fgenesh1_pg.C_scaffold_8002628 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLNDSPDHHEESDSRGNPVGHVSYGTSQSVTWLPSVLPVTRNFFPARSMEPGFRCSGFNSVGKSDPSGSGRPEEAEPEISPPIKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFDIEDYVDDLKQMGNLTKEEFMHVLRRQSTGFPRGSSKYRGVTLHKCGRWESRLGQFLNKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPKVYEEELSPETTRNGHNLDLSLGESNSEEFGLKSDIASIRNRIRDEERLLGRDLSLAMMTTTVRSEKQTDGGGNRVGMAASSGFSPQPSPYRIPHTFHFSRP >fgenesh1_pg.C_scaffold_8002641 pep chromosome:v.1.0:8:22486163:22487838:1 gene:fgenesh1_pg.C_scaffold_8002641 transcript:fgenesh1_pg.C_scaffold_8002641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7MKU1] MVNSDDDNEPRGYLILRPEELRPWELFRLLFSRDIDKPRSVDSSETKEPSFRRRWLIFVSLVLLKLLRLFSELLALLGSALEFLLNFLSANSLSGFFLRGEVVVPKTTSENYQSFIGHLDTRISLDKTMNREDGDKYYAALSIMASKIAYENSARIKNVVENHWNMKYLGLVDYWNEYQEKETTQAFIMSTDKTSTPSNCQETTVVVAFRGTEPFNSEDWCSDFDITWYELPNIGKIHGGFMKALGLQNNCSWPKEPLPNPDRLSPLAYYSIRDSLKTLIAQNKNTKFVLTGHSLGGALAILFTAVLVIHNETELLERIQGVYTYGQPRVGDSKFGDFMEKKLEEYDVKYYRFVYNNDIVPKLPYDDKDLMFKHFGTCIYYDQDYQPKVLREQSQSDENFFSLRGIIKMVYIAILEFIRSFTIVTEKGSEFREGWLLKGGRAMGIIVPGVSNHTPQDYVNATRLTPPCVFQIHRDVSIT >fgenesh1_pg.C_scaffold_8002645 pep chromosome:v.1.0:8:22503056:22503832:1 gene:fgenesh1_pg.C_scaffold_8002645 transcript:fgenesh1_pg.C_scaffold_8002645 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSENVPSYDQNENLTPVAPSPPSSSSSYLTRDQEHGIMVSALRQVISNSGSDTSSSHWIAGEALPHPDAGPCPLCGATGCSGCAFQQGQEEIKKVKKHKGVRKKPSGKWSAEIWDPTLRVRRRMENKLERRGTKNGEGSQEGDG >fgenesh1_pg.C_scaffold_8002648 pep chromosome:v.1.0:8:22511773:22512882:1 gene:fgenesh1_pg.C_scaffold_8002648 transcript:fgenesh1_pg.C_scaffold_8002648 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWP-RK domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MLD2] MADQKPLMVWSEANNYESFLQEDIFSFLDQSLSIDPHSSFINPFKDFQTQTWFSFQDSIVNPISTTFAADHTFLASLDLEAISNIMSGWWNDNNGNYNNQVEPILDDISRTNTMGDPKMEKILHEDVNTTIKEKTSQKRIIMKRRYREDGDINSLSREMMKQYFYMPITKAAKELNIGVTLLKKRCRELGIPRWPHRKLTSLNALIANLKDLLGNTEGKTPKSKLRNALELLEMEKKMIEEVPDLEFGDKTKRLRQACFKAKYKQRRLFSSSS >fgenesh1_pg.C_scaffold_8002683 pep chromosome:v.1.0:8:22686195:22688187:-1 gene:fgenesh1_pg.C_scaffold_8002683 transcript:fgenesh1_pg.C_scaffold_8002683 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLRLTIRLDCFAYDYKSFKLKSLASPFCLLDFFNIGDLKPVRMHDIKTAKEVSMHEEEDRRAREKIEIAKAKKESLKLAEQEKEIRRLEKSKRKGKTKQVEEDKGKVKPCQDHVEEKDVNPPPSICRGCNYAIEDGIYANAFGVLWHPLCLSLHGQQPIARSEIPNCYVCEKKISLTSEGRKFNVHPFWKEKYCFSHDDDGTPKCCSCERLESCGTKYVNLEDGRRLCREYRETISSSSLIKDSQFQQKEALNKAEKEEKIDNHYGMATRGICLSEEQMVTSVSKIKRQRMGPNKQLVLEIVPKSQMVLRKCEVTAILILYGLPRFLTGYILAHEMMHAWLRLNGYRNLDMVLEEGLCQVLGHMWLEPQTYANPDIAAAASSSRTLPATTTSKKGEPSEYEKRLVKFCKDQIYRNR >fgenesh1_pg.C_scaffold_8002729 pep chromosome:v.1.0:8:22878992:22881018:1 gene:fgenesh1_pg.C_scaffold_8002729 transcript:fgenesh1_pg.C_scaffold_8002729 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTISLSISSNVAASKNLSSFQSPAFIAPPPTISFPAKSKPGELSLSSTTLSKSRVRAGASQLMNEPLNDQRSFSSPTVVEVDLGDRSYPIYIGAGLLDHSELLQRHVHGKRVLVVTNDRVAPLYLDKTIDALTRGNPNVTVESVILPDGEKYKDMDTLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGYAAASYLRGVNFIQIPTTVMAQVDSSVGGKTGINHRLGKNLIGAFYQPQCVLVDTDTLNTLPDREMASGLAEVIKYGLIRDAEFFEWQEKNIEALLARDPAALAFAIKRSCENKADVVSQDEKESGLRATLNLGHTFGHAIETGFGYGEWLHGEAVAAGTVMAVDMSYRLGWIDESIVKRVNKILERAKLPTTPPESMTVSMFKSIMAVDKKVADGLLRLILLKGPLGNCVFTGDYDREALDATLRAFSKS >fgenesh1_pg.C_scaffold_801000001 pep scaffold:JGI8X:scaffold_801:75:863:1 gene:fgenesh1_pg.C_scaffold_801000001 transcript:fgenesh1_pg.C_scaffold_801000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MY53] ALNYTIDLILSHEEKNSSDTENDSEVNLFATEAFGKIFEGLADCLTSPRKTSEDLELCRNVIMILALAASSGNSGYELLSNHKLPQDTNFLMVILHLLVAEIDSESTEFRPKAEILKARTLLMREILILLNRLVSGLSSSATILKELTKSRDMASLTVDAATRLSRKRNLLGQPENSVQRMRNTEIMDLARIFKRRVFAFLGDNTI >fgenesh1_pg.C_scaffold_813000002 pep scaffold:JGI8X:scaffold_813:2308:2837:-1 gene:fgenesh1_pg.C_scaffold_813000002 transcript:fgenesh1_pg.C_scaffold_813000002 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVSSTEVIVPEEETRSLEEEVIKLMKLMNLESLGNRESRLTGLEDDGNLKTESRFQEALQEESSSSSVKWGFAEAKDEVVSLGIRLTHALIKLTLLRVHKNEREKQLWLRNWIFGESYKKQSALMYLLDYLLDKSGWRAEQVLG >fgenesh1_pg.C_scaffold_823000002 pep scaffold:JGI8X:scaffold_823:3628:4106:1 gene:fgenesh1_pg.C_scaffold_823000002 transcript:fgenesh1_pg.C_scaffold_823000002 gene_biotype:protein_coding transcript_biotype:protein_coding MICHMCERDSFVDGGDGFFYCLQCCTRLEGIIQTAGVDGRELYRKQNTRPKPRPKFVNLVPSETTSYSGSGYVGPEVSHLGWGRWYTLRELEAATNGLCEENVIGEGGYGIVYSGILTDGTKVAVKNLLNNRIDPLLVFG >fgenesh1_pg.C_scaffold_831000001 pep scaffold:JGI8X:scaffold_831:1576:2975:1 gene:fgenesh1_pg.C_scaffold_831000001 transcript:fgenesh1_pg.C_scaffold_831000001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKKLMKSLPRSKLPGAYTGVCSQQHVPSYKSHIDKFKAKGIDSVVCVSVNDPYAINGWAEKLGAKDAIEFYGDFDGKFHKSLGLDKDLSAALLGPRSERWSAYVEDGKVKAVNVEEAPSDFKVTGAEVILGQI >fgenesh1_pg.C_scaffold_845000001 pep scaffold:JGI8X:scaffold_845:55:1671:-1 gene:fgenesh1_pg.C_scaffold_845000001 transcript:fgenesh1_pg.C_scaffold_845000001 gene_biotype:protein_coding transcript_biotype:protein_coding MMINEGFKNGFDTSLVHLRRLPSYDGLLHLRRQSLTLNSRVFYGARHVTPAAIRVGSIPVQSLLFRAPTQLTGWTRSWGHRVSFSHRSDGLDLLSSSPIVSTNPTLDDCLTVIALPLPHKPLIPGFYMPIYVKDPKVLAALQESTRQQSPYVGAFLLKDGASTDSSSCSETNNVVHKFKGKGKPKKKRRKELLNRIYQVGTLAQISSIQGEQVILVGRRRLRIKEMVSEDPLTVNVYHLKDKPYDKDNAVIKASYVVVISMLRDVLKINSLWRDQVQTYTQACLLHI >fgenesh1_pg.C_scaffold_85000005 pep scaffold:JGI8X:scaffold_85:17206:19497:-1 gene:fgenesh1_pg.C_scaffold_85000005 transcript:fgenesh1_pg.C_scaffold_85000005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW96] MDKWRICKSIRIKGERQMSQNGDMRRITATSLAERWQVSHKGDKCQGVMTSVTITMCMRDNSIKFRFWFNCGERRSVRRQGLIESARFLSCASSGRVMREPSMLVREAAAEQLEERQSDWAYFKPRHSRSLSATLQPRHSRSLLQTSSFFSFDSLPLLNSAADFGVDGGEVPFWCRLRFKIGVKVKPRKSFTLKATKATRIRRRHLSHLNIHG >fgenesh1_pg.C_scaffold_862000001 pep scaffold:JGI8X:scaffold_862:3020:3507:1 gene:fgenesh1_pg.C_scaffold_862000001 transcript:fgenesh1_pg.C_scaffold_862000001 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKSSLIDPDGGELVELIVPESEIGAKRAESETMPKVKLTKIDLEWVHVISEGWASPLKGFMTEDEYLQSLHFNSLRLKNGTFVNMSLPIVLAIDEETKEQIGSSKNVALVSPQGDIIGSLRSVEIYNLEN >fgenesh1_pg.C_scaffold_883000002 pep scaffold:JGI8X:scaffold_883:2544:2921:1 gene:fgenesh1_pg.C_scaffold_883000002 transcript:fgenesh1_pg.C_scaffold_883000002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFVMVVGASGIGDGGDKKYNYKVVAWTNEDDRRQTKIVTTNADPEFREVLHLPQNKAASFLNLEFFSVNSADTDAFFCGRANTALPMKTNANVYRKVKLENLETSGNIVTVGYLEVYLGLETG >fgenesh1_pg.C_scaffold_890000001 pep scaffold:JGI8X:scaffold_890:17:3108:-1 gene:fgenesh1_pg.C_scaffold_890000001 transcript:fgenesh1_pg.C_scaffold_890000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MYA8] MAITQILVLFASALLLSMFFTGVDSTRSNETWHEHAVENPEEVAAMVDMSIRNSTERRRLGYFSCSTGNPIDDCWRCDRRWQSRRKALANCAIGFGRNAIGGRDGRYYVVNDPNDDNPVNPKPGTLRHAVIQEEPLWIVFKRDMVITLKEELIMNSFKTIDGRGVNVHIANGACITIQFVTNIIIHGIHIHDCRPTGNAM >fgenesh1_pg.C_scaffold_90000001 pep scaffold:JGI8X:scaffold_90:18:1614:-1 gene:fgenesh1_pg.C_scaffold_90000001 transcript:fgenesh1_pg.C_scaffold_90000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWA8] MERGRNLDQKSYFLILPHSQWSDHFFTVSITDSDLDVLAREIEEFKPKVRENIFSLSSDAIKRKILSIHLLINLGLSYHFENEIEETLKEAFEKIENLIADENDLYTISIMFRVFRTYGHNMLSDVFNRFKGNNGEFKESLIENVKGMLSLYEAVHFGTTTDHMLDEASRFTLDHLEPLTTGHTAIPLHILKLIRKALHIPQHRNSQALVARAYISFYEQEEDHDETLLKLAKLNF >fgenesh1_pg.C_scaffold_9000001 pep scaffold:JGI8X:scaffold_9:35:711:-1 gene:fgenesh1_pg.C_scaffold_9000001 transcript:fgenesh1_pg.C_scaffold_9000001 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNATDLSVLLGPNATEAANYICGQLSVVDSKFIDTAFAIDTTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGYAFAFGSPSNGFIGKHYFGLKDIPTATADYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSVDGWASPFRTDGDLLFRTGAIDFAGSGVVHMVGGIAGLWGAFIEGPRLG >fgenesh1_pg.C_scaffold_9000002 pep scaffold:JGI8X:scaffold_9:78397:79603:1 gene:fgenesh1_pg.C_scaffold_9000002 transcript:fgenesh1_pg.C_scaffold_9000002 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESDIKESKRVVEPVDDEDCAVFFEPLANDAKRTVVNLQCSHRFHLDCVGSFFNIKNKMECPCCRQIEKGKWLFAKPVDLDPPYRDIDVLRDQLERDDDILLDMPTRVESDGVPYFFHSDMDRILTNTGMLIPNVPSQITDNGNARINRWRESRNTTLDARMQRQIDEHVAIIVDSESRLHKMLCELYGCERSGGGGGENSGGEAVAEAGEAAEGAEMTEE >fgenesh1_pg.C_scaffold_9000008 pep scaffold:JGI8X:scaffold_9:202836:204432:-1 gene:fgenesh1_pg.C_scaffold_9000008 transcript:fgenesh1_pg.C_scaffold_9000008 gene_biotype:protein_coding transcript_biotype:protein_coding MDELHEAAIAYYNNGSMEQQNLSWQFFRAMDVNGDGRVSLQEYTEFLRQTAGLAWVHPEMFRELDRNGDGQLDFWEVLTLYYVARTRTISCRTCLRILNGLYFTCVTCFESPCGNTFDLCVKCYMRRTYCHPHRLFLDSYVLLRSRRIHHPLPPGDQNLAEQQPSRMGWWNALRAMEVALAVGHLSAFCIIM >fgenesh1_pg.C_scaffold_9000013 pep scaffold:JGI8X:scaffold_9:235267:236654:1 gene:fgenesh1_pg.C_scaffold_9000013 transcript:fgenesh1_pg.C_scaffold_9000013 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTKKQQVDGEVNNCENTSKEKKKSREKLYRPDSSFIVEAGKTPTNTSLPSLMSWRTTMSLAFQSLGVVYGDIGTSPLYVYASTFAQGINDKEDVIGVLSLIIYTITLVALLKYVFIVLQANDNGEVIFRLVEQAS >fgenesh1_pg.C_scaffold_9000014 pep scaffold:JGI8X:scaffold_9:237813:240424:1 gene:fgenesh1_pg.C_scaffold_9000014 transcript:fgenesh1_pg.C_scaffold_9000014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:D7MV71] MGLIPNQEPEDRELSNYTLEHPTKQLTRAHMIKEKLENSKFSKILLFLVTIMGTSMVIGDGILTPSISVLSAVSGIKPLGQDTVVGVSVAILIILFAFQRFGTDKVGFSFAPIILVWFTFLTGIGLFNLFKHDITVLKALNPLYIIYYFRRTGRKGWISLGGVFLCITGTEAMFADLGHFSVRAIQISFSCVAYPALVTIYCGQAAYLTKHTSNVSNTFYDSIPDPLYWPTFVVAVAASIIASQAMISGAFSVISQSLRMGCFPRVKVVHTSAKYEGQVYIPEINYFLMLACIAVTLAFRTTEKIGHAYGIAVVTVMVITTIMVTLIMLVIWKTNIVWIATFLVLFGSIETLYLSSVMYKFTSGGYLPLAITVVLMAMMAIWQYVHILKYRYEMREKISRENAIQMATSPDVNRVPGIGLFYTELVNGITPLFSHYISNLSSVHSVFVLISIKTLPVNQVTSSERFFFRYVGPKDSGMFRCVVRYGYKEDIEEPDEFERHFVHCLKEFIHDEHLMSGDGGDLDEMGKEEEPNAETTLVPSSKSVPASSGRIGSAYSSSPEKIRSGRFVQVQSVEDQTELVEKAREKGMVYLMGETEMTAAKDSSLFKKFIVNHAYNFLKKNCREGDKALAIPRSKLLKVGMTYEL >fgenesh1_pg.C_scaffold_9000024 pep scaffold:JGI8X:scaffold_9:324519:327595:1 gene:fgenesh1_pg.C_scaffold_9000024 transcript:fgenesh1_pg.C_scaffold_9000024 gene_biotype:protein_coding transcript_biotype:protein_coding MANRVKEDEKNEKIIRSLLKLPENKRCINCNSLGPQYVCTTFWTFVCTNCSGIHREFTHRVKSISMAKFTSQEVTALKEGGNKHAKEIYFKGLDQQRQSVPDGSNVERLRDFIRHVYVNKRYSNEKNDDKSPIETRSSSGSRSPPYEDVYDRRYSDRSSPGGRSPGFEPGSRNVGNNRKSPARPEILNDWRREDRFGGKKTSEEGSQSPEQVKDLGSASPPVARPVREILGDSVIPLRVGEPPKPPVSRNSDVSAHAKSATPLSSLMSTNEKPPEAKLETALSLIDFDTDFEPPAPSVAIQAPSTTPQPVPQPASSSNDNWASFDAAPSAPSLNVSQPPPNGNTLDSILSQLAVNSSVPGQTSIPSNGPVHLGHSTSQIFAPFPNEHSSEQPWNTELASNVHRSMSEPSLQPLHGVPSGGQQSSEVKPSGRSELPADLFAVTYPSYHAPAPGWQAGPPHGMHYGMQQYNNPVPYQNVPQPAKSMNPFDFSPGPPSQTQTENLFPSMAPLQGALPPSGMMPSQGVHNQFNIPSQVSTHPSAMPPRYMSSQLPRSMPPSNVNPIGNINASYDAQQTYQNFGSSFAAAVPLNPPSFQSGGNPFG >fgenesh1_pg.C_scaffold_9000035 pep scaffold:JGI8X:scaffold_9:464043:465702:1 gene:fgenesh1_pg.C_scaffold_9000035 transcript:fgenesh1_pg.C_scaffold_9000035 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVGQEQNFKNPRIEGLIEDTCFKYILRIPKGVLKLNMKVITALAKFYDVNHNAFAFGETGNEFYVDIGLQDILYTTTLPIDGLQSEEVEQRFEKVPDDVTDLMPYQKAYLFFLVGNLLVATSTGACRLVCSLVFIKHGLKLMKQDLMVNDTTRCHGFGYALMIFALERFPCLCHALGIKEWLILFPKGNDKKCTKSHLRDKLQNLSENEVTWEPYKSSTRNLELSHEYKDHISYNRPHQFFKQLGLEEVSIPDDIPDMNIHPEKKLSKYKEKNWKKYGDYGKVNNYSEKRHDYVLLNVRLHDHDENDDTHSESHEQHSQVTSITSIYCILP >fgenesh1_pg.C_scaffold_9000037 pep scaffold:JGI8X:scaffold_9:520530:521279:-1 gene:fgenesh1_pg.C_scaffold_9000037 transcript:fgenesh1_pg.C_scaffold_9000037 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYECLVSRRSYNSSKLSKKVNKNVLAEGERIAKLIPMVSSSDSGNIRIVKQETNFIVSDDLVVTPMKSSSTISLLSKLQMNISDIEEQAISLLRASLITTSALSNGAKLESYLDHVRDHVDCDVAIFHGGKNELIPVECSYSVKSKVPRATVHVIPDKDHITFVVGRQKDFARELELIW >fgenesh1_pg.C_scaffold_9000038 pep scaffold:JGI8X:scaffold_9:522045:522532:1 gene:fgenesh1_pg.C_scaffold_9000038 transcript:fgenesh1_pg.C_scaffold_9000038 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDNFETQACKNLLLYPRSIKESDYTKLKLNVDDTEASSNISTSRSCSCGSSMTREFQFEEGEEVDGVFLSCRTSFIITDDLNVALNSMGLVLNVLNDHGYDGFDKLQEMLIDVGFEEVFSHFKNSLQE >fgenesh1_pg.C_scaffold_9000043 pep scaffold:JGI8X:scaffold_9:594438:594803:-1 gene:fgenesh1_pg.C_scaffold_9000043 transcript:fgenesh1_pg.C_scaffold_9000043 gene_biotype:protein_coding transcript_biotype:protein_coding MCIQITNDGVAKAVVKLPLLEDLDVSYCGFSGESLRVVGPSCPNLKTLKLNRSPAIGFFYCEPNNIAIAIAESMPELHNLQLFGNGLNNTGLNAILDGCPHLEHLHLRRCFNIKLVGVLKK >fgenesh1_pg.C_scaffold_9000045 pep scaffold:JGI8X:scaffold_9:638259:639033:1 gene:fgenesh1_pg.C_scaffold_9000045 transcript:fgenesh1_pg.C_scaffold_9000045 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNAREEHPYNDPSAWFVRYLDHQGDWLEKTRGNLVVAATVIAAMSFGVMVNPPGGVRQSEDCSPKGQTVQTRTCEGKVGTSILEHHPTKRFFYLGMVISNLVSFSASMGIILLVITGFRFRNRLIMTIMVMFMVVAVLCISAAFFFAAALVQHDDDFIQNILQIYVGFWVVLPVLILLIQLVRFLWWVIRLICCGSGLRRRSTPRLLPLALPPVP >fgenesh1_pg.C_scaffold_9000050 pep scaffold:JGI8X:scaffold_9:680014:682711:-1 gene:fgenesh1_pg.C_scaffold_9000050 transcript:fgenesh1_pg.C_scaffold_9000050 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGQENDRRRQRPSNSGPAVFPFPKLTYDSISAYNLKDSILLEMQPATRHAVQEHLITAAATTGTLVRKGITETKEKVSVGKIKMEEGVASSDVFGVPIEIIVQRQESSRPIPLILIKCVDYLILTGLNSPNLFKAEGDKKLIQQLVSAYNQDPSVSIPEGVNLVDVAALMKYYLATLPTPFQAP >fgenesh1_pg.C_scaffold_9000052 pep scaffold:JGI8X:scaffold_9:697642:699936:-1 gene:fgenesh1_pg.C_scaffold_9000052 transcript:fgenesh1_pg.C_scaffold_9000052 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSLERGISISSSFNLDRMFDSSPGKEQQQAHLVESTMPESQNQESLGGSPVETSRPMTSRLISRRQDKQQSETEMMKDRFTKLLLGEDMSGGGKGVSSALALSNAITNLAASIFGEQTKLQPMAPDRRARWKKEIEWLLSVTDHIVEFVPSQQISKEGVCTEIMVTRQRGDLLMNIPALRKLDAMLIDTLDNFRGHNEFWYVSRDSEEGKQARNERTKDKWWLPPVKVPLNGLSESARRMLHFQKDSVSQVQKAAMAINAQVLSEMAIPDSYIESLPKNGRVSLGDSLYKSITEEWFDPEQFLSTLDLSTEHKVLDVKNRIEASIVIWKRKLHLKDNKSSWGSAVSLEKRELFEERAETILVLLKQKFPGLPQSSLDISKIQYNKDVGHAVLESYSRILESLGYTEMSRIDDVLYADSLARKQCTGEETSDGGKLATETDSARSSNYSGEETEKLESQNSSKTTLLDFIGWSDNSSKGQSEKPPKSPKMTPKKFSYLEKLENLNGFRSPKDRH >fgenesh1_pg.C_scaffold_9000054 pep scaffold:JGI8X:scaffold_9:711169:712086:1 gene:fgenesh1_pg.C_scaffold_9000054 transcript:fgenesh1_pg.C_scaffold_9000054 gene_biotype:protein_coding transcript_biotype:protein_coding MELCFERLRPQTNQIPWLILFPRENETNNTNSCVLFIPDDEDKVYKTRDLGLDFAKSSCLATYGSWFLMLDHLFNLYILNLLTLERIELPHFPSHYYPDTLKRYHSCNIACLWIDDITKDYLVVWIINDDIVFTKNGDDTWRDVHPSELQTYDQIVYNHRDHKVYTFVDYNNLYVWDFSIDITREDWYSLNLCDSVYEGPRQYTVDQNYNPLGSWIHVSTTVPGQILSVDWTLCDVSKTWKFYIYQLDSLTWRWVTVDSLGDDALIVDMGFTVVAKDIPGIKKNSIYFTGNSHPIMNIYQPYIRL >fgenesh1_pg.C_scaffold_9000065 pep scaffold:JGI8X:scaffold_9:816901:818711:-1 gene:fgenesh1_pg.C_scaffold_9000065 transcript:fgenesh1_pg.C_scaffold_9000065 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKLSSFCFLTHGLAGISCEREHGSSRRYFLSPLSSISFYFVDVMHQSFSSEVTAKMYASKFGVDEKKMMERLWGENFFDPVTKKWTTKPTGSATCKRGFIQFCYEPIKQIISTCMNDEKDKLWPMLTKLGVQMKSDEKELMAKPMMKRVMQTWLPASTTLLEMMIFHLPSPSTGPLHRSIALTICMRGRFFAFGRVFSGKVATGMKVRIMGPNFVPGEKKDLYVKSVQRTVIWMGKKHETVEDVPCGNTVAMVGLDQFITKNATLMNEKEVEAHPIRAMRFSVSPVVRVAVQCKVALVCFLFETGKFLVSPKQQLPILRRGKQHSTGLYKKEKHNIVSQFTAEKDMKHERATKNNGKCLLAWKHLCSLAEKIQKMLLGLYQNHKRSGSIS >fgenesh1_pg.C_scaffold_9000066 pep scaffold:JGI8X:scaffold_9:821441:821803:-1 gene:fgenesh1_pg.C_scaffold_9000066 transcript:fgenesh1_pg.C_scaffold_9000066 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTPNKSTSSNKRKANDAAPSSGSVVGEHESRPPGIKAMKKLRKTKGKEKASASAAPSAASATPSAEFSKMFELKQKDVEGMKELQKLSILDSLIAKKENLDEEDKIVKKKLVAELFLT >fgenesh1_pg.C_scaffold_9000067 pep scaffold:JGI8X:scaffold_9:840329:840868:1 gene:fgenesh1_pg.C_scaffold_9000067 transcript:fgenesh1_pg.C_scaffold_9000067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVD4] MKKVLNLRKLLISNRGRFSRASFSSNTEDGDGSSSHPVSPTPPLSPVSPTPSLSPLSPTPPLSPVSPSLSLRSPPISPVATPPLSLEEMRISQARGTREYWEEKRFWIEKDHEKDQFDKDDQFVKSTWTDDDIEDWAFGVEKDAQVEEWDLESKVSFWKQRNFEAFLSAKMARDNYGLK >fgenesh1_pg.C_scaffold_9000068 pep scaffold:JGI8X:scaffold_9:842008:844945:-1 gene:fgenesh1_pg.C_scaffold_9000068 transcript:fgenesh1_pg.C_scaffold_9000068 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKATCLPSMKELSAHGRVDLANVVRRRGYKFIRELRANSDMEDGCNELLSEENKKMEASSSGIPTSKFGQAEQALDVVDERLVRNAGGLPIEEGVMFRAWGNSLVNLGDSNYSEEIIENILKIESVEVDNRADIECSSSEASVSAAHSLVLDDTSSCPDREADNVLIAEDEKISDVGGDIPLTSPDLNYTSPDLNYTNHVDIDTESFSDDESDIPNESSPEETKESAETSKGETCFEMIQTMKRENQVEITRLRFMLRQKEQELSRLKEQIEKEKLSLSVLQRQAENEILKAQMLVSVKDVELQEAEESLSGLQEETEMLVESTVVISRYI >fgenesh1_pg.C_scaffold_9000072 pep scaffold:JGI8X:scaffold_9:877791:878865:1 gene:fgenesh1_pg.C_scaffold_9000072 transcript:fgenesh1_pg.C_scaffold_9000072 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDPSRDKKFSCGYASGLIYFYSMWINLKDDYDEGPVICNPNTGQYAILPSLERGVERFEKAFSFFGFDPIDKQYKVLKPHQGCGGSHKIVTLGNGCCCDHKIVTLGTGKMRWRTIKCPLPHDPDNHIGWKDHVDAIELNVWILEDDDKQEWSKYTYALWDDKFFVDDEKYAPVVVVGVTSTGEIILSMGYYTSDQPFYVFYFNPKKNTIQRVEIRGLEECDYDHLRNKVYTFVDHVEDLNVNDSKLLNSSIYAPYVKTKEEEKDEE >fgenesh1_pg.C_scaffold_9000073 pep scaffold:JGI8X:scaffold_9:880826:881835:1 gene:fgenesh1_pg.C_scaffold_9000073 transcript:fgenesh1_pg.C_scaffold_9000073 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYSPIDRQFACGYASGLVYFFGMWIKGKDIYHGVPVICNPNTEKYVTLPYLLRYRKSFSFLGFDPIDKQFKVLFMAYPGCSDHHKILTLGTGKMRDGENDVDAIELRVWVLEDAEKEEWSKYTYTLRDEKFFVDGYSSIVVVGVTTMGDIIFSMGYYESKQPFYVFYFNPGRNTLQRVEIRGFEEYYGKHSVYTFVDHIEDLNVSDAKLLKSSIYASYLKTKEDDDEEDDEEEEEEDEEEEEEEEDEEEEEDDDEAEE >fgenesh1_pg.C_scaffold_9000075 pep scaffold:JGI8X:scaffold_9:907919:908842:1 gene:fgenesh1_pg.C_scaffold_9000075 transcript:fgenesh1_pg.C_scaffold_9000075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MVE2] MIQMDGGDRLRVTLFDRMSTVENGRSSVTLEDILMAETSSFRSLTAPPSPARNHSNSSLLDVMRRERRRDKTAWKSLRDKLRLKRAATGWISSNPIPNLDNPILTPDSDSHRFNRLGFLLSNSETNRSNGDVSDGGEEAAEREGRLRLGTVLAADREEMQPPRMSLMELLEDNDGQMYEVNAREEAEAEERDCCGRGGEAVAVTGAAELGCCVCMIRSKGAAFIPCGHTFCRLCSRELWVQRGNCPLCNTAILQVLDIF >fgenesh1_pg.C_scaffold_9000078 pep scaffold:JGI8X:scaffold_9:923824:925699:1 gene:fgenesh1_pg.C_scaffold_9000078 transcript:fgenesh1_pg.C_scaffold_9000078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MVE6] MEYILIFGFVSTLLLIIKTDATRVHGVKRIDINQEAGFDENYVVTWGQDHVFKSYQGKEVQLSMDYSSGSGFESKNHYGSGLFQMRIKVFGNNSAGVVTAFYLTSKGDTQDEVDFEFLGNIEGKPITLQTNVYTEGKGNREQRFSLWFDPTTDFHTYGILWNPYHIVFYVDNIPIRVFNNNTSTGMSYPSKPMQVVSSLWNGENWATDGGKTKINWAYAPFKANFQGFSDSGCHVDDLSNNSKACGSSMYWWNTMKYNCLSIYEQKVYKNVREKYMNYDYCSDQARFPIFPNECRYNEI >fgenesh1_pg.C_scaffold_9000079 pep scaffold:JGI8X:scaffold_9:927775:929140:1 gene:fgenesh1_pg.C_scaffold_9000079 transcript:fgenesh1_pg.C_scaffold_9000079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MVE7] MNMIKYLFEFVSVLFLMFTANTRARGRGGTDFDVHYVVTWGQDHILKLNQGKEVQLSMDQSSGSGFESKSHYGSGFFQMRIKLPPRDSAGVVTAFYLTSKGNTHDEVDFEFLGNRQGKPTAIQTNVFTNGQGGREQKFVLWFDPTTSFHTYGILWNPYHIVFYVDKVPIRVFKNNKRSGVNYPSKPMQLETSLWNGEAWATNGGKDKINWAYAPFKAQFQGFSDHGCHVNGQSNIANVCGSTKYWWNTRTYSRLSANEQKAMENVRAKYMNYDYCSDRPRYPVPPSECRWNI >fgenesh1_pg.C_scaffold_9000085 pep scaffold:JGI8X:scaffold_9:1029339:1029962:-1 gene:fgenesh1_pg.C_scaffold_9000085 transcript:fgenesh1_pg.C_scaffold_9000085 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIPNPLKADIIRRIGSQSFRYLHPFITAGPWFKEIVYSREVLLDVDLDEFMFNTRLAEGHKTARYIESLRRLTQVGPSVEALEMLGEVAYSDLYTMFAFAVMLLCCGSYEQGMIVTRNFMARVETLQDAMDIADVVESQVRNIGHGGCKVFSGYIHFLEYPICYFDHNTDCTVCQHCLVFSYANKFHEIC >fgenesh1_pg.C_scaffold_9000089 pep scaffold:JGI8X:scaffold_9:1046378:1047793:-1 gene:fgenesh1_pg.C_scaffold_9000089 transcript:fgenesh1_pg.C_scaffold_9000089 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRQRPGKVPMTEERSEPQDIQWTHGEKTSRSDFEKIKVHPTRFVDRFFLRQMGLAEGVDDLLQRIGMSCLKDMYYPTFEEETRDFLSTVKVEYEKPRDKVASQVTLKELCLLYQGLKHLLIDMRGVLLEYEFGDEINYGSIFANNLLQFKTWAKTTPNPDLYIGGMLTPLFKKAGVDLSSSRELPDMAYLSRDYLILSRFLKATRDLNTLHYKIQLRDKTKKIFVLPQPDKTNILTLTNVKFEVGEEDLLQEDQGPMELSDGDGEDAAEPRDYLEELNMIQMPDIEQHSETTRRCKTS >fgenesh1_pg.C_scaffold_9000103 pep scaffold:JGI8X:scaffold_9:1233713:1234879:1 gene:fgenesh1_pg.C_scaffold_9000103 transcript:fgenesh1_pg.C_scaffold_9000103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MVG5] MNHLSPPENKIPTLNFDHLEVFSALGRGSKGVVFLVKADNEWLALKVILRESIETKKTKDEYKRISFEQGVLSRFDHPLFPRLHGVLSTDKVVGYAIDYCPGRDLNSLRKKQSEEMFSDEIIRFYAAELVIALEYLHNQGIVYRDLKPDNVMIQENGHLMLVDFDLSTNLPPRTPQSSSSSPRLSTATRKERSLFAFSGFCNSGISPDDSVSRISESSSSGEKSNSFVGTEEYVAPEVITGSGHDFAVDWWSLGVVLYEMLYGATPFRGSNRKETFLKILTEPPSLVGETTSLRDLVRKLLEKDPSRRINVEGIKGHDFFRGLDWDLVLKVSRPPYIPAPGNYEISKIDVEKFVHEIFAKCEHNEIFVDHMI >fgenesh1_pg.C_scaffold_9000109 pep scaffold:JGI8X:scaffold_9:1281977:1283170:1 gene:fgenesh1_pg.C_scaffold_9000109 transcript:fgenesh1_pg.C_scaffold_9000109 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYPLSKLNPDTSEWRIRAKVLAIWQEYYDHYSTVDVVLVDDKGGKIHGIIPMELMPQFSSRIVENRWIVITDFILRPVVDALKPVAHRFELERSGDGFYDFVDFGRILNVVHDTSICVDIIGKAINVSKITSFGCHVYEDQVEHIVFDLQDTSERVLRCVLSITDALPLYRLWMTDPSDVIICVLRFVRVEFREGMWICSGVRCSKLLLNPSIPGVKKMKSVFLIKHGPVAKKQKIKD >fgenesh1_pg.C_scaffold_9000112 pep scaffold:JGI8X:scaffold_9:1289552:1290172:1 gene:fgenesh1_pg.C_scaffold_9000112 transcript:fgenesh1_pg.C_scaffold_9000112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVH3] MEVNKQNTNSTHISQTDECIVAIQQAASWVLSVDRLRGLFVMLMDVFCEADPLSVWDATWDVLFKDVLFPQQIIFNDHEPEYAYNEIQNFETFMRKENSTFNVYAELRKRVALAGGLTCDPSLEYKMRDQFNNLKID >fgenesh1_pg.C_scaffold_9000113 pep scaffold:JGI8X:scaffold_9:1297181:1299745:1 gene:fgenesh1_pg.C_scaffold_9000113 transcript:fgenesh1_pg.C_scaffold_9000113 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFTSSSIERYNDFSRLNPAIVGWHVHVKVLRRFHTDDYISKGGLGLLLVDDKGNQIEALICSPLTSHYSTFIEEDEFYTIMNFRVVENSGFTKLTRSDFKIMFYDGTIVKEADMSSRFTSSSIEQICSLSSLNPLIHEWSTCVKILHVWHELDDVSNALNLILMDNQGTKIRAVIRESLVTRFSPLLIEGLWMILRKVSLISDVDLVRTTPHRFKIQFSPDTCVEYLNYLACDYDYFNFARFRDIRTGISNPYICVDLVGKVDNVNDIQLVQMVGSSKDISVVYFDLIDTEHTRLSIRLTGETAVRFHRQWKVNTDDVVICIIRFAKIVATSNRMWHCTNIGCSKIMVDAPLPGVVELKEWWADYCRSPRTGVKEARLA >fgenesh1_pg.C_scaffold_9000128 pep scaffold:JGI8X:scaffold_9:1406087:1408740:1 gene:fgenesh1_pg.C_scaffold_9000128 transcript:fgenesh1_pg.C_scaffold_9000128 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKPKILSSGSLSMNQKRKGSSLRRLFSLVFFLAMIFLIGNAFITVDYKEGIAGWSSIIRLNLAKLKMCKAQLRPPGSETLPRGIVASTSDLEMRPLWGAKRYKKPKPNLLALAAGIKQKESVNKIVKKFRSSEFVVMLFHYDGSVDEWKEFEWSETAIHISVVNQTKWWFAKRFLHPDIVSAYAYIFLWDEDLCVDHFDATRYVSIIKEEGLEISQPALDPNFSEVHHQLTSRDNKSRVHRRTYKVIGRARCNESSTGPPCTGFVEMMAPVFSRAAWKCTWHMIQNDLNHGWGIDFQLGYCAQGDRTKNIGIVDSEYILHMGLPTLGGSAENKEVTIVTAYKQTDSGQLNKTKTQHDSASQISSGRSEVRKQTYAELETFKHRWKNAVKNDECWIDRFQT >fgenesh1_pg.C_scaffold_9000129 pep scaffold:JGI8X:scaffold_9:1409157:1411293:-1 gene:fgenesh1_pg.C_scaffold_9000129 transcript:fgenesh1_pg.C_scaffold_9000129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7MVJ2] MAVHIHNPNFFHTHRLRSSLTSASAPPSSYLFHRHSASKYHSFICKSSDKDDYLIDAPVSVGDGFSFSGGKYSDQPSPSDEWLKQGKWVKAHRVGGSGVEAKDPIFGLTMGASSQASKDLFRWFCVESGSVDSPPVILIHGFPSQAYSYRKILPVLSKNYRAIAFDWLGFGFSDKPQAGYGFNYTMDEFVASLESFIDEVTSSKVSLVVQGYFSTAVVKYARNRPDKIKNLILLNPPLTPEHAKLPSTLSVFSNFLLGEIFSQDPLRASDKPLTSCGPYKMKEDDAMVYRRPYLTSGSSGFALNAISRSMKKELKKYAEEMRTSLMDKNWKIPITVCWGQRDRWLSFEGVEEFCKSSGHNLVELPNAGHHVQEDCGEELGGIISRIISKSALF >fgenesh1_pg.C_scaffold_9000134 pep scaffold:JGI8X:scaffold_9:1486927:1487583:1 gene:fgenesh1_pg.C_scaffold_9000134 transcript:fgenesh1_pg.C_scaffold_9000134 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQSLPHHLVLYIVSLVAQADFHHLGPLIASGSEGASLAFNEYMLKATSITMIADHPHVVNINSYFCSFFERTIRARNPTAMYPESLRMVARDGLYGDAIACLAFKCEEYEGIAVMDKFRKTLDAEKDVEDVLEKVFHTMLEIVYPCHGAVCSNVTYCERRRSALSRFKKKISFNFEACDKCTENYLDHIW >fgenesh1_pg.C_scaffold_9000137 pep scaffold:JGI8X:scaffold_9:1517616:1521850:1 gene:fgenesh1_pg.C_scaffold_9000137 transcript:fgenesh1_pg.C_scaffold_9000137 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFKIDNEETEIKILSTSSLSPTHRFFIHHVGKDLNLSSGNKIQAGIKKEHISKFQRYVKKGDWKIIDDFSVNKATGQYRSTIHPYRINFHYSTVFSPSPSINNEVWLDLVDFTTIIAGNLDQNKLVDVLGQLVNVGEAQKIDVQGKPTKKIDFQLRVTDDNRLPCSLWGKFADQISKVAQESLGSVVIVLVRLPSDGLALTIMGPKPRFQPLKVREQRSLGLPLKTIAELKASYEKNDQPTDLKVDGVVDLDNPKEHDQPKFLHKLDEAGQEAITKVSEAEQKKVLLKKIKVEKIEGQKGAK >fgenesh1_pg.C_scaffold_9000141 pep scaffold:JGI8X:scaffold_9:1571125:1571733:1 gene:fgenesh1_pg.C_scaffold_9000141 transcript:fgenesh1_pg.C_scaffold_9000141 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATTICSDKTGTLTTDHMTVVKACFCEQAKEVNGSDAAIIFASSIPESAVKLLLQSIFTNTGGEIVVGKGNKTEILGTPTETALLEFGSSLGGDFQEVRQASNVVIVEPFNSTKKRMGVVIEVPEGHFWAHCKGASEIVLDSCDKYIKKDGEVVSLDEESTSHLKNIIEEFASEALRTLCLAYFEIGDEFSLEARIPSGQ >fgenesh1_pg.C_scaffold_9000150 pep scaffold:JGI8X:scaffold_9:1669482:1669940:1 gene:fgenesh1_pg.C_scaffold_9000150 transcript:fgenesh1_pg.C_scaffold_9000150 gene_biotype:protein_coding transcript_biotype:protein_coding MWINSIVLIVISRGLFSNYLLQHDLSDLMILEVAIETKEDVKPPSERLNEKNAIIYIRYHGKPQAGNPADCIAIIRRTFDKHNGVLRFRLVGKIQSAENIVKMGKNESLPLARRRLLGIHKRLAFSNDGLSLARHLKRQAYKYHAVRLARHV >fgenesh1_pg.C_scaffold_9000151 pep scaffold:JGI8X:scaffold_9:1680072:1680667:-1 gene:fgenesh1_pg.C_scaffold_9000151 transcript:fgenesh1_pg.C_scaffold_9000151 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNNFTRLDAQSAAKKAVSVIGLGYYLCSDVRFSACKTTPDGSRLVEIVPTRNRDLVFPGGIVVNNVSSSIKCDKGKRTRLRSDILSFNQMSEKFNQDMCLSGKIPSGMFNNMFAFSKCWPKDASSVKNLAYWFISLYIRVEIVRKQLTLRDEVKREVPSSWYSCSCWSEY >fgenesh1_pg.C_scaffold_9000152 pep scaffold:JGI8X:scaffold_9:1687558:1688528:-1 gene:fgenesh1_pg.C_scaffold_9000152 transcript:fgenesh1_pg.C_scaffold_9000152 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNKEIYGGFKRKKVEEEDKQALQLLKKEKTDNFIKVSNLPHVPLAKNIVGIYFELSSRVSILDFNKSNQSVTTFMQDWMIDNVVVPVVTIYGLAKEYEYLKYSINGYLTGSFSVDSIYAFSLYGTVDICVLNPKILTLCSSDNKTVEVIEKSQDLEQEINLWKYIFQSQCA >fgenesh1_pg.C_scaffold_9000153 pep scaffold:JGI8X:scaffold_9:1691786:1695114:-1 gene:fgenesh1_pg.C_scaffold_9000153 transcript:fgenesh1_pg.C_scaffold_9000153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVN6] MEQMELKFLALSLRESYKDGAVDPIQDYFELAGTCTWRFIILCCLLEYGHMEFRSTLRTSLALNLTWRKRIAFKLRKDKVSHHSRKHFNLFDRLINVMEWKPPQTKPYVSEPLEVLILVTYFSFSGDFAKQNFGNLTATLHEESALLRKVGSTLSGHHVIIFLLSVNAWHKNEEEKKKKDRCAIKRPSWQVEEIELQILALSLTKCFKDRAMAEEERDGKDKDEEPKKPCFIILSILQNARMSLSRKASRDQQFNSYRPNFIQIKWKVEN >fgenesh1_pg.C_scaffold_9000154 pep scaffold:JGI8X:scaffold_9:1712014:1712684:1 gene:fgenesh1_pg.C_scaffold_9000154 transcript:fgenesh1_pg.C_scaffold_9000154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVN8] MAPVGKGKASRGRGGGRGGRSGVRVFNGKNPSRGVGSSSHASNPSSSNATASASQTSRATTPAQYPPSSQPPPPPRLSSPQVSGQPPNYQQPPLNYQQPPPNYQPSPPNYQPPPPYQPPGPYQPPGPYQDQIHPHQIPQPQMSSQENPNDPPPDYQQMLENLLVLPGREHLPRLSRVPIPDVETIWYKSCLFC >fgenesh1_pg.C_scaffold_9000161 pep scaffold:JGI8X:scaffold_9:1750633:1751281:1 gene:fgenesh1_pg.C_scaffold_9000161 transcript:fgenesh1_pg.C_scaffold_9000161 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRRWTGSSTGLLEKRVSRWDTDVGPSVLVPVLEISLIVPEKRVWRCLSISLTYEYEMVEFEDETNATTWEDYLILPDSPNSTLPGFGIIISPIVLSDDDDDQIITSDPMVSSMALSFTYLTILDSLVTSFWWEYDAIRRGDTPTTKTEVPVIPAPIQWEPYCYTCGEMGHHYLRMCRFYRPY >fgenesh1_pg.C_scaffold_9000163 pep scaffold:JGI8X:scaffold_9:1793719:1795392:-1 gene:fgenesh1_pg.C_scaffold_9000163 transcript:fgenesh1_pg.C_scaffold_9000163 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSRTGGFRPENLGQNAVSLIGSIGFSIFVIGVVVFTITAATYEPEDPLFHPSDKITTFLSSNSDATLKSDDSVVKTGEDFMAANQTAFAGFINIADVETSENDSDANQLDCDSNVPIDCKDPEVFHLMMKATMEKFKDIHFYKFGKPVTVEGTSSCDMAWRYRPKDGKTATFYKDYRRFVIAKSENCSVSVVGIDEYHSGVNARKRKRPGFRNSSGGKVDDFSLPVVGEAVNDSLPAVESENAFKEGHYLVYSGGGDRCKSMNHFLWSFLCALGEAQYLNRTLVMDLSLCLSSVYTLSGQNEEGKDFRFYFDFEHLKEAASVIDQVQFWADWGKWYKKNGLKLHLVEDFRVTPMKLVDVKDTLIMRKFGTVEPDNYWYRVCEGETESVVQRPWHLLWKSRRLMEIVSAIASRLNWDYDAIHIERGDKARNKKVWPNLEKDTSPSSILSTLKDKIEQGRNLYIATNEPDVTFLNPMKDKYKTHLLDEFKDLWDESSEWYSETMKLNGGNPVDFDGYMRASVDTEVFLRGKKQIETFNDLTNDCRDGIGTCHVAAS >fgenesh1_pg.C_scaffold_905000001 pep scaffold:JGI8X:scaffold_905:352:3358:1 gene:fgenesh1_pg.C_scaffold_905000001 transcript:fgenesh1_pg.C_scaffold_905000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MYB4] SELEEFMEQQIDALPQNEMNNEMNPEEDGSSDDSDEMGLDEDDESSDEEDVEAEAQVPPSKKMSNGIHGIPKGGDKNKSSGKREPEDDVDTKVSLKKQKEDVIAAVQKLRKFQPRRLQPRSMLRLLLKRPRSESSSEDDSSSDEDSDDDSEDEKVAATKAASSSDSSDEDSDEESEDTTECLHGAIVVEKMRR >fgenesh1_pg.C_scaffold_908000001 pep scaffold:JGI8X:scaffold_908:5:3259:1 gene:fgenesh1_pg.C_scaffold_908000001 transcript:fgenesh1_pg.C_scaffold_908000001 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVYFSERNILYIHNSSDNKDSLLNTEDSLEEMDKLEFICSCAAESSDAGGSTLITITRIGIVLQLLELMSNSLESSSLKVPIFVISSVAEELLAYTNTIPEWLCEQRQEKLILGEPSFGHLKFIKDKKIHLFPAIHSPNLITSWQEPCIIFAPHWSLRLGPSVQLLQRWRGDPKSLLVLEDGISSGLGLLPFRPIAMKILQCSFLSGIRLQKLPTLLSVLQPKIVLVPDAVNQRINFAAMKTISILNYFENKTLRVPRIADNPSVEITTDLASKLSWRKLRQRENFGIARLKGGLLMEDGKHRLVSGLEQEESSGKARPLRHWGSVAPESLLDALLKIGIQGSLEQSIGETGSDDNSIIHIANPSSGLIEVSEMGTAIITDDENVGSQVLQAIDGILDALTSQLVWRQYYLNKSGHERATNRLNTRGIELAILDTIGELVESFAMLLQVL >fgenesh1_pg.C_scaffold_92000004 pep scaffold:JGI8X:scaffold_92:34244:35925:-1 gene:fgenesh1_pg.C_scaffold_92000004 transcript:fgenesh1_pg.C_scaffold_92000004 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFMFMIERALKQKKMAEKEIRGEERRRAHHDRMGERKTGEEIVDVVGGDDVGEEIVNGGTKNGSILFPNSKTGDASSSFLERVRNSVAENGPKLREASERTGREILLWTRRSSSLRALLVITMGTIFLLTTMALVVFTLFFVAATANAIIISLLISLAVAGGFLAFFFLCLTGTYIGALSVAAFVMK >fgenesh1_pg.C_scaffold_92000007 pep scaffold:JGI8X:scaffold_92:39426:40825:-1 gene:fgenesh1_pg.C_scaffold_92000007 transcript:fgenesh1_pg.C_scaffold_92000007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWB9] ACCVEYIILESDNLSSLYPNAALSIGGFQLDARHLFALLTTLAVLPTVWLRDLSVLSYISAGGVIASVLVVLCLFWIGLVDEVGIHSKGTTLNLSTLPVAIGLYGYCYSGHAVFPNIYTSMAKPSQYPAVLLTCFGICTLMYAGVAVMGYTMFGESTQSQFTLNLPQDLVATKIAVWTTVVNPFTKYALTISPVAMSLEELIPSRHIRSHWYAIGIRTALVFSTLLVGLAIPFFGLVMSLIGSLLTMLVTLILPPACFLSIVRRKVTPTQMMLCVLIIIVGAISSVIGSYSALSKIVEKLSS >fgenesh1_pg.C_scaffold_937000001 pep scaffold:JGI8X:scaffold_937:1815:4247:1 gene:fgenesh1_pg.C_scaffold_937000001 transcript:fgenesh1_pg.C_scaffold_937000001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPESLDKLKNVVSGIENFGCGEESEATAFLVIDSLIATMGGVESFEEDEDSNPPSVMLNSRAAIVSGELIPWLPGLGDSVKFMSPRTRMVRGLLVIIRSCTRNRAMCSTAGLLGVLLRSVEEIISKDVDMKWNAAAILLLCIQHLAGHSLSVDDLHRWLQVIKAAVTTAWSSPLMLALEKAMSGKESRGPACTFEFDGESSGLLGPGESRWPFTNGYAFATWIYIESFADTLNAATAAAAIAAAAAAKSGKTSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGIEAYFHAQFLVVESGSGKARKSSLHFTHAFKPQCWYFIGLEHSCKQGLLGKAESELRLYIDGSLYESRPFDFPRISKPLSFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMARLASRGGDVLPCFGNGAGLPWLATNDYVRNKAEESSILDADIGGYTHLLYHPCLLSGRFCPDASLSGAAGTLRRPAEVLGQVHVATRMKPVESFWALAYGGPMSLLPLTVSNVHKDSLEPCLGNLPLSLSTVTLAAPVFRIMSVAIQHPGNNEELCRTQGPEILARILRYLLHSLASLDRKHDGVGEEELVAAIVSLCQSQKINHVLKVQLFRTLLLDLKIWSLCNYGLQKKLLSSLQDMVFTEATAMRNAEAIQLLLDGCRRCYWMISEKDSETTFPLDRNTRQMGDSLL >fgenesh1_pg.C_scaffold_941000002 pep scaffold:JGI8X:scaffold_941:1530:2594:-1 gene:fgenesh1_pg.C_scaffold_941000002 transcript:fgenesh1_pg.C_scaffold_941000002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MYC6] MSSTTTVEGGAAEKRSTMTIGDERGDSSLSIVLKEGDDELDIVPEDDYDHAIIDGEDGGRVDKVAVDDDDHETIDGEDGGLVDLVAVDNADDAPPPPQTFLAFQLPNAHCKAENKSFLQKKD >fgenesh1_pg.C_scaffold_947000001 pep scaffold:JGI8X:scaffold_947:4834:5957:1 gene:fgenesh1_pg.C_scaffold_947000001 transcript:fgenesh1_pg.C_scaffold_947000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MYC9] MAIERSKVRHDFCETYGNNCQNVDRHCFEPGSSFLRQFLFFFKAQNSGDFLILVETCRLLQSFVDSSGDIVSLFSGLDYSFKHNLVDFRVKKLAFTCIEAIHQNRNRLRDQLLVTPEEASISTAILMEAVSLLLDPKLPWVCKIVSYLHKENVFKLVREMVTTAK >fgenesh1_pg.C_scaffold_960000001 pep scaffold:JGI8X:scaffold_960:1341:2560:-1 gene:fgenesh1_pg.C_scaffold_960000001 transcript:fgenesh1_pg.C_scaffold_960000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MYD2] FPFFTPLTSKPFFKPIKVSVSVSVQTPPPEFDFRNEIASDSRAAIATTFPELLDLADNGTLILVQKQSFGPVPAWRKEFVEPESIWLVGTSHMSSESASVVERVVRTVKPDNVAVELCRSRKVHFFIQLNLGLRQRRCCKQEACAAKAESSSEDDSSSEEEPSKKPAAKNAKAAAKDSSFSDEYSDDDSEDEKPATKKAARAATKAASISDASDEVVTSSKRFFLFSYFSIQFL >fgenesh1_pg.C_scaffold_964000001 pep scaffold:JGI8X:scaffold_964:1842:3842:-1 gene:fgenesh1_pg.C_scaffold_964000001 transcript:fgenesh1_pg.C_scaffold_964000001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRKFNSYLSKSVRLSKRRGAALLKNIKGVAHSMSLRVADKDVSDGSTDSPKKGKDHKHGKANEWVKVRPTGKSYKELSALHMCQEIQAHEGAVWTIKFSQDAHYLASGGADRVIHVWEVQECELMSMNEGSLTPIHPSLCDSAGNEITVVEKKRKGKGSSGRRNNHIPDYVHVPETVFSFSDKPVCSLKGHLDAILDLSWSKSQLLLSSSMDKTVRLWDLETKTCLKLFAHNDYVTCIQFSPVDENYFLSGSLDAKIRIWSIQDRHVVEWSDLHEMVTAACYTPDGQGLPPMPKKAATKSQIQPEEETGPELGSSESFRLSMNGSEQHSSRFGESPSINTSSRLSSWSWFDSGGHGPQTIQPTAWGMVIVTATVHGEIRSYQNFGLPRRIGRQTTLF >fgenesh1_pg.C_scaffold_991000001 pep scaffold:JGI8X:scaffold_991:607:1297:-1 gene:fgenesh1_pg.C_scaffold_991000001 transcript:fgenesh1_pg.C_scaffold_991000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MYE8] MLREFEALEKRQADLAKEKGQTSARERGKSQCDGESDAYFTDGVESSGSDENGLTVSELTAYANWGDLNDSDWSDDWAVPVMEEGGASAVEEPQDAVISNEWMEEPRHSRERDVGDEVLAYLNRSLDKPLSLQDTDDMGDEVGTMPPTNAGPSTVEEPQDAVISNAWMEEPRHSREHHVCDDVLAYLNRSLDKPLSVEDTDDMGDEVGTMPPTDAEPVFDDVIDLTNTDG >fgenesh1_pm.C_scaffold_1000009 pep chromosome:v.1.0:1:29681:31614:1 gene:fgenesh1_pm.C_scaffold_1000009 transcript:fgenesh1_pm.C_scaffold_1000009 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLKRDKGEDAVKGQAVKNQKALWDKTLEFRFLLQKAFDRSNRLPQEPVKSYFCSEDEDVSTAYTDLVTSSKKTLDFPLGALFEKNPSVDQQVNEKAVDKWQRRTQVTTGAAAIKGKLHAFNQNVSEQVASYMRDPSRMIKQMQQSRSTVAVFGIEKQQEGDPELVEDAEFYRQLLKEFLETIDPASPSDNQAAFYEMKKFQTKKRKIVDRRASKSRKIRYNVHEKIVNFMAPRPAKIPPNTADLLKNLFGLKTRNVQSEA >fgenesh1_pm.C_scaffold_1000016 pep chromosome:v.1.0:1:51526:52636:-1 gene:fgenesh1_pm.C_scaffold_1000016 transcript:fgenesh1_pm.C_scaffold_1000016 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLGAYRNLTLQFLLSLFLTLILSFVKISIICLCTYIQPKSQPNLNDHLKKKSKDKSEFDESNAQIFRIKLVEDHLRSRMYFTKYNSIFVLLFLAVSCFLLHNYFGVEDSHGVLGNGLMFLIVLGFIALCKVFVALGKIWIERSASKNFKFDFLVAGIFPKGFDFQLEFVDAFCCIVISFSMACISGFLYMPDGRSARSFLVGTDQIRSNLSIISCGWFGRMILYRIDVHFRSDNGGTSRSQTGLVGNVGMSSDDFVKFRVLCLLLSGLLQALAVRPNLQMFLNAAVLLWYQRLHGSKTPDLDFSRAKMFLHNHYLCLLALQFLAPSVLFFW >fgenesh1_pm.C_scaffold_1000020 pep chromosome:v.1.0:1:78367:80505:1 gene:fgenesh1_pm.C_scaffold_1000020 transcript:fgenesh1_pm.C_scaffold_1000020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KP47] MVSSVPKLHALFVAKSLPVVIRAAKITNEEGSASTKSKLARSLARAVNSNPWSDELESSLSSLHPSEPLSRTTVLQTLRLIKVPADGLRFFDWVYNKGFSHKEQSFFLMLEFLGRARNLNAARNFLFSIEKRSNGCVKLQDRYFNSLIRSYGNAGLFQESVNLFQTMKKMGISPSVLTFNSLLSILLKRGRTGMAHDMFDEMRRTYGVTPDSYTFNTLISGFCKNSMVDQAFTIFKEMELYSCNPDVVTYNTIIDGLCRAGKVKIAHNLLNGMLKKATAVHPNIVSYTTLLRGYCMKQEIDEALLVFHEMLTRGLKPNAVTYNTLIKGLSEAHRYDEIKGFLIGGDAFTTFSPDACTFNILIKAHCDAGHLDAAMKVFQEMLNMKLHPDSASYSVLIRTLCLRNEFDKAETLFNELFEKEVLLGKNECKPLAAAYNLMFEYLCANGKAKQAEKVFRQLMKRGAQDPSSYRTLITGLCREGKFKAAYELLVLMLRREFVPDPETYEVLIDGLLKIGEALLAHDTLQRMLRSSYLPVATTFHSVLAELVKRKFANDSFGLLTVMLEKRIRQNIDLSTQAVRLVFSSAQKEKAFLIVRLLYDNGYLIKMEELLDFLCENRKLLDAHTLVLFCLEKSQMVDIDTCNTIIEGLCKHKRHSEAFSLYNELVELGNHQQLSCHVVLTNALEAAGKWEELQFVSKRMATMRKSDNCAVSE >fgenesh1_pm.C_scaffold_1000036 pep chromosome:v.1.0:1:156948:159348:1 gene:fgenesh1_pm.C_scaffold_1000036 transcript:fgenesh1_pm.C_scaffold_1000036 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGNFWDLLRPYAQQRGFDYLRNKRVAVDLSFWIVQHETAVKGFVLKPHLRLTFFRTINLFSKFGAYPVFVVDGTPSPLKSQARISRFFRSSGIDTCNLPVIKDGVSVERNKLFCEWVKECVELLELLSIPVLKANGEAEALCAQLNSEGYVDACITPDSDAFLFGAKCVIKDIKPNSREPFECYHMSDIESGLGLKRKHLIAISLLVGNDYDSGGVLGIGVDKALRIVREFSEDEILERLQDIGKGLKPTVPGGIKSVDDGEEFRSEMKKRSPHCSRCGHLGSKRTHFKSSCEHCGCDSGCIKKPLGFRFKGTEENHDWWIKVCDKIALAPEFPNRKIIELYLSDGLTAEDGSSMSWGTPDTGMLVDLMVFKLHWDPSYVRKMLLPMLSTIYLREKARNSTGNALLCDQYEFHSIKCIKTRYGNQSFVIRWRKPISISGYSHSQSEPEESIVVLEEELVEEEESVDPLDGLNEPQVQNDNGDCFLLTDECIGLVESAFPDETEHFLHEKKLRESKKKNVSEETATPRPTTMGVQRSITDFYRSAKAAPGQSIETGGSSRASAEKKRQATSTSSSNLSKSVRRRLLFG >fgenesh1_pm.C_scaffold_1000043 pep chromosome:v.1.0:1:178456:184533:-1 gene:fgenesh1_pm.C_scaffold_1000043 transcript:fgenesh1_pm.C_scaffold_1000043 gene_biotype:protein_coding transcript_biotype:protein_coding MDFASTFQRPTLFHGGAGASYCFPNRFISPKGINITFGDSKVHSCFRLNLNACFSGRFYTGHLHSTKSILGNGRQAKRIPFADTSNDRIRESSGSSDESDATDLKEEKDVRVENETDSLEELKELLQKAIKELEVARLNSTMFEEKAQRISERAIALKDDAATAWHDVNKTLDVIRDTVDEESLAKEAVQKATMALSLAEARLQVIVESLEAGAGNDIPHVSEETEKTDDVKDKEEALLAAKDDIKECQFNLENCESQLSCLQSKKDELQKELDKLNEFAETIQISALKAEEDVANIMKLAEQAVAFELEATQRVNDAEIALQRAEKFLSISQTPEETQGQLSDEETHDEDEVVLSGNVEDVSHQVEKESPIGGDLSVVQNTSDLVPDLVGQKIQKLTQPYESSDNENGKPSVESSKVVEADSEKPKINVQTKKQETQKDLPKEGSSLNSPKASFNKSSRFFSASFFSSNPDGTATVFASLVGSVKQQWPKLVLGFALLGAGLTLYSNGVGGNNQLLQQPDVTSTSTEDISSSTKPLIRQVQKLPKRFKKLLEMLPHQEVNEEEASLFDFLWLLLASVIFVPLFQKIPGGSPVLGYLAAGILIGPYGLSIIRNVHGTRAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAAVVGLIAHYVAGQAGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRASFSVLLFQDLAVVVLLILIPLISPNSSKGGIGFQAIAEALGLAAVKAAVAITAIIAGGRLLLRPIYKQIAENRNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPVIVGTLGLLIVGKTMLVVIMGKVFGISIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGISMAITPWLAAGGQLIASRFELHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVSSDRVTIGRSLDLPVYFGDAGSREVLHKIGAERACAAVVALDAPGANYRCVWALSKYYPNVKTFVRAHDVVHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPTSEIANTINEFRTRHLSELTELCEASGSSLGYGYSRTSKPKPQPSDASGDNQIIEGGTVAI >fgenesh1_pm.C_scaffold_1000066 pep chromosome:v.1.0:1:295990:304406:-1 gene:fgenesh1_pm.C_scaffold_1000066 transcript:fgenesh1_pm.C_scaffold_1000066 gene_biotype:protein_coding transcript_biotype:protein_coding MISKDHNHHQDPLGTTKSYNMKTSAVSPPPSSTSSIALSQSAWLEVRLFYVRIAPCVVENVPDFLTLRHPRRETGASLEVNGVRVPSSQTASLKLRRDRVDRESSEVTYVSTETVRVTGCVDFEVYDNDDMVLCGNLDRIEGAWNNGTVSDPKTGWGMDCYIAMGNGHVSGPSSSAFFQPKLGVSSPSVEVYIAGCCGGVPVILTKTIQASPRRKVARHVTLDAIPEDEEVGKEQDIGTIGDELARQSKVQMMESEVDDYDESEMKMAQRYYPEGMYVDEDGQLSWFNAGVRVGVGIGLGMCLGVGIGVGLLMRSYQATTSNLRRSEKKKEMSKIRSSATMPHRDQPSPASPHVVTLNCIEDCALEQDSLAGVAGVEYVPLSRIADGKIESATAVLLHSLAYLPRAAQRRLRPHQLILCLGSADRAVDSTLAADLGLRLVHVDTSRAEEIADTVMALILGLLRRTHLLSRHALSASGWLGSLQPLCRGMRRCRGMVLGIVGRSVSARYLASRSLAFKMSVLYFDVPEGDEDRIRPSRFPRAARRMDTLNDLLAASDVISLHCALTDDTVQILNAECLQHIKPGAFLVNTGSCQLLDDCAVKQLLIDGTIAGCALDGAEGPQWMEAWVKEMPNVLILPRSADYSEEVWMEIREKAISILHSFFLDGVIPSNTVSDEEIEESEASEEEEQSPIKHEKLAIVESTSRQQGESTLTSTEIVHREASELKESLSPGQQHVSQNTAVKPEGRRSRSGKKAKKRHSQQKYMQKAEGSSGLNEESTSRRDDIAMSDTEEVLSSSSRCASPEDSRSRKTPLEVMQESSPSQLVMSSKKFIGKSSELLKDGYVVALYAKDLSGLHVSRQRTKNGGWFLDTLSNVSKRDPAAQFIIAYRNKDTVGLRSFAAGGKLLQINRRMEFVFASHSFDVWESWSLEGSLDECRLVNCRNSSAVLDVRVEILAMVGDDAETSDELVLEGRLLLFYGSGRDELESIVCLGSLCVFSRFMVTVNPSQAHCLPMSMNLPGYNTLAHTATTMPVSIRSNTMSFFEDPTKKIRKPYTITKSRENWTEQEHDKFLEALHLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTKEHYLYSTNSHPCKHGLVRSDVNIPTTVIKEELGGSENCCSTTSSSRDKQRTRTVTETNDQESCGKPHRVTPNFAEVYSFIGSVFDPKTTGHVQRLKEMDPTNLETVLLLMRNLSVNLTSPEFEEQRMLISSYNAR >fgenesh1_pm.C_scaffold_1000070 pep chromosome:v.1.0:1:324353:326366:-1 gene:fgenesh1_pm.C_scaffold_1000070 transcript:fgenesh1_pm.C_scaffold_1000070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KQ71] MFTREITAKDVKATEKNRIRYSSKHIKHLPPGTITEFEWKDYCPLGFRLIQELEDINHDEYMKSICNDETLRKLSSGKVGNMFLLSKDDRFLIKILRKSEIKVILEMLPGYFRHIHKYRSTLLSKNYGAHSVKPIGGVKTYFVVMSNILQSDVFMNKVYDLKGSSQGRTNKKIKVRDKTILKDIDLDFCFYVDSLARHRLIKQTKLDCELLEDEGIMDYSLMLGLQVKGSCHGSIDELSPIYDSFTSRGSVDSNSSKFMKTASNSPDRSSTMYSCTPSRNSVDSENSVDKQSVASISPSPAPTSASDSPHGSIVSRTSLTNIFQNSSSTNFGMKIPGRARRMGRGESGSVVGKHSRGGGEEWYDVILYLGIIDIFQDYGVRKRIEHCYKSIQHSSKTISAVHPKMYSSRFQDFVSQIFLPDEDPSR >fgenesh1_pm.C_scaffold_1000085 pep chromosome:v.1.0:1:404199:405288:-1 gene:fgenesh1_pm.C_scaffold_1000085 transcript:fgenesh1_pm.C_scaffold_1000085 gene_biotype:protein_coding transcript_biotype:protein_coding MISMLRRAVFLRRFPAVISPIKRAFSSKIHDEIDPQIMNIHELNQEMKSIFGEEPSPDGFSGPTTMDFSELKSKIEPLKSANLENSDFRSHIEYQKSTRSSKNDSQAIEQSAKVACDMSKLTHVGISGEAQMVDVSSKDNTKRTALACCKVILGKRVFDLVLANQMGKGDVLGVAKIAGINGAKQTSSLIPLCHNISLTHVRVDLRLNPEDFSVDIEGEASCTGKTGVEMEAMTAVSVAGLTVYDMCKAASKDISITDVRLERKTGGKSGYWSRGE >fgenesh1_pm.C_scaffold_1000090 pep chromosome:v.1.0:1:428322:429820:-1 gene:fgenesh1_pm.C_scaffold_1000090 transcript:fgenesh1_pm.C_scaffold_1000090 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTVIPRSRAESHEMLCHAARDYSRRLDESEVSFRYRGNISTTRGHSTDTPTTVNCLIVGILNLSWSSFMHASAGLHRYNMLEVQVNELRTGRLDMIVPIARPKGVTTKKPLIPWDSFSVKESELQNNDWIYLYLQLVLCAIERVIISDGDLSKLKIVKATQRQKCCVVYITFKGLANARIAAGEHDERKAIIRRILNEHTGYLSLIGDLIGENEFVNIDPATFFNSPAFLEALDRGQELLPRSPWM >fgenesh1_pm.C_scaffold_1000093 pep chromosome:v.1.0:1:436171:436800:-1 gene:fgenesh1_pm.C_scaffold_1000093 transcript:fgenesh1_pm.C_scaffold_1000093 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:D7KQA1] MEFCPTCGNLLRYEGSGNSRFFCSTCPYVAYVERQVEIKKKQLLVKKSIEPVVTKDDIPTAAETEAPCPRCGHDKAYFKSMQIRSADEPESRFYRCLKCDFTWREE >fgenesh1_pm.C_scaffold_1000095 pep chromosome:v.1.0:1:441904:443720:1 gene:fgenesh1_pm.C_scaffold_1000095 transcript:fgenesh1_pm.C_scaffold_1000095 gene_biotype:protein_coding transcript_biotype:protein_coding description:T25K16.18 [Source:UniProtKB/TrEMBL;Acc:D7KQA3] MLSLNMRTEIENLWVFALASKFNIFMQEHFASLLLAIAITWCTLTIVFWSTPGGPAWGKYFFTRRFSSLGHNRKSKNLIPGPRGFPLVGSMSLRSSHVAHQRIASVAEMSNAKRLMAFSLGDTKVVVTCHPDVAKEILNSSVFADRPVDETAYGLMFNRAMGFAPNGTYWRTLRRLSSNHLFNPKQIKRSEEQRRVIATQMVNAFARNAKSAFAVRDLLKTASLCNMMGLVFGREYELESNNNVESECLKGLVEEGYDLLGTLNWTDHLPWLAGLDFQQIRFRCSQLVPKVNLLLSRIIHEHYATGNFLDVLLSLQRSEKLSDSDIVAVLWEMIFRGTDTVAVLIEWVLARIALHPKVQSTVHDELDRVVGRSRTVDESDLPSLTYLTAMIKEVLRLHPPGPLLSWARLSITDTTVDGYHVPAGTTAMVNMWAIARDPHVWEDPLEFKPERFVAKDGEAEFSVFGSDLRLAPFGSGKRVCPGKNLGLTTVSFWVATLLHEFEWLPSVEANPPDLSEVLRLSCEMACPLIVNVSPRRKSV >fgenesh1_pm.C_scaffold_1000097 pep chromosome:v.1.0:1:457115:457459:1 gene:fgenesh1_pm.C_scaffold_1000097 transcript:fgenesh1_pm.C_scaffold_1000097 gene_biotype:protein_coding transcript_biotype:protein_coding description:T25K16.16 [Source:UniProtKB/TrEMBL;Acc:D7KQA6] MATASGGKAKYIIGALIGSFGISYIFDKVISDNKIFGGKNDLNGYLLVKPSGTTPGTVSNKEWWAATDEKFQAWPRTAGPPVVMNPISRQNFIVKTRPE >fgenesh1_pm.C_scaffold_1000101 pep chromosome:v.1.0:1:470268:474739:1 gene:fgenesh1_pm.C_scaffold_1000101 transcript:fgenesh1_pm.C_scaffold_1000101 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSDTESSEEILGDWDLTPPVDPEERVVMVSVPPESDYARPNQPKEIETRVSDKERNVAGESSARRVLPPWMDPSYEWGGGKWKEDGRKKKKKDKEKEKEKKKEEIIPFKEIIEALLRNSGDKVQEDKVFEVAPSLHVESLGKPAVIPSSFTRCMCFGLIFIKNRFGFDPFTNWIWIIRDHFFYFLDKLISNIIHYHQFTMAHNKEFVCMWDTHLYKK >fgenesh1_pm.C_scaffold_1000104 pep chromosome:v.1.0:1:483425:484126:1 gene:fgenesh1_pm.C_scaffold_1000104 transcript:fgenesh1_pm.C_scaffold_1000104 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVGELACSYAVMILEDEGIAITADKIATLVKAAGVSIESYWPMLFAKMAEKRNVTDLIMNVGAGGGGGAPVAAAAPAAGGGAAAAAPAAEEKKKDEPAEESDGDLGFGLFD >fgenesh1_pm.C_scaffold_1000114 pep chromosome:v.1.0:1:551918:553479:-1 gene:fgenesh1_pm.C_scaffold_1000114 transcript:fgenesh1_pm.C_scaffold_1000114 gene_biotype:protein_coding transcript_biotype:protein_coding MENPVGLRFRPTDEEIVVDYLRPKNLERDTSHVDRVISTVTIRSFDPWELPCQSRIKLKDESWCFFSPKENKYGRGEQQIRKTKSGFWKITGKPKAIMRNRQKIGEKRILMFYYSKELGGSKSDWVMHEYHAFSPTQMMMTYTICKVMFKGDVREIPSASSSSSSHGSGIEQSRPSLIPLLENNSEGSSFHSQELQNPSRVGDVQQEAQIEDAIPREEWETWLNDDDAGEQRSIMFMQDNRNEYRPPKSLTGVFSDYSSDDNDSDLLSATTNSIQTSSTCDSFGSSNHRVDKIKDLKQSPKSTIKLVSLTQEVSQALITSIDTPEKKKNPSNDAQETEIGEHKLGQETIKKKSAGFFHRMIQKFVKKIHLCSSISRT >fgenesh1_pm.C_scaffold_1000118 pep chromosome:v.1.0:1:571279:572890:-1 gene:fgenesh1_pm.C_scaffold_1000118 transcript:fgenesh1_pm.C_scaffold_1000118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast [Source:UniProtKB/TrEMBL;Acc:D7KB68] MGSLVREWVGFQQFPAATQEKLIEFFGKLKQKDMNSMTVLVLGKGGVGKSSTVNSLIGEQVVRVSPFQAEGLRPVMVSRTMGGFTINIIDTPGLVEAGYVNHQALELIKGFLVNKTIDVLLYVDRLDVYRVDELDKQVVKAITQTFGKEIWFKTLLVLTHAQFSPPDELSYETFSSKRSDSLLKTIRAGSKMRKQEFEDSAIAVVYAENSGRCSKNDKDEKALPNGEAWIPNLVKAITDVATNQRKAIHVDKKMVDGSYSDDKGKKLIPLIIGVQYLIVKMIQGAIRNDIKTSGKPL >fgenesh1_pm.C_scaffold_1000137 pep chromosome:v.1.0:1:637376:638578:-1 gene:fgenesh1_pm.C_scaffold_1000137 transcript:fgenesh1_pm.C_scaffold_1000137 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVTINMNTINHLSSTCKIPNLQSPICFDRKPSSYSLIPLFPKTLNGFSYSSSVSRRSSFIIPKRRRFSVSMEVKMEVDVPVSVAYNFYLDRESFPKWMPFISSVEVLKDKPDLSRWSLKYNAFGQDIKYSWLARNLQARIHHSPTPNQKIHWRSLEGLPNKGSVRFFPKGPSSCIVELTVSYEVPALLTPVASVLRPFLESLLRGGLERFAALAKTT >fgenesh1_pm.C_scaffold_1000142 pep chromosome:v.1.0:1:673517:676258:1 gene:fgenesh1_pm.C_scaffold_1000142 transcript:fgenesh1_pm.C_scaffold_1000142 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEETQKNTRSSWSPLRPFQMISISLLSLLVPLSFLFLSRLSVSSSSAPVTISGVLSLLHQADVGVLYTILSLIIVSTLIHNLFGKPECSVLHSYLYICWIVLFIVQACVAFGIEGTMSTTVSINTDKSLSLAAQERVVVKPVVDDTVFGVYMEEERWSERAVVAVTFGLMWWWRLRDEVESLVVVAEVKRSLLIHLEGLDFVNWWMYYICVGIGLADVGVLYTILFLIIVFTLIHSLLGKPECSVLHSHLYICWIVLFIAQACAFGIKRTMSTTMSINPDKNLFLATHERCVLVRVLFVLGLHEVMLMWFRVVVKPVVDKTIYGVYVEERWSERAVVALTFGLMWWWRLRDEVESLVVVVTEDKRDLPIRLVGLSFVNWCMYYICVGIGLVKIFKGFLYFVNMLILTIRRSRKGCESCVVDDHV >fgenesh1_pm.C_scaffold_1000165 pep chromosome:v.1.0:1:768374:770507:1 gene:fgenesh1_pm.C_scaffold_1000165 transcript:fgenesh1_pm.C_scaffold_1000165 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSSRTVYVGNLPGDIREREVEDLFSKYGPVVQIDLKVPPRPPGYAFVEFDDARDAEDAIQGRDGYDFDGHRLRVELAHGGRRSSDDTRGSFNGGGRGGGRGRGDGRGDGGSRGPSRRSEFRVLVTGLPSSASWQDLKDHMRKGGDVCFSQVYRDGRGTTGVVDYTCYEDMKYAVKKLDDTEFRNAFSHGYVRVREYDSRKDSRSPSRGRSYSRSRSRSRSRGRSLSRSRSRSRSRSRSRSRSRSPKAKSSRRSPAKSTSRSPGPRSKSRSPSPRRWITVETLDHLDHNIISGIQ >fgenesh1_pm.C_scaffold_1000178 pep chromosome:v.1.0:1:825038:828780:1 gene:fgenesh1_pm.C_scaffold_1000178 transcript:fgenesh1_pm.C_scaffold_1000178 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGIIVLEEGWPFMEAGVTKLHRILEELPEPAFESVQYMNLYTTIYNMCVQKPPHDFSQQLYDKYRGVIDDYNKQTVLPAIRKKHGEYMLRELVKRWANHKVLVRWLSRFFDYLDRYFVPRRNLLTLNSVGLTSFRDLVYQEIQSNAKDAVLELIHKEREGEQIDRSLLKNVIDVYCENGMGEMVKYEEDFESFLLQDSASYYSRKASKWSQEDSCPDYMRKAEECLKLEKERVTNYLHSTTEPKLLGKVQNELLVVVAKQLIENEQSGCRALLRDDKMDDLSRMYKLYQPILQGLDPVADLFKQHVTAEGNALIKQAADAATNQDASAGGVQDHVLVRKEIELHDKYMVYVDECFQKHSLFHKALKEAFEVFCNKTVAGVSSAEILATYCDNILKTKGGSEKLSEEVTEITLEKVVKLLVYISDKDLFAEFYRKKQARRLLFDRSGNDEHERSILTKLKELLGGQFTSKMEGMVTDITLAKEQQTNFADYLSASLTTKLGIDLTVTVLTTGFWPSYKTSDLNLPIEMVNCVEAFKTFYGTITKHRRLSWIYSLGTCHISGKFDKKSLELVVSTYQAAVLLLFNNAERLSYTEMLEQLNLSHEDLVRLLHSLSCGKYKILIKEPMSRTISKTDTFEFNSKFTDKMRRIRVPLPPMDERKKVVEDVDKDRRYAIDAALVRIMKSRKVLGHQQLVSECVEHLSKMFKPDIKMIKKRIEDLISRDYLERDSENPNTFKYVA >fgenesh1_pm.C_scaffold_1000181 pep chromosome:v.1.0:1:841280:844038:1 gene:fgenesh1_pm.C_scaffold_1000181 transcript:fgenesh1_pm.C_scaffold_1000181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:UniProtKB/TrEMBL;Acc:D7KCA4] MGLVTVGELKPAFTGKRGFRLNSSIRHASEWPISDVSSDLTVQVGSSSFCLHKFPLVSRSGKIRKLLVDPKISSICLSNAPGGSEAFELAAKFCYGINIEINLLNIAKLRCASHYLEMTEDFSEENLAAKTEHFLKETIFPSILNSIIVLHHCETLIPVSEDLNLVNRLIIAIANNACKEQLTSGLLKLDNSFSGTNIEPETPLDWWGKSLAVLNLDFFQRVVSAVKSKGLIQDVISKILISYTNKSLQGLIVRDPKLEKERVLDSECKKKQRLIVETIVRLLPTQGRRSSVPMAFLSSLLKMVIATSSSASSGSCRSDLERRIGLQLDQAILEDVLIPINLNGTNNTMYDIDSILRIFSIFLNLDEDDEEEEHHQRQFRDETEMIYDFDSPGSPKQSSILKVSKLMDNYLAEIAMDPNLTTSKFIALAELLPDHARIVSDGLYRAVDIYLKVHPNIKDSERYRLTKTIDSQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMSSGIGPTQFLFNSNCHQFPQRSGSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHISIKQELVKSNPGTKLFKSFAKKISKLNSLFSFSSLKPSLSGKASSESRFLFQRKRRHSVS >fgenesh1_pm.C_scaffold_1000187 pep chromosome:v.1.0:1:860953:874764:-1 gene:fgenesh1_pm.C_scaffold_1000187 transcript:fgenesh1_pm.C_scaffold_1000187 gene_biotype:protein_coding transcript_biotype:protein_coding MKWATLLKDIKEKVGLAQSSDAATSDPFSVDLTAPPSSSSSPSFTYASSSSLHHFNLSPSSRDNHELELDFKRLWEEFRSSSSEKEKEAALNLTVDIFCRLVKRHANVDQLVTMLVETHIFSFVIGRAFVTDIEKLKIGSKTRSLDVEKVLRFFSDVTKEGFSPGANLLTAVEVLVSGPIDKQSLLDSGIFCCLIHVLSALLAYDELSKSKITADLEEVSAEKDAGYRVFQTRRLEVEGSVVHIMKALASNPSAAQSLIEDDSLESLFNMVANGSVTVFSQYKEGLVPLHNIQLHRHAMQILGLLLINDNGSTARYIRKHHLIKVLLMAVKDFDPSCGDSAYTMGIVDLLLECVELSYRPEAGGVRLREDIRNAHGYHFLVQFALILSSLPKNPTFVSSRSSINQDSGSDGSEVFPDGENTNSRENADFSSQNFAPSLSRLLDVLVTLAQTGPAEPSVGRASRSSQMKPTGHSRSRTSSVDSIYDETWEQGSGKVKDLEAVQMLQDIFLKAENKDLQAEVLNRMFKIFSSHVENYRLCQELRTVPLLVLNMAGFPSSLQDIILKILEYAVTVVNCIPEQELLSLCCLLQQPITSQLKHTILSFFVKLISFDQQYKKVLREVGVLEVLQDDLKQHKLLIGPDQYSGVSNHSDRKPSSGSFKKHLDTKDAIISSPKLMESGSGKLPVFEVDNTITVGWDCLISLLKKAEANQSSFRAANGVAIILPFLISDAHRTGVLRILSCLITEDTKQVHHDELGAVVDLLKSGMVTGISGHQYKLHDDAKCDTMGALWRIVGVNGSAQRVFGEATGFSLLLTTLHTFQGNREHMDESDLTVYIKLFKYLFRLMTAAVCENAVNRMKLHAVITSQTFYELLAESGLLCVELERQVIQLLLELALEVVLPPFLTSESTALATIPESENATFIVTTPSGQFNPDKERIYNAGAVRVLIRSLLLFSPKMQLEFLKLLESLARASPFNQENLTSIGCVELLLEVIYPFLAGSSPFLSYALKIVEILGAYRLSPSELRMLFRYVLQMRIMNSGHAIVGMMEKLILMEDTALEHLSLAPFVELDMSKTGHASVQVSLGERSWPPAAGYSFVCWFQFRNFLTTQGKDSEASKVGGSSKTRITSAQQHEQNIFRMFSVGAVSNESPFYAELYFQEDGILTLATSNSHSLSFSGLEIEEGRWHHLAVVHSKPNALAGLFQASVAYVYLDGKLRHTGKLGYSPSPVGKSLQVTVGTPATCARISDLTWKTRSCYLFEEVLTSGCIGFMYILGRGYKGLFQDADLLRFVPNQACGGGSMAILDSLDTDMNSSSNGQKFDGSNRQGDSKADGSGIVWDLERLGNLAFQLPGKKLIFAFDGTCSEFIRASGNFSLLNLVDPLSAAASPIGGIPRFGRLVGNVSICRQSVIGDTIRPVGGMTVVLALAEAAESRDMLHMALSLLACALHQNPQNVKDMQTIRGYHLLALFLRSKMTLFDMQSLEIFFQIAACEALFSEPKKLENGQSNISMPPTETIFENSYEDLSLSRFRYDSSSVGSHGDMDDFSVPKDSFSHLSELETDIPAETSNCIVLSNADMVEHVLLDWTLWVTSPVSIQIALLGFLENLVSMHWYRNHNLTILRRINLVEHLLVTLQRGDVEVPVLEKLVVLLGCILEDGFLTSELENVVRFVIMTFNPPEVKSRSSLLRESMGKHVIVRNMLLEMLIDLQVTIKAEELLELWHKIVSSKLITYFLDEAVHPTSMRWIMTLLGVCLASSPNFSLKFRTSGGYQGLMRVLQNFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALVPNDGSHVELKFIDLLDSVVAMAKSTYDRLIMQSMLAHQSGNLSQVSASLVAELIEGAEMTGELQGEALMHKTYAARLMGGEASAPAAATSVLRFMVDLAKMCPQFSTACRRAEFVENCADLYFSCVRAAYAVKMAKQLSVKVEEKHINDVDDSGSQVSLPHDQDQSTKTSISAGSFPQGQVSLSSEDMSLPANYVVNDKMENILTPPTQDASKSLQGVEDVKKQDDHHVGPSASSERDFQDFKGNPLQVQATDSQSSASFPMIESPLLSEKSSLKVSFTPSPSPVVALASWLGSNYNESNSSTFGGSPSLESYVSVNEVDASSERKSSSQGSSAANAFFTVSPKLLLETDETGYGGGPCSAGASAVLDFMAEALADLVTEQIKAVPILESIMEMVPFYVDPESVLVFQGLCLSRVMNYLERRLLRDDEEDEKKLDKAKWSMNLDAFCWMIVDRVYMGAFSQPTGVLRALEFLLSMLQLANKDGRVEEITPSGKGLLSLGRATRQLDAYVHSILKNTNRMVLYCFLPSFLITIGEEDLLSQLGLLVESKKRPSPNPATDESGIDISTVLQLLVANRRIIFCPSNLDTDLNCCLCVNLISLLLDQRKSVQNMSLDIVKYLLVHRRSALEDLLVTKPNQGQNFDVLHGGFDKLLTGNLPEFFKWLESSDKIINKVLEQCAAIMWVQYIAGSAKFPGVRIKGMEGRRKREMGRKTRDMSKLDLKHWDQLNERRYALEVLRDAMSTELRVVRQNKYGWILHAESEWQTHLQQLVHERGIFPMRKSKGTEDPEWQLCPIEGPYRMRKKLERCKLKIDSIQNVLDGKLELGEIELPKVKNEDGPVISDTDSEPAFLLSELYDESFLKESDDFKDVASARNGWNDDRASSTNEASLHSALDFGGKSSTASVPITDNTHVKSETGSPRHSSSAKMDETNGPEEKSEKELNDDGEYLIRPYLEHLEKIRFRYNCERVVDLDKHDGIFLIGEFCLYVIENFYIDEDGCICEKECEDELSVIDQALGVKKDVSGSLDFHSKSSTSGTTTVKTGAVGGRAWAYGGGAWGKEKMCMTGNLPHPWRMWKLNNVHEILKRDYQLRPVAIEIFSMDGCNDLLVFHKKEREEVFKNLVAMNLPRNSMLDTTISGSAKQESNEGGRLFKLMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYDSESLDFSDPKTFRKLHKPMGCQTPEGEEEFRKRYESWDDPEVPKFHYGSHYSSAGIVLFYLIRLPPFSAENQKLQGGQFDHADRLFNSIKDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFSLDLGEKQSGEKVGDVFLPPWARGSVREFILKHREALESDYVSENLHHWIDLIFGYKQRGKAAEEAVNVFYHYTYEGNVDIDAVIDPAMKASILAQINHFGQTPKQLFPKAHVKRRTDRKIPLHPLKHSMHLVPHETRKCPSSISQIITFHDKVLVAGANCFLKPRGYTKYITWGFPDRSLRFMSYDQDKLLSTHENLHESNQIQCAGVSHDGRIVVTGAEDGLVCVWRVSKDGPRGSRRLRLEKALCAHTAKVTCLRVSQPYMMIASGSDDCTVIIWDLSSLSFVRQLPDFPVPISAIYINDLTGEIVTAAGTVLAVWSINGDCLAVANTSQLPSDSVLSVTGSTSSDWLETSWYVTGHQSGAVKVWRMIHCTDPVSAESKTSSSNRTGGLNLGDQVPEYKLILHKVLKFHKQPVTALHLTSDLKQLLSGDSAGQLLSWTVPDETLRASLKQASLKQASLKQASLKQASSV >fgenesh1_pm.C_scaffold_1000192 pep chromosome:v.1.0:1:897857:898568:1 gene:fgenesh1_pm.C_scaffold_1000192 transcript:fgenesh1_pm.C_scaffold_1000192 gene_biotype:protein_coding transcript_biotype:protein_coding MILAMLALVIATVLYGGATTVQAGCNDALTSLSPCLNYLNGGSTSPSSNCCSQFSTVVQSSPECLCYVVNSNESSFSGFKFNRTLALNLPTACNVQTPSPSQCNTGSNRPSTSPANTPVGSPQSAPSPSGSKKFPWSSNESSSKRNVIVLSLVSIALVLAKI >fgenesh1_pm.C_scaffold_1000202 pep chromosome:v.1.0:1:938460:939704:1 gene:fgenesh1_pm.C_scaffold_1000202 transcript:fgenesh1_pm.C_scaffold_1000202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenazine biosynthesis PhzC/PhzF family protein [Source:UniProtKB/TrEMBL;Acc:D7KCC6] MAEKKGVKYFVVDAFAESAFKGNPAAVCFLDDDNDNQRDDAWLQSLAAEFNLSETCFLTPIIGDFPRFRLRWFTPVAEVDLCGHATLASAHVLFSTGLIDSETVKFDTLSGALTAKHLKIDDEIELDFPLVPTFEVNYIDDDLSLFSKALNGATIVDVKATKKDILVVLSSWEAVIELQPRLDEISKCPCEGMMVSAAASAESTYDFCSRYFAPRFGINEDPVTGSAHCALAHYWSLKMNKCDFFAYQASSRGGTLKVHLDKEKQRVLLRGKAVTVMEGYVLV >fgenesh1_pm.C_scaffold_1000207 pep chromosome:v.1.0:1:955621:958878:1 gene:fgenesh1_pm.C_scaffold_1000207 transcript:fgenesh1_pm.C_scaffold_1000207 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSTLALVRAAYSLNSFVFEAEDIRFGSPWWFVVVGVACFLVLFAGIMSGLTLGLMSLGLVELEILQQSGSSAEKKQAAAILPVVKKQHQLLVTLLLCNAAAMEALPICLDKIFHPFVAVLLSVTFVLAFGEIIPQAICSRYGLAVGANFLWLVRILMILCYPIAYPIGKVMLCLLLSTFYMPQVLDAVIGHNDTLFRRAQLKALVSIHSQEAGKGGELTHEETMIISGALDLSQKTAEEAMTPIESTFSLDVNTKLDWETIGKILSRGHSRIPVYLGNPKNIIGLLLVKSLLTVRAETEAPVSSVSIRKIPRVPSDMPLYDILNEFQKGSSHMAAVVKVKDRDKMNNMQLLINGETPKENMKFYESSNLTAPLLKHESHDVVVDIDKVPKHVKNRGRNFQQHGTVTRDLPRLLEDNEDAEVIGIITLEDVFEELLQAEIVDETDVYIDVHKRVRVAAAAAAAVSSITRASPAEIQSKVGQTVKKLVGKEARGTKNYTTKITETLLAESDR >fgenesh1_pm.C_scaffold_1000211 pep chromosome:v.1.0:1:974105:976111:-1 gene:fgenesh1_pm.C_scaffold_1000211 transcript:fgenesh1_pm.C_scaffold_1000211 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTIFKDCEVEICSQEEGFRNAWYRAILEETPTNPNSESKKLRVRYMNLLNKEGASPQTVEQGFIRPVPPENLYNGVVLEEGTVVDADYKQGWWTGVVKKKMEDGSYLVYFDFPPDIIQFETKHLRAHLDWTGKEWVRPEVRELSKSMFSPGTLVEVSCVIDKVEVSWVTAMIVKEIEDSGEKKFIVKAWNKHLRCSVDEAKPKMTVDSRCVRPTPPPFSVEEYDLLDCVEVLFHGLSWRQGVVMGVLTEKQYMVRLEATKDDLELKHSDLRPFKVWEDGVWHNGPQQKPVNESPSKDIKQKPMCSSPGARPMATKHTRRSLNPEENGETFSVAETVAATGKKGSAEESVSFVTPLKQNAEGMKSPEKTLEPMRNQNSLGNDSTQQKLPEEENSKDGSRKRKREEYLNETDGTCNGSEAEISDTGKIICNNDDADDQPFSTELSSYQSSSVVHSFASPFEKKLPFWKTYETNELYKSVPQTPHFSPLLKAKEDIREWSAVGMMVTFYGLLEEVKHLQLDDSSSKLSCLASSFAELEKHGFDVANPQSRINKLLAFQDKRAKKAEERKCLEKKIEAKEIERQRFEEELIEFERIMLDMKRQALVAKEKKEATEKRIVEMKSRAETIDQEIKDEELEFQTSNCLGSMVIR >fgenesh1_pm.C_scaffold_1000227 pep chromosome:v.1.0:1:1033694:1034821:1 gene:fgenesh1_pm.C_scaffold_1000227 transcript:fgenesh1_pm.C_scaffold_1000227 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFPVGSRFLPKDLGLVRFYLRNMVERKQSSFITTMDVYMDEPWLLPHVNNPLFKNKEWYYFVQLTKRRSSVQRKVPGRGGSEGGTWRSNDGKEEIKDGHQRSDEQQKLVLCRIRYKKQKKVNKIRRVNHQAHQTQADLTGLTDELEKMPEGQEDREEQEEVDLTDFPDELETMLEGQEDCEQQQEAELTGFSDDPESMLLDGEEDRDVTQQQQQQQQEEEIPQQQQQEDDDMMVLMDNPNDALALGNYEFVDLTWVDEISDTIQRREKY >fgenesh1_pm.C_scaffold_1000230 pep chromosome:v.1.0:1:1042580:1045294:-1 gene:fgenesh1_pm.C_scaffold_1000230 transcript:fgenesh1_pm.C_scaffold_1000230 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFPPNPAITVKEELALQVVVDQDPKVKTFKDSIDFPSIDSYLDFDSVTWLGSYRNGEEFSIEDTDFDFFEDGMEIPQEGVVTVSDVMRPESIEVKPELCDDMSGEVGVSSVRSVSMVEVKPVLCDVMSEEVGESSIKSESMVEVEPNVCVDMSANGGDEPAVKDSEPVVSENSRSMEGETEPVVVSAPCPTMDLDESGLKKTDESLACSIEVGLKKVSLAMDDDEKNDGDKGKIDSAESDSETSSSSSSSSDSSSSEEEESDEDESDEEEKKKEEKFEDHMVMGKEDDLAGELEEGEIENLDEEDGDDEIEDDEDDDADDDDDEAMVAWSNDEDDDLGLQTKEPIRSKNELKELPPVPAVDVTLEPHHATLPVGVVLSVMSTQVIVEGMEKHSPLTEGSILWITEKRTPLGLVDEIFGPVKCPFYIVRFNSESEVPEGVSQGTPVSFVADFAQHILNIKELQKKGYDASGDNDEEIPDELEFSDDEKEAEYRRMQKMEKRGMMNDQKTGNTRNKKKKNRDLGRPTSSYSGEWTENQGSSPLSSNRSDPQMGGPLSNLGPRPQMDGFPPNNAAWRPQSNQQNPYQLPHIPNQMGMPNLAPMQIPFMAMQNQNQMMFQPQFNGGQMPMPGGPGGLNFFPGQASEPWPALVGQNCFNQPFGMGRGIQQQPLPNELSFNLFSQGLQMHPPQSQMHRPQSQMNPHFQMPPQFQTNPQSPMNPQYQMMHRPQSPMNLQFQMQPQSDVRPPQSQIPQSPTILQSPMESQSQGFSSGQSSERGRGFRGRGRGRGRGRFGRGRGRGRQQSG >fgenesh1_pm.C_scaffold_1000233 pep chromosome:v.1.0:1:1053312:1055300:-1 gene:fgenesh1_pm.C_scaffold_1000233 transcript:fgenesh1_pm.C_scaffold_1000233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KCG2] MRRFYRKPFSLALLNRPHCSSQSLCLYKNGDFLSDDSKCLPLSSSRTSVRWVFNSSSLPPPEWIEPFNDVSDLVKSNRNLQPSPWVSQILNLLDGSASMESNLDGFCRKFLIKLSPNFVSFVLKSDEIREKPDIAWSFFCWSRKQKKYTHNLECYVSLVDVLALAKDVDRIRFICSEIRKFEFPMTVSPGNSLIKSFGKLGMVEELLWVWRKMKENGIEPTLYTYNFLMNGLVSAMFVDSAERVFEVMESGRIKPDVVTYNTMIKGYCKAGQTQKALEKLRVMETKGLEADKITYMTMIQACYADSDFSSCVALYQEMDEKGLQVPPHAFSLVIGGLCKEGKLNEGYAVFENMIRKGSKPNVAIYTVLIDGYAKTGSVEDAIRLLHRMIDEGFNPDVVTYSVVVNGLCKNGRVEEAMDYFQTCRFNGLAINSMFYSSLIDGLGKAGRVDEAERLFEEMSEKGCTRDSYCYNALIDAFTKHGKVDEALALFKRMEEEEGCDQTVYTYTILISGMFKEHRNEEALKLWDMMIDKGITPTAACLRALSTGLCLSGKVARACKILDELAPMGVILDAACEDMINTLCKAGRIKEACKLADGITERGREVPGRIRTVMINALRKVGKADLAMKLMHSKIGIGYERMGSVKRRVKFTTLLETCFDSHW >fgenesh1_pm.C_scaffold_1000239 pep chromosome:v.1.0:1:1072779:1073847:-1 gene:fgenesh1_pm.C_scaffold_1000239 transcript:fgenesh1_pm.C_scaffold_1000239 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGIVVELIRGSTNLTKVVEDIVKLEKKTFPKHESLAQTFDAELRKRNAGLLYVEADGETVGYVMYSWPSSLSASITKLAVKENWRRQGHGEALLRAAIEKCRSRKVQRVSLHVDPTRTSAVTLYKKLGFQVDCLVKSYYSTDRDAYRMYLDFDDSI >fgenesh1_pm.C_scaffold_1000244 pep chromosome:v.1.0:1:1118778:1120260:-1 gene:fgenesh1_pm.C_scaffold_1000244 transcript:fgenesh1_pm.C_scaffold_1000244 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSESSIGGKPKTPKGPNELKLEAAAARKKGPNITPVTQSDDGVRSKPCYLNCNIEICWAIALTRLLQAIYNITRDNITNRKSFLHDDLVVHLKTKKNPGKRPASLKLQNLKDAIKHIAVNGLLKIKATGVTKAGSEIGYHGKWNFSMEKCPSVDFIKSKVDISPVVISFDIADEFHFIGNVKGIYKVSGVDMEDGEVEGHAVLIVGYGYTKDNRLFFLIQNSWGEDWGVKGFGRIFIDDISTTTLVYPNI >fgenesh1_pm.C_scaffold_1000251 pep chromosome:v.1.0:1:1161105:1161766:1 gene:fgenesh1_pm.C_scaffold_1000251 transcript:fgenesh1_pm.C_scaffold_1000251 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTADTKATTAPKRKPVFVKVNQLKPGTSGHTLIVKVLESNPVKPAIRRSSLTQSIRSPRIAECLIGDDTGCILFTARNDQVDLMKTGATVILRNAKIDLFKDTMRMVVDRWGRIEVTDPVSFEVNRGNNLSLIEYELVTLPV >fgenesh1_pm.C_scaffold_1000259 pep chromosome:v.1.0:1:1212125:1217903:1 gene:fgenesh1_pm.C_scaffold_1000259 transcript:fgenesh1_pm.C_scaffold_1000259 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEEEEEEETFEHTLLVVREVAVYKIPPRTTSGGYKCGEWLQSDKIWSGRLRVVSCKDRCEIRLEDSNSGDLFAACFVDPGRRENSVEPSLDSSRYFVLRIDDGRGKYAFIGLGFAERNEAFDFNVALSDHEKYVRREKEKETGETSESDNHIDIHPALAFEYKMRSTRNLITWRNRIEVFRSIFEKELSNRIVALLALQRSSLVGETIRINVKPKPTTNGTGMLSAALSGTGKPKPLALAPPPKAAGITRSPLPPPPNDPVASRIASDGCKEPIDNTRRRNEPLSDLSQLKDRPSQQELHQDGQLSDEWSRKMAEKDATASGDDAIRVSGMQFAYEVEDPIFFDFNLDLPAGSRCLLVGANGSGKTTLLKILAGKHMVGGKNVVQVLSRSAFHDTQLVCSGDLSYLGGSWSKTVGSAGEVPLQGDFSAEHMIFGGTDPVRREKLIDLLDINLQWRMHKVSDGQKRRVQICMGLLHPFKVLLLDEVTVDLDVVARMDLLEFFKQECDQRGATIVYATHIFDGLETWATHLAYIQDGELNRLSRMTDIDELKTSPNLLSVVESWLRSEIKLVKKKKKPVAPWKPSPFDNSPFRSSRHMAYYR >fgenesh1_pm.C_scaffold_1000262 pep chromosome:v.1.0:1:1228094:1230881:1 gene:fgenesh1_pm.C_scaffold_1000262 transcript:fgenesh1_pm.C_scaffold_1000262 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFHKFQPRDKPRKKDMFSGSAYGGGATETTAPDGGNETETAAKLPPLGGDGEALSNTTKQKVAAAKQYIENHYKEQMKNLNERKERRTTLEKKLADADVCEEDQNNLMKFLEKKETEYMRLQRHKMGADDFELLTMIGKGAFGEVRVVREINTGHVFAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSNCIVKLYCSFQDNEYLYLIMEYLPGGDMMTLLMRKDTLSEYEAKFYIAEAVLAIESIHNRNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSVIDGEDFSVGNSGSGGGSESVSTTPKRSQQEQLEHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYADDPMSTCRKIVNWKTHLKFPEEARLSREARDLIGKLLCSVNQRLGSTGASQIKAHPWFQGVEWENIYQIEAAFIPEVNDDLDTQNFEKFDEEDNETQAPSRTGPWRKMLSSKDINFVGYTYKNFEIVNDYQVPGIAELKKKETKSKRPSVKSLFESESESSSSSGSEQQTINRSFSNPTPRGMEPNLRRLDSE >fgenesh1_pm.C_scaffold_1000285 pep chromosome:v.1.0:1:1337832:1339214:1 gene:fgenesh1_pm.C_scaffold_1000285 transcript:fgenesh1_pm.C_scaffold_1000285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7KDC0] MENMFRLMASEEYFSDRRCVWVNGPVIVGAGPSGLATAACLRDQGVPFVVVERSDCIASLWQKRTYDRLKLHLPKKFCQLPTMPFPDHYPEYPTKRQFIDYLESYANRFEIKPEFNKSVESARFDETSGLWRVRTTSAGEEMEYICRWLVVATGENAERVVPEINGLKTEFDGEVIHACEYKSGEKFRGKRVLVVGCGNSGMEVSLDLANHNAITSMVVRSSVHVLPREIMGKSTFGISVMMMKWLPLWLVDKLLLILSWLVLGSLSKYGLKRPDIGPMELKSMTGKTPVLDIGALEKIKSGDVEIVPAIKQFSRHHVELVDGQKLGIDAVVLATGYRSNVPSWLQESEFFSKNGFPKSPFPNAWKGKSGLYAAGFTRKGLAGASVDAVNIAQDIGNVWREETKRQKMRRNVGHRRCISVA >fgenesh1_pm.C_scaffold_1000304 pep chromosome:v.1.0:1:1425217:1425597:-1 gene:fgenesh1_pm.C_scaffold_1000304 transcript:fgenesh1_pm.C_scaffold_1000304 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKAALDVAKTLFSIFIDIVIDSMSFFIDAYDLFCFSLISKLFGRFYFRNQFLVPFHRSDSIKKQKVHKFVDSIFECFRKATVQIKRFYKWCIYIIYRLFNYLVVLIFKLDVCVILVCMLIYK >fgenesh1_pm.C_scaffold_1000305 pep chromosome:v.1.0:1:1426101:1429961:-1 gene:fgenesh1_pm.C_scaffold_1000305 transcript:fgenesh1_pm.C_scaffold_1000305 gene_biotype:protein_coding transcript_biotype:protein_coding description:F19P19.16 [Source:UniProtKB/TrEMBL;Acc:D7KDE0] MASSKGGNTTAHINTLHHRLYHALNLGFRVCDEKEKKWKCTDIEIQRHVVKSISAFLDCFSRATANNRLIKDSISDIAGALVFILGCKNRAVVGLAANVVIRLIRIVPPSILQSYSLDLVESLSPLLSCQQFDVSLPCAVALNAILVNVRETKEKEVWKILEEAKTVVSVVGNLQNFLEGNMSVEWFQEMALLLSTIMLKWPRSRYSVWNNPALMGILESVSQKPDMGLRVVTLKLYSSLALCGHGANELLDNGKPMLDMMISCMGESSSQTARIEGLKLAQRLATGNRECLKMINMCSESLVKATIQTMGKWFLSSGKLEHDQMSLLVEACKLGLITRWEGKHHIYFWKYRISETLLSLVVENFHSQSLDGYVSLDEEILVAEKVLNANYLPSLRSYVWDIIGFLAAHCEEEFDSILRGDELCLNFLVTCACLTFSRSVQKGYQICQNDIISASHSESASRAVLMMIYSPSKYISSRARVTLSFILEEGGYILPNILQTTVCLVGLACYSSIPQYASFILRNQGLEILLSFCSWYQRNWKNIGASSFAPSPQSTSEKRICCWVCTEDWDNKDAFLLYSLLALAELVNHSFSEQNHAIELSVKSENLKDRLCTTLKEIRDETYGSGPRWYAAHILSYLGYYGFQHKLGKRLMGAYEDEEFSDMRLVFASGNSASVNKVIIAVSCPMLLPPKEGAHSGSTILTEKSQRTVQEIRMSANVDTLALVKLLEFAYSGYVEVESTTLKKLKTLARHCKAKVLLQMLSRRRPKWGSPIPGIDLPLALTPKLIHFSDVILVPKETNVAGFNCRCEYLRALFRSGMQESGTYVSGYHLDRLNVPVSWLGLTKLVSWFYSDELPDPPSGCKWNNMDTEAKLDELQAYVEIYSLSEWWIMEDLQNDCAHVILCCLESARELSIKTIELAASFSMWKLVEAAANHAAPIYHQLRDSGELDELDDELVNLIRTAAVQFSQQGGYINRSKS >fgenesh1_pm.C_scaffold_1000331 pep chromosome:v.1.0:1:1556156:1558052:1 gene:fgenesh1_pm.C_scaffold_1000331 transcript:fgenesh1_pm.C_scaffold_1000331 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFKNRYMLMEVFLDPDKDLLGEGTPIILTQFNLSKAIKDSILVNFGECGLGSSLGSFQVKYVNPITKLCIVRSSREEHRQVWSAITLVKSIGNCPEMRSPRTLEVWKLGTVNYLKSLKLQEKLVSERKAHHIPDTLLSLQHPPTYTLGKRRTDHNLLIPESELTKIGAELHYTQRGGDITFHGPHQAILYPIISLRSIGFGARNYVETLERSMIEFASIYGVKARAGNKCETGVWVGDRKIGAIGVRISSGITSHGLAFNIDPDLKYFEHIVPCGIADKEVTSLRRETDTLLPSEEVIHEQLVSCLAKAFSYDDVVWKEDPSVILDTQAEE >fgenesh1_pm.C_scaffold_1000349 pep chromosome:v.1.0:1:1648555:1650640:-1 gene:fgenesh1_pm.C_scaffold_1000349 transcript:fgenesh1_pm.C_scaffold_1000349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KE83] MKSLYVLFKPKSSPAKIYFPADRRASPDESHFISLIHTCKDTVSLRLVHAHILRRGVLSSRVAAQLVSCSSLLKSPDYSLSIFRNSEERNPFVFNALIRGLTENARFECSVRHFILMLTLGVKPDRLTFPFVLKSNSKLGFRWLGRALHAATLKNFVDCDSFVRVSLVDMYAKTGQLNHAFQVFEETPDRIKKESILLWNVLVNGYCRAKDMQMATTLFRSMPERNSGSWSTLIKGYVDNGELNRAKQLFELMPEKNVVSWTTLINGFSQTGDYETAISTYFEMLEKGLKPNEYTVAAVLSACSKSGALGSGIRIHGYILDNGIKLDRAIGTSLLDMYAKCGEVDCAATVFSNMNHKDILSWTAMIQGWAVHGRFHQAIQCFRQMMYSGEKPDEVVFLAVLTACLNSGEVDLGLNFFDSMRLDYAIEPTLKHYVLVVDLLGRAGKLDEAHELVEYMPINPDLTTWAALYRACKAHKSNRTDIVLQNLLELDPELRGSYIFLDKTHAAKGKYQDVEKRRLSLQKKVKERSMGCSYIELDCQLNKFAADDYTHKQAQEIRLKLEGIISLAIERGYIPGADWSIHDIEEEEKESVTGIHSEKLALTLGLLRTAPGTTIRIIKNLRICGDCHSLMKYVSKISQRGILLRDARQFHHFKDGSCSCGDYW >fgenesh1_pm.C_scaffold_1000367 pep chromosome:v.1.0:1:1723733:1724749:1 gene:fgenesh1_pm.C_scaffold_1000367 transcript:fgenesh1_pm.C_scaffold_1000367 gene_biotype:protein_coding transcript_biotype:protein_coding MTPILQRHAKRILACGYKNSLFRSYATLWSPEASQTLLIRIKVAFLPTQPVLDQWQQQEKQLYIGGGLIKNLRDSNPFSQELKTVLGLEEAEKFFKSIPSNMRDYSVYSTLLTSYTRSDNVKPDIVTANTVLKVDVKAIKMFMRTLVEGIKLQRDTIFEMAKVYVRLGSAREVLQTLWDDFKKSEEVYRTAFSSLSKLDDVEEAEDIYGEWKEDERDISEQQVTKKSMMLVMLTHISITRLLRFIFFSEKE >fgenesh1_pm.C_scaffold_1000383 pep chromosome:v.1.0:1:1787362:1789986:-1 gene:fgenesh1_pm.C_scaffold_1000383 transcript:fgenesh1_pm.C_scaffold_1000383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KEC0] MSTKNKVEYTSRSFVSRKWTILLCLGSFCVGMFFTDRMWNIPESKGMSRPSVTEAERLKLISEGCNPKTLYQKEVKRDPQALFGEVANTHIALQTLDKTISSLEMELAAARSVQESLQNGAPVSDDMGKKQPQGKRRFLMVVGINTAFSSRKRRDSIRATWMPQGEKRKRLEEEKGIIIRFVIGHSATTGGILDRAIEAEDRKHGDFLRLDHVEGYLELSGKTKTYFSTAFSMWDADFYVKVDDDVHVNIATLGETLVRHRKKPRVYIGCMKSGPVLSQKGVRYHEPEYWKFGENGNKYFRHATGQLYAISRDLASYISINQHVLHKYANEDVSLGAWFIGIDVKHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSADRIKEVHRRCGEGEKALWSATF >fgenesh1_pm.C_scaffold_1000396 pep chromosome:v.1.0:1:1846731:1848144:-1 gene:fgenesh1_pm.C_scaffold_1000396 transcript:fgenesh1_pm.C_scaffold_1000396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7KED3] MGSPLCDHLARLCLQCDWKLHSKSPVNNYDYSRIPLCNNCNSQTAVVECLEQGLCLCQTCFSNPNVFSRFILLRNGSSDNSAYNCPLDLDSSSSSSSSSFIDRNWAFSLGSLPLNNEDSSSSFEILQIFENHTKNYSDQHVLTLQPDYLNIPKDSSCSSFKGYETKEDIENVLLNTFDGAEAVLDLKEYNLEEDLIVTNQLIDELSKHNSEITPTNIIQSSPSGMSSSLIQKDYSIEALANASSQDHYINQMIGSKAKEETNNLAIFPNPLAQLDCGQTQLIFTDEMLPWQNQTFVPVKRSSPQDREEAKKRYFEKKKKRKFGKQIRYESRKSTADTKRRMKGRFTKAGAEYDYDPRADNIDKGTNKITS >fgenesh1_pm.C_scaffold_1000397 pep chromosome:v.1.0:1:1848866:1849820:-1 gene:fgenesh1_pm.C_scaffold_1000397 transcript:fgenesh1_pm.C_scaffold_1000397 gene_biotype:protein_coding transcript_biotype:protein_coding description:YUP8H12.9 [Source:UniProtKB/TrEMBL;Acc:D7KED4] MEEGNKTEKKGCLISVIIVCIVLTVGFDIVAGFVGLQAEAAQQDVKHNKLECQAPSKTAFVLGIIAVSCLAAAHLSANVIGCSISNLFQALGALPKNKITTYFNMTCLCINWIVGIAGALILAVGIWSNRESRSECVFTNKVHVFSIGGKVCFLHAIVSVIYYVSSIVARCGHFNRTEPKPTEPTDPN >fgenesh1_pm.C_scaffold_1000404 pep chromosome:v.1.0:1:1873860:1875534:1 gene:fgenesh1_pm.C_scaffold_1000404 transcript:fgenesh1_pm.C_scaffold_1000404 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KF33] MAATGSPSNRHSDIIAKEAGDLISSLHDDILQLILSYLPTRFAIRTSALSRRWRHLWSDTWSLTFYGDRPDAASINGILDRYRAPKMMSFRICSGSLCSRSLRSRANRSDKLADIDSWINFAVSRNVENLSLYLDKDEYDIPDFLYVNSSLKQLYLQLRYFTNDMNPKCSVSWISLKTLSLYDCNISDESIANILSGCPVLESLSLLFCNKLRVLDLSKSPHLLTLEIKRYCVTEPTQLVAPHIRCLRLRNSEKPCALVDVSSLSQAELDIAVFKIVDNKLDVDFHQTMVVKMLEKCQNVEKLTLGANILKILSLAELRVVSFPKLKLKALTLDTMISRYVIAGIVRVLQNSPDLKKLTIQPMGTYPIPEKHIDIYLDSHGLNWDQSWSSEFDVELKQVASFMQLVLKTTKTLELIVARVKGYIKGRCFVELRQMVPMLTRNNNVSIVLSSRKKRCRATG >fgenesh1_pm.C_scaffold_1000440 pep chromosome:v.1.0:1:2064132:2072984:1 gene:fgenesh1_pm.C_scaffold_1000440 transcript:fgenesh1_pm.C_scaffold_1000440 gene_biotype:protein_coding transcript_biotype:protein_coding MVYEITEQKKVGLGLIGFGLSFTFLGVILYFDRGDCLFRPWTLSYICTLAHCRHYLGDIRCHCPIRRILVNRKGIPFPDSFCRMDNTVSSHELPVMALFLNNIPCSCLNAFSPLWIRQRSSADFSRRHVSSCFPARRDIDLNPLYRRRRSRTHQITSRGERTKINIIMWISRLKRVRRTIMVLGVANFVVIVSGCVLTLVSDSGCDSAGQLFPLFAVCFAAGVKLAAMVKVGTTQELMAMTIMDSPTQNNHQRKLKYKTWLWWTRFAMVITVLQFIGATYLMFRVAKYVSRDGLPRNCVLGLSPDTRGWKQTLQVTFLITVCFVALAQCFTGSDILQWRSFYATQDDAWKAHYQEVFDHGIREVLGVIEEDEVCSVARLLGDLVSYRASGTGHLEFLAGLALLQNNSQFPESYEDCMEAPAFHLQEAAMLHKFAEAAYTGPLLDVGRNPALFLCTWICRQGILTPWSRKWRPKLDGDNWWRGHAAAFLKFINFPAHVLRRGRICREKCKATYFVVVLHYLRCVVIAVRGTETAEDLITDGLGRACSLTVEDLDGLTKSVSISFLYKNNIYAFTHALSFFYLIYVEVSLPRPSVNDSPFCCFMCFSVSHVHGIDTSRKHYGHSGIVEAARDLFMQIEGDPKFGESGSIGFLSSLIGDGCECDGYSIRIVGHSLGGAIASLLGIRLRCRFPNLYVYAYGPLPSVDSDVADACSEFVTSIVLDNEFSSRLSYGSIRRLQVAAIKVLSQDPKADTALIFRLARRFLSASKRQRENIEERTSEEAMPSIIVNNSPESQQDQIYPIWEEAEAEMQQDDEEFINPFHGMASEDNPVSQFMETGPTREDDDEAPEMFMPGLVIHIVPEGNNMSVPIWRGWPICDVTDGYKAYVANRESFKEIMVSPSMFLDHLPWRCRHALQKVLESRNLFFDLTSESDLQVIALFRIKLKKPHCSFLKEKTGLSIF >fgenesh1_pm.C_scaffold_1000445 pep chromosome:v.1.0:1:2095258:2103619:1 gene:fgenesh1_pm.C_scaffold_1000445 transcript:fgenesh1_pm.C_scaffold_1000445 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVPKPLEEEEEDTQIKTELHDHGDIPVRYASLESVYSVSSSSSSLCCISAAGSHKKVNAQKNPMTDSFELQPHRRPEIVHVYCRRKRRRRRRRESFVELALLQNERKDGIVKIENAELDEEEEEEKKKKQKKRRIGNGELMKLGVDSTTLSVSATPPLRGCRIKAVCSGNKQDGSSRSKRSFVKNQEKVVSASATAKKWVRLSYDGVDPKNFIGLQCKASFQLYSYVVFWPLDAVWYPGSIVGYNVETKHHIVKYGDGDGEELALRREKIKFLISRDEMELLNMKFGTNDVAVHGQDYDELVILAASFEDCHDFEPRDIIWAKLTGHAMWPAIIVDESVIVKRKGLNNKASGGRSVLVQFFGTHDFARYLKEYKLPGRMDQLQKVADTECSERTNSGEEDSSNSGDDYTKDGEVWLQPTGIGDCLHRIGDLQIMNLGRIVTDSEFFKDSKHTWPEGYTAIRKFISLKDPDASAMYKMEVLRDAESKNRPVFRVTTNSGEQFKGDTPSACWNKIYNRIKKIQSASDGPDGLGEGLHESGTDMFGFSNPEVDKLIQGLLQSRPPSKVSQRKYSSGKYQDHPTGYRPVRVDWKDLDKCNVCHMDEEYENNLFLQCDKCRMMVHTRCYGQLEPRDGILWLCNLCRPGALDIPPRCCLCPVPTKYLSGGAMKPTTDGRWAHLACAIWIPETCLLDVKKMEPIDGVNKVNKDRWKLLCSICGVSYGACIQCSNSSCRVAYHPLCARAAGLCVEVLSYPTGEYKILRSFIYLADEDRLFLLSMDDDEADQCIRLLSFCKRHRQTSNDHLETEYMIKPAHNIAEYLPPPNPSGCARTEPYNYLGRRGRKEPEALAGPSSKRLFVENQPYIVGGYSRHEFATYERIYGSKMSQIITPSNILSMAEKYTFMKETYRKRLAFGKSGIHGFGIFAKLPHRAGDMVIEYTGELVRPPIADKREHLIYNSMVGAGTYMFRIDNERVIDATRTGSIAHLINHSCEPNCYSRVISVNGDEHIIIFAKRDVAKWEELTYDYRFFSIDERLACYCGFPRCRGVVNDTEAEEQQANIHASRSSEYDASVVQFTCVQMSKPLKFLLWSSLALLLLQIGSGAICESKSSEPAVRQTQVKWREGKMFRVEVMNKCPMCPIINLRLKCQGFPQTLVDPTLLRVLSSSTGNCVVNDGLPLSPMQTLSFNYSNSHQFALRPLSWSFQCE >fgenesh1_pm.C_scaffold_1000455 pep chromosome:v.1.0:1:2141527:2142369:1 gene:fgenesh1_pm.C_scaffold_1000455 transcript:fgenesh1_pm.C_scaffold_1000455 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDNQKKPKTVAQVREEKRKRREEMSSRDNQKKTKTVAQVREEKRKRFFDRVPKGKREGHAYLRKDPEPQVASVPKTVPEDKDVILDRILSNVPRRKKTTSYEYVRPKDPQEPIKTPEAPEWLVQVMNGADDPKLVIVKTLDSNDVDPLQNRLSIPINSVIQNDFLTLDESRLIDDDDITNQGNMGVASFLMDQRSKKWNVGFKQWFMTTDSGSSYWSFVLRGEWSNVVKTNGLKEGDKISLWSFRSNEILCFALVPPTSSVVDSVDK >fgenesh1_pm.C_scaffold_1000456 pep chromosome:v.1.0:1:2143666:2145385:1 gene:fgenesh1_pm.C_scaffold_1000456 transcript:fgenesh1_pm.C_scaffold_1000456 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIDDDHTAERNKMGSTSELYELAGVKATLNDAEQRARKGKAKIVSKEEDNVFIKKKKDDDEESEKKKFFSHVPRKIRPALRYPQPNFENPNGASTSSSSPCSSTLPFGNYIPDEYKKTEMKNPSNTNHQWSPYPWLTEYTNPTEVREMLQRRSSGGFKKPKVTFFPRRTWGMPWWQFQVMRDMNGEDLTLILEKTLSKSDVNPTLGRLLMPFNSLIRNEFLTPEERSIIEEDIDNDDDEKMEVGAILVDPRFEKWGVVLKKWEVGNHSGNVSWNYCLTCGWNDVVKANDLKDGDNIGVWAFRCGGVLCFALMPWNPMFALVPPLTLRQSSSSNALRLC >fgenesh1_pm.C_scaffold_1000461 pep chromosome:v.1.0:1:2168593:2170137:1 gene:fgenesh1_pm.C_scaffold_1000461 transcript:fgenesh1_pm.C_scaffold_1000461 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-type carbohydrate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KFA0] MASSTGEKGLIVSFGEMLIDFVPTVSGVSLSESPGFLKAPGGAPANVAIAVSRLGGRAAFVGKLGDDDFGHMLAGILRKNGVDDQGINFDKGARTALAFVTLRSDGEREFMFYRNPSADMLLRPDELNLELIRSAKVFHYGSISLITEPCRSAHMKAMEVAKEAGALLSYDPNLREPLWPSPEEARTQIMSIWDKADIIKVSDVELEFLTENKTMDDKTAMSLWHPNLKLLLVTLGEKGCTYFTKNFHGSVETFLVDAIDTTGAGDSFVGALLKQIVDDQSVLEDEARLRKVLRFANACGAITTTKKGAIPALPTDSEALSFLKDKKKRQTYLKFSKLCCTAPPC >fgenesh1_pm.C_scaffold_1000471 pep chromosome:v.1.0:1:2201488:2207560:-1 gene:fgenesh1_pm.C_scaffold_1000471 transcript:fgenesh1_pm.C_scaffold_1000471 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQLILGVILLGLFVIFLNTTQVEAARPLQADGEIRFVFQLLQRGSVPGSGPNGCTNIPGGSGTCRPYVKTHLHISHNYKESKNLCTQPWHLRVPRGILVQPFRPDPLTGPLCSAWNTNRISSAAVGNGLIASTCLMSKNTTKKATKITTIITCLFIFLLLVNTSWLDFGSKLASQPCVRPCVRQLHLRKGLVSGAMKLFSSPLRTLRGAGKSVRFSRFCSVSNVSSSLQIELVPCLTDNYAYILHDEDTGTVGVVDPSEAVPVMDALQKNSRYLTYILNTHHHYDHTGGNLELKDRYGAKVIGSAVDRDRIPGIDVALKDGDKWMFAGHEVHVMETPGHTRGHISFYFPGARAIFTGDTLFSLSCGKLFEGTPEQMLASLQRIIALPDDTSVYCGHEYTLSNSKFALSIEPTNEVLQSYAAYVAELREKKLPTIPTTMKMEKACNPFLRAGNTDIRRALGIPETEDEAEALGIIRRAKDNFKA >fgenesh1_pm.C_scaffold_1000474 pep chromosome:v.1.0:1:2210904:2217006:-1 gene:fgenesh1_pm.C_scaffold_1000474 transcript:fgenesh1_pm.C_scaffold_1000474 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLQQILRSLCSNTDWNYAVFWKLNHHSPMVLTLEDVYCVNHERGLMQKSLQGGCHAHDPLGLAVAKMSYHVHSLGEGTVGQVAISGQHQWIFSEYLNDSHSTLQVHNGWESQISAGIKTILIVAVGSCGVVQLGSLCKVEEDPALVTHIRHLFLALKDPLADHASNSMRCDINTPSDLPTIPSKCLHEAFPDFSGEFDKAMDMEGLNIVSQNTSNRSDDLPYNFTPTYVHMERTAQVIGGLEAVQPSMFGSNYCVTSGFSVDVVDAKHENQVGINDMSKVIYDDETGGYQYSRESDPNFQQYSRNHLRNSAGSSALTMKTDRLKAGPSYPQLDSTVLTALITDKDYSLRNEVFQPSESQGSMYVKDTEHRQEKKSESSRLDALTASLSSFSGSELLEALGPAVSKTSTGYEELAKFESAAAIRRTNDMSHSHLTFDSSPENLLDAVVASMSNGDGNVRREISSSRSTQSLLTTAQMAEAEPFGHNKQSIVSTVDNVISQPPSAEGRIQQNPSNICGAFSSIGFSSTCLSSSSDQFPTSLEIPKKNKKRAKPGESSRPRPRDRQLIQDRIKELRELVPNGSKCSIDSLLECTIKHMLFLQNVSKHADKLTKSASSKMQHKDNGTLGSSSSSTEQGSSWAVEIGGHLQVCSIMVENLDKEGVMLIEMLCEECSHFLEIANVIRSLELIILRGITEKQGEKTWICFVVEGQNNKVMHRMDIMWSLVQIFQPKATINGLHLCRQYSNSSYMNAFVNVRSLRVPSHHLRLLRDCSTSLSPYLPILKQIIKQCSTPKLLESALAAMIKTSQTQNCYLMNQFITACSSFNRLDLAVSFMTQMQKPNVFVYNALIKGFVTCSHPIRSLEFYVRMLRDSVSPSSYTYSSLVQASAFASGFGESLQAHIWKFGFGFHVQIQTTLIGFYSASGRIREARKVFDEMPERDDVTWTTMVSAYRQVLDMDSANSLANQMPEKNEATWNCLIDGYTRLGNLELAESLFNQMPVKDIISWTTMINGYSRNKRYREAIAVFYKMMEEGIIPDEVTMSTVISACAHLGVLEIGKEVHMYTVQNGFVLDVYIGSALVDMYSKCGSLERALLVFFNLPKKNLFCWNSIIEGLAAHGFAQEALKMFAKMEMESVKPNTVTFVSVFTACTHAGLVEEGRRIYRSMIDDYSIVSNVEHYGCMVHLFSKAGLIYEALELIGSMEFEPNAVIWGALLDGCRIHKNLEIAEIAFNKLMILEPMNSGYYFLLVSMYAEQNRWRDVAEIRGRMRELGIEKICPGTSSIRIDKRDHLFAAADKSHSASDEVCLLLDEIYEQMGLAGYVQETENVY >fgenesh1_pm.C_scaffold_1000479 pep chromosome:v.1.0:1:2248768:2250182:1 gene:fgenesh1_pm.C_scaffold_1000479 transcript:fgenesh1_pm.C_scaffold_1000479 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KG08] MDKLKIAEWGEKLKTGGAQMSRMVSEKVKDMLQAPTLESKMVDEATLETLEEPNWGMNMRICAQINNDEFNGTEIVRAIKRKISGKSPVSQRLSLELLEACAMNCEKVFSEVASEKVLDEMVWLIKNGEADNENRKRAFQLIRAWGQSQDLTYLPVFHQTYMGLEGENGLHARGEENSMPGQSSLESLLQRPVPVPPPGSYPVPNQEQARGDDDGLDYNFGNLSIKDKKEQIEITRNSLELLASMLNTEGKPNHTEDDLTVSLMEKCKQSQPLIQMIIESTTDDEGVLFEALHLNDELQRVLSSYKKPDETEKKASIVEQESSGSKEAGPKPTEEEEEQPVKKTGDDDDTKHSEASGSSNKTVKEDKLAVKIELGLSSDEDEK >fgenesh1_pm.C_scaffold_1000491 pep chromosome:v.1.0:1:2295322:2295900:-1 gene:fgenesh1_pm.C_scaffold_1000491 transcript:fgenesh1_pm.C_scaffold_1000491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7KG23] MRVHMDCVGCESRVKNALQKMRGVDEVEIDMVQQKVTVTGYADQKKVLKKVRKTGRRAELWQLPYNPEHMGGSSSNGGYFYNPHGCNGPINHAAPVPTSSYNYYKHGYDSNDYSSYRHHPVHASIFSHQTGSKFSDENPNACSIM >fgenesh1_pm.C_scaffold_1000518 pep chromosome:v.1.0:1:2409840:2416611:1 gene:fgenesh1_pm.C_scaffold_1000518 transcript:fgenesh1_pm.C_scaffold_1000518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear deih-boxhelicase [Source:UniProtKB/TrEMBL;Acc:D7KG55] MAKMKKNTKQARLCETTGAWATKLLEDFRASGNDSYVFEQHLTNSERGIIHQMCRTMGIRSKSHGTGEERRLSLFKRDDISKSDSKRRFETRNQKGREGDGLSKSYSKHRYETKFQKGGAIRKSQKLKCVSFPPEAKAVLHDLFTRYPPCDGDTTGTSLGIYTGNVHSNWKDDFFKKPQLTKNDIQNKVASLSSRLEKEKRFREIIEARSKLPIASFRDAIISAVESNQVVLIAGETGCGKTTQVPQYLLDHMWHSKQEVCKIICTQPRRISAISVSDRISWERGETIGRTVGYKVRLQSEGGRGSSVVFCTNGILLRVLIGKGVNSCVPDITHIIVDEIHERDSYSDFMLMILRDLLPSNPHLRLILMSATLDAERFSEYFGGCPVVRVPGFTYPVRTFFLEDALAVLNSDKNNHLLSADSNIPSDKRDFKDEDKVSLDEAIDLAWTNDEFDCLIDLVSSEGSHEAYNYQNSTTGLTPLMVFAGKGRVSDVCKLLSFGADCTLKSKEGITALVLAEKENQFETAQIIREHGGNIQSNSQQAQDLLDKYMATIKPEEVDVGLIVKLMKKICSDSEAGAILVFLPGWEEIRKTKEKLLDDRFFADSDKFIILCLHSRVPAEEQKKVFNRPPRGCRKIVLATNIAESAVTIDDVVYVIDSGRMKEKSYDPYNDVSTLQSSWVSKANAKQRAGRAGRCQAGICYHLYSKLRAASLSEYRVPEVMRMPVDELCLQVKMLDPNCNVNDFLHKLMDPPVAQSIANALIILKDIGALTPQEELTELGQKFGQLPVHPRISKMIYFAILVNCLDPALILACAADEKDPFTMPLSPGDRKKAAAAKHELASLYGDHSDHLATVAAFQCWKNAKESGQAKEFCSKYFISQIVMKRLDDLRRKLQGELSRHGVIPSSSNCSLNAHDPGILRAVIAVGLYPMLGRMCPVSKNQTRSVVETIAGAKVRVPSLSKNVDLSSTKYDEALIVFDEITRGDWGVQIRSCSVLPTIPLLLFSREIAVSTTESYDAVKSDDEEDHEVENVGDAMDIDKEGGRPGEKIMLAPENSVKVVVDRWLPFKVTAFEIAQMYILRERLMASILFKVKHPKENLPPHLGASMYAIASILSYDSLAQSSVQTGAVQPIASVVDATSPRDDIPSANPNELREHDPNTTPMGSKLELANKLGLGNMEESLPSNFADGNEQPDPNTSPVEDVSVATKQKKMQSESKRCKALNNIDLGYIEENFGNMEENAPSDLANGNEQTLPKSASNLDMGFMEENTPSDLANGNEKTEPNSANSMELGNMEENKPSDLANGNKQKESKSVSKLDLGREKESIPSNFANGNEQRDPNIAPAEDASAAKQPEKKRSRSKKCKSGNNLDLGKMEKSKPSDLANGNEQTEPKSANNLDLGNMEENTPSDLANENEQTVLKLPNNSDNDNMEESLPFNLANGDEQPDPTTAPMEAASVAKQPKKKRSRSKKCKLVNNLDLGNMEEKKPSDMANGNEQKEAKSVSRLDPSKDKESIPSNLVSGNEQPDSNNTAPVAKKPKRKKRKLANNFDSGNNIEGKMPSTNGVEQPELNISLTEAALKENN >fgenesh1_pm.C_scaffold_1000521 pep chromosome:v.1.0:1:2427851:2430817:-1 gene:fgenesh1_pm.C_scaffold_1000521 transcript:fgenesh1_pm.C_scaffold_1000521 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTVVRCLLSRSHHPLIPFSTNLSLLHRVFTCSRYLTTRFMSTPPPDEMFGFDDPFSPIESREVVDLTKEYSFLHDSLLDSGNVDLHQVVPIITQSSIDARAIADAVSGGDDVFGSKSQKFLRQFREKLSESLVIEVLRLIERPSAVISFFVWAGRQIGYKHTSPVYNALVDLIVRDDDEKVPEELLQQIRDDDKEVFGEFLNVLVRKYCRSGSFSIALEELGRLKDFRFRPSRSTYNCLIQAFLKADCLDSASLVHREMSLANLRMDGFTLRCYAYSLCKVGKWREALTLMETENFVPDTVFYTKLISGLCEASLFEEAMDFLNRMRATSCLPNVVTYSTLLCGCLNKKQLGRCKRVLNMMMMEGCYPSPKIFNSLVHAYCTSGDHSYAYKLLKKMVKCGHTPGYVVYNILIGSICGDKDSLSCHLLELAEKAYSEMLATGVVLNKINVSSFTRCLCSAGKYEKAFSVIREMIGQGFIPDTSTYSKVLGYLCNASKMELAFLLFEEMKRGGLVADVYTYTIMVDSFCKAGLIEQARKWFNEMREVGCTPNVVTYTALIHAYLKAKKVSYANELFETMLSEGCLPNIVTYSALIDGHCKAGQMEKACQIFERMCGSKDVPDVDMYFKQYDDDNSERPNVVIYGALLDGFCKLHRVEEARKLLDAMSMEGCEPNQIVYDALIDGLCKVGKLDEAQEVKAEMSEHGFPATLYTYSSLIDRYFKVKRQDLASKVLSKMLENSCAPNVVIYTEMIDGLCKVGKTDEAYKLMQMMEEKGCQPNVVTYTAMIDGFGRIGKIETCLELLERMGSKGVAPNYVTYRVLIDHCCKNGALDVAHNLLEEMKQTHWPTHAAGYRKVIEGFNKEFIESLGLLDEIGQDDTAPFLSLYRLLVDNLIKAQRLEMALRLLEEVATFSATLVDYSSTYNSLIESLCLANKVDKAFRLFSEMSKKGVIPEMQTFCSLIKGLFRNSKISEALLLLDFISHMVCPL >fgenesh1_pm.C_scaffold_1000536 pep chromosome:v.1.0:1:2495432:2497155:1 gene:fgenesh1_pm.C_scaffold_1000536 transcript:fgenesh1_pm.C_scaffold_1000536 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQWKEAILEGIFMEIEDGVVEEKNLERLENLVEILHKEGSKVPKSVTEAYCKVAVECTVKCLAYEKDAKKAYTEAISSIWLGRIMPLCDKVSCLVTLDLLKCCRRLWKAHNDDKACKTLMDEDTRDKALVSLRKVVLDLNPILVLENPNMDESEDIESSEESQETESMVEAREGVVNQNSQASEAMEDEQESLLDTELERPISGGSKAVYVPRQFKPISSAVVDRALRELRASKIELMNALEKGRPSNLNNEKITEQENDVANPSATNASPRPSLMERRSTAHTYEWKDSLDDSDGEMGDDGVRKSKRKRIVVSPLKRNRVFEGARRPKVPWSTAETLAVLKGYEKYGANWKRIKDENPILVRRTNGDIKDKFRVEMRREERHH >fgenesh1_pm.C_scaffold_1000542 pep chromosome:v.1.0:1:2520146:2522884:1 gene:fgenesh1_pm.C_scaffold_1000542 transcript:fgenesh1_pm.C_scaffold_1000542 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCHX14 [Source:UniProtKB/TrEMBL;Acc:D7KGX3] MSKLDLEEVNSMQRGKVHGPFLVENMVCQKNHMLTSKGVFLGSDPLKYAMPLMLLQMSVIIITSRLLYRLLKPLKQGMISAQVLAGIILGPSLFGQSSAYMQMFLPISGKITLQTLSNLGFFIHLFLLGLRIDASIIRKAGSKAILIGTASYALPFSLGNLTVLFLKNTYKLPPDVVHCISTVISLNAMTSFPVTTTVLAELNILNSDLGRLATNCSIVCEAFSWIVALVFRMFLRDGTVSSLWSFGWVAVLILVIFFVCRPVIIWLTDRRSISIDKSGEIPFFPIIMVLLTISLTSEVLGVHAAFGAFWLGVSLPDGPPLGTGLTTKLDMFATSLMLPCFIAISGLQTNFFIIGESHVKIIEAVILITYGCKFLGTAAASAYCNIQIGDAFSLALLMCCQGVIEIYTCVMWKDEKVLNTECFNLLIITLLLVTGISRFLVVCLYDPSKRYRSKSKRTILNTRQRNLQFRLLLCVYNVENVPSMVNLLEASYPSRFSPISVFTLHLVELKGRAHAVLVPHHQMNKLDPNTVQSTHIVNGFQRFEQQNQGTLMAQHFTAAAPFSSINDDICTLALDKKATLIVIPFHKQYAIDGTVDNVNPSIRNINLNVLEKAPCSVGIFIDRGETEGRRSVLMSYTWRNVAVIFIEGRDDAEALAFSMRIAEHPEVSVTMIHFRHKSSLQQNHAIDTESEFSESYLINDFKNFAMNKPKISYREEIVRDGVETTQVISSLGDSYDLVVVGRDHDLDSSVLYGLTDWSECPELGVIGDMFASSDFHFSVLVIHQQEGDSLAMDNSYKLPVSPPRVGDPRVHPRFSVEDGFTSVDLHSNR >fgenesh1_pm.C_scaffold_1000544 pep chromosome:v.1.0:1:2545023:2546698:1 gene:fgenesh1_pm.C_scaffold_1000544 transcript:fgenesh1_pm.C_scaffold_1000544 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7KGX5] MLIHVIIFMIITTMLISTTCHANVINVTNINVSMFPAILVFGDSTIDTGNNNYIKTYIRANFPPYGCNFPGHNATGRFSNGKLIPDFIASLMGIKDTVPPFLDPHLSDSDILTGVCFASAGSGYDNLTDLATSTLSVAKQADMLRSYVERLSGIVGEEKAATIVSEALVIVSSGTNDFNLNLYDTPSPRHKLGVDGYQSFILSSVHNFVQELYDIGCRKIMVLGLPPVGCLPIQMTMAMQKQNKRRCIDKQNSDSQEFNQKLEKSLTDMQSNLTGSVIFYGDIYGALFDMATNPQRYGLKETTRGCCGTGEMELAYLCNALTRTCPDPNQFLFWDDIHPSQVAYIVISLSLVEQILHVLS >fgenesh1_pm.C_scaffold_1000563 pep chromosome:v.1.0:1:2640702:2643998:-1 gene:fgenesh1_pm.C_scaffold_1000563 transcript:fgenesh1_pm.C_scaffold_1000563 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVTTARECLTEEAARALDDAVAVARRRSHAQTTSLHAVSALLAMPSSILREVCVSRAARSTPYSSRLQFRALELCVGVSLDRLPSSKSPATEEDPPVSNSLMAAIKRSQANQRRHPETYHLQQIHASNNGGGGCQTTVLKVELKYFILSILDDPIVNRVFGEAGFRSSDIKLDVLHPPVTQFSSRFSRGRCPPLFLCNLPNSDPNREFPFCGSSGFDENSRRIGEVLGRKDKKNPLLVGNCANEALKTFTDSINTGKLGFLPMDISGLSLISIEKEISEILADGSKNEEEIRVKVDDLGRIVEQNGSKSGIMLNLGELKVLTSEANAALENLVSKLSDLLKHQSKKLWFIGCVSSNETYTKLIDRFPTIEKDWDLHVLPITASTKPSSQGVYPKSSLMGSFVPFGGFFSSTSDFRVPLSSTVNQTLSRCHLCNEKYLQEVAAVLKASSSLSLADQCSEKLPPWLRAVETKEDKGTTGSSKALDDANTSASQTAALQKKWDNICQSIHHTPAIPKLGFQSVSSQFPVQTEKSVRTPTSFLETSKLLNPPISKPKPMEDLTTSVTNRTVSSPLSCVTTDFGLGVIYASKNQESKTAREKPLLVTLNSSLEHTYQKDFKSLRELLSRKVAWQTEAVNAISQIICGCKTDSTRRNQASGIWLALLGPDKVGKKKVAMALSEVFFGGQVNCICVDFGAEHCFLDDKFRGKTVVDYITAELSRKPHSVVLLENVEKADFPDQMRLSEAVSTGKIRDSHGRVISMKNVIVVATSGIAKDNATDHVTKPVKFPEDQVLSARSWKLQIKLGDSTKIGVNKRKHELETEQRAVKVQRSYLDLNLPVNETEVSLDHETEDSNTWFDDFIEQVDGKVTFKPVDFDGLAKNIQEKISSHFERCFGSETHLELDQEVIIQILAASWSSLSSDEEEGKTVDQWMQTVLAPSFAEAKQKYGSNPMLAVKLVASSSGLASGIELPAKVDVM >fgenesh1_pm.C_scaffold_1000570 pep chromosome:v.1.0:1:2661830:2664999:-1 gene:fgenesh1_pm.C_scaffold_1000570 transcript:fgenesh1_pm.C_scaffold_1000570 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNAAGASLSSYKYFVTTGTVKFESLESAAYEIPRKRKSRSDSAAAVNLMSGNSLSSPKKLKSHRPCFVSNPRISVKEVMEILRDPVNLVVQKLSDCLGSKSNWNPRDEEQMRAVKEALHVSKAPSTIVCREDEHIRIFGFVKGCIDQQKAGSLYICGCPGTGKSLSMEKVVQQVGDWSTQAGLPPVDTLSVNCTSLTKTTDIFSKILGEIKPGKNANTNSSPLQHLQSLFSQKQASSSSRMMLIIADEMDYLITKNRGVLYDLFLLTTLPFSRCILIGVANAIDLADRFLPKLKSLTCKPMVITFRAYSKDQILRILQERLMVLSYVAFQPKALELCARKVAAASGDMRKALCVCRSALEILEMEVRRSAGPESQGPTTDDSVVRMDHMAAALSKTFKSPVVETIQSLPQHQQIIICAATKAFRGSKKDTTVGELNMLYLEICKSWMISPAGITEFTNMCTVLNDQGILKFGQARGDKPKRVSLRVDESDITFALQEIRFFRNCLV >fgenesh1_pm.C_scaffold_1000580 pep chromosome:v.1.0:1:2697978:2701559:-1 gene:fgenesh1_pm.C_scaffold_1000580 transcript:fgenesh1_pm.C_scaffold_1000580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramidase family protein [Source:UniProtKB/TrEMBL;Acc:D7KH17] MELSLVRLWTTCFFYISTLLFLLIGGGVYSHSEYLIGLGSYDITGPAADVNMMGYANMEQVASGIHFRLRARTFIVSQPQGKRVVFVNLDACMASQIVKLKVIERLKARYGDLYTEKNVGISGIHTHAGPGGYLQYVIYIVTSLGFVRQSFDALVDGIENSIIQAHENLRPGSIFINNGELLDAGVNRSPSAYLNNPSGERSKHKYDVDKEMTLLKFVDDQWGPVGSFNWFATHGTSMSRSNSLISGDNKGAASRFMEDWFEQNTVERSYSEEFISDEIPRRVSSIIENHQDNHQELLELASYFESQPGKPATRISSSARRVRSALRKADMPGFVSAFCQTNCGDVSPNVLGAFCLDTGLPCDFNHSTCGGKNEMCYGRGPGYPDEFESTCIIGERQFKMALELFNKASEQLEGKVDYRHVYVDFSQLNVTLPEKDGKSEVVKTCPAAMGFAFAAGTTDGPGAFDFTQGDDKGNPFWRLVRNVLKTPDKKQIDCHYPKPILLDTGEMTKPYDWAPSILSLQILRIGQLFILSVPGEFTTMAGRRLRDAVKTQLKNSGNKDLSGEIHVVIAGLANGYSQYVTTFEEYQVQRYEGASTLYGPHTLSGYIQEFKKLSKSLVLDMPVQPGPQPPDLLDKQLSFLTPVMMDTTPDGDSFGDVISDVPKNLSLKRGNDQVTVVFRSACPRNDLLTEGTFALVEKLEQKDKTWTPVYDDDDLCLRFKWSRPKKLSSRSQATVEWRIPESASPGVYRITHFGAAKKLFGSVHHFTGSSSAFVVT >fgenesh1_pm.C_scaffold_1000585 pep chromosome:v.1.0:1:2737693:2738070:-1 gene:fgenesh1_pm.C_scaffold_1000585 transcript:fgenesh1_pm.C_scaffold_1000585 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVNMSGKSESPRSPTRLQRQAPTALQLDLVPDNPFLQQSCDVVATTAIPLLSPLFVSPNLHSSLSKEGDNCVFPVGFTEKNGSQPSMDHKEGPQYSAKADNSNEMALLNMFQTKFVLVDHSQ >fgenesh1_pm.C_scaffold_1000594 pep chromosome:v.1.0:1:2789435:2793569:-1 gene:fgenesh1_pm.C_scaffold_1000594 transcript:fgenesh1_pm.C_scaffold_1000594 gene_biotype:protein_coding transcript_biotype:protein_coding MWKHLKNENSSPKDGKYNHKSGWLAGMLHVLDFHHWRTKNRPICWKTPRTHSLMRETEEQEPFLDSKEGDSKMLNVAADNKPTRQGTKPKKMMATKQVTEYVDFLEILRKEDVFVKILKDRVQIKSNPRVLPKSGSFPISGSSRPARIEHKQKENWYAPKQNGAVLTLNVPRDASQEHKPNSPSHGSADDDHGFNHAVINGFREIKKLIKNALKDRNHTKKKKKVSDVPKDDYMGRYSQLLEQSFRREGGELRSKSLKLSYEEKKSDSRDNKPQFFRRISSLSSLEVLGSFLTDLPRDSSTSNLEPKKPVDQDTNFGSKKSVLLSESLVRAEKEEKYEVQEERSQENHLDSSNQRIILQQDQDSAPSADDTVEKTETLLPQGLGLSTSEIYNHEEEDEDAYFCYVKKVLEVSGFLEEKWHSEEQPLNPSLLHELEIHEEVVNDKELLFDLVNEAIVETQNQSQIYFPKTYPYGKRYLDEVWGRVEWSLSGLGAENRDRSLDDIVGRDLLTKSDGWMNLQGRRRSIGNKLMWLTRAIVSVRYLGSYKRPQKPPWMRNPVVLYSDFSEKKGKVAPLQETRMRDRFTLYARGGEGGSGCSSVRRSRADRYGKPDGGNGGRGGDVILECTHAVWDFSGLQPHIKGGKAGHGTSKNRIGNRGEDKVLQVPIGTVIHLQEDLDPWELPGSLVEDPASEETSDVHQETMLESVQVDDTEQESLTRHLGMPKEADLEDDEEEIDQIRYNVAELTQQGQRIIIARGGEGGLGNVSATRYVRGSKFAKTAIRQTNLRSMEDDAEDDDERSSIKAGSLGSEAVLILELKSIADVGLVGLPNAGKSTLLGALSRAKPRVGHYAFTTLRPNLGNVNYDDFSMTVADIPGLIKGAHQNRGLGHNFLRHIERTKVLAYVVDLASGLDGCRGVTPWQQLRDLVMELEFHEEGLSDRSSLIVANKIDEEGAEERLKELERRVKGVKIFPVCAVLEEGVAELKDGLKMLVDGDGEGSERLKLENICVD >fgenesh1_pm.C_scaffold_1000623 pep chromosome:v.1.0:1:2916188:2917172:1 gene:fgenesh1_pm.C_scaffold_1000623 transcript:fgenesh1_pm.C_scaffold_1000623 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain protein 1 [Source:UniProtKB/TrEMBL;Acc:D7KHW8] MESKSDASAATTPIISSSSSPPPPFSPRVVLSPCAACKILRRRCAERCVLAPYFPPTDPAKFTIAHRVFGASNIIKFLQELPESQRTDAVNSMVYEAEARIRDPVYGCAGAIYHLQRQVSELQAQLAKSQVEIVNMQFQRSNLLELLYKMDQQNQEQDNILSFESNDLGFLEDNSNTNSSMLWWDPLWTC >fgenesh1_pm.C_scaffold_1000624 pep chromosome:v.1.0:1:2918049:2919198:1 gene:fgenesh1_pm.C_scaffold_1000624 transcript:fgenesh1_pm.C_scaffold_1000624 gene_biotype:protein_coding transcript_biotype:protein_coding MATELSLTMRGGGFINVEDLLKMHLKTSANIQLKSHTVDEIREAVRRDNKQRFSLIDENRELLTQTKATRSRKICACHVFFLLNTTVESEKLLKPILSPEEAPVCVHGTYKKNLESILSSGLKRMNRLHIHFSCGLPTDGEVVSDNKKALEDGIAFYISDNKVILTEGIDGVVPVDYFQKIESWPDRNPIPF >fgenesh1_pm.C_scaffold_1000627 pep chromosome:v.1.0:1:2939887:2950154:-1 gene:fgenesh1_pm.C_scaffold_1000627 transcript:fgenesh1_pm.C_scaffold_1000627 gene_biotype:protein_coding transcript_biotype:protein_coding description:T6D22.2 [Source:UniProtKB/TrEMBL;Acc:D7KHX2] MGKEKFHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPRYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGMIKPGMVVTFAPTGLTTEVKSVEMHHESLLEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSEILTKIDRRSGKEIEKEPKFLKNGDAGMVKMTPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKVTKAAVKKGESKDNVSFFTLVWYLVACLCSCFVISPSERCSRNWVLDRSRIIHLSSAILITRVTMGKEKFHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGMIKPGMVVTFAPTGLTTEVKSVEMHHESLLEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSEILTKIDRRSGKEIEKEPKFLKNGDAGMVKMTPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKVTKAAVKKGAK >fgenesh1_pm.C_scaffold_1000660 pep chromosome:v.1.0:1:3110765:3112769:-1 gene:fgenesh1_pm.C_scaffold_1000660 transcript:fgenesh1_pm.C_scaffold_1000660 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNAGNYNKAFPLYMNALEYFKTHLKYEKNPKIRDTISDKFNEYILRAEEIRAVLDEVRSGTGSNGDAAVATKPKDGGDGAESKLRAGLNSAIVREKPNVKCQRSVKGSCYFACPRRPWRAFLLYGPPGTGKSYLAKAVATEANSTFFSVSSSDLVSKWMGESEKLVSNLFEMARESAPSIIFVDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDEKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDVHLGDTPHNLNEADFEYLARRTEGFSGSDVAVCVKDVLFEPVRKTQDAMFFFSAPDGTWIPCGPKQPGAIQITMQDLAEKGLAEKIIPPPIARTDFEKVLARQRPTVSKTDLEVHERFTKKFGEEG >fgenesh1_pm.C_scaffold_1000665 pep chromosome:v.1.0:1:3127827:3133374:-1 gene:fgenesh1_pm.C_scaffold_1000665 transcript:fgenesh1_pm.C_scaffold_1000665 gene_biotype:protein_coding transcript_biotype:protein_coding description:T27G7.2 [Source:UniProtKB/TrEMBL;Acc:D7KI13] MDFYFHLCSLTLQRKATTSGKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLSQLEQELQRARSQGLFMGGCGPPGPNITSGAAIFDMEYGRWLEDDNRHMSEIRTGLQAHLSDNDLRLIVDGYIAHFDEIFRLKAVAAKADVFHLIIGTWMSPAERCFIWMAGFRPSDLIKILVSQMDLLTEQQLMGIYSLQHSSQQAEEALSQGLEQLQQSLIDTLAASPVIDGMQQMAVALGKISNLEGFIRQADNLRQQTVHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWLSRPRDSVSEQTRAAALAELRLISKQDPDSRLIIADAGAIPYLAETLYSSSHSSQENAAATLLNLSITSREPLMSSRGLLDALSHALRHHDTTTSPAAVQSSAATIYSLLITEESYRPIIGSKRDIIFSLIHIIRYADSHPRSIKDSLKALFAIALYPMNRSTMISLGAIPALFSLIVKDSRSGIVEDATAVMAQVAGCEESEEGMRRVSGANVLADLLDPCTGSSLRIKENAVGALLNLARCGGDAARSEVAAAVASGADEGAMEGIVYVAENGSVKGRKKAVDLLKLVVSGNGGGDSRFDYLLNENPNSRSS >fgenesh1_pm.C_scaffold_1000683 pep chromosome:v.1.0:1:3215962:3220356:1 gene:fgenesh1_pm.C_scaffold_1000683 transcript:fgenesh1_pm.C_scaffold_1000683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg-protoporphyrin IX chelatase [Source:UniProtKB/TrEMBL;Acc:D7KIS8] MAMTPVASSSPVSTCRLFRCNLLPDLLPKPLFLSLPKRNRSASCRFTVRASANATVESPNGVPASTPETESTSYGRQFFPLAAVVGQEGIKTALLLGAVDREIGGIAISGRRGTAKTVMARGLHEIIPPIEVVVGSISNADPACPDEWEDDLDERIEYNADNTIKTEIVKSPFIQIPLGVTEDRLIGSVDVEESVKRGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTDGVNIVEREGISFRHPCKPLLIATYNPEEGAVREHLLDRVAINLSADLPMSFEDRVAAVGIATQFQERCNEVFRMVNEETETAKTQIILAREYLKDVKISREQLKYLVLEAVRGGVQGHRAELYAARVAKCLAAIEGREKVTIDDLRKAVELVILPRSSLDETPPPEQQNQPPPPPPPPQNSESGEEENEEEQEEEEVSGCGTHYCLSLHTNKDESNEENENEQQQDQIPDEFIFDAEGGLVDEKLLFFAQQAQKRRGKAGRAKNVIFSEDRGRYIKPMLPKGPVKRLAVDATLRAAAPYQKLRREKDITGTRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDAAEVLLPPSRSIAMARNRLERLPCGGGSPLAHGLTTAVRVGLNAEKSGDVGRIMIVAITDGRANITLKRSTDPDSIAPDAPRPTSKELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISATTRDALSDLKNS >fgenesh1_pm.C_scaffold_1000684 pep chromosome:v.1.0:1:3220788:3222368:1 gene:fgenesh1_pm.C_scaffold_1000684 transcript:fgenesh1_pm.C_scaffold_1000684 gene_biotype:protein_coding transcript_biotype:protein_coding description:T27G7.21 [Source:UniProtKB/TrEMBL;Acc:D7KIS9] MKSLCFISSSTAPLIRSPPFLPLNRTSLNRFTLKIQSSLYLSHPTRCSISNPSSSEESLDSNDGMSRASISVFGGTSLNNLKMQVGSPISLHSINPLAKLSLSDQAFLLLAFIVCTTSVAFTSLVIAAIPTLLFIMYLCSWIAIYVLYLLAHLQAMGRAATSFAKLADTARKELPSTLAALRLSGMEISDLTLELSDLSQDLADGINKSAKAVQAAEAGIKQIGTLAQQQTLSMIEERANLPEISLQPVVAGAAEKTSHALGSATKRLMNIITGGNKDEDLDV >fgenesh1_pm.C_scaffold_1000701 pep chromosome:v.1.0:1:3296632:3297050:-1 gene:fgenesh1_pm.C_scaffold_1000701 transcript:fgenesh1_pm.C_scaffold_1000701 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVILLMVSCVFMFLVVSYIQDVEAANKRCHLNQMFTGKCGNDGNKACLGDFKNKRRKYDLCQCTDAPQIIPSLPPQRVCNCSRPC >fgenesh1_pm.C_scaffold_1000703 pep chromosome:v.1.0:1:3299488:3300769:1 gene:fgenesh1_pm.C_scaffold_1000703 transcript:fgenesh1_pm.C_scaffold_1000703 gene_biotype:protein_coding transcript_biotype:protein_coding MSANEIPDEIWRKIMEIGVKSSTFSYKDLCCISISSRRLCRLSCDDSLWDLLLFLDFPTHIVSASSSQSPSKFIYRTRFEREKERKVAAHRRALLRKESEISEWGRRIRELEARLSDEAERLHSSSLQFSDLLKVRQASVALNVWQPQIVRGRQKQLVEQNAVPVEGRLRALEMEIKLCKQQIMGVNKALREVKHRFDIAIKELESMKYHPLRDYKSSGDQGSNGKTKKLKTSISYRGYQESNGKRKKLKTSINCKFMNNSHFSSCSSVAEKYYSYCLKISMSIFLKICLFSQV >fgenesh1_pm.C_scaffold_1000711 pep chromosome:v.1.0:1:3342301:3344912:-1 gene:fgenesh1_pm.C_scaffold_1000711 transcript:fgenesh1_pm.C_scaffold_1000711 gene_biotype:protein_coding transcript_biotype:protein_coding description:VAMP/synaptobrevin-associated protein 27-2 [Source:UniProtKB/TrEMBL;Acc:D7KIV7] MNMPLLDIQPRTLQFAVDLKKQSSCVVQLTNTTHHYVAFKVKTTSPKKYCVRPNVGVVAPKSSCEFTVIMQAFKEPPPDMVCKDKFLIQSTAVSAETTDEDITASMFSKAEGKHIEENKLRVTLVPPSDSPELSPINTPKQEAGFKDSILKDRLYSQSETLAPPQYEGEIAKEPRMVGHDDLKAAGNAKELKTPKMATVDFMEDRYTANDLKATKDSYDSSRMAKETGFDPIRSHKDADDANNLDAPMKKAMDLHRDQGFTNGIASVDSATYSDEPKVPKERDVVQLQKTDGQNARGLDELKLVKDIEEMKLKVDAVESKLKQADSTISKLMEERSISSQHRQSLQHELAELRTKKIVKEVHNGFPLLYVCVVAFISYVIGYCLRT >fgenesh1_pm.C_scaffold_1000734 pep chromosome:v.1.0:1:3465023:3472461:-1 gene:fgenesh1_pm.C_scaffold_1000734 transcript:fgenesh1_pm.C_scaffold_1000734 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGAALMSSVAIESEEQKLGTVIGIDLGTTYSCVGVYHNKHVEIIANDQGNRITPSWVAFTDTERLIGEAAKNQAAKNPERTIFDPKRLIGRKFDDPDVQRDIKFLPYKVVNKDGKPYIQVKVKGEEKVFSPEEISAMILTKMKETAEAFLGKKIKDAVITVPDRISMMRRGKPQRMQGHLWAIAGLNVVRIINEPTGAAIAYGLDKKGGESNILVYDLGGGTFDVSILTIDNGVFEVLSTSGDTHLGGEDFDHRVMDYFIKLVKKKYNKDISKDHKALGKLRRECELAKRSLSNQHQVRVEIESLFDGVDFSEPLTRARFEELNMDLFKKTMEPVKKALKDAGLKKSQIDEIVLVGGSTRIPKVQQMLKDFFEGKEASKGTNPDEAVAYGAAVQGGVLSGEGGEETQNILLLDVAPLSLGIETVGGVMTNIIPRNTAIPTKKSQVFTTYQDQQTTVSINVYEGERSMTKDNRELGKFDLTGILPAPRGVPQIEVTFEVDANGILQVKAEDKVVKTSQSITITNDKGRLTEEEIEEMIREAEEFAEEDRIMKEKIDARNKLETYVYNMKSTMADKEKISDEDKEKMEEVLKEALEWLEENVNAEKEDYDDKMKEVESVCNPVIKSVYEKTGGESEDGGGDDHDEL >fgenesh1_pm.C_scaffold_1000736 pep chromosome:v.1.0:1:3479670:3482242:-1 gene:fgenesh1_pm.C_scaffold_1000736 transcript:fgenesh1_pm.C_scaffold_1000736 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome aaa-ATPase subunit rpt5B [Source:UniProtKB/TrEMBL;Acc:D7KIY3] MATSTAMAEDTSFEEDQLASMTPDDIPRASRLLANEIRILKEELQRANLDLESKIKLNKQLPYLVGNIVEILEMSPEDDAEEYGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDTLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQAKLVEAIVLPMTHKEQFEKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLMFIGDGAKLVRDAFLLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDDRIKVIAATNRADILDPALMRSGRLDRKIGTPLKKLEAGSYRKMNVNADVNFEELARSTDDFNGAQLKAVCVEAGVLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >fgenesh1_pm.C_scaffold_1000741 pep chromosome:v.1.0:1:3500838:3506783:-1 gene:fgenesh1_pm.C_scaffold_1000741 transcript:fgenesh1_pm.C_scaffold_1000741 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQQDSQLCLASILEDFLKQRNIRVGADSSSKKADETFGGRDLPVDPSDLRRYEAARWVRNTLGVVGGRDLPADPSEDDFRIALRSGILLCNVLNRVKPGAVPKVVEAPNDPLVNQDGAALSAFQYFENLRNFLVVVEEMGIPTFEVSDFEKGGKSARIVECLLALKSYREWKQSGGSGTWRYILNSKPTTFGIAKQYKRKDSEAHVDAVTSSPFSTQSSEQPLFDQSDSNTKHEGTANSIDAIVRAVFCDMKQEEFPEIILRDVLVCFQIVEDMLKSVMVEYERRLATQNELIHMSAGNKDKLGCDDLGRTISGNEETLSDASYGEENLSKIVNNNMEASENNNVDESKSQDCELYAISKEKTEKQQMIIDRQQTHTEELKHDLKAVKAGLSLMQMKYQQEFTSLGEHLHGLAYAATGYQRVLEENRKLYNQVQDLKVSKICNIKSHEFITGSIRVYCRVRPFLPGQESVLTTVDHLEESTITIATPSKYGKEGQKSFTFNKVFGPSASQALIEIFNFQYVEAVFADTQPLIRSVLDGYNVCIFAYGQTGSGKTFTMMGPNELTDESLGVNYRALSDLFHLSKIRNSSQDGINVPEATLVPVSTTSDVIYLMNIGQKNRAVSATAMNDRSSRSHSCLTVHVQGKDLTSGVTLRGSMHLVDLAGSERIDKSEVTGDRLKEAQHINKSLSALGDVIASLSQKNNHIPYRNSKLTQLLQDALGGQAKTLMFIHISPEVEDLGETLSTLKFAERVATVELGAARVNKDTSEVKELKEQIASLKLALARKESGSDQTLLPRPLTPDKLLRKKSLGVSSSFSKSANTRQFQTKHKTSSQIDDLNNIEGQSDSASSLDLQGLVGSPSWKSPSMDEKEEEIEFIPAGSEWVDKHEDEITRSSKPENRSHTQLEKRSSSLKREPTRGVDHNKCSNNNVDKGLEVRKIPYEEEANESDETATSDCSETNLMWQLNVQVNMPRPASNGSSTKLKKNQSKINRVAAETRSMIPSLIPTPTRRLSLGAISTPGQTSSRHNNSTVVVKKRQNPK >fgenesh1_pm.C_scaffold_1000744 pep chromosome:v.1.0:1:3511140:3516323:-1 gene:fgenesh1_pm.C_scaffold_1000744 transcript:fgenesh1_pm.C_scaffold_1000744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KIZ3] MNSNLQSSPLSSQLFTSIDMGTNSFKLLIVHADPCGRSFVPIERLREPVVLSRESPTSISSQSQARALQSLRKFKSLILSHNVSVDRIRCVATEALRRAENQKEFVDAVFEDVGLKVDVLSGEEEARLVYLGVLQFLPVFEKSVLCVDIGGGSTEFVVGKCGDVKLAVSLKLGHVNLTQMFMKNGGIGLKEMRDYIRHAIDESRLADRLKESNGFEMVVGSSGTIRAIENAVFSGYGADLCEFNLEGYKRDWRFGTRELSSVVAKLCSEGDEELIRREGFFKRRSEFIVAGAVLLEEIFKALGIEDLEVSEYALAEGVIADSLAKAFDGSYDLNANARWRSVMRLATRFNGKKRMTHAIHCAKIAKVILDDKELEYLEAACFLHNIGIIIGKKGYHKQSYHIIKNGDHLHSYTAEEIELIAMLVRYQRKKFPKLDRAPLKDFTEEAKRKFIILCLITRLSVILQRNENMDLQEVEFLESSETFKLVLKEKKQHQEPVEVGYQDQVNKNSNESQLEKEVEHFKRRVVGFFPGVFRCPIYEVKNVSFKPETDGKTMIVRRMNWSNEKSFVCQNMTPCYLFPLDVHLVFKQMLKWLPANQRHLHMAAGPKSLSFFSSMKSRGIWADEYTYAPLLKSCSSLSDLRFGKCVHGEVIRTGFHRLGKVRIGVVELYTSGGRMGDAQKVFDEMPERNVVVWNLMVRGFCDSGDVQRGLSFFKQMSERSIVSWNSMISSLSKCGRDREALELFCEMIDQGFDPDEATVVTMLPISASLGVLDTGKWIHSTAESNGLIKDFITVGNALVDFYCKSGDLEAATAIFRKMQRRNVVSWNTMISGSAVNGKGESGIDLFDAMIEEGKVAPNEATFLGVLACCSYTGQVERGEELFGLMMERFKLEPRTEHYGAMVDLMSRSGRIREAFEFLRNMPVNANAAMWGSLLSACRSHGDVKLAELAAMELVKIEPGNSGNYVLLSNLYAEEGRWQDVEKVRTLMKKNCLRKTTGQSTICDVSSLRSSWFCE >fgenesh1_pm.C_scaffold_1000758 pep chromosome:v.1.0:1:3574748:3576057:1 gene:fgenesh1_pm.C_scaffold_1000758 transcript:fgenesh1_pm.C_scaffold_1000758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KJP3] MAPEMNNKLSYGEKKRAYVTFLAGTGDYVKGVVGLAKGLRKAKSKYPLVVAVLPDVPVDHRRQLLDQGCVIKEIQPVYPPENQTQFAMAYYVLNYSKLRIWKFVEYSKLIYLDGDIQVFENIDHLFDLPDGNFYAVKDCFCEKTWSHTPQYKIGYCQQCPDKVTWPETELGPKPPLYFNAGMFVYEPSLPTYYNLLETLKVVPPTPFAEQDFLNMYFKDIYKPIPPVYNLVLAMLWRHPENIELDEAKVVHYCAAGAKPWRFTGQEENMEREDIKMLVEKWWDIYNDESLDYKNFHVHCGQKEDVHKKQQTLPQFFTELSEADVLQCGKAPSAA >fgenesh1_pm.C_scaffold_1000767 pep chromosome:v.1.0:1:3602962:3604835:-1 gene:fgenesh1_pm.C_scaffold_1000767 transcript:fgenesh1_pm.C_scaffold_1000767 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKIWQAIIIAIALFTIVILSVLSFCLIWKKKSRRSKTLSLPIIQTPVVSKEIKEVRIEHVSTSSNFDPQDENNNESDKFLLNLDMEKKTENGLSSSRSGSGKEGYLCVANRSSSSLYEMATPSPSPLSGLPESHLGWGHWFTLRDLEIATNRLSKENVIGEGGYGIVYRGELVNGSHVAVKKILNHLGQAEKEFRVEVDAIGHVRHKNLVRLLGYCIEGTNRILVYEYMNNGNLEEWLHGAMKHHGYLTWEARMKILTGTSKALAYLHEAIEPKVVHRDIKSSNILIDDRFNAKISDFGLAKLLGDGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLVLEAITGRDPVDYARPANEVNLVEWLKMMVGSKRLEEVIDPNIAVRPATRALKRVLLTALRCIDPDSEKRPKMSQVVRMLESEEYPIPREKSVQKLYKHKQEFNLQERRVRRTQEENSDTDRSTPVSRSQSKRQ >fgenesh1_pm.C_scaffold_1000768 pep chromosome:v.1.0:1:3606408:3610099:1 gene:fgenesh1_pm.C_scaffold_1000768 transcript:fgenesh1_pm.C_scaffold_1000768 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRVDLWSEVIKSEEEDGDIPKIEAVYQRRKKPEKSSQPVNLGWLVKGARTSSVNGPKRDSWARSLSTRGRESIAVRAYVNNQPQKKPARRNKPAIPKGKVVKAPDFQKEKEYFRDIDAFELLEESPSPNKSSTWTLGEQVVPEMPHLSTRLEKWLISKKLNRTCGPSSTLSKILENSATHQESTCDNDAFDSLTSVFRLIPSCDDSLAAEDVPVRKIKMEAIDLEDELKRLSLTSDLIPTHQDFDQPFLDLLSACGQTQPSNFMEAFSKFCEPESIVKIGEGTYGEAFRAGSSVCKIVPIDGDVRVNGEVQKRADELHEEVILSWTLNKLRECETEAQNSCPTFIKTQDIKVCQGPYDPILIKAWEEWDAKHGSENDHPDFPEKQRYVMFVLEHGGKDLESFVLLNFGEARSLLVTAGLAVAEAGFEFEHRDLHWGNILLSRNNSSTLPFILEGKQVFIKTFGVQVSIIDFTLSRINTGEKILFLDLTCDPYLFKGPKGDKQSETYRKMKAVTEDYWEGSFARTNVLWLIYLVDILLTKKSFERSSKDERELRSLKKRMEKYESAKEAVLDPFFSDMLMDQIS >fgenesh1_pm.C_scaffold_1000777 pep chromosome:v.1.0:1:3640600:3642919:-1 gene:fgenesh1_pm.C_scaffold_1000777 transcript:fgenesh1_pm.C_scaffold_1000777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:D7KJR5] MDMFNDFNGTNANVLMVGLTLVQAAAAKGAVCLDGSVPGYHLYRGYGSGANNWIIQLQGGAWCDSIQNCQNRKRSGYGSSTLMEKEVAFLGLLSNKAAENPDFYNWNKVKVRYCDGASFGGDSENKAAQLQFRGKRIFLAVMEDLMAQGMRQAKQALLNGCSAGGLSAILRCDDFSNLFPPTTKVKCMSDAGFFLDAVDVSGGHSLRRMYSGVVNTQGLQNTLPPTCTSHIKPTLCFFPQYIINQVKTPLFILNSGFDSWQIGNSLAPPSADPNGSWRNCSSSFRCTASQKQFLDGFKMSMLNAVKTFSKFSKNGVLITSGWAHCQAERQDTWFPGNSGAGKVKGIAVAVGDWYFERIKKKSS >fgenesh1_pm.C_scaffold_1000791 pep chromosome:v.1.0:1:3695075:3697941:-1 gene:fgenesh1_pm.C_scaffold_1000791 transcript:fgenesh1_pm.C_scaffold_1000791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase interacting family protein [Source:UniProtKB/TrEMBL;Acc:D7KJT4] MLQRAASNAYSWWWASHIRTKQSKWLEHNLQDMEEKVKYTLKIIDEDGDTFAKRAEMYYRKRPEIVNFVEEAFRSYRALAERYDHLSTELQSANHMIATAFPEHVPFPLVDDDDDDGDDPKKPPKHLHLIPTGTNIPQVPEVPKKEFKSQSLMVLSKKGPDVLKSSVSSSALVSSGLSKEEALEEIDKIHKGILVLQTEKEFVRSSYEQSYERYWNLENEVEEMQKRVCSLQDEFGVGAEIEDAEARTLVASTALSSCKETIAKLEETQRLFSEDAEIEKGRIDTATERFEALKTKFEIKVEEQAKKAFDGQESSYESVKESREVDLNENLSNMDFTQKIDELVERVVSLETTALSHTALVKTLRTDTDELHEHIRGLEEDKACLVSDSIDMKKRITALEDELSKVRNLFQRVEDQNKNLQKHLTEANSTAKDLSGKLQEVKMDEDVEGDGINPEAVQEEDPSEDLDSISNGGEIKSAEEIKEAIVVKHSRDQESVQEEKSETRDSCGGLSETESTCFGTEAEDEERRNWRQLLPADGMEDREKVLLDEYTSVLRDYREVKRKLSEVEKKNRDGFFELALQLRELKNAVSCEDVEFHLLRQKPEMPGKDSPHPVERSRSESLSIYPSSNSSFSMEPHQQREDLKRASEQAKEDGVKVKFADINDSLRKKIPTVEEKVRGDIDAVLEENIEFWLRFSTSVHQIQKYHTSVQDLKSELSKIESKQQGNAGSSSNTSLASEAKPIYRHLREIRTELQLWLENSAVLRDELEGRYATLCNIKDEVSRVTSQSGGTKVSSTEISGYQAAKFHGEILNMKQENKKVFNELQAGLDRAKTLRNEVERVVCKLEENLGILNVTATRSLSKNTSSSSGKPRIPLRSFLFGVKLKKYKQQPKQASTIFSCVSPSPALQKQCSYIVPPVKVPEYVKRS >fgenesh1_pm.C_scaffold_1000810 pep chromosome:v.1.0:1:3760632:3763315:1 gene:fgenesh1_pm.C_scaffold_1000810 transcript:fgenesh1_pm.C_scaffold_1000810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine acid phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7KJV4] MATKTVWIILLCLFVVSQADQGFDVRHHLSTVTRYSVSKDVTQNLIEGSNVPSECTPIHLNLVARHGTRSPTKKRLRELENLAGRLKELVRDAEARKLPSDKIPGWLGQWKSPWEGKVKGGELIRQGEDELYQLGIRVRERFPSLFEEDYHPDVYTIRATQIPRASASAVAFGMGLFSEKGNLGPGRNRAFAVTSENRASDTKLRFFECCQNYKSYRKAKEPAVDKLKEPVLNKITASVAKRYDLKFTKQDISSLWFLCKQEASLLNITNQSCELFTPSEVALLEWTDDLEVFLLKGYGNSLNYKMGVPLLEDVLHSMEEAIKAREEKLPPGSYEKARLRFAHAETIVPFSCLLGLFLDGSEFERIQKEKPLELPPQPPKTRDFRGSTMAPFGGNNILVLYSCPAESSPKYFVQVLHNEHPIAVPGCDGKDFCSLEDFKAKVVTPHLKHAFDNLCNADLNDLKQKPASSKLSILSSWLFGSSQDTEL >fgenesh1_pm.C_scaffold_1000813 pep chromosome:v.1.0:1:3780001:3780706:1 gene:fgenesh1_pm.C_scaffold_1000813 transcript:fgenesh1_pm.C_scaffold_1000813 gene_biotype:protein_coding transcript_biotype:protein_coding MYKPFLRICLKDLRQIYRITHKLCLYSGFLWKNSDPMKTAIPVIAIVKKSLLCETPFPSLRLLPFPSPSAALGILLARAFGFMVISFSQSNIAVALITDGSENPLVGEKRQNMCASQSEYLMLSKINRRQWKLTELTCYNPLRANFSFMTIKNLTFYGLFAHRACYLLKTVQKEYRKKK >fgenesh1_pm.C_scaffold_1000816 pep chromosome:v.1.0:1:3786135:3788656:-1 gene:fgenesh1_pm.C_scaffold_1000816 transcript:fgenesh1_pm.C_scaffold_1000816 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCGAMLRLSSPNFKTLHLVRHAQGIHNIALEEEGEKPESEKLFDAHLSPKGLQQVSERRNQILESGLLNTIELVITSPLRRAMETSIGIFRGQEDVNISDDFPKANNFPPIVALEICRERMGLYPCDRRASLSTRRTWFPEIDFTMIESDEDALWQDKEREKLEDVATRGLHFVKWLWERPENEIAIVSHGIFLQQTLRALHEKVGIPLEDSLLTRFANCELRSIRIEKSDMEADTITTCNCRNYVTPPSTSIHTLE >fgenesh1_pm.C_scaffold_1000833 pep chromosome:v.1.0:1:3892440:3895197:-1 gene:fgenesh1_pm.C_scaffold_1000833 transcript:fgenesh1_pm.C_scaffold_1000833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein prenyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KKM0] MRQGPCSEEDCFSLLKQFEHVLEADPLIDEVGFIHPSQFNLLDEEAGSANVYQNELQPNNGTSRKFWNQDHKLGISTDILVQLCKDAKHVFLLAFEEYKRHGNACNESQIENFSCSPGTPESEVMKHSQAVLLISSDFGTAWNARKLILSKKDQLSAFMEELRLSGLILSNSHKSESTWSHRRWIIKMISQRFSTPQEIITKESELVESIGERSKMNYRAWYHRCWLVSYMAIEQVIQELNKSKRWARLHVADSSCFHYRRRLMLKILESLYVKGGNAYDKSEARKIWKEELDWNKELVERYVGREALWLHRRFLSLNWIMYFACNDSDVSPEPGESTIMNEEIAIFIDNEIRLVEFSMTVPDTKFEDFQAQALHAAVYMLWLTKKMPELWRMVEEKLGTEKVKCVMSTIAQERPSLLHHLVNV >fgenesh1_pm.C_scaffold_1000834 pep chromosome:v.1.0:1:3897176:3898381:1 gene:fgenesh1_pm.C_scaffold_1000834 transcript:fgenesh1_pm.C_scaffold_1000834 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein At1g10110 [Source:UniProtKB/TrEMBL;Acc:D7KKM1] MVTDGDTPNWSELVTDILSLVFKHLSFTDFARAKTVCSSWYFASKSSSPRKNHTPWLILYEDTHWLMFNSEEEKFYRTQNLGRFAECRGVATCGSWVLVFDKEINFYIINPFTPELIRLPPLEYSNSGTKFERPGNYIFHLLFDDFRINSVVVGNSVLWVDEKTKDYLVVWSYKEACHPGPYIYYCRKREQEWFEIPASTCGKLIGCLDMAYKDEKLYIHTYNGSIRILDFSLGDLPRQIDNHPFSHRPFVTEFPNRRTGMRLTTFGDVVMIQWVTVTHKRIPFQIYKMSSKRETKESFPVLGWGDDAAYWERVHSFEDEESLVWDLSVTLPTKGVSGIKKNSIYFCHTSYSSIREVAAYEIPTHKITAYEIPKQDIEPIRHRGILIGEARWFIPCFGAGE >fgenesh1_pm.C_scaffold_1000838 pep chromosome:v.1.0:1:3923896:3925157:-1 gene:fgenesh1_pm.C_scaffold_1000838 transcript:fgenesh1_pm.C_scaffold_1000838 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQKAVKSSSHYEAESNMEQDIVRKAWVFKPSGLNFIWGGDSRYWVIPREDRTPAELKKVSWLEVTGSYDKIEPGKTYQIGFKISLTADATGWYQAPVFMSAKIGKKGKTIWKRIKSINNNIEKLKGGTGPVNIPDETDGRFEVFVSPKVAINQDTKLQFGLYEVWTGKWKTGLLIYEAFVEEV >fgenesh1_pm.C_scaffold_1000857 pep chromosome:v.1.0:1:4025912:4028606:1 gene:fgenesh1_pm.C_scaffold_1000857 transcript:fgenesh1_pm.C_scaffold_1000857 gene_biotype:protein_coding transcript_biotype:protein_coding MEARERGSMSSSIGNSAELEGNLTLSDRLKVFKGSTFDPEAYVTSKCQRMNEKETRHLSSYLVELKKASAEEMRKSVYANYAAFIRTSKEISALEGQLLSMRNLLSAQAALVHGLADGVHISSLCADDADDLRDEDLYDMDNKQLSKIENWVVEFFDRLEVLLAEKRVEESMAALEEGRRVAIEAHEKRTLSPSTLLSLNNAIKEKRQELADQLAEAISQPSTRAGELRAAVLALKKLGDGSRAHTLLLRSYERRLQANIQSLRASNTSYGVAFAAALSQLVFSTIAQAASDSQAVVGEDPAYTSELVTWAVKQAESFALLLKRHTLASSAAAGSLRVTAECIQLCASHCSSLESRGLALSPVLLKHFRPGVEQALTGNLKRIEQSSAALAASDDWSLSYTPTGSRASSTTPIAPHLKLSISAQRFNSMVQEFLEDAGPLDEALQLDGIALDGVLQVFNSYVDLLINALPGSAENEENPVHRIVKVAETESQQTALLVNALLLADELIPRSASRILPQGASQSTPRRGSSDRQNRPEHREWKKKLQRSVDRLRDSFCRQHALELIFTEEGEVRLSSEIYILMDETTEEPEWFPSPIFQELFAKLTRIAMIVSDMFVGRERFATILLMRLTETVILWISDDQSFWEEMETGDKPLGPLGLQQFYLDMEFVMIFASQGRYLSRNLHQVIKNIIARAVEAVSATGLDPYRTLPEEEWFAEVAQIAIKMLMGKGNFGGNGERDVTSPSVSSAKSYTSN >fgenesh1_pm.C_scaffold_1000868 pep chromosome:v.1.0:1:4092055:4098459:1 gene:fgenesh1_pm.C_scaffold_1000868 transcript:fgenesh1_pm.C_scaffold_1000868 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA cytidine acetyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KKR9] MRKKVDERIRTLIENGVKLRHRSMFVIIGDKARDQIVNLHHILSKSPVKSNTSVLWCYKNRLDISSHNKKRAKQLKKMKERGQLDPEKLDAFSLFLDVGDVTHCLYKDSERILGNTFGMCILQDFEALTPNLLARTIETVEGGGLVVLLLQSLASLTSLCTMVMDVHDRFRTESHSEASGRFNERFLLSLASCKACVVMDDELNILPLSSHIRSITKVPTKEDSEGLSEAERDLKSLKDALNDDFPVGPLINKCCTLDQGKAVVTFFDAILDKTLRSIVALIASRGRGKSAALGLAVAGAVAAGYSNIYVTAPSPDNLKTFFEFVCKGFEALEYKEHLEYDVVRSVNPGFNKAIVRINIFKQHRQTIQYIQPHEHEKLSQVELLVIDEAAAIPLPVVKSLLGPYLVFLSSTVSGYVGQELLIFVCYAFCQSYEGTGRSLSLKLLQQLEEQSRAPVTGVEGSLSGCLFKKIELNESIRYASGDPIESWLNGLLCLDVANCLPNPACHPLPSQCDLYYVNRDTLFSYHKDSELFLQVIIVDFTIYRMMALCVSSHYKNSPNDLQLLSDAPAHHLFVLLGPVDESKNQLPDILCVIQVCLEGQISRKSAEKSLREGHSPHGDQIPWKFCEQFRDVVFPKLSGARIVRIAVHPNAMKMGYGSAAVELLTRYFEGQLASISEGDDELDVEPSPVRVTEAAEKVSLLEEQIKPRANLPPLLVPLRDRRPERLHYLGVSFGLTLDLFRFWRKHKFAPFYISQIPSAVTGEHTCMLLKPLTLSNDEFEVDESDELGFFAPFYKDFRIRFSKLLSDKFKKMDYKLAMSVLNPKINFPEVDSSGNSPDGFLKKLDGVLSPYDMERLRAYTANLVDFNLVYDICKTLAHHYFQEKLPVSLSYVQASVLLCLGLQESDFSSIERQMQLERGQIHSLLLKVGKKLYKYLNAIATNELESTLPRLKDRVLEPHKVSVDEDLREGAKEVEEQMRARIEELLDPELLEQFAIGDKEAEALQKSKISSSGLISIESTKTDNKKEKPISGFDKSTKKRGDDKHSSRSNKKRRA >fgenesh1_pm.C_scaffold_1000871 pep chromosome:v.1.0:1:4104334:4107771:1 gene:fgenesh1_pm.C_scaffold_1000871 transcript:fgenesh1_pm.C_scaffold_1000871 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda [Source:UniProtKB/TrEMBL;Acc:D7KKS2] MAAKRGRNRSPSPDPEGMFAGMVVFMVEIGVQRRRFQIWKQKLVQMGAVIEKDRVTKKVTHVLAMNLDALLDKFGKERLSHFRGRLMLYQWLEDSLTAGEKANEDLYALNIDSEEVEEPNKSLPAISGSEDLPSPHKRTRYLPDAADFKGVESQSNTQGSLDSPTSCSVPSTSASPGDGIAETPTSPQSESTSVYKPPDLNRNITEIFGKLINIYRALGEDRRSFSYYKAIPVIEKFPTKIESIDQLKHLPGIGKSMRDHIQEIVTTGKLSKLEHFETDEKVRTISLFGEVWGIGPATALKLYDKGHRTLEDLKNEDSLTHAQRLGLKYFDDIRTRILRHEVQEMEQLLQRVGEETLPGVNIVCGGSYRRGKATCGDLDIVITHPDGQSHKGFLTKFVKRLKEINFLREDLIFSTHSEEGTDSGVDTYFGLCTYPGQELRRRIDFKVYPRDIYAFGLIAWTGNDVLNRRLRLLAESKGYRLDDTGLFPATHSSSGNRGARGTASLKLSTEKQVFDFLGFPWLEPQERNL >fgenesh1_pm.C_scaffold_1000879 pep chromosome:v.1.0:1:4134989:4136041:-1 gene:fgenesh1_pm.C_scaffold_1000879 transcript:fgenesh1_pm.C_scaffold_1000879 gene_biotype:protein_coding transcript_biotype:protein_coding description:T10O24.22 [Source:UniProtKB/TrEMBL;Acc:D7KLF6] MARAREIGEGNSSSLREQRNLREKDRRMRMKHLFSELSSHVSPTRKLPVPQLIDQATSYMIQLKENVNYLKEKKKTLVQGELGNLYEGSSLLPKLSIRSRDSTIELNLIMDLNMKRVMLHELVSIFEEEGAQIMSANLQNLNDRTTYTIIAQVPHPLICLYAIISRIGIDPSRIEERVRKIIYGYIF >fgenesh1_pm.C_scaffold_1000893 pep chromosome:v.1.0:1:4204523:4206693:1 gene:fgenesh1_pm.C_scaffold_1000893 transcript:fgenesh1_pm.C_scaffold_1000893 gene_biotype:protein_coding transcript_biotype:protein_coding description:F20B24.13 [Source:UniProtKB/TrEMBL;Acc:D7KLH3] MAAISPANATTAASLSLPQFSSSSSSLSSSSSPTFLNFKTATVSNRCVKCGVRSLENPSGHRSLDFLSNGDPISLINQNSSSPITMAAAASESGPKSSKRVCLFHSDETRDLAERIVAKSDCIELRSINWKKFDDGFPNLFIQNAQGIRGQHVAFLASFSSPAVIFEQLSVIYALPKLFVSSFTLVLPFFPTGTSERMEDEGDVATAFTLARILSNIPTSRGGPTSLVTFDIHALQERFYFGDTILPCFESGIPLLKSRLQSLPDSDNIQFILVTVRHLLQISIAFPDDGAWKRFHKQLQHYPTIVCNKVRMGDKRIVRIKEGDAEGRHVVIVDDLVQSGGTLIECQVLYSDSTLKSKVLAAHGAAKISAYVTHGIFPKSSWKRFKLDTKGYYHHYTNGLNQSKQSCPFLCDILKILVFYCFRDAGDPAEGLSYFWITDSCGMTVKEVMNKPPFEVLSLAGSIASALQV >fgenesh1_pm.C_scaffold_1000895 pep chromosome:v.1.0:1:4214248:4214616:1 gene:fgenesh1_pm.C_scaffold_1000895 transcript:fgenesh1_pm.C_scaffold_1000895 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHTTLICIIMLSLFALHECGKMEVKEIGGSSKIYIPPCIHETCSGFSLKSDCWCCLQIKHKKDRCWKEKEFPNAKELCFDQCPKQI >fgenesh1_pm.C_scaffold_1000899 pep chromosome:v.1.0:1:4251697:4252050:1 gene:fgenesh1_pm.C_scaffold_1000899 transcript:fgenesh1_pm.C_scaffold_1000899 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQTLLISILIFSFFALHQCMQMDVGEIEGSSKISIGKCVPAQCAVSFFKRDCWCCFRDQTMCSKTQKDCESNPRCPPLKL >fgenesh1_pm.C_scaffold_1000903 pep chromosome:v.1.0:1:4282382:4283840:1 gene:fgenesh1_pm.C_scaffold_1000903 transcript:fgenesh1_pm.C_scaffold_1000903 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQSKENALALQQENLDLENPEPSRSSGGRSCSSAFSRLVGFRCLIVLVLSCAILLSAIFWLFPRRSVSEFKADGTVKLNASVQASFRLKKPVSEVVSHKGKLEHDILRSIGLSNSSKVTILSLNQSGASNYTDVEFAVLPVPPDHEISNHSLSLLRSSFVKLFAKRSKLKLTTKGFGKPTSFQVLKFPGGITVDPLEPIPASGLAMVLFTGTIKISISKVQDRVDQLNGQLEHMLSLGLYESIHFQFTNKQGSTISPPVTLQVCVAFTMRRHLQQRLDHFAQIIQTSRAKNLGLDEAVFGEVKNITFSTYPDGKVLDSDLELAPAPNP >fgenesh1_pm.C_scaffold_1000908 pep chromosome:v.1.0:1:4293874:4296972:-1 gene:fgenesh1_pm.C_scaffold_1000908 transcript:fgenesh1_pm.C_scaffold_1000908 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDPKLPISGKRNILITSALPYVNNVPHLGNIIGCVLSADVYARYCRLRGYNAIYICGTDEYGTATETKALEENRTPREICDKYHAIHKEVYDWFDISFDQFGRTSTPQHTQVCQEIFNKLWENKLISENTIQQLYCDTCNKFLADRLVEGSCPLCNYDSARGDQCESCGKLLNPTELIAPRCKVCQTTPEIRDTDHLFIDLPKLKAQLEAYINGTSVRGSWSRNAVQTTNAWIREGLQQRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITSCYTSEWEQWWKNPKNAELYQFMGKDNVPFHTVMFPSTQLGTGENWTLMKTVSVTEYLTYQGGKFSKSKGVGLFGNDVKDTNIPVEVWRYYLLINRPEVSDTSFEWEDLQAKLNDELLKNLGNFVNRVVSFIAKPENIGYGSIIPDAPGAESHQLTMSLSEKVGKLVEEYVEAMEKVKLKQGLKTAMLISGEGNAYLQTSEFWKLYKEDKPSCAIVVRSAAGLVHLLARLLEPFMPSFSHEVFKQLNLPPHFSLSDERAEVLQASRPWEILPPSHRIGTPQPLFKELKDEEVQQYKARFAGSQV >fgenesh1_pm.C_scaffold_1000913 pep chromosome:v.1.0:1:4314978:4322891:-1 gene:fgenesh1_pm.C_scaffold_1000913 transcript:fgenesh1_pm.C_scaffold_1000913 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQKITTFVRELNLRMKKEPISLRYIHILGTVVFFSSVSSLVILLALYLNQRLQTSLFLVEDYHTVSSNPLTSPSLSRPPRSSGNDVADEELMWRAAMAPRSAMMNETHPKVAFMFLTRWNLPLSPLWEMFFKGHEGFYSIYVHTSPEFTAEPPESSVFYRKRIPSKAVEWGKSSMMDAEKRLLSHALLEPSNARFVLLSETCIPLFNFTTIYTYLTRSTRSFLGSFDDPRPMGRGRYTPKMLPHVSLSDWRKGNQWFEISRRVAAEIVSDRRYYAVFKDHCRPPCYIDEHYLPTLVNKICPEMNSNRTVTWVDWSRGGSHPARFVRKDIRVGFLDRIRFGSNCSYEEAMEVAKTRRKKTSRNVGHHDLVGPKRNQYHYVKSIEENEKMVEEMQQQMLQIDKELREKTYISGLEYNLNHRWRPIEDYEPVVNFGSNFPDKMVLDKLTFANNAYRDKKAVVSFSSGEREINIHNLNHQMLHKAHCMEGERRLLKMLNPNKDIDSGFSLAQVEVQVKKWPYLNNTSASTIDEETYSRKQRELECERDKVFINSPSKASLWKLLPSTKDLRNQIQAMEAKGEQKKRKVVERRKKIESRERKIKKTENEIKSMRKMMERIHNKKHKALETISHERSCLEKITIL >fgenesh1_pm.C_scaffold_1000915 pep chromosome:v.1.0:1:4325311:4332999:1 gene:fgenesh1_pm.C_scaffold_1000915 transcript:fgenesh1_pm.C_scaffold_1000915 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRKSRSISPRRHRSRSVSPKRRSPTPKRYKRQKNRSSTPSPAKGSPAATLESAKHRNGEKLKREEEERKRRQQEAELKLIEEETVKRVEEAIRKKIEESLQSEKIKLEILTLLEEGRKRLDEEVAAQLEKEKEASLIEAKEKEEREQQEKEESERIAEENLKRVEEAQRKEAMERQRKEEERYRELEELQRQKEEAMRRKKAEEEEERLKQMKLLGKNKSRPKLSFALSSKAFFVTMTTMSDLDENMVAEILCRTPMTCLKTVRSICKKWNTLSKKWVYFGKAKQFLGFMMMDSRVCSLRFDLRNDSFEPPSIKQISILDQIEVSKIFHCDGLLLCVIKDDTNKLLVWNPYLGQTRWIQPRQSFHRLDSYALGHDNNRNHKILRFVDDILPVKNVGNTYFFAKQRLIFEGEGPVDIDITETEDFLLCFDFTAERFGPRLPLPFHSYFDETVTLSCVKEDQLSILYQPLVPYEIMEIWVSTNIEPNAVSWSKFLKVDMRPLTGFQFDVMAGSFFIDQENKVAVVFDLDPYPQSETRRYQTAYIVGQDGGYFKSVDIGEAPNIMTRDSYGYIGPMYCVPLVCSSYVPSLVQID >fgenesh1_pm.C_scaffold_1000945 pep chromosome:v.1.0:1:4487850:4488844:1 gene:fgenesh1_pm.C_scaffold_1000945 transcript:fgenesh1_pm.C_scaffold_1000945 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP125 [Source:UniProtKB/TrEMBL;Acc:D7KMB9] MNDLFSNSFKKNQAQLRDVEAGQETMNLDKFFEDVENVKDDMKGVETLYKKLQDSNEECKTVHNAKKVKELRAKMDGDVGMVLKRVKIIKQKLEALEKANANSRNVPGCGPGSSTDRTRSSVVSGLGKKLKDLMDSFQGLRARMNNEYKETVERRYFTITGEKADEQTIDNLIASGESENFLQKAIQEQGRGQILDTISEIQERHDAVKEIEKNLLELHQVFLDMAALVEAQGQQLNNIESHVAKASSFVRRGTDQLQDAREYQKSSRKWTCYAIILFIVIFILLLIPLLPHIMLMLKK >fgenesh1_pm.C_scaffold_1000960 pep chromosome:v.1.0:1:4603615:4606225:-1 gene:fgenesh1_pm.C_scaffold_1000960 transcript:fgenesh1_pm.C_scaffold_1000960 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKAAAMTLKDFHGGSIPSDLPLPSAPGVTPKVIPDRPVFDRAPWGTSIGRPDQRTRPSSSHAIRNLDDKSLFLPPNVNIGRNFSEDERKPLDGHSAPRRVVSDDVFRFANNRLEVKADSVLAGRHSGWAASPLTHSGTGIVENSVSGTHSNVWNGRKEVSAANNEPGQSPWTKKPAVSNLVHTNAPDQVSSGILQSKLWVPPQMGFDVVKHSETESRVYKTNSHVLDQGDEPHGTHVERALIAEDGIQGGKKFSREFEKIPGPTYVDAKAAKVVANTNNKHHPNYSDVRPAGHLVQPTAPSEVVERPKLNLLPRKKPLESVEKPVNDGKLENCASVLIQRESGSATQKSVNNSKFGLSADAYQPAERPKLNLKPVAQLLEQPEIKTEKERSAVFGGARPRELVLKERGIDETEHHILEQPIDRMVLKPIERAPEYAIQRPVSSPRDLRTRKFDQKDWRNVSDVARSETQRRNWRENENKSSRQQQQTQEKTRHPSPETWRKPVPQKPESPDGTGIRHGKTASALELAQAYSPFSDPKSGIGSSNSFNKSRNNQTQQQPFSRLVGSTTTPRQINGY >fgenesh1_pm.C_scaffold_1000961 pep chromosome:v.1.0:1:4607646:4608805:-1 gene:fgenesh1_pm.C_scaffold_1000961 transcript:fgenesh1_pm.C_scaffold_1000961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KMF3] MRGVWLYLKKSLSCCSAQKSTVACDRDKNQIQKNPSGSSRSMSNLRDVFGTNEDEGAMQNPSCCSSRSLESSRFTNTMKFEGNASYSDRFKGLISGASSSDLLPGRCSERFDVVGSDICGFGVLACRKCHERVRDLDAFEAHYLSNHSVIRLLAGDFSRTTVELICNTGFSHKLGKTKGNNISAILKIQSLQRVVAEFEDYRELVKIRANKLSKKHSRCMADGNEFLGFHGTSLSCAHGLSNSSSNLCFSDQCGVCHILRHGFSPKTRPDGIKGVLTASSSSAALKTIETDQGRNRGSLKAVVLCRVIAGRVHKPMQKFEDPLGFSEFDSLALKVGPNSRIEELYLLSNKALLPCFVIIFKP >fgenesh1_pm.C_scaffold_1000980 pep chromosome:v.1.0:1:4710538:4714849:1 gene:fgenesh1_pm.C_scaffold_1000980 transcript:fgenesh1_pm.C_scaffold_1000980 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGPKSSGPRGFGRQPTVGSAQKRTQKKNGEKDSNATSTATNEVSGVTKLPEAKADVQKQGSVVFNERNVLDKSDIEDDALLERKLKLERENLRRKEIEALAAEHLARGDRMFVYPVIVKPDEDIEVFLNRNLSTLKNEPDVLIMGAFNEWRWKSFTRRLEKTWIHGNWLSCLLHIPKEAYKMDFVFFNGQSVYDNNDSKDFCVEIKGGMDKVDFENFLLKEKLREQEKLAKEEAERERQKEEKRRIEAQKAAIEADRAQAKAETQKRRELLQPAIKKAVISAENVWYIEPSDFKAEDTVKLYYNKRSGPLANSKELWLHGGFNNWVDGLSIVVKLVDAELKDDDPKSGNDDSKSGNWWFAEVVVPAGALVIDWVFADGPPKGAVLYDNNFYQDFHALVPRKTPEELYWLEEENMIFRKLQEERRLKEEVMRAKMEKTARLKAETKERTLKKFLLSQKDVVYTEPVEIQAGNPVTVLYNPANTVLNGKPEVWFRGSFNRWTHRLGPLPPQKMEAADDGSSHMKTTAKVPLDAYMMDFVFSEKEDGGIFDNKNGLDYHLPVVGGISKEPPLHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQELNHNVDIIFPKYDCLKHNFVKDLQFNRSYHWGGTEIKVWHGKVEGLSVYFLDPQNGLFQRGCVYGCADDAGRFGFFCHAALEFLLQGGFHPDILHCHDWSSAPVSWLFKDQYTNYGLIKTRVVFTIHNLEFGANAIGKAMKFADKATTVSPTYAKEVAGNSVISPHLYKFHGIINGIDPDIWDPYNDNFIPVPYTSENVVEGKRAAKEELQNRLGLKSADLPVVGIITRLTHQKGIHLIKHAIWRTLERNGQVVLLGSAPDPRIQNDFVNLANQLHSSHGDRARLVLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLIAMRYGAVPVVRKTGGLFDTVFDVDHDKERAQAQVLEPNGFSFDGADAPGVDYALNRAISAWYDGREWFNSLCKTVMEQDWSWNRPALEYLELYHSARK >fgenesh1_pm.C_scaffold_1000982 pep chromosome:v.1.0:1:4722526:4726621:-1 gene:fgenesh1_pm.C_scaffold_1000982 transcript:fgenesh1_pm.C_scaffold_1000982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KMI1] MARSSPANIPATTIDDYAHSPVHYAVVVGDHAGLSRLVSSLPKLTDPEQIHTESDSMSQERVAEQISTVLDRRDVPFGETPLHLAVRIGDFLAAKTISSAGADITLQNAAGWNPLHEALCRRNSEITETILRNHHRSAWNKWRRRLPHLIAVLSRMRDFYMEISFHFESSVIPFVGKIAPSDTYKIWKRGGDLRADTSLAGFDGFKIRRANQSFLFLSDGDEFLDVSPGTLLVLNRDDKTILNAFENAKDPISDNDIAGFCGQSSLYRPGMDVTKAELVEITNWRRQGKVETVGEWKAKAYDIENVSFSFKSRKVETEQSLPLDENHWSVSEPLRRQPQRRSSRRRRSVSLPEAAIPVASSVAQIKEKEFVKSLSPSVWLTDQFPLKTEELLPLLDILANHVKAVRRMRELLTTKLPTGTFPVKLSIPVIPTVKVVMTFTKFVALPPLDEFYTPLSSPNHLLAAVEDQHDVDDNEKSDRRISTSRPSFSTPSWLRLNINAIGKSSRRRLEDEEQMVDPFTIPTGYKWTSYSDKSVFTEKSSKNQRHIRYWDSCNMASDAPSWADQWGTGGIGVMAAEETTDGKKDVTGKKSGKTKAGINKAKIVVFIGVNWMKNLVQRKKKDSTSSQI >fgenesh1_pm.C_scaffold_1001001 pep chromosome:v.1.0:1:4783206:4784619:-1 gene:fgenesh1_pm.C_scaffold_1001001 transcript:fgenesh1_pm.C_scaffold_1001001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7KN70] MASLFLTLSLLFAAFSSFIVEAAYGNGYTIPKLLLNPIDSCWRRNPSWAFNRRSLADCAVGFGKSAVGGKYGSIYVVTNPSDDPENPRPGTLRHAVIQSKPLWITFARDMVIVLRNELIMNSYKTIDGRGAKVEIAYGPCITIQHVSHVIIHGISIHDCKPGKSGRVRSSPTHVGSRKGSDGDAIAIFDSSHIWIDHCYFSRCQDGLIDVLHASTAVTISNNYFTQHDKVMLLGHNDNNVEDKNMRVTIAFNHFGPGLIERMPRVRRGYAHVANNRYEKWQMYAIGGSADPTIFSEGNYFVASDDPSKKQVTKRIDSGYDWRRWKWRTSKDVFKNGAYFVPSGYGTVTPLYGRAEWFPVSHGSLVPSLTSSAGPLHCYSGRIC >fgenesh1_pm.C_scaffold_1001004 pep chromosome:v.1.0:1:4795309:4797560:-1 gene:fgenesh1_pm.C_scaffold_1001004 transcript:fgenesh1_pm.C_scaffold_1001004 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKSQPQIQPPLSRRGGVVWLGWKLVIAFSVALCLLALLRIQLQYNSDTTLPSPLSVARSQTPLHKYSGDRPKLAFLFLARRDLPLDFMWDRFFKGVDHANFSIYIHSLPGFVFNEETTRSQYFYNRQLNNSIKVVWGESSMIAAERLLLASALEDHSNQRFVLLSDRCAPLYDFGYIYRYLISSPRSFVDSFLHTKETRYSVKMSPVIPEEKWRKGSQWIDLIRSHAEVIVNDGIVFPVFKEFCKRCPPLGTNEAWLFLKQKRRNCIPDEHYVQTLLTMQGLESEMERRTVTYTAWNVSGTKYEAKSWHPVTFTLENSGPEEIKEIKKIDHVYYESESRTEWCKADSKPVPCFLFARKFTNEAAMRIVSEGLIGSSTNTTL >fgenesh1_pm.C_scaffold_1001006 pep chromosome:v.1.0:1:4803656:4806830:-1 gene:fgenesh1_pm.C_scaffold_1001006 transcript:fgenesh1_pm.C_scaffold_1001006 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEDIGVAATINILTAIIFLLAFAILRIQPFNDRVYFPKWYLKGIRSSPLHSGALVSKFVNVNLGSYLRFLNWMPAALNMPEPELIDHAGLDSAVYLRIYLIGLKIFVPIALLAWSILVPVNWTSDGLQLAKLRNVTSSDIDKLSISNIERGSDSLYFLRFWTHLVMAYAFTFWTCYVLMKEYEKVAAMRLAFLQNEQRRPDQFTVLVRNVPADPDESISESVEHFFLVNHPDHYLTHQVVYNANDLAALVEQKKSTQNWLDYYQLKYTRNQEHKPRIKTGFLGLWGQKVDAIDHYIAEIEKLNEQERKKVKKDDTSVMPAAFVSFKTRWGAAVCAQTQQSSDPTEWLTEWAPEAREVFWSNLAIPYVSLTVRRLIMHIAFFFLTFFFMIPIAFVQSLASIEGIEKSAPFLKSIIEKKLVKSVIQGFLPGIVLKLFLIFLPSILMVMSKFEGFVSLSSLERRAASRYYIFNLVNVFLGSIIAGSAFEQLESFLKQSAKEIPKTVGVAIPIKATFFITYIMVDGWAGIAGEILRLKPLIFFHIKNFLLVKTEKDREEAMNPGQINYHATEPRIQLYFLLGLVYAPVTPVLLPFIIIFFALAYLVFRHQIINVYNQEYESAARFWPDVHGRIISALIISQILLMGLLSTKGAAQSTPFLLVLPILTFFFHRFCKGRYEPAFLRHPLKEAMVKDTLERAREPNFNLKPYLQKAYIHPVFKDNDYEDSRFDEISGYCIEDSDDECVTVPTKRQSRINTPAVSHASRGSSRSPPSK >fgenesh1_pm.C_scaffold_1001011 pep chromosome:v.1.0:1:4866141:4868587:1 gene:fgenesh1_pm.C_scaffold_1001011 transcript:fgenesh1_pm.C_scaffold_1001011 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPPLRSLFFLTLLLSSFCFLQIKADHGDESDLDSHIKVDKRLKFENPKLRQAYIALQSWKQAIFSDPFNFTANWNGSDVCSYNGIYCAPSPSYPKTRVVAGIDLNHADMAGYLASELGLLSDLALFHINSNRFCGEVPLTFNRMKLLYELDLSNNRFVGKFPKVVLSLPSLKFLDLRYNEFEGKIPSKLFDRELDAIFLNHNRFRFGIPKNMGNSPVSALVLADNNLGGCIPGSIGQMGKTLNELILSNDNLTGCLPPQIGNLKKVTVFDISSNRLQGPLPSSIGNMKSLEELHVANNGFTGVIPPSICQLSNLENFTYSSNFFSGRPPVCAASSLADAVVNGTMNCLTGLARQRSAKHLDVTTSSLHHHLRLRCHLRTGNFLLDFNTQSPPPPSPVYYPPVTQSPPPPSPVYYPPVTNSPPPQSPVYYPPETYSPPPPSPVYYPWEAPSPPPPSPVYYPPVTPSPPPPSPVYYPPVTSSPPPPSPVYYPSETPSPPPPTEYYYSPSQSPPPTKPCNEGHPPQATPSYEPTPEYTYSSSPPPSPPSYSDSFVPPIPSVSYDASPPPPPPSYY >fgenesh1_pm.C_scaffold_1001035 pep chromosome:v.1.0:1:4986226:4992556:-1 gene:fgenesh1_pm.C_scaffold_1001035 transcript:fgenesh1_pm.C_scaffold_1001035 gene_biotype:protein_coding transcript_biotype:protein_coding description:F5O11.3 [Source:UniProtKB/TrEMBL;Acc:D7KNB1] MEDLKAVRADLLRKVQTAEEGGLQRLHQIKVWLKRVKTIESQFNDLYSSRTVELQRLCFYGAGSRNLRLRYDYGRRVFLMLNMVEDLKSKGGFEEVAHPATRAVGEERPLQPTIVGLETILEKAWNHLMDDGTKIMGLYGMGGVGKTTLLTRINNRFCDTNDGVEIVIWVVVSGDLQIHKIQKEIGEKIGFEGVEWNQKSENQKAVDILNFLSKKRFVLLLDDIWRRVELTEIGIPNPTSENGCKIAFTTRSQSVCASMGVHDPMEVRCLGTDDAWDLFRKKVGQPTLESHPDIPEIARKVARACCGLPLALNVIGETMACKKTTQEWDHALDVLTTYAANFGAVKEKILPILKYSYDNLESDSVKSCFQYCSLFPEDALIEKERLIDYWICEGFIDGYENKKGAVDQGYEILGTLVRASLLVEGGKFNNKSYVKMHDVVREMALWIASDLRKHIGNCIVRAGFGLTEIPRVKDWKVVRRMSLVNNRIKEIHGSPECPKLTTLFLQDNRHLVNISGEFFRSMPRLVVLDLSWNINLSGLPEQISELVSLRYLDLSDSSIVRLPVGLRKLKKLMHLNLESMLCLESVSGISHLSNLKTLRLLNFRMWLTISLLEELERLENLEVLTIEITSSPALEQLLCSHRLVRCLQKVSIKYIDEESVRILTLPSIGDLREVFIGGCGIRDIIIEGNTSVTSTCFRNLSKVLIAGCNGLKDLTWLLFAPNLTHLNVWNSSEVEEIISQEKASRADIVPFRKLEYLHLWDLPELKSIYWGPLPFPCLNQINVQNNCQKLRKLPLDSQSCIAGEELVIQYGDEEWKEKVEWEDKATRLRFLPSCKAMGGCLTVSLSCDQVVNQISQGLCINAGYVCKLSENLVAMKKDMEVLKLKRDDVQRRVDREEFTRRRERLSQVQGWLTNVSTVEDKFNELFITNDVELQRLCLFGFCSKNVKASYLYGKRVVMMLKEIESLSSQGDFDTVTVANPIARIEEMPIQPTIVGQETMLGRVWTRLTGDGDKIVGLYGMGGVGKTTLLTRINNKFSEECSGFGVVIWVVVSKSPDIRRIQGDIGKRLDLGGEEWDNENEKQRALDIYNVLGKQKFVLLLDDIWEKVNLEALGVPYPSKQNGCKVAFTTRSRDVCGCMGVDDPVEVSCLEPDEAWKLFQMKVGENTLKGHPDIPELARETMACKRMVQEWRNAIDVLSSYAAEFSSMEQILPILKYSYDNLIKEQVKPCFLYCSLFPEDYRMEKERLIDYWICEGFIDENESRERALSQGYEIIGILVRACLLLEEAINKEQVKMHDVVREMALWIASDLGKHKERCIVQVGVGLREVPKVKNWSSVRKMSLMENEIETISGSPECQELTTLFLQKNGSLLHISDEFFRCIPMLVVLDLSGNASLRKLPNQISKLVSLRYLDLSWTYMKRLPVGLQELKKLRYLRLDYMKRLKSISGISNLSSLRKLQLLQSKMSLDMSLVEELQLLEHLEVLNISIKSSLVVEKLLDAPRLVKCLQIVVLRGLQEESSGVLSLPDMDNLHKVIIRKCGMCEIKIERTTLSSPWSRSPKTQFLPNLSTVHISSCEGLKDLTWLLFAPNLTSLEVLDSGLVEGIISQEKATTMSGIIPFQKLESLRLHNLAILRSIYWQPLPFPCLKTIHITKCLELRKLPLDSESVMRVEELVIKYQEEEWLERVEWDDEATKLRFLPFFKFFGPEWQVMYVR >fgenesh1_pm.C_scaffold_1001051 pep chromosome:v.1.0:1:5073093:5074927:-1 gene:fgenesh1_pm.C_scaffold_1001051 transcript:fgenesh1_pm.C_scaffold_1001051 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVPLQGVVQGRGGLVLGSVIPCAFFYFLQFYLKRNRRDEPVSESDPANQNSGSSSPTQSELNGLSRSLSRVIPSPRNSGAHVSVSGLANSILKGGGDTPYHVGLKRAAEDPYDELGNPDGIIELGLAQNKLSSDLVSDQNSIGLSLSGIASYEPSDGLMELKMVVAKLMSEATKNSVCFDPSQLVLTSGAASAIEILSFCLADSGNAFLVPTPCSPGFHVDVKWRNGVEIIHVPCRSSDNFNISVTALDRTFSQAKKRGVRIRGIIISNPSNPMGRLLSRENLYALLDFAREKNIHIISNEIFACSIHGDEEAEFVSIAEIADTEENLDKERVHIVYDLSKDLSFPGLRAGAIYSFNENVLAASRKLTTLSPVSSPSQHLLMSAISKPEFLQTYVKTNRQRLQRIYSEFVKGLKGLGIECTRSNGGLFCWADMRGLISSYSEKGEIELWNKFLDIGKFNVTPGSCCHCIEPGWFRFCFSNLSEKDVLVVMNRIRKVCVTCKSQN >fgenesh1_pm.C_scaffold_1001058 pep chromosome:v.1.0:1:5102682:5103710:1 gene:fgenesh1_pm.C_scaffold_1001058 transcript:fgenesh1_pm.C_scaffold_1001058 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXPA7 [Source:UniProtKB/TrEMBL;Acc:D7KND9] MGPVSSSWSFNKFFAIVCVVFAISGEFVAGYYRPGPWRYAHATFYGDETGSETMGGACGYGNLFNSGYGLSTAALSTTLFKDGYGCGQCFQITCSKSPHCYYGKSTVVTATNLCPPNWYQDSNNGGWCNPPRTHFDMAKPAFMKLANWKAGIIPVAYRRVPCQRSGGMRFQFQGNSYWLLVFVMNVGGAGDIKSMAVKGSRTNWISMSHNWGASYQAFSSLYGQSLSFRVTSYTTGETVYAWNVAPANWNAGMTYKSTANFR >fgenesh1_pm.C_scaffold_1001063 pep chromosome:v.1.0:1:5132374:5132952:1 gene:fgenesh1_pm.C_scaffold_1001063 transcript:fgenesh1_pm.C_scaffold_1001063 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7KP86] MNNTMASSGLGSRRKDPAYRGIRCRSGKWVSEIREPKKTTRIWLGTYPTAEMAAAAYDVAALALKGRGAVLNFPGSAQSYPVPESKSAADIRTAAAAAAAMKGCEEGEEEKEATEMNSSSSQLRARESHVNNDVASSSWCGTEFMDEEEVLNMPNLLANMAEGMMVAPPSWMGSRPSDDSPENSNDEDLWGY >fgenesh1_pm.C_scaffold_1001073 pep chromosome:v.1.0:1:5279134:5281177:1 gene:fgenesh1_pm.C_scaffold_1001073 transcript:fgenesh1_pm.C_scaffold_1001073 gene_biotype:protein_coding transcript_biotype:protein_coding description:T12C24.27 [Source:UniProtKB/TrEMBL;Acc:D7KPC0] MWALFIWVTLLLISITHWVYSWRNPKCRGKLPPGSMGFPLLGETIQFFKPNKTSDIPPFIKERVKKYGPIFKTNLVGRPVIVSTDADLSYFVFNQEGRCFQSWYPDTFTHIFGEKNVGSLHGFMYKYLKNMVLTLFGHDGLKKMLPQVEMTANKRLELWSNQDSVELKDATASMIFDLTAKKLISHDPDKSSENLRANFVAFIQGLISFPFDIPGTAYHKCLQGREKAMKMLRNMLQERRKKPRKNPSDFFDYVIEEIQKEGTILTEEIALDLMFVLLFASFETTSLAITLAIKFLSDDPAVLKRLTEEHETILRNREDADSGLTWEEYKSMTYTFQFINETARLANIVPAIFRKALIDIKFKEFVNDADYTIPAGWAVMVCPPAVHLNPEMYKDPLVFNPSRWEGSKVTNASKHFMAFGGGMRFCVGTDFTKLQMAAFLHSLVTKYRWEEIKGGNIVRTPGLQFPNGYHVKLHKKRD >fgenesh1_pm.C_scaffold_1001130 pep chromosome:v.1.0:1:5625385:5626685:-1 gene:fgenesh1_pm.C_scaffold_1001130 transcript:fgenesh1_pm.C_scaffold_1001130 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKDVYSLCKESSEVDFCLKYIGSDKRIVAARDFYDVFLIAISRTQIIVDTGLLVISGEDTRKIYNGPFEKNLVVVCEKKYKIAVNSFQKARVVGEKKSKSLADRTEMSQRTEAGFEAVLDCEDAWTYDQERPRVSPVVYYYHKVRKLIKITRVIIKRLNA >fgenesh1_pm.C_scaffold_1001140 pep chromosome:v.1.0:1:5674950:5678330:-1 gene:fgenesh1_pm.C_scaffold_1001140 transcript:fgenesh1_pm.C_scaffold_1001140 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTKRLSEYQRNPSELTLLPTEGPNSGVLVIQDQESQPTCCFGKCFGCDLNGLPFPQNTRVTVKYQIGHGDDRIVLLDSVAFIPVLHQPPSSNLYYVIRRRGKHAGEACVSAKEGDRVSCCLCFTYVSNATPRPLDPFDIYQQFEIHQRGSSTRKFFATSVASDGIPPRFLRRKGWTVPFSASQDYGLIDDAKGVVDAKLRYELPDFYKSVVVGKWYVPFLFVKEGDAKDQMKKSMYYSMTLHQRFEEVFFCENVDNDKNCEVVVDVEVETEVVKLEGDKIARATKGVNSDGVVWFSVSGAEKIGLGSVVLERMKWEEERFGWLNKGDELRSSIKRIEKFEGGGPHWKSYRCFVLVETFELKRTDGSLVLTYEFRHVDKLKKFKMYVTRHLSEYQRNSSDLTRSLPEGPNSGVLVIQDEDSKPTCCFGTCYDGELKGLPFPQNAKLTVTYRTGTGNDRRSYHDPVLFIPVLDQPYYSNRYYVIKRRGKQSGGASASAKEEDRVPCCFCFSYVPEVKPQEADPYDIYQQFQIHQKQSSSRYYTATSVAPDGIPPEFLKRKYWTVGYSNSQDFGLIDDAKGINTKLRSELPNDVNTSVVVGKWYVPFIFVKEREAKDQIKISAYYSMTLKQRWEEVYSCENVNNNEKGGEVVLDVDVETEVVKLEGQETISRKASTGGFVWFSVLRDERQDQKIGLGSVVVERMKWEEGRFGWLNKGERSNIKRSEGFEGSSTHWKSYRCYVLIESYELKRMDGSLVLTYEFRHVDKLKSKWD >fgenesh1_pm.C_scaffold_1001147 pep chromosome:v.1.0:1:5718957:5719291:1 gene:fgenesh1_pm.C_scaffold_1001147 transcript:fgenesh1_pm.C_scaffold_1001147 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRITIIAFLAVLVFTTTVTNSLDESKVDTISGFIENNCKLDQGCLNHIACKHCSYRNCKCDNGTCKCHGSKPPSP >fgenesh1_pm.C_scaffold_1001154 pep chromosome:v.1.0:1:5738751:5740134:-1 gene:fgenesh1_pm.C_scaffold_1001154 transcript:fgenesh1_pm.C_scaffold_1001154 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYISEEKLFFNKKDSVNVNSKPFGSKISDVSEIEEFDQETLIVTSQEAKDDSKVAPRDVVATSTNVSKKALTMGDILSMEDSQIPPNKNNTHGPEENIVHHDTQLEITEEPEITEEPEADNFCDENLLSRNLPNGFREISYCEAESSLAYITYCGPRSGSENLSFRSDGTSASSFVFPIPQSEWNRSPVRVGKAEETQLRMVKAEETKLQMVKADRTQLRVVKAEETQLQKENAEETQLQKEKAEETQLRMVIAEERQLRKEKAEERQIRKEKAKKRQLRKEKKGWRHYSSLLCCRF >fgenesh1_pm.C_scaffold_1001167 pep chromosome:v.1.0:1:5807235:5810862:1 gene:fgenesh1_pm.C_scaffold_1001167 transcript:fgenesh1_pm.C_scaffold_1001167 gene_biotype:protein_coding transcript_biotype:protein_coding description:F16A14.4 [Source:UniProtKB/TrEMBL;Acc:D7KQK2] MLRRIFYKRARQIDFRIEVICVIILHLFQFFFGSDDLAYCHRLLHIPNNEPESDSKVISEIIGIVSKKEEAAVVTESTQHWRKNWQDLRKNRLTASNFSRAIGFSPDGRRNLWLEKIGAAKQFAGNRATFWDVENEIEALERYTELTGNEILIPEFVVYKNGENPEENWLGASPDGVINLVKDGVTSRGVLEVKCPFNNGGTSQMYPWKKVPYICVPQVQGLMEIVDTDWLDLYCWTRNGSSLFRVWRDTAFWEDMKPALVDFWQKHVLPAREIYNNVDIKDPQVKLIEFMPKHWHEDCKKIMRGAERISENANRLFYEIDGNLVECTMKRPFKVTAEKFPTFLPSDVERIKDTFALKLAARIERLPVSVSFREDSIMSSCVTPLIRNETTPVVLLHGFDRYTYPLLEEAGLETWAFDILGWGFSDLGFFWKSHIERPVVLVGPSLGAAVAIDIAINHPEAVESLVLMDASVYSEGTGNLATLPKAAAYAGVYLLKSIPLRLYVNFICFNDISLETSWDWTKIGRLHCLYPWWEDATVSFMTSGGYNVTSLIKKVSQKTLILWGEDDQIISNKLAWRLHGELSNARVEQISNCGHLPHVEKPAAVAKLIAEFVRETCRCKEVESIP >fgenesh1_pm.C_scaffold_1001175 pep chromosome:v.1.0:1:5836725:5838300:1 gene:fgenesh1_pm.C_scaffold_1001175 transcript:fgenesh1_pm.C_scaffold_1001175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein [Source:UniProtKB/TrEMBL;Acc:D7KBE9] MDTLIKQTRRKHPASQEKIREVGSSTRETKVPARKSVSFKEDKKKSSNWLQKQFSRQMSGQSYDPIGEMDHAAAVAATAYAIATFEETWLENYHSGLELGPSSSRSKNRSEERLPLEEPRSLSRRLSGQLSFIDSETKDHKQPTLKSPVRKSSSVKKTFSMNLRGDHTKQSKESEEKHERQRKPVSEPQRIQPPLRTRSERHAPPPPPPPPLSPSPLRLPPRETKRQSSVHTSRKDDSTANTWENAQLAKIKARYEKLNRKIDLWEAKKREKARRKLDMSEQSELEQRRKRGLQRFREDTEYIEQIAAGARAQAEKDRQSKEFKVKEKAGVIRSTGKLPGKACCF >fgenesh1_pm.C_scaffold_1001177 pep chromosome:v.1.0:1:5843491:5846711:-1 gene:fgenesh1_pm.C_scaffold_1001177 transcript:fgenesh1_pm.C_scaffold_1001177 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVHCESLFGGYHHSMRDLNKESNGCRWPLFYGDNKTSASNNNDQCYNGFTSQTTFGFDKDVVRRTMLEHEAVFKTQVLELHRVYRIQRDMMDELKRKQFNKEWVPIEASFSSQATNDDVRKWKIPSFPLANSVYDRPSMSVVEDNGHSPMKGSNSQGVVGPVSWQNRASSKNVEVSEVRPTKIRRKMIDLCIPADEYIDDNEDVVELKDHRVCSTSNHLPNGDVKTESCRDGMRIGYGSSRSNGLADLNEPVDAQEANEFAYGHSRDLRNGEFQDHIRDYGKSLNSGSVREHIPVIPPQPDLNGRPKVWPHQPLRTDHYNGNGTHKSAAPFFQPAKPLDSSSQPMQVLMNSSQRVMSLPNSGPPSKAVFWRERTFIDLEADTDTNSSHDAVIHQNHLDSSLASHQQRHLYPYNRPDSAVSWNHLHSSWQNPSFGFPQKVASAQRYPVLNLSDTLISNAQKQGYLGDRLQFESNPRFDSGCGNSSQLNQNMFYNECSTSSKSKFAGTGYTYPKGGRSDYSSEMKFVRDLNLNVTLSNTSVVEVRKDEEHVATLPWLNKPKSVCNSEIADGKWNLKSNDAVLSSSLKPLDTKDEARDKVQNNMWLERLKSGSCSNNSETEKIKANTEIPGFAYKDQSNAEKGKVHNVRMLDMNEPCDPLWDEDQQTEEQTGTRVSVGNRSQIDLNILGSDDEGENCSVPASSRLNSKAPMIDLETVPESDDEEEDNISGEKPSEEIPLEEKTIEKPPEFEKLAADTIVAIYSACLDRELEVVASSEVSETIILHWFAETVNTHKENLDEKLDTFSKNQGRSIEEIDYFESMTLKLPEISEGEYMPKPLVPEDLKLEETSGTALVTSQRPRRGNARKGKQRRDFQRDILPGLLSLSKHEVTEDIQMFDGFMRAAGGSWTPTGLTRKKTGSRGRPRRVITNPAEPVVYCPVPAPAPPPSVQHVSNQSNNGETEMGLEDRSFAGWGKMTRRPRRQRCPSASTTTATTSNQRSSSHAPFT >fgenesh1_pm.C_scaffold_1001188 pep chromosome:v.1.0:1:5931235:5934024:-1 gene:fgenesh1_pm.C_scaffold_1001188 transcript:fgenesh1_pm.C_scaffold_1001188 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASSTDPKTETFPDPKSQNNEEAPAPAPSEELEDSSPSEKLGDSSTVWRDPVDSESDQPQEGGGGDADGEEEGECGFCLFMKGGGCKDSFIAWEECVEKAEKNKEDIVTNCMEVTSMLKKCMDEHSDYYQPILAAEKAAEAEVKKELEAEKKKEEEEKAEKKKEEEEKISEEEVAAKKQAQGKKLRRRKRNLSLCVIRCWRSKTAVKLLMKDFMSSTVWRDPRESVSPNELKEEEEREEVNHLLLGKIALMKLTRTRKIWSLSVWEFFSMMTKCMDAHPDYYRPFLAAKKTAEEHMEKELQAFLELRDD >fgenesh1_pm.C_scaffold_1001189 pep chromosome:v.1.0:1:5934638:5941795:1 gene:fgenesh1_pm.C_scaffold_1001189 transcript:fgenesh1_pm.C_scaffold_1001189 gene_biotype:protein_coding transcript_biotype:protein_coding description:F16A14.28 [Source:UniProtKB/TrEMBL;Acc:D7KBG5] MKTKLMITIFTCLLLWSVMLLLSFSNIFKRQLLGATINEMFLLTYFFRFLAVGSKDSVKPRDKLFGGLLTADFDEDSCLSRYQSSLYRKPSPYRTSEYLVSKLRDYELLHKRCGPGTDAYKKATEKLGHDHENVGDSSAGECKYIVWVAVYGLGNRILTLASVFLYALLTERIILVDQRKDISDLFCEPFPGTSWLLPLDFPLMGQIDSFNREYSHCYGTMLKNHTINSTTIPSHLYLHLLHDYRDQDKMFFCQKDQSLVDKVPWLVVKSNLYFIPSLWLNPSFQTELIKLFPQKDTVFYHLARYLFHPTNQVWGMVTRSYNAYLSRADEILGIQIRVFSRQTKYFQHVMNQIVACTQREKLLPEAATQGESQVTNTSNPPKLKAVLVTSLNPEYSNNLKNMYWERPTTTGDIVKVYQPSRKKRERFQQTDKKLHDQKALAEMYLLSLTDKLITSSSSTFGYVAQGLGGLKPWILYTPKKFKTPNPPCGRGVSMEPCFLTPPARGCEAKKGINTAKIVPFNKNYSVGIVSSCRCSSKLKHSPNLEKRRESEAIADFKQSILNACILTSDYERFSINLSLLKKTNNKNPLCSSFISGEVFRAFSLKMKILLTIIFSGLLIWSVVLVSFSNDFNNQLLVATTTNVSNETETPRDKLIGGLLTADFDEGSCLSRYQQSLLYRKASPYKPSEYLVSKLRSYEKLHKRCGPGTDAYKKATEILGHDDENFASKSVGECRYIVWVAVYGLGNRILTLSSVFLYALLTERVVLVDQSKDISDLFCEPFPGTSWLLPLEFPLMKQIDGYNQGYSRCYGTMLNNQAINSTLIPPHLYLHILHDSRDNDKMFFCQKDQSLVDKVPWLIVKANVYFVPSLWLNPTFQTELMKLFPQKEAVFHHLARHLFHPTNQVWGLITRSYNAYLSRADETLGIQIRIFSNRAGYFQHVMDQVVACTRRENLLPEPAAQEESKVNISRSQKLKAVLVTSLYPEYSETLKNMYWERPSSTGEIIEVYQPSGERVQQTDKKLHDQKALAEMYLLSLTDNIVTSARSTFGYVAHSLGGLKPWLLYQPTGPTAPDPPCIRSTSMDPCHLTPPSHGCEPEWGTDSGKVVPFVRHCEDRGNDGLKLFDEL >fgenesh1_pm.C_scaffold_1001196 pep chromosome:v.1.0:1:5972941:5974099:1 gene:fgenesh1_pm.C_scaffold_1001196 transcript:fgenesh1_pm.C_scaffold_1001196 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCCVAAKSDRSNSASGDFSFGPHEPYWRTNTSFSPPSSRWDVHGLMDGISCYGSSTSSNANVLRSPDLSQALHWTPNDFESATRRDQIVKQLPGTSRNVGIGCSDIGDSEPGRNVSSRRFFLSKPVHPILHPSDNVRDTTSDSADACSWSSGTASSIDSVDVPEPVLDWNNNNSSTKAQQVAASTFKCGLCNRYLSQKSPWGSRSILRNRDMPVTGVLSCQHVFHAECLDQSTPKTQRNDPLFRGLNRFVKMGHLQGHGVVLRLAGDCVESAVNVPQKNTMMMINRSRIRKNLSLRGNSSKDFPRKMKRSNSVAMDNLTNQVSVVRSRGKEKASW >fgenesh1_pm.C_scaffold_1001201 pep chromosome:v.1.0:1:5982459:5983396:-1 gene:fgenesh1_pm.C_scaffold_1001201 transcript:fgenesh1_pm.C_scaffold_1001201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease T2 family protein [Source:UniProtKB/TrEMBL;Acc:D7KBI1] MGAKGWFILKLLMFQGLFVSRPQEDFDFFYLVLQWPGAYCDTKRACCYPTSGKPAADFGIHGLWPNYNGGSWPSNCDPDSQFDRSQISDLVSSLKKNWPTLSCPSNEGFKFWEHEWEKHGTCSESVMDQHDYFENALKLKEKANLLQILTNSGINPDDGFYSLTKITNAIKNGIGFTPGIECNKDPERNDQLHQIYICVDTSGTEFIECPVLPRGRCPSQLQFSKF >fgenesh1_pm.C_scaffold_1001209 pep chromosome:v.1.0:1:6011637:6013195:1 gene:fgenesh1_pm.C_scaffold_1001209 transcript:fgenesh1_pm.C_scaffold_1001209 gene_biotype:protein_coding transcript_biotype:protein_coding MEACLRCSRGSYLIEALRPLSSSLRSSSSVSFSTGKPIKRAYDGLLLDAGGTLLQLSKPVHETYASLGQKYGLKTTPAEIKQGFKRAFSAPWPEKLRYQGDGRPFWKLVVSEATGCSDNDYFEEVYQYYANGEAWHLPEGAYETMSLLKDAGVKMAVVSNFDTRLRKLLKDLNVIDMFDAVIVSAEVGYEKPDERIFKSALEQISVEVNRAVHVGDDEGADKGGANAMGIACWLWGKDVQTFSDIQERILVSEI >fgenesh1_pm.C_scaffold_1001212 pep chromosome:v.1.0:1:6023935:6026001:1 gene:fgenesh1_pm.C_scaffold_1001212 transcript:fgenesh1_pm.C_scaffold_1001212 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQYGYGVEVTGLSPSVTQNDLIDFFSFSGAIQDIDIVRSGEQACTAYVMFKDSYSQETAVLLSGATILDQRVCITRWGQHHEEFDFWNATSQGSEDESNSHPYGQRGEFNAGEAVTKAQEVVKTMLATGFVLGKDALSKAKAFDESHGVSAAAVAKVSQLEQRIGLTDKIFTGLEAVRMTDQRYHVSDTAKSAVFATGRTAAAAATSVVNSNYFSSGALWLSGALERAAKAASDLVSSSLPPSTAATSVVRKSHLLSATFSFPASLLPPSTKSPQRHIQRLLLASSSASASTVPSDSKPAKKETVYFDGGAHYGDLLANLILGLTILWLPLTLAAVSRAFNLRYRFTNLRVTVISGLTGEDRSDFSYKVIKDVQVVPRFIGEWGDIIITLKDGTKVDLRSVPKFREIAKYCLSMADQPAVLKESGAKGF >fgenesh1_pm.C_scaffold_1001233 pep chromosome:v.1.0:1:6144420:6145709:1 gene:fgenesh1_pm.C_scaffold_1001233 transcript:fgenesh1_pm.C_scaffold_1001233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7KBM0] MERFSLRFVLMMVSIILTFSICQAQLSPTFYDQSCPSALSKIRSSIRTAITRERRMAASLIRMHFHDCFVHGCDASILLEGTSKIESERDALPNFKSVRGFEVIDKAKSEVEKVCPGIVSCADIIAVAARDASEYVGGPKWAVKVGRRDSTTAFKALANSGELPGFKDNLDQLSGLFSKKGLNTRDLVALSGAHTIGQSQCFLFRDRLYENSSDIDAGFASTRKRRCPTVGSDGNLAALDLVTPNSFDNNYYKNLMQKKGLLVTDQVLFGSGASTDGIVSEYSRNRSKFAADFATAMIKMGDIEPLTGSTGEIRKICSFVN >fgenesh1_pm.C_scaffold_1001236 pep chromosome:v.1.0:1:6155722:6158135:1 gene:fgenesh1_pm.C_scaffold_1001236 transcript:fgenesh1_pm.C_scaffold_1001236 gene_biotype:protein_coding transcript_biotype:protein_coding description:T5E21.8 [Source:UniProtKB/TrEMBL;Acc:D7KBM3] MSSSYNTIASSSAQTFLLSGAASGARPNNFNREEAARTMIQQPNSSVTPPPKKRRNQPGNPSKFLCEVCNKGFQREQNLQLHRRGHNLPWKLKQKSNKEVRRKVYLCPEASCVHHDPARALGDLTGIKKHYYRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTKEYRCDCGTIFSSEREKDSEGERKIKDAKFGHIGWFHCLINEYCGQRDIVGVVDEHFTFLGRDSYITHRAFCDALIQESARNPTVSFTAMAPAAGGGTRNGFYGGASAALSHNHFGNNSNSGFTPLAAGYNLNRSSSDKFEDFVPQSTNPNPGPTNFLMQCSPNQGLLAQNNQSLMNQHGLISLGDNTNHNLFNIGYFQDTKNSDQIGVPSLFTNGADNNDPSAFLRGLTSSSSPTVVVNDFGDSDNGNLQGLMNSLAATTDQQGRPTSLLDLHFGNNLSMGGADRLTLDFLGVNGGIVSTVNGRGGRSGGPPLDAEMKFSHPTHPFGKA >fgenesh1_pm.C_scaffold_1001247 pep chromosome:v.1.0:1:6217430:6220275:-1 gene:fgenesh1_pm.C_scaffold_1001247 transcript:fgenesh1_pm.C_scaffold_1001247 gene_biotype:protein_coding transcript_biotype:protein_coding description:F10B6.9 [Source:UniProtKB/TrEMBL;Acc:D7KCI7] MLEIESPTSLCFRANTTCNALLRELQKIWVDIGESDAEKDRMLMELEKECLEIYRRKVDEAANSKAQLHQSLVSIEAEIASLVAALGVLNIHSPIKAKEGSKSLKEKLAAVRPMLEDLRLLKDERMKQFVDIKAQIEKMSGEISGYSDQLNKAMLGSLALDEQDLTLRKLNEYQTHLRSLQKEKSDRLNKVLDYVNEVHTLCGVLGVDFGQTVSEVHPSLHRTDHEQSTNISDDTLDGLQHMIDKLKTEKRVRFQKVDKLARNAILLFVTDYHFEGLAFSPFGVQLKDVAGSLFELWNLMDTSQEERTKFARVSYVVRSSESDITEPNILSTETIEQVSAEVDSFNKLKASRMKELVMKRRSELENLCRLAHIEANTSTSLEKSTALIDSGNKRLVDPSELLTNIELHINKIKEEAHSRKEIIDRIDRWLSACEEENWLEEYNQDETRYSAGRGGHVNLKHAERARITVNKIPSMVDNLIKKTLLWEDETRKSFLYDGVRLVSILEDYKLTRKQQEEEKKRYRDQKKMQDLLVKRRESIYGSKPSPRRSNSVKKPNGYNGDASVPPTPRRNSAGATNTDIMTPRSYSSHRQNGYFKEVRRLSTAPLNYVAVPKEDSVSTYTSVYGSEPDSPLYN >fgenesh1_pm.C_scaffold_1001255 pep chromosome:v.1.0:1:6251549:6252086:-1 gene:fgenesh1_pm.C_scaffold_1001255 transcript:fgenesh1_pm.C_scaffold_1001255 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKIDENSSLENMKQEINQNIKEEAEEEKEEILKKRISSHPLYGLLLHSHLNCLKVCSGDFDSPEIMNTADDLAISKLSLHPDSSSEATSSELDQFMVLILLVFPFFLNKTTFSAKTYLHNNKQHFHITFIFFSGADIFNFQIF >fgenesh1_pm.C_scaffold_1001259 pep chromosome:v.1.0:1:6267187:6271437:-1 gene:fgenesh1_pm.C_scaffold_1001259 transcript:fgenesh1_pm.C_scaffold_1001259 gene_biotype:protein_coding transcript_biotype:protein_coding description:F10B6.22 [Source:UniProtKB/TrEMBL;Acc:D7KCK0] MEESQELALTQLRKSVEKLSSSTEFVSFGRFMSFTVNVSLENEKKICHLIFMSYTKISSMVFFFVVVSSEKGYEKPTLMRFLVARSMDPDKAAKMFVDWQKWRASMIPPTGFIPESEVKDELEFRKVCLQGPTKSGHPLVLVITSKHFASKDPANFKKFVVYVLDKTIASGNNGKEIGGEKLVAVIDLANITYKNLDARGLITGFQFLQSYYPERLAKCYILHMPGFFVTVWKFVCRFLEKATQEKIVIVTDGEELKKFEEEIGAEALPEEYGGRAKLTAIQDVLLPQSAPVTLTNNNSQTFSISRLQNLSISHFFFLTTATMATFTHQTPQTHFLSRLPLRATPRHFSARVKMSLQESAPSLAVVGVTGAVGQEFLSVLSDRDFPYSSVKMLASKRSAGKRVAFDGREYTVEELTAESFDGVDIALFSAGGSISKEFGPLAAEKGTIVVDNSSAFRMVDGVPLVIPEVNPEAMKGIKVGMGKGALIANPNCSTIICLMAVTPLHRHAKVKRMVVSTYQAASGAGAAAMEELVQQTREVLEGKPPTCNIFGQQYAFNLFSHNAPILDNGYNEEEMKLVKETRKIWVSGLLKVLLCVHFTLIFCSKFWFYACSCRECESSNTAREILKKAPGVYIIDDRASNTFPTPLDVSNKDDVAVGRIRRDVSQDGDFGLDIFVCGDQIRKGAALNAVQIAEMLL >fgenesh1_pm.C_scaffold_1001269 pep chromosome:v.1.0:1:6340497:6342016:-1 gene:fgenesh1_pm.C_scaffold_1001269 transcript:fgenesh1_pm.C_scaffold_1001269 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSGTYVAEVPLKGSAEKHYRRWRSQNNLFPDAIGHHVQGVTVHDGDWKSHGSIKSWNYTLDGKPEVIKEKRDIDDEKMALTFRGLEGHVMEQYKVYNVNLQFIPKSKEGCVCKVTLIWEKRKEDSPEPVNYVKFVKSLVADMDDHVLKGQNKA >fgenesh1_pm.C_scaffold_1001281 pep chromosome:v.1.0:1:6385044:6385887:-1 gene:fgenesh1_pm.C_scaffold_1001281 transcript:fgenesh1_pm.C_scaffold_1001281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7KCM5] MDSDQHGVFPSSPKYHPYYPQNTECGGVIDLGLSLRTIQHEIYHTSGQKYCSNEGYRRNWGYVKVTMDGFVVGRKVCVLDHGGYSTLAHQLEDMFGMQSVSGLRLFQMESEFCLVYRDEEGIWRNVGDVPWNEFIETVERLRITRRNDVILPF >fgenesh1_pm.C_scaffold_1001283 pep chromosome:v.1.0:1:6391235:6392775:1 gene:fgenesh1_pm.C_scaffold_1001283 transcript:fgenesh1_pm.C_scaffold_1001283 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPAP2 [Source:UniProtKB/TrEMBL;Acc:D7KCM7] MPEIQLGVHTIRSHGVTVARFHMHDWLILLLLIVIDIVLNIIEPFHRFVGEDMLTDLRYPLQDNTIPFWAVPLIAVVLPFAVICVYYFIRNDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGIGIFHNVTRNVLCTGAKDVVKEGHKSFPSGHTSWSFAGLGFLSLYLSGKIRVFDQRGHVAKLCIVILPLLVAALVGVSRVDDYWHHWQDVFGGAIIGLTVATFCYLQFFPPPYDPDGWGPHAYFQMLADSRNDVQNSAGMNHLSVRQTELENVYVDREETSMEISRSNTRDTTRNA >fgenesh1_pm.C_scaffold_1001301 pep chromosome:v.1.0:1:6461059:6468823:-1 gene:fgenesh1_pm.C_scaffold_1001301 transcript:fgenesh1_pm.C_scaffold_1001301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7KCP8] MAPRSSKGKSNNRGKGGDKKKREDKLLAPSLVEITVTTPYETQVILKGVSTDKIIDVRRLLASHVETCHFTNYSLSHKVKGHKLNDNIQVLSLKPCFLRMIPEEYLEESQALTQVRRVIDIVACTTRFFSASPKSRNKSIAAGNATQSPEPDGLDMVAIHPTPKISQFYKFFSIPHLSPPILHLKKVDGEEAGEKRNGDYFGLKVKICNGKVIHVIASVKGFFAVGKQLTHCHSIVDLLQNVSNAFAKAYESLMKAFTDRNKFGNLPCGLRSNTWLVPSPVSESASPLPVEDEHWGGNGGGQGRNGEYDHRPWAAQFSVLATLPCKTEEERVIRDKKAFLLHSQFIDTSVQRAVRAICNLMDTNQHTSGTTDLPAGLILLEDHVGDLSIIVKRDIATLDPKPEATFQNEAFVLSSQELAEKNLLKGITADESVIVHIITSEEKNVQDTPALGTVIVRHCGYTAVVNVKGKTQKAISDFRDILIDDLPDGGANALNLNSLRVQLRRSHSVRISGENQPTLLDSDDLESYRCIVEDLVKVNLTKLEEKRVSSVRPIRWELGSCWVQHLQKKETDVCGKPATNDETELSIKGLGKQYKVLKSKSKKSESISTVKEKEKDIRLRELNGEADLGQKSIDGHFTELKELLSEEAFSRLQETGTGLHLKSKEELTKMAYGYYDEIALPRLVADFGSLELSPVDGRTMTDFMHIRGLQMRSLGHVAKIAEKLPHIRSLCIHEMITRAFKHLFRAVIASVNNMAEIPVAVAASLNFMFGHRELEGSDRIPSEEYCLRLQWLQKFLSRKFGWIQKDEFNHLKKFSILRGLCQKVGLELVARDYDFDSTNPFTSSDIFGLVPVCKHVLCISSDGRTLLESSKLALDKGKLDDAVNYGTKALVKMIAVCGPYHRNTACAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHFELALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEKEVGNDHLALRYLHEALKCNKRLLGADHIQTAASYHAIAVALSSMEAHSLSVQHEQTTLQILTAKLGSDDLRTQDAAAWLEYFESRAIEQQEAARNGIPKHDASIASKGHLSVSDLLDYISSDPDTKGSVAHRKHRRARALQVNDSVAPVDDDAHRVDSQIGIVAWNDVAEADAIKSRTEVNDPNAVVDEIKMETGDIVVHRLNVDSQTVEESTLDEGWQEAYSKGRSGNGAGRKFRQRQPDLIKKRMSLNKHFNRRQDVQQQNISSLQKTSKRPSLSKSSSQRAMKNAEIDVSTNTTKPQLMASGSAAVTSTTLASKSLSYKEVALAPPGTVLRPMLEKLELNLERTETQIYRTSSASTGEESKSDTVMLDLPIEGTELPCEKQESQESAESVENLTSESEGDLGSYCGQKASDISQTKLSAAAEPYNPGGFLFIDLQSSAATTGNYPIMVADPISWAGVSCGIHSPPYYSANHSNGVGMPRSMNPDAPEFVPMRSVQNSSQHAGGDASVSVDSSSFLKAEKEAVALKKRELASFIVKSSQKEVPAALRKTSPKAESGDTSAKHSALTEIVYSREEESGANANETNGGEGFVIVAKKRRRKNKLRLTNVGAGLYHQPSSVCA >fgenesh1_pm.C_scaffold_1001304 pep chromosome:v.1.0:1:6479073:6480205:1 gene:fgenesh1_pm.C_scaffold_1001304 transcript:fgenesh1_pm.C_scaffold_1001304 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KCQ1] MQEEKSKEDHSRLINATAKDLTARNRRLVEVPYTATLSHAMNTLVANSISALPVAAPPGHWIGAGGSMIMESDKQTGVVRKHYIGILTMLDILAHIAGEDSNLSHLDRKMGSQVSSIIGHCLEGLSLWTLNPNTSLLECMEVFSKGIHRALVPVESSIESNNTSAGVELIESASAYKMLTQMDLLRFLKDHHFDDLKTVLSRSISHLRAVNDSVYAITERTTVSNAINVMKGALLNAVPIVHAPDIAQEDHLQLINGRYRKVIGTFSATDLKGCRLPELQTWLPLTALEFTEKASGKEREVVSCGVESTMEEAIEKVVTRGVHRVWVMDQQGLLQGVVSLTDIIRSLRAALS >fgenesh1_pm.C_scaffold_1001305 pep chromosome:v.1.0:1:6480597:6482092:-1 gene:fgenesh1_pm.C_scaffold_1001305 transcript:fgenesh1_pm.C_scaffold_1001305 gene_biotype:protein_coding transcript_biotype:protein_coding MENTDELVSIELPAPASWKKLFYPKRAGTPRKTEIVFVAPTGEEISSRKQLEQYLKAHPGNPLISEFEWTTGETPRRSSRISQKVKATTPTPDKEPLLKKRRSSLTKKDNKEAAEKNEEAAVKENMDVDKDGQTENAEKEKEGVTEIAEAEKENKEGVKGEKEDEKAEAENKEAEVVTDKKESMEVDTSELEKKTESGGGAEEPSKVEGLKDTELKEPQEVVAEGDGEKKPAEEKTENKGSVTTEANGEENVTPGEPNLDADAEANKGKEPKESDEKKSEAEVNKENDAQESDEKKTEAAANKENETEESDVTKTEAAAATAVAEEKSNDLKGEDTSRSLEANQVQQQQGAAASVSC >fgenesh1_pm.C_scaffold_1001313 pep chromosome:v.1.0:1:6506898:6507383:-1 gene:fgenesh1_pm.C_scaffold_1001313 transcript:fgenesh1_pm.C_scaffold_1001313 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQNEEFSVRAGQIVGQAHVKENDCNNSSQASGFLQQKGEKVKSMAHEASEAVKNKLGINSDNNEEYKNKNPLDKKNPNNTTSPSMPGHPPSDI >fgenesh1_pm.C_scaffold_1001331 pep chromosome:v.1.0:1:6583044:6583916:1 gene:fgenesh1_pm.C_scaffold_1001331 transcript:fgenesh1_pm.C_scaffold_1001331 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPLLTESEHIIDITNDGSSSSINDQQQLRDPYTNESIWNSIEFVVTLVLIILKNIHKHYCLYGSSGYTCGCIATLPILCWRFWLYNRSVGPESIEEHLREKRINKDLDFFMMCFFVGWFVVFLWILSSIAFNSSALDDTTTQFFWLCLALLTFSCIRYVIFNLTLAMVCYTCPMLFQRYCIIHCTMHLKSN >fgenesh1_pm.C_scaffold_1001345 pep chromosome:v.1.0:1:6656740:6658585:-1 gene:fgenesh1_pm.C_scaffold_1001345 transcript:fgenesh1_pm.C_scaffold_1001345 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQVIKSGSRPPWVGLSAAVWLEIAAGNAYNFPLYSHALKSVLGYNQQQLTMLGVANDVGESVALLPGYACSKLPPWMVLFVGACACFFGYGLIWLSVTQTLPGLPFWLLWIALIVATNSNAWFGTAVLVTNMKNFPLSRGTVAGILKGYSAIGGAVYTVIYNVFLDQSSTKLLMFLSLGIPSICFAMMYFIRPCAPASGEDSSEHVHFVFTQSMACLAAVIVLIITVVGNLIPVSSSVTYTLVGLVIVLLVSPLAIPVKMTLFRKKSVKKPNPLAESAEGGESNPTNPLLRPSSSLGSFIEMEENDASDIQTLLAEGGGAVQKKRGPRRGEDFRMREALVKADFWLLWFLYFLGVGSGVTVLNNLAQVGIAVGIDNTTVLLCLFSFFNFVGRLSSGAISEHFVKSRAMPRTVWMTLAQFLMVLAFILYALSSTATLYPATALLGTCYGFQYALMVPTASELFGLEHFGIIYSFMILGNPIGAVLLSGLLAGRLYDAEAIKQGSSTCYGPECFKLTFVILSSVCGVAAILGVILSIRIRPVYQSLYGSRFYRLPQE >fgenesh1_pm.C_scaffold_1001348 pep chromosome:v.1.0:1:6681863:6684277:-1 gene:fgenesh1_pm.C_scaffold_1001348 transcript:fgenesh1_pm.C_scaffold_1001348 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGDWIHEAMCDDSLVAEALICLLHAEPSPPETKSGGASDLKLKWSVRQRRTKAAPLRKKGDHDTRASPTTPLSWSGATSFSGGGGGGSGAAVAVDGFEESSGAVKLFEAVRSKVRSIIYLWFLCSSVFENSALLCFCPHIEMMVIGDQVSRHDAWLLKLFAEFKQDKLYRVITNSMTRLPLCHRLITRVASFMGREAFSWRIRFGGFGASVISQTSVTTSPFKRSRKKKTLAQLKEEESLLLKERKSLKNELATMQDLLEQQRARNESLKKIQAESQKNDDSSFLLPDLNIPLDNNPSPESLYGTS >fgenesh1_pm.C_scaffold_1001360 pep chromosome:v.1.0:1:6729622:6729963:1 gene:fgenesh1_pm.C_scaffold_1001360 transcript:fgenesh1_pm.C_scaffold_1001360 gene_biotype:protein_coding transcript_biotype:protein_coding METQFHGGEEEDPWLAADKVYHVVFCFSISLLFSTLASLSRYSFLRRHSIWIGSAFSVAAGAAKEAADQFGIFPSAGASARDAVADAIGVVIAALVLFLWKSRRSRTRPILPI >fgenesh1_pm.C_scaffold_1001362 pep chromosome:v.1.0:1:6735303:6736157:1 gene:fgenesh1_pm.C_scaffold_1001362 transcript:fgenesh1_pm.C_scaffold_1001362 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVSNPEEEDDTIEIREVWNHNLEEEMALIEQAIDDFPYVAMDTEFPGVVCKTVTANPSPNPKHYEFNYETLKTNVNMLKLIQLGLTLSDEKGNLPTCGTNKQCIWQFNFREFNLKSDMFAMDSIQLLRESYIDLEKNTECGVDSRRFAELLMSSGVVLNDKIQWVTFHCGYDFGYLLKLLSGKELPAEASKFFDQVERFFPVVYDMKYLMGFCAPLYGGLGRVAKLLGVKRVGICHQAGSDSLLTLRAFNKMKEIFFTGSLDKYSGFLYGLDNPRLLTGTKN >fgenesh1_pm.C_scaffold_1001363 pep chromosome:v.1.0:1:6737533:6738095:1 gene:fgenesh1_pm.C_scaffold_1001363 transcript:fgenesh1_pm.C_scaffold_1001363 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S12 [Source:UniProtKB/TrEMBL;Acc:D7KDL4] MDLMTALELTLRKARAYGGVVRGLHESAKLIEKRVAQLCVLAEDCNQPDYVKLVKALCADHSISLLTVPSAKTLGEWAGLCKIDSEGNARKVVGCSCLVVKDYGEETTALNIVKKHIDSQ >fgenesh1_pm.C_scaffold_1001379 pep chromosome:v.1.0:1:6805425:6808094:1 gene:fgenesh1_pm.C_scaffold_1001379 transcript:fgenesh1_pm.C_scaffold_1001379 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGSHFEIRVQPTDTIMAVKKNIEDSQSKDNYPCGQQLLIHNGKVLKDETTLVENKVTEEGFLVVMLSKSKTPSSAGPSSIQPTSTTTSTISPTPLAAPSIAVPASNSTPVQEQLPAQSDTYGQAASTLVSGSSVEQMVQQIMEMGGGSWDKETVTRALRAAYNNPERAVDYLYSGIPETVAIPATNLSGVGSGAELTAPPASGGPNSSPLDLFPQEAVSDAGGGDLGTLEFLRGNDQFQQLRSMVNSNPQILQPMLQELGKQNPQLLRLIQENQAEFLQLLNEPYEGSDGDMDIFDQPEQEMPHAVNVTPEEQEAIQRLEAMGFDRALVIEAFLACDRNEELAANYLLEHSADFED >fgenesh1_pm.C_scaffold_1001383 pep chromosome:v.1.0:1:6822060:6824448:-1 gene:fgenesh1_pm.C_scaffold_1001383 transcript:fgenesh1_pm.C_scaffold_1001383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KDP3] MDSDLKRFFVVLILLRISEHAAASTFPLALPNCKDHCGDVSVLYPFGIGQGCYKDKWFEIVCINSSEQISVPFLLSIRREVISFDLGSYYSIDDRSHYQSNKIHILGPLKHTGCSNGSVGDSSSLNLKGSPFFISDNNKFTAIGCNNKALMKGTGSQIVGCEATCKNETYKDDNVRGCLGDKCCQTKIPSGLQVFDATVEKLEPSKDGCQVAYLTQFQLAAFMFTPPELQEYRNYVMMELEWFLDVLPIPSEGSALCEASINGEQIPRSLGNLSAIDGYQCWCKHGYEGNPYIPGGCQDIDECRYSYHNKCGKNKCVNVSGSFKCEKTWPAILSGTLSTGLLLLVVGTWWLCKVNKKRKAAKQKRKFFKRNGGLLLQQQTSFLQGSVNRTKVFSSDDLNKATDKFNPSRILGQGGQGTVYKGMLEDGMIVAVKKSKALEEKNLEEFINEIILLSQINHRNVVKILGCCLETEVPVLVYEFIPNRNLFDHLQNPSEDFPMTWEVRLCIACEVADALSYLHSAASIPIYHRDVKSTNILLDERHRAKVSDFGISRSIAIDDTHLTTIVQGTIGYVDPEYLQSSHFTGKSDVYSYGVLLIELLTGEKPVSLLRRQEVRMLGAYFLEAMRNDRLHEILDARIKEECNQEEVLSVANLARRCLSLNSEHRPTMRDVFIELDRMQSKKKGIQSRTQNDEEHGHIRIAMPESMSLLYSSPDIVIENSSFSLESEPLMLHKTQ >fgenesh1_pm.C_scaffold_1001386 pep chromosome:v.1.0:1:6833229:6836052:-1 gene:fgenesh1_pm.C_scaffold_1001386 transcript:fgenesh1_pm.C_scaffold_1001386 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFTFWNDCVEPEDLEEMWMDPAVSAEWIDVGETKGQKVHLSRDPDGQPYLTQTEMRAVADITVRRHFDSILDLEMICAIAELESDRKPLIMRYNKKTKETGLGILQVFEKTAAWLAGGQGYQAYNVDDNPDLLHKPFINVYFGAAYLKWLTDYQNNQRSEEFVVRAYNGGTKKATHKSTLPYWKRYLAVKESLPSRKHVDAGPSSFHPTNPASPGSNTNFTYWDSRASPEDMEDMWNHSEVCKEWTKSKEERGKVRFSQDGEKRPYLSRGELKAVAEIIVSKYFSTKGIRVPLVCAIADTVCMRFVNGTKKHVGILGVDYSTASWLYSELGYRAYRVDSADDLTKPFVSMYFGVAYLVWLSEYEGSQRSNQFIVQAYINGPDHVDLEASCPLWLKFEQALSYYEVSKRYHWIQEAALSYETTFINTHMMKIKEFSFGFVCVCITRFPFCGFCFCL >fgenesh1_pm.C_scaffold_1001390 pep chromosome:v.1.0:1:6845296:6850358:-1 gene:fgenesh1_pm.C_scaffold_1001390 transcript:fgenesh1_pm.C_scaffold_1001390 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAKAPRLSRDDILGNRVGPRSFKIFSDNQKTDPAGTVVTSQKTRIPLRRKIRESQERAKSVLKMREMERCKMCKFTKELESKRTTELENNNPCKTASKVSNKAVPQLRSAGTYTLKTRTSVGSIPSDRNRQSKNNVRIIQTTVKTSLQNRSSLKKPPVGRSKSRSISSIPSSEIVALTLSLPKKVETKCLEEDTQGESSSSRNKDPTTKVLDVAAKPKSKRRKSFTSLLVNGSKLDEKNGETTEAEKLPNIDDESNQLEVAEYVDDIYQFYWTAEALNPALGYYLSAHAEVSPVTRGILINWLIEVHFKFDLMHETLYLTMDLLDRYLSQVPIHKNEMQLIGLTALLLASKYEDYWHPRERIMLKQLKFRLNAPTPYVFMLRFLKAAQSNKKLEQLAFYLIELCLVEYEALKYKPSLLCASAIYVARCTLHMTPVWTSLLNNHTHYNVSQMKDCSDMVLRFHKAAKTGKLRVTYEKYMNPDHSNVAVLKPLDKLPL >fgenesh1_pm.C_scaffold_1001391 pep chromosome:v.1.0:1:6852783:6855045:-1 gene:fgenesh1_pm.C_scaffold_1001391 transcript:fgenesh1_pm.C_scaffold_1001391 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATKDSA2 [Source:UniProtKB/TrEMBL;Acc:D7KEE3] MANSASLLYDQLKVAEPFFLFAGPNVIESEEHVLRMAKSIKEISTTLGLPLVFKSSFDKANRTSSKSFRGPGMPEGLKILEKVKVAYDLPIVTDVHESSQCEAVGKVADVIQIPAFLYLLVAAAQTGKIINIKKGQFCGHSVMRNSAEKVRLAGNPNVMVCERGTMFGYNDLIVDPRNLEWMREADCPVVADITHSLQQPAGKKLDGGGVASGGLRELIPCVARTAVAVGVNGIFMEVHDDPLNAPVDGPTQWPLRHLEELLEELIAIASVTKGKQQFQIDLTPYRD >fgenesh1_pm.C_scaffold_1001395 pep chromosome:v.1.0:1:6863475:6866241:-1 gene:fgenesh1_pm.C_scaffold_1001395 transcript:fgenesh1_pm.C_scaffold_1001395 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCHX1 [Source:UniProtKB/TrEMBL;Acc:D7KEE7] MDPKMLFCLPEGDALFNPLNTMFIQMACILVFSQFFYLFLKPCGQAGPVAQILAGIVLSLLTIIRKVHEFFLQKDSASYYIFFSFLLRTAFMFLIGLEIDLDFIKRNLKNSIVITLGSLLTSGIIWLPFLWFIIHFMQIKGDFLTFYLAFLITLSNTAAPVVIRSIIDWKLHTSEIGRLAISCGLFIEITNIFLYTIVLSFISGTMTADIFIYTFATGVIILINRFLASWLPKRNPKEKYLSKAETLAFFIIILIIALTIESSNLNSTLFVFFIGLMFPREGKTYRTMIQRLSYPIHEFVLPVYFGYIGFRFSVNSLTKRHYLVLAMTVALSLLGKLLGVLCACSFLKIPKKYWLFLSTMLSVKGHIGLVLLDSNLIYKKWFTPVVHDMFVAALVIMTLLSGVMTSLLLRVQEKSFAHIKTSLEFFDTKEELRVLTCVYGVRHARGSISLVSALSGFSPGASSSPFTPYLMHLIPLPKKRKTELLYHELDEDGGNSNGGDDEFGTNEGLEINDSIDSFTKDRKIMIRQVKLVAPMETMHEEICNATEDLRVSIVFLPFHKHQRIDGKTTNDGEVFRHMNRKVLKQAQCSIGIFVDRNITGFHQLHGSDSVQHVAALFFGGPDDREALSLCKWLTNNSQIHLTVIQFVADDSKMEKLVGDAVTKENNEVFLEIVSKDQTEDETDRIFLEEFYNRFVTTGQVGFIEKRVSNGVQTLTILREIGEMYSLFVVGKNRGDCPMTSGMNDWEECPELGTVGDFLASSNMDVNASVLVVQRHRNSFDSFVDD >fgenesh1_pm.C_scaffold_1001397 pep chromosome:v.1.0:1:6873533:6875791:1 gene:fgenesh1_pm.C_scaffold_1001397 transcript:fgenesh1_pm.C_scaffold_1001397 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP79F2 [Source:UniProtKB/TrEMBL;Acc:D7KEE9] MMMMMSFNTSFQIVLGFIVFIASITLLGRILSRPSKTKDRSRQLPPGPPGWPILGNLPELIMTRPRSKYFHLAMKELKTDIACFNFAGTHTITITSDEITKEAFRERDAVLADRPQLSSIEFIGDNYKSMGTSPYGEQFMKMKKVITTEIMSVKTLKMLEAARTIEADNFIAYIHSMYQRSETVDVRELSRVYGYAVTMRMLFGRRHVTKENVFSDDGRLGKAEKHHLEVIFNTLNCLPGFSPVDYVERWLRGWNIDGQEEMAKVNVNLVRSYNNPIIDERIELWREKGGKAAVEDWLDTFITLKDQNGKYLVTPDEIKAQCVEFCIATIDNPANNMEWTLAEMLKNPEILRKALKELEEVVGKERLVQESDIPNLNYLKACCRETFRIHPSAHYVPPHVARQDTTLGGYLIPKGSHIHVCRPGLGRNPKIWKDPLVYEPERHLQGEGITKEVTLVETEMRFVSFSTGRRGCVGVKVGTIMMVMMLARFLQGFNWKLYQGCGPLSLEEDDASLLMAKPLLLSVEPRLAPNLYPKFRP >fgenesh1_pm.C_scaffold_1001400 pep chromosome:v.1.0:1:6886050:6886885:1 gene:fgenesh1_pm.C_scaffold_1001400 transcript:fgenesh1_pm.C_scaffold_1001400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus protein 5 family protein [Source:UniProtKB/TrEMBL;Acc:D7KEF3] MNKGGGSGGGSGPTAAAAAAALQKQKALMQRVETDITSVVDNFTQIVNVARVSDPPMKNSQEAYMMEMRASRLVQAADSLLKLVSELKQTAIFSGFASLNDHVEQRTAEFDKEAEKTNRLLARIADDASASLKELESHYYSSAQRLTLDI >fgenesh1_pm.C_scaffold_1001418 pep chromosome:v.1.0:1:6977823:6978770:1 gene:fgenesh1_pm.C_scaffold_1001418 transcript:fgenesh1_pm.C_scaffold_1001418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein [Source:UniProtKB/TrEMBL;Acc:D7KEH6] MADTGEVRFFKPFISQKSSKSLAIPLGFEEHFPAPLPITVDLLDYNGRSWTVRVKKRGENVFLTLGWENFVKDNNLEDGKHLNFIYDRQRTFYVIIFGHNMCSEFRSFPQVVVEVDDYKNGEEVDEEEQENNQ >fgenesh1_pm.C_scaffold_1001423 pep chromosome:v.1.0:1:6996710:6998735:1 gene:fgenesh1_pm.C_scaffold_1001423 transcript:fgenesh1_pm.C_scaffold_1001423 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDVFQIRRSMRDAIFVLLEKRNPLPTDDASKAKCLDAVAKRLEELIFKMAMTKEEYLNPSTLESRLKSLIIGRVSAEPTMKRLSPTCLETFSLLMLQGKDDNIFNTLLQAASALLLYCYLFLNDSYSDISFGGISFWDLSTYLCLILIFLLRIFFFSPGLCEFTRATSAVQRATLLLRFEDSIIVYCMLRVATLRSPKE >fgenesh1_pm.C_scaffold_1001429 pep chromosome:v.1.0:1:7018136:7021209:1 gene:fgenesh1_pm.C_scaffold_1001429 transcript:fgenesh1_pm.C_scaffold_1001429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KEI7] MWLPKADATNKGTRSGSVAIAIDKDKSSQNAIKWTLENLATRGQTLALIHVLPKSQSSLDIEEGITHKQQMEKQTKDLFVSFHCFCSRKEIHCLDVVLEDVDKVKAIVEYVTVSAIENLVLGAPSRNSFMRRFKTDLPTSVSKTAPDFCNVYVISKGKISSLRNASRPAPYHPSVLLSEVDNHETIALERKHKTANTPALPRGRRSVDSDGTRLGLPKPPHGHMKLVGDFSDSESEFSFISASQQESDLSFISSGRPSVDRSSFTYDLPESARTSRMSTSSEQSIGSHRLGIKFTDLSYLNGSSTVSDESGRTSCSYSSQSLDDVEAQMRRLRLELKQTMDMYSSACREALTARHEATELQKLRTEEERRLEELKMTEEIAMSMVENERAKAKTALEAAEAANRLAEVEAKRRVHAEMKVLKESDSFSRHSIVRYRKYTVQEIEEGTANFAESRKVGEGGYGPVFRGHLDHTSVAVKVLRPDAAQGRSQFHKEVEVLSCIRHPNMVLLLGACPEYGILVYEYMAKGSLDDRLFRRGNTPPISWQLRFRIAAEIATGLLFLHQTKPEPIVHRDLKPGNVLLDHNYVSKISDVGLARLVPAVAENVTQYRVTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGIMLLQLLTAKQPMGLAYYVEQAIEEGTLKDMLDPAVPDWPLEEALSLAKLSLQCAELRRKDRPDLGKEVMPELNRLREIGEESLESVFYAGQGRSSHPSQVSYTSVRVFNSKTFPSDN >fgenesh1_pm.C_scaffold_1001433 pep chromosome:v.1.0:1:7025817:7036392:-1 gene:fgenesh1_pm.C_scaffold_1001433 transcript:fgenesh1_pm.C_scaffold_1001433 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKVSPSGELLSRWRRIEEDEEENDDSDPSSVRRLNQRKEQWFTDAFTLLISLPKDTHIWCGHGDVMGPLLETFYNYFKDDRVDSPLKVLWKRISEEMRLCAQCICQHHQTQEMYEKEYECSSVGPLLDVLRKLDEERVTRHLQEINFKVENGTYDPDHHHAEVVSVMYEVLMFPFFFDDMSLCAEFEKFIESIDNIHELAFAENQEFPVSNPVRAPPTIAQEIHWNSGDGGTAFCVSGTEAEDLSRPLIYMAWDDIIVRVKGPAFEEGILEPYPIFVDTVLNHISGDSPEFSLAVNCLKELFKTLGMSLEALRDDSSLHISLRQPAIDLVQAILVSDATALLASLLRNKTGKYMGDEMQSDDDDSNLPFSHIAEDVSDRSWSDFTQQSKITLGECKEWMCIPMLWITTLTNTNLLNLPVSLSQAVFWARSRFCLVESEKNDEMTVDIETWLSSSAVEIKGTLGWKVATGSDDGGPGKESKNSVTVSKMCLTLIRTLKRLTTCYLVQMGEECRKQWTWVPGMGETFILSLSDPDDNVRQFGKSMLEHVSNTRGLSCGLKFLCSQTSHLLFVSSGVRHVLQQLLKEEEVAITDVVKISAGGFLRQPNFNVLPMTCVRLLEILPVVLGKLRVSREESFHTRGTLKDVSGLKWLPDLIDWGRSQLKVVVAYWKRALVALLDILQGSNSDACSSAVQAIRHVLASGDTSHNALTLLNSDDVDIEQLAEQISRLVPKANEYQILKPVDVVGKVQDNMMDLTVDETEKESLKNLPSLHKSHQPDINKTLPPITSISQVSSLKKSTSSIDASKLLAPVLSERDVTVSSTNIVRDLPTTNAEPSKAAGMSREAEKRQNVEDPVSSGNRPNLKATDELGPRGTSKEAQKSAISNTKGMDLRKVVNETEADPLDLALKSLKPQLLPLAKPGPIVPKRQVIQLCAPVTKKSDRWQRQEAGFKRFRPPKLEDWFRKILQMDYYAIVGLASTNKDENQNVGKFREVPVRFGSPEQYIQIFQPLVLEEFKAQLQSSFQEISSLEEIYYGVLSVLSIERVDDFHFVRFMQDENDGSNSKSFSENDLVLFTKEHPESSNVGVNMMGKVEGREWDDKKRSSILNVRLYLQNASSRLNQARRNLLERSQWHASRILNITSQIREFQALSSIKDIPILPLILSPKSDSNYDSEVKRSDLRSLPHSLQQILKSSFNESQLQAISVAIGSSNLMKAFDISLIQGPPGTGKTRTIVAIISGLLASALHKASDRGNSEPDHSSSTSRQRMNPSVAIARAWQDAALAKQLNDDEETNKKIAEKNGRGRVLICAQSNAAVDELVSRISSLGIYGRDGKMFKPYLVRVGNAKTVHPNSMPFFLDTLVDQRLAEERIRINESKSNKGADSSALLRSNLEKIVDQITHFEAKRANINQESLDAKDKPENEHHNKDDDGKPMSDAELGIRLRRLYEQKRKIYKDLSAVQAQERKANYEMRALKHKLRKSILKEAQIVVTTLSGCGGDLYSVCAESLSAHKFGSPSEDNLFDAVVIDEAAQALEPATLIPLQLLKSRGTKCIMVGDPKQLPATVLSNVASKFLYECSMFERLQRAGYPILMLTQQYRMHPEICRFPSMHFYDYKLLNGVDMSSKSAPFHESHHLGPYVFYDIVDGQEHRSGDSSSVCNEQEAEAAVQLLRFFKKRYPSEFVAGRIGIITPYKRQLAVLRSRFTGAFGAQVTADMEMNTVDGFQGREVDILVLSTVRATHSDPDGVNQSRIGFVADVRRMNVALTRAKLSLWVLGNTRTLQRDHNWGALVKDAKEREVIIPVKRPYNNMFGEYVMEQNHSENLPKNFPKPEKQHSRRKEQRAETSSDRKMRKSDGDFVPISSRGSERKHSRRNVKEEASSQREKQAASCEKVASEETLKRSHEKKEKMKGREKSSNPENTDTNSSKNENSNEWKKSKKASSKVDSSKRANPIDEIGQKDRQINKGNASNQGGVEDMISKRKQQREAVAAILNSDHCPQVQPLAVIQDRLRRSKKLMCNCKLVCFNTELHSSYLQSRPRTTVNKDNKQKTTDFTKVIISHNREPAANFRFHGFASTTASLPLMASIFLASVTSLSLLEAFLEDETTRTSAFCRSSMTLMEASAGSSPRFW >fgenesh1_pm.C_scaffold_1001435 pep chromosome:v.1.0:1:7038654:7039725:-1 gene:fgenesh1_pm.C_scaffold_1001435 transcript:fgenesh1_pm.C_scaffold_1001435 gene_biotype:protein_coding transcript_biotype:protein_coding MAHISDIKLIRTDTTLDLSQKAEKGMIWKLDINYDKFCPFYKSVWMVIHFYNLANQRVAGVDGWSEDYLHSHQTSLGDLFYLLV >fgenesh1_pm.C_scaffold_1001444 pep chromosome:v.1.0:1:7077859:7078146:-1 gene:fgenesh1_pm.C_scaffold_1001444 transcript:fgenesh1_pm.C_scaffold_1001444 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEGPPAPKMLRMVYFVGAGFLCTFAINKWREMERNSLLKQEQEKNQQHGDAALLHQTPPNSIHKAMK >fgenesh1_pm.C_scaffold_1001448 pep chromosome:v.1.0:1:7099494:7103611:1 gene:fgenesh1_pm.C_scaffold_1001448 transcript:fgenesh1_pm.C_scaffold_1001448 gene_biotype:protein_coding transcript_biotype:protein_coding MMHYDDARGERPRLLVVANRLPVSAKRTGENSWSLEMSPGGLVSGLLGVTSQLDTKWVGWPGVDVYNEVEKNALTKSLAEMKCIPVFLNGVFDQYYNGYCNGILWPILHHMGLPQEDQHDTNQTFETQYDAYKKANRMFLDVIIQNYEEGDTVWCHDYHLMFLPQYLKEHNNKIKVGWFLHSPFPSSEVYKTLPSRSELLRAVLTADLLGFHTYDFVRHFLSTCTRILGVEGTHEGVVYQGRVTRVAVFPIGIDPDRFIRTCKLPEVTQKMNELKERFAGKKVILGVDRLDMIKGIPQKYLAFEKFLEENPYWRDKVVLVQIAVPTRNDVPEYRKLKSQVHGLVGRINGRFGSVSSLPIHHLDCSVDFNYLCALYAIADVMLVTSLRDGMNLVSYEFVACQEAKKGVLVLSEFAGAGQSLGVGALLVNPWDVTEVSSAIKDALNMPAEERETRHRFNFQYVYTHSAKKWGSDFMSELNGAIAESEMQIRNIPHGLPQQDVIQRYSQSNNRLIILGFCGTLTEQKNSRSKEMDLKLNPELKGTLKALCNDPKTTVVVLSRSDKDILDKNFGGYNIWLAAENGMFEKHTNGEWVKSMPQNMNLDWVDSVKNVFKYFTDRTPRSFVKSSETSLVWNYEYADVEFGRAQAGDLLQYLWAGPISNASVDVVRGNHSVEVHAIGETKGAAIGRILGEIVYRKSMTTPIDFVFCSGYFLEKDEDIYTFFESKILSSKLSHETRSKSSSCNNSRKKKKISMNVLDLKKENYFSAAVGQARTKARYVIDSSLDVVDLLHKLAVADTTMTDSFSDSELYEPRNENENANLKRWINSVRRRKMEIGDTGQIGM >fgenesh1_pm.C_scaffold_1001463 pep chromosome:v.1.0:1:7180013:7181538:-1 gene:fgenesh1_pm.C_scaffold_1001463 transcript:fgenesh1_pm.C_scaffold_1001463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribokinase [Source:UniProtKB/TrEMBL;Acc:D7KFC2] MMKKGISLSQSINFNPNIEFNRPQLQINTVNPNLTQSRFSRPLSRRSLRVLSLAVDVDPSANRNAKSANAPPLVVVGSANADIYVEIERLPKEGETISAKTGQTLAGGKGANQAACGAKLLYPTYFVGRLGEDAHGKLIAEALGDGCGVHLDYVRSVNDEPTGHAVVMLQSDGQNSIIIVGGANMKAWPEKMTDDDLEIVRNAGIVLLQREIPDSINIQVAKAVKKAGVPVILDVGGMDTPIPNELLDSVDILSPNETELSRLTGMPTETFEQISQAVAKCHKLGVKQVLVKLGSKGSALFIEGEKPIQQSIIAAAQVIDTTGAGDTFTAAFAVAMVEGKPHEECLRFAAAAASLCVQVKGAIPSMPDRTSVLKLLKSSI >fgenesh1_pm.C_scaffold_1001486 pep chromosome:v.1.0:1:7277984:7279407:-1 gene:fgenesh1_pm.C_scaffold_1001486 transcript:fgenesh1_pm.C_scaffold_1001486 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNENAKAKAPEKSDFTRRCSLLSRYLKEKGSFGNIDLGLLRKPDSNIGLLGTSDPPGKQNAMQKAGHFKGGPSASSGGKVKDVADLSESQPGSSQLTIFFGGKVLVYNEFPADKAKEIMEVAKQAKPLTEINIQTPINVENKSNMVLPDLNEPSNSADTDNNHPTKEQQQQQQEQNQIVERIARRASLHRFFAKRKDRAVARAPYQVNQNAGHHCYPPKPEAVAGQPLERGQSSQRQPDNAIAQTVAQHKSDGDKDVIMDIEEGQSSKDLDLKL >fgenesh1_pm.C_scaffold_1001523 pep chromosome:v.1.0:1:7475698:7479653:1 gene:fgenesh1_pm.C_scaffold_1001523 transcript:fgenesh1_pm.C_scaffold_1001523 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSIPIEAIPLASFIPDLVDDQTRNTSTIPTMTSPLHITIMPFDDVNDETHKTSATSPPIMVSPLRTIWPSNKDWYDGDAGPSTGPIKREPFDDETHNLSAHPPEMVTPLNTIRPIDDSNNNSNDAGVGLSTVPAKRGRGRPKGSKNSTSTERKKTKVYDPNSLRVTTFLGNFDSEITDAERENGNQELADSVMMRFDAVRRRLCQLNPTEDILVTANTNFTKFGVKTNTRRRIGPVPGVQVGDIFYFWGEMCLVGLHRQMVGGIDFLTAAESVVEGHAATSVVTAGQYDDETDGLESLIYCGQGGSDKSGRVFDQELKGGNLALKASVSKGNDVRVVRGVMHPFDNNQKVYIYDGIYLVTESWTVTGKSGFMEFRFKLVRKPNQPSGYAIWKLVENLRDNDLIDSRPGFILRDLSFGAELLRVPLVNEVDEDDKTIPEDFDYITSQCHSGMTFDLQSLGCQNFQHQSCIDQNSTCKQRNGGLLPYHNNILVCRKPLIYECGGSCPCPNNCPTRLVQTGLKLQLEVFKTRNCGWGLRSWDPIRAGTFICEFAGVRKTTKEEVEEDDDYLFDTSKIYPRFKWNYEPELLLGDCWEQVSEFINLPTQVLISAKENGNVGRFMNHSCSPNVFWQPIEYENNGDIYILIGLFAMKHIPPMTELTYDYGVSRVERTEEDEKGFLVCPYLSFVPLAIFLGNSLSFHVIRFSDSKGRAWYDKIKIYRKSDSLASQGKVSLESSDSSGLDSVKDEPEKLTEEQMEGERFKEKLSDSVLIDEKLEEYSDCDQTATTSPCPKDPVSSQSTHRSPESFVTAITCDDDTFVSVSGISRGVSNLIPFATETQASPVQDKVASTRSYGSNSMKVNNQDEFFIEDFDVGPMDTIDLYDMTFREDPSDFDDNLLYATRDRTKQLRSFKRKIMDAITSKRRREKEYEQLSIWFGDADMGCDLVNTKEHATTSLDSKSSQSNVPFVSQDSEWELL >fgenesh1_pm.C_scaffold_1001524 pep chromosome:v.1.0:1:7480016:7481752:-1 gene:fgenesh1_pm.C_scaffold_1001524 transcript:fgenesh1_pm.C_scaffold_1001524 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDHISGGGASKTKKQKWSSSQNRTKPMVVSRNERSVPLVSPSNSEDDHHMLKISLSSISKLELRNLKRKLKSELDEVRSLIKRFDPEVNLGGNIGSMAKTGVVGRNKKLKTGNGGGKKSGHGAEKGTVQIFKNCNSLLTKLMKHKCAWVFHVPVDAEGLGLHDYHNIVKEPMDLGTVKTKLGKNLYKSPLDFAEDVRLTFNNAILYNPVGHDVHRFAELLLNMFEDKWVSIEMQYDNLHRKFKPTRDIEFPAPASTIAPIVEPLPAVPTPPSPSPPPPPQPPVLENTTWEREESMTIPVEPEAVTTAPDKAEEEEAPVNNRDLTLEEKRRLSEELQDLPYDKLETVVQIIKKSNPELSQQDDEIELDIDSLDINTLWELYRFVTGYKESLSKKNEAQGFGSERDAESVHNSIQEPTTLVTGTNTSRVTESGKAIRTSSPGGQENNASGSSSSNSSSSDSGSCSSDSDSDSSSGRGSDNGN >fgenesh1_pm.C_scaffold_1001532 pep chromosome:v.1.0:1:7514134:7514983:-1 gene:fgenesh1_pm.C_scaffold_1001532 transcript:fgenesh1_pm.C_scaffold_1001532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit beta [Source:UniProtKB/TrEMBL;Acc:D7KG89] MNREKLMKMANTVRTGGKGTVRRKKKAVHKTNTTDDKRLQSTLKRIGVNSIPAIEEVNIFKDDVVIQFINPKVQASIAANTWVVSGSPQTKKLQDILPQIISQLGPDNMDNLKKLAEQFQKQSPGEGKASATIQEEDDDDVPELVGETFEAAAEEKLPAAAASS >fgenesh1_pm.C_scaffold_1001539 pep chromosome:v.1.0:1:7548616:7550730:1 gene:fgenesh1_pm.C_scaffold_1001539 transcript:fgenesh1_pm.C_scaffold_1001539 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTIGEHIRLRGARNQTIRHLHVVLPISQPNRFCNSAMSSFFLLPTSSSNETTRKKPYQTSSFRGMGCTAAAAAAQEVSVPSVIRSSADWDARIRKDKKKKHKKKKNKGSYEDGSIRILNEARDVDGGGCVAIPDVWCGPGLGFSTDAVVDRSVDPLRRKNIPSSRRNIDVDNNTQGSSVDQESHSHAFFNSDSTFVTSSRAEPTSRCRGHLRRSYRDDLTQVECSCQIVALSFLYLMMMLQNGFVMGRITDSRDHYHELRLDVDSMSYEQLLELGDRIGYVKTGLKESEIHRCLGKITPSISHTLGDRKCSICQDEYESEDEVGKLNCGHSFHVHCVKQWLSRKNACPVCKKTAYVKP >fgenesh1_pm.C_scaffold_1001543 pep chromosome:v.1.0:1:7569373:7571580:1 gene:fgenesh1_pm.C_scaffold_1001543 transcript:fgenesh1_pm.C_scaffold_1001543 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESDLTAKEKPNNKHAADSFSSEELVSPVKKAKKSEETGCSDGGEAVAAVGNREEKKEFLVEAGVAEDKGARHTMEDVWVVLPDASLDFPGTLRCAHFAIYDGHGGRLAAEFAKKHLHLNVLSAGLPRELLDVKVAKKAILEGFRKTDELLLQESISGGWQDGATAVCVWLLDQKVFVANIGDAKAVLARSSATNELGNHTEACNPLKAIVLTREHKAIYPQERSRIQKSGGVISSNGRLQGRLEVSRAFGDRQFKKFGVSATPDIHAFELTERENFMILGCDGLWEVFGPSDAVGFVQKLLKEGLPVSTVSRRLVKEAVKERRCKDNCTAIVIVFKRV >fgenesh1_pm.C_scaffold_1001564 pep chromosome:v.1.0:1:7683571:7684554:1 gene:fgenesh1_pm.C_scaffold_1001564 transcript:fgenesh1_pm.C_scaffold_1001564 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFSVSLFVSNLSNVASYLSPIFENIPSTKVVPAQIEKVVSLVSRTGRDLQRYDNSGYRQVVGCVPYRYKKQQVNGIETQEIQVLLVSAQKGKGMLFPKGGWETDESMEEAALRETIEEAGVTGELEEKLGKWQYKSKRHSIIHDGYMFALLVSQEFERWPEAEMRQRRWVSLDEAREVCQNWWMREALEAFINLKCLADDDESGN >fgenesh1_pm.C_scaffold_1001571 pep chromosome:v.1.0:1:7718734:7726209:1 gene:fgenesh1_pm.C_scaffold_1001571 transcript:fgenesh1_pm.C_scaffold_1001571 gene_biotype:protein_coding transcript_biotype:protein_coding description:F15H18.11 [Source:UniProtKB/TrEMBL;Acc:D7KGD7] MSIFLLFITFVVFSIADLPSCFSADQQYQKCRSGLTCGSGHKVFEKNTTYPFWGSKKPKFCGQTPFELSCDGNQNLTLAIGDLTLRVVSANLENQTISVADDSLLDGGCPEIWNFTGENQFTLDSNTEKIDLFICSSNPGKASLSNFTCKRSQETSTTYHVFVSPDFGRNCTKIGDVPMLRSVKDHLHIRGSNLTLENALRKGFGLSYRLKDTSCRRCSNSGGICGSDSGSESFRCLCEDRPHMSSCDDGDGVNPTQATQTLSLDPKFKACEPKSCGRGPEISYPFYLSGKQESFCGYPSFELACDYEQKLPVLGISGEEYVIKNISYLTQSFQVLNSKASHDDPCPSPLHNLTLHRTPFFVNPSHINFSILYNCSDHLLEDIRTYPLTCPGNTSRLRSVGIFDRRKLEKEKKIASMSCQKLVDVPVLASDESDVMGMTYVEILKRGFVLNWTANSCFRCITSGGRCGTDQQEFVCLCPDGPNIHDTCTNGKNGKRRRVMVKILIAASIFWYVYHRRKTKSYRTSSALLPRNISSDPSSKSFDVEKAEELLVGVHLFSYEELEEATNNFDPSKELGDGGFGTVYYGKLKDGRSVAVKRLYDNNFKRAEQFRNEVEILTGLRHPNLVALFGCSSKQSRDLLLVYEYVANGTLADHLHGPQANPSSLPWSIRLKIAVETASALKYLHASKIIHRDVKSNNILLDQNFNVKVADFGLSRLFPMDRTHVSTAPQGTPGYVDPDYHLCYQLSNKSDVYSFAVVLMELISSLPAVDITRPRNEINLSNMAVVKIQNHELRDMVDPSLGFDTDTRVRQTVIAVAELAFQCLQSDKDLRPCMSHVQDTLTRIQNNGFGSEMDVVDVNKSGPLVAQSPDSVIVKWDIMANFENLSSDFQTIAMDIYSSITQAADLNNNYSNLHFQAFHPSSTSLDSLFLHHHQHHHQQQLLRFPGNSPDDNSNNFSSTSSFLHSDHNNVDEPKKRKALLHTMSSSENSGVSDNTNVITTETGSLRRGKRLKKKKEEEDEKEREVVHVRARRGQATDSHSLAERVRRGKINERLRCLQDMVPGCYKAMGMATMLDEIINYVQSLQNQVEFLSMKLNAASSFYDFSSETDAVDSMQRAKARETVEMGRQTRDGSPVFHLSTWSL >fgenesh1_pm.C_scaffold_1001587 pep chromosome:v.1.0:1:7801035:7803198:1 gene:fgenesh1_pm.C_scaffold_1001587 transcript:fgenesh1_pm.C_scaffold_1001587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KGF5] MGRWQPVDHRRRGRRRLSSWIWFLLGSFSVAGLVLFMVQHYHHQQDPSQLLFERDTRTEMVSPTRLNFTEEVTSASSFSRQLAEQMTLAKAYVFIAKEHNNLHLAWELSSKIRSCQLLLSKAAMRGQPISLDESKPIISGLSALIYKAQDAHYDIATTMMTMKSHIQALEERANAATVQTTIFGQLVAEALPKSIHCLMIKLTSDWLIEPSRHELADENRNSPRLVDNNLYHFCIFSDNVIASSVVVNSTVSNADHPKQLVFHIVTNRVSYNAMQAWFLSNDFKGSAIEIRSIEEFSWLNASYSPVVKQLLDTDARAYYFGEQTSQDTISEPKVRNPKYLSLLNHLRFYIPEIYPQLEKIIFLDDDVVVQKDLTPLFSLDLHGNVNGAVETCLEAFHRYYKYLNFSNPLISSKFDPQACGWAFGMNVFDLIAWRKANVTARYHYWQEQNRERTLWKLGTLPPGLLSFYGLTEPLDRRWHVLGLGYDVNIDNRLIETAAVIHYNGNMKPWLKLGIGRYKPFWLRFLNSSHPYLQDCVTA >fgenesh1_pm.C_scaffold_1001597 pep chromosome:v.1.0:1:7843725:7846304:1 gene:fgenesh1_pm.C_scaffold_1001597 transcript:fgenesh1_pm.C_scaffold_1001597 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIHPSFFFFTIILHTYSLNFYRVQEILLSIHYAFKLLRNLVYRQGTMTFGNDETAHVIEELEKENVVKDFANITSPAGSRFLCGVVQRGKECGIYTFFRLCPDSSAIWRSLPDGYRSSGSGGGLSTTAVSNGGWRIRGFLRGWQIQNTLFNIKIMILCGFVTILILLGTISIGNFGSSNADSVNQSFIKETIPILAEIPSDSHSTDLAEPPKAEVSPNATYLNEPPKAEVSSNAAYTLGPRITNWDSQRKVWLNQNPEFPSIVNGKARILLLTGSSPGPCDKPIGDYYLLKSVKNKIDYCRLHGIEIVYNMAHLDEELSGYWTKLPMIRTLMLSHPEVEWIWWMDSDALFTDILFEIPLPRYENHNLVIHGYPDLLFNQKSWVALNTGIFLLRNCQWSLDLLDAWAPMGPKGTIRDEAGKILTAYLKGRPAFEADDQSALIYLLLSQKDKWIEKVYVENQYYLHGFWEGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGRYADYAVDRCFKSMERAFNFADNQVLKLYGFSHRGLLSPKIKRIRNETVSPLEAVDEFDIRRMRVETKP >fgenesh1_pm.C_scaffold_1001618 pep chromosome:v.1.0:1:8016173:8017965:1 gene:fgenesh1_pm.C_scaffold_1001618 transcript:fgenesh1_pm.C_scaffold_1001618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin family protein [Source:UniProtKB/TrEMBL;Acc:D7KH84] MATEILRTKWMAMTVSIWIQCTGGGSYTFGIYSAILKSTQSYDQSTLDTVSVFKDIGGNVGVLSGLVYTAATFSRRRRDGRERREGPWVVILIGAILNFTGYFLMWASVTGLITRPPVPVMCLFMFIAAQSLTFLNTANVVSSLENFADYGGTAVGIMKGFVGLSGAMLIQLYETICPGDPKTFILLLAIVPSLLSVLVMPLVRIYKTSTVHEKKHLDGLSALSLIIAAYLMITIILKTILSLPSGANAVTLAVLLVLLASPLLVAVRARRGSVEKPLSSLYSPLVDKLETKTSGEVVVLDEDKSLNVLQAMRNVDFWLLFLAMICGMGSGISTINNIRQIGESLRYTSVEINSLLALWSIWNFIGRFGAGYASDLLLHRKGWPRPLLMATTLGTMTIGHLIIASGFQGNLYPGSIIVGICYGSQWSLMPTITSELFGVKHMGTIYNTISIASPMGSYIFSVRLIGYIYDHTITGEGNTCYGPHCFRLAFVIIASVAFLGFLVSCVLVFRTKTLYRQIFEKRLHRR >fgenesh1_pm.C_scaffold_1001632 pep chromosome:v.1.0:1:8084068:8085039:-1 gene:fgenesh1_pm.C_scaffold_1001632 transcript:fgenesh1_pm.C_scaffold_1001632 gene_biotype:protein_coding transcript_biotype:protein_coding description:F14D16.29 [Source:UniProtKB/TrEMBL;Acc:D7KHA4] MVKSSEIVGKLNLRAHQEGGFFAETFRDSSVLLSTSQLPPTCNSLPLKVDRAVSTSIYFLLPSGSVSRLHRIPMAETWHFYLGEPLTVVELYDDGKLKFTCLGPNLLEGDQKPQYTVPPNVWFGSFPTKDVHFSQDGTLLKAEPRDSENHFSLVGCTCAPAFQFEDFELAKRSDLLSRFPQHESLITMLSYPE >fgenesh1_pm.C_scaffold_1001641 pep chromosome:v.1.0:1:8134541:8139454:1 gene:fgenesh1_pm.C_scaffold_1001641 transcript:fgenesh1_pm.C_scaffold_1001641 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSPLSFSTSSSFSHADGIDDGVELRSSPFAGGAMLPVFLNGLSRNSGESGSSSWERELVEVTLELDVGDDSILVCGMSEAASVDSRPRSVDLVTARLSRNLSNASTRIRQKLGKLLRSESWKTTTSSTAGERDRDLERQTAETSGIMTARDRRREDAILQRSTSSAQRALKGLQFINKTTRGNSCDCDWDCNCDQMWKKVEKRFESLAKEGLLARDDFGECVGMVDSKEFAVSVFDALARRRRQKLEKITRDELHDFWLQISDQSFDARLQIFFDMADSNEDGKITREEIKELLMLSASANKLAKLKEQAEEYASLIMEELDPENFGYIELWQLETLLLQRDAYMNYSRPLSTTSAGVSTPRRNLIRPRHVVRKCRKKLQCLVLDNWQRSWVLLLWIMLMAILFVWKFLEYREKAAFKVMGYCLTTAKGAAETLKLNMALVLLPVCRNTLTWLRSTRARACVPFDDNINFHKIIACAIAIGILVHAGTHLACDFPRIINSSPEEFALIASAFNGTKPTFKDLMTGAEGITGISMVILTTIAFTLASTHFRRNRVRLPAPLDRLTGFNAFWYTHHLLVVVYIMLIVHGTFLFFADKWYQKTTWMYISVPLVLYVAERSLRACRSKHYSVSMLPGEVLSLIMSKPPGFKYKSGQYIFLQCPTISRFEWHPFSITSAPGDDQLSVHIRTLGDWTEELRRVLTVGKDLSTCVIGRSKFSAYCNIDTINRPKLLVDGPYGAPAQDYRSYDVLLLIGLGIGATPFISILKDLLNNSRDEQTDNEFSRSDFSWNSYTSSYTTTTPTSTHGGKKKAVKAHFYWVTREPGSVEWFRGVMEEISDMDCRGQIELHNYLTSVYDEGDARSTLIKMVQALNHAKHGVDILSGTRVRTHFARPNWKEVFSSIARKHPNSTVGVFYCGIPTVAKELKKQAQDMSQKTTTRFEFHKEHF >fgenesh1_pm.C_scaffold_1001643 pep chromosome:v.1.0:1:8141417:8143645:-1 gene:fgenesh1_pm.C_scaffold_1001643 transcript:fgenesh1_pm.C_scaffold_1001643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7KHB6] MASYYDKLTSSRVAIIGAGVSGLAAAKNLAHHNPTVFEASDSVGGVWRSCTYETTKLQSARVDYEFSDFPWPNNRDDTTFPSYVEILDYLESYAKHFDLLKFMKFGSKVIEVRFIGDGQTPQMVDLGAYGNLLPGKPVWEVAVQTGDSGDIQWHAFEFVVVCTGKYGDVPRIPAFPAKKGPEIFQGKVMHSMDYCKLEKEEASSLLRGKKVAVIGFKKSAIDLALESALANQGGGKACTMVVRTTHWVFPHYWVWGLPFFLFYSTRASQFLHDRPNQSFLRTLFCLIFSLLRAAVSKFIESYVLWKLPLEKYGLKPDHSFEEDYASCQMAIIPEKFFEEADKGMIRFKKSSKWWFYDEGIEFEDGTTLEADVVILATGYDGKKKLKAIVPEPFRTWLEFPCGVMPLYRGTIHPLIPNMGFVGYVQSNSNLHTSELRTMWLSRLVDEKFRLPSKEKMLDQFFKEMEVTRNSSRFYKRHCISTFSIQHADDMCNDMGLNPWRKSNLLLEAFSPYGSQDYRLGQEEKEDGGLN >fgenesh1_pm.C_scaffold_1001662 pep chromosome:v.1.0:1:8285929:8287144:1 gene:fgenesh1_pm.C_scaffold_1001662 transcript:fgenesh1_pm.C_scaffold_1001662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KI31] MVNISEILDDSNDGGNPNKKPEEQVLRRSSRISTRNENQNKKPKEEEKEDIRSISFPIPDDLTEACIALIRRCDYPSLSSVSSYFFTLIASSRLYETRSRLGLSETFLYAAIKFPDDIPPKWYILHRNKVSSLRLTELSSLPPMYVIGGVIDRRRLQSMNLIDCRTHKCRSLPKMKRGRYNAAAGVIDGKIFKQSWESLPGPYPKTSSGCEWKTYVVMEEKLYILDSKFCLVYEPKRNIEWDASVGATPLMDLWKYTCSYSWTSNSIVVYDPKDKTWRPVKGESLGRLPSYLFDKSEMANFGGKLVILGSNESYVTGDCIGEKGIWCVMIALEKREGEEIWGMVESLDCVLGDINFLMVRLCRTVTI >fgenesh1_pm.C_scaffold_1001664 pep chromosome:v.1.0:1:8295632:8301751:-1 gene:fgenesh1_pm.C_scaffold_1001664 transcript:fgenesh1_pm.C_scaffold_1001664 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMSSSSSWTSKQNKMFERALAVYDKDTPDRWQNVAKAVGSKSAEEVKRHYDILVEDLMNIEQDLINEEYENPVKSVTLMNFQIKPNKTRKTRKYIVDKLKKIYELPLMAKISVAVLLSVLLIVSINSVDILAEEQPTVGQRIDSAVTGVTNAFNEHGGPQAVDTVSSTVKSVYGWFSEKAKYLEPISSSCCSSSSSGEEDTAAANMTEMEAAEALADLAQLAIMREEVFESAASWGSKGKRVRKRVKTESPPSDSLLKPPDSETLPTPDLAEERLVKEEEEEEVQPITKAPVKTEMNGETPKLNLASTLRCSRSNGCGRSRQNLSEAEREERRIRRILANRESARQTIRRRQAMCEELSKKAADLTYENENLRREKDWALKEFQSLETINKHLKEQVSKSVKPDTKEPEESTKPSQVDMSTSSTPFYFYNQNPYQLFCWPHVTQSSNPTISPLEFATSGGPSAKSMTSQEHENPADDNGQKTHFYVVPCPWFLPPPDQSNSVPFGLQNTQRGTFSNGHHIDDSSARPIEVTETPRSHLPTRIKEEDSGSPETRPLYDLNESATEVLSEGGDDFPITQQDYSLKHEDVSETTNGVTLMPPGHHVLISLPGKKQGSLAAAEARKRRKELTRLKNLHGRQCRMQVG >fgenesh1_pm.C_scaffold_1001669 pep chromosome:v.1.0:1:8315669:8317780:1 gene:fgenesh1_pm.C_scaffold_1001669 transcript:fgenesh1_pm.C_scaffold_1001669 gene_biotype:protein_coding transcript_biotype:protein_coding description:F18O14.34 [Source:UniProtKB/TrEMBL;Acc:D7KI38] MGTVGRAFYSVGFWIRETGQALDRLGCRLQGKNYFREQRKLLRNSSFHSDFEFVVSTAFTQLISRHRTLMNVFDKAPIVDKEAFVAPSASVIGDVQIGRGSSIWYGCVLRGDVNTVSVGSGTNIQDNSLVHVAKSNLSGKVHPTIIGDNVTIGHSAVLHGCTVEDETFIGMGATLLDGVVVEKHGMVAAGALVRQNTRIPSGEVWGGNPARFLRKLTDEEIAFISQSATNYSNLAQAHAAENAKPLNAIEFEKVLRKKHAQKDEEYDSMLGIVRETPPELNLPNNIQPDKETKRPSNVN >fgenesh1_pm.C_scaffold_1001695 pep chromosome:v.1.0:1:8481765:8484928:-1 gene:fgenesh1_pm.C_scaffold_1001695 transcript:fgenesh1_pm.C_scaffold_1001695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein IQ-DOMAIN 32 [Source:UniProtKB/TrEMBL;Acc:D7KI70] MGRSPASSCLRLISCSGGDDASDPNSTAVENKSSGDKRGWSFRKKSGKQRGLITSVVSETAPASRTRETLESALLKSPSPDNNNVSEKQQQSFSVDEKKSQLPAVTYVAEPVDEKKTQFVEEKTELSSVEEQIDHRTEVSPVIVESKGTETEEDDLIGTELQGPIAADAAKIEKDVTSEVEIASKVEPEESETDDVIIISKESDENVDEMLDESVVVVIQAAIRGFLARRELLRRKKVIKLQAAVRGHLVRSQAMGSLRCVQAIVKMQAMVRARHSTKDVSRVSAISDKAEGNAAAQKLLENKFAKHLMESTPKTKPISIKCDPTKPSSAWNWLERWMSVPKPEKTSKADLTTEEQQLEETQNVKVSPQVDFVNSDSTVETKTEADLSSYEASKLAGQHVELSETEKMSQYDSPEASAEVYYDSIQSQPLAAKEPDSLLEEPEYVDGQLKHSLKRKVSNPSFIAAQSKFEELTSSTGSNKAMTLSPKDGVSGEEGKTDIDSHTTNTKKDHSLEDVTPAELSGSECGTELSVTSSLDSLEKKSDAEGAEPRVEAKLLEDDSFKTDQAELIEIDVKDATSLGILEDPKEKVENAKDEVEISVTQHESVVSTPDSKKRRAEDESGPQASTLSEGALTPMTITESQATPASQASSSVKARKGKSEKSGSSQKRKVSKKITSSPKQEIGSGEATEQEEGKEQKSVRRNSFGYDQEARESSGGKNSLPRFMQPTQSAKAKVQEHNSPRSSPDLQERDVVSAKKRHSLPGVTNGKQVSPRIQRSASQAQPGTKDRKWQR >fgenesh1_pm.C_scaffold_1001702 pep chromosome:v.1.0:1:8511940:8513399:1 gene:fgenesh1_pm.C_scaffold_1001702 transcript:fgenesh1_pm.C_scaffold_1001702 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:D7KI77] MIGSFLTRGLVMVFGYAYPAYECYKAVEKNKPEMQQLRFWCQYWILVAALTIFERVGDAFASWVPLYCEAKLAFFIYLWFPKTRGTTYVYDSFFRPYVAKHENEIDRNLIELRTKAGDMAVVYCRKAVSYGQTRIAEILHFVALQSTPKPQPKVKKQAAPEEEEQKQPDLKATSQAASSNPQARMQSKKPQLVTKEPMSPKPLSSPRKQQQLQTETKEAKASVSQTRLTTLSPPVPPPPPSPSTAMKPNADPAHPLPTEAEQASQTVAALPPAASEIQRATSSKETLMEETLRVTRGSLRKARSAGAPR >fgenesh1_pm.C_scaffold_1001705 pep chromosome:v.1.0:1:8518518:8519890:-1 gene:fgenesh1_pm.C_scaffold_1001705 transcript:fgenesh1_pm.C_scaffold_1001705 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEKSPIQSVMAWIRRQPPKVKAFLGVVTAMTLLVFLRVIVHEHDNLFIASEAVHAVGIAVLIYKLTKEKTCAGISLKTQELTALFLAVRLYCSFVMEFDLHTLLDSATLVTTLWVIYMIRFKLRPTYMEDKDNFAIYFVVIPCAVLSFFIHPSTRHHIINRISWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGIARFLSCAHWILQVLDTRGRLLTALGSGLWPVMVLISEIVQTFILADFCYYYVQSSFFVSRLVWCKKLCENGMLELVEV >fgenesh1_pm.C_scaffold_1001706 pep chromosome:v.1.0:1:8520483:8524479:1 gene:fgenesh1_pm.C_scaffold_1001706 transcript:fgenesh1_pm.C_scaffold_1001706 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLVSGDSSERENWQTIFKSLVKILQTKQDQLESLLKDRKILESEIKTKHENWLSDVRNYEDQLSLMMKEIETTKMIQLLETSKCNLLCGLKEKDHSLCNLKLEHAVDELSDFKAWFDFLTLNTNMENESGNSEASAIKSLEAKIRKLKLEYEKLASEKKCEVSDLLRENGFAWNQFKCIESGFTDTLKRKDDEIAQANTKISSLISYQEQLQSSNQEKDETISRLKAKMAEMEVNSTKKDEEISKLTRDLESAKKSRGLTPVLTRCTKLETRSNGNTVGSHISTKKEKVAASTTNEKVSKRSKRKRVNMTPVSVSEVPKLFTSTFRLPNLTKEESSNGGISGNSTKISSGFEEEFFFFWFILFVTENFLLYGFDILNKIICFFLSLVLFVESLSVIKKMPPSEDAKAMKKKEEAEEDNKSLSSIVKKKPTNANNAGSKKLKKEENDDDDDDDDNKPIKSSVSGSRAKPVKKKEEIDKDDEKKPVSKRNSSVGASKEKKKTEKEEQVKKTRERKVYDLPGQKRDQPEERDPLRIFYESLYKQIPTSDMAQIWLMESGLLPAEKAKKVLEKKQQKGGKFSSPVKSAASTPRSNTKSVTVKKKEAKKSPSEALSNKKKGNDTKPTTKKRKKNSDDDDDDSDDDFLASRVSKKARAK >fgenesh1_pm.C_scaffold_1001716 pep chromosome:v.1.0:1:8575004:8577679:1 gene:fgenesh1_pm.C_scaffold_1001716 transcript:fgenesh1_pm.C_scaffold_1001716 gene_biotype:protein_coding transcript_biotype:protein_coding MGIISTILGVIGFGFGTTIGIVIGYYLFIYFQSTDDPEIKPLVELDSETIAKMFPEIPLWVKNPDFDRIDWLNKLIGHMWPYLDKAICKMAKSIAKPIIAEQIPNYKIDSVEFEMLTLGSLPPSFQGMKVYATDDKEIIMELSVKWAGNPNIIVVAKAFGLKATVQVVDLQVYATPRITLKPLVPSFPCFANIFVSLMDKPQVDFGLKLLGADVMAIPGLYRFVQELIKDQVANMYLWPKTLNVQIMDPSKAMKKPVGLLSVKVIKAIKLKKKDLLGGSDPYVKLTLSGDKVPGKKTVVKHSNLNPEWNEEFDLVVKEPESQELQLIVYDWEQVGKHDKIGMNVIQLKDLTPEEPKLMTLELLKSMEPNEPVSEKSRGQLVVEVEYKPFKDDEIPENLDDPNAVEKAPEGTPSSGGLLVVIVHEAEDLEGKYHTNPSVRLLFRGEERKTKRVKKNREPRWDEDFQFPLDEPPINDKLHVEVISSSSRLLHPKETLGYVVINLGDVVSNRRINDKYHLIDSKNGRIQIELQWRTSS >fgenesh1_pm.C_scaffold_1001719 pep chromosome:v.1.0:1:8584434:8593281:1 gene:fgenesh1_pm.C_scaffold_1001719 transcript:fgenesh1_pm.C_scaffold_1001719 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGDYNSYYHHQYSQFQNPTPNPNPNPSPPAPATVAGPTDLTRNTYASAPPFTGGYGSADYSNYSQNYTPYGQNSDHVPPSAPSFTPPSQPPPPPSPPATSLNPNSYSTFNQPPPPPTIHPPPLSSYGSFDSTAPYQQSTTTQPMYYSPYDHHQTSGYSSAPPHAPPSSAPAPNPNHAPYSSSLYSALSYSSGGSSVPPSYEKPSVKFDQSGYDGYNRSRSDLGSDLYGKRSDSGGYPAFEDSYGDGVYAYEGGKAEPYGSRGTAAKSSNSTLFDDYGRSISFSSGGRDSSVSSNSAKIVRAVPKADVQEDSTGGVQKFRVKLLAETYGQTTTDVLCQIGLDGLRMLDPSTSRTLRIYPLENITRCEKLDSSILAFWSKTPVDIEARRIRLQSNSYTTNTLLDTVTAAMFQAKEIGGSSRPPTSGKLVEQTAEKKKGLGDWMNIIKPVNEEKDHWVPDEAVSKCTSCGSDFGAFIRRHHCRNCGDVFCDKCTQGRITLTAEDNAPQVRVCDRCMAEVSQRLSNAKEATSRNVSLQSHEDLARKLQEEMERNRKSSSGSREGSGRRMKEVACPTCTVHLQVQVPISGSETIECGVCQNPFLVVLRLEDSATSTTHVSSSTMSNRLSRVPKPGPNPGPAPAPEPKLCPSPGPNPGPVTPRKHNTTFPAIFAFGDSILDTGNNDYILTLIKANFLPYGMNFPDGVPTGRFCNGKIPSDFIADYLGVKPVVPAYLRPGLTQEDLLTGVSFASGGSGYDPLTPIVVSAISMSKQLTYFQEYIEKVQGFVGKEKAEHIISKGLALVVAGSDDLANTYYGEHLEEFLYDIDTYTSFMASSAASFAMRTTRGGLTRKCADELNFAAQLFNSKLSTSLNEVAKTMKNTTLVYIDIYSSFNDMIQNPKKYGFDEIDRGCCGTGLVELGPLCNKFTSLLCKNVSSFMFWDSYHPTERAYKILSQNFVENDMGPFYDK >fgenesh1_pm.C_scaffold_1001720 pep chromosome:v.1.0:1:8596158:8604146:1 gene:fgenesh1_pm.C_scaffold_1001720 transcript:fgenesh1_pm.C_scaffold_1001720 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPAPDPSPKPSPPPGPSPKPVAPPGPSPCPSPPPKPQPKPPPAPSPSPCPSPPPKPQPKPAAPPACPPTPPKPQPKPAPPPAPKPAPCPSPPKPPVPTPKPVPPHGPPPKPAPAPTPAPSPKPAPSPPKPENKTIPAVFFFGDSVFDTGNNNNRETKIKSNYRPYGMDFKFGVATGRFSNGMVASDYLCTQIHGSKRNCTGIFRPKGTTKRSSYGRIFCIGWCWLQSYDIRSSRKFESLLSSFLYGVIYICNHNHHLIYNDLIITYFGSGAQRLKNDIDSYTTIIADSAASFVLQLYGYGARRIGVIGTPPLGCVPSQRLKKKKICNEELNYASQLFNSKLLLILGQLSKTLPNSTLVYMDIYTIFSQMLETPGAYGFEETKKPCCKTGLLSGGALCKKATSKICPNTSSYLFWDAETMGTYQEIADILIDGLQMLLSMFFFGDSIIDTGNNNNLTTEMKCNFSPYGMDFPLGVATGRFSNGKVVSDYISEYLGVKPIVPAYFDPNVQLEDLLTGVSFASGGSGYYHLTPRISRVKSMLDQLTYFQRHISRVKRLIGQDKTDQLLAKGLSVVVAGSNDLAITYYGQGAQLLKDDIHYFTSKMANSAASFVMQLYEYGARQIAVLGTPPLGCVPILRTLKGGLRRECAQDINYASQLFNVKLSITLDQLAKNLPNSNLIYIDIYSAFSHILENSADYAQTGRFSAVLAFGDSILDTGNNNLLMTVSRGNFLPYGRDFPNRIPTGRFGNGRVLSDLVAGGLGVKDLLPAFRSPFLKSSELATGVCFASGGSGLDKFTASIQGVIWVQDQVNDFQRYIEKLNQQVGDPAKVKEIIANAVILVSAGNNDLAITYFSTPKRQTRYTVQAYTDMLIGWKTTFMNSLYDLGARKFAILGTLPLGCLPGARQITGNLICLPNVNYGARVYNEKVANLVNQYSQRLPNGKFVYIDMYNSLLEVINNPSQYGKKIE >fgenesh1_pm.C_scaffold_1001726 pep chromosome:v.1.0:1:8626183:8630408:-1 gene:fgenesh1_pm.C_scaffold_1001726 transcript:fgenesh1_pm.C_scaffold_1001726 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRSALVLVVFFVGTVVQAQLIPPARRDGFVYPPGRKIDPDTILIEAYFDPVCPDCRDAWEPLKLAIDHYGSRVALVLHLIPLPFHDNAFLVSRALHIVDTLNANATFNLLEGIFKHQALFYNSQTQLMSRPAVVDNLIKLGTVTLGNSYHSPLISGFSNSKSDLATRVSFKYSVSRGVSATPTFYVNGFELPGAGSPKDYEGWRDTIDPLMDKYQRVEKPKADTPIAENEIRITSMGRARNYITYAMALLQENKSNEVIFKAMGRAINKSVNIVELIKRRIPGLHQITSIGSTDITDTWEPTEEGLLPIETTRHVSMITITLSKEELNTSSIGYQCPIPIEMVKPFAEVDYEGQEGSPRGRGRRGRGGRGRGRGRGGRGNGYVNAEYDDGGRGRGGRGNGYVNNEYDDGGRGRGGRGSGYVNNEYNDGGMEQDRSYGRGRGRGRGGGRGGRGRGGYNGPPPYYEAQPYYEAQQGGDYGNNAAPPADHEYDGPPPQGRGRGRGRGGRGRGGGRSGLNRSNGAPIQAAA >fgenesh1_pm.C_scaffold_1001745 pep chromosome:v.1.0:1:8748619:8756619:-1 gene:fgenesh1_pm.C_scaffold_1001745 transcript:fgenesh1_pm.C_scaffold_1001745 gene_biotype:protein_coding transcript_biotype:protein_coding description:F5M15.18 [Source:UniProtKB/TrEMBL;Acc:D7KJ23] MADSQRSSSSLINPRNGFCVANSTFYSKRNPLQLPANTSLDVTTFMASQAHCGTTAFIDAATGHSISFSDFWRAVHNVADCLHHDIGIRRGDVVLILSPNSISIPVVSLAVMSLGAVITTANLLNTASEISRQIADSKPKLAFTTPELAPKLAASADISVVLTEEEEEERVRYTRGVRVVGVLTEMMKKEQSGPRVRDRVNQDETAMLLYSSGTTGRSKGVDSSHRNLISHIARYIAEPFEPNQTFLCTVPMFHTYGLLICAMATVALGSTLVILRKFDLHDMLAAIEKYRATTLVSAPPVLIAMINGSELIKAKYDLTSLKTVRSGGSPLSKEVTESFLEKYPTVDIFQAYALTESNSAGASIDSVEESRRYGAVGKLSSGVEAMIVDPGSGRILGENQTGELWLKSPAIAKGYFRNEEATKEAFNSEGWLKTGDLCYIDHDGFLFVVDRLKEVIKYKAYQVPPAELEALLLTHPEIIDAAVIPFPDKEVGQFPMACIVRKSGSYLTEKSIMEFVAKQVAPYKKIRKVKFMSSIPKNPSGKILRKDLIQLLYFLGKGFIISLIDPRSGFCKSNSTFYSKRQSLSLPPNLSLDVTTFISSQPHRGTTAFIDAATGQRLSFSDLWRAVDNVADCLYHDVGIRRGDVVLILSPNSIYIPVVCLSVMSLGAVVTTANTLNTAGEISKQIADSNPTLVFTTRQLAPKLSAAISVVLTDNEDEEPVEFTRVVRVVGVLSDMVKKEPSGKLVRDRVNQDDTAMMLYSSGTTGPSKGVISSHRNLTTHVARFISDNLKRDDIFICTVPMFHSYGLLAFAMGTVALGSTVVILRRFQLHDMMDAVEKHQATALALAPPVLVAMINDADLIKAKYDLSSLTTVRCGGAPLSKEVTEGFLEKYPTVDILQGYALTESNGGGAFTNSVEESRRYGTAGMLTSDVEARIVDPNTGRVLGINQTGELWLKGPSISKEDGFLFVVDRLKELIKYKGYQVPPAELEALLITHPDILDAAVIPFPDKEAGQYPMAYVVRKHESNLTEKHVIDFISKQVAPYKKIRKPHRGKTVFVDAVTGRRLSFPELWLGVERVAACLYALGVRKGNVVIILSPNSILFPIVSLSVMSLGAIITTANPVNTSDEISKQIGDSRPVLAFTTCQLLSKLAAASNSNLPVVLMDENHVHSQTYGDRVKIVGRLETMIETEPSESRVKQRVKQDDTAALLYSSGTTGTSKGVMLSHRNLIALVQAYRARFGLEQRTVCTIPMCHIFGFGGFATGLIALGWTIVVLPKFDMAQLLSAVEIHRSSYLSLVPPIVVAMVNRATEINSKYDLSSLHTVVAGGAPLSREVTEKFVENYPTVKILQGYGLTESTAIAASMFNKEETKRYGASGLLAPNVEGKIVDPDTGRVLGVNQTGELWIRSPTVMKGYFKNKEATASTIDSEGWLKTGDLCYIDSDGFVFVVDRLKELIKCNGYQVAPAELEALLLAHPEISDAAVIPIPDMEAGQYPMAYIVRKASSNLSENEIMGFVAKQVSPYKRIRKVTFLASIPKNPSGKILRRELIKLTTSKL >fgenesh1_pm.C_scaffold_1001748 pep chromosome:v.1.0:1:8767147:8769146:-1 gene:fgenesh1_pm.C_scaffold_1001748 transcript:fgenesh1_pm.C_scaffold_1001748 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSPSKLDGLPAVSLCRDRCNSLEDTLRRSYALADAHSAYLLSLNTVGPALHRFFQLAVESPPDVESEADQSPETYSPESSSPSHSVTSSDSDSPPKFDSDCEEEDEGTNCDLFSCPKLESLNLNHDSFYSRRYESGTRTPPPPPPAPSNYAWDFINFFENYEFPYITNAKDLKDRETTRFHEEDKLTKKKITVSQNIKIKEEKKTLRISKKNRKSAPKESKNHKVSSDFSEVTKQLQEMFKKASESGNDVSKMFDTSRFRYYQKSSVYQCNVRVLLPSSNILYTKKVMTPFDPKPVEESDFNNLSSTLKKLFMWEKKLYQEVKAEEKLRTSHMKNYKLLRRLEAKSADLSKVEVIRSSVQCLSTRMRVSIHKINNICLTINKLRDEELWSQMKELIHRLSVMWSSMLECHRRQSKVIAEAKKLDKMTIKENLDLSQLELAMELKLELRNWSLSLSNWIDAQAQYVKALNSWLMRYLKQEPQVPTPDLSEEPPLFGAINTWSQTLERSHGEKEFTEAVYTILMHVNRQVEKQRMELEEQRNVNGSVKDIERKLMMLEKEEQKMQRKMKTVPSVELMGSLNLKSNMEQIFKSMEKLATNSKQTYEELDLMCT >fgenesh1_pm.C_scaffold_1001750 pep chromosome:v.1.0:1:8777811:8780319:-1 gene:fgenesh1_pm.C_scaffold_1001750 transcript:fgenesh1_pm.C_scaffold_1001750 gene_biotype:protein_coding transcript_biotype:protein_coding description:F2D10.3 [Source:UniProtKB/TrEMBL;Acc:D7KJ28] MAFQRRRYNYYNRLRLLLPLICAVSGALLILFALLSILSPPPDDSDRRISKQIHYGTNDEKKNIPVVFTVPQRGGGKLDRDIWRSWNAEFFYGCCNASSKFPNAKAITRNDRYLAIATSGGLNQQRTGIVDAVVAARILNATLVIPKLDQKSYWKDASDFSNIFDVDWFISFLSKDVKIIEKLPQKGGQTWSPRRMRVPRKCNEKCYINRVLPVLQKRHAVELNRFDYRLSNKLREDLQKLRCRVNYHALKFTDPILEMGNELVRRMRKKSKHFIALHLRFEPDMLAFSGCYYGGGEKEKKELGTIRRRWKTLHVNNPEKQRRQGRCPLTPEEVGLMLRALGYGSDVHIYVASGEVYGGEKSLVPLKALFPHFYSKDTIATKMELKPFSSYSSRMAALDFLVCDESDVFVTNNNGNMARILAGRRRYFGHKPTIRPNAKKLYKLFMSKENTTWEEFASRVRTFQRGFMGEPKEVRAGRGEFHENPAACICEDTDAKAKAGKMDSRKLGKKNKKDDEQNVDEDAESSETDYEEDQTDLQDRGLYNGTRLDYDDALSVSEEPELEEMLSD >fgenesh1_pm.C_scaffold_1001757 pep chromosome:v.1.0:1:8817683:8823505:1 gene:fgenesh1_pm.C_scaffold_1001757 transcript:fgenesh1_pm.C_scaffold_1001757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase [Source:UniProtKB/TrEMBL;Acc:D7KJ38] MVFGRDGPPGDPYRPSSAYNAPFYTTNGGTPVSNNISSLTIGERGPVLLEDYHLIEKVANFTRERIPERVVHARGISAKGFFEVTHDISNLTCADFLRAPGVQTPVIVRFSTVVHERASPETMRDIRGFAVKFYTREGNFDLVGNNTPVFFIRDGIQFPDVVHALKPNPKTNIQEYWRILDYMSHLPESLLTWCWMFDDVGIPQDYRHMEGFGVHTYTLVAKSGKVLFVKFHWKPTCGIKNLTDEEAKVVGGANHSHATKDLHDAISSGNYPEWKLFIQTMDPADEDKFDFDPLDVTKIWPEDILPLQPVGRLVLNRTIDNFFNETEQLAFNPGLVVPGIYYSDDKLLQCRIFAYGDTQRHRLGPNYLQLPVNAPKCAHHNNHHEGFMNFMHRDEEINYYPSKFDPVRCAEKAPIPTNSYTGIRTKCVIKKENNFKQAGDRYRSWAPDRQDRFVKRWVEILSEPRLTHEIRGIWISYWSQADRSLGQKLASRLNVRPSSAHDSPFFTTNSGAPVWNNNSSLTVGTRGPILLEDYHLLEKLANFDRERIPERVVHARGASAKGFFEVTHDITQLTSADFLRGPGAQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFVRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFSFLFDDLGIPQDYRHMEGAGVNTYMLINKAGKAHYVKFHWKPTCGIKCLSDEEAIRVGGSNHSHATKDLYDSIAAGNYPQWNLFVQVMDPAHEDKFDFDPLDVTKIWPEDILPLQPVGRLVLNKNIDNFFNEYEQIAFCPALVVPGIHYSDDKLLQTRIFSYADSQRHRLGPNYLQLPVNAPKCAHHNNHHDGFMNFMHRDEEVNYFPSRLDPVRHAEKYPTTPIVCTGNREKCFIGKENNFQQPGERYRSWDSDRQERFVKRFVEALSEPRVTHEIRSIWISYWSQADKSLGQKLATRLNVRPNF >fgenesh1_pm.C_scaffold_1001761 pep chromosome:v.1.0:1:8867831:8868607:1 gene:fgenesh1_pm.C_scaffold_1001761 transcript:fgenesh1_pm.C_scaffold_1001761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein [Source:UniProtKB/TrEMBL;Acc:D7KJ50] MEQESLNGTFDDRVMTEEQMEALRKQIAIYAVLCDQLVFLHNSLSSVPLLSSGMNSMGGGYFDPMVASSSAHGMSTRHRWTPTSMQLQILENIYKEGSGTPNPRRIKEITMELSEHGEITEKSVYNWFQNRRARSKRKQPQTTTITSGQADDAAVTTTDERESCGGDSGAFESYEHILFPSPDLGIEHLLNRDKFID >fgenesh1_pm.C_scaffold_1001781 pep chromosome:v.1.0:1:8969992:8971908:1 gene:fgenesh1_pm.C_scaffold_1001781 transcript:fgenesh1_pm.C_scaffold_1001781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7KJ74] MTMRLLDLFITSSIPVAKILLITGIGFYLALDQVNILNQDARKQLNNIVFYVFSPSLVASSLSETITYESMVKMWFMPLNVLLTFIIGSFLGWIVIKITKPPSHLRGIIVGCCAAGNLGNMPLIIIPAICNEKGSPFGDPESCEKYGLGYIALSMAIGAIYIWTYVYNLMRMLANPGGETAINSTSSTMPLISPKVEVGEQVGTWSKVKQRVSSVAEKINLRTIFAPSTIAALIALAVGLNPLLRKLLVGNTAPLRVIEDSVSLLGDGAIPVLTLIVGGNLLNGLRGSGMNKSVIMGVVVVRYLLLPILGVFIVRGAHYLGLVTSEPLYQFVLLLQYVVPPAMNLGTITQLFGSGESECSVILFWSYVLASVSLTVWPTFFMWLVA >fgenesh1_pm.C_scaffold_1001795 pep chromosome:v.1.0:1:9087323:9088726:1 gene:fgenesh1_pm.C_scaffold_1001795 transcript:fgenesh1_pm.C_scaffold_1001795 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFFFYFFGFLSLFSRPSFSATFLVDGVSVWKTPTVHVGDSVIFRHKYGYDLYIFRNKDAFNVCDFTQATLLTKPNSTSFSWYPSRTGSYYFSFTNNTSLPRTCQLNQKLTVQVILSAASPPSQPPAISPVPVSEGGVISSPSSYPWPLGPREGSAFSPGPSPSEITSVTVPGKDGVPFINSNPAVPLPTGEVDSTSINPLPTSTNSAHQVMMMTLKVKLGLCCVAMFFLLL >fgenesh1_pm.C_scaffold_1001799 pep chromosome:v.1.0:1:9114311:9120204:-1 gene:fgenesh1_pm.C_scaffold_1001799 transcript:fgenesh1_pm.C_scaffold_1001799 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRNSSAWGGKDDLSLVSSVGGAESKNRCCVIDDDGSIGKKLYGAVESFTAKKKKKKKDKGKKADASVANSSVEAKEDKLENSISEPKKKDVKVKVAEKKVPKHVREKQEILARRKEAEERKKKEEEERLRKEEEERRIEEEREREAEEIRQRRKLRKMEKKQEGLILTAKQKREAAKNEAFRKRVLSDAGSLLVADKNGEPSRRPIYGNKNKSACIKANDSASVQMKGDVETKDNHAADKPGTLHELVSVDDKKVGIIESVDTEEKYESVDVSHENGDEEDVWDAKTNFTIRGDSDDEEEKHQPVFKKELNDTASKAHDSGPGADRQKVKPGVAGKPKTDAKKAMPKMDGATWPKDTSKKDEGLVLNEASKEVEESLRSPICCIMGHVDSGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTKELKADAKLKVPGLLVIDTPGHESFTNLRSRGANLCDLAILVVDIMRGLEPQTLESLNLLRRRNVKFIVALNKVDRLYRWKKNKDAPLMKTMKQQLRNVVDEFNMKLHQVKTQFQEQGLNSMLYYKNREMGETISIVPTSAISGEGVPDLLLLLVQWAQKTMVEKLTYVDKVQCTVLEVKVIEGHGITVDVVLVNGVLRESDQIIVCGSQGPIVTTIRSLLTPYPMNETRVKGTYMAHREVKAVQGIKIAAQGLEHAIAGTALHVIGPNEDMEEAKKNVMEDIESVMNRIDKSGEGVYVQASTLGSLEALLEFLKSSDVKIPVSGNGIGPVHKKDIMKAGIMLEKKEEFATILAFDVKITAEARELADKMGVKIFCDDTIYRLFNKFKSYIEGIKEEKKKETACEVVFPCVLQILPNHIYNKKDPIILGVKVNDGILKVGTPICILKRIENVRVIMDIGRVASIKNNNRPVDNARKGQEVAIKIIASNPEEQKKCGKHFGIEDGLISHISRRSIDVLRTYYWVNIRNSSIVVAHFDFESIISHLCSPCELAPIDSNNISVSLCAHKGIHDGLLALFSVANQIFPANLIIAKLEFEFMSSLLHSRRVCLISSLRRNVRDCAFTSSSPVSRIVNLGFLVSRRIMVCSVSQVKSENLENQNQCLESFTVSYLVDSCGLSLESAKSNSRFVKLVSSKKPDSVLALFKDHGFTTDQITNVIKSFPRVLSLSPDDVILPKLMFFSSIGFSTSDTAKMISSCPKMLSHSLNKRMIPCYDALKSILVEEENIVKCLKRGYRCFSLKITDCVSPRVSICRELGVPDKSIKWLVQVSPITFFSPERRFNELLNRVCSYGFDPKKAGFVHAMVAFDHTSEATMERKFELFQRFGWSKEDFVAAIMRFPNCVMVSDEKIMYTMEYLVNNIGLQARDIVARPVVLGLSMEKRIKPRNQVISLLLSKGLVKNEDINYFTILKLKSSEFMDKFVLKHQNEMPQLVQTLASNR >fgenesh1_pm.C_scaffold_1001808 pep chromosome:v.1.0:1:9209050:9210725:-1 gene:fgenesh1_pm.C_scaffold_1001808 transcript:fgenesh1_pm.C_scaffold_1001808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron carrier/ oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7KK02] MAASTAVTTVTYGASHLPPSRTALAINSRRLSIFSPSKRLDLSNLRRRVNAPSPSGAVVRAARTESAGVKLGARAPNFELLEPLTGNLWKLEDFELYPSLLVMFICNHCPFVIHLKKDIVKLCNFYMKKGLAVVAISSNSVVTHPQDGPEFMAEDAKAFKYPFPYLYDESQEVAREFGAVCTPEFFLYKKDGRRPFELVYHGQFDDSRPSNNIPVTGRDLSLAIDLALSCQPIPSNQKPSVGCSIKWHPETES >fgenesh1_pm.C_scaffold_1001813 pep chromosome:v.1.0:1:9227660:9230349:1 gene:fgenesh1_pm.C_scaffold_1001813 transcript:fgenesh1_pm.C_scaffold_1001813 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWFARSKNLVSSLRHNLNLSAILNKCDYSPRPIFFSTTSQLSSTSFLSPVASLRHESTAVETQPDHLVQIDEDDGQELDFPGGKVGYTSEMKFIPESSSRRIPCYRVLDEDGRIIPDSDFIPVSEKLAVRMYEQMATLQVMDHIFYEAQRQGRISFYLTSVGEEAINIASAAALSPDDVVLPQYREPGVLLWRGFTLEEFANQCFGNKADYGKGRQMPIHYGSNRLNYFTISSPIATQLPQAAGVGYSLKMDKKNACAVTFIGDGGTSEGDFHAGLNFAAVMEAPVVFICRNNGWAISTHISEQFRSDGIVVKGQAYGIRSIRVDGNDALAVYTAVRSAREMAVTEQRPVLIEAMTYRVGHHSTSDDSTKYRAADEIQYWKMSRNPVNRFRKWVEDNGWWSEEDESKLRSNARKQLLQAIQAAEKWEKQPLTELFNDVYDVKPKNLEEQELGLKELVEKQPQDYPPGFHI >fgenesh1_pm.C_scaffold_1001815 pep chromosome:v.1.0:1:9235757:9237086:1 gene:fgenesh1_pm.C_scaffold_1001815 transcript:fgenesh1_pm.C_scaffold_1001815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7KK10] MEKEIKILVLIIGAGPAGLATSACLNRLNIPNIVVERDDCSASLWKRRSYDRLKLHLAKQFCQLPYMPFPSNAPTFVSKLGFINYLDEYATRFNVTPRYNRNVKSAYFKDGQWIVKVVNKTTALIEVYSAKFMVAATGENGEGVIPEIPGLVESFQGEYLHSSEYKNGEKFAGKDVLVVGCGNSGMEIAYDLSKCNAKVSIVVRSPVHVLTRWIVRIGMSLLRFFPVKLVDRLCLLLAELSFGNTLRYGLVRPKNGPFMNKLITGRSPTIDVGCVGEIKSGKIQVVTSIKRIEGKRVEFVDGNTKNVDSIVFATGYKSSVTKWLKVDDGDLFNEKGMPKREFPDHWKGKNGLYSVGFGRQGLAGISRDAQNVARDIASLVCQRSKNKLSK >fgenesh1_pm.C_scaffold_1001821 pep chromosome:v.1.0:1:9287639:9288787:-1 gene:fgenesh1_pm.C_scaffold_1001821 transcript:fgenesh1_pm.C_scaffold_1001821 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDLPFDLVDEILSRVPTKFLVRLRSTCKRWNSLFDNHRFSKKHFGNAIKQSMFILFSDLKFFTISCELQKPDCYNVSRCKISLKECICKGECKVFEILHCDGLLLGLTKCFELIVWNPCLKQTKLIEPHFHKLHTPTPGYAFGCDKKYGDRRYKILLFLVSGYSRKFCKKYLEVEIFDFTSNSWRVLNVKIDFLLVFPTRWVSLEGNSYWIGADENTHEGFIVRFDFSNEMFGRLSLPFLAYPCDEHLSLSVKNDRISILHQTSHSYSIEIWMTGSVIDGQELSWSKFMRVDDENFDIRFINPNFFIYDEENKMVVFSDEKSRNEYRVYHKYTEVYVEEVEDYKEPIIYNYVPSLVHIR >fgenesh1_pm.C_scaffold_1001829 pep chromosome:v.1.0:1:9329814:9337757:-1 gene:fgenesh1_pm.C_scaffold_1001829 transcript:fgenesh1_pm.C_scaffold_1001829 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSHYNPTYDPWNSPYSPHLHPPSAPLLPPPPPLPPPPPRQSHPESPNLYARSTQSNGQRQDYHHQYSHHRQDLPPNPAVNQPSSNYYQHHPSPLQQQHQQPPLQQQQHPQYIPQQVSYEPQRISQPLSSSIQCKESRDIAQSDWVGFNEKRLDSWTGDAAPGRIDSGPSRNYQYDYSRNLSRESSGVNRGLDGSSRSRDEFRNLGYARKESGATRTEGNYQARGQLKSESDRFVRGLDEGNRVLSSSVGYGSDRYGLTVSRDVTRSSASREGARNQRWDEARNEGRTLHPQKKDDYYHSEIEQYFDRGRREESSELNRTPRKQIQKKSALLRLETPRSYQNSRENDWSRQHNHHNGKRLYSNSYRGKEHMGHSDRGLVEKQRGRSPVDLDISFKSNALVAKPVASPTSAGIRSGASVTPRSIKARRALLSDKNEKASVTEKNGKLGTQLSFEEVSVSEGFRRSTRQTTASEKQPDSHSTPSSSDSAGKLNKVRFVQDSKVKLTDTGPEASTHDAEAKIRSPVHAAEKISSFCETLKEAKDDINVKHGSNMEACSTEEGVIDGNQSTLKSHEDVLDRTSTDCNAGEALMPKVMEMDEIVKTKAKINISPGKLPVSWPTADDLSGCSEDMDCEDDDMDCIPSRNIPMMEVSTGFEERKSINSSDGSLGYGGKDFQKLCLDASIYFNRDDPGDKVLAKSDIGGIEDDNKRINKNLDSLSPENASLDIANVSSDLANANNSASGDLANANSFTVGTYINTMATSPGKSVVFQMESKNLPHCKNTVNAAVENVSGKEYMETTPLNVAAEMADNMDSDEGKQTFVNDTSSSLTKVGVKGSSNVLSVERTDGCSHSDESDLAMAVPSEVCMENVSTERLVPDEVLKSYHPAEIPSVDQFSGSDRRGLKAYLLEPNVSLSKDLTDCARESLVERDVSQRSATFCDKLPNLSALVTETNLAIGINGMSGNETVTDTVSGLHEIQPCTTVCKLSPEDRFGYGSSGVIGSVRSLFIDKNLEKDPSKVSSCLVSDSSVGPCHISPLVAVNEEIQNKICIQANCSNSQDDIKHKENNCTESVEVDTQEEKAKLPGGTSKSRTRGTNIIAGSGDSVLLCDSLSSSGRRNFRQLRSEVHVAAMVDETSKGEEKSKPSGGIVAVRKDSVFPCDSLSSSPRLYRPLRSEIHVASMVDETSKSKEKTESSGGTSKHRTPETDIVAGSGDSVFPCNSLSSSPRLSFRQLRSEIHVAAMVDETNRVKVSQNGDSLLDTLQEQIMSSHELTQPDSSLAHCDLVMKPIGDPIVKLTDITSDVGSQEKDLRNSAKTDIFDGEALSSDGKVSGTEIPGDSGVLVSRSYSHADVKFASTHVKEQVVSVPHRDLQSKTSMNSKYEIEKRKKKPNYSTQKNYPSSLLFVSDTKKDANPPIHITKRHTWHRKSDTSPSSFVAAKPLSSTLSTQQKFPKVTAQSSNSYVRKGNSLLRKPSQSPGTALGMPPSAIQLNHFTVEDKSTGPSNMVDVDNASSLVKTGEIPTLERQSKPPSDSSTSKVSNAIATSSGKCVLSYSTDHLTTGLPESIMDSATSGEANFPHSGGDTLKTFDTLIQMGYASDCQQKRNPSDLDSANLKRMVYVKRKANQLVAASDIHGVSQNQIPSSDGYFKRSKNQLVRNSESRCNQSISLPDDALDTRSAANIVSERSSSSAFSDSAVMRPFKQSKFSLVWTQNDPQPRMPIAHMRYQNILPQLVPWKRVTYWRRLMNSVSAFRNGSFPNIRRPHWLWLHSQRKKVKSILDKIIIVWRAETIWHGSAFSGLAPFVIKWTLQDELFREYLSYPYVYLVSLNINVLLDVDSPCSGPSENGKGVKIPIIPKRLVIGNEEYVRFGNGNQLVRDPKKRTRVLANEKVRWSLHNVRLRLAKKKKYCQFFTRFGKCNKDDGKCPYVHDPSKIAVCTKFLNGLCANANCKLTHKVIPERMPDCSYYLQGLCNNEACPYRHVHVNPSAPICDGFLKGYCSDGDECRKKHSYNCPVFEATGSCSQGSKCKLHHPKNQSKGRKRKRPSEPSQKNARGRYFSSLHNILSESEPMVFNRRSTDSEVFGMEGLDFITLGTTEYEASDDNDPATVQSISSDSESPISIYNLITPVALMQ >fgenesh1_pm.C_scaffold_1001836 pep chromosome:v.1.0:1:9372943:9383515:-1 gene:fgenesh1_pm.C_scaffold_1001836 transcript:fgenesh1_pm.C_scaffold_1001836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA [Source:UniProtKB/TrEMBL;Acc:D7KK34] MEAPECPVCLQSFDGESTVPRVLACGHTACEECLTNLPKKFPDTIRCPACTVLVKFPPQGPSALPKNIDLLRLFPSISKIKLEPGRNFKKAVEFVIRSWSDDFYATWKDRILVHDAVSVEIRESESSDFASASLLCGSLRDDLKVSLLRVASFEHDDCDSVLKYSYVLRMMSCLWGMREEEIDELDAIISVKLRGVSKVFGLWGDLKNGVLYLVGEKLTGFLLEFDSLTEDDTSRVAIIGMQICEALLNLHKEGLITGCLSVSCVKFDEFENAYVDLIELIETGRNVYRIIAEETSSFSKPVGASEMGLILVRLLQKGIFLSSEVLFELLKEQNMLIKDSGSKSLVSYNSDVWPVCFLVLKLRLGERFMEELIESVNCVDAKGCEEGIEDLLVLYTGITEKLSLESELQGKFKTMVEILRQCCRLDPQARPVLTDLWKCIRELVVKPRFNSMTGLHKTISGKRKEFCLARSELCRLVEVESKELGEELPGMKTGEEAEEGKVDIDFARRLSEGKVKSKDMRGHQDSVTGLAVGGHQDKVMALIHIEGTESVCVSGDGGGGIFVWSTTFPLKEQPLRKWYEPKDWRYTGIHALAYSQYGHVYTGSGDNTIKAWSLQDGSLVGTMSGHKSVVSTLVVVNGVLYSGSWDGTVRLWSLSDHSLLTVLGEETPGIIWRDDTLMKSMQIQSGAILSIAVNGKWLFTGGWDKTINVQGNLGRLKRNIQDFTSMNYWVVRDYYRLVESVNSLEPQMQSLSDEQLKAKTAEFRERLARGESLADMQAEAFAVVREAAKRTIGMRHFDVQIIGGGVLHDGSIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQRGMKAEERKFNYSCDITYTNNSELGFDYLRDNLTSNREQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEANENAARYPVAAKVAELLVKDSHYKVELKENSVELTEEGISLAEMALETSDLWDENDPWARFVMNALKAKEFYKRDVQYIVRDGKALIINELTGRVEDKRRWSEGVHQAVEAKEGLEIQADSIVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFQIPVIEVPTNLSNIRIDLPIQAFATARGKWEHVRREVEDMFGQGRPVLVGTTSVENSEYLSELLKEWGIPHNVLNARPKYAAREADFIAQAGRKYAITISTNMAGRGTDIILGGNPKMLAREIIEDSILSYLTSEVLADNIDDNELSQKVLSKIKVGPSSLASLARASLMAKYVGKSESKSWTRKKAKSVVTESLEKSQTMDPMKLQNLVNEQSEMYPLGPAIALAYLSVLKDCEAHCLHEGSEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMISLQDEMFQKFNFDTEWAVRLISKITNDEDLPIEGDTIVKQLLALQINAEKYFFGIRKSLVEFDEVLEVQRKHVYDLRQLLLTGENESCSQHIFQYMQAVVDEIVVGNANPQKHPRYWSLAKLLKEFMAISGNLLDEETMLQSLENLHERSSIDMEDLYLPHLPKPPNAFRGIRRKNSSLRRWLDICSDNLTG >fgenesh1_pm.C_scaffold_1001845 pep chromosome:v.1.0:1:9414418:9415240:1 gene:fgenesh1_pm.C_scaffold_1001845 transcript:fgenesh1_pm.C_scaffold_1001845 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVLDSFFTGFSHSIGNFFGSPLDFLSGKSCSSVCPSPWDFICFVENFCVANLAKAALILILSYFFLFFIYMLYKVGFWHCIIHGFCRLLWALVSCWFYMLSYCCSFFCYDLLHSKRRRRRRHHRYIEEAYDDNSDNDDDDDGDDGSFTYHRSRPECRREERLRKSLRPRSHRVRVGVRKDHRSDSGLSQHADGSSPIHGVRVSRESKFTRKEPEMNHVSLLNTFISTVDV >fgenesh1_pm.C_scaffold_1001854 pep chromosome:v.1.0:1:9460542:9461632:-1 gene:fgenesh1_pm.C_scaffold_1001854 transcript:fgenesh1_pm.C_scaffold_1001854 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYKDDSSPYCYFHPKEEYVGVCPLCLNERLLVLASKQRSSRTKHSSSSPIISLPKIFALSSLLSRLDLRHRKFHPSSDLDVSTSQEDSFISIKFENDGNASWEKKAVVTKVCVDNKNNTTNSTCKKQQSPITSNTSIVEHNSAKSSLRWRKRIGHLFHVIKLRSGSSTSSCHVAPSKVEGAKVRKQGWMVRTLTRRKSRKSKS >fgenesh1_pm.C_scaffold_1001857 pep chromosome:v.1.0:1:9466460:9469223:-1 gene:fgenesh1_pm.C_scaffold_1001857 transcript:fgenesh1_pm.C_scaffold_1001857 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKSVNTTAMILGLLFLISFVAAEDPYRFFEWHVTYGNISPLNVAQQGILINGKFPGPDIVSVTNDNLIINVFNHLDESFLISWSGIRNWRNSYQDGVYGTTCPIPPGKNYTYVLQVKDQIGSFYYFPSLGFHKAAGGFGAIRISSRPKIPVPFPAPADDYTVLIGDWYKTNHKDLRAQLDNGGKLPLPDGILINGRGSGATLNIEPGKTYRLRISNVGLQNSLNFQIQNHMMKLVEVEGTHTIQTPFSTLDVHVGQSYSVLITADQPAKDYYIVVSSRFTSKILITTGVLHYSNSAGPVSGPIPDGPTQLPWSFDQARAIKTNLTASGPRPNPQGSYHYGKINITRTIRLANSAGNIKGKQRYAVNSASFYPADTPLKLADYFKIAGVYNPGSIPDQSTYGAIFPVTSVMQTDYKTFVEIVFENWEDIVQTWHLDGYSFYVVGMELGKWSAASRKVYNLNDAVSRCTVQVYPKSWTAIYVSLDNVGMWNLRSELWERQYLGQQFYMRVYTTSTSLRDEYLIPKNALLCGRATGHHTTAPLQ >fgenesh1_pm.C_scaffold_1001859 pep chromosome:v.1.0:1:9471149:9472697:1 gene:fgenesh1_pm.C_scaffold_1001859 transcript:fgenesh1_pm.C_scaffold_1001859 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSLWHQWSMFRSLLSILQWWGFNVTVIIMNKWIFQKLDFKFPLSVSCVHFICSSIGAYIVIKVLKIKPLIVVDPEDRWRRIFPMSFVFCINIVLGNISLRYIPVSFMQTIKSFTPATTVVLQWLVWRKYFEWRIWASLVPIVGGILLTSITELSFNVFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILGLPAFLLEGNGILNWFEAHPSPWSALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWMIFKNPISPMNAVGCGITLVGCTFYGYVRHMLSQQQPGTPRTPRNPRNKMELIPLVNDKLESKV >fgenesh1_pm.C_scaffold_1001861 pep chromosome:v.1.0:1:9475888:9478196:-1 gene:fgenesh1_pm.C_scaffold_1001861 transcript:fgenesh1_pm.C_scaffold_1001861 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7KKV5] MWGGLMNSVKPYLAMISMQFGYAGMYIITMVSLKHGMNHYVLAVYRHAIATAVIAPFALFHERKIRPKMTFRIFLQIALLGFIEPVLDQNLYYVGMTYTSATFASATANVLPAITFVLAIIFRLESVNFKKVRSIAKVVGTVITVSGALLMTLYKGPIIDFIRFGGGGGGSSDGASHSGASAAALDKHWIPGTLMLLGRTFGWAGFFILQSFTLKKYPAELSLTTLICLMGTLEGTAVSLVTVRDLSAWKIGFDSNLFAAAYSGVICSGVAYYVQGVVMRERGPVFVATFNPLCVVITAALGVVVLSESIHLGSVIGTLFIIVGLYTVVWGKGKDKRMTDDDENCKGLPIKTPVKQVDTGKGLAGELEMKPKEGQETKATQVEI >fgenesh1_pm.C_scaffold_1001872 pep chromosome:v.1.0:1:9654040:9655266:1 gene:fgenesh1_pm.C_scaffold_1001872 transcript:fgenesh1_pm.C_scaffold_1001872 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDVVAIPAQTDYSTFDDLRLGRSSQDVVGRLLRFWDARNIKKTCSLIHCFIPAVRASQFRNVLQEGRIFCVGGFEVGRCTNLYKITDNPFVIRFLPSTTIVEVNHVGATIEREKFMLRKGDHLQALANINLELPDLSKDQTWLTQHPHNVWSTIVYLSLWDDAAATFQAYLTSGDTINSVMLVTTINPKLFGGKNEVLRYIY >fgenesh1_pm.C_scaffold_1001878 pep chromosome:v.1.0:1:9697482:9699501:-1 gene:fgenesh1_pm.C_scaffold_1001878 transcript:fgenesh1_pm.C_scaffold_1001878 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIVLEPKDAVDWSYRGEGAVNLVLAYTGSSPTFLGKMMRIQKMPKDGNENGDKSENGLTTHEKLIWADIKDLVSCKNKEIEEYLFVKHVMRPLLGRKHVNPGIRLLVAKEFLESVEKIITSQRPSWRADAASVDTNRSSVLLMDDLTLFAHGHVEDKPCLSVEIKPKCGFLPSSSFIAEENVIKKSITRFQMHQILKLKENEISEISEYDPLDLFSGSKDRILKAIKALYATPQNNFRVFLNGSLVFGGLGGGICKTTSKVELAFEHILKDIIKTYDGLRADRFIELVAETVYTSGVLDQLLDVQKLDKYNIEGAIHVYYDFIDQPCKVCRELNQYSSMHSIPLDEKVNILKDFLISATAKDCSVMISFRSTEVGLSRSSSHSNLHLESTKQEFEYKVHFIDLDMRPLKKMEVYYELDKKIMNTYLEMLKKKGDQPRCF >fgenesh1_pm.C_scaffold_1001880 pep chromosome:v.1.0:1:9709180:9711922:-1 gene:fgenesh1_pm.C_scaffold_1001880 transcript:fgenesh1_pm.C_scaffold_1001880 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDLEFSFLDLPETFGALTEYVGNASLLVSENEQNDFVGYIPVSATTSNVYPAPLIENSNTDQEIETLTRTSSFDQETAIVAHNQSFHQEDDYAKYFNTAENFNDISPSVFSNGQRENVYSGNCVLTSSNQMMTTGQHGPLEQSYQQPLLSQTFPDFSNEPYVSTLVRSNIQEMERANGPTNLNLIQHGLQDHHFHPSLYQEEQYNHTDLLLEQLVRIHETEHQMQLPKFSNMSTPTSVGTLLNNQGLIPFASTPNTIPNPDSGNYSPFTQRSYEPDSFAYAFQKSSSLNPTRRPRGRPRRFQSVMPSSLSITTPNNMSLVPATQTLLTPPRPYAQDKGKQHVTAMPSLNPTLYNQYQNSYPNSMIQQSGGLRQRSCYDQCENEGSSSKIRRVMLPFQENSIADSSSVSLWQDGNRRSSAANHHEERLKNAVYDPFYAGVGLPIDPHLRFF >fgenesh1_pm.C_scaffold_1001883 pep chromosome:v.1.0:1:9720999:9724024:1 gene:fgenesh1_pm.C_scaffold_1001883 transcript:fgenesh1_pm.C_scaffold_1001883 gene_biotype:protein_coding transcript_biotype:protein_coding description:F2E2.22 [Source:UniProtKB/TrEMBL;Acc:D7KLN2] MSARAHPVDDDGEISPVDTSSPRQANTPYVHKVEVPPKQNLFNEFMYTFKETFFHDDPLRHFKDQSKSKKLMLGIQSVFPVIEWGRKYNLKMFRGDLIAGLTIASLCIPQDIGYAKLASLDPKYGLYSSFVPPLVYACMGSSKDIAIGPVAVVSLLLGTLLRAEIDPNTNPNEYLRLAFTSTFFAGVTQAALGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGFLGINKFTKKTDIIAVLSSVISSAHHGVKIHSISLFLVSFTLYEYSPFGIKCLQWNWQTILISASFLIFLLISKFIGKKNKKLFWIPAIAPLVSVIISTFFVYITRADKKGVQIVKHLDKGLNPSSLRLIYFSGDYLLKGFRIGVVSGMVALTEAVAIGRTFAAMKDYQIDGNKEMVALGAMNVIGSMTSCYVSTGSFSRSAVNFMAGCQTAVSNIIMSIVVLLTLLFLTPLFKYTPNAILAAIIINAVIPLVDVNATILIFKIDKLDFVACMGAFFGVIFVSVEIGLLIAVGISFAKILLQVTRPRTAILGKIPGTSVYRNINQYPEATRIPGVLTIRVDSAIYFSNSNYVRERIQRWLTDEEEMVKAASLPRIQFLIIEMSPVTDIDTSGIHALEDLYKSLQKRDIQLVLANPGPPVINKLHVSHFADLIGHDKIFLTVAEAVDSCSPKLSDEV >fgenesh1_pm.C_scaffold_1001888 pep chromosome:v.1.0:1:9751932:9754594:1 gene:fgenesh1_pm.C_scaffold_1001888 transcript:fgenesh1_pm.C_scaffold_1001888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:D7KLN9] MKITDAAGKIETLVDSLRDMSPTRVRSSSSDEHVSENDDERSSWIARHPSALDMFDKIMTDAEGKQIIMFLDYDGTLSLITEDHDKAYITDEMREVVKEVATYFKTAIISGRSTDKVQSFVKLTGIHYAGSHGMDIKGPTNTDQSNQGEVMFQPASDYLPMIDEVVNVLKEKTKFIPGATVEHNKFCLTVHFRRVDETGWAALAEQVRLVLIDYPKLRLTQGRKVLELRPSIKWDKGKALEFLLKSLGIAESKDVLPVYIGDDRTDEDAFKVLCERGQGFGIVVSKTLKETYASYSLQDPSQVKEFLERLVKWKKQTLGEEEEVIHTID >fgenesh1_pm.C_scaffold_1001897 pep chromosome:v.1.0:1:9797701:9799788:-1 gene:fgenesh1_pm.C_scaffold_1001897 transcript:fgenesh1_pm.C_scaffold_1001897 gene_biotype:protein_coding transcript_biotype:protein_coding MENEREKQVYLAKLSEQTERYDEMVEAMKKVAQLDVELTVEERNLVSVGYKNVIGARRASWRILSSIEQKEESKGNEENVKRLKTYRKRVEDELAKVCNDILSVIDKHLIPSSNAVESTVFFYKMKGDYYRYLAEFSSGTERKEAADQSLEAYKAAVAAAETGLAPTHPVRLGLALNFSVFYYEILNSPESACQLAKQAFDDAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLNEEGDERSKGADEPQEEV >fgenesh1_pm.C_scaffold_1001899 pep chromosome:v.1.0:1:9805090:9807664:1 gene:fgenesh1_pm.C_scaffold_1001899 transcript:fgenesh1_pm.C_scaffold_1001899 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSYYRSPFGDTTHTKVFVGGLAWETPTDEMRRYFDQFGEILEAVIITDKTTGKSKGYGFVTFRESDSATRAVADPNPVIDGRKANCNIASFGRPRPSTPRGRGQGGSPSQYQGGGQSSYTGMAAPVQQAATAQLMYPSYGYTYNSEYGYHQALYNAQLQQAQYYQQQMYGGGGGATSPSSSNIMPSPYYYLQAPSPRPYPHHQQQHYQYHHHHQQQQQQRLPSASSYLIYPSNVEAPTSSNAPTSQGPLSSSTESHAPQQVSEEGGEFDPADAPESTTTNTRDLTSSS >fgenesh1_pm.C_scaffold_1001901 pep chromosome:v.1.0:1:9811117:9817589:-1 gene:fgenesh1_pm.C_scaffold_1001901 transcript:fgenesh1_pm.C_scaffold_1001901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KLQ3] MASPALRSTQKPHVVCVPHPAQGHINPMLKVAKLLHARGFHVTIVNTSIPDGLPETDGDKTQDIPALCVSTEKNCLAPFKELLRRINNRDDVPPVSCIVSDGVMSFTLDAAEELGVPEVIFWTNKSACGFMTFLHFYLFIEKGLSPFKDESYMSKEHLDIVEQSKRASAIILNTFDDLDHDLIQSMQSLFLPPVYSIGPLHLLVNNEIDEVSEIGRMGLNLWKEETECLDWLDSKTTPNSVVFVNFGCITVMSAKQLVEFAWGLAASGKEFLWVIRPDLVAGETIVILSEFLTETADRGMLVSWCPQEKVLSHPMVGGFLTHCGWNSTLESIAGGVPMICWPFFAEQQTNCKFCCDEWGVGIEIGGDVKREEVETVVRELMDGEKGKKMREKAVEWRRLANEATEHKHGSSFLNFETVVSKVLLRE >fgenesh1_pm.C_scaffold_1001903 pep chromosome:v.1.0:1:9824731:9826731:-1 gene:fgenesh1_pm.C_scaffold_1001903 transcript:fgenesh1_pm.C_scaffold_1001903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KLQ6] MGSHVVCNAQKPHVVCVPYPAQGHINPMLKVAKLLHVRGFHVTFVNTVYNHNRLLQSRGANALDGLPSFRFECIPDGLPENGVDATQDIPALCESTMKNCLVPFKKLLQQINTSEDVPPVSCIVSDGSMSFTLDVVEELGVPEVIFWTPSACGFMAYLHFYLFIEKGLCPVKDESCLTKEYLDTVIDWIPSMKNLKLKDIPSFIRTTNPNDIMLNFIVRETCRAKRASAIILNTFDDLEHDIIRSMQSILPPVYPIGPLHLLVNREIEEDSEIGRMGSNLWKEETECFDWLDTKAPNSIVYVNFGSITTMTTTQLVEFAWGLAATGKEFLWVMRPDLVAGEGAVIPSEVLAETADRRMLTSWCPQEKVLSHPAIGGFLTHCGWNSTLESLSCGVPMVCWPFFAEQQTNCKFSCDEWEVGIEIGGDVKREEVEAVVRELMDGEKGKKMREKAEEWQRLAEKATELPCGSSVIGFETIVNKVLLGKIPNTDHN >fgenesh1_pm.C_scaffold_1001912 pep chromosome:v.1.0:1:9878430:9879377:-1 gene:fgenesh1_pm.C_scaffold_1001912 transcript:fgenesh1_pm.C_scaffold_1001912 gene_biotype:protein_coding transcript_biotype:protein_coding MANVFLINSRNRRSMRTTTESKPKNITVKRSDSSSDFNYSCKKHPKQRQSPGICSLCLNESLSKLSSDFYDLSSSMNSSSLAKTMSSCSSASSESESDYSSTAISSYYSSVSSCLSPLQHRYSEIVVNKKKKKHVKKQSFLSRLFLKQRMPTKAVIGWHMTIQLAIQLMYGKRKKTCHASFIQVWY >fgenesh1_pm.C_scaffold_1001953 pep chromosome:v.1.0:1:10120203:10125375:-1 gene:fgenesh1_pm.C_scaffold_1001953 transcript:fgenesh1_pm.C_scaffold_1001953 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRRRTGGRDGNTRLRGELMAAPPSLLGSLEIDSLQVLINSKLWFLSSRSFFSISTTNNNNNNLSRFLFRFSTLPHCAASSSSSSNLESYYANLILSSHGDNQPNRKWSSHQFRLLLTDPDLLIRVLNMIRVKPEIAFRFFNWIQRQSDVKQSRQAFAAMLEILAENDLMSEAYLVAERSINLGMHEIDDLLIDGNFDKLVALKLLDLLLWVYTKKSMAEKCLLSFEKMIRKGFLPSVRNCNIVLRVLRDSRMMNKAQEVYETMVMHGIMPTVITFNTMLDSCFKAGDLERVDKIWLEMKRRNIEFSEVTYNILINGFSKSGKMEEARRFHGDMQRSGFPVTPYSFNPLIEGYCKQGLFDEAWGVTDEMLNAGIYPTTSTYNIYIRALCEFGRIDDARELLSSMAAPDVVSYNTLMHGYIKMRKFVEASLLFDDLKAGNINPSIVTYNTLIDGLCESGNLEGAQRLKEEMTSQLIYPDVITYTTLLKGFVKNGNLSMATEIYDEMLRKGIKPDGYAYTTRTVGELRLGDSEKAFRLHEEMVAEDHHAPDLTIYNVRIDGLCKVGNLEKAIEFQRKIFRVGLVPDHVTYTTVIRGYLEKGRFKMARDLYDEMLSKRLSPSVITYFVLIHGHAKAGRLEQAFQYSTEMKKRGVRPNVMTHNALLHGMCKAGNIDEAYRYLCKMEEEGISPNKYSYTILISKHCDLDKWEEVVKLYKEMLDKEIEPDGYTHRALFKHLEKDHESMALDSSGKQPEQQQQPRGSSGNGEARLKLRRTPNEEHEPENYEDLPLDYSPSLFSSLERYLPEQLLNSTRIDKASFMRDLLLRYSPDTERVRTLRHKEYRDKIMSSYQRKHGEIYTLDPTSFFTPSFLRAFSGKSEPNFRNIMVESYPGIFTFEMFKPRFCEMLLAEVEHMEKWVYDSRSTIMRPNTMNNFGVVLDDFGFDNMLQKLVDDFINFGYCCKFVFCDIFADGLSSNLVSVLFPEVCGSSLDSHHGYIVEYGKDRDVDLGFHVDDSEVSLNVCLGKQFSGGELYFRGVRCDKHVNSDSTEKEVYDYSHVPGHAILHRGRHRHGARATTSGHRVNLILWCRSSTFREMKNYQRDFSNWCGGCKLEKQRRQRDSINATKEILARKAAEKTLVELASKSCAD >fgenesh1_pm.C_scaffold_1001972 pep chromosome:v.1.0:1:10257644:10264569:1 gene:fgenesh1_pm.C_scaffold_1001972 transcript:fgenesh1_pm.C_scaffold_1001972 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIESVEYNGFETTNGDSHNNDHGWKKVVYPKRNRKQKPADQAAVANVVSGKLIPNGALSNGGDNIFRSLEEQAEDRHRRILAAKKASDVADASDGVRSKRRSNGYGDEGYDFDDSDSEIAVGKENLKVEEVKKPKVKKEKKPKVTLAEAAAKIDVSNLAAFLVEASIPLCHIPEAVYKTSADWINQRPIEALGAFVLWGLDCILADFAVQQGGAKSGKKGAQNASSKSQVAIFVAVAMVLRKKPDALTNILPTLRENPKYQGQDKLPVTVWMMAQASQGDISVGLYSLAHNLLPVVSSKSCNPQSRDLILQLVERILSNPKARTILVNGAVRKGERLIPPPSFEILVRLTFPASSARVKENLYTDNLEASVAVLKKLIDEWEERSVKLTPAETLTLNQTMKSLRQKNEEALAEGGNGSSQSLYKDADKYCKVIAGKLSSGGCIKSIATTAALLAATGFAGAAAVSANPEAIAYLKNLVDFSEKKVRTLKNQIASQNRRNLLVSAFSTSLVCFLTVEARKQPRRRRRRELSFSHFPVLIHSNHRLRHGFSELNSSFDRSNSGETGSDTTFEDGEEVRGESSSGVGDSYVALFVGMLGLDNDPLDREQAIVALWKYSLGGKKCVDAIMQFHGCLSLIVNLLKSESSSACEAAAGLIRSIAAVNLYRESVAESGALEEIIALLSRPSLATVVKEQCICALWNLTVDEEIREKVADFDILRLLISFLEDDDVNVKEAAGGVLANLALSRSNHKILVEVGVIPKLAKVLKGDNTENKGSKVIRKEARNVLLELAKDEYYRILVIEEGVVPIPIIGADAYKSFRPDLYSWPSLPDGINIEQTAKAPSRFGASELLLGLNVDKNVDDVDEAKMKAIIGRTNQQFLARIGAIEFEKEIKSEGPGKSQQLTLLPCVDGVARLVLILGLADELAVTRAAESIADASINEDMRVSFMEAGAVKPLVQLLANNNKEAVKLPVIRALKNLSLSSFYQLLEYLMGMNRKEVLDAAVFSRLVQIAKSASPNLLRNAISVIEFGIVSNPNMDTIISGDITTVLDLALRQKVLEEPENEAEELEKHLLELEEAGLTISAASRLLTKLVDSESFRQTIDVAVFTELLRKILKSSLPLHYKDWVAACLVKLTALSSPPQPLNNPINIEVTLYKTIPSLVEQMSFSSSPEAKETAVLELNRIISEGVPESTQTLASHGGIEPLVKLLEERNERCVEASLSVLYNLSMDSENHTAIIRAGAVPVLRRIVMSQRPQWEKALRLLRNLPV >fgenesh1_pm.C_scaffold_1001981 pep chromosome:v.1.0:1:10333827:10336207:-1 gene:fgenesh1_pm.C_scaffold_1001981 transcript:fgenesh1_pm.C_scaffold_1001981 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFNTGKDDLAVANLLSQAKDHYVLEQVAKINCSGFTDDSALPSNHETRFRRLKSLPVSRPDSVSSSSKKLLSQSKSKASQSKSMASYPEKKNHGNVSSVSSFSNQVGKSCPLDSSVEETQIFSRTKLNQRVSSRDGLVESSGSRRIGSSSSRFSCGNVSSRESVRVHQDILEKVRFRLQHKP >fgenesh1_pm.C_scaffold_1001995 pep chromosome:v.1.0:1:10407633:10412523:1 gene:fgenesh1_pm.C_scaffold_1001995 transcript:fgenesh1_pm.C_scaffold_1001995 gene_biotype:protein_coding transcript_biotype:protein_coding description:F28C11.9 [Source:UniProtKB/TrEMBL;Acc:D7KNF9] MRGPQALYFLRRTTTLAQHLCPLAPLIVTPRMEFSSFLEENPSDVVYTHNRKIDELIKSGNLVSAHEVFDGMSVRDVVTYNLLISGNSRNGCSLRAIEIYAEMVSCGLRESASTFPSVLSVCSDELFCREGIQVHCRVISLGFGCNMFVRSALVGLYASFWLFDVALKLFDEMPERNLAVCNLLLKCFCETGESKRLFGVYCRMELEGVAKNGLTYCYLLRGCSNDQLLYVGKQLHSLVIKSGWDISNIFVANALVDYYSACGDLSGSIKSFNVVPEKDVISWNSIVSVCADYGSVLDSLGLFSKMQFWGKRPSIRSFMSFLNVCSRNSDIQSGKQIHCYVLKMGFDVSSLLVQSSLIDMYGKCNEIESSVLAYQSLPCLTLECCNSLMTSLMHCGITKDIIEMFGLMVDEGTGIDEVTLSTVLKALSLSLPESLHSCTLVHCCAIKSGYAADVAVSCSLIDTYSKSGQNEVSRKVFDELDSPNIFCLTSIINGYARNGMGRDCVEMLREMDQKNLIPDEVTILSVLSGCSHSGLVEEGELIFDSLELKYGISPGRKLYACLVDLLGRAGLVEKAERLLLQAHGDADCIAWSSLLQSCRIHRNERIGRRAAEVLMDLEPENFAVYIQVSKFYFEIGDFEISRQIREIAASRELMREIASGKMVYTDLDILEELEKFDVLLDDDETKLFDLPSFTSRHSGKNLVNVDTFGAAGDGVSDDTQAFISAWSKACGTSKSVFLVPEGRRYLVNATKFNGPCEQKLIIQVKFNILSPEFLLSSVGKQKLDHSNIYKYVIDGTIVAPDEPSNWDSKFQRIWLEFSKLKGVVFQGKGVIDGSGSKWWAASCKKNKSNALTIESSSGVKVSGLTIQNSQQMNFIIARSDSVRVSKVMVSSPGDSPNTDGIHITGSTNVILQDCKIGTGDDCVSIVNASSNIKMKNIYCGPGHGISIGSLGKDNTTGIVTQVVLDTALLRETTNGLRIKTYQVKKLQSLRKTSIWLDREQFYFIVYLSNREVLMQDVANPILIDQFYCDSPTTCQNQTSAVKISQIMYRNITGTTKSEKAIKFACSDTVPCSHIVLNNVNLEGKDGQVEAYCNSAEGFGYGVIHPSADCLYSHDDKGLDQTRKSEDAETGHDEL >fgenesh1_pm.C_scaffold_1002004 pep chromosome:v.1.0:1:10437989:10439228:-1 gene:fgenesh1_pm.C_scaffold_1002004 transcript:fgenesh1_pm.C_scaffold_1002004 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSAFGARINQNSQQPLEADPKSSENVESKSVSEMDNGKMKRYYDEDEWERQDQLWTAAEKKHPWKVAPPKVKVTTKKGICHIHIELTLGLHPDGVFELFTNPHNGPNTEPLLKSKSRKVLKEDGPSQIAKVEKVLAWNFSGRSFSVPISLTVDENRKDLTVRSFSIPAHNNQYLSFVLMMMKVFEGSYKVEPLYVDSVRLCKNKEPKSVEVYRKCSGGQGKIASKVTMDQYFQPYPPFNLPPLSWFIRDITIKNTKNVLDRLQLWGFSIRNPGVIMSTNKHGKTEISPKH >fgenesh1_pm.C_scaffold_1002006 pep chromosome:v.1.0:1:10454223:10455442:-1 gene:fgenesh1_pm.C_scaffold_1002006 transcript:fgenesh1_pm.C_scaffold_1002006 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFPGFGGWINQNIQQPPKAESRRSENVKSNLVSEKDTNNEEGLNYEEEEMKRQHELWKAAEKKHPWYDAPPKVKATKSRKVLKKDGPRQIVRLKKAVAWDFLWWSGDFPIHLIVDVNKKDLTAKYKKEKMMFMKVFEGNWKIEPLYVDSVRLCKHKEPKSLQEYKTCSGGQGRVASKVTMDQYFQPYTPFNLPPISWFIRDITIRTTKTLLKMLQHASVVLRE >fgenesh1_pm.C_scaffold_1002008 pep chromosome:v.1.0:1:10468915:10470085:-1 gene:fgenesh1_pm.C_scaffold_1002008 transcript:fgenesh1_pm.C_scaffold_1002008 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFPRFVGWINQNLQQQPVQDESKRSENVDSNSVSEKDTNKQEFYYDKAEAKKQGKLWRAAEKKKPWYDAPAKVKVTTKKGLCHMHIELTVGLPPEGVYDLFANPNDFPFFRIDNETGRELLENKSRKVLMKDGPRQIARLEKAVTWDFLWWCGAFPFTLIVDENQKDFRAKYKKKKMMFMKVFEGKWKIESLYVDSERLCKDREPKSREEYKRCSGGQGKVASKVTMDQYFQPYFLLNIPPLSWYIRGITIKTTKKLLKLIQNASIMFRDA >fgenesh1_pm.C_scaffold_1002016 pep chromosome:v.1.0:1:10512404:10514307:1 gene:fgenesh1_pm.C_scaffold_1002016 transcript:fgenesh1_pm.C_scaffold_1002016 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPGILQKLIDGMKTGVKPTGEHRSSLLQVTDIVPVDLDEKNLIPKQGFFIKVSDSSHSIYVSLPSDQDDDVLSNKMQLGQFIYVDRLDPGTPVPIIKGARPIPGRHPLLGTPEPLMSTRGKIEKESGTRPRRGSWGQNGDVSSLFVLKPAPLDFDQCTPAKHRLGTGRFMAGSPVVMTRGRSPGGVRCSYGGGLLSKMVDLKGESPAAMMRKSCVVPPSSKFPRSRSVCDREMMVKNSVSSVLLSPFKSSAKKSDSPPPSMRTRRATAAALLEDEREAPKSTSKLASPKHESKYSKLEKTEKSLSLPGRLSTLSKEAMQQRETAQKIALQALREATATETVVRHLKTFANLSKSAKADCPAACFDKFLEFHSQISETVNEIASIEAAASTTENKSEDGSSSILHEIPHNSIDQEKTTSKRRTVLKQQQNHKQMRSNDENKNPAAPSSRLGNTARLVKEIENEAANWFMEFIEKALEKGMKKCRDTSDADVKKVPQSLILKVVNWVEAEQCADNTKRPVHPRASHITRKLRIKLKNP >fgenesh1_pm.C_scaffold_1002026 pep chromosome:v.1.0:1:10555289:10559357:1 gene:fgenesh1_pm.C_scaffold_1002026 transcript:fgenesh1_pm.C_scaffold_1002026 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPEVNKLLHKLELEKLLGKSEVKSICDIELICKHQPELRSKIIDVLVKYLNTEKPVESDAVQEVFMAMFHLDKEASLTAMLKHLADLKSLQKESEAELKRLAEYFEVKAGLDTFDVSDADYVDRFISCLLMAVPFFARGAPRSKYFEFVNRHHILHDFDKLSEHRKLDFLRALAEISSFTTNEAAGQMIPSIVVLLKAPAAATQCCNKEFMLRLDCVRSITRARMKILATTLPKDDEKSMHVTVIEEKKAWLYNSCQRVPKRSDALDSVSKDCRLVLDETGICNLGEKQVQNILGYSNCQEVSGNRRGACVLNDNGCIAMLSYQMRKPDIFLTNAGKKGISGEVRHQFYHLLCWIYAASDLVSCQRLVRKWEKRWLPLCPWYLCAFCDPAKLGKDERAQERDKEGRVHHCYGGDIEKALCHVQSYGVPRELVSKFLCTDHHPPSAEEPDMERRKLISSRKIHTWKDVVQTLQKQQSVGADLLHYTGLMTPGEFIYRGPMSRNSWFVGYHAVVIEEIKKMGQEWVAVCKMSNGEEVADCGYAYVSLEVQYITVGASDLGSDFVRASRKPTYLLSNFMIVEMVEADEKDKEDAESGDEEEQDKDTKDTEKMSKEKPEKDRKEEPEEKYTPKPKRSRTEDPTMVSITVAILTSSFAP >fgenesh1_pm.C_scaffold_1002032 pep chromosome:v.1.0:1:10635280:10635999:-1 gene:fgenesh1_pm.C_scaffold_1002032 transcript:fgenesh1_pm.C_scaffold_1002032 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHRNILLGFSVYLSAGTKLTIPPEAKQHIAPSDNNKRKRAKCNDPAMIKITSDNNKRKRAKCIDPAMIKIASDNTDNNKRKRAKCDDPDYDPDSEKKLKACGSSGSQMNASQSQRSTQVED >fgenesh1_pm.C_scaffold_1002033 pep chromosome:v.1.0:1:10651100:10654330:-1 gene:fgenesh1_pm.C_scaffold_1002033 transcript:fgenesh1_pm.C_scaffold_1002033 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFLKSLISLQDSCLAFISLMFHGGSSEDAAEALKKLEASVNGVRISIDTTWTGELRSFLIVPLFKCLVALCLMISLLVFIEGIYMNLVVLYVKLFKRKPEKIYKWEPMPEDIELGHETYPMVLVQIPMYNEKEVLQLSIGAACRLIWPLDRLIVQVLDDSTDQTIKGLVNTECAKWESKGVKIKCERRDNRNGYKAGALKQGMKHNYVKLCNYVVIFDADFQPEPDYLQRSVPFLVHNPEVALVQARWRFMNANKCLMTRMQEMSLNYHFMAEQESGSTRHAFFSFNGTAGVWRMAAMEEAGGWHDRTTVEDMDLAVRAGLLGWKFVFLNDLTVKSELPSKFKAFRFQQHRWSCGPANLFRKMIMEIIRNKRVTLWKKLYLVYSFFFLRKIIVHCFTFLFYCVILPTSVFFPEVNIPAWSTFYIPSMITLCIVIATPRSFYLVIFWILFENVMSMHRTKGTFIGILERQRVNEWVVTEKLGDALKTKLFPRIGKPSNRFLERVNSNEIMVGIYILCCACYGLFFGNTLLYLYLFMQAVAFLVSGVGFVGT >fgenesh1_pm.C_scaffold_1002034 pep chromosome:v.1.0:1:10655428:10659320:1 gene:fgenesh1_pm.C_scaffold_1002034 transcript:fgenesh1_pm.C_scaffold_1002034 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNase H domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KNL9] MNCLSHARSYISLGLLKRSSYVSSTHIPWNQCFFYMPSKSCLKPGGVSSVFGMSSVHSYSSRSKSGKSKMSSTVVSVADKEKYAFFVVRKGDVIGIYKDLSDCQAQVGSSVFDLPVSVYKGYSLPKDTEEYLSAVGLKKPLYSLRASDLKDDMFGALTPCLFQEPASCTVKVSEEEATSETKSKDNQKDQLPSASMSYDPLEKLAKLEQSADTSDETCFIEFDGASKGNPGLSGAAAVLKTEDGSLICRLRQGLGIATNNAAEYHALILGLKYAIEKGYKKIKVKGDSKLVCMQKQQIKGQWKVNHEVLAKLHKEAKQLCNKCVSFEISHVLRNLNADADEQANLAVRLPAPLPALSSGAISRTTAEIDADEAVAYLDPAESSTAIPVIMPGNLQPRVVVYDGVCHLCHGGVKWIIKADKYRKIKFCCLQSKAAEPYLEVSGVTKEDVQKRFLFVEGLGFYHQASTAALRVVSYLPLPYSALNAFSIVPTPFRDSVYDYVAKHRYDWFGKAEDCLVLKDKELLERFIDRDELNDRS >fgenesh1_pm.C_scaffold_1002040 pep chromosome:v.1.0:1:10704238:10706628:1 gene:fgenesh1_pm.C_scaffold_1002040 transcript:fgenesh1_pm.C_scaffold_1002040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:D7KPH0] MAAMLMQPWPPFLPHLTLIFLSLILFFPNQSFSQSDSPRNIETFFPNDTITAPVPPPVLSPPENPPSSSSDRGKILRAVLITAASTLLVAAVFFFLVHKWRRRRNRVGGFENTLPPPVPPLAEAALAREGFTRFGGNVKGLILDENGLDVLYWRKLQSQRDNKSGSFKKEIVYGDDEEKNVIYSKNKKKSGPVTETPLLRGRSSTSHSVIHNDNDRNATTTTTHPPLVKTDSFEFVKSDPSPPQPPPPPIPVKQSATPPPPPPPKPKNGPSPPPPPPLKKTAALSSSASKKPPPAPRGSSSGEGSNGQVKLKPLHWDKVNPDSDHSMVWDKIDRGSFSFDGDLMEALFGYVAVGKKSPDDGGDKKPSSASPTQIFILDPRKSQNTAIVLKSLGMTRDELVESLMEGHDFHPDTLERLSRIAPTKEEQSAILQFDGDTKMLADAESFLFHLLKAVPCAFTRLNALLFRANYYPEISNHNKSLQTLDLACTELRSRGLFVKLLEAILKSGNRMNAGTARGDAQAFNLTALLKLSDVKSVDGKTTLLNFVVEEVVRSEGKRCVLNRRTNRSFSRSSSSSISEVISKEEQEKEYLRLGLPVVGGLSSEFTNVKNAAAIDYDTVAATCLALAARAKDARRVLAQSEGDNKEGERFVKKMNEFLDSVEEEVKLAKEEEKKVLELVKRTTEYYQAGAVKGKNPLHLFVIVRDFLAMVDKVCVEIARNLQRRASMGSPQQRNAVKFPVLPPNFMSDRSRSDSGGSDSDM >fgenesh1_pm.C_scaffold_1002045 pep chromosome:v.1.0:1:10728492:10729249:-1 gene:fgenesh1_pm.C_scaffold_1002045 transcript:fgenesh1_pm.C_scaffold_1002045 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRVSPALTVEDAHSYINTVKETFHDQPTKYVEFVKLLNGVRELRVDKDSVVARVEELMKGHQDLLLGFNVFLSPEAKKAARTKKKLDAAKDFMNNLKNKFVHLQTRFQRLDTHVVGAFQGIMKMFKEGKMSVKEVREEVIDVLFYHEDLIEDFLKFLKKKPVASASLLLQL >fgenesh1_pm.C_scaffold_1002049 pep chromosome:v.1.0:1:10761366:10765453:-1 gene:fgenesh1_pm.C_scaffold_1002049 transcript:fgenesh1_pm.C_scaffold_1002049 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 2 [Source:UniProtKB/TrEMBL;Acc:D7KPI4] MYQEKSLHEHILLRPDAYIGSIKEHTQPLWVFEKGEMVYRRTTYVPGLCKIFEEILMNAVDNKRTDPSMDSIIVKIDVDQNTISVRNNGNGVPVAQLEEKNVYIPELVFGCLLTSSHFDDNTTGGRTGYGAKLTNIFSTRFTIETNLNRYEHEKIVFTRNMKEKSTPVVTTCESIDKWTEVTFEPDLEMFHISKIGKDTVALMEKRIVECAACLGETVKVTINDTLLEVNSFNDYVDLYLGANSSRNDPLPRMTVKVNDKWEICVSLSKDKFQQISFVNSIATTKGGPHVDYVTTQIIDFITNSVKKKMNYAKVETDNVKKHMWVFVNCVIDHPVFDWLKETLIFPESSFGSKCKLSKDILKKDENPGMVKQLLSWATSKHGKDLDLGIEKLVDANKAGGEDSKLCTLILTEGDSAKTLVMTGLSFLSDESRELYGVFPLQGKLMNVRGASEDKINKNKKIQQLKTILGLENGKEYGNLRYGHVMIMTDQDHDGFHIKGLIINLFHVMWPELLERDPSFLCEFVTPIVKATHEDGKKETFFSMPELKKWKNNLLPNDIPRWTYKTYKGLGTSSDEEAQTYFENISIHKRDFLWQNEDDGKAIDLAFNSDKPEDRRTWLKNYTFINKELILFSKEDNRRSIPEMIDGLKPGQRKTLFCSLARDWKTECKVSVLTAHAIDRSDYNHGEQSLASTIIKMAQDYVGSSNVNLLLPMGQFGSQIFGGQDAASARYLYTMISPATRALFHKDDDVLLAWMDGDNQRMIEPKWYIPIIPTVLVNGCEGIGTGWSTFVPKYSTKDVIKNIMRLMSGEALEPMVPSYRGFKGTITETEGGFITKGVFEKNIQNSTIRITELPIKHLSNYKKFLHVLKTEEKFIKEIRDNSSRSSIDILLTLSRENMEIADEDIYTKLKLRTKILTTNMNLFDPTSQEEIKKYGSPLDSKINSVFLRLEIY >fgenesh1_pm.C_scaffold_1002056 pep chromosome:v.1.0:1:10851416:10857047:-1 gene:fgenesh1_pm.C_scaffold_1002056 transcript:fgenesh1_pm.C_scaffold_1002056 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTGDVSDRRSRLKWDAFLSFQRETRHKFTERLYEVLVKEQVRVWNDDVERGNDELGASLLEAMEDSAALVVVLSPNYAKSHWCLEELAMLCDLKSSLGRLVLPIFYEVEPCIFRKQNGPYEMDFEEHSKRFSEEKIQRWRRAMNIVGNIPGFVYRRGGSEMESEVVSKPHRLKYDVFLSFRGEDTREIFAGPLYKALKEKVRVFLDNDGMERGDEIGSSLQAGMEDSAASVIVLSRNYANSRWCLNELAMLCKLKSSLDRRMLPIFYKVDPSHVRKQSDHIEADFKRHEERFDKEKVQEWRDAMKLVGNLAGYVCVEGSNEDEMIELVVKRVLDELSNTPEKVGEYIVGLESPMKDLMKLFDIESSSGVKVLGLYGMGGIGKTTLSKAFYNKVVGNFKQRAFISDIRERSSAENGLVTLQKTLIKELFRLVPEIEDVSRGLEKIKENVHEKKIIVVLDDVDHIDQVNALVGETRWYGQGTLIVITTRDSEILSKLSVNQQYEVKCLTEPQSLKLFSYHSLRKEKPPKNLLKLSTEIVRISGLLPLAVEVFGSLLYDKKEEKDWQTQLGKLKKTQPHNLQDVLALSFESLDDEEKKVFLDIACLFLKMEIKKVEVVIILKGCGLNAEAALSVLRQKSLVKILADDTLWMHDQIRDMGRQMVLKESGENPGMRSRLWDRGEIMTVLNNVKGTSSIRGIVLDFKKKFVRDPTADEIASMNLTNNLGINSVFSYLKSKFVRFPAEEKTKSSEITIPVESFVPMTELRLLQINNVELEGNLKLLPSELKWIQWKGCPLENLPPDFLARQLSVLDLSESGIRRVQTLRSNRVDENLKVLILRGCHSLEAIPDLSNHEALEMLVFEQCTLLVKVPKSVGNLRKLLHLDFSRCSKLSEFLADVSGLKRLEKLFLSGCSDLSVLPENIGAMTSLKELLLDGTAIKYLPESINRLQNLEILSLSGCRYIPELPLCIGTLKSLEKLYLNDTALKNLPSSIGDLKKLQDLHLVRCTSLSKIPDSINELISLKKLFITGSAVEELPLKPSSLPSLTDFSAGGCKFLKQVPSSIGGLNSLLQLQLNTTLIEALPKEIGALHFIRKLELMNCEFLKFLPKSIGDMDTLCSLNLEGSNIEELPEEFGKLENLVELRMSNCTMLKRLPESFGDLKSLHHLYMKETLVSELPESFGNLSKLMVLEMLKNPLFRISESNAPGTSEEPRFVEVPNSFSNLTSLEELDARSWRISGKIPDDLEKLSSLMKLNLGNNYFHSLPSSLVGLSNLQELSLRDCRELKRLPPLPCKLEHLNMANCFSLESVSDLSELTILEDLNLTNCGKVVDIPGLEHLMALKRLYMTGCNSNYSLAVKKRLSKASLKMLRNLSLPGNRVPDWLSQGPVTFSAQPNKELRGVIIAVVVALNNETEDDDYQLPDVMEVQAQIHKLDHNVCTNTLHLQGVPRTSNDQLHICRFSAFHPLVTMLKDGYTIQVIKRNPPIKQGVELKMHGIHLVYEGDDDLEGRENTLPETQQTVSQKLANFFSSFEETSSEVDSTVT >fgenesh1_pm.C_scaffold_1002090 pep chromosome:v.1.0:1:11141332:11149558:-1 gene:fgenesh1_pm.C_scaffold_1002090 transcript:fgenesh1_pm.C_scaffold_1002090 gene_biotype:protein_coding transcript_biotype:protein_coding description:T24P13.18 [Source:UniProtKB/TrEMBL;Acc:D7KQL5] MASSTNQFFIFVLFLFLFVLKTSASLAYHSSPDGLLPFSSKHVIIINKLVTRATLIVHCTNKGDDLGVIRLNPLDSFDFRFRVNLRKTTTYTCSFEWPGNTATFDIFRADRDDNPKSKYGVCSECIWRFFPFASKHVIIINKLVTLATLIVHCRNKGDDLGVISLQHLARFDFRFRVNLRKTTTYTCSFEWPGNTATFDIFRADRDDNPRAFGNFLSVDGGFPFSPKHVVIINTLNSHEILVVHCRNKGKDLGFRALQSQEQIDFRFHVNLRRTTTYTCTFSWPGNAKTFDIFRVDRDDNSKSTCGICKECICKEKYCVTKPLNPSMASSTNNHFTFLLLSFLFIPKKSSSLGNHSSIDGILLFSPKHVVIYNTLTTRATLVVHCMNKEKDLGIKKLPIGASFDFRFHVNFRKTTTYNCTFEWPGSKEKFDIFRADRDDSATSPIGVCRECIWYIYEPAPCREKRDGGHSICFSWDP >fgenesh1_pm.C_scaffold_1002091 pep chromosome:v.1.0:1:11151622:11153086:1 gene:fgenesh1_pm.C_scaffold_1002091 transcript:fgenesh1_pm.C_scaffold_1002091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KQL6] MWLSHLFMSLSQLTCNFSIFSVFMACGSIIGMSQVRDTPVKLFGWTITPVSHDPHSSSSTVLPDSSSSSSSSSPSLRPHMMNNQSATDNTSLKMSSNLNNESKETSENSDDQHSEITTITSEEKTTELKKPDKILPCPRCNSADTKFCYYNNYNVNQPRHFCRKCQRYWTAGGSMRTVPVGSGRRKNKGWVSSDQYMHITSEDTDNYNSSSTKILSFESSDSLLTENTKHQSSNVKKNGDSVSQELNNFQGFLPPQGYPVSPPWPCQYPPNPSFYHMPVYWGCAVPVWSTLGTSTCLGKRTRDEAEASHESVKESKNAFVRTSLVLESRSIKNETSTATNNHVWYPVPMTREKTEQFSFFSNGAETKSNNQRFVPETYLNLQANPAAMARSMNFRESI >fgenesh1_pm.C_scaffold_1002104 pep chromosome:v.1.0:1:11218486:11221299:-1 gene:fgenesh1_pm.C_scaffold_1002104 transcript:fgenesh1_pm.C_scaffold_1002104 gene_biotype:protein_coding transcript_biotype:protein_coding description:T24P13.4 [Source:UniProtKB/TrEMBL;Acc:D7KQN2] MEEGRQKDLQLLEEIIDKGLKQKLVHATASRSDLRKNLETLEKNAVNSLKTMVNLGSEVYMQAEVPDTQHIFMDVGLGFYVEFTRQEALDYIAQKEERTKKQLEEYTGVITQIKGRIKLENLIRPEHLYLSLAKPISVLVMDPAQNTSAGIGGSGSNGTIRYQTNDGTSTVADDSKENLSQVINSIEKTLGVLHQLHLTVTSFTPASQLHLLQRLNSLVMELDNMTKLSEKCNIQVPMEVLNLIDDGKNPDEFTKDVLNSCIARNQVTKGKTDAFKDLRKHILEELEQTFPDEVDMYREIRASSAAVSC >fgenesh1_pm.C_scaffold_1002121 pep chromosome:v.1.0:1:11282857:11284163:1 gene:fgenesh1_pm.C_scaffold_1002121 transcript:fgenesh1_pm.C_scaffold_1002121 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSDKERETFVYMAKLSEQAERYDEMVETMKKVAKVNSELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNESNVKHIKGYRQKVEDELANICQDILSIIDQHLIPHATSGEATVFYYKMKGDYYRYLAEFKTEQERKEAAEQSLKGYEAATQAASTELPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEDNIKTEEPKQEQAKPADATEVVIHLKLKTDQRAWTLNEI >fgenesh1_pm.C_scaffold_1002125 pep chromosome:v.1.0:1:11324036:11325600:1 gene:fgenesh1_pm.C_scaffold_1002125 transcript:fgenesh1_pm.C_scaffold_1002125 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATUPS5 [Source:UniProtKB/TrEMBL;Acc:D7KQQ6] MMIAQGLGIYVVESKGGAIFCILLSLLCLGTWPALMALLERRGRLPQHTYLDYSITNFLAAIFIAFVFGGLGESTHEAPSFITQLTQIQDNWPSVLFAMAGGVGLSIGNLATQYSLAFVGLSVTEVTTASITVVVGTTVNYFLDNRLNRADILFSGVGCFLVAVCLGSAVHSSNSADIEAKLGKLSGDCETVDTEECQRLFGVEEEEKEMENVKEGTAAFLIALENTRAIKVFGKSMVVGLGITFFAGLCFSLFSPLFNLATNDQWHGLKQGVPKLIVYTAFFYFSLSCFVIAVALNISFLYKPVLDSPRSSFKEYLSDWNGRGWALAAGLFCGFGNGLQFMGGQAAGYAASDAVQALPLVSTFWGIYLFGEYRRSSPRTYALLVGMLVMFTVAVGLLMASAGERETRFT >fgenesh1_pm.C_scaffold_1002145 pep chromosome:v.1.0:1:11463319:11464156:1 gene:fgenesh1_pm.C_scaffold_1002145 transcript:fgenesh1_pm.C_scaffold_1002145 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLSFVLSESVDKQEEKWDEKNVMSDTNADSIIFLLFVHITVMEEDCGAFAADCVVLSCCCQCLVLQVSVFVFFKIPRKVAKKMKKFVKRRCGKTLQPTMENVKEEHWSGNGFAFEDGSSRLNCMEDIEGMLEELSMNKGFLFGSFWRHEDSSDIFDFKS >fgenesh1_pm.C_scaffold_1002149 pep chromosome:v.1.0:1:11476731:11482541:-1 gene:fgenesh1_pm.C_scaffold_1002149 transcript:fgenesh1_pm.C_scaffold_1002149 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPPC4 [Source:UniProtKB/TrEMBL;Acc:D7KQT7] MTDTTDDIAEEISFQSFEDDCKLLGSLFNDVLQREVGTDFMEKIERTRVLAQSALNLRLAGIEDTAELLEKQLTSEISKMSLEEALTLARAFSHFLNLMGIAETHHRVRKVCNVPQLSRSCNDVFSKLLQGGISPDELYDTVCKQEVEIVLTAHPTQINRRTLQYKHIRMAHLLEYNDRPDLGLEDRETVIEDLVREITSLWQTDELRRQKPTPVDEARSGLNIVEQSLWKAVPHYLRRVSSSLKKLTGKPLPLTCTPIKFGSWMGGDRDGNPNVKAKVTKEVSLMSRWMAIDLYIREIDSLRFELSMNRCSDRLSRLADEILEKEASGQDHLECWGPNAGRSQQKFPSQQGLSLPTQLPPRADLPSCTECGESQYPKLEVPVTDYTPLNRQVKHSSKDSDICLICFVTYGQSLQIRIANGTSVNSNGSQQSLTPRGSSSSSSQLLQKKLFAESQNGRTSFQKLLEPTPPKRAGIAPYRIVLGEVKEKLLKTRRLLELLLEGLPCEYDPWDYYETSDQLLEPLLLCYESLQSSDAGVLADGRLSDLIRRVATFGMVLMKLDLRQEAARHSEALDAITTYLDMGTYSEWNEEKKLEFLTRELKGKRPLVPPNIEVGPEVKEVLDTFRVAAELGSESLGAYVISMASNASDVLAVELLQKDARLAVSGDLGRPCPAGTLRVVPLFETVKDLRDAGSVIRKLLSIDWYREHIQKNHTGHQEVMVGYSDSGKDAGRFAAAWELYKAQEDVVAACNEFGIKITLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQTAVRQLEIYTTAVLLATLQPPQPPREEKWRSLMEDISNISCQNYRSTVYENPEFLSYFQEATPQAELGFLNIGSRPTRRKSSSGIGHLRAIPWVFAWTQTRFVLPAWLGVGAGLKGVCEKGHADDLQAMYKEWPFFQSTIDLIEMVLAKADIPIAKHYDEELVSENRRGIGSELRKELLTTEKYVLVISGHEKLSENNRSLKKLIESRLPYLNPMNMLQVEILKRLRRDDDNNKLRDALLITINGIAAGMRNTG >fgenesh1_pm.C_scaffold_1002151 pep chromosome:v.1.0:1:11498904:11500196:1 gene:fgenesh1_pm.C_scaffold_1002151 transcript:fgenesh1_pm.C_scaffold_1002151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B561 family protein [Source:UniProtKB/TrEMBL;Acc:D7KQT9] MGSVDSSRLPLVFFARLSGLVVAVSVLYWALFLPNQGLSYSTLHPLLMVIGFILVSGEAILIHRWLPGSRKTKKAVHLWLQGVALASAVFGIWTKFHYQRGVFANFYSLHSWMGLLSVSLFAAQWVTGFMSFWHRGEVRTTRTTFLPWHVFIGLYTYGLAIATAETGLLEKLTFLQTKRNVPRRGSESMTVNGLGLGLALLCGIVISAAVLPKYQSHSGNEKLVYSSQDRPKCLSS >fgenesh1_pm.C_scaffold_1002159 pep chromosome:v.1.0:1:11553638:11556012:1 gene:fgenesh1_pm.C_scaffold_1002159 transcript:fgenesh1_pm.C_scaffold_1002159 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSTNSVSPSSDYASISSGDQSLQRSRRSGDGNRAIVRESRHWHDVFWSAIFVIHMICLGFVLAVLGLNRFRISDRLNIDRYTQGFLENHKGLTEDYWPLYAVAGGIGVFISWVWSLLLGSYANEMMKVSVHILTTYLAVVSVLCFWCRQFFWGGAFAVGALLQFLYVISVIDRLPFTMLVLRKALKLVWGLPKVIMVAHAFTVVMLLWMSLWSFGAAGVVASSMGDEGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGMVFHVLFHCGQEESSSVPPSSLIDSLRYAVTTSFGSICYGSLFTAAIRTLRWEIRGFRSKICGNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVYGKGFNKSARDAWELFQSTGVEALVAYDCSGAVLLMGTIFGGLITGSCIGIWAWIKYSDRVIMVASTAMLMGMVLVGLGMVVVESAVTSIYICFAEDPLLIQRWDADFYTEMSEVLHRRLQHRSSRAREVSTTA >fgenesh1_pm.C_scaffold_1002166 pep chromosome:v.1.0:1:11595731:11596018:-1 gene:fgenesh1_pm.C_scaffold_1002166 transcript:fgenesh1_pm.C_scaffold_1002166 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRDILLTFSVALLLISLFQIWLFREGRQVPELSDDQLGKGGNTLMTSKNKKDGDVQRLFQRYFKGRSFGLNNTNPRFEDSNRRIPSSPDRLHN >fgenesh1_pm.C_scaffold_1002173 pep chromosome:v.1.0:1:11626389:11631201:1 gene:fgenesh1_pm.C_scaffold_1002173 transcript:fgenesh1_pm.C_scaffold_1002173 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLKLALIIKNPRDDVEFLLEKENQPAKFGEEAYDSFVDSDLWDLPSTDLLALEDGVRSGFSISILESCSEEVDLMNFDFESTLILLLANLGIGISDVGEWRFVRSVEEPEFGPDSCVRTCFISGKLLNTDRSLQDNCKWMSMEACFDSLIDVKPGCDRVGPLVLLGLGDGSCQSLKQKLSSSLPIQEYPPGVMLVPMRSRTLKPFKTTNLVVFAPENGSGDHQGTDFVAHGDALIVDPGCLFKLHVELKKIVDALPRKLIVFVTHHHRDHIDGLSAIQESNPDAILVAHVKTRHHIDGWSGNYTPVSGGENIYVNGHKLTVIFAPGHTDGHMALVHISTQSLIVGDHCVGQGSTFLDIRGGGNMTEYFQSTYKFLELSPNVVIPMHGRVNLWPKHMLCGYLKNRRSREESILKATEDGAQTLYDIVANVYSSVDRRFWWAAASNVRLHIDKLAVENKLPEGFSIQKFKASCGLRFAIRWTVGYIRSKIPFKINKPEKEIDSFLVLGSNRVSAPESMVFPGEMAATTTTRPEQRSKTLHNFPLPNLWGNQRHLKCMKIDSISNGGSGDHRLRRRSPPLKFADSSVSIPFRFGNSDHRRPFKSGSEEGIEEFRVKIMSDLKTVRDKITQSMFNKDVIEEEEEEDIDGSGSGQEKEVSPVKPWNLRKRRAACKEPESNSLNHQIYKGFVIEEKIVKNHPSPVRGGGVVEAETTKKMRVKFSVKLSKKEIEEDFIGILGHRPPRRPKKRPRTVQKKLDSLYPGLYLTEVTHDAYKVPEETKV >fgenesh1_pm.C_scaffold_1002194 pep chromosome:v.1.0:1:11746939:11747699:-1 gene:fgenesh1_pm.C_scaffold_1002194 transcript:fgenesh1_pm.C_scaffold_1002194 gene_biotype:protein_coding transcript_biotype:protein_coding MICVCMRRSEMEVPVDEGHFLSMLIKIMNAKKTIELGVFTGYSLLTTALALPHDGHITAIDIDKEAYEVGLEFIKNAGVDHKINFIQSDCLQALDKMLSENPKPEFDFAFVDADKPNYANMHERLMKLVKVGGIIAFDNTLWFGFVAEKEENVPEHMRMNRKAFLDLNKQLAADPRIELSQVSIGDGVTLCRRLV >fgenesh1_pm.C_scaffold_1002196 pep chromosome:v.1.0:1:11767785:11770555:-1 gene:fgenesh1_pm.C_scaffold_1002196 transcript:fgenesh1_pm.C_scaffold_1002196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KAK6] MIIAKSFLLLLCFIALVNGESSPDEAVIMIALRDSLNLTGSLNWSGSDPCKWSLVQCDDTSNRVTAIQIGGKGISGTLPPDLGNLTSLTKFEVMRNHLTGPIPSLAGLKSLVTVYANDNDFTSVPVDFFTGLSSLQSVSLDNNPFVSWKIPPSLEDATALADFSAVNCNLSGEIPGFLGGKNFSSLTTLKLSYNSLVGELPMNFSESRVEILMLNGQKGAEKLHGSISVLQNMTALTNVTLQGNSFSGPLPNCSRLVSLKSFNVRENQLTGLVPPSLFELQSLSDVALGNNLLQGPTPNFTAPNIKPDMTGLNSFCLDTPGTPCDPRVNTLLSIVEAFGYPVNFAEKWKGNDPCNRWVGITCTGTDITVINFKNLGLNGTISPLFADLASLQVINLSQNNLSGTIPQELTKLSNLKTLDVSNNRLCGEVPVSNTTIFVVITSGNSDINKECPKSSGDGGKKASRNAGKIVGSVIGILLALLLIGFAIFLLVKKKKQYHKMHPQQQSSDQDAFKITIENLCTGGSESGFSGNDAHLGEAGNIVISIQVLRDATDNFDEKNILGRGGFGIVYKGELHDGTKIAVKRMESSIISGKGLDEFKSEIAVLTRVRHRNLVVLHGYCLEGNERLLVYQYMPQGTLSRHMFHWQEEGLKPLEWTRRLSIALDVARGVEYLHTLAHQSFIHRDLKPSNILLGDDMHAKVADFGLVRLAPEGTQSIETKIAGTFGYLAPEYAVTGRVTTKVDVYSFGVILMELLTGRKALDATRSEEEVHLATWFRRMFINKDSFPKAIDQTIEVNEETLGSINIVAELANQCSSREPRDRPDMNHVVNVLVSLVVQWKPTERSSDSDDIYGIDYDTPLPQLILDSSYFGDNTLMSIPSRPSELESTFKSGQGR >fgenesh1_pm.C_scaffold_1002204 pep chromosome:v.1.0:1:11807123:11809324:-1 gene:fgenesh1_pm.C_scaffold_1002204 transcript:fgenesh1_pm.C_scaffold_1002204 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLTTALFAIAFGILVGLSFPSLWITETSLPRNLLRSIAISLRDSGIATPPKAIDISKACAERLPPGIIASESDLYLRRLWGNPDEDLKKQPRYLATFTVGYNQRHNIDACVNKFSDNFTIVLFHYDGITSAWNDEFEWSKNAIHISVKRQTKWWYAKRFLHPDIVARYDYIFIWDEDLGVDHFNAEEYIHMVKKHGLEISQPGLDPERGFNWQITKRREHSEVHKETDEKLDWCSHPPRPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRRCVEEPAFENIGIVDSQWIVHQFIPSLGNQGKEDNGKAPWQGVRDRCQMEWKMFENRVDAAEKDYFKSLQVESPSNSTTSQ >fgenesh1_pm.C_scaffold_1002241 pep chromosome:v.1.0:1:11985088:11986226:-1 gene:fgenesh1_pm.C_scaffold_1002241 transcript:fgenesh1_pm.C_scaffold_1002241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop-interacting protein [Source:UniProtKB/TrEMBL;Acc:D7KBP5] MERFLILPFSLGCSTQSCVAVVTTHQHKKPNQLIKRREEGDENGSFKKEYTKMDNSSANISDGRVESDENGSYKKDYTKMDNNNNNNGANISDGIYRIIRSFKSFSHFFIRYEEETKEREAEIEIGYPTEVKHLSHIGVDGTMTTFDKSSSSFPFAGFHLTAV >fgenesh1_pm.C_scaffold_1002251 pep chromosome:v.1.0:1:12018834:12025360:-1 gene:fgenesh1_pm.C_scaffold_1002251 transcript:fgenesh1_pm.C_scaffold_1002251 gene_biotype:protein_coding transcript_biotype:protein_coding description:F17L21.26 [Source:UniProtKB/TrEMBL;Acc:D7KBQ6] MFEYRCSSIDWKPSPVVALATSSDGSQVAAAREDGSLELWLVSPGASSWHCQLIIHGDPKSRISHLAWFGAGSKGSSSARLFSSSIDGTISEWDLFDLKQKVVLESLGVSIWQIALAPLSIEAEGQEAKLIQNGYLSEKSDDEEESGVEDDSELDELYEKVESLDRHLAAACDDGCVRIYYISGSDKLTYYRSLPRVSGRALSVTWSSDAQRIFSGSSDGITVGLGGLGNGSELCIWSLLSLSGDSTGSVQFWDSQHGTLLQAHSNHKGDVNALAAAPSHNRVFSAGADGQLSGGTFKSQDFKPSSAQKWDYIGCVRAHTHDIRALTVAVPISWEDSLPDSNAKVTSPKQRRKEKPVGFSYHKWAHLGVPMLISAGDDAKLYAYSVQEFTKFPPHDICPAPQRVPMQMDFCSLDILRIHISNDSSGRVSTKPLVRVKSKDARKIICSAISNTGSLFAYSDQVRPSLFELKKTKLGKNPWSANRKRLPNLPFAHSMVFSCDCTRLIIAGHDRRIYIVDVGSMELLHSFTPRQEGQEGESPPREPPITKLYTSSNDDWLAAINCFGDIYVFNLETQRQHWFISRLDGASVAAAGFHPRDNNVLVISTSSNQVFALDVEARELSKWSLLHTFCLPKSYQNFPGEVVGLSFSPSPSSSSVIIYSSSRAKCLIEFGKPAEQDEEADSPCNLSEKLEGKLASIGMKLGNGAKKRRLEEPIAPKPGTPRVNDDDPSSSMSHKISQSPYLRNLWPQLQARPTRTRKRGRGGMGPSSLAMKRPKSLCGSSPTSTNSTQRVLGPIKTLPFQAFTHHGLPNLAQVGYALDNGGSSALVTLPLLQCYPPPSKCMEPEIKGKGVIDLNKTAEVIQERDFLTQLQGPITTPTTATTSRVISPQAIRPVCSKINVAYINPLTNPSPPSQTSKKSPREVEEEVESDDLPSVITDSNNRVRLVNSAYKEMMGQPECSWLDSMVRGKRICGEVMINFCESKIPVMTENNGFSCWVRIDWGRVGKEVYMHAFCDVTKLACDSKDYVFTWRFHTTTDRRDTCHSSCNV >fgenesh1_pm.C_scaffold_1002258 pep chromosome:v.1.0:1:12052344:12058725:-1 gene:fgenesh1_pm.C_scaffold_1002258 transcript:fgenesh1_pm.C_scaffold_1002258 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSTTLKRRLSCTDLESAKAMRSTLIQRPRAGSPLLMLSVEKDGCRSNNEIIPVLRMERSTLKRTSSFPDPEPAKALRSTLILRPKFGSPLLMLITEEDGCRIYNPEDGMVYETKSDFSGYRFLGSSGKWFLVVDSQLKLYIVDVFSEERIDLPPLESLKGSLYKIERVGENKVRNILYSDLSPGDPHTAEDLRGRLWVGDNKEDYVVVWHFEMNDFLGFCKKGDDHYREILTRTGVRRELRGVKDMVLKGYSLYVLAIRDFIRHLDLSGHGEDGIKDVSENHKLPMWMPSLSRDEQLRVNIDKISSSSESIAVTRSGQVLVVCSYELGNSEVHRMFHVYKRDPKDLDPKTYLTQLLEVHSLGDEALFLDLGTTVPADHTRGIEPNSIYFTRGDRIRHRNPSRPDICVFNLTTKTIKHSHNLNVKDARWFLPS >fgenesh1_pm.C_scaffold_1002260 pep chromosome:v.1.0:1:12063137:12074543:1 gene:fgenesh1_pm.C_scaffold_1002260 transcript:fgenesh1_pm.C_scaffold_1002260 gene_biotype:protein_coding transcript_biotype:protein_coding description:T17H3.9 [Source:UniProtKB/TrEMBL;Acc:D7KBR7] MAAPAATTARAQALSLLAAANNHGDLAVKLSSLRQVKEILLSLEPSLSAEIFPYLAELHLSREILVRKSLIEIIEEVGLRMLDHSYVLVSVLLVLLRDEDPTVAKKSISAGTTFFCNILEEMAMQFHHRGKVDRWCGELWTWMVKFKDIVFATALEPGCVGVKVLALKFMETFILLFTPDASDPEKVSSEGSRQMFNISWLAGGHPILNPATLMSEANRTFGILVDFIQSANRLPGALTISVISWYVSESIPLNHDSLSFSLAVVARKRPVHYNTVLSVLLDFHPNLETVKGCHAASVQYSIRTAFLGFLRCTFSPIIESRDKLLRAFRAMNAADVADQVLRQVDKLVRNNERAARENWSGKNNQVNSHQNSWDLSKKRIMPQGEDDTINGEVAPKRVRHNTNMNLTQHVQTNEFLQGSVSINGISSGNHPSDSELTPVEQMVSMIGALLAEGDRGAASLEILISKLHPDMLADIVITSMKHLPSTPPTLTSSVATPADIVVSSSINTIHSPTPPAQLPFDPILPTGSSFSEVPSLNSSVADPRRDPRRDPRRMDPRRINSPVGPSSLPVGEGKEPAPTQKDISTLLSKPVSVPAVTPGATGSVHSTAVERSQNKMMGSSGIRIINQPDCREDLLTVPNECSYPSKEISSLDVPLSPCRDDEGIRETKYSGSETMYDLDMSSVPDFDQHSPSASVPDFDQDPPAASDITAPEESYRELAPVPSYVELTTEQSKTVGKLAIERIIESNRHVFGFDCNKIRMALIARLIARIDAGNDVATILREHISVDHRDFKGHDLVLHVLYHLHSMAMLDTDDSSPYATIYENFLISVARSFLDALPASDKSFSRLFGEAPHLPDSAIKLLDELCSTRHDPVGREVCDSERVTQGLGAVWSLILVRPNERKACLAIALKCSVHSEEDVRAKAIRLVRKLVPDSNMVTNKLYHLTYIAEHVEQFATDMLLTAVNSETDLSQTGSITEGIKTEAKIQITSTSDSLWSGNSDIHSQQDLQTSRDVSVISISEAQRLISLFFALCKKKPSLLRLVFEVYGRAPKMVNQDVSILIPLLSSLTKDEVLPIFPPLLNLPPEKFQLALAHILQGSAHTGPALTPAEVLIAIHDIVPEKDGPPLKKITDACSACFEQRTVFTQQVLAKALGQMVDRTPLPLLFMRTVIQAIDAFPTLVDFVMEILSKLVRKQIWRLPKLWPGFLKCVSQTKPHSFPVLLELPMPQLESIMKKFPDLRPSLTAYANQPTIRASLPNSALSVLGLDNGQDSRSQMHPSDATSSIHGAALT >fgenesh1_pm.C_scaffold_1002289 pep chromosome:v.1.0:1:12315358:12317485:-1 gene:fgenesh1_pm.C_scaffold_1002289 transcript:fgenesh1_pm.C_scaffold_1002289 gene_biotype:protein_coding transcript_biotype:protein_coding MASGCMDVVLLAVSWVILAVLANSKGFNLHCNNLNKTVLELPMSGTFLVDRIDYSKQQISISDPEDCMVKRLLTFNTSGSPFSNGLSTVYYTFLTCPNEVVRLSWYPRIRCLSNSTSTFFSTSNMSLANSMLPSCQIVKRLAVPVSVFYENVLTHEKGFSDWINYVNLLLEWSSPNCTGCEKKSLRCGFKNKASLEVKCFAYPPGITIFTTCIVMPICNSERFVFQRRQNAAIADTALTQQPRGDVVTTGLDQSTIESYKKVELGESRRLPGTNGIICPICLSEYASKETVRCMPECEHCFHVECIDAWLKIHNSCPVCRNSRS >fgenesh1_pm.C_scaffold_1002292 pep chromosome:v.1.0:1:12334592:12335721:1 gene:fgenesh1_pm.C_scaffold_1002292 transcript:fgenesh1_pm.C_scaffold_1002292 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMVPLESSTLFGVYGGFTDAMMMRETSTNNQDPSGDTCSVSDPTAASTDYYNISSSPVKDDGTAAKNDVVCSINVEDNKDKSWLRLGIGPEESNNNTGSYKLQRCCSKNGSGRENSLELSLFSSSSTAAGAVSNSVDHLPTQPPQLPYHHDQLLTMRGPSLVYNHQLIRSQTLLNRGFSFPSSKPWIPQYTAPFRPSSLSDRDVTNNNSVSKSCCVDEGGAGPSSEFRVLDPPRRPHSGLWFLLQASQFQEKEPFLPQVNKSYLRIKDGRITVRLLIKYLMKKLDLDSESEVNI >fgenesh1_pm.C_scaffold_1002317 pep chromosome:v.1.0:1:12520171:12520837:1 gene:fgenesh1_pm.C_scaffold_1002317 transcript:fgenesh1_pm.C_scaffold_1002317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 31 [Source:UniProtKB/TrEMBL;Acc:D7KCV6] MRNLSQVSVAVLLIFSILILGIGVQGKVPCLKRIFNKNNTCAFLRCEANCARKYQGYGDCRPGDRPHDKRDSVFCYCNYPC >fgenesh1_pm.C_scaffold_1002323 pep chromosome:v.1.0:1:12566582:12567808:-1 gene:fgenesh1_pm.C_scaffold_1002323 transcript:fgenesh1_pm.C_scaffold_1002323 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARSVFRSAASRASAAASRFSTGPKPMPSSARSAFRMPKQSPLSNRIFRSPVELSCCVETMLPYHTATASALLNSMLSVSRRGWILDGLDETR >fgenesh1_pm.C_scaffold_1002352 pep chromosome:v.1.0:1:12755313:12757355:1 gene:fgenesh1_pm.C_scaffold_1002352 transcript:fgenesh1_pm.C_scaffold_1002352 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLISGSILICPKLHQSPSYLRISSSPPLSLSSRSKSSSPHQFSQISRPIKVTARSAMETTAETISGCVPNNTMKLLFVEMGVGYDQHGGVECVQGSIPGVSFGEMKLQIKLGVPHSLHQQLDLEKVKSIFPYPRESFASLNLKSFIAKHKFYIHVKPKKKKKTCRKMKGNKKISSIFENRHVSASQEVYLQRCGFAVPGQPPQDSDPSAGVSPPPLNDGIPSQRSSDDSETDSVIGSGHDAVESQAIRFVSSEESVDTVDLEEDVADPTVEVLAEDKQSDVPLESAAKEDRRKRLKLPLRDVVKAIVMNSKNTEEEEADKEIKKLSCVQILLQKGFKF >fgenesh1_pm.C_scaffold_1002392 pep chromosome:v.1.0:1:13045486:13046836:1 gene:fgenesh1_pm.C_scaffold_1002392 transcript:fgenesh1_pm.C_scaffold_1002392 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSNDYYALKKSTTVIQKSHFFQSSWTFWFDNPSSKSNQVTWGSSLRSLYTFATIEEFWSLYNNIHPPTKWVPGADLYCFKHKIEPKWEDPICANGGKWTMFFPKAATLESNWLNTLLALVGEQFDQGDEICGTVLNFRTRGDRISLWTKNAANEEAQLSIGKQWKELLGYNEKIGFIVHEDAKTLDRHAKSRYTV >fgenesh1_pm.C_scaffold_1002393 pep chromosome:v.1.0:1:13047993:13049774:1 gene:fgenesh1_pm.C_scaffold_1002393 transcript:fgenesh1_pm.C_scaffold_1002393 gene_biotype:protein_coding transcript_biotype:protein_coding MANVSFTLDSQGQTQELRPSGLGGRSDERTGRRHGKEPEKMEKEDLSFGISEEGEIPDQQESDAFSDARYYLRQQQRVSNVEMQRRSHETESRLWQRARTPDSRGSGSTMMLEERTQGRSEPVLSAYPIRPGEENCPFYLKNHLCGWGSDCCYNHPPLHEIPYRIGNKLDCKFFKAGSCKRGSNCQFYHPRDGAEPMRQGRTPDLRRNDSGRRRYKTEARSWPEKREKEDSGFRRNEVGQFRDHQDSDEDSQEILLQQRPRDVEMRKRRVHQEKHLKIHVKDAMERSWPCPSERG >fgenesh1_pm.C_scaffold_1002413 pep chromosome:v.1.0:1:13155154:13156374:1 gene:fgenesh1_pm.C_scaffold_1002413 transcript:fgenesh1_pm.C_scaffold_1002413 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 71 [Source:UniProtKB/TrEMBL;Acc:D7KEM5] MDDHHVEQKNTYFEEVSFTSLSDCLQSSLVMDYNEFPPYSSPFQPVSPSDMGHIVNNPYLNLNSNSPVVSSSSNEAELKENPDDKSDRMEDNEDNQHGVGKSSKQSTKQGKKKGEKKEREARVAFMTKSEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCNVKKRVERSFQDPSIVITTYEGKHNHPIPSTLRGTVAAEHLLGHHGGGGGFLHSFPRHHQEFLMMKHPLANYQSVGSMSHEHGHGTSSYNFNNNQPVVDYGLLQDILPSMFSKHES >fgenesh1_pm.C_scaffold_1002419 pep chromosome:v.1.0:1:13188544:13195730:-1 gene:fgenesh1_pm.C_scaffold_1002419 transcript:fgenesh1_pm.C_scaffold_1002419 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:D7KEN3] MVVNAKDSTVPTMEDLKELHNLVTHHIESFDYMTQKGLDVMFNRIKPVSVYDPNTENELSNILFFMILWLENPVVFAPQKESFRSTSRKEPLLPFECRQAKISYTGTFMADVCFKYNDGVVVRDRFDFGQFPIMLMSKLCSLRGADCRKLLKCKESTSEMGGYFILNGIERVFRCVIAPKRNHPTSMIRNSFRDRKEGYTEKAVVTRCVRDDQSSVTVKLYYLRNGSARVGFWIVGREYLLPVGLVLKALTNTCDEEIYESLNCCYSEHYGRGDGAIGTQLIRERAKIILDEVRDLGLFTREQCRKHLGQHFQPVLDGLEKESHPMVAEAVLRDYLFVHLDNDHDKFNLLIFIIQKLYSLVDQTSLPDNPDSLQNQEILVPGHVITIYLKEKLEDWLRKCKSLLKDELLNTSSKFSFESLADVKKLINKNPPRSIGTSIETLLKTGALKTQSGLDLQQRAGYTVQAERLNFLRFLSFFRAVHRGASFAGLRTTTVRKLLPESWGFLCPVHTPDGTPCGLLNHMTCTSRITSQFDSKGNIRDFLKIRKSVVEVLTGAGMVSSLPKLVRAGPPKVIHVLLDGQVVGTLSSNLVTKVVSYLRRLKVEAPSVIPEDLEVGYVPISMGGSYPGLYLASCPARFIRPVKNISIPSENIELIGPFEQVFMEISCPDGGNGGRNNSSPATHEEIHPTGMISVVANLTPWSDHNQSPRNMYQCQMAKQTMAYSTQALQFRADQKIYHLQTPQSPVVRTKTYTTYSIDENPTGTNAIVAVLAHTGFDMEDAMILNKSSVERGMCHGQIYQTENIDLSDQNSRYDSGHKSFRRSTTDKSDHSRIDADGLPYVGQKIYPDEPYCRIYDEVTGKQRLMKGKGTDPVIVDFVSVDMKSKKHPQKANIRFRHARNPIIGDKFSSRHGQKGVCSQLWPDIEMPFNGVTGMRPDLIINPHAFPSRMTIAMLLESIAAKGGSLHGKFVDATPFRDAVKKTNGEKESKSSLLVDDLGSMLKEKGFNYYGTETLYSGSLGVELKCEIFMGPVYYQRLRHMVSDKFQVRSTGQVDQLTHQPIKGRKRGGGIRFGEMERDSLLAHGASYLLHDRLHTSSDHHIADVCSLCGSLLTSSVVNVQQKKLIKVNGKLPPGRTPKKVTCHSCGTSKGMETVAMPYVFRYLAAELASMNIKMTLQLSDRGVADEEK >fgenesh1_pm.C_scaffold_1002421 pep chromosome:v.1.0:1:13206701:13207730:-1 gene:fgenesh1_pm.C_scaffold_1002421 transcript:fgenesh1_pm.C_scaffold_1002421 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g23470 [Source:UniProtKB/TrEMBL;Acc:D7KEN7] MVSLSSSFWTTASREAMKSGVFIAKLYCFLHVTTNYLGFMAYAYGPSMTPTLHPSGNVLLAERISKRYQKPSRGDIVVIRSPENPNKTPIKRVIGIEGDCISFVVDPVKSDKSQTIVVPKGHVFVQGDYTHNSRDSRTFGPVPCGLIQGRVLWRVWPFQDFGPLGPTRT >fgenesh1_pm.C_scaffold_1002459 pep chromosome:v.1.0:1:13548209:13555851:1 gene:fgenesh1_pm.C_scaffold_1002459 transcript:fgenesh1_pm.C_scaffold_1002459 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMRP13 [Source:UniProtKB/TrEMBL;Acc:D7KEU0] MGFEALNWYCKPIAEGFWEKSVDGAFGAYTPCAIDSLVILVSHFVLLALCSYRIWIIFHNTKAQIYVLRKKYYNCVLGILACYCVVEPVLRLVMGISLFDMDEDTDLPPFEVSSLMVEAFSWFSMLVLIGLETKQYVKEFRWYVRFGVLYVLVADAVLLDLVLPFKNSINRTALYLFISSRCSQALFGILLLIYIPELDPYPGYHIVNDEPSENVEYDALRGGEHICPERHASIFSRIYFGWITPLMQLGYRKPITEKDVWQLDKWDQTETLFKRFQRCWTEESRRPKPWLLRALNNSLGGRFWLGGIFKIGNDLSQFVGPVILSHLLRSMQEGDPAWVGYVYAFIIFVGVTLGVLCEAQYFQNVWRVGFRLRSTLVAAIFHKSLRLTHEARKNFASGKVTNMITTDANALQQISQQLHGLWSAPFRIIVSMILLYQQLGVASLFGSLILFLLIPLQTLIISKMRKLTKEGLQWTDKRVGITNEILSSMDTVKCYAWEKSFESRIQGIRNEELSWFRKAQLLSAFNSFILNSIPVVVTVVSFGVFVLLGGDLTPARAFTSLSLFAVLRFPLNMLPNLLSQVVNANVSLQRIEELLLSEERILAQNPPLQPGTPAISIKNGYFSWDSKTTKPTLSDINLEIPVGSLVAIVGGTGEGKTSLISAILGELSHAETSTVVIRGSVAYVPQVSWIFNATVRENILFGSDFESERYWRAIDATALQHDLDLLPGRDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAHQVFDSCMKDELRGKTRVLVTNQLHFLPLMDRIILVSEGMIKEEGTFTELSKSGSLFKKLMENAGKMDATQEVNTNDKDILKPGPTVTIDVSERNLGSTKQGKRRRSVLVKQEERETGIISWNVLMRYKEAVGGLWVVMILLACYLTTEVLRVSSSTWLSIWTDQSTSKSYSPGFYIVVYALLGFGQVAVTFTNSFWLITSSLNAAKRLHDGMLSSILRAPMLFFHTNPTGRVINRFSKDIGDIDRNVANLMNMFMNQLWQLLSTFALIGTVSTISLWAIMPLLILFYAAYLYYQSTSREVRRLDSVTRSPIYAQFGEALNGLSSIRAYKAYDRMAKINGKSMDNNIRFTLANTSSNRWLTIRLETLGGVMIWLTATFAVLQNGNAENQAAFASTMGLLLSYTLNITSLLSGVLRQASRAENSLNSVERVGNYIDLPSEATDIIENNRPVAGWPSRGSIKFEDVHLRYRPGLPPVLHGLTFFVSPSEKVGVVGRTGAGKSSMLNALFRIVELEKGRIMIDDCDVAKFGLTDLRRVLSIIPQSPVLFSGTVRFNIDPFSEHNDADLWEALQRAHIKDVISRNPFGLDAEVSEGGENFSVGQRQLLSLARALLRRSKILVLDEATASVDVRTDSLIQRTIREEFKSCTMLVIAHRLNTIIDCDKILVLSSGQVLEYDSPQELLSRDTSAFFRMVHSTGPANAQYLSNLVFERRENGMSLGG >fgenesh1_pm.C_scaffold_1002472 pep chromosome:v.1.0:1:13712656:13715652:1 gene:fgenesh1_pm.C_scaffold_1002472 transcript:fgenesh1_pm.C_scaffold_1002472 gene_biotype:protein_coding transcript_biotype:protein_coding MANHSRPHICQCLTQLASVKRNAVVTVYGNRKRTGREFVDGVLSLAAGLIRFGLRNGDVVSVAALNTDLFLEWLLAVALVGGIVAPLNYRWSLKEAKMAMLLVEPVLLVTDETCVSWCIHVQNGDIPSLKWRVLMESTSTELANDDNQFLTTEMIKQRTLVPSLPMYAWAPDDAVVICFTSGTTGRPKGVTISHLAFITQSLAKIAIVGYGEDDVRFSPPLICLSSMNSAELNTSVYLHTSPLVHIGGLSSAMAMLMVGACHVLLPKFDAKTALQVMEHNRITCFITVPAMMADLIRVNRTTKNGAENRCVRKILNGGGSLSTQLLQEAVKIFPCAKILSAYGMTEACSSLTFMTLHDPTQESFKVTYSLVNQPKQGTCVGKPAPHIELMIKLDENSSRVGKILTRGPHTMLGYWGHQLVQENVASSESRSNEAWLDTGDIGTFDEFGNLCLIGRSNGRIKTGGENVYPEEVEAVLVEHPGIVSAVVIGVIDARLGEMVVACVRLHENWIWSDVENRKQSFQLSSETLKHHCRTQNLTGFKIPKRFVRWEKQFPLTTTGKVKRDEVRRQVMSHFQILTSSL >fgenesh1_pm.C_scaffold_1002473 pep chromosome:v.1.0:1:13718631:13720144:1 gene:fgenesh1_pm.C_scaffold_1002473 transcript:fgenesh1_pm.C_scaffold_1002473 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KFL1] MIKLSEPIRDSHVAVLAFFPVGAHAGPLLAVTRRLAAAAPSTIFSFFNTARSNASLFSDIDHPENIRVHDVADGVPEGTMPTGSPLEMVELFLEAAPRNFRREMAAAETEVGKKVTSMLTDAFFWFAADMAAEMKATWVAFWAGGANSLCAHLYTDLIRETIGVKVDVRMEETLGFIPGMENYRVKDIPEEVVFEDLDSVFPKALYQMSLALPRASAVFISSFEDLEPTLTHNLRSKLKRFLNIAPLTLFSSTSTLVRDPHGCLAWMGKRSPASVAYISFGTVMEPPPAELVAIAQGLESSKVPFVWSLKEKNMVHLPKGFLDRTREQGIVVPWAPQVELLKHEATGVNVTHCGWNSVLESVSAGVPMIGRPILADNRLNGKAVEVVWKVGMMMDNGVFTKEGFEKCLHQVLVHDDGKTMKANAKKLKEKLQEDISRKGSSFENFKVLLHIITN >fgenesh1_pm.C_scaffold_1002482 pep chromosome:v.1.0:1:13781316:13784653:1 gene:fgenesh1_pm.C_scaffold_1002482 transcript:fgenesh1_pm.C_scaffold_1002482 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEPTRSWFQIRQQKPDKSSPTKRGQEGNVKNIGRPPMNDAPSNATKQKVAAAKQYIENHYKTQKKSLQERKERRSILEQNLADADVPVEDKMNILKNFEKKEMEYMRLQRQKMGVDDFELLSIIGRGAFGEVRICREKSTGSVYAMKKLKKSEMLRRGQVEHVKAERNVLAEVDSPFIVKLCYSFQDDEHLYLIMEYLPGGDMMTLLMRKDTLREDETRFYVAQTILAIESIHKHNYVHRDIKPDNLLITRNGHIKLSDFGLSKSLESKNFPDFKAELVDRNAKPAAEYDRLSKPPSAPRRTQQEQLLHWQQNRRTLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMFEMLVGFPPFYSEEPLATCRKIVNWKTCLKFPDEAKLSIEVKDLIQRLLCNVEQRLGTKGVHEIKAHPWFRGIEWERLYESNAPYIPQVKHELDTQNFEKFDEVPSTCQTSSKSGPWRKMISSKDVNFLGYTFKNIEIVDEHNIPGMAELKRKSKTAKKPSLKTLFETPYPSPENQALKDLLDSPIYSEGSRGSSGSPFSHPNQSHNISRR >fgenesh1_pm.C_scaffold_1002485 pep chromosome:v.1.0:1:13812196:13813075:-1 gene:fgenesh1_pm.C_scaffold_1002485 transcript:fgenesh1_pm.C_scaffold_1002485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7KFM8] MIIPENDTFFFQAQPQHQPLYHDEALSPSLFRFDHFDHFYDSFLPPQEIFLPIPKTQVFNESQDLDSFFHTPKHQNLINSSFHFNTHDPFSPRPNSNLCDSYITEAANISEFRVPDFSSTFKVGWTEQGDTKKRELSAQSIAARKRRRRITEKTQELAKLIPGSQKHNTAEMFHAAAKYVKFLQAQTEILQLKQSKIQTRDSSKVGREIQFLLASQEIQEKLSTEEVCVVPREMVQVLKAEECILRNPKLSRDINKLLSTNLTN >fgenesh1_pm.C_scaffold_1002513 pep chromosome:v.1.0:1:14004504:14007918:1 gene:fgenesh1_pm.C_scaffold_1002513 transcript:fgenesh1_pm.C_scaffold_1002513 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIHKGAILALFLALTMVVNGVFGRFIVEKSSVTILNPLAMRSKHDAAIANFGVPNYGGYMIGSVVYAGQGAYGCDSFDKTFKPKFPRPTILIIDRGECYFALKVWNGQQSGAAAVLVADNVDEPLITMDSPEESKEADDFIEKLNIPSALIDFSFANTLKQALKKGEEVVLKIDWSESLPHPDERVEYELWTNTNDECGARCDEQMNFVKNFKGHAQILEKGGYSLFTPHYITWFCPKDYVSSNQCKSQCINQGRYCAPDPEQDFGDGYDGKDIVFENLRQLCVHRVAKENNRSWVWWDYVTDFHIRCSMKEKKYSKECAEKVVESLGLPLDKIKKCIGDPDADVENEVLKAEQALQVGQGDRGDVTILPTLIVNNAQYRGKLERNAVLKAICSGFKERTEPGICLSGDIETNECLEANGGCWQDMKSNVTACKDTFRGRVCECPVVNGVQYKGDGYTSCEPYGPARCSINQGGCWSETKKDFTFSACSNLETSGCRCPPGFKGDGLKCEDIDECKEQSACQCDGCNCKNKWGGFECKCSGNRLYMKEQDTCIERSGSRIGWFFTFVILAAVASVCVGGYVFYKYRLRSYMDSEIMAIMSQYMPLESQNTTDPMTGESQQQQLRLTSAA >fgenesh1_pm.C_scaffold_1002523 pep chromosome:v.1.0:1:14125227:14127755:-1 gene:fgenesh1_pm.C_scaffold_1002523 transcript:fgenesh1_pm.C_scaffold_1002523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7KGI2] MMRDGEEEEEEMMMMIATKPAWLEGLMAETFFSSCGIHETRRKSEKNVFCLLCCLSVCPHCLPAHRSHPLLQVRRYVYHDVVRLSDLEKLIDCSYVQPYTINGAKVIFINQRPQSRAKVSSNVCFTCDRILQEPFHFCSLSCKVDYLSYQGDDLSSVLYRIDESDFTFEGLRMDGHDQLGEISTMEDAEDIMVISDESEQGNNSHKKEKKKNKKKKQENNYLPGMVLSSLGNRRKGAPHRAPFS >fgenesh1_pm.C_scaffold_1002528 pep chromosome:v.1.0:1:14302285:14304878:1 gene:fgenesh1_pm.C_scaffold_1002528 transcript:fgenesh1_pm.C_scaffold_1002528 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLTAGAITKILNGEVSSEIDLMPVLQVTELKMIQSNRYKFLLSDGIHLQAGMLNTTLNSLVIQGSIQLGSIVRLTHYVCSLIQGRRIVIIMQLEVIVAKCDIIGTPNEPGQRGGAAVPVNTQSNGGFDQQQARRSDVNGGRYGVSANSPQPLVGGLNSSDAGRYGVSASLAQPQVGGLTVPMLGDMVQRYGTGSGYPETSPSTRPYVSSNAGYGGSRQEQPRAPPATTAYSRPVQSAYQPQQPPMYVNRGPVARNEAPPRIIPIAALNPYQGRWTIKVRVSSKAELRRFNNPRGEGKLFSFDLLDADGGEIRVTCFNDAVDQFFDQIVVGNVYLISRGNLKPAQKNYNHLPNDYEIHLDSASTIQRCEDDGTIPRNQFHFRNISDIENMESNSMTDLIGIVSSIGTTVPIMRKNGTEVDKRALQLKDMSGRSVEVTMWGNFCSAEGQQLQNLCDSGVFPVLALKAGRIGEFNGKQVSTIGSSQFFVEPDFPEARELRQWYEREGRNANFTSISREFSGVGRQEVRKVITQIKDEKLGTSEKPDWITVCATISFMKVENFCYTACPIMNGDRPCSKKVTNNGDGTWRCEKCDKCVDECDYRYILQIQLQDHTGLTWATAFQEAGEEIMGMSAKDLYYVKYENQEEEKFEDIIRSVAFTKYIFKLKIKEETYSDEQRVKATVVKAEKLNYASNTRCMLEAMDKLKIGDANSLPINTESSNYRSDAVNSGIGTSGTRDTASVDARREFGLPAANQVGQYGNQYSSGARSLGGITSCNACGSNSHVSANCPSLMSEPQGQYMNGTPGRYNAGGGMPRQNVGSY >fgenesh1_pm.C_scaffold_1002530 pep chromosome:v.1.0:1:14334950:14344898:1 gene:fgenesh1_pm.C_scaffold_1002530 transcript:fgenesh1_pm.C_scaffold_1002530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:D7KGL4] MAQVHFTIFIFSSIFVISSSSFIPAPHPFDPLTETELKLVRTIINKSYPIGHNHKFTFQYVGLNELDKSLVLSWHSSPGHNVKPPPRQAFVIARDKGKSREIVVDFSTRAIISNKIHVGNGNPKLTIDEQQAATALVLKFKPFCDSIVKRGLNLSEVVVTSSTMGWFGEKKTKRLIRTIPFYLNGSVNTYLRPIEGMTIIVNLDQMKVAEFKDRFTGPMPKADGREYRISKLKPPFGPSLRSAVFYQPDGPGFKIDGHVVRWANWEFHMSFDVRAGLVISLASIFDMDMNKYRQVLYKGHLSEMFVPYMDPNDDWYFISYLDCGEFGCGQSAVSLEPYTDCPPNAAFIDGVFAGQDGTPTKVSNVMCIFEKYAGDIMWRHTEAEVPGLKITEVRPDVSLVARMVTTVGNYDYIIEYEFKPSGSIKMGVGLTGVLEVKPVEYVHTSEINEDDIYGTIVADNTVGVNHDHFVTFRLDLDIDGTDNSFVRNELVTKRTPKSVNTPRKSYWTTKRNTAKTEAEARVKLGLRAEELVVVNPNKKTKHGNEVGYRLLPGPASSSLLAQDDYPQIRAAFTNYNVWITPYNKSEVWTSGLYADRSQGDDTLAVWSQRDREIEKKDIVMWYTVGFHHVPCQEDFPTMPTMFGGFELRPTNFFEQNPVLKAKPINLTTIPKCTTKRND >fgenesh1_pm.C_scaffold_1002534 pep chromosome:v.1.0:1:14367572:14371489:-1 gene:fgenesh1_pm.C_scaffold_1002534 transcript:fgenesh1_pm.C_scaffold_1002534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:D7KGL9] MMISLKFLLCCLLVSSCAYATIVSHDGRAITIDGHRRVLLSGSIHYPRSTTEMWPDLIKKGKEGGLDAIETYVFWNAHEPTRRQYDFSGNLDLIRFLKTIQDEGMYGVLRIGPYVCAEWNYGGFPVWLHNMPGMEFRTTNTAFMNEMQNFTTMIVEMVKKEKLFASQGGPIILAQIENEYGNVIGSYGEAGKAYIKWCANMANSLDVGVPWIMCQQDDAPQPMLNTCNGYYCDNFTPNNPNTPKMWTENWTGWYKNWGGKDPHRTTEDVAFAVARFFQRGGTFQNYYMYHGGTNFDRTAGGPYITTTYDYDAPLDEFGNLNQPKYGHLKQLHDVLHAMEKTLTYGNISTVDFGNLVTATVYKTEEGSSCFIGNVNETSDAKINFQGTFYDVPAWSVSILPDCKTETYNTAKINTQTSVMVKKANEAENEPSTLKWSWRPENIDNVLLKGKGESTMRQLFDQKVVSNDESDYLWYMTTVNIKEQDPVWGKNMSLRINSTAHVLHAFVNGQHIGNYRAENGKFHYVFEQDAKFNPGANVITLLSITVGLPNYGAFFENVPAGITGPVFIIGRNGDETIVKDLSTHKWSYKTGLSGFENQLFSSESPSTWSAPLGSEPVVVDLLGLGKGTAWINGNNIGRYWPAFLADIDGCSAEYHVPRSFLNSDGDNTLVLFEEIGGNPSLVNFQTIGVGNVCANVYEKNVLELSCNGKPISSIKFASFGNPGGNCGSFEKGTCEASNDAAAILTQECVGKEKCSIDVSEKKFGAADCGGLAKRLAVEAIC >fgenesh1_pm.C_scaffold_1002583 pep chromosome:v.1.0:1:14735860:14738822:1 gene:fgenesh1_pm.C_scaffold_1002583 transcript:fgenesh1_pm.C_scaffold_1002583 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLSLIFFFCFFTFASSTPPDDPVKCESGNNMCTVTNSYGAFPDRSICEAAKVEYPKTEAELVSIVAAATRAGQKMRVVTRYSHSIPKLVCTDGKDGVLISTKFLNNVVGTNPEAKTLTVESGVTLRQLIGEAAELELALPYAPYWWGLTVGGLMGTGAHGSSLWGKGSAVHDYVSEIRMVSPGLASDGYVKVRVLSETMDPDEFCAAKVSLGVLGVISQVTFQLQPMFKRSLTYVMQNDSDFGDQAVTFGEKHEFADFLWLPSQGKVVYRMDDRVPLNTSGNGLFDFFPFRPQLSVALAIIRSLEESEESSGDANKKCVRAEQLSSFLFSISYGVTNNGMEFTGYPVIGKQNRMMSSGTCLDSHWDGLITSCPWDPRIKGQFFHQTAFSVPLTRVKGFIDDIKALVKIEPKALCVLERSNGILIRYVTSSPAFLGKEEKALDFDLTYYRSKDDPLTPRLYEDFIEEIEQMAIFKYNALPHWGKNRNLAFDGAIRKYKNANAFLKVKERFDPLGLFSTEWTDQILGLKGNVTIVKEGCALEGLCVCSDDAHCAPNKGYLCRPAGGGGSSGAAAGLDDFHFPPDIPSMQERKDEAMRVLKADLMAELDKEVKSLEEDSWMFEGTRSRIHLISRRG >fgenesh1_pm.C_scaffold_1002587 pep chromosome:v.1.0:1:14797797:14799115:-1 gene:fgenesh1_pm.C_scaffold_1002587 transcript:fgenesh1_pm.C_scaffold_1002587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:D7KHJ5] MSSKLMYRTLRPVLSSSGLGVGPGIRGHVISHLSTVRFLSSDTSSPASGNNQPEKPVQTADGKVMSTYWGIPPAKITKPDGSAWKWNCFQPWDSYKPDVSIDVTKHHKPSNFTDKFAYWTVQTLKIPVQLFFQRKHMCHAMLLETVAAVPGMVGGMLLHLKSLRRFEHSGGWIKALLEEAENERMHLMTFIELSQPKWYERAIVFTVQGAFFNAYFLAYVISPKLAHRITGYLEEEAVNSYTEFLKDIDAGKFENSPAPAIAIDYWRLPKDATLRDVVYVIRADEAHHRDINHYASDIQFKGHELKEAPAPIGYH >fgenesh1_pm.C_scaffold_1002596 pep chromosome:v.1.0:1:14847150:14851549:-1 gene:fgenesh1_pm.C_scaffold_1002596 transcript:fgenesh1_pm.C_scaffold_1002596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7KHK6] MSCLEIYNKDTMKKKEEEEEETRDGTVDYYGRPSIRSNSGQWVAGIVILLNQGLATLAFFGVGVNLVLFLTRVLQQNNADAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQVIFVIGLSSLSLSSYMFLIRPRGCGDEVTPCGSHSMMEITMFYFSIYLIALGYGGYQPNIATLGADQFDEEHPKEGYSKIAFFSYFYLALNLGSLFSNTILGYFEDEGMWALGFWASTGSAIIGLILFLVGTPRYRYFMPTGNPLSRFCQVLVAATKKSSVEAPLRGREEMYDGDSQGKNASENTGRRIVHTDEFKFLDKAAYITARDLDDKKQDAVNPWRLCPVTQVEEVKCILRLMPIWLCTIIYSVVFTQMASLFVEQGAAMNTSVSDFKIPPASMSSFDILSVALFIFLYRRVLEPVANRFKKNGSKGITELHRMGIGLVIAVIAMIAAGIVECYRLKYADKSCTHCDGSSSLSIFWQAPQYSLIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMMSMSMGNFVSSLLVTMVVKISTEDHMPGWIPRNLNKGHLDRFYFLLAALTSIDLVVYIACAKWYKPIKLEGKDEMQDMSDDDDDTESEEEREKDSKV >fgenesh1_pm.C_scaffold_1002601 pep chromosome:v.1.0:1:14903396:14904524:1 gene:fgenesh1_pm.C_scaffold_1002601 transcript:fgenesh1_pm.C_scaffold_1002601 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC011 [Source:UniProtKB/TrEMBL;Acc:D7KHL2] MVGSYLPPGFRFHPTDEELVGYYLHRRNEGLQIELEIIPLMDLYKFDPWELPEKSFLPDRDMEWFFFCHRDRKYQNGSRINRATKSGYWKATGKDRNIVCHSSSSSSSVTGCRKTLVFYMGRAPFGGRTEWVMHEYRLFDNDTSQGSLNFKGDFALCRVIKRNEHTRKKSETNSPEVSDELLSNNVKIFCQASDLEKGSCDASNTLWSSPDFILESFFQGNSQSQTEEDSGSQVFPLPELENPPEVFADLNFDWEMDNPFMFDYHPEAHMNNEVMSYHILG >fgenesh1_pm.C_scaffold_1002616 pep chromosome:v.1.0:1:15074521:15084457:-1 gene:fgenesh1_pm.C_scaffold_1002616 transcript:fgenesh1_pm.C_scaffold_1002616 gene_biotype:protein_coding transcript_biotype:protein_coding description:F6N18.13 [Source:UniProtKB/TrEMBL;Acc:D7KIC1] MAESNGKGSHNETSSGAVLSDPGHVLGDNDDEEYEDNSRGFNLGFIFGNVDNSGDLDADYLDEVICLTLSDAKEHLSALADKLGSSLPDINLLAKSERTASDPAEQVFIFHLTSNYDRKAEDAVDYEDIDEEYDGPEVQVVSEEDHLLPKKEYFSTAVALGSLKSRASVFDDEDYDEEEEQEEEHAPVEKSFETEKREPVVLKEDKALEYEEEAKEDHMDTEDVQEEEVDELLDGTLDDKGATPLPTLYVEDGMVILQFSEIFAIHEPPQKRDRRENRYVTCRDKYISMDISELVEDDEEILLKSHGRIDTLVKQADLIQLDVPFPIREGLQLVKASTVGGIAPESREFTKLVRDSCIMGELLKEDLIDDNSSLCQSQLSMEVFPLDQHEWEHRILWEHSPEISGNSGEVFERGLEPEAMLVEGTNAETEEENLNAMNSREKVQADDNMPVPFSANLLESFGSRGSQSTNKSRHHPQLLRLESQWDENHLSENDESGVKKIKRLENDALGRFSRLVLRERDLGNEAWLDSIIWDSDKELSRSKLIFDLQDDQMVFEILDNEESKNLQLHAGAMIVSRSSKSKDETFQEGCESNSGWQFNISNDRFYMNGKSSQQLQANTNQSGVHSLRVFHSAPAIKLQTMKSKLSNKDIANFHRPKALWYPHDNELAIKQQGKLPTRGSMKIIVKSLGGKGSKLHVGIEESVSSLKAKASRKLDFKETEAVKMFYMGKELEDEKSLAAQNVQPNSLVHLIRTKVHMWPWAQKLPGENKSLRPPGAFKKKSDLSTKDGHVFLMEYCEERPLMLSNAGMGANLCTYYQKTSPEDQRGNLLRNQSDTLGNVMILEPGDKSPFLGEIHAGCSQSSVETNMYKAPIFPQRLQSTDYLLVRSPKGKLSLRRIDKIVVVGQQEPRMEVMSPGSKNLQTYLVNRMLVYVYREFLKRGGGGHPIPADELSFLFSNLTDAIIKRNMKRDKNGQSYWAKKPGLKDPAENELKKLVAPEHVSFSFGDISLVCSYESMLAGLYRLKHLGITRFTLPASISTALAQLPDEAIALAAASHIERELQITPWNLSSNFVACTNQVGITYLYLGDRANIERLEITGVGDPSGRGLGFSYVRAAPKAPAAAGHMKKKAAAGRGAPTVTGTDADLRRLSMEAAREVLIKFNVPDEIIAKQTRWHRIAMIRKLSSEQAASGVKVDPTTIGKYARGQRMSFLQMQQQAREKCQEIWDRQLLSLSAFDGDENESENEANSDLDSFAGDLENLLDAEEGGEGEESNMSKNDKLDGVKGLKMRRRPSQVETDEEIEDEATEYAELCRLLMQDEDQKKKKKKMKGVGEGMASFPPRPNIAFQTGEPVRKANAMDKKPIAIQPDASFLINESTIKDNRNVDTIIKTPKGKQVKESSNTLGQLKKVKILNENLKVFKEKKSARENFVCGACGQHGHMRTNKHCPRYRENTESQPEGIDVDKSAGKPSSSEPSGLPKLKPIKNSKAAPKSAMKTSVDEALKGDNSTSKTGGLPLKFRYGIPVGDLSDKPVSEAPGSSEQAVVSDIDIGTKSTSRISKLKISSKAKPKESKVESEIPSHSLMPTFSRERGESESHKPSVSGQPLSSTERNPAASSRHTISIPRPSLSMDTDQAESRRPHLVIRPPTEREQPQKKLVIKRSKEINDHDMSSLEESPRFESRKTKRMAELAGFQRQQSFRLSENPLERRPKEDRVWWEEEEISTGRHREVRVRRDYDDMTISEEPNEIAEIRRYEEVIRSEREEEERQKAKKKKKKKKLQPELVEGYLEDYPPRKNDRRLSERGRNVRSRYVSDFERDGAEYAPQPKRRKKGEVGLANILERIVDTLRLKEEVSRLFLKPVSKKEAPDYLDIVENPMDLSTIRDKVRKIEYRNREQFRHDVWQIKYNAHLYNDGRNPGIPPLADQLLEICDYLLDDYEEQLKEAEKGIDPND >fgenesh1_pm.C_scaffold_1002618 pep chromosome:v.1.0:1:15122200:15122565:-1 gene:fgenesh1_pm.C_scaffold_1002618 transcript:fgenesh1_pm.C_scaffold_1002618 gene_biotype:protein_coding transcript_biotype:protein_coding MGITKNLVTFLFLIILAALVSNYNVLASEIKPTGRIHDQCKQMCSATYGNGKCSADCRKAGFSSGQCLTSSPFGNKCCCTK >fgenesh1_pm.C_scaffold_1002619 pep chromosome:v.1.0:1:15130409:15132015:-1 gene:fgenesh1_pm.C_scaffold_1002619 transcript:fgenesh1_pm.C_scaffold_1002619 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKVNLSINGQSKVPPGFRFHPTEEELLHYYLRKKVNSQKIDLDVIREIDLNKLEPWDIQEECRIGSTPQNDWYFFSHKDKKYPTGTRTNRATVAGFWKATGRDKIICSCIRRIGLRKTLVFYKGRAPHGQKSDWIMHEYRLDDTPMSNGYSDVVTEDPMNYNEEGWVVCRVFRKKNYQKIDDCPKITLSSSIDDTEEEKRPTCHNTQNSTVLDHVLLYMDRTGSNICMPERQTTTKTQHQDDVLFTQLPSLETPKSESPVDNSFMTSNQLGSSPVQEKIITGRPVCSNWASLDRLVAWQLNNGRHTCDRKSFDEEEEIGDTMMQRWDLHWNNDDNVDLWSSFTESSSSPSSLDPLLHLSV >fgenesh1_pm.C_scaffold_1002635 pep chromosome:v.1.0:1:15253691:15255025:-1 gene:fgenesh1_pm.C_scaffold_1002635 transcript:fgenesh1_pm.C_scaffold_1002635 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase family 2 protein [Source:UniProtKB/TrEMBL;Acc:D7KIF1] MEEQNLSLYAMILSSCSVLPMVLKTAIDLGLFDILAESGPSSATQIVSLLSIQTRKHHDSSLVNRILRFLASYSIVTCSVSTNHDEPCAVYGLAPVAKYFTKNQAGGGSLAPLVNLFQDKVVIDMWYNLKDSVLEGGIPFNKTHGSSAVELVGRDSRFREVFQSSMKGFNEVFIEEFLKNYNGFNGVKSLVDVGGGDGSLLSRILSKHTHIIKAINFDLPTVINTSPPSPGIEHVAGDMFINIPKGEVIFMKWMLHSWDDEHCVKILSNCYQSLPSNGKVIVVDMVIPEFPGDTLLDRSLFQFELFMMNMNPSGKERTKKEFEILARLSGFSNVQVPFTSLCFSVVEFHKNE >fgenesh1_pm.C_scaffold_1002639 pep chromosome:v.1.0:1:15263358:15266090:-1 gene:fgenesh1_pm.C_scaffold_1002639 transcript:fgenesh1_pm.C_scaffold_1002639 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC014 [Source:UniProtKB/TrEMBL;Acc:D7KIF5] MNQTKNKTLPEMTTEQALLSMEALPLGFRFRPTDEELINHYLRLKINGRDLEVRVIPEIDVCKWEPWDLPGLSVIKTDDQEWFFFCPRDRKYPSGHRSNRATDIGYWKATGKDRTIKSKKLIIGMKKTLVFYRGRAPRGERTNWIMHEYRATDKELDGTGPGQNPYVLCRLFHKPSDSCDPSNCEEIENVNSTPTTTRCSPDDTSSEMVQETSTSGVHALDRSDDTERCLSDKGNDVKPDVSVINNTSVNHAETSHAKDRVLGKSLVEENHLLRDIPTLYGPILSEKSYYPGQSSISFATSHIDSMYSSDFGNCGYGLHFQDGASEQDASLTDVLDEVFHNHNESSSERKDFAIPNMMHWPGNTRLLSTEYPFLKDSVAFLDGGADVSGPQHFVPDILASRWVSEHNLDSKEVVEIQSSSGSSRTVTPLHNNVFGQYASSSYATIDPFNYNVNQPEQSSFELHPGNISGFNARSRENQTNLDSVVDQGTAPRRIRLQIEPPLTPVINKKERDADNYEEDEVQSAMSKVVEEESANLSTQGTAQRRIRLQTRLRKPLITINNRERDSNVREEEAGHHSKEKGDVSSSSSSSSSSSSSWQKQKKSLVQYSSMVILVAVIVVLVGVWKESRDAKCSFLFHQLDSFKGMFT >fgenesh1_pm.C_scaffold_1002651 pep chromosome:v.1.0:1:15403951:15407275:1 gene:fgenesh1_pm.C_scaffold_1002651 transcript:fgenesh1_pm.C_scaffold_1002651 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFLSCVRFDTTDNNKPTITESGSVSGVTCYTWDDVESLTSNFSRLIGTGGYSSIYLARLSGSNNAALKVHVSSHRLYQVFRSELEILLRLQHPHIVKLLGYFDDSEESGALLLEYLPQGNLQEKLNRNSKQVLPWRNRTAIAFQVAQAIEHIHEKCSPQIVHGDIKSSNILLDKHFNSKLCDFGSAKVGFSSMVQPSKTTSTMSPRSKQVMMIGSPGYTDPHYLRTGIASKKMDMYGFGVVVLELVSGKEAVSGERGEMLVHTTAPLIHDILDSNGDIAEEKARQFLDPRLSRDSSLDIEEVKTMLGVAAFCLRSPPSLRPSASQVVQTLIRKIPSLSFLDTLGEAMSSLAFTLTLPSLVSVRSTKLGRSVSNGGRNWSGLTNLSEKSKTERGNGLSCKAELSELAPVVSATYGVLLLGGGLFAYSKSGSKGSLFGGLTGSVLMASAYYLTQSPETRVLGDTIGLGAAFLFSSVFGFRLASSRKPVPAGPLLLLSIGMLSFFVMAYMHDSLPVVSIPDPLPLP >fgenesh1_pm.C_scaffold_1002664 pep chromosome:v.1.0:1:15476747:15478373:-1 gene:fgenesh1_pm.C_scaffold_1002664 transcript:fgenesh1_pm.C_scaffold_1002664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KII7] MRAKAASGKAIIVLCLASFLAGSLFMSRTLSRSYILEEEDHHLTKHLSKHLEIQKDCDEHKRKLIESKSRDILGEVSKTHQAVKSLERTMSTLEMELEAARISDRSSDFWSERSAKNQSRLQKVFAVIGINTAFSSKKRRDSVRQTWMPTGEKLKKIEKEKGIVVRFVIGHSATPGGVLDKAIDEEDSEHKDFLRLKHIEGYHQLSTKTRLYFSTATAMYDAEFYVKVDDDVHVNLGMLVTTLARYQSRPRIYIGCMKSGPVLSQKGVKYHEPEFWKFGEEGNKYFRHATGQIYAISKDLAAYISTNQGILHRYANEDVSLGAWMLGLEVEHVDERSMCCGTPPDCQWKAQAGNVCAASFDWSCSGICKSVDRMSRVHRACAEGDTPLANFRFFV >fgenesh1_pm.C_scaffold_1002686 pep chromosome:v.1.0:1:15783788:15784809:-1 gene:fgenesh1_pm.C_scaffold_1002686 transcript:fgenesh1_pm.C_scaffold_1002686 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQKLRVDHDQTMNTKIQPNMLKQSTTTHEREMSHIEDTQTMNMMLENALKQSAMAHEREMSQLKDTLLLKDTQTMNMVKQMMETALKQSAMVHERDMCQLKDTLQLKDTQTINMMTQMNAETYEREMRQSKDIIQQKDRLTMEMMEKMMENALKQSEIAHERGMHHQSPRKGKGTTCECNIM >fgenesh1_pm.C_scaffold_1002687 pep chromosome:v.1.0:1:15799130:15800273:-1 gene:fgenesh1_pm.C_scaffold_1002687 transcript:fgenesh1_pm.C_scaffold_1002687 gene_biotype:protein_coding transcript_biotype:protein_coding MFASELQAGGVTMECKMYRTAIQDGPIINVIDTPGLFDSSVSANYISREIVNCLTMAEGGIHAFLFVLSAGNRITQEEESTLDTLQLIFDSKILDYIIVVFTGGDKLEANEQTLDDYFREGCPGFLTRVLRLCGGRKVLFNNMTKDIVKNAKQVKQLLAHVEAIGKNNGGKPYTNQMHRMIKEKGDKFREQQRKVKSKNFAAEIEVMKRDLELEHDEKMRRMTQLLERRLKQNSEAHERAMRKMREAMREFTNKD >fgenesh1_pm.C_scaffold_1002691 pep chromosome:v.1.0:1:15821792:15823812:1 gene:fgenesh1_pm.C_scaffold_1002691 transcript:fgenesh1_pm.C_scaffold_1002691 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEDTTDLRLPSASEPIKNIVLVGRTGNGKSATGNSLIGKQVFNSETRATGVTMKCETCIAKTPCGTGINVIDTPGLFDLSVSAEYLSQEIINCLVLAEEGLHAVVLVLSVRTRISQEEESTLNTLQVIFGSEIIDYLVVLFTGGDELEANNMTLDDYLSKGCPEFLKTVLRLCGGRRILFDNRTTDEGKKVKQVQELLALVADIEKSTGGKPFTDEMHRKIQKEAEMLKEQQKEVESKDLAAAEIEKLKKHYQEEHDKNMNMMAEMLGNKLREDSERQEKMLLALRDNLEISQRQNKYNDTIDNVPDHIHYGCSLPRMPCNML >fgenesh1_pm.C_scaffold_1002697 pep chromosome:v.1.0:1:15858463:15859594:-1 gene:fgenesh1_pm.C_scaffold_1002697 transcript:fgenesh1_pm.C_scaffold_1002697 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAAEGGGFFSSSASGYSNGLALLLLGQKNEQKPIKVSSSQWNHYHLVVEESDTGFRLDSSKNWLSCACTSLICFGRKSEKLESPSDIRGKKDEAVAPSVEYNCEVTNRFALKSSLKKRSFSDVVIGDDDVSRDGVVDHTDRRKVQWPDTCGIEIAEVREFEPSEVDESDDEFHHGSGKSCMCTIM >fgenesh1_pm.C_scaffold_1002702 pep chromosome:v.1.0:1:15938561:15940780:-1 gene:fgenesh1_pm.C_scaffold_1002702 transcript:fgenesh1_pm.C_scaffold_1002702 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g34065 [Source:UniProtKB/TrEMBL;Acc:D7KJE3] MDSDIVSSSVDQSRTAMPDSLAFKSINDPIKNKINGCAAICVKQDDPCHFLRVLYESLITGGLAGVVVEAALYPIDTIKTRVQVARDGGKIIWKGLYSGLGGNLVGVLPASALFFGVYEPTKQKLLKVLPENLSAVAHLAAGALGGAVSSIVRVPTEVVKQRMQTGQFASAPDAVRLIIAKEGFGGMYAGYGSFLLRDLPFDALQFCVYEQLRIGYKLAARRDLNDPENAMIGAFAGAVTGVLTTPLDVIKTRLMVQGAGTQYKGVSDCIKTIIREEGSSALWKGMGPRVLWIGIGGSIFFGVLEKTKQILSERSQKSHNA >fgenesh1_pm.C_scaffold_1002707 pep chromosome:v.1.0:1:15980059:15984381:-1 gene:fgenesh1_pm.C_scaffold_1002707 transcript:fgenesh1_pm.C_scaffold_1002707 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAB1 binding protein 1 [Source:UniProtKB/TrEMBL;Acc:D7KJF0] MEMLNFYKLKGKPMRIMFSERDPSKRLSGRGNVFVKNLDESIDNKQLSDMFSAFGKVLSCKVVRDASGVSKGYGFVQFYSELSVNIACNVRNGTLIRNQHIHVSPFVSRRQWDESRVFTNVYVKNLAETTTDDDLKMIFEEFGEITSAVVMKDKEGKSRMFGFVNFEKAEAAVTAIDKMNGTIVDEKELHVGRAQRKKNRIQDLKVIFKLEKIKRDMKTRKGTNLYVKNLDYSVDNTKLQELFSEFGTIISCKVMVHPNRISKGVGFVEFSTSEEASKAMLKMNGKVVGNKPIYVSLAQCKEEHKLHLHTQFNNLPWNVVSSTIAPSPHQHPIFSQAWPPMSIPNTMQRVYNFQSYFMCGSRMSNSCPPMSMPNFMVPQPFRPTIYPHAPPVGLHYGFPLPLL >fgenesh1_pm.C_scaffold_1002709 pep chromosome:v.1.0:1:15994184:15996064:1 gene:fgenesh1_pm.C_scaffold_1002709 transcript:fgenesh1_pm.C_scaffold_1002709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KJF2] MARVYMETMIQNCVTFSQIKQLQSHFLTAGHFQSSFLRSRLLERCAISPFGDLSFAVKIFRHIPKPLTNDWNAIIRGFAGSSHPSLAFSWYRSMLQRSSSSALCRVDALTCSFTLKACARALCSSAMVQIHCQISRRGFSADALLCTTLLDAYSKNGDLISALKLFDEMSVRDVASWNALIAGLVAGNRASEALELYKRMEMEGIRRSEVTVVAALGACSHLGDVKEGEKILHGYIKDEKLDHNVIVSNAVIDMYSKCGFVDKAFQVFEQFTGKKSVVTWNTMITGFSVHGEAHRALEIFEKLEHNGIKPDDVSYLAALTACRHTGLVEYGISIFNNMACNGVEPNMKHYGCVVDLLSRAGRLREAHDIICSMSMVPDPVLWQSLLGASEIHNNVEMAEIASRKIKEMGVNNDGDFVLLSNVYAAQGRWKDVGLVRDDMESKQVKKVPGISYIEAKGTIHQFHNSDKSHEQWREIYEKIDEIRFKIREDGYVAQTGLVLHDIGEEEKENALCYHSEKLAVAYGLMMMDGADEESPFDFIGSKMVLALAEIFGNVTKLRGWQF >fgenesh1_pm.C_scaffold_1002717 pep chromosome:v.1.0:1:16074709:16077132:-1 gene:fgenesh1_pm.C_scaffold_1002717 transcript:fgenesh1_pm.C_scaffold_1002717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Swap (Suppressor-of-White-APricot)/surp domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KK48] MLSSMQILPLEAPPTDGKLGPLPPSQLTDQEVEEMELQAEQNNSNQAPAAVATHTRTIGIIHPPPDIRTIVEKTAQFVSKNGLEFEKRIIVSNEKNAKFNFLKSSDPYHAFYQHKLTEYRAQGTDDSDGTDLQLDTAAADESEAGDAQPDLQAQFRIPPKPLEPPEPEKYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTGLTSREINNPQFQFMKPTHSMFTFFTSLVDAYSEVLMPPKDLKEKLRKSVADLTTVLERCLHRLEWDRSQEQQKKKEEDEKELERVQMAMIDWHDFVVVESIDFADEEDEELPPPMTLDEVIRRSKASAMEEDEIVEPGKEVEMEMDEEEVKLVAEGMRAANLEENVKIENVHDEEAPMRIVKNWKRPEDRIPTERDPTKVVVSPITGELIPINEMSEHMRISLIDPKFKEQKDRMFAKIRETTLAQDDEIAKNIVGLARLRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHIGSIGRTANQALTQNANGEEQGDGVYGDPNSFPGPAALPPPRPGVPIVRPLPPPPNLALNLPRPPPSAQYPGAPRPLGVPMMQPMHQQHQFSMPGPPGQPQMMMNRPPQMMAQPGMHVPPPPGSQFAPMQIPRPYGQLPPSAMGMMQPPHMPGMAPPPPPEEAPPPLPEEPEPKRQKFDESALVPEDQFLAQHPGPATIRVSMPNVDDGQVIEITVQSLSENVGSLKEKIAGEIQIPANKQKISGKAGFLKDNMSLAHYNVGAGEILTLSLRERGGRKR >fgenesh1_pm.C_scaffold_1002719 pep chromosome:v.1.0:1:16124547:16126606:-1 gene:fgenesh1_pm.C_scaffold_1002719 transcript:fgenesh1_pm.C_scaffold_1002719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7KK54] MVPKIASMATRPLCSLPYLFLSFSLLFVVSLLFFFSNSLISNPNPRISHNTLQNGINVFVAELPRSLNYGLLDKYWSSSSPDSRIPSDPDHPTRKTHLPKPGKYPPYPENPLIKQYSAEYWIMGDLETSPEKRIGSFAKRVFSESDADVVFVPFFATLSAEMELGNGKGSFRKKNGNEDYQRQRQVLDFVKNTEAWKRSNGRDHVFVLTDPVAMWHVREEIALSILLVVDFGGWFRQDSKSSNGTSLPERIEHTQVSVIKDVIVPYTHLLPSLDLSQNQRRHSLLYFKGAKHRHRGGLIREKLWDLLVDEQGIVMEEGFPNATGREQSIIGMRNSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDTIELPFEGIIDYSEFSVFVPVSDALTPKWLANHLRRFSEREKETFRGRMAKVQTVFVYDNGQGNGIGPIEPNGAVNHIWKKVQQKVPMVKEAVIRERRKPAGASVPLRCQCI >fgenesh1_pm.C_scaffold_1002730 pep chromosome:v.1.0:1:16337139:16338887:-1 gene:fgenesh1_pm.C_scaffold_1002730 transcript:fgenesh1_pm.C_scaffold_1002730 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP94D1 [Source:UniProtKB/TrEMBL;Acc:D7KK72] MALLIFIFLLCFPIFIFYIFFPKNSSSEFGFKSYPIVGSFPGLVNNRHRFLDWTVETLSRCPTQTAIFRLPGKKQLIMTANPSNVEYMLKTKFESFPKGEQFTSVLEDFLGHGIFNSDGEMWWKQRKTASYEFSTKSLRDFVMSNVTVEINTRLVPVLVEAATTGKLIDLQDILERFAFDNICKLAFNVDPACLGKDGAAGVNFMRAFETAATIISQRFRSVASCSWKIKKKLNIGSERVLRESIATVHKFADEIVRKRIDQGKSSDHKEDLLSRFISKEEMNSPEILRDIVISFILAGRDTTSSALSWFFWLLSMHPEVEDKILQELNSIRARTGKRIGEVYGFEHLKLMNYLHAAITESLRLYPPVPVDIKSCAEDNVLPDGTFVGKGWAITYNTFAMGRMESIWGTDCDRFDPERWIDETNGAFRGENPYKFPAFHAGPRICVGKEMAYIQMKSIVAAVLERFVVEVPGKERPEILLSMTLRIRGGLFARVHERS >fgenesh1_pm.C_scaffold_1002732 pep chromosome:v.1.0:1:16346393:16347529:-1 gene:fgenesh1_pm.C_scaffold_1002732 transcript:fgenesh1_pm.C_scaffold_1002732 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPEDVKDNFAKELYGESVQLSKHGNNDHVLENLDDPFYGSSDEDYSETRVLDNENKLRRVKFESAGYRDGIVAGKEAIAQEGYNFGYKESVLDGYKFGIVRGVSSALAFLPDELREKLIDEQETREKFQKLHSSVHALSTEVAMKRFYETLTTKQGEEKSGEEGPVSGSVSGSGVNATSDLGSYVTELSFLLEKSPKIEVKLDT >fgenesh1_pm.C_scaffold_1002740 pep chromosome:v.1.0:1:16527425:16529197:1 gene:fgenesh1_pm.C_scaffold_1002740 transcript:fgenesh1_pm.C_scaffold_1002740 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSHVIFSASIALFLLLSISHFPGALSQSVKVCKSKSNNTCIDKDKALDLKLIAIFSILITSLIGVCLPFFARSVPAFQPEKSHFLIVKSFASGIILSTGFMHVLPDSFDMLSSPCLNNNPWHKFPFTGFVAMISAVFTLMVDSITTSVFTKSGRRDLSADIASVETPDREIGHVHVHGHVHSHALHHNLQGENDKELGSDLQLLRYRVIAIVLELGIVVHSIVIGLSVGATNNTCTIKGLVAALCFHQMFEGMGLGGCILQAEYGWVKKAVMAFFFAVTTPFGVALGMALSKTYKENSPDSLITVGLLNASSAGLLIYMALVDLLAADFMGQKMQKSIKLQLKSYAAVLLGAGGMSVMAKWA >fgenesh1_pm.C_scaffold_1002741 pep chromosome:v.1.0:1:16533255:16534281:1 gene:fgenesh1_pm.C_scaffold_1002741 transcript:fgenesh1_pm.C_scaffold_1002741 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRLANSLTIHTHQASKYKHCLAMTNIYVRTAFLLSLLLSFSRKGGKGCIGICYRSPTPSPSMIDSEEGTNKECMDHKGVGSKCSPNPSPSMIDSEEEVNQEYWNIEEHESKCHCKCPKHKPPTPSPSMIDLDEEVNQEYMDIEEHNNKCHCKCHKHKPPTPSPSMIDSEEEVNQEYWDVEEHKSKCHCKCPKHKPPTPSPSMTDSEEAKAPTPIEKRKRKCHCKCHKAKAPTPINEYYI >fgenesh1_pm.C_scaffold_1002748 pep chromosome:v.1.0:1:16564464:16564822:-1 gene:fgenesh1_pm.C_scaffold_1002748 transcript:fgenesh1_pm.C_scaffold_1002748 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKIKSPNLTNDDNRIIKEDISCDRLQEALLQCHRRMPEGPARRSGCRHLNKAFAECVVAEACPEESEAVRSLCSSGGTSLKRKQCEDAQLSLSLCLSRHQREFEQR >fgenesh1_pm.C_scaffold_1002755 pep chromosome:v.1.0:1:16664529:16665870:1 gene:fgenesh1_pm.C_scaffold_1002755 transcript:fgenesh1_pm.C_scaffold_1002755 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYSPPDSILWDNRSKAILDNLKNFEADFICLQEVDEYISFFDRNMEAHGYTGIYFPRGEGYKRDGCAIFFKPKFAELITYNIVDYNNLAERRCVASTIHGDAVSKLKCDCIGILAAFKILKPFNHVVIIATTHLKSGKSDEWDDVKLAQAKSLMFELAMFKRTISAVENCSPSVILAGDFNSNPSSDVYEYVNSDNIPVMWPLGGEEEETEFGLCSAYGFTKGEPKFTKYVPGFAETLDYVFFTPSDFISPVKLLDSPDVVDFLPNKSHPSDHLPIGVEFEINRKILL >fgenesh1_pm.C_scaffold_1002773 pep chromosome:v.1.0:1:16751664:16752610:-1 gene:fgenesh1_pm.C_scaffold_1002773 transcript:fgenesh1_pm.C_scaffold_1002773 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain protein 4 [Source:UniProtKB/TrEMBL;Acc:D7KL24] MKESSRKQGAASPCAACKLLRRRCAQDCVFSPYFPADEPQKFANVHRVFGASNVNKMLQELPIHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDVLQAQLALAQAEVVHLRVRHSTNFPGHGLCPDSPSSSGSPSSKQVSPQDNKGMFSHMDIVDEASLGESMWSC >fgenesh1_pm.C_scaffold_1002774 pep chromosome:v.1.0:1:16792908:16794420:1 gene:fgenesh1_pm.C_scaffold_1002774 transcript:fgenesh1_pm.C_scaffold_1002774 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSGGLVMMREYRKGNWTLNETMVLIEAKKMDDERRMRRSIGLPPPDQQQDSRSNSSSSNKPAELRWKWIEDYCWRKGCMRSQNQCNDKWDNLMRDYKKVREYERRRVESSFTAAESSSSSAPAGETASYWKMEKSERKERSLPSNMLPQTYQALFEVVESKTLPSSTAATAVTAAVAAAINSGNGSGGGQIQKVIQQGLGFVVPKVHQIIQQPVLLPLQPPPPPPPPPSQPSQPLPRPLLLPPPPPPSFHAQPILPTVVIWFGLCLITLLKKDSSSSSDSDTSEYSDTSPAKRRRTMPTTTTGPSGGGGLEAEEVGRSKKDEETTVAAALSRSVSVIANAIRESEERQDRRHKEVMNVQERRLKIEESNVEMNREGMNGLVEAINKLASSIFALASSRHNNQHQGGPLP >fgenesh1_pm.C_scaffold_1002778 pep chromosome:v.1.0:1:16839623:16841086:1 gene:fgenesh1_pm.C_scaffold_1002778 transcript:fgenesh1_pm.C_scaffold_1002778 gene_biotype:protein_coding transcript_biotype:protein_coding description:F21H2.2 [Source:UniProtKB/TrEMBL;Acc:D7KL33] MADEEDPLSQFDISKEETDKLVSEVIRFILFKFHQSSGTPIKREDLTQIVTKNYRQRNLATHVINEAKKKLSNVFGYDLKELQRARASSNGQSSRLPLSQSSVDSKSYVLVSELPLEVFRKHVVDETTSPMTGFTFVVLAIVQLAGGKIPEVREQSRSFEVTKTLWHHLKRMGLHESDEHNPVFGNNKQTLETLVQQRYLQKEKVSGPEGNTLFYDLAERALDPQVSEKVKDYISQILKNDVAVVELDD >fgenesh1_pm.C_scaffold_1002787 pep chromosome:v.1.0:1:17002826:17003872:-1 gene:fgenesh1_pm.C_scaffold_1002787 transcript:fgenesh1_pm.C_scaffold_1002787 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGGRMFAPTKIWRRLHCRVNVNIKRHAMVSAIAATAVPSLVMARGHKIENVPEFPLVVGDSIESVEETSEAVNVLKRIVRSGKGKMRNRRYIFRKGPLIVYGTKGSLVEAFRNITGIDICNVERLSLLKLAPGGHLGRSLSLFTARLRSYQGYVLLRPKMLNADLARIINSDEDVKRGVIMKNPLKNLNVMVKLSHYAKTAKMMSLLAEAQREEAMKMGAAGKSCDYTEFDNFSKWLGLSQ >fgenesh1_pm.C_scaffold_1002797 pep chromosome:v.1.0:1:17204165:17211595:1 gene:fgenesh1_pm.C_scaffold_1002797 transcript:fgenesh1_pm.C_scaffold_1002797 gene_biotype:protein_coding transcript_biotype:protein_coding MESMSMTDRVVRNNKRLPQTDLNKEKEKQKEETIRLGVELSLFVAEAMFILSDDLRSTFEYYIQELKKLEEKLRSAKEFSEANGFVREEIKSNIVHLWKSLFEATPEVINPNKPILLELFRPIENEVCCRRLASLLI >fgenesh1_pm.C_scaffold_1002800 pep chromosome:v.1.0:1:17283124:17283610:-1 gene:fgenesh1_pm.C_scaffold_1002800 transcript:fgenesh1_pm.C_scaffold_1002800 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKFQLATLLITYSLLFTCQSKVLKRIQIGPDECVYRGRCRFSYECRSRCGPPEFPNEIIGLCMFDYNDLEYFCCCTSYNLK >fgenesh1_pm.C_scaffold_1002817 pep chromosome:v.1.0:1:17522943:17523838:1 gene:fgenesh1_pm.C_scaffold_1002817 transcript:fgenesh1_pm.C_scaffold_1002817 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKAKAMQRIAKEFRDMTSPASLYSIGRDDSNNVFKWQAMIQGPQGTPYAGGMFSIDIEFPEDYPFKPPKFTFKTPIYHPNINSRGSICLDILKDKWTPSLTVEKVLLSITALLADPNPDDPLVPEIGELYKNNRFQFDQRAREFTERHAFL >fgenesh1_pm.C_scaffold_1002827 pep chromosome:v.1.0:1:17755847:17759131:-1 gene:fgenesh1_pm.C_scaffold_1002827 transcript:fgenesh1_pm.C_scaffold_1002827 gene_biotype:protein_coding transcript_biotype:protein_coding METDTSAQEARNGKDIQGIQWEGFKYTRDEFRETRLKQYENFVNILTPSSREKLDKEHRQVEKGKNFYEFQFNTRLVTSTIVHFQLRNLVWATSKHDVYLMQNYSLMHWSSLLQRGKEVVNVARSVTPIQKLPGLFSEPLSRVQVSSMAVKENLILLGGFNGELLCKCVNQPGVAFCTRLSTEDNAITNTVDIYPSPSGSLRLITANNDCHIRVFDAQSFTLVSEFAFDWSVNNTSVSPDGNLLAVLGDSTECLISDSHSGKVISSLKGHKDYSFASAWHPNGLILATGNQDTTCRLWDIRNPSESFAILKGNMGAIRGLKFTPEGRFLAMAEPADFVHIFDTQSGFLQSQEIDLFGEIAGISFSPDTEALYVGVADRTYGSLMEYKRRKDNHYMDSFF >fgenesh1_pm.C_scaffold_1002832 pep chromosome:v.1.0:1:17812141:17815392:-1 gene:fgenesh1_pm.C_scaffold_1002832 transcript:fgenesh1_pm.C_scaffold_1002832 gene_biotype:protein_coding transcript_biotype:protein_coding MCDIDLFRKNPEIIRETQRRKFASVEVVEEIIILDQVWRQRKYEYDCARKDFNKTNKEVAKLKISDADATEKIQQAEIIKQECTKYEAEVREAYASLEAKLWTMGNLIHDSVPISNDEANNLLVKVSGEPRVASPGQELTNHMDLVEFLDIVDTKRGAKVAGGRGYFLKGYGVLLNQALINYSLAFLQKKEFSVIQPNCLMTKESMAKCAQLSQFDEELYKVTGEGKGNDEKFLIATAEQPLCAQHQGEWFHPTELPIRYAGYSTCFRKEAGSHGRDTLGIFRVHQFEKVEQFCITGPDDSWEMLEEMLKNSEEFYQSLKIPYRVVSVVSGALNDAAAKKYDLEGWFPASGTYRELVSCSNCTDYQSRRLNIRYGYKKSNQETRKYVHMLNSTLCATQRTICCILENYQRDDGVDIPEVLQPFMAGVKFLPFKTKGKKSKA >fgenesh1_pm.C_scaffold_1002836 pep chromosome:v.1.0:1:17894788:17895813:-1 gene:fgenesh1_pm.C_scaffold_1002836 transcript:fgenesh1_pm.C_scaffold_1002836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 3 [Source:UniProtKB/TrEMBL;Acc:D7KM18] MFKKMDKKATQRIEKEYKAMISNDSLYSIGRDSNDIYKWKTMIHGPRGTPYEGGIFNIDIKFPTDYPFKPPKFTFRTPIYHPNINDEGSICLDILKDKWTPTLTVEKVLLSITLLLEDPNPDDPLVPDIGQLFKNNRFLFDQRAREFTARHAND >fgenesh1_pm.C_scaffold_1002837 pep chromosome:v.1.0:1:17927677:17928082:1 gene:fgenesh1_pm.C_scaffold_1002837 transcript:fgenesh1_pm.C_scaffold_1002837 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSFLDNLFFFIIRPLLAISFVVCFIALWWFLAWKLVLSHVPLVQEIFGLRKKTFKPKPESRGRIAKFYRSISSQNSVPQW >fgenesh1_pm.C_scaffold_1002843 pep chromosome:v.1.0:1:18016236:18017732:1 gene:fgenesh1_pm.C_scaffold_1002843 transcript:fgenesh1_pm.C_scaffold_1002843 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAELWAIFGPGFSGAVFGTGWWFWVDAVVCSSIQVPFVHYLPGIFASLGALMFNCVRKEDIDYSPYDEGEWRLKLWLFIAYVVAFVSLAASVGLLIQDSVVKTGPSTWTGVAGVFQCVFVLISGLMYWTSHSE >fgenesh1_pm.C_scaffold_1002845 pep chromosome:v.1.0:1:18100325:18101340:1 gene:fgenesh1_pm.C_scaffold_1002845 transcript:fgenesh1_pm.C_scaffold_1002845 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCFVLHDVSSQPQNSSRQRREDIMNEGLDDDATHDYSYNDDGDMHQTQVPETQEDEEVYRSTVRRGNSSHRSSGSSATNVGSGSRENRRRQSFETTIQDTISGYREFQRQSLQQLRPGNFDQEDYDEFKKAEAIFLALQLPKKTKFYWACIDTLKELRFWRRYFIDIAGGTDEDKIELLEAMTSVSRNDQDLPRRLGSGHSYGVELTTKRSSMGNTKRSTMGNTTKRSTLWNTTKRSTVWNATKRSTMEHTTKRSTIEFTTKCSTLGIIAKCFTLGSSTKLSTLAVKWCWQ >fgenesh1_pm.C_scaffold_1002868 pep chromosome:v.1.0:1:21769100:21771356:1 gene:fgenesh1_pm.C_scaffold_1002868 transcript:fgenesh1_pm.C_scaffold_1002868 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKNYRYSSSSFSSSSSSSQLSTPRAFQNGPSQAIDKSPLWDVEKVKCSFSSSVYKSNEELIGEIAELDTEILLLERYLLSLYRSSFGDHLPASLSLDNSPLPPYKTKFYNDRASSESDKSVLSSFKSLSEMDKIKRSDSGHPSLADLLGLNTLSPNKLSEEILRSICVVHYKLSDKQGHSRIVKKNSKNENINEELGVVIGKLCLEDDNLKSVESLLQNFRSLVQKLEKVDPERMAREEKLAFWINIHNALVMHAYIVYGFSEDTTSTTILKAAFNIGGERINAYDVQSSILGIHACHSPSRLWTLFSPARSSKTSSGRHTYSLDYAEPLLHFALSTGASTDPMVRVYTAEGIFQELRQARDSFIQTSVRFEKETKILLPKIIYNYAKDTSLDMAELFNTISECLTETQRTTLTRVVKKKQDRYIRWINHDSNFRYIIYPELVRESF >fgenesh1_pm.C_scaffold_1002873 pep chromosome:v.1.0:1:21887620:21889678:-1 gene:fgenesh1_pm.C_scaffold_1002873 transcript:fgenesh1_pm.C_scaffold_1002873 gene_biotype:protein_coding transcript_biotype:protein_coding MEILAAEDIEIGTDLFPPLSPLASSLHDSFLSSHCSSCFSLLPPSPPQPLYCSAACSLTDSFTNFPQFPPEITPILPSDIRTALRLLNSTVVDTSLSPHRLNGLLTNHHLLMADSSFSLAIHHAASFIATVLRSNRKNTELEEAAICSVLTNAVEVQDSNGLVLGIALYDSRFSWINHSCSPNSCYRFVNNTTSYHDDLAYPITIPHVNNTETLSNLELQEQVRTMGYGPKVIARNIKRIKSGEEITVSYIDLLQPTGLRQSDLWSKYRFMCNCGRCAASPPAYVDSVLEGVLVLKPEETTVDYHHGTTNKDEAVGKMTDYIQEAIDEFLSDNIDPKTCCEKIESVLHHGIQFKADSQPHCLRLHACHYVALNAYITLATAYRIRSIDSETGIGFDMSRISAAYSLFLAGVSHHLFSADPCLAISAANFWKNAGESLLDLARKFSMESFGESDVKCTKCLILETPNSHKDIKEKSRQILSCVTDISQVTWSFLTRSCPYLQKFRSPVDFSLTRTIGKREESIEDQTVNVLLLSFHCLLYADLLADLCYSEKSHLVSFFFGRKSHLVS >fgenesh1_pm.C_scaffold_1002887 pep chromosome:v.1.0:1:22293278:22303122:1 gene:fgenesh1_pm.C_scaffold_1002887 transcript:fgenesh1_pm.C_scaffold_1002887 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRPSLEAKLRGLLYNICLHEIKLCSDALKEFVMLLKGETGGHLLRLYFHNSAKFKELLDVWKFLHEKKQGVSYIFSLFQTVLSHPEGKGTSADIGRAINQLGRSLIDEKLHDIYNALNSKEGKQQNAALSLLASIVRRGPRMASHMANKFDFKGFAKLGEYKTGGADKVRKHSTRQAFVGFAISFLEVGQPHLSDSILWQKKMYSNVLKGLGEDDEDTAAYVLSTLKDKILVKESLICPPLRSVLFGIPALEKLVIISAREDGGKVNELAHDVLVKVCTDPSNGLMPDSKSLLMLMKKLRATEISYHRDLLLAIVRGRPSLTSAFLEQFPYNVKDFSSPSWLVFFKVLEYIYIFILNHRAIPPSVGSEIQTIMNCICPRPFSRSLITRGMLHSDLLVKHGTLRFLWETLRLLDSFVTAWKLRSSQASLERDVMGEVRSFFPDAQVFLTVLKSLSGSSETQKLPLKREAVLDSGLVGKRKRFKPSEKCVLEKDAGDIVICGVGSDKDFFLEEDTGDAQMTDQADAEKEYLGIVSEIWGSEFWSKPFDSVEEAEMLFHIKLLDTLRIYMRSVPNILEGSFDVFMKFLSTSSGLPAELQRAHLSLVNEYISWTPKSQSERESVPTRIPPLMFKHLHVFINLLPFSSHDEVKDLSYNLALVAMSSTGAFDKNPSEIGAWFRFLPGFGKIKPPLMVQEAVQSMSSVVISFLCDAVTTVGNNLFKQWEIVRSRLSHLKGDSIGFSPLIVCILENCVRLLKSESKKYSLPDKSAISLYVCSTLKYLLQTQVDSSGLSCLVQSVLSEVVDGSKDSLCEWRPLRMLLLFSQSLSDKKTFILHSRRTTSLLADTSFADSLDEIKGLVRRSISLDEIAGIVKAFSSALICATPESILENFASVMAISWDLYGTSFSFLQSIAFLEENFLGNLSKLSPDLFVRGLELTRSRNLREGIVDSEIDFADYSSVTEAIKSKVEIRDIYSSALSMFFEQAPFPVLLNEIMSMDISCVPEFPRLAELLLLKVWQPKSDNIESDIRLILFWLFQIRSSYKIQPAPVLCRISEICLRLLKHLFSQISERGFVSGPSSDKLVAPFAKWKHQVAQTVLCHPVVMALLESPLDCGTLPPVHNVKIFSETSLTTSRLVICEIDQQILDLLVSICEHFLFDERHIVQDGDLRENKSITVFKDLVQRLLLLFRDKFELCVGSQSYAPLLQPSQLIHALLRFISPFKLLELARSMLSKIDEEELASPNLSMIISLGLDIAGGAFEMLISYSHLPAAKRGVYDLLWELKEENYDSILIEEVYSMACRFSTSFGLVSADTCLLKVGSSIFRGKHNRHCNVHPLTVIISQIVGRTPKDLIIHYINQPSMTRAKILFYLVESSPLHLSVFGHSFFSMLSKQQDGSDQFIMLPPAVLSYLASVYAKIETPCSRCLDITSLYSNKLTNGFHQWPSFLSGWIFEEKYEEILMSTTEDIDTMFNASLLGMAVRMFQCHFALNESPTKIDDLLKVFYSMFPHASAGKKMFDYEIKEMDAQSVHYMFNVAIRVVAKVEFSRICLFPEDSSICHFKSQAVSCAKESSPEMGSCRESLLNALVESWPCVVKRSDGYFQGNSERKQDKCWFLCKSLENFILRSILKILKYMCEELVNLDSLPFLEKLMKSVLLYRFEDSKTLKLLRDIFSLLSRGKYSCTLYIQLLVSHSQFTPTILSASRTGELLRPVSSILKHLSIPSPNSVGVGSCCLEAPDYVKQLEIVKILRVLLSKCGKGSGINLKELRFLFLCSYGATMSEIDLELYKLMHDIELIEDEQRLNVSETDYLWGKAALKIREGLRFSQDAYYGGEAGLVENLQQILFKENLWIDPKICAQTLLYFPYQRTAEVSDNSYISDDPVSEKCSPVIERYDPAYILPFSIHSLSMGCIEPVKFASSGLLAVALASTSSADLGMRKLGYETLGIFVHALKRCEKNENVMGLMLLLMHVENGVDKRWKRIPTVCAYFAAVTSLILLDSSHELYAPINKLLKSSSTLNLKGIPLFYDFFWSSTVVLRSQRLWELRLVCVGLESEDDAQLYIRNSVLETLMSFSSSPLADDETKGLILQVVRKSVKFHKIARHLVENCGLLLWCSSFISMFATKPIGDEDSRLVAVLEVITDTLASRNVTVWLQRSALEELMEISSRLYRFLGGGLVSVKENGTLVDLILQILSATLKISQKRKLYQPHFTITIEGICQLFEAVANCDSPQVEASAERGLDVILMSTPPIDIICMDVDKLRRFLFWGSSTALKSDFEKGSKPSESHKDTKTHSEEAQEETMVAKFLRWLLASVILGKLYSEANDLDSTVLSETKPETLLEYLKQRNIEGSMTKSEHILGEVIVYLQKLLCTNNMVLLPSVVFALSLMLLRNGLFLTADTESEGDYKLIRSLCSRISCPPEAIPVWRWSYYQGWKDLSTGPATDPKKIDACQQLLLIFSDMLGTMPQESQQVLLRDFF >fgenesh1_pm.C_scaffold_1002896 pep chromosome:v.1.0:1:22476262:22477105:1 gene:fgenesh1_pm.C_scaffold_1002896 transcript:fgenesh1_pm.C_scaffold_1002896 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPLLTKSEHIKIDGDSSSNDEHNVNITITDDSSSTPREAKAVERCIPSCTGEMEWCCFIEFVLNIVQIVAAFVVVNRAKDEHPETSFLIWIIGYTCGCVAILLIQFINCVSSRSYEVIIDFVTEIFEYFFVGWVLLFLWIYHSSSSSLYDNTQHFWLCMAFLAFTCIRYIPAHLIFSAICFLFVVIIWICAAVLGTTPNTFVYVAFLIIVIGILKVVQEVLQLHF >fgenesh1_pm.C_scaffold_1002901 pep chromosome:v.1.0:1:22549164:22550647:-1 gene:fgenesh1_pm.C_scaffold_1002901 transcript:fgenesh1_pm.C_scaffold_1002901 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRFSLLLFLFLVIVSQSKSSVFKTFPSNLSPKSPLSSVVLPLSGNVFPLGYYSVLLQIGTPPKAFEFDIDTGSDLTWVQCDAPCTGCTLPPIRQYKPKGNTVPCLDPICLALHFPNKPQCPNPKEQCDYEVNYADQGSSMGALVIDQFPLKLLNGSAMQPRLAFGCGYDQILPKAHPPPATAGVLGLGRGKIGVLPQLVAAGLTRNVVGHCLSSKGGGYLFFGDTLIPTLGVAWTPLLSPEYTFFFHICRDRLQRDYTFFKSVLEFKNFFKTITINFTNARRITQLQIPPESYLIISKTGNACLGLLNGSEVGLQNSNVIGDISMQGLMVIYDNEKQQLGWVSSNCNKLPKT >fgenesh1_pm.C_scaffold_1002908 pep chromosome:v.1.0:1:22622001:22624923:1 gene:fgenesh1_pm.C_scaffold_1002908 transcript:fgenesh1_pm.C_scaffold_1002908 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMFRSPCKIPSVKGFEQKSYVGLKAASYNVRVNSFKSSEVASQLQKIDSTLIWPVNAPEAPPLPSKLAEPVIDQPLQLSRRARRNRKCPSQRAAFQETNISPANLIYPLFIHEGEVDIPITTMPGRYMLGWRHGLNEEVARARDVGVNSIKLYPKVPEAKKSPTGEEAFNDNGLVPRTVRLLKDRFPDLVIYTDVNFDEYSTTGHGGIVREDGVILNDETIHQLRKQAVSQARAGADVVCTSEMLDGRVGAVRAALDAEGFQHVSIMSYSVKYTSSLYGRFRKVELDKKTYQINPANSREALLEAREDEAEGADILMVKPALLSLDIIRLLKDQTLLPIGACQVSGEYSMIKAAGLLKMIDEEKVMMESLICMRRAGADLILTYFALQAATKLCGHNKRFIAN >fgenesh1_pm.C_scaffold_1002912 pep chromosome:v.1.0:1:22687656:22689441:-1 gene:fgenesh1_pm.C_scaffold_1002912 transcript:fgenesh1_pm.C_scaffold_1002912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclase family protein [Source:UniProtKB/TrEMBL;Acc:D7KNT1] MYHLLIIVIILSFSSIPIAFAVDEAFPSVPTTFRVATKHSDDQKPIRREVYGGERKIFDISHQYTPELPVWESSEGLGRFMRLAVSMKNGSVANISKMKLSVHSGTHVDAPGHFHEHYYDSGFDSDSLDLQILNGPALLVDVPRDKNISAEVMKSLHIPKGIRRVLFKTLNTDRRLMFKKEFDSSFVGFLIDGAKWLVENTDIKLVGLDYLSFAAYDEAPATHRFILERRDIIPVEALKLDDVEVGMYSLHCLPLRLVGAEGAPTRCILIK >fgenesh1_pm.C_scaffold_1002939 pep chromosome:v.1.0:1:23125236:23127846:1 gene:fgenesh1_pm.C_scaffold_1002939 transcript:fgenesh1_pm.C_scaffold_1002939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7KPT3] MEEFLSSITMFMLLLLASSRFGKCSSDVYSRSDFPEGFAFGAGISAYQWEGAVKEDGRKPSVWDTFLHSRKMDNGDIACDGYHKYKEDVQLMAETGLHAFRFSISWSRLISNGKGSINPKGLQFYKNFIQELVKHGIEPHVTLHHYDHPQYLEDDYGGWINRKIIEDFTAYADVCFREFGNHVKFWTTINEANIFSIGGYNDGNSPPGRCSFPGRSCLLGNSSTETYIVGHNLLLAHASVSRLYKQKYKDIQGGSIGFSLFSMYFTPSTSSKDDKIATQRANDFYLGWMLEPLIYGDYPDVMRKTIGSRLPVFSEEESEQVKGSSDFIGVIHYVTASVKNIDINPSLSGIPDFNSDMGQSINSILEYIKQSYGNPPVYILENGKTMTQDLDLQQKDTPRIEYLDAYIGAVLKAVRNGSDTRGYFVWSFMDLYELLDGYKSTFGLYSVNFSDPHLKRSPKLSAHWYSGFLKGKKHLSCFPRHNAIA >fgenesh1_pm.C_scaffold_1002943 pep chromosome:v.1.0:1:23221172:23222874:1 gene:fgenesh1_pm.C_scaffold_1002943 transcript:fgenesh1_pm.C_scaffold_1002943 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEIAKDTVATAEKSPLELLQETKASVEAIIAKLLSIKQQGNPKSENRELLTQMFLNFINLRQANRAILIEEEKTKTETELAKSPVDFTTLELHNLMYEKSHYLKANKASRDFKSKYPNIDLISEQDFFSDAPEAIKSQTLSNDSSHDLMLKRLNFELHQRKELCKLRVRLEQQKKCLLETNAERNKFLSSLLVHLKSLKKASLPVQSQLSLQNQKKLKYHNLAELLPPPLYVIYSQFMAQKEAFEENIDIEVSGSLNDAQSYARQQAEQNSENLRMEIDDEDNDGKRQRKRPKKEGFDEGGLYEVHPLNVVLHVYDDEVPDPKSHDLIMLKFEYLLKLNVVCVGIEESQDGLEKNILCNIFPDDSGLEPPHQSAKLILGNDHAFDESRTSRPYKWAQHLAGIEILPEMSPFFTDRDIQNSDTAKDYASASDHRNVQTILQRIRSQKKTKVKLTLE >fgenesh1_pm.C_scaffold_1002957 pep chromosome:v.1.0:1:23470975:23473857:1 gene:fgenesh1_pm.C_scaffold_1002957 transcript:fgenesh1_pm.C_scaffold_1002957 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMSFVLIILTIAAKVTESEFSDSHDAKITRLLKKLNKPALKSIKSPDGDIIDCVHMKNHPIYDHPLFKNHTIQMRPSSYPEGMNNEPSDQKKENLVTQLWTTNGKCPKNSIPIRRTTREDILRAKSIESFGKKTSNRFTQPSPVNSTSNDGIHEYAILEVHGKFHGASSIINVWKPYVRTEDEFSLAQIWLVAGPPGDELNAIEFGWQVYEGKYHDNNPRYFIFWTADGYRTGCYNFDCHGFVLVSREIALGGAIANVSTLGGQQYQIPVSIWKDEQTGDWWLKLYYTIFVGYWPSSLFTHLRDSASIIEWGGEILDFKDDGRHTTTRMGGGYFAQEGLTKAAYFKNLEIVDEHNIWRRNEGGHTIMTQESCYNIQSAYHDTWGNFFYYGGPGRNQNCM >fgenesh1_pm.C_scaffold_1002966 pep chromosome:v.1.0:1:23526196:23527737:1 gene:fgenesh1_pm.C_scaffold_1002966 transcript:fgenesh1_pm.C_scaffold_1002966 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFVRGLLARAYEVPKIQTKVSASFGCVTSPPPPEKGLENLTVADVLSTKDADVDTWISCRTNDTVSDAVKNMAKHNIGSLVVLKPGDQQYIAGIVTERDYMKKIIGAGRSSKLTKVGDVMTDESKLVTVSSGTNIIKAMQLMSENHIRHVPVIDGKIVGLISMVDVVRAIVDHQNGELKRLNEFIKGDYY >fgenesh1_pm.C_scaffold_1002967 pep chromosome:v.1.0:1:23528725:23529062:1 gene:fgenesh1_pm.C_scaffold_1002967 transcript:fgenesh1_pm.C_scaffold_1002967 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGNLYINPKKLGNLAKPCMKEMVSFLNCMALNNIKDDKCEKQKQLLSVCMQGQSDHKNKSWGNINYHLQRLTRGRK >fgenesh1_pm.C_scaffold_1002968 pep chromosome:v.1.0:1:23529932:23531047:-1 gene:fgenesh1_pm.C_scaffold_1002968 transcript:fgenesh1_pm.C_scaffold_1002968 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKFFTIILMVTMVMTRVSAEELPVKVTANEVTLAAEAAASSFKSSAGEAAQGAKSWADWATSKIRHPGGLVKTPGSE >fgenesh1_pm.C_scaffold_1002974 pep chromosome:v.1.0:1:23625167:23627322:-1 gene:fgenesh1_pm.C_scaffold_1002974 transcript:fgenesh1_pm.C_scaffold_1002974 gene_biotype:protein_coding transcript_biotype:protein_coding MACIVEETERADESNETQIALISGVPDDISKSCLARVPREYHMAMKCVSRRWRDFVCGDEFCDYRNKFNLAESWIYAFCRDISGEDHGKESMNIPMREGMGFAALGKRLFVLGGCGWLEDATDEVYCYDAAINTWFDVVPSLSTKRCYFACETLDGKIIAIGGLGLNPNAKRTWDIYDPLTRTCKSCSDVPEIEDSFVMDGRIYIRRGGGGSSSAVYSASSGIWEHMDDDMASGWRGPAVVVADELYVLDQTFGATLTMWCKETRMWIRIGKLSQLVMKQPCRLVSIGNSIFVIGKDCSTVVIDVENVRKTTMNGVMVCSSIPKTWDDDIDVISCKSVAI >fgenesh1_pm.C_scaffold_1002986 pep chromosome:v.1.0:1:23797659:23798734:1 gene:fgenesh1_pm.C_scaffold_1002986 transcript:fgenesh1_pm.C_scaffold_1002986 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMSNLPRDLGKEYLDDQAKVEAKKGEFMMVMMMDFRVYLMRVNLHNDVESCIKREGELFSLGDEVDVSLSLSLRRVVVWNPYWGQTRWIEPTHDFHKLYSYALGYEKRSKSCRSYKILRFVDFFSYYVEFKIYDINSDSWRVLDISPYCQIHSDSRGVSVKRNTYWFVRNRRRFLVCFNFTRESFGRCLRLLFEFSTSYTVSLSSCSYTSQMQIWVTTKIEPNSVSWNSKIFLAVDMNPLTALSFQLEVGAASFFIDEEKKVAVVFDKGKKDLVSTHNIAYIVGVDGILEEVDLGISANKFCYPLVCSYVPSLVQL >fgenesh1_pm.C_scaffold_1003002 pep chromosome:v.1.0:1:24024241:24024883:1 gene:fgenesh1_pm.C_scaffold_1003002 transcript:fgenesh1_pm.C_scaffold_1003002 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box protein [Source:UniProtKB/TrEMBL;Acc:D7KAX6] MGRSKIEIKRIEDITKRKATFLRRRKSIFKKADALAKLWNVEVAVLVISPTNIPYTYGNPCFNDVVERIQNPSASSKLDSLMKELEQIKELEEVLRKRQQKNREKSNMKGIVDLKLEDLVAFKGKLEAHQAGLKRKHVEMEDLSSPSMLSKNTKKKKTRTESSSGQSREMYELRPRIPRNYLE >fgenesh1_pm.C_scaffold_1003003 pep chromosome:v.1.0:1:24045072:24056123:-1 gene:fgenesh1_pm.C_scaffold_1003003 transcript:fgenesh1_pm.C_scaffold_1003003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQKEKRKFSKRKRTQSPKPTSSFPLDLISEILLRLPEKSVARFRCVSKLWLSITTRNVVVGLPSLLLCFTEYENLFVSSIPQHHHSLQDSNKSYSSSQPIDRYHLKFLLHNNFPLTESVHGLICFQESATPIVCNPSKRQCLTLPKPRKSWERLTVFLGYDPIEGKHKVMCLPFRRSSDVCRVLTLGPAQESWRTVKTKPTHCSDYHTSGRCIKGVVYYIAYVYGTDVWVVMSFDVRSEKFGMIQLPSDFHRDPLIACVRKTTETDGKMTLCILEDAEKHKWSNEISNTYLKVKGITHAGEFIYVPDVVRKSSYILFYDPVRNSFRRFELKGVIEEKSVLNNLFYRMLHAFPNHVESQMSL >fgenesh1_pm.C_scaffold_1003007 pep chromosome:v.1.0:1:24119492:24122253:-1 gene:fgenesh1_pm.C_scaffold_1003007 transcript:fgenesh1_pm.C_scaffold_1003007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:D7KBX4] MSLMFSSPVVTPTIGSFTFSSRRSNYIVMSAVRSNSASTCPVLTKFQKDCATPTPYLRNVANAIADDMRAGLAVEGGGDLDMILTYVDALPSGNEEGLFYALDLGGTNFRVRSVQLGGKKKRVVATESEQISIPQKLMIGTSEELFGFIAAKLASFVAKEKPSRFRLEEGRKREIGFTFSFPVKQTSIDSGTLIKWTKGFKVSGMEGKNVVACLNKAMEAHGLDMRVSALVNDGVGTLAGARYSEEDVMIGVILGTGTNACYVEQKHAIPKLQSKSSSGTTIINTEWGGFSKVLPKTIFDQEMDAKSPNPGEHLYEKMISGMYLGEIVRRVLLQMCETSDLFGQFVPVKLSTPFELRTEHLCEMQADTTDDLQTVGSVLYNILEVEANLQERRRVVEVCDTVVKRGGRLAGAGIVAILEKIEKETKRMGSGKRTVVAMDGALYEKYPQYREYMQDALVELLGDKLSHIAIKHTKDVSGLGAALLAATNSIY >fgenesh1_pm.C_scaffold_1003009 pep chromosome:v.1.0:1:24176682:24179326:1 gene:fgenesh1_pm.C_scaffold_1003009 transcript:fgenesh1_pm.C_scaffold_1003009 gene_biotype:protein_coding transcript_biotype:protein_coding description:T2E6.2 [Source:UniProtKB/TrEMBL;Acc:D7KBY0] MAATSNSGEDPTLSYHHHRSPFRFELLQSISSSDPRYSSLTPSSTNRPFSVSQSLPNSQQSPLISSHWDDSYSQITQKVQKSRKNHRIQLGSIANMAGESIDIAKVIVKQESSPQDIKRVYNKSKGTKQLKAGKRMANGEAQNGGLNGTSINCRYDSSLGLLTKKFVKLIQEAEDGTLDLNYCADVLEVQKRRIYDITNVLEGIGLIEKTTKNHIRWKGADNLGQRDLGDQIARLKSEVESMQSEESRLDDLIRERQEALRSLEEDEYCRRYMFMTEEDITSLPRFQNQTLLAIKAPTASYIEVPDPDEMRFPQRQYRMVIRSRMGPIDVYLLRYLATHSTLLFITGNKHGRSKYKGNSGESSDKLGHESDQKAPSGVDTPSLKIVTSDTDLKADYWFESDAEVSLTDLWSNFSS >fgenesh1_pm.C_scaffold_1003015 pep chromosome:v.1.0:1:24307612:24310332:1 gene:fgenesh1_pm.C_scaffold_1003015 transcript:fgenesh1_pm.C_scaffold_1003015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-oxidase 4 [Source:UniProtKB/TrEMBL;Acc:D7KC01] MVKGSQKIVAVDQDIPIIDMSQERSQVSMQIVKACETLGFFKVINHGVDQTTISRMEQESINFFAKPAHEKKSVRPVNQPFRYGFRDIGLNGDSGEVEYLLFHTNDPAFRSQLSFSSTVNCYIEAVKQLAREILDLTAEGLHVPPHSFSRLISSVDSDSVLRVNHYPPSDQFFGGANLSDKSVSLTRVGFGEHTDPQILTVLRSNGVGGLQVSNSDGMWVSVSPDPSAFCVNVGDLLQVMTNGRFISVRHRALTYGEESRLSTAYFAGPPLQAKIGPLSAMVTMMNQPRLYQTFTWGEYKKLAYSLRLEDSRLDMFRTCKD >fgenesh1_pm.C_scaffold_1003020 pep chromosome:v.1.0:1:24358121:24359302:1 gene:fgenesh1_pm.C_scaffold_1003020 transcript:fgenesh1_pm.C_scaffold_1003020 gene_biotype:protein_coding transcript_biotype:protein_coding description:F21D18.25 [Source:UniProtKB/TrEMBL;Acc:D7KC09] MEPQEEEKNGTMQRKRCKSSSSLSIPLDITTEIFLKLPAKSVARFRCVSKLWSSIPTSRYFTTSFESRPNLLFFFKEGNRFFVVTIPKPNRRPNESFSYTSSEILDSYQIPYPKHSCLTIKTESVHGLICFQRGTKPTVWNPIMRKFKPLRKPDKSWESLTVFLGYDPVERKHKVVSMTCDQASDECRVLTLGSDQESWRTVKTNYKHLPCRGKRKDNYGPCRCINGFHAIRLPWDEEFSPKMMISYKGKLAYLGHSNGTNSLPMWVLEDAKKGEWSTYNFLPLSHYDRSSETHFKLIGITNDGELIYVPYMVFESFDVIYIDPIRKTFRRVKYKGVADKGFRQRNGLEEHKPLRGIQYSPNHVDTLISL >fgenesh1_pm.C_scaffold_1003022 pep chromosome:v.1.0:1:24363706:24369739:1 gene:fgenesh1_pm.C_scaffold_1003022 transcript:fgenesh1_pm.C_scaffold_1003022 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFEGVEKRLEIIFSASTSNKNLREKLTRNVLHQILKPVDCKIEDLLSEDQVDAYLLSASSLFVFDDFVILKTCGNTKIFECMKELIRVAAREVNSVLYTRGQFFWPQMQPDPHSFFIRLIPISQKQWHILSDFPHAADNNTKEVLTVELCMRRLDREKASIFEEKTSPTSEKMTEASGIGLIFPEAKLSTFAFSPCGYSMNSVEGKAISTIHVVPEEAWSFASFECCGYEFKDDESLQQVVARALACFKPKMFTLAIRSNLPVIGGEPQCNLDPDGYSCKREICSLEKGGSVVYYYTV >fgenesh1_pm.C_scaffold_1003029 pep chromosome:v.1.0:1:24445769:24446264:1 gene:fgenesh1_pm.C_scaffold_1003029 transcript:fgenesh1_pm.C_scaffold_1003029 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIVKILSLLVAISAFWIGLLQAAIIPRSHTWLLPIYFVVSLGCYGLLMVGIGLMQFPTCPQEAVLLQKDIAEAKDFFKHKGVDVGSN >fgenesh1_pm.C_scaffold_1003032 pep chromosome:v.1.0:1:24450604:24451800:1 gene:fgenesh1_pm.C_scaffold_1003032 transcript:fgenesh1_pm.C_scaffold_1003032 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2191 [Source:UniProtKB/TrEMBL;Acc:D7KC23] MATVKEDHCEDSHNYMGFALHQAKLALEALEVPVGCVLLEDGKVIASGRNRTNETRNATRHAEMEAIDQLVGQWQEDGLSPSQVAEKFSKCILYVTCEPCIMCASALSFLGIKEVYYGCPNDKFGGCGSILSLHLGSSQSSDIIEESQRGKGYKCRGGIMAEEAVSLFKCFYEQGNPNAPKPHRPVVQRERT >fgenesh1_pm.C_scaffold_1003033 pep chromosome:v.1.0:1:24452317:24453719:-1 gene:fgenesh1_pm.C_scaffold_1003033 transcript:fgenesh1_pm.C_scaffold_1003033 gene_biotype:protein_coding transcript_biotype:protein_coding description:F21D18.8 [Source:UniProtKB/TrEMBL;Acc:D7KC24] MALPPPYDPNFKLAFSLGSIAEIENHQDHDESASAAVVAVDLISSARFALKLDSVYTEYSAKYLVDNAGGSHRGRKLTVKDCLEFAINKGGIPKAEDWPRLGSVIKPPSSYKPDLVSMKGQVIEPKTMEEACDLLVHQPVGAKLHVFMPHIELQQDVSAIAGIYCGTSGEPASYVGLRDAIIIGAENIQGKSIATVKVWYKKKFIFLKVAMSRWFQLYSPDDTQKGIEPTHYLVDFCVPRLSIN >fgenesh1_pm.C_scaffold_1003038 pep chromosome:v.1.0:1:24474536:24476892:1 gene:fgenesh1_pm.C_scaffold_1003038 transcript:fgenesh1_pm.C_scaffold_1003038 gene_biotype:protein_coding transcript_biotype:protein_coding description:F21D18.5 [Source:UniProtKB/TrEMBL;Acc:D7KC31] MAKMINKTLVLTYIYLLIYILLSSGVILYNKVIAETTLLWVLSPKYFNFPLPITLTMIHMGFSGFVAFLLIRVFKVVSPVKMTFEIYVTCVVPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFLMAVVCGTDKARCDVFMNMVLVSVGVVVSSYGEINFNVIGTVYQVMGIFAEALRLVLTQVLLQKKGLTLNPVTSLYYIAPCSFVFLSLPWYVLEKPNIDVSQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESTITGLNITGYAIALCGVVMYNYIKIKDVKAIQPTSDSLPDRITKDWKEKNSSDGGSPRGLELNDEEAPLITSRLSHIGRTQLGNHTAV >fgenesh1_pm.C_scaffold_1003039 pep chromosome:v.1.0:1:24477491:24479956:-1 gene:fgenesh1_pm.C_scaffold_1003039 transcript:fgenesh1_pm.C_scaffold_1003039 gene_biotype:protein_coding transcript_biotype:protein_coding description:F11A17.20 [Source:UniProtKB/TrEMBL;Acc:D7KC32] MASDFPMSPHLEQIHGEIRDHFRALANGFQRLDKIKDSNRQSKQLEELAVKMRDCKRLVKEFDRELKDGEARNSPEVNKQLNDEKQSMFKFPASFVFWVTIEKHVESVIKELNSYVALRKTYLNTLGNKKVELFDTGAGVSSEPTAEENVQMASSMSNQELVDAGMKRMDETDQAIERSKQVVHQTIEVGTQTASNLKGQTDQMGRVVNDLDTIQFSIKKASQLVKEIGRQVATDKCIMAFLFLIVCGVIAIIVVKIVNPNNKDIRDIPGLAPPAQSRKLLYFRE >fgenesh1_pm.C_scaffold_1003041 pep chromosome:v.1.0:1:24492372:24493635:-1 gene:fgenesh1_pm.C_scaffold_1003041 transcript:fgenesh1_pm.C_scaffold_1003041 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVTEILTRLPSKTLMRFKCVSKLWSSLIHSPYLTSRFLTVPSQRIYICLSHYEDYRRSEILTLCPCATSPSSSFVVDHDLTIQNRGGQILQNLCGFMCHTFLKKPRMYNPTTRQLVTFPTIKLKPKTSAPDRSRWCYYFGHDPVNKQYKVLCSVGVPSMSSDHWVFDLKPGGSWKKVTLPLADFYPHLPLRQGLSLNGVIYYLAMIDWYNYVVVSFDIRSEEFKMIQVPLKEGDVRLHKAIEKVCLIEYGGKVTVFDHTYLEDKGTVNLWVLEDVRNKEWLGKTLVLQPCQLHLVKNVNLEVKGITQSGKVIMTPHYLFYKFYILCYDLQSNDMRVIKIKGIPYLWRPKKGECLMFMDQSESVIYLKT >fgenesh1_pm.C_scaffold_1003075 pep chromosome:v.1.0:1:24705770:24709208:-1 gene:fgenesh1_pm.C_scaffold_1003075 transcript:fgenesh1_pm.C_scaffold_1003075 gene_biotype:protein_coding transcript_biotype:protein_coding description:T1N15.24 [Source:UniProtKB/TrEMBL;Acc:D7KD33] MAKTASTHPGSGSEASRSGTPGDASGNKPQTDPTGVSATDTASQKRGRGRPPKAKSDSTQNGAVSAKASTKPSGRPKRNVAPAVPTTSVAAAVKSRGRAKRSTVTASVVTTATGEGSRKRGRPKKDDVGAPANKRGRKPNVEAVAAKPVRSSTRKSTSVAAPVAANLGDLKKKTTLLQKKVKEAAAKLKQAVTAIDEVQKLADGMVGFSQILLPRLFKIEKMNMQVDTVTRRKPRILLAASGSVAAIKFSNLCHCFSEWAEVKAVASKASLNFVDKPSLPQDVTLYTDEDEWSSWNKIGDPVLHIELRRWADVMIIAPLSANTLAKIAGGLCDNLLTCIVRAWDYSKPLFIAPAMNTLMWNNPFTERHLVLLDELGITLIPPIKKKLACGDYGNGAMAEPSLIYSTVRLFWESQARKQSDGTS >fgenesh1_pm.C_scaffold_1003077 pep chromosome:v.1.0:1:24714854:24716129:1 gene:fgenesh1_pm.C_scaffold_1003077 transcript:fgenesh1_pm.C_scaffold_1003077 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMISNLPRDLIEEILSRVPLKSMRAVRLTCKNWNTLSRTISESLTKMQITKSTRATREGESTIIMLMNYKLYLKSLVVDDNVDPYTEPKGKLTCLTLEHQVKISQVFLCEGLLLCILNDDTITTRLVADQNPIFSPCILRKGQYVHVQLLSRNKSSCRSHKLLRFIDYHRNYRGLHQFFWYEIYDFNSDLWTTLDVTPYWFIAISQSGVSLMGNTYWCARIRSGGYSDHIICFDFTRERFGPLLPLPFSVRDHSCVILSCVREEKLAVLFQHQMYYKYEVEIWITVKLETEMVSWSKFLRINTGPIIHTSFFIDEEKKVAIGFNDNRKQLTSLERLDTLENWILENMQSHTEPARGNNKKHQSSSETRLFDLNMLRLVAFEKAVSK >fgenesh1_pm.C_scaffold_1003100 pep chromosome:v.1.0:1:24989853:24991925:1 gene:fgenesh1_pm.C_scaffold_1003100 transcript:fgenesh1_pm.C_scaffold_1003100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase family protein [Source:UniProtKB/TrEMBL;Acc:D7KD76] METVVVIVGAGPAGLATSVCLNQHSIPNVILEKEDIYASLWKKRAYDRLKLHLAKEFCQLPFMPHGRDVPTFMPKELFVNYLDAYVSRFDINPRYNRTVKSSTFDESNNKWRVEAENTVTGETEVYLSEFLVVATGENGDGNIPMVKGIETFPGEILHSSGYKSGRDFKDKNVLVVGGGNSGMEICFDLCNFGANTTVLIRTPRHVVTKEVIHLGMSLLKYVPVTMVDTLVTTMAKILYGDLSKYGLFRPKQGPFATKLSTGKAPVIDVGTVQKIRGGEIQVINGGIGSINGKTLTFENGLEQDFDAIVFATGYKSSVCNWLEDYEYVMKKDGFPKTPMPKHWKGEKNLYCAGFSRKGIAGAAEDAMSVADDIRSILATIKK >fgenesh1_pm.C_scaffold_1003107 pep chromosome:v.1.0:1:25042994:25044562:1 gene:fgenesh1_pm.C_scaffold_1003107 transcript:fgenesh1_pm.C_scaffold_1003107 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNSFIRQSELEIGSEVYLLSKRIPSQEQEVTLDVSHMMTLEDELSEEEDQKDSSREAFDACLENHKLSREQREHIRAINVKRKRDFVYFEKVNGEIVNILDGLELHTEVFNAAEQNMIVDKVCELQEKGQKGELKRAFTARGKGRSTIQFGCCFNYRTSKAGNPAGILKHETVDPLPHLFKVIIRRLVKWHVLPPTCVPDCCVVNIYDEGDCIPPHIDNHDFLRPFCTVSFLSECNILFGSNLKVKETGEYTGGSYSLPLPVGSVLVLNGNGADVAKHCVPEVPTKRISITFRKMDESKWPVWFTPEPYLQGIQPLPYELKSPGSSDHVWSSE >fgenesh1_pm.C_scaffold_1003121 pep chromosome:v.1.0:1:25153823:25155179:-1 gene:fgenesh1_pm.C_scaffold_1003121 transcript:fgenesh1_pm.C_scaffold_1003121 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEDYTAKSKLSESSTSTVWLAKHKLTGEEAVMKCFDLSKLNRNLRTCLNNELEFLSSVDHPNIIRLLHVFQDEEFLVMVMEYCDGGTLSSYIQRHGRVEEDIAKRFLKQIGAGLEIIHDNHIIHRDLKPENILIVGSGDDLVLKIADFSLARKLLPGKYLETVCGSPFYMAPEVLQFQRYNEKADMWSVGAILFELLHGYPPFRGNNNVQVLRNIKSSTSLPFSRLILQQMHPDCIDVCSRLLSINPVTRLSFDDFYNHKFLRL >fgenesh1_pm.C_scaffold_1003128 pep chromosome:v.1.0:1:25252110:25255241:-1 gene:fgenesh1_pm.C_scaffold_1003128 transcript:fgenesh1_pm.C_scaffold_1003128 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQSPENSPPAPPPPSPPSPPSSNDQQTTSPPPSDNQETTSPPPPSSPDLAPPPQQQQESPPPPSPDNSFDGSSLSPPPPLSDSSSSSQSQSPPPPSPSPPQHSDNNGNKGNNNENNNGNDGSNGDGGNKNTSHTPPPASKTSDHSSQSPPKSLAPPTSNGGSNSSSNDGLNIGAVIGLVAAAGLLFIVMILLCVCCFRKKKKKSKLDQMPYYGSNAYAAGKTGGDQYYNQKVATQQHYNQNDHIVNLPPPPGSIGTNCVNSPPQPPPAGNWQPMPSPPAPVSGGANVIHSGEMSSNFSSGPYAPSLPPPHPSVAMGFNNSTFTYEELAAATQGFSKDRLLGQGGFGYVHKGILPNGKEIAVKSLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCSNEGGQRLLVYEFLPNDTLEFHLHGKSGTVMDWPTRIKIALGSAKGLAYLHEDCHPKIIHRDIKASNILLDHNFEAKVADFGLAKLSQDNYTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPVDLSGDMEDSLVDWARPLCMSAAQDGEYGELVDPFLENQYEPYEMARMVACAAAAVRHSGRRRPKMSQIVRTLEGDASLDDLDDSVKPRQSSSGGEGSSDYEMGTYGAEMRKFRKVTLDSRDYGASSEYGATSEYGLDPSSSSSEEMHIGGSTRKTTTTNRGI >fgenesh1_pm.C_scaffold_1003130 pep chromosome:v.1.0:1:25266062:25266399:-1 gene:fgenesh1_pm.C_scaffold_1003130 transcript:fgenesh1_pm.C_scaffold_1003130 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQRYLVVFIVLFSFLLFVNLSEGRTGGVAEEYWKKMMKNEPLPEPIKELLNNPFRTGQERFIQNFDTKSVVLIYHNPNE >fgenesh1_pm.C_scaffold_1003137 pep chromosome:v.1.0:1:25443052:25452690:-1 gene:fgenesh1_pm.C_scaffold_1003137 transcript:fgenesh1_pm.C_scaffold_1003137 gene_biotype:protein_coding transcript_biotype:protein_coding MDEELLELQRQFEFAQQVNSSSSLSTSDYQMEMSSNWFRSYKSSASSNLDLLHTVTGKEYITQEQLKKEIASEISKLGRVSVIDLADTIGVDFISCAQDVVLSDPELMLVQGEIISQRYSDSIAEEINERLQECSHISVAELAGQLQVGIRVAAVKARLEGGQLYTPAYVARVTAMVRGASRGIFVPSNLSFVVDAVASNSFFHSIFDRLLKEEEMLGSLRAGTHWTPSVFAVAQKECVDSFFSQNSYIPYETMQKLGISLKPFSSYRYPDGKPLAAVFIHSSMIEMLDSTTEDAIEQNSWIDSLSVLPASFTSQDANKMLLLCPSVQSALKAEKALILGESYVLSNGFIKGIYDQIEKEAEAFSIQASNASLIDHSSKSSESVESIPASTNTDKGSKKKKGKSVSMKTATNETVSDDEEDARPKSKRNQKKGRGSSKLDSKAGGKKESVKAQEGNNFIPPDEWVMKKIVDFVPEFEDEGMENPDSILKHLADLMRPMLINSLKERRKKIFTENADRMKRLMENLQKKLDESFLNMQLYEKALELFEDDQSTSVVLHRHLLRTTTATIADTLLHDLDILNKLKNGTEVGDSKTQDTVLLDSSERTALICKFDHYSNRVDAFMTTFRDLAEESGLVLKKLDKELERTLLHAYGKCMILDHCFSSLGDVLCTWDLTSQVSTESDPVALLAKVVSLLYIKAHNKALQAPGRAIAAAISHLKDKLDESAYKTLTDYQTATVTLLALMSASTGEEHDCSSDRILTKRELLESQMPVLRSLVLGDSQPQQS >fgenesh1_pm.C_scaffold_1003139 pep chromosome:v.1.0:1:25477673:25478291:-1 gene:fgenesh1_pm.C_scaffold_1003139 transcript:fgenesh1_pm.C_scaffold_1003139 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:D7KEV3] MVEVPGSVGTTASLSLRLGQTVLAFGSLLFMTIGVRFYQFTAFCYLVTIMSLAIPWNLTLAMVDIYCVILQQPFQKPRILLAVSIGDWVVSVLVLASASSAASVVDILRSNESSCPPTICNRYQFAATLAFLTWFLSLSSSLFNLWLLPSLI >fgenesh1_pm.C_scaffold_1003143 pep chromosome:v.1.0:1:25500692:25501065:-1 gene:fgenesh1_pm.C_scaffold_1003143 transcript:fgenesh1_pm.C_scaffold_1003143 gene_biotype:protein_coding transcript_biotype:protein_coding MSKATVIAIFMVVLVLGMVTKETQGHTCVDYFEVTLPDVCEANWCTSDCLKVHNGKGTCWQKFCQCIYDC >fgenesh1_pm.C_scaffold_1003147 pep chromosome:v.1.0:1:25597595:25598119:-1 gene:fgenesh1_pm.C_scaffold_1003147 transcript:fgenesh1_pm.C_scaffold_1003147 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSVKNRFEQMMTFKSVFGFLFDSLKLKSLDESELKEHCINFHKTFSHDNVSDVDFNDFFSELKVLQMCLSQVSMTPSEVLEFVENVGCYPNVSIAYRILLTTPVTVASAERSFSKLKLLKNYMRSSMSQQRLNGLAILCIEKSLLESIDFETVIHEFASTRARQNRFFIPK >fgenesh1_pm.C_scaffold_1003150 pep chromosome:v.1.0:1:25657081:25661099:1 gene:fgenesh1_pm.C_scaffold_1003150 transcript:fgenesh1_pm.C_scaffold_1003150 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7KEX5] MHTNRRSSGRITSAATQRRLKPEPEPTVKKFIKVILLKTIKEKMMKVPARFVRFGPKLTDNVTLETPVGFKCSIRIRRIGDEVWFEKGWSEFAEAHFLSDGHFLFFHYKGDSCFRVVIFDVSASEIDYPLDKVYVIESDADDDDKEEGLPDLKLKKKPRVNIKSYNTW >fgenesh1_pm.C_scaffold_1003160 pep chromosome:v.1.0:1:25766688:25768258:-1 gene:fgenesh1_pm.C_scaffold_1003160 transcript:fgenesh1_pm.C_scaffold_1003160 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSENRDGGSASVRVLNNRSEDLDTISSLPDVILQQILSLIPTKYAIRTSILSKRWRHVWSETPSLCFDDCYKVDADSIDKTLAHYMSRKIMNFQLCTTYGVNLPYNEWIKFAMSRNVENLFLDFGYLKYEFPDSLYINSSKLSLRCCKFSDESNANIISGCPILESLKLEFCDELRVLDLTKSPSLKILEIVPKLDIRFCALDNLNADFLQDMVLKILDKLQNVDKLTFGENFLKVRGVPFPMFKAKALTLETMISQYVTPDVRKYLRGLNLDHRWIFGNIFHWNVESKHVASFMELMLKRTKTLEKMVVRLESYLDGRGFEELLEMVPMLSRNNNVSIVLSSTNPRHSV >fgenesh1_pm.C_scaffold_1003183 pep chromosome:v.1.0:1:25966660:25970495:-1 gene:fgenesh1_pm.C_scaffold_1003183 transcript:fgenesh1_pm.C_scaffold_1003183 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTLNQFSPMTKLTVPKHKFMQLRRDELRCYERSKRKFSLHDDEDPSSKRRCLEYLREKEDDVVFVEPPRLKSSELSIRQRSTSDEAPSQTVKHKISDDDEIANDAVFYKPWLDNLRLKRQRLGDDEDPSSNSSSPSEDDIAALTLIQFSCDKRQTQTQSQPQPQTQTTQPQAPPKFDLFECSVCGKGFTSYQALGGHKASHRVKQPQPLLENADADAGEKTRSKMLSPSGKIHKCDICHVVFATGQALGGHKRRHYEGVLGGHKHGNAEVVLKLSPNKNGSIVTKVLDPGQSLMVSDNVLSGHNVLDLKLSLSESDGVLVSDKGSQDQVVREEDKCSPSSNGSIVTNVSDPEQSLRRLIDLNNLPSPEFDESGGGDVEEVENNQEVAFLTDCSDLVKMVSSPTEWPAFSVKRKGSLIVIVMALTTQLEQLLTKANQRLKVIEPIGLEEEGEEDDECVEIGLESCEQQSIPERFGNDKDPSSDQRVLESIRREEDDAVLIEPWLKRRELSQRQGLGDDEDSFAEHSFLQVEECFGDDEDSFSESNRQEEEKEEARFSNDDVFLELLLDGIERSKTKPNRSSSSHDDDDDSSFTHTGLESIRQEEEYRAVIRPWLVSVAPNQQRFGDDEVSSSSSLSEDETAALCHKRQSQTHTQPQPQMLPKSDPFKCSVCGKEFPSYQALGGHKAGHRVKPPVENATGEKTRPKRLAPSGKIHKCSICHRLFPTGQSLGGHKRLHYEGVLSGHKRSQDEEAGSQGDKSSPSGNGSVVTHVSDPKQSLKGLIDINTVPSPEFNEPGDKDIVEVESALLANKLQQERGITNTNRIKGFHFFNFM >fgenesh1_pm.C_scaffold_1003188 pep chromosome:v.1.0:1:25993383:25993967:1 gene:fgenesh1_pm.C_scaffold_1003188 transcript:fgenesh1_pm.C_scaffold_1003188 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISQSILMALTVTVNKYASSNVQAVRRNDTKRDSLTAPVADLGRRNILFSSTSFIATALTSSDQLLQKYLKKTEENKAKNDKERLDSFYKRNYKDYFEFVEGSIKGKTEAELSESEKRILEWLKANK >fgenesh1_pm.C_scaffold_1003199 pep chromosome:v.1.0:1:26133578:26137296:1 gene:fgenesh1_pm.C_scaffold_1003199 transcript:fgenesh1_pm.C_scaffold_1003199 gene_biotype:protein_coding transcript_biotype:protein_coding MASESNSFKKRDRLLEIEVAVRKWWEDEDVFRAESRDHIPKPGEKFFSTFPFPYMNGYLHIGHAFSLSKVDFASAYHRLRGANVLLPFGFHCTGMPIKASADKLSREIQQFGNPPVFPAQDNQAPQVQEESSDTPVALPGQFKGKKSKVAAKSVGLTDSEIARFQDPYEWLYYFPPLAVEDLKAYGLGCDWRRSFVTTDVNPFFDAFVRWQMRKLKSMGKIVKDCRYTVFSPLDGQPCADHDRATGEGVQPQEYTLIKMEVVKPFPLKLGSLEGKRVFLAAATLRPETMYGQTNAWVLPDGKYGAYEISETDRSALNLAYQNFSKIPQKPSCLVELTGYDLIGLPLRSPLSVNEIIYALPMSTILTNKGTGIVTSVPSDAPDDYMALHELKTKPDSRAKYGVKDEWVPSDIVPIINIPEFGDKAAEKVCLDLKIQSPNDKDKLVEAKRLIYLKGFTEGTMLVGEFVGRKVQEIKPIIKKKLIESNEAIIYREPEKSVMSRSGDECVVALTDQWYITYGEAEWRKMAEECLSKMNLYSEETRHGFEHTLSWLNQWACSRSFGLGTRIPWDEQFLVESLSDSSLYMAYYTVAHIFHDGDMYKGSKSLIRPEQMNDEVWEYLFCDGPYPKSTDIPSAVLSKMKQEFDYWYPLDLRVSGKDLIQNHLTFFIYNHTALMESRNWPRGIRCNGHIMLNSEKMSKSTGNFRTQRQAIEEFSATATRFSLADAGDGVDDANFVFETANAAILRLMTQFKWMEDVLAAESSLRTGPPSTYADKVFENDMKIAIRLTEKAYKDCLFREALKNGFYDLQAARDEYTLSCGSDGNMNHDLILNFMDVQTRLIEPICPQFAEYIWRKLLKKEGSVVTAGWPTSNEPDLVLKSANKYLQDSIVLMRKLLPKQLLGSKKAAKKGAQVAAVPAGKLKGLVYVNEQFDGWRAHCLEILQSKFNQQTCRFAPDAEIRAELSEILQKEGLAENVYMPFVKFKKNEAISIGTQALNLRLPFGEIEVLESNKDLIKRQVGLEEVEVYSASKPDDVSKAGPHASLLKKNPPSPGNPTAIFVAR >fgenesh1_pm.C_scaffold_1003201 pep chromosome:v.1.0:1:26151418:26152887:1 gene:fgenesh1_pm.C_scaffold_1003201 transcript:fgenesh1_pm.C_scaffold_1003201 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQSQFLMNLSHGLSSQRNLSADNRVSSSSCQITRKNRSWAFPVSLKVEKFQLQRGTRRRGSPCLVKNGIGDADGIIIVDHGSRRRESNLMLEEFVKMFKDKTGYPIVEPAHMLCLLFADVHTLHQELAEPSIKDAFSLCVQQGAKRVVVSPFFLFPGRHWHKDIPSLTADAAKEFSGISYLITAPLGLHNLLMDVVNDRIQHCLSHVEGDADECLVCAGTNKCKLYNSS >fgenesh1_pm.C_scaffold_1003206 pep chromosome:v.1.0:1:26203153:26204037:-1 gene:fgenesh1_pm.C_scaffold_1003206 transcript:fgenesh1_pm.C_scaffold_1003206 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQLQEPLTNYPKPVLSKEEEQVDEEMMSLQALRITNISLAFPMVFKAALELGVLDTMAAAGNNTWQRRIAFALPIKSTNPEGPVLLDRMLRFLVSQPLHLEVSYERPMGYMQLNRPVCTFFLKRGNESGSLMFLFKLHQSQVFLKTWYDAIQEEKDAFSCAHGMRVFEYIGLDEQFAGMFNHAISLQRGFKDVNTLVDIGGGLGPILRVDLRD >fgenesh1_pm.C_scaffold_1003210 pep chromosome:v.1.0:1:26251827:26253848:1 gene:fgenesh1_pm.C_scaffold_1003210 transcript:fgenesh1_pm.C_scaffold_1003210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monosaccharide transporter [Source:UniProtKB/TrEMBL;Acc:D7KFY1] MAGGAFVSEGGGGGNSYEGGVTVFVIMTCIVAAMGGLLFGYDLGISGGVTSMEEFLSKFFPEVDRQMHEARRETAYCKFDNQLLQLFTSSLYLAALVSSFVASAVTRKYGRKISMFVGGVAFLIGSLFNAFATNVAMLIIGRLLLGVGVGFANQSTPVYLSEMAPAKIRGALNIGFQMAITIGILVANLINYGTSQMARNGWRVSLGLAAVPAVVMVIGSFVLPDTPNSMLERGKYEQAREMLQKIRGADNVDEEFQDLCDACEAAKKVENPWKNIFQHAKYRPALVFCSAIPFFQQITGINVIMFYAPVLFKTLGFADDASLISAVITGAVNVVSTLVSIYAVDRYGRRILFLEGGIQMIISQIVVGTLIGMKFGTTGSGTLTPATADWILAFICLYVAGFAWSWGPLGWLVPSEICPLEIRPAGQAINVSVNMFFTFLIGQFFLTMLCHMKFGLFYFFGGMVAVMTVFIYFLLPETKGVPIEEMGRVWKQHPFWKRYIPDDAVIGGGEENYVKEV >fgenesh1_pm.C_scaffold_1003225 pep chromosome:v.1.0:1:26395789:26399570:-1 gene:fgenesh1_pm.C_scaffold_1003225 transcript:fgenesh1_pm.C_scaffold_1003225 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSMLDSSWSCRLSSKVSPSPTQKLASSLTERVPISLNLRFDHSIRAYSVRALKCRSEDFVERSSPFEVKKELEICFDLVHRLGRGIVYLGSARIPPNHSHYLQAQELSREAANLLDCTTWSGAGPGLMDAVTKGALEAEKPVGGIKIEKEAGEWTASKFHPYLPPQNYHTCRKHGLVDAVIRNSVSDKTAIIALPGGIGTLDEMFEILALIQLKRIGSALLVPFIVMNYDSFYSKLLEFIETCENLGTVSKGEVSALWKVCNNNFEALTYLAEFYDLPYDPVMESPQSEASIVNGSIHLNGSGETKTKNLVMSSDSDSFIGKLEVFVHQARDIHNICIYHKQDVYAKLCLTSDPENSLSTKIINGGGRNPVFDDTLQFDVKNPDCSLKCEIYMMSRVKNYLEDQLLGFTLVPLSEVIVRNGKLEKEFSLSSTDLYHSPAGFVELSLSYAGDSPDVMHIPAVPTADETELAPIEFDESEFLDPKIVCENNQMVSKYFSTTCSDSDEFASSETGFVEVNSIQSAVVDTAVEEAGPTNTVSTNEISSPSIAVSSASSGTHDDSKQSSEGNNSGSEQEAKKPTDIIKNGDLDKTGDEAVVKPVLTANIEPEHKVVQQDIVDMYTKSLQQFTESLAKMKLPLDIDSPTQSENSSSSQQTPKSASSRVFYGSRAFF >fgenesh1_pm.C_scaffold_1003227 pep chromosome:v.1.0:1:26401521:26403109:-1 gene:fgenesh1_pm.C_scaffold_1003227 transcript:fgenesh1_pm.C_scaffold_1003227 gene_biotype:protein_coding transcript_biotype:protein_coding MPILEKSSAIYDKRLVVKKLFARQQHEGFGAVVRRSIGRFEFRYFDPFLVLDEFSVSAPAGFPDHPHRGFETVTYMLEGEILHEDCEGHKGVIREGGLQWMTAGKGIVHSEMPSSNSNGITHNKGLQLWINLSSKHKLVEPSYQEIESKDIAETEKDGVRVRVIAGEWNGVKSKICTRTATMYLDFTLSPGSRISQPIPFHWNAFVYVLQGQGHFGDSKLQHSAAAEHHLLVLGLGGDRLEAWNGSDSGLPLRFILVAGEPIGEPMVQFGPFVMNTQEEIDETIDDFENFRNGFEKARHWKSQAASALGLF >fgenesh1_pm.C_scaffold_1003242 pep chromosome:v.1.0:1:26570777:26571220:1 gene:fgenesh1_pm.C_scaffold_1003242 transcript:fgenesh1_pm.C_scaffold_1003242 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSIHATWVTKLTLSFLIFSHSFSSFSLIISPELSRVAKTGEPKTEKPKSTITSSMDDKIASKIPAFLQMGLCKAAILLAQELNFLCGYLQPQKLTLVERASKSGEGAERL >fgenesh1_pm.C_scaffold_1003254 pep chromosome:v.1.0:1:26736124:26744777:1 gene:fgenesh1_pm.C_scaffold_1003254 transcript:fgenesh1_pm.C_scaffold_1003254 gene_biotype:protein_coding transcript_biotype:protein_coding MANVGNTSGSTRFEELCREITQLKDEIKNLVREDGLLNHSIGRPDFGAIEKSKKKLTDKRMKLEELEKRKEFARNQFQFKCAELVRNYEESQPKKVLEEKKMDLEKYYEKLKEVMKKIVAFAAKIG >fgenesh1_pm.C_scaffold_1003256 pep chromosome:v.1.0:1:26881970:26884509:-1 gene:fgenesh1_pm.C_scaffold_1003256 transcript:fgenesh1_pm.C_scaffold_1003256 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA cap guanine-N7 methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KGW5] MKRGNFESPSSSDLPSSSRFKLNPEGDSEFLKDDDSTKNFARKVADHYSRRTNQTLEERESSPIIHLKKLNNWIKSVLIQLYTRRDDAVLDLACGKGGDLIKWEKAMIGYYVGIDIAEGSIEDCRTRYNGDADHHHRHRKYSFPARLLCGDCFEIELDKILEEDAPFDICSCQFAMHYSWTTETRARRALSNVSALLRPGGIFIGTMPDANVIIKKLREAEGLEIGNSVYWIRFGEEYSQKKFKASSPFGIKYVFHLEDAVDCPEWIVPFHVFKSLAEEYDLELVLVKNFHEFVHEYMQKPEFLELMRKLGALGDGNRNKSTLSADEWEAAYLYLSFVFRKRGQSDRAGTRTAGRNNNGKMNISKDDILYINNEV >fgenesh1_pm.C_scaffold_1003276 pep chromosome:v.1.0:1:27064714:27067375:1 gene:fgenesh1_pm.C_scaffold_1003276 transcript:fgenesh1_pm.C_scaffold_1003276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7KHQ9] MSEDGYNTDFPRNPLKIFFSDFRSVFKLDELGLEIVRIALPAALALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQVSRIAIFPLVSITTSFVAEEDACSSQQDTVQDHKECIEAGINNPTEETQELIPEKNKDSLSDEFKTGSSIFSISKPPAKKRNIPSASSALIIGGFLGLFQAVFLISAAKPLLSFMGVKHDSPMLRPAQRYLSLRSLGAPAVLLSLAAQGVFRGFKDTTTPLFATVIGDVTNIILDPIFIFVFRLGVTGAATAHVISQYLMCGILLWKLMGQVDIFNMSTKHLQLCRFMKNGFLLLMRVIAVTFCVTLSASLAAREGSTSMAAFQVCLQVWLATSLLADGFAVAGQAILASAFAKKDYKRAAATASRVLQLGLVLGFVLAVILGAGLHFGARVFTKDDKVLHLISIGLPFVAGTQPINALAFVFDGVNFGASDFGYAAASLVMVAIVSILCLLFLSSTHGFIGLWFGLTIYMSLRAAVGFWRIGTGTGPWSFLRS >fgenesh1_pm.C_scaffold_1003283 pep chromosome:v.1.0:1:27099555:27103168:1 gene:fgenesh1_pm.C_scaffold_1003283 transcript:fgenesh1_pm.C_scaffold_1003283 gene_biotype:protein_coding transcript_biotype:protein_coding MERSNESLMMRKSKWQYPQTPRILQLPRRHSVRRNAAKGLKTTLSSSSQKDRRVKLEVLFHQERTFDRGASIVMVNEEEEGRRRGKVADGREIGGFPSSSAADEVEEAKWRFQAEMLRSECNLLRIEKEIALKKMERRKKRMERTLRSAVLTLLSGKQRISEGKKESKVLEDEISYLVEKLNELKSPKVKDMEARNFRHNFDKQASVLRRELERFDEAVSEEVCVKGIQKMAEASFSVHSDQSILRNNNGNIDTLSSKMEALSKGVLLERMEKEYGSSLVAPSSSSVQDMYSKAIKAHEEKKDCSRHCKAVMRKIADEVRAEAEQWSQMQEMLNQVRKEMEELQSCRDFWQNRALESDAEIQNLHSSVEGWRRKALSSEAKLKNLQEEVCELQEEIKSMRKEDKLELEKNKLPSESEKRVLICRLKENRHSNNGDWSKYKEGRTTKPSSSRPPMREVKNSSATARQRNSNVIKIEEEKTVCVTGASGYIASWIVKLLLLRGYTVKASVRDPNDPRKTEHLLALEGAEERLKLFKANLLEEGSFDSAIDGCEGVFHTASPFYHDVKDPQAELIDPAVKGTINVLSSCLKTSSVKRVVLTSSIAAVAFNGMPRTPETIVDETWFADPDYCRASKLWYVLSKTLAENAAWKFAKENDLQLVSINPAMVIGPLLQPTLNTSAAAVLSLIKGAQTFPNATFGWVNVKDVANAHIQAFENPTADGRYCLVERVAHYSEVVNILHDLYPDFQLPEKCADEKIYIPTYKVSKEKAESLGVEFVPLEVSIKETVESLRDKGFIRF >fgenesh1_pm.C_scaffold_1003295 pep chromosome:v.1.0:1:27173933:27177105:1 gene:fgenesh1_pm.C_scaffold_1003295 transcript:fgenesh1_pm.C_scaffold_1003295 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGGPTATIIERIITLEPENAFKIIGYFLLQDIEDCDLIQLAFGTDQSSPRNEFLDFSRNPNPLSPSLTSNTFGYNPDFRHEGSSQQQQQQWSNHFPFANLHQRSFSANEPGYQFLPGGLVDGFGSPGGLGSPSERISPNQQQRMIAAHGSPMSNIQGSGQFGVEGGFGSPSEQKRMIAPLFMGDFGSPMSNINFGPVVDVRIPNQERRMFGFVTFANAETVTTVLAQGNSHLIGESAQQQLNQLLERENLLHHPRLSGMDPRDQDESRFGPMMFRNPTQEMRQRRNVQADLQQAIEVEDQRRRLLNLKLPDMENKSIHHHQRSPSIASPAHFPSQVREGDSGIGEKDLEQVATSNEEHQGQERSLENTLPDSSFGSSNKSGQTSRV >fgenesh1_pm.C_scaffold_1003326 pep chromosome:v.1.0:1:27588345:27589857:1 gene:fgenesh1_pm.C_scaffold_1003326 transcript:fgenesh1_pm.C_scaffold_1003326 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 27 [Source:UniProtKB/TrEMBL;Acc:D7KIM3] MGRAVRWFKGFFGMKKSRERSHVSGGDSDKGGDHSGDFNVPRDSVWLGTFLTDTEKEQNKNAIAVATATAAEAAAAVVRLTSEGAGDLITREERWAAVKIQKVFRGSLARKALRALKGIVKLQALVRGYLVRKRAAAMLQRIQTLIRVQTAMRSKRINRCLNKEYNNTFQPRQSLDKFDDAACDERRPKIVEMDDIYMRRSSSRSKSRQVHNIVAMSDYEDDFVYKANDLELSFSDEKWKFATAQNTPRFSHHHSANNRYYVMQSPAKSVCGNTLCDYGRSVSTPGYMEKTKSFKAKVRSHSAPRQRSERKRLSLDEVMASKSSVSGVSMLQQLPPRYSCSYDPF >fgenesh1_pm.C_scaffold_1003327 pep chromosome:v.1.0:1:27590426:27592489:-1 gene:fgenesh1_pm.C_scaffold_1003327 transcript:fgenesh1_pm.C_scaffold_1003327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KIM4] MKLLRRRFFNSVNTITRPNRRQYATKYVAKVTSSSPSGRSLSAEVSLPNPLPADVRGYPLPRRHLICRATNLIIGATNLSDAFSDLSDYLSSLTLSLTPDEASEILKSLNSPLVAVEFFKFVPSLCPNSQNDPFLYNRIILILSRSNLSDRFDRVRSILDSMVKSNVHGNISTVNILIGFFGDTEDLQMCLRLVKKWGLKMNSFTYKCLLQAYLRSRDSSKAFDVYCEIRRGGHKLDIFAYNMLLDALAKDEKACQVFEDMKKRHCRRDEYSYTIMIRTMGRIGKYDEAVGLFNEMITEGLTLNVVGYNTLMQVLAKGKMVDKAIQVFSRMVETGCRPNEYTYSLVLNLLVAEGQLVRLDGIVEISKRYMTQGIYSYLVRTLSKLGHVSEAHRLFCDMWSFPVKGERDSYMSMLESLCGAGKTIEAIEMLSKIHEKGVVTDTMMYNTVFSALGKLKQISHIHDLFEKMKKDGPSPDIFTYNILISSFGRVGEVDEAINIFEELERSDCKPDIISYNSLINCLGKNGDVDEAHVRFKEMQEKGLNPDVVTYSTLMECFGKTERVEMAYSLFEEMLVKGCQPNIVTYNILLDCLEKSGRTAEAVDLYTKMKQQGLTPDSITYTVLERLQSGSHGKSRIRRKNPITGWVVSPL >fgenesh1_pm.C_scaffold_1003332 pep chromosome:v.1.0:1:27667396:27669912:-1 gene:fgenesh1_pm.C_scaffold_1003332 transcript:fgenesh1_pm.C_scaffold_1003332 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIYVFLFLSSAIIDSDGFAMAQKLEAKGGKGGKEWDDGASHDNVAKVYIRGGLEGIQYIKFEYVKDGQSVEGSIHGVLGSGFTHMFEIDYLNNEHIVSVDGYYDKSGVMQALEFKTNRKTSEVIGYPKGNKKFSLGGVNGKMITGFHGSAGKALNSIGAYLTKVPPTKSELVGGWGGEYWDDGPNYDGVRKVYITYISTCIRSINIDYEKDGQVVTSSHGNKDGETEEFAVDYPNESLISVEGTYDSILFPDHYVLVITSLSFKTSKGRISPTYGVVSGTKFVLESQGNAIVGFHGRNGGAFDAIGVYFSPM >fgenesh1_pm.C_scaffold_1003335 pep chromosome:v.1.0:1:27715628:27719717:-1 gene:fgenesh1_pm.C_scaffold_1003335 transcript:fgenesh1_pm.C_scaffold_1003335 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHB-15 [Source:UniProtKB/TrEMBL;Acc:D7KIP0] MAMSCKDGKLGCLDNGKYVRYTPEQVEALERLYHECPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVHENSYFRQHTPNPSLPAKDTSCESVVTSGQHQLASQNPQRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCTGVAARACGLVGLEPTRVAEIVKDRPSWFRECRAVDVMNVLPTANGGTIELLYMQLYAPTTLAPPRDFWLLRYTSVLEDGSLVVCERSLKSTQNGPSMPLVQNFVRAEMLPSGYLIRPCDGGGSIIHIVDHMDLEACSVPEVLRPLYESPKVLAQKTTMAALRQLKQIAQEVTQTNSSVNGWGRRPAALRALSQRLSRGFNEAVNGFTDEGWSVIGDSMDDVTITVNSSPDKLMGINLTFSNGFAPVSNVVLCAKASMLLQNVPPAILLRFLREHRSEWADNNIDAYLAAAVKVGPCSARVGGFGGQVILPLAHTIEHEEFMEVIKLEGLGHSPEDAIVPRDIFLLQLCSGMDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSAKQEVSSPNRTLDLASALEIGSAGTKASADQSGNSTCARSVMTIAFEFGIESHMQEHVASMARQYVRGIISSVQRVALALSPSHISSQVGLRTPLGTPEAQTLARWICQSYRGYMGVELLKSNSEGNESILKNLWHHTDAIICCSMKALPVFTFANQAGLDMLETTLVALQDISLEKIFDDNGRKTLCSEFPQIMQQGFACLQGGICLSSMGRPVSYERAVAWKVLNEEENAHCICFVFINWSFV >fgenesh1_pm.C_scaffold_1003385 pep chromosome:v.1.0:1:28099948:28102330:-1 gene:fgenesh1_pm.C_scaffold_1003385 transcript:fgenesh1_pm.C_scaffold_1003385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7KJK8] MATWKSWIVAEKARRCFRTMFFVAAMMASLLASSLPVLITVADVVVPCLIVSSITCLTCYSPAQHFRQYSFKSSLIDVPLVSLLRSLFIICLSWICEDTRLAYGLYFETVMLFSFGGILLLLVKTCVFTVNSHLEEAKVYYFKISWGMPVLLLSSAVFGIAHVVVAYRKSCGARRKLMYHKIDQEAVLSCKSGFSGYKKAHRQSFTRSNCKILTYAGEFRQKSFRGTSLDREELLQPRLLANADSLFIMIQGLYVHYKQCTSPSVSSFVIVSDSAADMNARRSRLLDKQMSNLISQTHSSHLHRSYTIQPDRSSLYDPLLASYQTTPMSLFNKDDVNHINSMNPGDDLQKDGNTSIVLVHGFGGGVFSWRHVMGELSLQLGCRVVAYDRPGWGLTSRLIRKDWEKRNLANPYKLESQVDLLLSFCSEMGFSSVILVGHDDGGLLALKAVERMQASTSKYNITIKGVVLINVSLSREVVPAFARILLHTSLRKKHLVRPLLRTEITQLVNRRAWCDTTKLTTDVTMLYKAPLCLEAWDEALNEISKLSYEMILSPQNASALLKSIGDLPVLVVAGAEDALVPLKSSQVLASKLTNSRLIEIAGCGHLPHEECPTTLVAALGSFICRLIPKLLDS >fgenesh1_pm.C_scaffold_1003416 pep chromosome:v.1.0:1:28295327:28300684:1 gene:fgenesh1_pm.C_scaffold_1003416 transcript:fgenesh1_pm.C_scaffold_1003416 gene_biotype:protein_coding transcript_biotype:protein_coding MSASILLGKLNGDESSTVTTEEDEEAVFSGEEWSGENLYCFYFVKQFAYDDPEIKAKIDEADNEIYHCNTNRIHIANRLKSKRAERLSLVASMENLISSLYEYNAVGKDQEVVTKRIYSLSERLSEIKMEIELLDVQMACVLDQRDKAVERIKFLRIQRDKGNAAFYRSRVVMKKAIELAASGNVRDLEELADFEVEKFMSRWNNDKAFREDYKKRILPSLNERKLRRDVQIRDLEGNVDTENGNETVEKKAIEFKRFSTEEESDDVMDFDIPVYEKLGKEEDEIDEETLKEKKREEQLEKARLAMERKRKLHEKAAAKAVIRVKKEAEKKLKELEKRAKKKKKAAFNSSSMNIDRTTETVTEASEPEKEKLLNGRSVFPKQRSFCYRHHGKGNDAVLKAIIKRRKAYRLWVWTVSSSAIVLSLTLLVVFLYRREQAAMAVTSLAPPWIILRQTFRSVAASSYLHSNHKTLISNLSIPASFPLRHSALRRCYIAEAIKGDVDFLLKGVGDQAVAKEVKQILEMARRAASKREVLHTDFLTPPIVKESVLVLGKFADVKIVAQGGYPEAERCRISIGHPDVLTNDPDIVAALSITGNFGFQPCSHGDFLGAILGTGISREKLGDILIQVGNVGVTCTKIPLLALEYEPPRTNSFKTVEASLRIDAVASAGFKISRSKLVDLISNKDVRVNWATVTKNGTTVKTGDVVSVSGKGRLKIGEINETKKGKFAARPFIRFYAIANVVARDVTSHSFLDLLVVGN >fgenesh1_pm.C_scaffold_1003423 pep chromosome:v.1.0:1:28378890:28380869:1 gene:fgenesh1_pm.C_scaffold_1003423 transcript:fgenesh1_pm.C_scaffold_1003423 gene_biotype:protein_coding transcript_biotype:protein_coding description:F12M16.10 [Source:UniProtKB/TrEMBL;Acc:D7KKF1] MFLWIELYQRGPAASEMGQRNRTVDLEMEQQQSQASLQPEPCILLGSFPQQPDNNNIPAMVAHVPNLEAHSLQDPTYDNSAMFYGLPQYHHHPHQRAPTNFYVPYVAFQAPPGQLPSSSSHGVVGVSPDHEYERNAHFMDHTRGTYKRKNAEGIPGQPQYLSTLAAPFNTPETIAPFVGTRNRPGAVTVNPVLPPHAPNNFIQGNYAGHHPFPPPGSIWYDQHHGRSDGSPSFWPTPYMHGSNIVAGSIESSSRNPTSFMYPSQLNPRDHYYSHHHHPAPPPVQGMRGQNATLYPHTASSASYRVPPGSFAPQNTMNNGPSGSEMGSSHMGLVQPTGFRIYQHHQRDDSVPVATLRQHRGGVPRLRVMPDDEVALLEFGDFLGGSGNNHIDHHRDMRLDIEEMSYEELLALSERIGTVNTGLPEEDVKNHLKTRTCSGINLEKESSSPRTKDLETEPCTICQESFMNEEKIATLDCGHEYHAECLEKWLIVKNVCPICKSEALVMEKRKV >fgenesh1_pm.C_scaffold_1003424 pep chromosome:v.1.0:1:28381382:28383913:-1 gene:fgenesh1_pm.C_scaffold_1003424 transcript:fgenesh1_pm.C_scaffold_1003424 gene_biotype:protein_coding transcript_biotype:protein_coding description:F12M16.11 [Source:UniProtKB/TrEMBL;Acc:D7KKF2] MVETEEKSEKLEETKAKRRRVNSSDIVSDTHKYKRIQRCIAKPSYLLSLGPKSSRSEYLNRLPGLLRELLRKRYWNDASRVLSVLMRGTIKDPCPKMNRLKYEAHIQIVSHLETKKNKADEIGRVYDTWIGQIGKQHKEERLLVWFEQICHFIDHEMNSEAYSAVISLMQNRDFAMLPRANLFIGITFYKMWCSKFLKELQPEDVDDNESVSNMSESRSGSLVECSGRDESVCSMASEVSARKDSETSVMNNKKVSHLSISDSETRMDTKVNLKSTPHFTTPPQLYATSEENEASLGDGVEFDPTVINILGDMDPWLLPLKPPSDPDCYRKIVDDSYFKEALKHMRQTLQSPHHVSLPALHPLVQVKTEITIFAYVAHNLLLIGGHVDEAMKVVEELCNKVHDIKPFRIKALMMEKFQSNSDMLGKCYEDILKIDPCCVTTLKKIIGMCIEDEYSRESLTEMIALHVEGSFPEPEIWKELASCFSHFFENLDEDRLSVCLDGSEDKKNPQTYSVRYNPTPKMFTNTSWTLRAKCWLNRHFSPEMLETEIRNSILTGDREMMTYKAACASHIYGREFGYVTKVYQLLKSSNSREFFKFLREHRVNGNKIYNFE >fgenesh1_pm.C_scaffold_1003432 pep chromosome:v.1.0:1:28416269:28416616:-1 gene:fgenesh1_pm.C_scaffold_1003432 transcript:fgenesh1_pm.C_scaffold_1003432 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKKCVVLMVVVLVVLVAMVEEVEGRSTPYGRCMDLCMIKCNPFFTHPEKYCRDKCNRKCHRLYPSQSSQMESIGMRNING >fgenesh1_pm.C_scaffold_1003437 pep chromosome:v.1.0:1:28469585:28470034:-1 gene:fgenesh1_pm.C_scaffold_1003437 transcript:fgenesh1_pm.C_scaffold_1003437 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFSKETLKMFCILPCKAKKSSTHTRILSIYKGDRFSVMEQCKRTREIEIWVTKNKIGNGDDGDDVVWIKFMTVSIPNFPLVLNHYSTSYFVDDNIYGKSFVLCCPTKKPKQAWVYIVRGDLYKKIKIDEVVCKFESSVFVPSLITIP >fgenesh1_pm.C_scaffold_1003439 pep chromosome:v.1.0:1:28478921:28479937:1 gene:fgenesh1_pm.C_scaffold_1003439 transcript:fgenesh1_pm.C_scaffold_1003439 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGVKKMVQKKLAVLYHYPCHDGVFAALAAHLYFSAKSIPSLFFPNTVYSPITINQLPLQEISHLYLLDFTGPPGFVYQVSPKVDNVVILDHHKTAIESLGDVSLTCKNVTSLLDIERSGATIAFDYFTQKLVEECRGSCKEMDDFKRMRRVFEYIEDADIWKWELPESKAFNSGILDLKIEYDFNQNQSLFDQLLSLDHESVINRGKQSLSKKHKRIHEALEQSYEIVLGGDEEFGRCLAVNADEIAELRSELGNQLAAKSKNLNLRGVGAVVYRVPELGDENKLKISLRSVAEEDTTPVSQRFGGGGHKNASSFLLNSMEFEQWKVNRNSSNTLN >fgenesh1_pm.C_scaffold_1003455 pep chromosome:v.1.0:1:28618620:28622086:1 gene:fgenesh1_pm.C_scaffold_1003455 transcript:fgenesh1_pm.C_scaffold_1003455 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLIHHIIIVLLLLWFISSLNRSHAFFYFLALIYLYLVHERYVMRLKRTLQFEERKQANQRRVLSDSESVRWMNYAVEKIWPICMEQIASQKILGPIIPWFLEKYRPWTAKKAVIQHLYMGRNPPLLTHIRVLRQSTGDDHLVLELGMNFLAADDMSAILAVKLRKRLGFGMWTKLHLTGMHVEGKVLIGVKFLRRWPFLGRLRVCFAEPPYFQMTVKPIFTHGLDVAVLPGIAGWLDKLLSIAFEQTLVQPNMLVVDMEKFVSQNQKEPVAHVLVEVFEALDVKPSDLNGLADPYVKGKLGAYRFKTKTQKKTLSPKWQEEFKIPIFTWDSPSILNIEVRDKDRFVDDTLGFVPEPSECSVNIGEFRGGQRNDMWLPLQDIKMGRLHLAITVIEEDIQTSFASDTTNLGSFSSDKAPSVVDNFEPITIDGQEETGIWVQKPGAEVSQIWEPRKGKSRRLDSQIQRNPNDGSLNSGSSSTDDNQEGSKNPMKSVGRGLRKIGSVFHRHGKKEEFLIGSIEEEQSQSPRINLKAVNQKDVGLNFIVDDNLSGPLSGKSLDCESLDAEEHSGKGHMKDVAKSFLKQAEKSAKQFKHAFSRKGSMKPRDGQKEIVPESDSGSDSESSDDDDSFTCVKNLATDTGKLTRDGNIGRTGDEDHVDSTTLANAKEDSSGDMAENSTDVEAKDEKLEEAAESETRDIHTAMNIRTEDEKGETLKNIQEGEEKESSSN >fgenesh1_pm.C_scaffold_1003456 pep chromosome:v.1.0:1:28623927:28629376:1 gene:fgenesh1_pm.C_scaffold_1003456 transcript:fgenesh1_pm.C_scaffold_1003456 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRPITNKGLIYRHNLCLRCNSTLAVSNHEPITQKTRSFLETTTTSTAIFQCNSQISKLARNGNLQEAEAIFRQMSHRSIVSWNAMISAYAENGKMSKAWQVFDEMPVRATTSYNAMITAMIKNKCDLGKAYELFCDIPEKNAVSYATMITGFVRAGRFDEAECLYAETPVKFRDPVASNVLLSGYLRVGKWNEAVRVFEGMAVKEVVSYSSMVDGYCKMGRILDARSLFDRMPERNVITWTAMIDGYFKAGFFEDGFGLFLRMRQEGDVRVNSNTLAVMFRACRDFFRYREGSQIHGLLSRMPLEFDLFLGNSLISMYSKLGYMGEAKAVFGVMKYKDSVSWNSLITGLVQREQISEAYELFEKMPGKDMVSWTDMIKGFSGKGEISKCVELFGMMPEKDDITWTAMISAFVSNGYYEEALCWFHKMLRKQVCPNSYTFSSVLSATASLADLIEGLQIHGRVVKMNMANDLSVQNSLVSMYCKCGNTNDAYKIFSCISEPNIVSYNTMISGFSYNGFGKEAVKLFSMLESTGKEPNGVTFLALLSACVHVGYVDLGWKYFKSMKFSYGIEPGPDHYACMVDLFGRSGLLDEAYNLISTMPCEPHSGVWGSLLSASKTHLRVDLAELAAKKLIELEPDSATPYVVLSQLYSMVGKNSDCDRIMNIKKSKRIKKDPGSSWIILKGQVHNFLAGDESHLNLEEIAFTLDMIGNEMELITFGGFFVLMGILVCILESGKKETVTNYGGSAQKPNNQRTRAVRTSNGGYMFYPAAASLPTLSYNHRHHHAGGHHGGHHGGGGCGGGGHHGGGGGDGGGGCGGGGCGGGGGS >fgenesh1_pm.C_scaffold_1003460 pep chromosome:v.1.0:1:28711038:28712750:-1 gene:fgenesh1_pm.C_scaffold_1003460 transcript:fgenesh1_pm.C_scaffold_1003460 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDDNYKLIVIVIGGVLAGVAVVMFSCYKNKRKNLQLPELTQTPEWQRQIVSAPTLQTRNIDVGNDRLWGRSDTVVNKSIIPLKPNAFNDSLRYQRPKNLERPRGQRDDGTAASTSAFGGGCVAISGGDNGCGASHSGGGHSGGGGCGGGGGGECRKKKKPSSSSSSPSRDIKKGKTGEKDGGLRVMTSGSKTRTSRAFKRGQGCLCGGGAGCGGCGGCGG >fgenesh1_pm.C_scaffold_1003477 pep chromosome:v.1.0:1:28826631:28828566:1 gene:fgenesh1_pm.C_scaffold_1003477 transcript:fgenesh1_pm.C_scaffold_1003477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7KLC1] MDSVNSFKGYGKVNEAEDLALKKKTRKRLLLLSISVVVLVAVIIAAVVATVVHKKNNESTPSSPPELTPSTSLKAICSVTRFPESCISSISKLPSSNTSDPETLFKLSLKVIIDELDSISDLPEKLSKETEDERIKSALRVCGDLIEDALDRLNDTVSAIDDEGKKKTLSSSKIEDLKTWLSATVTDHDTCFDTLDELKQNKTEYANSTITQNLKSAMSRSTEFTSNSLAIVSKILAALSDLGIPIHRRRRLMSHHQQSVDFKEWARRRLLQTESLKPDVTVASDGSGDVLTVNEAVARVPKKSLKMFVIYVKSGTYKENVVMDKSKWNVMIYGDGKGKTIISGGKNFVDGTPTYETATFAIQGKGFIMKDIGIINTAGATKHQAVAFRSGSDFSVYYQCSFDGFQDTLYPHSNRQFYRDCDVTGTIDFIFGSAAVVFQGCKIMPRQPLPNQFNTITAQGKKDPNQNSGMSIQRCTISANGNVIAPTYLGRPWKDFSTTVIMETEIGPVVRPSGWMSWVSGVDPPASIVYGEYKNTGPGSDVTKRVKWAGYKSVMSDAEAAKFTVATLLHGGDWIPATGVTHQLS >fgenesh1_pm.C_scaffold_1003480 pep chromosome:v.1.0:1:28838306:28839051:1 gene:fgenesh1_pm.C_scaffold_1003480 transcript:fgenesh1_pm.C_scaffold_1003480 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYNPISPVKTMVKIYPHLAFPVDIGVVEDKIVPYLTKEQESFTIWMKSLVFHSKGCTVFDSKGNLIYRVDNYNSKSCSNEVYFMDSYGKILFTLRQKKSGFFKSWEGYNSTGTRFRLSKIFKILPRDSSYKVVMGSRIVDGGNQQSCYKIVNRRSVFAIKDGSGRLMAEVKNKLSDISGLDLGEDVLTMMVEPQLDHSIIMGIVIAYSLTKCKL >fgenesh1_pm.C_scaffold_1003483 pep chromosome:v.1.0:1:28880641:28882785:1 gene:fgenesh1_pm.C_scaffold_1003483 transcript:fgenesh1_pm.C_scaffold_1003483 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTRRTISFSASFIFFIFSSTIIFLAGKSSAKFSHDGENNVTALFLFGDSFLDAGNNNYINTTTLDQANFPPYGQTFFGLPTGRFSDGRLISDFIAEYANLPLIPPFLEPGNSQKKLYGVNFASAGAGALVETFQGSVINLRTQLEHYKKVERLWRTRFGKEESKKRISRAVYLISIGSNDYSSLFLTNQSLPISMSQHVDIVIGNMTTFIHEIYKIGGRKLGFLNVPDLGCFPALRILQPNNDSCLRDASRLANMHNRALTNLLFKMQRQVKGFKFSLFDMNKSLRLRMQHPSKFGFKEGEEACCGTGKWRGVFSCGGKRIVKEYKLCENPKDYIFWDSLHLTQNTYNQFANLIWNGGHKSDSLVVGPYNINKLFQIP >fgenesh1_pm.C_scaffold_1003486 pep chromosome:v.1.0:1:28908335:28908716:-1 gene:fgenesh1_pm.C_scaffold_1003486 transcript:fgenesh1_pm.C_scaffold_1003486 gene_biotype:protein_coding transcript_biotype:protein_coding MKILIKTVKGKIINLEVEDSSETIDIKIRGESDPSTGEVMMIFVSTLKGKTFDLEVKGSETIKQVKNMIHDHGGPPVNKQRLMFQGRMLADGQTIADCNIKTDANIVMMSEQCGC >fgenesh1_pm.C_scaffold_1003491 pep chromosome:v.1.0:1:28932609:28933162:-1 gene:fgenesh1_pm.C_scaffold_1003491 transcript:fgenesh1_pm.C_scaffold_1003491 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAINHLFGLPETIEKLILPISRSGEGNNESRGGGGSNNNIPIDILESSKEYIFYLDIPGISKSDIQVTVEEERTLVIKSNGKRKRDDDNESEEGSKYIRLERRLAQNLVKKFRLPEDADVAAVTAKYQEGVLSVVVKKLPPQPPKPKTVQIAVS >fgenesh1_pm.C_scaffold_1003496 pep chromosome:v.1.0:1:28949727:28950185:1 gene:fgenesh1_pm.C_scaffold_1003496 transcript:fgenesh1_pm.C_scaffold_1003496 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNLMVITESKTSEIEFARCECCRMKEEYTAAYIESVRCLYAGIFICGLCSEAVKYEIFRWGKKKRQISIDEALAIHMKFCGEFIASPSPTVDFISVVGDIFRRRLILNLPRVVTSAELLPPTVDGGEVCATAVIGGAGTGSCLPALPGGA >fgenesh1_pm.C_scaffold_1003508 pep chromosome:v.1.0:1:29028153:29028912:1 gene:fgenesh1_pm.C_scaffold_1003508 transcript:fgenesh1_pm.C_scaffold_1003508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like protein ATG12 [Source:UniProtKB/TrEMBL;Acc:D7KM34] MAMESSSPGSVRKVVVHLRATGGAPILKQSKFKIPGTDKFAKVIDFLRRQLRSDSLFVYVNSAFSPNPDESVIDLYNNFGFDGKLVVNYACSMAWG >fgenesh1_pm.C_scaffold_1003522 pep chromosome:v.1.0:1:29116357:29118312:-1 gene:fgenesh1_pm.C_scaffold_1003522 transcript:fgenesh1_pm.C_scaffold_1003522 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSASSTIVRAALDTQPKLRYNPNAPRNVKNLSSFVPPLSPSSSSPATNLTTGTNVSVSDLLKRPASKDVGNGFDDNCIGYDKWFPSPPKVEKPRSVFNAASLAYIGDSIYEQGYQVMIILFFRMQGCQMRIIFSDYSIKTATMSLCYFLYLSLAVLGYKNIYARRHFLFPPLSIEEYNDRVRAVVRCEAQYALLQKLVDDDFLTKDERDVLRWGKNVGSAKTRSTRRAGVAVYNKASSLETLIGYLYLSNGKRLEEMMQKLGFSSGSSTERMIKEAGKNKPSFK >fgenesh1_pm.C_scaffold_1003525 pep chromosome:v.1.0:1:29154523:29155089:-1 gene:fgenesh1_pm.C_scaffold_1003525 transcript:fgenesh1_pm.C_scaffold_1003525 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSLRRADYRNFSNPFSPFPLNTESEQVLSNVFKVDIHEIKGLRNYGIMIPSLWSGGYLKFLNSFPLTSLDDRTSLTSLFADEQFQLALLVPARTSAMELYSTSLSLLTVTIVSSNASSVDDSSTNRVIICTNLLHSFGLQALMDPLSNYFCYFCVAFALTFVCCCYFVLSLSILVPLATLNLVSIG >fgenesh1_pm.C_scaffold_1003532 pep chromosome:v.1.0:1:29194522:29199558:1 gene:fgenesh1_pm.C_scaffold_1003532 transcript:fgenesh1_pm.C_scaffold_1003532 gene_biotype:protein_coding transcript_biotype:protein_coding MENQESDEPMQKKPHLLDSVSPTSMARNSSPSHPIAKSVDATVLQLQNQKLVQQLDLQKKHLYDVETKIQELQIKQTSYDDELISVNQLWNQLVDDLILLGVRAGANQEALKYLDIADKQRVPPCAADEMFLCRLLQVDSLDTSNSDEVVGKVEEALALRHSSTMELMGLFENTIATQRAKAESISQNLHAVKSAEDATIQLSSINDLMKEEAKNLREMIDTLHVRHKEHTEQIHAYISSHSTDQSELKHLKGQLEEIKAELEENRRKLITLKMQKDAACEGHVTSPAIANGSLSPEKPIDKTKLRELKDSIDEIKIMAEGRLSELQAAQEYNLSLSRQCQDIENELTDDQHIYSSRLYSLINDRIHHWNAELDRYKILTEAIQAERSFVMRREKELNLRAESLEAANHKSTTVGSRIEVLEKKLQSCIVEKNGLELETEEAIQDSERQDIKSEFIAMASTLSKEMEMMEAQLKRWKDTAHDALYLREQAQSLRVSLSNKADEQKGLEDKCAKQMAEIKSLKALIEKLLKEKLELQNLASICTRECNDERGLAEIKESQRKAQAQAEELKNVLDEHFLELRVKAAHETETACQERLATAKAEIAELRTQLDLSEREVLELKEGIKVKEEEAEASIAEMETIGQAYEDMQTQNQHLLQQVAERDDYNIKLVSESVKTKHAYNTHLSEKQVMEKQLQQVNASVENFKARIAHSEEQMRGCFSEAYKLIQEDRHLAISLETTKWEVADADKEFRWLKSAVSSSEKEYEQISRRTNDIKLELDDERREKKKLEEELMELNKELGELGSESVEAAIVRLQEEVKNCKNILKCGVCFDRPKEVVIVKCYHLFCQQCIQRSLEIRHRKCPGCGTAFGQNDVRLVKM >fgenesh1_pm.C_scaffold_1003537 pep chromosome:v.1.0:1:29223577:29236998:1 gene:fgenesh1_pm.C_scaffold_1003537 transcript:fgenesh1_pm.C_scaffold_1003537 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSIGELSCDDYVKAGLTLEDAKGFDKLVRDVISTAIETDPRDQWKALVDESSLKPWHPHPLHQLLYYSVYSNWDSSALGPPLYWFPSPSQSKSTNLGKLMETHGSRLLGPTYKNPLESFELFRRFSVEHPEVYWSIVIDELSLVFHTPPRCILDKSKPGGTWLPDAVLNIAECCLMPSSHLKKEDDSMAVVWRNEGFDDSPVNQMTLKQLREQVMLVANAIGGSFEKGDTIAIDMPMTVDAVIIYFAIILAGCIVVSIADSFAAKEIATRLKISKAKGIFTQDYILRGGRRFPLYSRVVEAAPSKVIVLPASGKLRVQLREQDISWMDFLSNAKTHLSGENYYRPIHLPVASVINILFSSGTTGEPKAIPWTQLSPIRSACDGWAHLDVQVGNTYCWPTNLGWVMGPTLIFSCFLTGATLALYQGSPLGRGFGKFDAGVMVLGTVPSLVKTWKRTNCMEGLNWTKIKYFATTGEASNVDDVLWLSSKAYYKPVIECCGGTELASSYIIGSPLQPQAFGAFSTPSMTTRIIIFDENGVPYPDDQPCTGEVGLLPQHLGATDRLLNANHDEVYFKGMPMYKDTRLRRHGDIVKRTIGGYYNVQGRADDTMNLGGIKTSSIEIEWVCDQADECISETAAVSLTPPNGGPELLVIFAVLKEGFKKQSEEELKMKFSRTIQKDLNPLFKVSFVKIVPEFPRTASNKLLRRGGLHNVSWFQLLPSETELNPGSDRSSRAEQNDVATYLVLSSHLRLQKEGFLTTWTNSFVGPWDPSQGLYNPDEKIKLWLFLPGRHSSITDKAQAAVSKLRVNMPVVYAYLVVASGIWVAPGDSEEISVAFSQSLRNCIERALSGLSYMRFGDVFSKFSPQSEEYLRRGQPTVEFIFAATEEAVFVHVIISAKNVRTLSSGDAERMLRSSLKNSSYRLPELFCNVWGWPRVGIIDYATYESFLFDRNTSHRLMLFPPVIVSPHGMRGSLTGFCPNDFVKQVYFSSGNLRTSSGYVGRGSRLINGNHCYVEVTLGCCQNKNDNKNDNTSQANSNFAVNLPHNQCPEPSVGSKDHRKGQPDLLSVCEKKFIYPAEAVLVPILQSAFAKFSLKRAGDFDFLGASENKSDGFYEKNDYNSSGSSRNSSISSTSSASSGSGWRMTSRTGDLDADADSLTCRQSGLTSNDDRPKMGSKRPRTGMAESFGQVGIENDQVGWDWDADDDDDDREVGMDIKALLSEFGDFGDFFENDALPFGEPPGTAESHALIFPPDSADVGSSPVDMMDVSDQIVLPVGFSSFESFNPVPPIIDECLIKSQEVLNSSITSVPSYQMSISSTSEFDHLMKAEAMMTFAPEYGAVEAPMSEISSTYFKSPYLPKSHKVESSNSRTSNYVYGATPPTTDSDGAGNKILFGSKSCIGNNDGRTLFHSREHYTQVEGRKDQHDKLPTVISDNNSTKEGVSHSKHSKHSAANAVKVVQGKKTDGISAVVRTLLSSKTLLATDVGNVMFQAFMCRMRHTSSKHSSPVSLTRLSGNFFLNQLPNEPSTLTDNISARNEIYKKDIPTRIAGDFDGGMLDSHMSAPVGVWRTVSVPKTAKPASSPNIEAGSSLPHSSFSEDSLLSYGQRQPLHELLDGISLLVQQATSFVDLALDSDCGDGPYGWLALEELWRRELSCGPSAGHAGCGGTLASCHSLDIAGVKLVDPLSTEVFPSSVITLLQSDIKTALKSAFGQSDGPLSVTDWCKGRNQSGDGGSISEGSTAESALSEVNGVKISDVSNAIDGGKGEETAQSLDIYSSELLRPTLFVLPSPAILVGYQDDWLKISTNSLPHWEKAPFEPYALPKSINYTVVCPDIDPLTCAAADFFQQLGTGESTSLSIVKEVMLKHDRNWLGTHLPQSLGNQMDTDAGRLSSSGFVLLDCPQSMKIESNNTSLLGSLSDYFLSLSNGWNVNSYLKSLSKALKGLKLGSSLYTNQKEGSGSPCMVVYIVCPFPDPSAVLRTIVESSIALGSVIQSDRERRSILNSQVARAFGSSTAVDEASISHIPVLSGFSVPKLVLQVVSVDSIFRITSPSFNELVILKDTAFSVYNKARRISRGMPNDAIFSSSLSSRSSSALTSMSSISGIWKDCGGSRMTGSTHPRDGDIDVSLRTSGWDTSTSWQIPRSGGLSCDPSRNGDFYLNDEIFYLFEPLFILSEPGSVERGVSPTFGSLGSESSKPIPEDGGRGSGPGMNSMEGITSGSSSQGDASQLEGKAIPSLHCCYGWTEDWRWLVSIWTDARVLQQGCQILQACSSPDNGSFKPRDFVITRIGNFFELEYQEWQKAIYSAGGPEIKKWPIQLRRSAPSGIATNSNGSSLQQQDLSLIQERASSTSTLYSSHSKPSTFVKGSIGQSAGRKQIMGGQTISGTPRGLFQWVHSISFASVSLDHSLHFVLPAELVSADTDLHGYMHNYAGGGQNSTGMSSVNYIEGFTPVKSLGSTAFSYMMIPSPNMRFLHPSPLQLPTCLTAESPPLAHLLQSKGCAIPLSTGFVVSKAVPSMRKDSRINMKEEWPSVLSVSLIDYYGGYDNAHDRILQGIMKQGGGTKETRDFEVESHLILESIAAELHALSWMTVSPAYLDRRTALPFHCDMVLRLRRLLHFADKELRQEFKTMQKNADH >fgenesh1_pm.C_scaffold_1003545 pep chromosome:v.1.0:1:29316357:29317002:1 gene:fgenesh1_pm.C_scaffold_1003545 transcript:fgenesh1_pm.C_scaffold_1003545 gene_biotype:protein_coding transcript_biotype:protein_coding MINCLKDSIHVLMSVLLSTSDADDDHEEVLELVDGHRNDVVGEEVVAKEDEGQIVGDTLTLKSSLRKADSSSTEPEKREKKKVQWVDVIGKKLAEIREFEPSEEDDIDSDRGKTCVCVIL >fgenesh1_pm.C_scaffold_1003553 pep chromosome:v.1.0:1:29354687:29356473:1 gene:fgenesh1_pm.C_scaffold_1003553 transcript:fgenesh1_pm.C_scaffold_1003553 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMRIVLVALLVALDFGLASPSTVPAFLWSPHLQSANGEMDVNYQVMSAKDLVDSVFTQGGWSNFLCSEKNLQQPVDVALVFIGRELLSSDVSSNRNSDPALVNILKNLYTASNFSLAFPYIAAPEEERMENLLLSGLKEACAHNVGVTNVVFSDSCFVEDGTIQKLSNVQSFKDHLVARKETRKEGETDLVVLCSGGSESSSQSDQSHSDREIISELVSSVEQSETKYTALYVSDPYWYTSYQTLQRFLAEAATGNTTAEATTTCDELCKFKSSLLEGILVGIVFLLILISGLCCMAGIDTPTRFETPQDS >fgenesh1_pm.C_scaffold_1003574 pep chromosome:v.1.0:1:29514942:29517626:-1 gene:fgenesh1_pm.C_scaffold_1003574 transcript:fgenesh1_pm.C_scaffold_1003574 gene_biotype:protein_coding transcript_biotype:protein_coding description:F20N2.18 [Source:UniProtKB/TrEMBL;Acc:D7KN24] MFSSSIRLIVSGSHRTQTLKSPSNSPSVFISVPKFFNSESNSTGTGSRSVAMSSVEKTGSDSGAIENRASRMREKLQKELDPVELVIEDVSYQHAGHAGMKGRTDGETHFNVKIVSKGFRGMNLVKRHRDDDEHVWLKHYSSKHQILLVGEGDFSFSCSLATCFGSASNIYASSLDSFDYKPVDKGCSFMFDFLSCCMSFMVIEADDVVRKYKKARSNLETLKRLGAFLLHGVDATKLLLHPDLHYRRFDRVIFNFPHTGFHGKESDPCQIHCCNFGNVLKDLLHILCLHMLRADGEVHVSHKNKAPFCHWNLEELASRCFLVLIQRVAFEKRNYPGYENKRGDGSRCDQPFLLGECSTFKFKFSLVAKELYAEKVKWREEKEGESKYPQDLSTRGMNNKHARFEDSSIHLELPRCTERTKHHLSLEMHNGELQKAVTRTSFPREYTKESQERCRLLCQDFAVQASQEPFPKRSLRFSGVSHGIHNGRVRKMLIRNSTGESRKRRMRRQRNLKIR >fgenesh1_pm.C_scaffold_1003575 pep chromosome:v.1.0:1:29519305:29525399:1 gene:fgenesh1_pm.C_scaffold_1003575 transcript:fgenesh1_pm.C_scaffold_1003575 gene_biotype:protein_coding transcript_biotype:protein_coding description:F20N2.19 [Source:UniProtKB/TrEMBL;Acc:D7KN25] MASKSAVDITETSSKVHFSGFHQMDGLVSTRPEEMVEEEEHGQPFVIGVAGGAASGKTTVCDMIMQQLHDQRAVVVNQDSFYHNVNEEELVRVHDYNFDHPDAFDTEQLLSSMEKLRKGQAVDIPNYDFKSYKNNVFPPRRVNPSDVIILEGILIFHDPRVRDLMNMKIFVDAAKSVAYMGRWTCTLTSVYTHDDADVRLARRIKRDTVEKDRDIATVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQVVEHGLGHLPFTEKQVVTPTGSVYSGVDFCKKLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQVGNLFLCSFSLIYEKLPSDISERHVLLLDPILGTGNSAVQAIRLLISKGVPESNIIFLNLISAPQGVNVVCKKFPRIKIVTSEIELGLNDEFRVVPGMGEVSIPYRLRKTLQSIREITGKQHSDEDIFAVYKDSFNDPYETAQKLLFLDTFHEVRSKREKKKEASNIVPVTQASGRSGRRNFASSNSYQGNGRNASFKRENGANHVTRGSRTALPATNKASNNNITVPNEIKVSGPTSLPSEVSNHDKVQDDPSLISASRCSSQSDQATEIETVSKQGKTQSLPKSDVSQQSHVTFPFHLQVAKGLQNGLTFGSFDSNFVKEVSSNNGASGGDDSNFESSDGTGDDEREPSPTTNGIPGVASARFVTEMLSLYILEEASTFSEDKDYGISNSAPGAEPVVHSDHIVPRVKEVLKEEALSNTETHQIAPLSVFGLVPSLSAIGQPVNTEAAETQSGNSNSPAISLVSYPPDQSSIAAASQQANFLRQQYPPNFFPYGPYYSPYYMPPPYIHQFLSPNGIPQQSFFPPGAALTAPSHVNPVGNTENPPTTNPYLHTSPMVASSIPSATAFNSIHSEEKASPQTESAATWIGQGFGNLQVNPMYNLAFQGQPLGFPVVQAGHGGLMGIHQPTQPMAAASTTYQTLPPPPPPHTTTAMGEPIGHPHIAYQQPQATLTNWVNNY >fgenesh1_pm.C_scaffold_1003580 pep chromosome:v.1.0:1:29553797:29556521:1 gene:fgenesh1_pm.C_scaffold_1003580 transcript:fgenesh1_pm.C_scaffold_1003580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-specific ribonuclease [Source:UniProtKB/TrEMBL;Acc:D7KN30] MRRHKRWPLRPLACSFSSSAAETVTTSTAASATAAFPLKHVTRSNFETTLNDLRSLVKAADFVAIDLEMTGVTSAPWRDSLEFDRYDVRYLKVKDSAEKFAVVQFGVCPFRWDSRTQSFVSHPHNFFVFPRQELTFDPPAHEFLCQTTSMDFLAKYQFDFNTCIHEGISYLSRRQEEEASKRLEMLHGEEGIVSSGETEDLKLVRLADTLFAVRMEKMLNEWRSGLLHGGNASSEFPRISNGSTQSMETVFHHMRPALSLKGFTSHQLRVLNSVLGKHFGDLVYIHSNDKDSCSQGFVVYTDSESDKENLMKEAKDERKRLAERKIQSAIGFRQVIDLLASEKKLIVGHNCFLDIAHVYSKFVGPLPSTAEKFVASINSHFPYIVDTKILLNVNPMLHQRMKKSSTSLSSAFSSLCPQIEFSSRSSDSFLQQRVNIDVEIDNVRCSNWNAGGKHEAGYDAFMTGCIFAQACNHLGFDFKQHSQLDNFAQNEKLEKYINRLYLSWTRGDIINLRTGHSNADNWRVSKFKYENIVLIWNFPRKLKAREIKECICKAFGSASVTSVYHVDDSAVFVLFKNSELVWDFLTLKRQLESSDGPVSVLHPLSKILEGGNTGAADYEAYKEICSSNISKILFSDQAETVGVKSRTRPDPQGETQRREENTVAVTHKASDLIDAFLANREEVETATTN >fgenesh1_pm.C_scaffold_1003589 pep chromosome:v.1.0:1:29627438:29629208:-1 gene:fgenesh1_pm.C_scaffold_1003589 transcript:fgenesh1_pm.C_scaffold_1003589 gene_biotype:protein_coding transcript_biotype:protein_coding MKNWALSFFSEELSRRALSDSYLWATAISLLLILLFHIFFSSKFRFFTSSSSSPSPTESVSHAINSQSRISKLVSDEDLKFLIENLEETNDSTEIWENVIHKSNHRISYTAKRCKPKDGGGPMKYLSVTVFEDCSAEIVRDFYMDNDYRKLWDKTVVEHEQLQVDSSTGIEIGRTIKKFPLLTSREYVLAWRLWQGKGKFYCFTKECDHNMVPRQRNYVRVSYFRSVPGRNACEIKMFHQENAGLNVEMAKLAFSKGIWSYVCKMENALCKYIATSHRTQGPILSAVTLMKKVPSELETQTDNVTASIGTSSGEGLLSHVVAKQKKKLRKPSKKLIAKGLVLVGGAICLSRGHSALGAKVALAYLLTKLNKRGTSLNQTIQNTSI >fgenesh1_pm.C_scaffold_1003619 pep chromosome:v.1.0:1:30088701:30095734:1 gene:fgenesh1_pm.C_scaffold_1003619 transcript:fgenesh1_pm.C_scaffold_1003619 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDQQTQIQLSILLGPDSSHFETLISHLMSSSNEQRSHAESLFNLCKQTHPDSLALKLVQSLDSSPNLELRAMSAVLLRKQLTRTGDDDDSAFIYPRIAESTRLTLKSVLITSLQQESTKSIAKKVCDTISELASAILPENGWPELLPFMFQCVVAADNPNLQEYALLIFSRLAQYIGETLIPYLSTLHSVFSQSLRNSTSADVRITALGAAINFIQCLSKASDRDMFQDLLPLMMQTLTEALNSGQEVTAQEALELLIELAGTEPRFLRRQIVEIVGSMLQIAEAESLEEGTRHLAVEFVITLAEARERAPGMIRKLPQFITKLFSVLMNMLLDIEDDPAWHSAENEDEDAGETSNYGFGQECLDRLAISLGGNTIVPVASEVFSGFFAASEWQKHHAALIALAQIAEGCSKVMIKNLEQVVSMVLNSFQHPHPRVRWAAINAIGQLSTDLGPVLQTKYHQSVLPALVGAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGVISKLLVLLQNGKQMVQEGALTALASVADSSQELFQKYYDAVMPYLKAILVNANDKANRMLRAKSMECISLVGMAVGKEKFMDDAKQVMEVLMSLQGSQLETDDPTTSYILCKCLGKDFLPYMGVVMPPLLQSAQLKPDVIISSADSDADIDDEDDSIETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPILVPLLKFYFHEEVRKAAVSGMPELLRSAKLAVEKGESQGRNESYIKHLSDYIIPALLEALHKEPEVEICASMLESLNECVQISGPILDESQVRGIVEETKHAVIASSTRRSEREERARAEDFDAEESEILKEENEQEEEVFDQIGDLLGTLIKTFKTSFLPMFDELSSYITPMLGSDRTAEERRIAISIFDDVAEHCREAALKYYDTFVPFLLEACNDENVDIRQAAVYGIGVCAEFGGSVFRPLVGEALSKLDVVIAHPNAQQSENAMAYDNAVSALGKICQFHRDSIDAGRIVPAWLNCLPIKGDTVEAKIVHDQLCSMVERSDPDLLGPNHQYLPKIVAVFAEVLCAGSTLATEQTVSRMINILRQLQQTLSPSALASTWSSLQPQQQLALQSILSS >fgenesh1_pm.C_scaffold_1003642 pep chromosome:v.1.0:1:30864157:30865664:1 gene:fgenesh1_pm.C_scaffold_1003642 transcript:fgenesh1_pm.C_scaffold_1003642 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSYLQASRVMVLGAKSPVDRRRRSLERVSKELSRGNYETALSLVKQLKSKHGCLSAFGSAKLLPKKLDMSSKSDLWSLIDSVSRSIESVYVEEDSVRISKEEETKTSPEEDWFAVVQHESGHFLVGYLLGVLPRYYEIPTLEAVRQNVSSVTGRVEFVGFEFLKQVGAANQLMKDDRDSRMNLSDTQGNISSKTLNNFSCVILGGMVAEHLLFGYSEGFYSDVVKLIDVLRWLGFTETEKEAHIRWAVSNTVSLLHSHSEARVSLAEAIAKAKPIGACIEAIESAISRHQI >fgenesh1_pm.C_scaffold_1003654 pep chromosome:v.1.0:1:31140758:31142141:1 gene:fgenesh1_pm.C_scaffold_1003654 transcript:fgenesh1_pm.C_scaffold_1003654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7KQ00] MNVLCRVFTSSRVLSSNFTFSFSQIPNKTKKTLPEKRRLSQLVCVRAMATEKQKQHGQPAKNMSWKKLHNSLAQITNPPTSFGKVALITGGDSGIGRAVGYCFALEGATVAFTYVKGQEEKDAHETLQMLKKVKTSDAKEPIAIPTDLGFDENCKRVVDEVVNAFGRIDVLINNAAEQYESSSVEEIDEPRLERVFRTNIFSYFFLTRHALKHMKEGSSIINTTSVNAYKGNASLLDYTATKGAIVAFTRGLALQLAEKGIRVNGVAPGPIWTPLIPASFNEEKIKNFGSEVPMKRAGQPIEVAPSYVFLACNHCSSYFTGQVLHPNGGAVVNA >fgenesh1_pm.C_scaffold_1003657 pep chromosome:v.1.0:1:31227162:31228341:1 gene:fgenesh1_pm.C_scaffold_1003657 transcript:fgenesh1_pm.C_scaffold_1003657 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTASVSASAATDGQPPWKKKQTQSKEQTSNPSLPNDLVVTCLARVSRSYHPNLSLVSKNFRSIIASPELYQTRTLLGLTENFLYVCLLFPHEANPRWFILKPNQTLTNHTTKKTKKKKKKKKKVSRSGNRLASITILNSPTVEWSGLVAVGSNLYAISKDIEEAPHYNICFFDCRTHTWLEAPRMSLSHPDGDSENPDSLNCVEVYNTKTQTWKPVPPETLRYEFDIVQGKIYMSLDAVFGVAFKPKDLKWELVGLDIDSDQCPFLWKKFSIAGSVWKRVAGLEGLPKFASYCTVRLADYGGKLVVFWDKYVPASGYKKKMIWCAEISLEMRSGEEISGKVEWFDAVLTVPKSYKFVSAIAATL >fgenesh1_pm.C_scaffold_1003658 pep chromosome:v.1.0:1:31229172:31230619:-1 gene:fgenesh1_pm.C_scaffold_1003658 transcript:fgenesh1_pm.C_scaffold_1003658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle (CCHC-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7KQ08] MSTGDCFRCHQPGHWANNCPLKTTTIPTTVAVASPPVIHCPCNAGACNILTSKTEKNPNRRFYTCPSCKFFKWCDQGFGDCGFFKWEDDSLLHETELDSDGNVKRNRLGVVVESELNPTSSSESTTLGNRVVDTLPMNAVTVGKESIPVFAGFNNQESVSNGIVPSFDLITLHDDAVRLETEEQVLPLVAPKHLDPQVEPLYGKNSYASEGVAGHSENTSDPVLNGSKKPEHIHQPSMTSGKIEASFSGGSSMKKLIEQYNSEKLNFESISMKHVDALTAFMDSYKQLESLRDRAHSLRKQLLEVEKQVKLYEAETSEFAASLQEVSGEMAKSQKKMVETAGKVAKEVRVNK >fgenesh1_pm.C_scaffold_1003660 pep chromosome:v.1.0:1:31362912:31366682:1 gene:fgenesh1_pm.C_scaffold_1003660 transcript:fgenesh1_pm.C_scaffold_1003660 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLFGSVRRSLVFRSTTDDDNQENHPPPFPTLLADKITSCIRKSMVFAKPNSPPPNSTVQTKPPIRWRKGQLIGRGAFGTVYMGMNLDSGELLAVKQVLIASNCASKEKTQAHIQELEEEVKLLKNLSHPNIVRYLGTVREDDTLNILLEFVPGGSISSLLEKFGSFPESVVRTYTKQLLLGLEYLHNHAIMHRDIKGANILVDNQGCIKLADFGASKQVAELATISGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMVTGKAPWSQQYKEIAAIFHIGTTKSHPPIPDNLSSDAKDFLLKCLQQEPNLRPTASELLKHPFVTGKQKESASIDLASVMDNSCSPLPSELTNIKSYQMSTSEDVGDICNLGSLTCTLAFPENSIQNNSLCLKGNNGYDEDDDDNDMCLFDDEDFLTYNGKTCPSLDNNTDARKSCDTMSEISDILKCKFDENSGNGETETKNSMEVDHPSYSEDENELTESKIKAFLDDKAADLKKLQTPLYEEFYNGMITCSPICMESNINNNKPEEAPRGFLKLPPKSRSPIQGHIGRSPSRRTDAACCSKSPESGSSSGLPNNSNASAGAEQESNSQSVALSEIERKWKEELDQELERKRREITRQAGMGLSPRDRSLSRHREKSRFASPGK >fgenesh1_pm.C_scaffold_1003672 pep chromosome:v.1.0:1:31769664:31770639:1 gene:fgenesh1_pm.C_scaffold_1003672 transcript:fgenesh1_pm.C_scaffold_1003672 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFTAPDEVWDEYLKDLQLIFGCGLATSGSAIGMGETTDARTFRSGGSKRVKDNKIDDEVFELSSQEPAASPECDTPPFPGTNPKGRVEKLRPRKRSRTLATSNADKLKTDEEDPMIINHIRYKAVTLIHSLGMKNVFIDMSVEERFDNIFLLVNEFSFIQVLLKLIFIFIPKYILCVYTFDA >fgenesh1_pm.C_scaffold_1003675 pep chromosome:v.1.0:1:32007771:32010291:-1 gene:fgenesh1_pm.C_scaffold_1003675 transcript:fgenesh1_pm.C_scaffold_1003675 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFENWRKTIMLLCFAAFAIDPLFLFIPVIDSHRFCFTYDKKLGLAACVIRTFMDSFYVIHIIFRSITELIAPRSQVSLRGEIIMHSKATRKKRLIFRFMVDIASILPIPHQKSALLVSKDILKKVIICQYIPRILCIYLLFQEVTKASGTVVETKWIGAALNLFLYMLPSYVFGGFWYFNAIQRENLCWHDVCARTPGCNVMNLYCARGSEDNNRFLNNSCPLIDPGQITNSTVFNFGMYIDALKSGVVESRYFPRKFFYCFWWGLRNLSALGQNLETSNSVEEIVFVIIICILGLLLFALLIGNVQKYLQSTTIKVDEMEERKRDIEKWMSYRNLPDDLKQRIRKYGEYTWKQTRGIKEEALLRSLPIDLRLETKRHLYLNLLKGVPLFEGIDDGWLLSAMCNRVKYVFYSADSYIVKEGDPMEEMLIITKGKLKSTTRSHEIGEELKAGDICGELLFNSSSLPTSTRTIITLTEVEGFTLSPDDVKFVASHFNHLQSVIHKQMSRQGLETEIISSMRHTGNMEGICEKEAF >fgenesh1_pm.C_scaffold_1003691 pep chromosome:v.1.0:1:32234606:32236708:1 gene:fgenesh1_pm.C_scaffold_1003691 transcript:fgenesh1_pm.C_scaffold_1003691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration 2 [Source:UniProtKB/TrEMBL;Acc:D7KB05] MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPVNTVFDAKRLIGRIFSNASVQSDMKLWPFKIIPGQADKPMILVNYKGEEKQFAAEEISSMVLVKMREIAEAYLGSSIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAAIAYGLDKKATSVGYKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNKMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDFLFEGIDFYSPITRARFEEMNMDLFRKCMEPVEKCLRDANMNKSTIHDVVLVGGSTRIPKVQQLLQNFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGIETFGGVMTTLIQRNTTIPTKKEQVFTTNADNQPGVLIQVYEGERARIKDNNILGKFELSGIPPAPRGVPEFTVCFDIDSNGILNVSAEDKATGKKNKITITNDKGRLSKDDIEKMVQEAEKYKYEDEEHKKKVEAKNRLENYAYNMRNTICDIGDKLPAVDKKKIEDSIEEAMQWLDGNQLTEADEFEDKMKELESC >fgenesh1_pm.C_scaffold_1003693 pep chromosome:v.1.0:1:32272223:32272596:1 gene:fgenesh1_pm.C_scaffold_1003693 transcript:fgenesh1_pm.C_scaffold_1003693 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMNMIKITMMMALVLMGVRAMTVTQSQETDVKFDWTNGMDWNPRMCYYICSGPCGKDNNCLQRCKKCCHWHCMDPC >fgenesh1_pm.C_scaffold_1003705 pep chromosome:v.1.0:1:32420759:32422548:1 gene:fgenesh1_pm.C_scaffold_1003705 transcript:fgenesh1_pm.C_scaffold_1003705 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLHSDETQNGEENQTNEKLAEKITQNAGNTSGSNNTPNSNDDFAQVFGRERPGRVRCVGLGPTPSSFFQNRTTTQSSEEQEVIGLRNRVRELEDKLVKMSDLEDKVDKMNEVIYQLASNNNCTTWIVVRKGWLQVEGDGFK >fgenesh1_pm.C_scaffold_1003710 pep chromosome:v.1.0:1:32552011:32553936:1 gene:fgenesh1_pm.C_scaffold_1003710 transcript:fgenesh1_pm.C_scaffold_1003710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KB47] MSITKFARSNAFKPIPNFVRSSLRNACVESSQNTESPPYKPKKHHILATNLIVSYFEKGLVEEARSLFDEMPERDVVAWTAMITGYASSNYNSCAWECFHEMFKQGRSPNEFTLSSVLKSCRNMKVLAYGALVHGVVVKLGMEGSLYVDNALMNMYATCSVTMEAAFLIFRDIKVKNDVTWTTLITGFTHLGDGIGGLKMYKQMLLENADVTPYCITIAVRASASIDSVTTGKQIHASVVKRGFQSNLPVMNSILDFYCRCGYLSEAKRYFHEMEDKDLITWNTLISELERSDSSEALLMFQRFESQGFVPNCYTFTSLVAACANIAALNCGQQLHGRIYRRGFNKNVELANALIDMYAKCGDIPDSERVFGEIEERRNLVSWTSMMIGYGSHGYGAEAVELFDKMVSSGIRPDRIVFMAVLSACRHAGLVEKGLKYFNVMESEYGINPDRDIYNCVVDLLGRAGKIGEAYELVERMPFKPDESTWGAILGACKAHKHTGLISRLAAKKVMELKPRMVGTYVMLSYIYAAEGKWVEFARVRKMMRMMGNKKEAGMSWIEVENQVFSFAVSDKMCPNASSVYSVLGLLIEETKEAGYVPDLDSLVYDQEVGT >fgenesh1_pm.C_scaffold_1003726 pep chromosome:v.1.0:1:32849332:32851802:1 gene:fgenesh1_pm.C_scaffold_1003726 transcript:fgenesh1_pm.C_scaffold_1003726 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKTRNKLIHQVICFYFLAILLHPTTSSDLFHVGLKKRRLELDDIRASRVIRKLKHSQRLTNYPSFATLGGDSSNQDQVILKNYLDAQYYGVIGIGTPSQEFEVIFDTGSSNLWVPSSKCYLSLACYLHPKYKSTKSKTYIKNGKTCTITYGSGSISGFFSEDNVKVGDLVVKNQEFIEATREGSLTFLLAKFDGLLGLGFQEISVGNAVPVWYNMVDQGLVRDKVFSFWLNRDTEAEVGGEIVFGGVDPAHFKGKHTYVPVTRKGYWQFNMGDIFVGSNSTGFCEQGCDAIMDSGTSLLAGPTTVIAQINHAIGAEGIVSAECKDVVSQYGEMIWNLLVKRVLPRQVCKELGLCVFGQETGIKTVVDKERSSVLCEVCEMAVVWVQTKLKVNETKEKVFEYVNQLCESLPSPAGESIIDCNNIKNMPSVTFTIGGNPFSLSPQQYILKTGVGNAEMCISGFSAFDLPPPTGPLWIIGDVFMGAYHTVFDSDNLQIGIAEAT >fgenesh1_pm.C_scaffold_1003727 pep chromosome:v.1.0:1:32864565:32865464:-1 gene:fgenesh1_pm.C_scaffold_1003727 transcript:fgenesh1_pm.C_scaffold_1003727 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLRKNGIMIPSPRSGDYRSFLNLLPPYPLFIEFIHVQSINFSDNFHMLKNMKLRSGYFLFVLNRRQTLCGILNLHGRGFHAREDPPVLLRFYTHHLRTLVYASSKVLSLSNDHSPSNSSDDFKPYTLHTTSNCYVLPKLNSHYTTALANNFKHQSTFTFARTLVKALLRVAFLVPARITSLTPSSTPLHLLTMANRSSIDLLLEESSILFDLTCTNKLPSFWLKALKELLSINLTYLYIYLMLSLGYTLYCGALNFGNSVFLYLCTWFLH >fgenesh1_pm.C_scaffold_1003728 pep chromosome:v.1.0:1:32868034:32869124:1 gene:fgenesh1_pm.C_scaffold_1003728 transcript:fgenesh1_pm.C_scaffold_1003728 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB50 [Source:UniProtKB/TrEMBL;Acc:D7KC56] MKRHSCGYKQNLRKGLWSPEEDEKLLNYITKNGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGAFSSEEQNLIVELHAVLGNRWSQIAARLPGRTDNEIKNLWNSCIKKKLMKKGIDPITHQPLSEVDKETNISDNHNSTSFSSKTNQDFFVKQTSDFSKYSAFQKQESNSVSLDNSLSSMIPTQFNINIDGSVSKARFETQVCVKPSIILLPPPGNTSSTIDHVKVTEPNWETNCRTTSHRDNPGLEEMKWSDEYLNESLFSSPVYVKSEMDFNSNIAFPWSQSQACDVFPKDLQRMAFSFGQTL >fgenesh1_pm.C_scaffold_1003733 pep chromosome:v.1.0:1:32986277:32989037:-1 gene:fgenesh1_pm.C_scaffold_1003733 transcript:fgenesh1_pm.C_scaffold_1003733 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSRPIHQVFINFRGNLRYGFVSHLTDALKRHNINFFIDTHEQKGRDLKHLFKRIEEATVALVILSTRYAESKWCLDELTKIMDQAEKMEMIVIPIFYKVKPKDVELQEGVFGDRFWSHADQSSREEMEKWQVALKAVCNKVGITLYRKRYEAKFIKKVVKEVEKVLTSIQSGEGRENHCVKVKTFKVPLALPWSWSWFGSAVGLIGTVLGLLSEFVQYEEGREDDCVKKVKTFNVPLALPWLWFSSVVKLIGAVLGLLIETVRSDEGREDDCVKKVKTFNVPLALPWLWFGSVVEMIGAMLGLLIETVRSDEGREDDCVKKVKIFNVPLAMPWIWFGSAVELVGAVLGLLNETVHKCASPAMVILASQVGSVVAISSRWADKSV >fgenesh1_pm.C_scaffold_1003746 pep chromosome:v.1.0:1:33113051:33115860:1 gene:fgenesh1_pm.C_scaffold_1003746 transcript:fgenesh1_pm.C_scaffold_1003746 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFCGSTLQSPFLCNFRRPTIRSSSFIRSSSSTSSNGNLPIKESFSGEPEADVVVIGSGIGGLCCGALLARYDQDVIVLESHDHPGGAAHSFEIKGYKFDSGPSLFSGLQSRGPQANPLAQVLDALGESLPCNKYDSWMVYLPEGDFLSRIGPTDFFKDLEKYAGPSAVQEWEKLLVGAYGAILPLSTAAMALPPLSIRGDLGVLSTAAARYAPSLIKSFIKMGPKGALGATKLLRPFSEIVDSLELKDPFIRNWIDLLAFLLAGVKSDGILSAEMIYMFAEWYKPGCTLEYPIDGSGAVVEALVRGLEKFGGRLSLNSHVENIVIEDGKAAGVKLKNGQFIRARKSVVSNASMWDTLKLLPPGALPDSYVKGVNTTPQCESFMHLHLGFDAEGIADDLEIHHIVVNDWDRGVDADQNVVLISVPSVLSPNLAPPGKHVLHAYCPGTEPFSLWEGLDRRSAEYKSLKSQRSEVMWRAVERALGPGFKREKCEVSLVGTPLTHQRFLRRNRGTYGPAIEAGKGTFPGHSTPIPQLLCCGDSTFPGIGVPAVAASGAIVANSLVPVSKHSQLLDDIGL >fgenesh1_pm.C_scaffold_1006000001 pep scaffold:JGI8X:scaffold_1006:661:2012:-1 gene:fgenesh1_pm.C_scaffold_1006000001 transcript:fgenesh1_pm.C_scaffold_1006000001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFSSQITTLFIVVALVCAFVPVFSVEEAEAKSLWNTCLIKITPKCALDIIAVVFEIGTISDPCCNDLVKEGKMCHDTLIKYIADKPMLIAHETEYLKKSDDLWNHCMDVSPFWVICFYNFLPICNFK >fgenesh1_pm.C_scaffold_1021000001 pep scaffold:JGI8X:scaffold_1021:829:1191:-1 gene:fgenesh1_pm.C_scaffold_1021000001 transcript:fgenesh1_pm.C_scaffold_1021000001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTFLFFTLLIFVSSCTSILIKESSGEETASYFNPAESPFDPYSLNHELKQDWIGYCFDCARACMRRGKYIKRCNLERRLCRCSISKIH >fgenesh1_pm.C_scaffold_103000004 pep scaffold:JGI8X:scaffold_103:16563:17832:1 gene:fgenesh1_pm.C_scaffold_103000004 transcript:fgenesh1_pm.C_scaffold_103000004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LED4] MEANSGGGAEGGRAATGGGGGSDVELVSKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPSSGISWFLDLFNYYVNSEEHELFSKELQLDSKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSSPDEGWAAFRNILAEIHEASGLFVMPNKVKPSDGQEHLVDDVGAGFIPGHGSQQPSSSEHNVDRSVDSPGQEETVSKVIRADQKRFFFDLGNNNRGHFLRISEVAGSDRSSIILPLSGLKQFHEVIGHFVEITKDKIEGMTGANVRTVDPPQR >fgenesh1_pm.C_scaffold_1040000001 pep scaffold:JGI8X:scaffold_1040:642:1195:-1 gene:fgenesh1_pm.C_scaffold_1040000001 transcript:fgenesh1_pm.C_scaffold_1040000001 gene_biotype:protein_coding transcript_biotype:protein_coding MQYFHPDNMSSAEKMKIELAKVREEFKMSESDCGSARVQVAQLTTKIKHLSSVLHKKDKHSRKGLIAMVQRRKKLLKYMRRTDWDSYCLSLSKLGLRDNPDYKF >fgenesh1_pm.C_scaffold_113000001 pep scaffold:JGI8X:scaffold_113:16833:18855:1 gene:fgenesh1_pm.C_scaffold_113000001 transcript:fgenesh1_pm.C_scaffold_113000001 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSNYGYNTFLIFLVFLEVSSSSSTYSSVSTSEKRTVSFNETIVSPGNVYELGLLPTDLNWYLGIWHKEDIFKQFIWVANRDKPFSISTGTLKFSENNLVLSDKDNSHVWSANMNRGGVRSPMVAELLDNGNFVVKDSNNDEVLWQTFDYPTDTLLPEMKLGRDKKTGINKVLTSWHPDDPSRIGYSLQVKNQAGLFELSVCGQDTSKCFYRSDPWDGRRFGDIPLDFSLNYVSPNWTRNVEDSNFTFLMTGQNNNSILTMEGRLPQILTWEPERMMWSLSWHPLDFYSKYQICGPNSYSSRTTTFSVCTCIKGFDPAFHENWSLRDWRGGCERTTRLNCTGDHFLQLKNMKLPDTKDVTVDMVIGKKNCEKRCLRDCDCTAYAYVTILKGHAGCVMWTGALNDFQNYSVGGRDLYVKVAAAIDHGNTA >fgenesh1_pm.C_scaffold_122000001 pep scaffold:JGI8X:scaffold_122:4704:6934:1 gene:fgenesh1_pm.C_scaffold_122000001 transcript:fgenesh1_pm.C_scaffold_122000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP708A3 [Source:UniProtKB/TrEMBL;Acc:D7MWG8] MSSIWNVAMLILALVVVRISHWLYRCYGFPIIGETIDFLKPCGFNDIPTFVKKRMIRYGLLFRTNIFGSKTVVSADPDVINQIFRQENTSFGLGYPDILVKVFGKDNLFLKEVFIHKYLHKVTMQIIGSEGLKQTMIGNMDKATRDHFRLKASQGSFNVRKEVENLIVAYMTPKLISNLKPETQSKLIDNLNAFNLDWFQSFFSLSSWKALIKVLKSRGEAIQVMKDVLSMRRESREKQEDLLNTLLEELDKESSIFDQGSATNLIFLLAFVAREGTSSCTALAVKFISKDPKVLAELKREHKAIVDNRKDKKAGVSWEEYTHNMTFTNMVINESLRLSNTTPLLFRKALHDVEIKGKYYLERYTIPAGWIVAVAPSAVHYDPTNYENPFEFNPWRWEGKEMTRGSKTFMAFGICAASNGNLPSSSCFILRFLSGPRLRDHSFTIPSIH >fgenesh1_pm.C_scaffold_123000001 pep scaffold:JGI8X:scaffold_123:7144:13175:-1 gene:fgenesh1_pm.C_scaffold_123000001 transcript:fgenesh1_pm.C_scaffold_123000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP82G1 [Source:UniProtKB/TrEMBL;Acc:D7MWG9] MFIFFSTLQFSLFSLALVIAGYIFLGKKLSKGEVDSSTIPEPLEALPLFGHLHLLRGKEPICKKLAAMSEKHGPIFSLKLGFYRLVLASDPKTVKDCFTNNDMALATRPNIAFGRYVGCYNNASLTLAPYGDYWRELRKIATVHLFSNQSIEMLGHIRSSEVNTLIKHLYKGSGGTSIVKIEIQGRHCEYLAVIPMIGDVIPWLGWLDFAKISQMKRLFMELDSVNTKWLQEHLKKRSRNEKDQERTIMDLLLDILPEDIVISGHVRDVIVKATILALTLTGSDSTSITLTWAVSLLLNNPATLKAAQEEIDNCVGKGRWVEESDLQNLKYLQAIVKETHRLYPPAPLTGIREAREDCFVGGYRVKKGTRLLANIWKLHRDPKIWPDPQAFEPERFMEEKSQCEKSDFGYIPFGSGRRSCPGVNLGLRVVHFVLARMLQGFELRKVSDEPVDMAEGPGLALPKINPVEVVVMPRLEPELYSSL >fgenesh1_pm.C_scaffold_164000001 pep scaffold:JGI8X:scaffold_164:1602:2759:1 gene:fgenesh1_pm.C_scaffold_164000001 transcript:fgenesh1_pm.C_scaffold_164000001 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGREEKNSHETLPSPTRLRHGGVINIPLDVTVEILKKLPTKSLLRFQCVSKLWSSIISSRRDLIDSIITRSLNEPPRDAHIISLVSSNCFPNRICKSFIAFSSTTCPGNTDKELVLVPGQYHQSVRGLVCCWSHFPNVRVVEIWVMETQGWSKFFLCEKEDFHSWSIAGTTRGGEIVLVKSVYWSYDKLRVYYYDLKGNSMRYVDLENCYTEDGNRKGYASTIWTGPDHVENIMGLH >fgenesh1_pm.C_scaffold_176000001 pep scaffold:JGI8X:scaffold_176:11088:12189:1 gene:fgenesh1_pm.C_scaffold_176000001 transcript:fgenesh1_pm.C_scaffold_176000001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAHKLVEDIWNLIIQKSDEEVSDLLRKPTRLLFDAASCGNVEFLVILIRSYPDLIWKVDRRNRSLFHIAAINRHESIFNIIYELGAIKDLIASYREEATNNTLLHLVASLPPHDRLHIVSGAALQMQREILWFKAVKKIVPRSYIKSKNKEGELAQDIFTNGHKELRKEGEKWMKDTATSCMLVATLIATVVFAAAFTVPGGNNEESGLPILQKKKWFNIFILSDAVALCSSSTSIVIFLSILTSRYAEDDFLVSLPSRLMLGLLALFVSIIAMVIAFCATLFLIYDRRLAWNLAFIISLASITAFSFALLHVQLWFDTLRSAYWSKFLFQHRKHRLH >fgenesh1_pm.C_scaffold_180000001 pep scaffold:JGI8X:scaffold_180:5606:7291:-1 gene:fgenesh1_pm.C_scaffold_180000001 transcript:fgenesh1_pm.C_scaffold_180000001 gene_biotype:protein_coding transcript_biotype:protein_coding MVILSASSTVRAALDTQPRLPYNPNAPRKVKKTPNNNSFLPPPSPPPSPGISISVADLLKRPASKELTVDVDDTYMGYETWSPSPPKLEKPRSVFNAASLAFIGDSIFELYARRHFLFPPLSIEDYNDRVRAVVRCEAQYALLKKLVDDDFLTKEEREIMQKLGFSSDSSTEIVIEEAKPKPSESNLSNFILNEQVVS >fgenesh1_pm.C_scaffold_189000001 pep scaffold:JGI8X:scaffold_189:12044:13378:1 gene:fgenesh1_pm.C_scaffold_189000001 transcript:fgenesh1_pm.C_scaffold_189000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:D7MWT0] MVDGEEEEEKSKAEKAPAEKKPKAGKKLPKEAGAGGDKKKKMKKKSIETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >fgenesh1_pm.C_scaffold_191000002 pep scaffold:JGI8X:scaffold_191:5621:9201:-1 gene:fgenesh1_pm.C_scaffold_191000002 transcript:fgenesh1_pm.C_scaffold_191000002 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSTENPPNDPLSSSSSPPFLHLPTSSHELGQSHLSNFSIRDYAYSNRKNNIKNNWPFSSKSLQLFSTHGITDPLPPLQKVSTVSNQFETKASSSSGKQIVSYVHHGRDLAKLGLNQTLAETSKGGVSSQSRIIENGLFSSTSVSKSEVEIVVAATSNKKDNHSKKCGRGMVKSKEDSCGGLVTTSESIMASKTCPICKTFSSASNTTLNAHIDQCLSVDSALPPVVSSKPNKPRSKPRVKVKTMVDIYASAKEGTLEDLDKRNGTKWVSILSYTNRVVAEKSEVSKKRKVSPVGVGPVYIDAKGQKLRILSEFSEKKTSTTPSREQHEDGSGGKKCLSQGSKGHNKCLRKIRRVKKPHKYVKLTNHKANAPEIPGDQRGFSGEGSHTGHHRIHNQRMLAKRGLISKKLNEKGHELYDTWSGGDPTVLRGTDLFATDSYPLYKQKLGSEVARPKKALFGSKSAQSRSFRVPQSEKEDESLEGIQINTLRLKKSIASFQEDKYPPGKNFCSDAVDVSDASPRGTSMRKFSPPFVPNAWRRLSVPVELNKARLDFSEEEDEEETGKWESEMTQERELPDNDYVSGDNGERNEVLLRSNPSSSGYDDYNDDDDESSEEEEANNKRAHVLDKTDDMGAEFYQSDSPPSIEILPRERAMYYSEVGNMIYGQTSCKENERLDSVVGQGSLFVEVDTIPIPGPPGSFLPSPRDMGFDENLGNSSVITSQVQSSMDQLDRNSSESPVSAVSNFAAGRLNFPAELSSTFRENFSPDIAMSYSTTSMGFCVPSHHGTTEAEPITIDKTTLPSRFRNNDQESCCCQRKERISEGITRNHQGSHLLQRRAASSSITMNLTNSPTRLDPNHPFEQSPYKIQQALDLQSKFSSRTNPNAVVPPSPSNPVLRLMGKDLMVMNQGEADEEASRSSLTPTPQFVDPPCGGTGLYFNTGLYLRNSFESTQQPQAQTQPQPQPQAAAFRNNFDHVRYFSPS >fgenesh1_pm.C_scaffold_2000002 pep chromosome:v.1.0:2:22713:24345:-1 gene:fgenesh1_pm.C_scaffold_2000002 transcript:fgenesh1_pm.C_scaffold_2000002 gene_biotype:protein_coding transcript_biotype:protein_coding METQGKVITCRAAVAWGAGEPLVMEDVKVDPPQRLEVRLRILFTSICHTDLSAWKGENESQRAYPRILGHEAAGIVESVGEGVEEMKAGDHVLPIFTGECGDCRVCKQDEANLCERFRVDPMKKVMVTDGKTRFFTSKDNKPIYHFLNTSTFSEYTVIDSACVLKVNPLFPLEKISLLSCGVSTDKCLWNEGVGAAWNVADIQPGSTVAIFGLGAVGLAVAEGARVRGASKIIGIDVNPDKFPLGREAGISEFINPKESDKAVHERVREITEGGVECSFECAGSIEALREAFLSTNSGVGVTVLLGVHASPQLLPIHPMELFQGRSITASVFGGFKPKTQLPFLITQCLQGLLNLDLFISHQLPFHDINKAMQLLHQGKAIRCLLRL >fgenesh1_pm.C_scaffold_2000024 pep chromosome:v.1.0:2:181205:181501:-1 gene:fgenesh1_pm.C_scaffold_2000024 transcript:fgenesh1_pm.C_scaffold_2000024 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRERFPVPRLVVCDQHGSQARFLLAKLNPSATYNNANEMSTGSDVIFTDDVSLQVFFEHLQKLVVQS >fgenesh1_pm.C_scaffold_2000025 pep chromosome:v.1.0:2:197721:199357:1 gene:fgenesh1_pm.C_scaffold_2000025 transcript:fgenesh1_pm.C_scaffold_2000025 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFVKCFSLKRTKHPRGYEDPHVLASETPFTVNEIEALHDLYKKLSTSIIDDGLIHKEEFLLALFRNSSMQNLFADRVFYMFDRKRNGVIEFGEFVRSLSIFHPYTPEHEKSAFMFKLFDLHGTGFIEPHEKMVGALLGETDLELSEESIEAIVEQTMLEVDTNKDGKIDEEEWRELVAKNPSILKNMTLPYLKEVTLAFPSFVLDSEVDD >fgenesh1_pm.C_scaffold_2000040 pep chromosome:v.1.0:2:339113:340634:-1 gene:fgenesh1_pm.C_scaffold_2000040 transcript:fgenesh1_pm.C_scaffold_2000040 gene_biotype:protein_coding transcript_biotype:protein_coding MKASESKQLGEKNKGLRSQISGLESVLKEKGDEISILVNKFGNSELGLTSRIEDLKCQLKNLEQEIGFLRARNAGLAGNLEVKRVEEKERVKGLTDQVNGMKHELESLRSQKDESEAKLEKKVEEVTETKMQLKSLEEETEEERNRLNEEIGHLRGENQMLHRRIAELESLHMEMKTESAHEMEDASKKLDIQVSDQKKLVKEQDDIIRRLSAKIKDQQRLLKEQKDTIDKFSEDQKLSKRWSFGSSRDSKLNPNALERKMEELAEDFRMKIEDHIRILYRRIHVAEQIHLESKNDYIKTRDMLQENKENRESLMFFETQFNKMKGALEKGYTGSETAMKKLEEAEETTNRVARLAKEMESAKLWVSEKKSEVETLTAKLECREAQETLLKEKLSKLEKKLAEEGTEKLKLAKVLSKFETRIKELEMKVKGREVELLSLGEEKREAIRQLCILVDYQRDRYDQLKKSSILKVDHLNT >fgenesh1_pm.C_scaffold_2000047 pep chromosome:v.1.0:2:409189:411519:1 gene:fgenesh1_pm.C_scaffold_2000047 transcript:fgenesh1_pm.C_scaffold_2000047 gene_biotype:protein_coding transcript_biotype:protein_coding description:F22C12.4 [Source:UniProtKB/TrEMBL;Acc:D7KSU3] MNDADASVQIQQMVRFIRQEAEEKANEISISSEEEFNIEKLQIVEAEKKKIRQEYEKKEKQVDVRKKIDYSMQLNASRIKVLQAQDDIVNAMKEEAAKELLKVSQHGFFNHHHHQYKHLLKDLIVQCLLRLKEPAVLLRCRKEDLHMVESMLDDASEEYCKKAKVHAPEIIVDKDIFLPPAPSEDDPHALSCAGGVVLASRDGKIVCENTLDARLEVAFRNKLPEIRKSLFGKFGEAKSMNPTGRRCPDPNGVDKKSVCYSYCKTQGFMGGSCQGHTGNYMCKCYEG >fgenesh1_pm.C_scaffold_2000048 pep chromosome:v.1.0:2:417763:418360:-1 gene:fgenesh1_pm.C_scaffold_2000048 transcript:fgenesh1_pm.C_scaffold_2000048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase family protein [Source:UniProtKB/TrEMBL;Acc:D7KSU6] MATASFRWILQLHRDVPKAARFYEKGLDFSVNVVTLRWAELHSGPLKLALMQCPSEHMPSEKGYSSLLSFTVTDINTTISKLMELGAELDGSIKYEVHGKVASVRCLDGHVLGLYEPS >fgenesh1_pm.C_scaffold_2000050 pep chromosome:v.1.0:2:424705:427759:1 gene:fgenesh1_pm.C_scaffold_2000050 transcript:fgenesh1_pm.C_scaffold_2000050 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVNGTIPAMKCPKNVAMMKATSNGVFDGESPLDFAFPLVILQICLVVAVTRSLAFLLRPMRQPRVVAEIIVSLPSTGLGQSYSFRCTGIPFGLCPFLGGILLGPSALGRITSYKNSIFPARSLTVLDTLANLGLLLFLFLVGLEIDLTSLRRTGKKAISIAAAGMLLPFGMGIVTSFAFPEASSSGDNSKVVPFIIFMGVALSITAFGVLARILAELKLLTTDLGRISMSAAAINDVAAWVLLALAVSLSGDRNSPLVPLWVLLSGIAFVIACFLIVPRIFKLIARRCPEGEPIGEMYVCVALCSVLIAGFATDAIGIHAIFGAFVMGVLFPKGHFADAIVEKIEDLVMGLLLPLYFVMSGLKTDITTIQGVKSWGRLALVIVTACFGKIVGTVSAALVCKVGLRESVVLGVLMNTKGLVELIVLNIGKDRKVLSDQTFAIMVLMAIFTTFITTPIVLGLYKPSEATQMHSSVSYNYKNRKYRRKIENDDEGEKTQQLKVLVCLQSSKDIDPMMKVIEATRGSNETKERFCVYVMHLTQLSERPSSIRMVQKARRNGLPFWNKKRETSSAVTVAFEASSKLSSVSVRSVTAISPLSTIHEDICSSADSKRATFVILPFHKQWRSLEREFETVRSEYQGINKRVLENSPCSVGILVDRGLGDNNSSNFSLSVNVLFFGGCDDREALVYGLRMAEHPGINLTVVVISGLERSRFDILEAQETSIYSVDEQFLAAIKKRANATRFEERTVESTEEVVEIIRKFCECDLLLVGRSSKGTMVSRLTVMKIECPELGSVGNLIVSNEISTSVSVLVVQQYTGKSPSVVGSVTVPVVETP >fgenesh1_pm.C_scaffold_2000054 pep chromosome:v.1.0:2:450182:451232:-1 gene:fgenesh1_pm.C_scaffold_2000054 transcript:fgenesh1_pm.C_scaffold_2000054 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWSKAPDSSSVEWQRHHMTIESVDHETKSMRQRFTFPEFFEGYRLITSTTKVNDSHTGLNSIVDISVEYDKTGPEIKDLDEVQFLVSYINELVARTGGQLLIRFK >fgenesh1_pm.C_scaffold_2000056 pep chromosome:v.1.0:2:462777:463256:1 gene:fgenesh1_pm.C_scaffold_2000056 transcript:fgenesh1_pm.C_scaffold_2000056 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKTTLLIVLVAVLLSCVSISNAREMQHPQNVECVGGECPPKHPQQYFGSCFRDQDCHNSCFSFCRYQKCHHHECICELCSSDVAPSPK >fgenesh1_pm.C_scaffold_2000064 pep chromosome:v.1.0:2:538232:539424:-1 gene:fgenesh1_pm.C_scaffold_2000064 transcript:fgenesh1_pm.C_scaffold_2000064 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQEEKRLEVYKRRRGQSSSMSKPYSSFPLDLASEILLKLPAKSIVRSRCISKLWSSITTDPYFIKSFETRSLSKPSLLLFFKRMDKLCVFSFPQHHQNSNERYGNDSSPQPLDIYQMKCPKYFCFSFMESVHGLICFRKLATPIIWNPTMRQFLTLTKPDKTWRSIGYDPIEGKHKVVCLPGDKIWEDCRVLTLGSGQEQWRSIKTSLDHHPYTDSYGRCINGVLYYKAGIDNLSGQSQAVIMSFDVRSEKFHAITLPVDTIRGLLIAYEGRLALVHSDYDAEKHKWSDKSFPVPFSHTDPVLKAKFKLSGITDAGEFIYLPSTFLRSFYIKYYDPKRNSFRTVEFKGVADREFRHKSGLGNRRVYALQTFPNHMDNLISLCNT >fgenesh1_pm.C_scaffold_2000068 pep chromosome:v.1.0:2:550515:552061:1 gene:fgenesh1_pm.C_scaffold_2000068 transcript:fgenesh1_pm.C_scaffold_2000068 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 2,4-cyclodiphosphate synthase [Source:UniProtKB/TrEMBL;Acc:D7KSX3] MATSSTQLLLSSSSLFHSQITKTPFLLPATKIYLRRPKQSLSLSCRPSISVLAASSAVDVNESATSEKPTKTLPFRIGHGFDLHRLEPGYPLIIGGIDIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPKWKGAASSVFIKEAVRLMDEAGYEIGNLDATLILQRPKISPHKETIRSNLSKLLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVILLMKK >fgenesh1_pm.C_scaffold_2000072 pep chromosome:v.1.0:2:575216:576870:1 gene:fgenesh1_pm.C_scaffold_2000072 transcript:fgenesh1_pm.C_scaffold_2000072 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMYB103 [Source:UniProtKB/TrEMBL;Acc:D7KSX9] MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFSPEEEKLIISLHGVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPHHHYNRHQPSITTVTMNVDDAPIATTVTSTTNPSTIDNLHFDGFMDSVNQLNFANDQETNIKIQETLFSHKPPLFMVDTALPILEGMFSQNIITNNNNKNNNHDTQRGGRGNICEQAFLTTSTEEWDMNLPQQEQREPFQVPTMASHLFNNSTNSNIETTISYNPPAILEENVDNIVPIENSNVQDGYMASSFECLKRQDLSYDQWVDSQQCSNFFFWDNLNINMDGSSLLGNQDSSMTLGSSALSSSFPSPF >fgenesh1_pm.C_scaffold_2000075 pep chromosome:v.1.0:2:611536:613306:-1 gene:fgenesh1_pm.C_scaffold_2000075 transcript:fgenesh1_pm.C_scaffold_2000075 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSTATTTAANGYSSPRDSSFPSSFTKFNSALTAGLLNPMSPPLPPAMLDKTRSSPTLFEMMASEADTIGKVPVQIHNGVLPSPSSSSSSSSAAATAARTTNVTHLVISAQDKQALAMQRISDLLVIRSPGNQFNDPNSSDVKLTLSSKDGISITMCVHRQILVAHSRFFAMKLSDRWSKQQLPPSSSPYIVEISDCDDVEVYIETLMLMYCRDLRKKMMRQDVSRVLGILKVSAAIGFDAGVLSCLEYLEAAPWSEDEEYRIASLLSELHLENVGATEVLRRVSVEASNGSNGSNGGSNDEVLLNLLHIVLEGKDEKARRDMKTLVSKMLRENSSGNDLRKESLYLACDGCLHKLKRQFLQAAESDLENVDQIARQADNLHWILDILIDRQIAEDFIVMWASLSELSEVHSKVPVVHRFEISRVTARIFVGIGKGQILTPKEVRCLLLRNWLTPFYDDFGWMRRASKGLDRYLIEDGLSNTILTLPLAWQQEFFLAWFDRFLNSNEDCPNIQRGFEVWWRRAFWRRKEQSQEPPRLRVTASATDNS >fgenesh1_pm.C_scaffold_2000087 pep chromosome:v.1.0:2:753236:755886:-1 gene:fgenesh1_pm.C_scaffold_2000087 transcript:fgenesh1_pm.C_scaffold_2000087 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE316 [Source:UniProtKB/TrEMBL;Acc:D7KTF1] MAFTFLSPNPVFLSLTGTTSSFSYKPVLLPFSRNSRNLTVAAGPARRNSYPNPADDDPPEAPEDSMHGVSKFQQIQRQAARARKLEEEDFEKNRNTYLSAIADVEDAAETGRDDEESGGDLFSDIDRAISMKRSEFVKQGLLKPNPPKTASLKKIGEEGDEEEGDVTDVVDELDEEEVVDLDEIDKLTGLTEISDEEDWVDEEGNTRINKKKEIGSDHQFEFDLDDFGESKVRIVEPKFKMSLAELLDESKVVPISVYGDLDVEITGIQHDSRGVSAGDLFVCCVGSESFLSEADKRGAVAVVASKEIDIEDTLGCKALVIVEDTNAVLAALASSFYRHPSKNMSVIGVTGTDGKTTTTYLIKSLYEAMGVRTGMFSTVSCYIHGDNKLDTPNATTNPDAVLVQSLMAKMLHNGTEALVMEASPQELALGKCDEVDFDIAVFTNLTRENTDFRGTDEEYRDAEAKLFARMVDPGRHRKVVNIDDPNATFFVQQGNPNVPVVTFAMENTKADVHPLMFELSLFETQVLVNTPQGILEISSGLLGRHNIYNILAAVAVGIAVGAPLEDIVRGVEEVDAVPGRCELIDEEQAFGVIVDHANTPDGLSRLLDSIRELKPRRIITVIGCEGENERGKRPLMTKIATEKSDVTMLTSDNPRNEDPLDILDDMLAGIGWTMQEYLKHGEHDYYPPLANGHRLFLHDIRRVAVRCAVAMGEEGDMVVVAGKGHEAYQLEGEKKEFYDDREECREALQYVDELHQAGIDTSEFPWR >fgenesh1_pm.C_scaffold_2000093 pep chromosome:v.1.0:2:801022:801935:-1 gene:fgenesh1_pm.C_scaffold_2000093 transcript:fgenesh1_pm.C_scaffold_2000093 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTIDVALFCFFLFSLGAMSQPSQNLTDCNQLPDTYQSNRNTVLSTLRNRSSLGSYYFNATAGLSPNTVYGMFLCIGNISKTSCSNCVHSATLGMDKSCDSHDTSFMFSDECMVRYSDVSFFSLVEDAPTSSSYYRNDSLNSPHFFNKTLPGKIDKLVFRASSSSSSPVPYFVEDQEHVTQLEGSYDLEAMAQCSPDLDPSNCTVCLGLVVEKFSECCSQSRWARIYFPKCLLRYDISALQPNLTSFGVTTKGDDIFGRTFIAIMIGLLMGL >fgenesh1_pm.C_scaffold_2000102 pep chromosome:v.1.0:2:848993:854299:1 gene:fgenesh1_pm.C_scaffold_2000102 transcript:fgenesh1_pm.C_scaffold_2000102 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREAMAFPGSHSQFYLQRGAFTNLAPSQLASGLHAPPQTPGTRPMPNPNIHHPQANNPGLPFSDFGHSIHMGMAACASPAAVQPTLQPPPPPPPPEQPMVKKKRGRPRKYVADGQVSLGLSPVPCVSNKSKDSSSMSDPNAPKRARGRPPGTGRKQRLANLGEWMNTSAGLAFAPHVISVGAGEDIVSKILSFSQQRPRALCIMSGTGTISSATLCEPASTAPSITFEGRYEILSFGGSYLVNEEGGSRSRTGGLSVSLSGSDGRIIAGGVGMLIAASLVQVVACSFVYGASAKSHNNNNKTIRQEKEPKEEDNNSEMETTPGSAPEPAVSAAHSSKTPTLITGYIKTAIVGFKCMDGREAMAFPGSHSQFYLQRGVFTNLTPSQVASGLHAPPPPPGMRPMSNPNIHHPQASNPGPPFSIAEHRHSDFGHSIHMGMASSASPAAVQPTLQLPPPLSEQPMVKKKRGRPRKYAPDGQVSLGLSPMPCVSKKSKDSSSMSDPNAPKRARGRPPGTGRKQRLANLGEISSEWMNTSAGLAFAPHVISVGSGEDIVSKVLSFSQKRSRALCIMSGTGTVSSVTLREPASTTPSLTFEGRFEILSLGGSYLVNEEGGSKSRTGGLSVSLSGPEGHVIGGGIGMLIAASLVQVVACSFVYGASAKSNNNNNKTIKQEIKPKEEQNNSEMETTPGTAPEAAASTGQQTPQNFPAQGMSGWPSSGSGSGRSVESSRNPLTDIDLTRGNSIRYVSAVHIFVVVDLFLGMFPFVSSVAVFNLVLEMK >fgenesh1_pm.C_scaffold_2000107 pep chromosome:v.1.0:2:892635:893818:1 gene:fgenesh1_pm.C_scaffold_2000107 transcript:fgenesh1_pm.C_scaffold_2000107 gene_biotype:protein_coding transcript_biotype:protein_coding description:F2K11.21 [Source:UniProtKB/TrEMBL;Acc:D7KTH6] MARVFPQAAISSPYMSTERETFTVWMKSLVYQTNGLTVYNSNGEITYRVENYDKCSNEVHIMDLHGNILFTIRKKKLWLFGSWYVYRECGSFTSSEEVKPFVRVKRSSIRDGDWEVRDETTEVFWILRFDPKFAFQIIDIHGDIIAQVKPKQSSNGITLGEDVLTLEVKPRVDHSLVVALVTVYGLIKGVV >fgenesh1_pm.C_scaffold_2000113 pep chromosome:v.1.0:2:952456:953565:1 gene:fgenesh1_pm.C_scaffold_2000113 transcript:fgenesh1_pm.C_scaffold_2000113 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7KTI6] MDCEENVGNESLVLIKQGAEARVFESTFAGRRSIVKERFSKKYRHPILDAKLTLKRLNAEARCMTKARKLGVCTPILYAVDTLLHSLTLEYIEGVSVKDIFLDFGANGIIEERLDDVAAQIGAAIAKLHDGGLAHGDLTTSNMLVRSGTNQLVLIDFGLSVTSTLPEDKAVDLYVLERALLSMHSSCGNVMDRILTAYRKSSKQWSATFNKLAQVRQRGRKRTMIG >fgenesh1_pm.C_scaffold_2000114 pep chromosome:v.1.0:2:970952:974160:1 gene:fgenesh1_pm.C_scaffold_2000114 transcript:fgenesh1_pm.C_scaffold_2000114 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLILRERSGSFTGSLWSQIFSRNMGGGPRTFPGGLNKWQWKRMHEKKAREKENKLLDQEKQLYEARIRTEIRAKMWGNPDSGEKTAKSKQSHGPMSPKEHIKTLADRFMKAGAEDLWNENDGPVKESDDGSGLTRRDNGRSGSNSIVSSSNSSIDVRKLVSGTCYSMGNSRVFDRSRRGFSSMSRGRFKRNESSCDEGDDFDAKKLDTLSPFSLKFAGTKEKVKSSRSVDGVIRNKGLFGRRKFRKNDSSTEEDSEEEGEEGKMIGWMDLRKTGSSASLGNHDIKLTKRVNRNVTDEELYPPLDINTVREDLSKRKSVDNVIKENREPHDSFYSRKRFDESSISPLTLKALSASGIVNMTRVQDATLSECLDGKDALVKAKTGTGKSMAFLLPAIETVLKAMNNGNSVHKVPPIFALILCPTRELASQISAEGMALLKYHDGIGVQTLIGGTRFKLDQQRLESEPCQILIATPGRLLDHIENKSGLTSRLMALKLFIVDEADLLLDLGFRRDVEKIIDCLPRQRQSLLFSATIPKEVRRVSQLVLKRDHSYIDTIGLGCVETHDKVKQSCIVAPHDSHFHLVPHLLKEHISNTLDYKIIVFCSTGMVTSLMYTLLREMKLNVREIHARKPQLHRTRVSDEFKESKRLILVTSDVSARGMNYPDVTLVIQVGIPKPEEKAKEEKDCPWERYFLDELKDLPLEPIPVPDLDSRVNLQVDQSMAKIDTSIKEAAYHAWLGYYNSVRETGRDKTTLAELANRFCHSIGLEKPPALFRRTAVKMGLKGISGIPIRK >fgenesh1_pm.C_scaffold_2000119 pep chromosome:v.1.0:2:1056926:1058145:-1 gene:fgenesh1_pm.C_scaffold_2000119 transcript:fgenesh1_pm.C_scaffold_2000119 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KTK0] MPHGTLEVVLVSAKGLEDADFLNNMDPYVQLTCRTQDQKSSVAEGMGTTPEWNETFIFTVSEGTTELKAKIFDKDVGTEDDAVGEATIPLEPVFVEGSIPPTAYNVVKDEEYKGEIWIALSFKPSENRSRGINEESYGGWKNSEASY >fgenesh1_pm.C_scaffold_2000120 pep chromosome:v.1.0:2:1097142:1098047:1 gene:fgenesh1_pm.C_scaffold_2000120 transcript:fgenesh1_pm.C_scaffold_2000120 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVYLSYEVGSFPKNLIPPHIRRDENKEPKYTTQQECVMMRRQVRKSKGFDIDFTQFRSVFNYRPVNFDCKEYSLAPETTRGLLERLSRNSLKNYNKEWFTEYEFLNVVKANSYMCSGRMYFITFEVRDPYDNLPKLFQARVRYYYDVTDDYILCRPKPNQKVKCVGTAKTHKR >fgenesh1_pm.C_scaffold_2000149 pep chromosome:v.1.0:2:1419448:1419758:-1 gene:fgenesh1_pm.C_scaffold_2000149 transcript:fgenesh1_pm.C_scaffold_2000149 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIKGVFISCDVPMAQFIAHLNNSLPASQKFIIRVLKLDTTCIFVKPHAEEMIRSAFINFRDQNSYAKAN >fgenesh1_pm.C_scaffold_2000164 pep chromosome:v.1.0:2:1523458:1527686:1 gene:fgenesh1_pm.C_scaffold_2000164 transcript:fgenesh1_pm.C_scaffold_2000164 gene_biotype:protein_coding transcript_biotype:protein_coding description:F23N19.7 [Source:UniProtKB/TrEMBL;Acc:D7KU77] MAKSCYFRPALLLLLLLLVRAESRGQFEPKILLPTEKTKPTADQDEDGIGTRWAVLVAGSSGYGNYRHQADVCHAYQILRKGGLKEENIVVLMYDDIANHPLNPRPGTIINHPDGDDVYAGVPKVLHNNYSDSDSRDICYGKLNLMCGPLIGIAPRFFIATSYFPFLIVCSDYTGSNVTAANFYAVLLGDQKAVKGGSGKVIASKPNDHIFVYYADHGGPGVLGMPNTPHIYATDFIETLKKKHASGTYKEMVIYVEACESGSIFEGIMPKDLNIYVTTASNAQESSYGTYCPGMNPSPPSEYITCLGDLYSVAWMEDSETHNLKKETIKQQYQTVKMRTSNYNTYSGGSHVMEYGNNSIKSEKLYFYQGFDPATVNLPLKKLPVNSQVGVVNQRDADLLFLWHMYRASEDGSRKKDDTLKELTETTRHRKHLDASVELIGTILFGPAMNVLNSVREPGLPLVDDWECLKSMVRAFETHCGSLTQYGMKHMRAFANVCNNGVSKELMEEASAAACGGYNEARYTLHPSVLGYSA >fgenesh1_pm.C_scaffold_2000176 pep chromosome:v.1.0:2:1642059:1647228:-1 gene:fgenesh1_pm.C_scaffold_2000176 transcript:fgenesh1_pm.C_scaffold_2000176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7KUP4] MEPTSNPINSQHVAVIGAGAAGLITARELLREGHTVVVFEREKEVGGLWIYSPKTESDPLSLDPNRSIVHSSVYESLRTNVPRESMGVRDFPFLPRFDDISRDPRRYPRHREVLAYLQDFAREFEIEDMVRFKTEVVRVEPVDGKWSVQSKKSGDCSNDEIFDAVVVCSGHYTEPNVAHIPGINSWPGKQIHSHNYRVPGPFENEVVVVIGNFASGADISRDIAQVAKEVHIASRASESDTYKKLPVPHNNLWIHSEIDSAHEDGSIVFKNGKVVYADSIVYCTGYKYHFPFLETNGYMSIDENRIDPLYKHVFPPALAPGLSFIGLPAMGIQFVMFEIQSKWVAAVLAGRVKLPSQDKMVEDINSWYASLHALGLPKRYTHKLGKIQSEYLNWIAEECGCPLVEHWRNQQIVRGYERLVSQPETYRDEWDDNDLMEEAYEEFGRNKLISSHPSHFLEPRG >fgenesh1_pm.C_scaffold_2000177 pep chromosome:v.1.0:2:1666724:1667848:1 gene:fgenesh1_pm.C_scaffold_2000177 transcript:fgenesh1_pm.C_scaffold_2000177 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHGLSNDDISCKKRFESYEESSKKNQIRMVTLFGQQVYTAKGESFVSGIEQVNRNCLNPLMKDCDLLLLENHESVECREFHSIGCSQDTIWIEAYGTNMFGKKSEKVNISDGSGLLDLNKSPAYEPVSNDYQCGLNGVHEKDWAASPASCCTAENSSKIEGEDSCEVIQMAAECLVHISAVTLNQSQDQEILNNHKMGVQEPGRSCDSFELHTLGIRETIPEELCCVSSKAIDNFSNKKEFGVKLRRGRRMKNFQKEILLELVSLSRHEIREDINLLETILRSRDYKKMQGKNTKDGKCRPNRRNNKGLTQRYVGKMRRQSG >fgenesh1_pm.C_scaffold_2000209 pep chromosome:v.1.0:2:1918361:1925018:1 gene:fgenesh1_pm.C_scaffold_2000209 transcript:fgenesh1_pm.C_scaffold_2000209 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:UniProtKB/TrEMBL;Acc:D7KUT8] MVSSPRSESTSGNNNTTTPDGISGKRTPSSSSSEDKSPSSKRPKLGDGGGASIENSKPPTSGEAKIAAEPPPPPVAEGSTPDSSFSGWKYQHSTFKTPWCRLLSESAQHPNVNISTSSFTIGSCLTSNLTLKDRNLGALLCKITRIQRNGNVVAVLDITGTGGPVRINGALANKNVSHVLHSGDELMSKVAVKSGGEQVPAAKFLQLEREARDPSTVSILASLEISRENPATSGVQEGAELEFDNQSNKAADSGVVSSHNQDSKMEKNEVTRRPEQAARFRKYIQAGIVEGERLKFSFENFPYYLSENTKNVLLAVSHIHLNKENTGYALYASDFTTLNPRILLSGPAGTEIYQEILAKALAKYFKAKLLIFDGHPILGVMTAEEFESLMNGPASKELIDRGKSLDLSAGEGGSSSPSPATSPGPDSQPKFEPETLPCSFGTPISGLLILHWEKTLAGDRVRFIGDELCSGLPTSRGPPYGVRGKVLLVFDENPSAKVGVRFENPVVDGVDLGELCEMGHGFFCSATDLQFESSGSEDLNELLVSQLFEVAHDESRTCPVILFLKDDEEVFVGNSDFCSAFKSKVEEIPDNVIVICSQTHSDNHKEKDIGLLTNLFGNKVTIYEPQGEDLLKSWKYHLDRDAETLKTKANRNHLRMVLGRFGIDCEGIETLCMKDLTLQSDSAEKIIGWALSHHIKCNPDADPDVSVTLSLDSLKCGIELFQALVNETKSPKKSLKDIVTEVDFEISDVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCQGQLTTPCKGILLFGPAGTGKTMLAKALATEAGANLINISMSRWFSEGEKYVKAVFSLASKISPSIIFMDKVDSMLFQDQKTANEFIINWDGLRTNEKEHVLVLASTNRPFDLDEAVIRRLPHRLMVGLPDALSRAKILKVILAKEDLSPDFDIDAVASMTNGYSGNDLKNLCVTAARRRIKEIVEKEKSERDAALAEGRVPPARSGSSDIRALNIEDFRNALELVSMSVSSESVNMTALRKWNEHYGEGGSSKWNEHYT >fgenesh1_pm.C_scaffold_2000210 pep chromosome:v.1.0:2:1925567:1936324:1 gene:fgenesh1_pm.C_scaffold_2000210 transcript:fgenesh1_pm.C_scaffold_2000210 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLILHGRKLVQFQKWRHLRVSVNLYQDGSAFSNSFSSAASASDVSPRVGRKGNNFTVSYLVDSLGLPNKLAESISRKVSFEDKGNPDSVLSLLRSHGFTDSQISSIITDYPVLLIADADKSLGPKLQFLQSRGASSSELTEIVSAVPKILGKKEGKSISAYYDFVKVIIEADKSSNMGRICHSLPEGSKQENKIRNVLVLRELGVPQRVLFSLLLSDGRHVCGKEKFKESLKKVVKIGFDPTTSMFVEALKVLYTLSDKGIESKFNAFKRLGLAVGDSEKKIENSIETFLGLGFSRDEFLMMVKRFPQCIGYSTEYLVKEMNWPLKAVASIPQVLGYSLEKRTVPRCNVIKVLISKGLFGSELPPISSVLTSPREKLVQLQKWRHLRVSVNLLEKPSPFPNSFSYATATDASLRAGRKRLNFSVSYLVASLGLTKEVAESISRKVCLVDKGNPDSVLSLLRSYAFTDSQISTIVTDYPQLLIADAEKSLAPKLQFLLSRGASSSELAVIVSTVPKILGKKGDKTISIYYDIVKEIIEADKSSKFEKLCHSFPQGSNLENKIRNVSVLRELGVPQRVLFSLLISDHQPVCGKENFEESLKKVVEMGFDPTTSKFVEALNVVYRLSDETIEEKVSVCKGLGFSVGDVWEMFKKWPCFLNNSEKKISQTFETLKKCGLPEDEVLSLLKKFPQCINASEQKILNTIETFQDLGFSRDEFAMIAMRFPPCLILSAATVKKKTEFVVKKMNWPLKAVVSTPAVLGYSLEKRTVPRCNVIKALMSKRLPGSELPPMSSVLIWIGMMQCSSLALGLVM >fgenesh1_pm.C_scaffold_2000217 pep chromosome:v.1.0:2:2007800:2010303:1 gene:fgenesh1_pm.C_scaffold_2000217 transcript:fgenesh1_pm.C_scaffold_2000217 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQRSKFARNLGSSVDGKIKSVRGGLKKFYQKMKTLKNWRKTVLLACVVDLAIDPLFLFIPLIDSNKYCFTFDKKLVAVVCVIRTFSDTFYVIHIIFHLITEIMAPRPEASFRGEISVHSKATRKTRHLFQFIVNIFSVLPIPQVVVLTLIPRSASLVSEKILKWIILCQLLPRIIRMYPLYKEVTRAFGTVAESKWIGAALNLFLYMLHSYVFGAFWYVSAVEKKSKCWRDACARTSDCDLTNLLCGRGGRDNSRFLNTSCPLIDPAQITNFTDFNFGMFIDALKSGVVEVKPRDFPRKFLYCFWWGLRNISALGQNLETSNSAGEICFAIIICVSGLLLFAVLIGNVQKYLQSSTTRVDEMEEKKRDTEKWMSYRVIPQYLKERIRRFEDYKWRETKGTEEEALLRSLPKDLRLETKRYLYLDMLKRVPWLDFMDDGWLLEAVCDRVKSVFYSANSYIVREGHPVEEMLIVTRGNLKSTTGSHEIGGRYNCCYLQAGDIYGELLFNGSRLPTSTRTIMTLTEVEGFILLPDDVNFIASHLNAFQRQKLKQTFRQKWRSWAAFFIQRAWREHCKRKLSKILRAKRDNENIPQGKQLNLESTLYVSRFVSKALQNRRKDTADCSTSLDMSPPVPHKPADLEFARDEA >fgenesh1_pm.C_scaffold_2000220 pep chromosome:v.1.0:2:2032956:2033399:-1 gene:fgenesh1_pm.C_scaffold_2000220 transcript:fgenesh1_pm.C_scaffold_2000220 gene_biotype:protein_coding transcript_biotype:protein_coding MNATKFVVLLVIGVLCAIVTARQAEEVSKETKLGTSLPKTTTKGIGAQLSAYGTTYSNSYVSSYARASNGPKGPDADAAEYGSTYTNGQVYAKGRKANISSKSGSKATGEAEAAANRKAAAARAKGSVKSDSRVKGSSSGKKKGYKG >fgenesh1_pm.C_scaffold_2000223 pep chromosome:v.1.0:2:2048303:2049671:-1 gene:fgenesh1_pm.C_scaffold_2000223 transcript:fgenesh1_pm.C_scaffold_2000223 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLIHHGRRLVQLEKLPNFRVSVQTASSLSNSFSFTSVADASLRDGLKGNNFTISYLVDSLGLTTKLAESISKKVSLEDKENPDSVVSLLTSYGFTKSQISSIITIYPRLLILHADKSRGASSSELTEIVSTVPKILGKRGHKSISVYYDFVKDIIEADKSSSYEKLCHSFPQGNKENKIRNISVLRELGVAQRLLFPLLISDSQPVCGKERFEESLKKVVEMGFDPETSKFVEALRVIYRMSDKTIKEKVNVYKRLGFGVADVWAIFKKWPSFLSYSEKKITHTFETLMRCGLLKHEVLSLIKKHPKCICSSEQKIVNSIENFLGLGFSRDEFAMMIKRYPQCIDYTAETVKKKTDFIVKKMNWPLEGLVLIPQIFGYSLEKRTVPRCNVIKTLMSKGLLGSEIPPMSSILTSTDQAFLRRYVMKYDKLVPELMAIFTGENGK >fgenesh1_pm.C_scaffold_2000241 pep chromosome:v.1.0:2:2295373:2295940:1 gene:fgenesh1_pm.C_scaffold_2000241 transcript:fgenesh1_pm.C_scaffold_2000241 gene_biotype:protein_coding transcript_biotype:protein_coding MANTPKILIAFVFSVIFIISYVHCHTTIASVPAGGPTYATGPELADSEYPHKHDGTCFDTPACYAPGQYEIGCIVYCHEAHYNHYKCIKRTCCCYNRDKNASTVK >fgenesh1_pm.C_scaffold_2000254 pep chromosome:v.1.0:2:2420298:2423471:1 gene:fgenesh1_pm.C_scaffold_2000254 transcript:fgenesh1_pm.C_scaffold_2000254 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:D7KVJ7] MADQVKEKTLEETSTWAVAVVCFVLLLISIVIEKLIHKLGSWFKSKNKKALYEALEKVKAELMLMGFISLLLTIGQGYISNICIPKNIAASMHPCSASEEARKYGKKDAAKKDGEDGENSRRKLLQLVDSLIPRRSLATKGYDKCAEKGKVAFVSAYGMHQLHIFIFVLAVCHVIYCIVTYALGKTKMRRWKRWEEETKTIEYQYSHDPERFRFARDTSFGRRHLNFWSKSTITLWIVCFFRQFFRSVTKVDYLTLRHGFIMAHLAPGSDARFDFRKYIQRSLEEDFKTIVEINPVIWFIAVLFLLTNTHGLNSYLWLPFIPFVVILIVGTKLQVIITKLGLRIQEKGDVVKGTPLVQPGDHFFWFGRPRFILFLIHLVLFTNAFQLAFFAWSTYEFGLKNCFHESRVDVIIRISIGLIVQILCSYVTLPLYALVTQMGSKMKPTVFNERVATALKSWHHTAKKQIKHGRTSESTTPFSSRPTTPTHGSSPIHLLRNAPHKRSRSVDESFANSMSPRNSDFDSWDPESQHEAAETSNSNHCSRVREEESEKKFVSSSSVELPPGPGQIRTQHEISTISLRDFSFKR >fgenesh1_pm.C_scaffold_2000255 pep chromosome:v.1.0:2:2437617:2440585:-1 gene:fgenesh1_pm.C_scaffold_2000255 transcript:fgenesh1_pm.C_scaffold_2000255 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPSPVTEEPSSIMSLPYDLLFNCFARVSRLYYPTLSLVSKTFRSIVYSSELYETRSRLSRTEKCLYLCLHFPSDTNTYWVTLYRKPNGNVADKSSGYLLVQVPSPNCLLPAQSSSVIAVGSNIYKIGGAKSYRYKFWKTRYSSSVSVLDCRSHRWRHAPGMRVARSSSSTVCEVDGKIYVAGGCKDDICSLYWMEVFDPKTQTWGTLKNPSIEYQNDIGYTSVVKSLGLDGKLYMFGSRCNTIGLDSDMACAVSFSDSVVDEVLFFWDDGVFKWYDSKARLWKKLNGVEGLPDFCHCLMVDVGGKMMVLWDEFVYYSTRLQENVIWCAEISLERRGDGDEIWGKVEWFDAVLPVHKSCHLVYAAGLSATL >fgenesh1_pm.C_scaffold_2000261 pep chromosome:v.1.0:2:2553117:2554134:-1 gene:fgenesh1_pm.C_scaffold_2000261 transcript:fgenesh1_pm.C_scaffold_2000261 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP124 [Source:UniProtKB/TrEMBL;Acc:D7KW23] MNDLFSSSFKKYTDLKQQAQMDDVEAGKETMNLDKFFEDVENVKDDMKAVETLYKSLQDSNEECKTVHNAKKVKELRAKMDGDVAQVLKRVKIIKQKLEALEKANANSRNVPGCGPGSSTDRTRTSVVSGLGKKLKDLMDSFQGLRARMNAEYKETVERRYFTITGEQADEQTIENLISSGESENFLQKAIQEQGRGQILDTISEIQERHDAVKEIEKNLIELHQVFLDMAALVEAQGQQLNDIESHVSKASSFVRRGTDQLQDAREYQKSSRKWTCYAILLFIVVFALLLIPALPHIMLMLK >fgenesh1_pm.C_scaffold_2000277 pep chromosome:v.1.0:2:2704564:2706060:-1 gene:fgenesh1_pm.C_scaffold_2000277 transcript:fgenesh1_pm.C_scaffold_2000277 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC025 [Source:UniProtKB/TrEMBL;Acc:D7KW47] MENMGDSSIGPGHPHLPPGFRFHPTDEELVVHYLKKKAASVPLPVSIIAEIDLYKFDPWELPSKASFGEHEWYFFSPRDRKYPNGVRPNRAATSGYWKATGTDKPIFTCNSHKVGVKKALVFYGGKPPKGIKTDWIMHEYRLTDGNLSTAAKQPDLATTRKNSLRLDDWVLCRIYKKNSSQRPTMERVLLREDLMEGMLSKSSANSSSTSVLDNSDNNNNNNNNNEEHFFDGMVVSSDKRSLCGQYRMGHEASGSSSFGSFLSSKKFHHTGDFNNDNYNVSFVSMLSEIPQSSGFHANGVMDTTSSLADHGVLRQAFQLPNMNWHS >fgenesh1_pm.C_scaffold_2000278 pep chromosome:v.1.0:2:2711601:2718160:1 gene:fgenesh1_pm.C_scaffold_2000278 transcript:fgenesh1_pm.C_scaffold_2000278 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLAPCSKLAYTTRYIANPTLPYPNPCDIFINHRGIDTKKTISGLLYDHFTRQRLTAFLDSKSLKPGDRLFVEIDMAIKACGVGIAVFSPRYCDSYFCLHELTLLMENKKRVIPIFCDVKPSELCIKDDRTRPAAEIRRFQLALEEAKYTVGLTFDTSNGFVRFVNTPAIIERFATLEKEILQIENSIQANEIANAAADPLQLQDGSNSGVSSNLRKSNESSKKESENGSEVAGEETSKIQLQRLLETRRTLLRREQAMAYARGVVAGYEIDSIDDLILFADAFGASRLREACVKYKELWKKKHGDGLWMAELAAVKACAPVDMSLLGSSGIILNNEGAALSLNGTESTPSNTDEKSVNLEQHPSGVPNFQAPMGWPNHMPQYFYPPPYQGYPYPPMQHMPNQNQGNMPWPSRGKPSKKKGKGDSDGDESSGSSEYSESDSASDDSASSLEDQGKRHSRTSKNSRRSKKNRKKSSKTVIIRNINYITPEGRNGDMEGNEFTDNGSIKETVDAAVGMLNEKRANEGEVSGEEKRSNENWDSFQNILMRHDDGSDVHSMDVVNEEHFTRRGSSVGVNSNGLQTKNTASGDSIITTQKYFENGGGASFDHFESEDSARRLPRTRDSTEECMLLLKRSEMLGDESKDMYNATRGESLVKKSGSGEDWFTASGNRAGKPEVNYGRMSFDDSILTSQGSDKSKKQEFVDDSFMVHSSSLAADDLYDSRWRPDMAADIALASDIDNGHAIEKHDSWEPNDLCMIPERNSGDSLANDYSIDFSAEANARLSSNGTTQEKEDKTVSSGEKKNIVKNPETRKSRTPSRTRAETMSKTAKKPTVASRTMAQKNKFEKEEEMRKRIENLVMERQRRIAERSAMTASRKVSLDKGSSRAPSVRERAT >fgenesh1_pm.C_scaffold_2000284 pep chromosome:v.1.0:2:2755311:2756461:1 gene:fgenesh1_pm.C_scaffold_2000284 transcript:fgenesh1_pm.C_scaffold_2000284 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTSGDEETEYFDAIHVDLFTSTILSKLPVKSLAQCRCVSKLWSSIIRRPYYNMLFPIKSPDPPRILWSIGNAGGLFFYSSPQPCNPDENTSLVATLHHWTSGKCLTISSPPIGGLLCIEYHIKNSSGVEVISNPITGEFLALPKLIINEVKKEWLFVHETFFFGYDPIEKQSKDAETHQWSKYIYEMPNTWLKKFSSIHIAGMIGSSEIVFYPEYTQDEFFIFYYNLESNILTRVILEALANYVGDVRLM >fgenesh1_pm.C_scaffold_2000291 pep chromosome:v.1.0:2:2822266:2823977:1 gene:fgenesh1_pm.C_scaffold_2000291 transcript:fgenesh1_pm.C_scaffold_2000291 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIDVLWKLVPLYLLGSETDSLSATESILQIVPETMAATSSNVLCNANESDLCRDDSAAFLLKFVAIASILLAGAAGVTIPLIGRNRRFLQTDGNLFVTAKAFAAGVILATGFVHMLAGGTEALKNPCLPDFPWSKFPFPGFFAMVAALITLFVDFMGTQYYERKQEREASESVEPPGREQSPGIVVPLVAEGTNDEKVFGEEDSGGIHIVGIHAHAAHHRHSHPPGHGSCEGHSKMDIGHAHGHGHGHGHGHGHGHGHAHGGLDLGNGARHIVVSQVLELGIVSHSIIIGLSLGVSQSPCTIRPLIAALSFHQFFEGFALGGCISQAQFRNKSATIMACFFALTTPIGIGIGTAVASSFNSHSVGALITEGILDSLSAGILVYMALVDLIAADFLSTKMSCNFRLQIVSYIMLFLGAGLMSSLAIWA >fgenesh1_pm.C_scaffold_2000318 pep chromosome:v.1.0:2:3003637:3005720:-1 gene:fgenesh1_pm.C_scaffold_2000318 transcript:fgenesh1_pm.C_scaffold_2000318 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRENDRNLESRIFVGGLSWDVTERQLESTFDRYGKITECQIMVGKDTGRPRGFGFITFTDRRGADEAIKHMHGRELGDRVISVNKAEPKVGGDDVDHLQKGGGYSSRGKGSEDECFKCRRPGHWARDCPSTGGEGGRFRVSLAMRSRLGEFDGHRDRYGDRDLERERDRYMDGRRDRDGGRYSYRDRFESGDKYEARDHYPFERYAPPGDRFVSDRYGMPEHHLENEYRGRERSYDRDRYARDTSDRYGAMGPIRDEGRPYRSRPGPYDRPSRAGGRPSSYERW >fgenesh1_pm.C_scaffold_2000326 pep chromosome:v.1.0:2:3049313:3050485:-1 gene:fgenesh1_pm.C_scaffold_2000326 transcript:fgenesh1_pm.C_scaffold_2000326 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDEEPPLKKNKLPIEPTLNLSLPEDLIVSILARVSRFSYRNLSLVCKRFHWLLTSGELHRIRSLSGCTENCLYVCLRFSHNDHIPRWKKKKKNKSSGYVLETIPFPHPPPSHGWGLVAVGSNIYNIGGSIYGCRSNSVSILDCWSNTWLKAPSMQVDRCRPSANFLDGKIYVTGGHASYKNASHYMEVFDLKTKTWEPVLSSSGRMTLYKTKNVVVDGNLYVVGNKGVVYNPKDDTWDSLGPEMNLGSKWFSSCVIENVLYYYYYEEGIKWYDTKARSWRSLLNGMRKLPKFARHANVRLADYGGKMALFWDKFVASGGGRGYENRMIWCAMIALERSYRGEIWGKVEWFDAVLPNPIPKEYDFEYVGCVNV >fgenesh1_pm.C_scaffold_2000328 pep chromosome:v.1.0:2:3061376:3062342:1 gene:fgenesh1_pm.C_scaffold_2000328 transcript:fgenesh1_pm.C_scaffold_2000328 gene_biotype:protein_coding transcript_biotype:protein_coding MNKETGDNIEGKGKGEYIRWRPEESKLLIELAADCFKRGLIDPNGRMLKETVETKILPVLNKTFKCKKTYKHYINRMKILKNIYRDSVNLQRFNSKFEWNPIMKKFTAPDEVWIAYFKDYPNHRHMFYKTYEEYEHMKLVFGKRRFERSPSQAYLSSDDKVHESMEVVVPGTSIYLENFVGNPDQEELRSIDSATDHIMQGRSMAEKKMKNVWDALKEIPNLANTTRYQALNMILKLEMRDIFVNMSIEDRLGWIQCTIQ >fgenesh1_pm.C_scaffold_2000344 pep chromosome:v.1.0:2:3246912:3249269:1 gene:fgenesh1_pm.C_scaffold_2000344 transcript:fgenesh1_pm.C_scaffold_2000344 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLCNCKWEGAAAEDGRKPSVWDTLCHSRNIGNGDIACDGYHKYKEDVKMMVDTGLDAFRFSISWSRIIPNGRGSVNQKGLQFYKNLIQELISHGIEPHVTLYHYDHPQHLEDEYGGWVNNMMIKDFTAYADVCFREFGNYVKFWTTINEANVFTIGGYNDGDTPPGRCSLPGKNCLLGNSSTETYTVGHNLLLAHASASRLYKEKYKDKQGGSIGFGLYLMGFTPSTSSKDDAIATQRAKDFYFGWFLGPLIYGDYPDTMKRTVGSRLPVFLEEESERVKGSSDFIGINQYFAASVTNIKFKPSLPRNPDFYSDMGAYYAVAPWTMEAVLEYIKQSYNNPPVYILENGTPMTQQKDTHRVEYMHAYIGGVLKSIRNGSDTRGYFVWSFMDLFELIGRYDYGYGLYSVNFSDPRRKRSPRLSAHWYSDFLKGKTPFLDSQGIKELQSNFSPSS >fgenesh1_pm.C_scaffold_2000354 pep chromosome:v.1.0:2:3344782:3352296:-1 gene:fgenesh1_pm.C_scaffold_2000354 transcript:fgenesh1_pm.C_scaffold_2000354 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIFALFTIFLAFAFSGRCSDDFSRYDFPDGFVFGAGTSAYQWEGAATEDGRKPSVWDTLCHSRNQGNGDMTCDGYHKYKEDVKLMVDTNLDAFRFSISWSRLIPSKILQEPHLRISNACKLTTGNEPYVTLYHYDHPQYLEDEYGGWLNRLMIKDFTAYADVCFREFGNHVKFWTTINEANVFSIGGYTDGLTPPGRCSIPGRNCLSGNSSTEQYIVGHNLLLAHASSSRIYKQKYKDMQGGFVGLSLYFLGLIPSTSSKDDYIATQRAKSFYTGWMLGPLIYGHYPDTMKRIVGSRLIRFHRSHTLSCGFCHKHQIQTFSVREPKFLLRHGLTKLFCICLNKYAVAPWAMEVVLEYIKQSYGNPPVYILENGLSLFSNLSLLILSESAHQFSCQCEQIGIPMKQDSQLKQKDTPRVEYLHAYIGGVLKSVRNGSDTRGYFVWSFVDLYEIFGGYEVGFGLYSVNFSDPHLKRSPKLSAHWYSDFLNGKTAFLGSQGIAELQSNLSLNSY >fgenesh1_pm.C_scaffold_2000358 pep chromosome:v.1.0:2:3381534:3383871:-1 gene:fgenesh1_pm.C_scaffold_2000358 transcript:fgenesh1_pm.C_scaffold_2000358 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHLNPLAVTHLLQHTLRSLCIHENSQWVYAVFWRILPRNYPPPKWDGQGAYDRSRGNRRNWILVWEDGFCNFAASAAEMSSGECSGGGGGSTGYGNSDFQQYQGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWIYKEPNDQEINFLSAWHNSADSYPRTWEAQFQSGIKTIALISVREGVVQLGAVHKVIEDLSYVVMLRKKLSYIESIPGVLLPHPSSSGYPFINASPSDTWHFPGVAPLPHQQHEHQFYHSDHNHRFLMGHHNQPQAVVGGAAPPLPLSMKITPSMSSLEALLSKLPSVVPPATQPGYYPFHHSAKEEMSQEEQNDAFRVERNDLVGEGSNNHNHNNYNSNNDMYNYSNNCSNNKIGGFLSEDY >fgenesh1_pm.C_scaffold_2000359 pep chromosome:v.1.0:2:3422797:3424906:1 gene:fgenesh1_pm.C_scaffold_2000359 transcript:fgenesh1_pm.C_scaffold_2000359 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7KXC8] MGLMDTRWETIVPFTVMALMEACTIALTILAKTSLTGGMSPFVFIVYTNALGSLLLLPYSFYFHRDESDDEPFLTKPSLVRIFLLGFTGVFLFQNMAFLGLSYSSPIVVCAMGLQSPAFSFLLSLARGEGGLGWASKRTKGRVIGTLICFTGAFVEVIYLGPFIRPSHPSSPNSNFLATISHYLTFFKNSDNWVLGSLLLACATLSISIWNIIQLDTVQKYPQVMKVVSAYSLAGTLQCAIFSAFMEPDLSAWKLKLNMDLYLIIATGIFGSIIRTSVQVKCSKMKGPYYVPLFKPFGILWASIFGTSFFVNSLHYGSVLGAAIAGTGYLLIMWSQVQKEDQNEMAEKNDNHQLDSDEQTTPLLLANDDFDQV >fgenesh1_pm.C_scaffold_2000387 pep chromosome:v.1.0:2:3815657:3817301:1 gene:fgenesh1_pm.C_scaffold_2000387 transcript:fgenesh1_pm.C_scaffold_2000387 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVRDAELENMFAEPVIGLARKPWAPKVDCVEPKELLPNAEVDCPKAGEEPKLKDGVLDAPKAGAGVLDAPNAGVLDAPKAPPELKALLPNALPELPKAGAGDTPNAGELEEPNEGVVAAPKAGVLLEPKADVLDAPNPGVLVAPNPGVLVAPNPGVLVAPNAEVFEAPNAEVFEAPNAEVFEAPKAGVLEVPKGVDEPKVLFPKAGCDCCVVLPKGLD >fgenesh1_pm.C_scaffold_2000412 pep chromosome:v.1.0:2:4106429:4107416:-1 gene:fgenesh1_pm.C_scaffold_2000412 transcript:fgenesh1_pm.C_scaffold_2000412 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSDLNDSDAGDSGWTMYLDHSSSVSLHHFDYHNGDTKQEHDDDSSMVSDASSGPPYYCEETVPEDLLQQNTQYWCKSKSKIKNKNKNKNKNKKKVHEEQGYSERFNSSLDDTASSLGKFNSGFLQQAFPVDKLGLDNQGGSNQRKRRG >fgenesh1_pm.C_scaffold_2000424 pep chromosome:v.1.0:2:4304992:4305339:-1 gene:fgenesh1_pm.C_scaffold_2000424 transcript:fgenesh1_pm.C_scaffold_2000424 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSHITTLFIVVALVCAFVPVFSVEEAEAKSLWDTCLVVFGNGTLYESCCHNLVQEGKVCHDNLIKYIADRPSLIGRETQYLKKRDDLWSHCVSISKTA >fgenesh1_pm.C_scaffold_2000425 pep chromosome:v.1.0:2:4356937:4371243:-1 gene:fgenesh1_pm.C_scaffold_2000425 transcript:fgenesh1_pm.C_scaffold_2000425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KY71] MAPKKKPQTKKQSNNEVISSSIPNSGHKKPSKSPKLLISPENEDRLRRLLLNFRRTPSPVMASLSVTQKRKKLNSLYENLSCEGFLDDQIELALSSLRNGATLETSLDWLCLNLPSHELPVNFSNGASRVPTTGRSVAVISTSRKDWNVSAESAESSVQVKEEDPEPEVLVRVKSKRDEEEDSLSSCRSSQADWIRQYMKRLEEEELESSDDEGDKVSGPRPFEVIAKDYCLERSNAIKAKRKGDKSGQRQAGLAICKLKQEMNALGPSEAILESEFQRDRQDCEGAKEKEVTFPMPDDVHESVNADAFFFQLFDDLTLDPNTVGSCKSEETQPKALSLSSSGQESVVSNDSLDGLDLGDLFVEDVPPYVPSSHEILELQKKEIMRELCNEKNLTKLKVLQGEAQKIPKALLHQLCQRAGWVAPKFNKVTGEGSNFSYTISVMRKSSGFGKSRQAGGLVTIQLPHQVEDFESIQDAQNRVAAFALHKLFSDLPVHFAITEPYASLVLIWKQEESLGIPTREEERRAKFVESLLEADNFSLTTSSCGIQNALPMVDSCVKENDDLDVVKSNHRAKRNFSMETECSSLKQKQENKKKMPKYKEMLKTRAALPISEVKKDILQKLKEKDVLVVCGETGSGKTTQVPQFILDDMIDSGHGGYCNIICTQPRAISVAQRVADERCEPPPGFDDSLVAYQVRHQNARSDKTRLLFCTTGILLRKLVGDKTLKDVTHIIVDEVHERSLMGDFLLIILKILIEKQSWDNALPKLKVILMSATVDAHQFSRYFGQCPIITAQGRTHPVTTYFLEDIYERTKYLLASDSPAALSSDASITDELGSVNVRRGKRILCWLAGETVIWFQRTVLTRLTIPKRLNEDIIDYELLEELICHIDDTCEEGAILVFLPGMAEINMLLNRLSASYHFRGPCGDWLLPLHSSIASTEQRKVFLRPPKGIRKVVIATNIAETSITIEDVVYVIDSGKHKENRYNPQKKLSSMVEDWVSKANARQRMGRAGRVKPGHCFSLYTRHRFEKLMRPYQSCVYISNYLAWVTLSRFCPRQALEPPSESAINSAILLLQKVGALEGDEELTPLGHHLAKLPVDLLIGKMLLYGGIFGSLSPILSIAAFLSCKSPFVYSKDEQNVDRVKLALLSDKLESSSNLNNNDRQSDHLLVVVAYEKWVKILHEQGFKAAERFCESKFLNSSMMQTIRDRRVEFGFLLADIGLINLPKGEGRRKENLDVWFSDKTQPFNMYSQEPEVVKAILCAGLCPNIAEGLVNRLTKPAKETECYAVWHDGKREVHIDRTSINKNCKAFQYPLIVFLEKVQKNKLVYLQDTTVVSPFSILLFGGSVNVHHQSGSVTIDGWLKLTAPAQTAVLFKELRLTLHSILKDLIRKPEKSGIVHNEVVKAMVHLLIEEGRPQHS >fgenesh1_pm.C_scaffold_2000426 pep chromosome:v.1.0:2:4373296:4373637:1 gene:fgenesh1_pm.C_scaffold_2000426 transcript:fgenesh1_pm.C_scaffold_2000426 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTKKMLVAFVLTVLLVISSVHCSDDTRGFGITEKEKRCFTPYICTRGEQYCQVFCSGLSSVLLGKCISGICCCILNKK >fgenesh1_pm.C_scaffold_2000427 pep chromosome:v.1.0:2:4388914:4391471:1 gene:fgenesh1_pm.C_scaffold_2000427 transcript:fgenesh1_pm.C_scaffold_2000427 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESKIVPKLPILGKRNILITSALPYVNNVPHLGNIIGCVLSADVYARYCRLRGYNTIYICGTDEYGTATETKALEENCTPKEICDKYHAIHQVYDWFDISFDKFGRTSTPETKVCQEIFNKLWENEWISEDTMKQPYCDTCKKFLADRLVCKTTPGFRDTDHLFLELPLLKYKLKKYIKETSSSGSWSRNAIQTTDASLKEVPNDSEKDGLKKRCITRDLKWGVPVPHEKYKEKVFYVWFDAPIGYVSITSCYTSEWEKWWKNPENVELYQFMGKDNVFFHTVLFPCTHLGTGEDWTMMKTVSVTDYLNYEGGKFSESKGVGVFGNDVKDTNIPVEVWRYYLLTNRPEKSDTTFKWDDFQKKLNHELLANLGNFVYLVLSFIAKPKNKGGYGSLAEYAGKLVEDYVKVMEKVKLKKGLHTTMLLSNEGNTYLQDTNFWELYKKDKPSCAVVIRTAAGLVHLLARLLEPFMPSFSREVFKQLNLPPHFSLSEEKGEVLQASSLWEILPSNHRIGTPQPLFKKMEEEEMKHYREKFAGSQADRLARDREITMARLDIRVGKIVKAEKHPKADALYVEEIDVGEDQLYTVVSGLVKYIPLEEMQNRLVCVLCNLKPAKMREIVSQGMVLATSSSDGSKVELVEPPESSKIGERVKIPGFEGEPDNVLNPKTKIWEKLVVDLHTNENLVACYKNLPFTTSAGECKVSSISNGTIR >fgenesh1_pm.C_scaffold_2000443 pep chromosome:v.1.0:2:4574925:4580118:1 gene:fgenesh1_pm.C_scaffold_2000443 transcript:fgenesh1_pm.C_scaffold_2000443 gene_biotype:protein_coding transcript_biotype:protein_coding MTNERWWNLYDNLWRIICDINGRGPVKMSPKSSATGPSIGQRARGLVESLNVPAAEMAAVVVSGGIGSALSGKMNKNVDKAMLLRGEKCPRIVFRLVTLYLCMSSLEKATRCVQQVTSLLPSFLAADDEQSKSRLHLFIGCLLYVRSQYGKLDDGARFHVISHLIRETVSCGKSILATSGMNKDDSSDSGGIFKEMGSIQNLIHKDRVLAAVTDETTYMKTLISDRTVQALDERNNETLSIERNSKKAFDDELQNVLKTVLTWDENRRVAVQLSHEEQQQNVTEKWIHMLRSLMDERGPWSATPFPNNIVNRWKLDRTEDSWRRRPKLRRNYHFDERLCHPPSTSTATENETSNVINESKSGVIHIPEQMKKFLLKGIRRITDEGGPESCENDSSQSEDSFMDTSADIQFSELVRTSSGLKDVGQDKVDASSLEVDTSEVLTSVPCVLITPKRKLAGWLAVMKNVLHFSGEFLVEGTGGSAVFKNFSTSKGSDITKAENKQNLVKWSSPYDSETFLDLESGNKNKKPLKKVKRHRRWKIGKVKSVHWTRYLLQYTALEIFFQESVPPVFLNFASQKNAKEVGMLIVSTRNEFLFPKNVARDRTAMISFVDRRIAMEMAETARDRWRRREITNFEYLMILNTLAGRSYNDLTQYPVFPWVVADYSSETLDFSKASTFRDLSKPVGALDTRRFEIFEDRYHSFSDPDIPSFYYGSHYSSMGSVLYYLLRLEPFTSLHRSLQGGKFDHADRLFQSVEGSFRNCLSNTSDVKELIPEFFYMPEFLVNSNSYHLGVKQDGEPLKDVCLPPWAKGSPEMFIARNREALESEYVSSHLHDWIDLIFGHKQRGKPAVEAANIFYYLTYEGAVDVENMEDELQISAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPASINLTSILPATTHSPSAVLYVGVVDSNIVLVNQGLTLSVKIWLTTQLHSGGNFTFSSAQDPFFGVGSDVLSPRNIGSPLADNVELGSQCFAAMQTPLENFLVSCGNWENSFHVISLTDGRVVQSIRHHKDVVSCVAVTADSTILATGSYDTTVMVWDILRMRTPEKRVRNTHAEVLRKDIVIADAPSHILCGHDDIITCLYVSTDLDIVISGSKDGTCVFHTLREGRYIRSLKHPSGSAVSKLAASHHGRIVLYGDDDLSLHLYSINGKHLASSESNGRINCLELSKCGEFLVSAGDQGQIIVRSMNTLEVVRRYNGAGKIITSLTVTQEECFLVGTKDGALLVYSIENPQHRKTSPIWSIKS >fgenesh1_pm.C_scaffold_2000450 pep chromosome:v.1.0:2:4631288:4641778:1 gene:fgenesh1_pm.C_scaffold_2000450 transcript:fgenesh1_pm.C_scaffold_2000450 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP79C2 [Source:UniProtKB/TrEMBL;Acc:D7KYB0] MSIITNPSSFTLVLISITLVLALARRFSRSMKPKGQLPPGPRGWPIIGNILQMIMNRPAHLWIHRVMEELQTEIACFRFASFHVITVTSSEIAREVLREKDEVLADRSESYASYLISNGYKGITFTSYGENWKLMKKLMTTKLMSPTTLSKTLGYRNIEADNIVTYVYNLCRLVTKPINVRDTILTYSHAVMMRMMFGQRHFDEAVEIGGLGPKEKEHLDAIYLALDCFFSFNLANYIPFLSGWNVDKEEKEVREAVHIINRCNDPIIQARIRLWRKKGGKATEEDWLDILITSKDDQGMHLYTFDQIRAQCKEINLATIDNMMNNVEWTIAEMLNHPEILEKATNELDMIVGKDRLVQESDIPKLNYIKACSKESFRLHPANVFMPHHVAREDTTLAGYFVPKGSQILVSRVGLGRNPKIWDEPNTFKPERHLDGHVENSLGVTLIEPDMRFVTFGTGRRSCPGTKIGTSMTIMLLARLLQGFEWTLPNGKTQVELISAESNLFMAKPLLACAKPRLAPSLYPKIQF >fgenesh1_pm.C_scaffold_2000458 pep chromosome:v.1.0:2:4780180:4789038:1 gene:fgenesh1_pm.C_scaffold_2000458 transcript:fgenesh1_pm.C_scaffold_2000458 gene_biotype:protein_coding transcript_biotype:protein_coding MERAMISSASSVLAMLNETHPSLKLQALINLNRFVHQFWPEISASLPILECLYKDEKFNQHLRQLAALLISKVFYYLGELNNSLSYALGAGSLFAVLDESDYFNTLLAKAIDEYASLRWKAIELNEMVVDIDHRLEAIVEQMLEKCISDGKYQQAMGIAIECRRLDKLEEAITKSKDVQKSLSYCINVSHSFINRREYRFEVLRLLVNVYQKLTCPDYLSICQCLMFLDEPQGVASILEKLLRSEDKDDALLALQIAFDLVENEHQAFLMSVRDSLPMTLPVVAVQAAETSTGQRENTVGDVQETSIQLTLQFLYTHDKSGHLILETNEQSVGSWYSQLAIIYANAINHAGTARDAFYKENLSCLKAEQVVAGVLILKVVLYMPLALFMPALARELGTFFVITYVVRVIKHGACLGLGLAFLGTADEYIYDDIKSVLYTAVQLLVKLQASAWANEMLAYAHKTQHAKIIRNSSHSICREEGADTLIERMTRDLDPIIRYGGMYALALAYRGTANSKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSDPEQTPCVVSLLSQSYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQISEASESRVGAFRRQLEKIILDKRADEISKMGAILASGILDAGRRNVTIRLLSKTKHDKVTAVIGLAVFSQSWYWHPLIYFISLAFSPTAFIGLNYDLKFPKFEFMSHAKPSLFEYPKPTSVVTAYSAAKLPTAVLSTSAKAIKSRDKNEAGQKVIAQKAASAATSCNDSGDTMLVDSTAILEKKAEPQAMFEILANPARVLPAQEKYIKMIESGRYVPMKQALSGFVLLKDLHPPYPKRAQEDSSFSVTAIKDECFILITI >fgenesh1_pm.C_scaffold_2000469 pep chromosome:v.1.0:2:4978859:4982480:1 gene:fgenesh1_pm.C_scaffold_2000469 transcript:fgenesh1_pm.C_scaffold_2000469 gene_biotype:protein_coding transcript_biotype:protein_coding MARDIQLPCDGDGVCMRCKSKPPPEESLTCGTCVTPWHVSCLSTPPETLASTLQWHCPDCSGEIDPLPVSGDVSGYGSVGSDLVAAIRAIEADESLSTEEKAKKRQQLLSGKGVVEDDEEEKKSKGKNSNLDVLSALGDNLMCSFCMQLPERPVTKPCGHNACLKCFEKWMGQGKRTCGKCRSVIPEKMAKNPRINSSLVSAIRLAKVSKSAAAGTSKVFHFISNQDRPDKAFTTERAKKTGKANAASGRIYVTIPPDHFGPIPAENDPVRNQGLLVGESWEDRLECRQWGAHFPHVAGIAGQSNYGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSFDQKFEKSNEALRLSCKLGYPVRVVRSHKEKRSAYAPEEGVRYDGVYRIEKCWRKVGIQGSFMVCRYLFVRCDNEPAPWTSDEHGDRPRPLPNIPELNMATDLFERKESPSWDFDEAEGSWRWMKPPPASKKSVNVLDPEERKTLRKVIKAAHSNTMRAKLLKEFKCQICRQVLTLPVTTPCAHNFCKACLEAKFAGKTLVRERSRGGRTLRAQKNVMNCPCCPTDISDFLQNPQVNREVMEVIERLKNQEVDNAEIVDEGEGEGSGTNAEEETLAESEDAEQPKKRIKLDTDTAVSATAV >fgenesh1_pm.C_scaffold_2000480 pep chromosome:v.1.0:2:7403985:7420973:-1 gene:fgenesh1_pm.C_scaffold_2000480 transcript:fgenesh1_pm.C_scaffold_2000480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translational activator family protein [Source:UniProtKB/TrEMBL;Acc:D7KZ05] MASPLESLLSISGSVSTSSTLIRLRIFRHDIPEILQNSDMTSDIAPVLVDMIFQTLAIYDDRASRKAVDDLIVEGLENVTFMKTFAAMLVQVMEKQLRFCFDTVCYRLLIWSCLLLGKSQFATVSKNAFVRVASTQASLLRIIMESSFRMRRACKRFMFHLFSQSQAIYSLYMDEVKGSRIPYKDSPELLGLLLEFSCSSPALFEQSKAIFADIYVKDVLNSREKQKQNLSNCFKPLLQRLSHEEFQTVILPAAVKMLKRNPEIVLEAVGFLLANVNIDLSKYALELLPVILPQARHTDEDRRHGALSMVRCLSEKSSNPDTIEAMFASVKAIIGVQELASAPEGKYIGSLSRTICSFLIACYKDEASWASRSSDAIQPNLVSFIAAGLKEKEALRRGHLRCISDLLSPLIQLVKTGFTKAVQRLDGIYALLIVSKIAACDIKAEDTMVKEKLWTLISLNEPSLVQITLASKLSSDDCEVCADLLEVLLVEHSSRVLEAFSLKSLSQLLLFLLCHPSWNVRKTAYNAVTKIFLATSQLATTLLDEFSDFLSITGDQLVSSRTSDADNPVDHQAPFVPSVEVLVKALIVISSAAVAGPPSSWIVRAIFCSHHPSIVGTGKRDAVWKSLLGPMGLMSPKTPEQQAAVYSLSTMMSLAPEDTFTVFKMHLQDLPDRLSHDMLSETDIKIFHTPEGMLLSEQGIYVAQTIGAKYTKQEPSSNHSLKKGLASRETANSGRRDTAKLTKKADKGKTAKEEARELMLKEEASTRENVHRIQKSLSLVLHALGEMGLANPVFCHSQLPFLATFLDPLLRSPIVSAAAFENLVKLARCTVQPLCNWALEISTALRLIAIDEVDTSSDFRPSVDKAGKTYEGLFERIVNGLSISCKSGPLPVDTFTFIFPILERILLSSKRTKLHDDVLQILYMHLDPMLPLPRLRMISVLYHVLGVVPAYQASVGPALNELCLGLQADDVANALYGVYSKDVHVRMACLNAVKCIPAVSKCSLPQNVNIATNIWIALHDPEKSVAESADDLWARYGHDLGTDYSGIFKALSHINLNVRLAAAEALADALHESPASIQLSLSTLFSLYIRDATSGEDVFDAGWIGRQGIALALQSAADVLTTKDLPAVMTFLISRALADPNTDVRGKMINAGTMIIDKHGKENVSLLFPIFENYLNKEASDEEEYDLVREGVVIFTGALAKHLAKDDPKVHNVVEKLLEVLNTPSESVQRAVSTCLSPLVLSKQEDAPALFLRLLDKLMKSDKYGERRGAAFGLAGVVMGFGISSLKKYGLIVNLQEALIDRNSAKRREGALLAFECLCEKLGKLFEPYVIKMLPLLLVSFSDQVGAVREAAECAARAMMSQLSAYGVKLVLPSLLKGLDDKAWRTKQSSVQLLGAMAFCAPQQLSQCLPRVVPKLTEVLTDTHPKVQSAGQLALQQVGSVIKNPEISSLVPTLLLALTDPNEYTRHSLDTLLQTTFVNSVDAPSLALLVPIVHRGLRERSSETKKKASQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAVGSLIRGMGEDNFPDLVPWLFETLKSDTSNVERYGAAQGLSEVIAALGTDYFENILPDLIRHCSHQKASVRDGYLTLFKFLPRSLGAQFQKYLQLVLPAILDGLADENESVRDAALGAGHVLVEHHATTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAQGRAIIDILGMDKRNEVLAALYMVRTDVSLSVRQAALHVWKTIVANTPKTLKEIMPILMSTLISSLASPSSERRQVAGRSLGELVRKLGERVLPLIIPILSKGLKDPDVDKRQGVCIGLNEVMASAGRSQLLSFMDQLIPTIRTALCDSALEVRESAGLAFSTLYKSAGLQAMDEIIPTLLEALEDDEMSTTALDGLKQIISVRTAAVLPHILPKLVHLPLSALNAHALGALAEVAGTGFNTHLGTILPALLSAMGDENKEVQELAQEAAERVVLVIDEEGVETLLSELLKGVSDSQASIRRSSAYLIGYFFKSSKLYLIDEAPNMISTLIVMLSDSDSTTVAVSWEALARVIGSVPKEVLPSYIKLVRDAVSTARDKERRKRKGGYVVIPGLCLPKSLKPLLPVFLQGLISGSAELREQAAIGLGELIEVTSEQALKEFVIPITGPLIRIIGDRFPWQVKSAILATLIILIQRGGMALKPFLPQLQTTFVKCLQDSTRTIRSSAALALGKLSALSTRIDPLVGDLMTSFQAADSGVQEAILSAMRGVIKHAGKSIGPAVRVRIFDLLKDLMHHEDDQVRISATSMLGVLSQYLEAAQLSVLLQEVKDLSASQNWGTRHGSVLCISSLLKYNPSTIMTSSLFSSMLNSLKSSLKDEKFPLRESSTKALGRLLLRQLATDPSNTKVVIDVLSSIVSALHDDSSEVRRRSLSSLKAFAKDNPSATMANISVIGPPLTECLKDGNTPVRLAAERCALHVFQLTKGAENVQAAQKYITGLDARRLSKFPEQSDDSESDDDNVSG >fgenesh1_pm.C_scaffold_2000501 pep chromosome:v.1.0:2:7967968:7971168:-1 gene:fgenesh1_pm.C_scaffold_2000501 transcript:fgenesh1_pm.C_scaffold_2000501 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLRVYAGLSTLAALVVIYHAFSSRGQFYPATVYLSTSKINLVVLLNMGLVLMLSLWNLVKIVFLGSLREAEVERLNEQAWRELMEILFAITIFRQDFSVGFISLVVTLLLIKGLHWMAQKRVEYIETTPSVTLLSHVRIVSFMVFLLLLDGLLTYSSIRQLIQSRKASMSVFFTFENLMPAFKHKRYMKHLSKIAEYMGEGRRYMILATTTVSIIVKYAFYVTDILKEGQWEGKPVYTFYLELVRDLLHLSMYLCFFLMIFMNYGLPLHLIRELYETFRNFKIRVTDYLRYRKITSNMNERFPDATPEELSVNDATCIICREEMTSAKKLVCGHLFHVHCLRSWLERQNTCPTCRALVVPAENATSTASGNRGPHQESLQQGIGTSSSDGQGSSVSAAASENMSRHEARFQAAASAASIYGRSVVYPSSANTLVWSQGCSLLPQTELEAQRRFLESQIEVLQNQLRLLEKPATVDTKGKSVAETAE >fgenesh1_pm.C_scaffold_2000502 pep chromosome:v.1.0:2:7976978:7980996:-1 gene:fgenesh1_pm.C_scaffold_2000502 transcript:fgenesh1_pm.C_scaffold_2000502 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:CoA ligase 3 [Source:UniProtKB/TrEMBL;Acc:D7KZ50] MITAALHEPQIHKPTDTSVVSGDVLPPPPPPPPMPRIFRSKLPDIDIPNHLPLHTYCFEKLSSVSDKPCLIVGSTGKSYTYGETHLICRRVASGLYKLGIRKGDVIMILLQNSAEFVFSFMGASMIGAVSTTANPFYTCQEIYKQLKSSGAKLIITHSQYVDKLKNLGENLTVITTDEPTPENCLPFSTLITDDKTNPFQETVGIGGDDAAALPFSSGTTGLPKGVVLTHKSLITSVAQQVDGDNPNLYLKSNDVLLCVLPLFHIYSLNSVLLNSLRSGATVLLMHKFEIGALLDLIQRHRVTIAALVPPLVIALAKNPTVNSYDLSSVRLVLSGAAPLGKELQDNLRRRLPQAILGQGYGMTEAGPVLSMSLGFAKEPMPTKSGSCGTVVRNAELKVVHLETRLSLGYNQPGEICIRGQQIMKEYLNDPEATSATIDEEGWLHTGDIGYVDEDDEIFIVDRLKEVIKFKGFQAKQVPPAELESLLINHHSIADAAVVPQNDEVAGEVPVAFVVRSNGNDITEEDIKEYVAKQVVFYKRLHKVFFVASIPKSPSGKILRKDLKAKLC >fgenesh1_pm.C_scaffold_2000506 pep chromosome:v.1.0:2:8101321:8103117:1 gene:fgenesh1_pm.C_scaffold_2000506 transcript:fgenesh1_pm.C_scaffold_2000506 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTSPRFTITTPYPHPAYTSRAASFLQVKAFQRGDFDRFADNVKSGKAWRDAWRSANDGFEQFVFEAKKTAERIDRQYAVSRRFSSAASSAGDRAREIDREFGISPRVRSFSADLSRNFPKYRKQFSAFLNTPLGGSFATIFFLWFALSGWLFRVIIIATWVLPIAGPLLIGAVANNFVIKGECPACKRQFIGYKSQIIRCEGCGNIVWQPQGDFFSKDGNNNNSKGNSKKPPKSQIIDVDFEEK >fgenesh1_pm.C_scaffold_2000507 pep chromosome:v.1.0:2:8103752:8108165:-1 gene:fgenesh1_pm.C_scaffold_2000507 transcript:fgenesh1_pm.C_scaffold_2000507 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPTHFFGDNGDAKKHKLAWRYYEQGDHMKALDVIEDSVLKIGEKLKLPSDLYFLQGDIFMALARKTENSELKFTFLLGSVECYLEDYMVQAFAAISLFHLGDHLGSALYYKKALRVAKKSLSFMSFDPSKSDQLITKRTLEGIVEKAGNDLHTIAANELRRYWAGMSAESKRNFMKVSTVELRSYVEREYGRERLDALEQVLDSARINRKWKFWMCRTCSQKFFYPKKFKNHLEQVHGAKYKPPREDLAQSVDEVWAGRISVADWEPVDALAAAEMIKNRLEFVKDFVYVSGWSKDWPLAADEERRKLLKEIQSLLVSFLERKILSCSIRDWMMQFPVKYLAQFEVPEHTLTTQCRLVETPQSICFLECHELNQILDLLKRIKCVRDDGTELVSKATDSLWRHTQVKEKIDIDHEFSFILLDKRLLRGKIASFYDEGSIDVCDHNVHYAKTHPQGDDIITWLLEDYSLRESFGFPRSIRAHNLDIRMAVLRAIHFTRRTLVARYAKKWQILCYDVCLNKAKNLCIQEGERRMNVPEDQRNIYASLLCDSCEEQLTIDVEDPLFTELFLCAVRDVLDGASHPTFDFTTAEDCLKLIHGHKNISDDIVLKSIDHLKSVVTNKVLLADSKILLIENSRINLLNDLVRLSVFDYRSYILPLLKRFLREELDVIVDMDAKAKLAAVQEELLSEEKKEKEKKSGSKKKKHKSNKRTSTSMLSHLDQDDTHESSINTEPGITLRTEEEDSMEPEERGRLKTSSNTNNQEEAIKDFKNMPRKDSLSEDATRYRSALDMTLKALLNIKVLQDDLVHNRQPFHGNLEEQVPYALQNLFSAFVSEQIAEEGLYSYLLSNLLASIEGVHSMSSDAAEVVVAILEFCHCWKSPERESLVTRLFTLEEYERMSCKKCKRKPNYPEQSSYGIVMAANSIRNLKCAFGNIKFDDILKLGRMKDGMMCDVKTGGCGEINFVHRTICRCPPIFTIVLEWEKNETEKEISETTKALDWEIDICRLYEVLEEPNIKYRLVSMICCVEEGEYICMAYKKNRWVSLRHETLAEEKNVVRFCGERNVRPEILFYEAFQWPNKWQKLISRENQYENLSFM >fgenesh1_pm.C_scaffold_2000508 pep chromosome:v.1.0:2:8110996:8111363:1 gene:fgenesh1_pm.C_scaffold_2000508 transcript:fgenesh1_pm.C_scaffold_2000508 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGVLFMVSCLLTFLVLNHIRVVESKTKWGCDMNRPFPGQCGPNGKNTCISDIKKIHGAPKDLVARCECSQRFVWKGNPPERLCKCQYDC >fgenesh1_pm.C_scaffold_2000509 pep chromosome:v.1.0:2:8187881:8189514:1 gene:fgenesh1_pm.C_scaffold_2000509 transcript:fgenesh1_pm.C_scaffold_2000509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin thiolesterase [Source:UniProtKB/TrEMBL;Acc:D7KQV1] MDSSEVQEVEKLEEIDPDLSDSNTEESEEEILNETSVSALDMILKSLWNIRVFLSTLLMDYVQEANQSLTNDESVPLEDILRIFLLNNLSLTHPLEENGVSKLFLNVLELIPRWNSHFEVNEVAKTICIRCKTDMAYSGERSYGIIINANSLRSAFKDFAFENILKAIRINVKRLCDKEGCEKRNYVDTMISNLPSAFIVALQWENNETEKEILDTASVLATEIDISAIYRYEGDSAFTKYRLVSMVWSHGDLYNCVAYENNRWVRHFCSEMEVIGDWDGVLSSFRELHIRPEILFFENAMPRDQMFSEQGSED >fgenesh1_pm.C_scaffold_2000514 pep chromosome:v.1.0:2:8316263:8320690:-1 gene:fgenesh1_pm.C_scaffold_2000514 transcript:fgenesh1_pm.C_scaffold_2000514 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTFMEDDNGDELFDLAKDYFKDGDHIKALEVLEDLSLDQGNDDPVEGIHVNYFQGTIFRELAGDSENNDVKVTYLLASVECYSRNLGLSAFSAGTLFLLAQQIESVLYYKQSVRKAKEGLYKAVSMQEGESVNKEREELMSIIEHAELRIAESKTRVDSPVENCEQQVRESKENGETRKSEPDLFKRLRSYWAGLNVEIKRNFMKVSTAELRSYVEGIYSTGGRDALEQVLTSAKEDRKWRFWFCRTCSVKFSSPEECKSHFEQQHGAEFKPSSAKDITKRISKVWTRKILVGGWDPVDAVAAIQLIKNRLEDVKAFAYENGWSKDWPLAADKERSELLKEIQSLLVSFCDHKILSCSVRDWVMHFLVQHLENLEVSKHILTDCRLVETPQSICFLECGELNQILDFLKNIKCERDDGTDLVCRAVDSFYAGTRVKEKIDFDPQFSFLLLDKRLLQCKIARFDDEGIINVFDHNVHYAKAHAQGDDILSWLFDKSSQDESIPFPTPIRAHNLDIWIAVLRAVQFTCRTLGTKYAKKLQYLDYDAALTGAKNLCISEDVKRKNLHKDHWNSYASLLCKRCKERDAGDSLTTKAFLCVVRDVLKGASYPTLDFPDLEDYLTVIHGSTNLSDELVLKSLDLLKFVVNLLVPLIDSKILLIENSRINLLNGLIRLSVFDYRSYIGQPMKEVMLDRILDMESKVKAAATEEDISLEKEADILFEKEAEEEKKLQSKKKKKKNKSNKVFSANVCLHMSSESSVNLEPGVTSPLLKTAEEDSMEPEDTFSSESGQTSLNTINQEDATKDMENMPGEDSFSEHLEFAHGEAANRYRSALDMTLKALLNIKALEKDLVYNRQPFHGNLEEQVPYALQDFFSAFVSEQITEKGLYNYLLRNIFASLEEIHSMSSDAAGVVVAILEFCHFWKSPERESLVTRLFTLEEYERMSCRKCRRKPNYPEQSSYGIVMAADSIVDLKCALGNIKFEDVLKVIRMEGEMMCDVKTGGCGTTNFVHHTISRCPPIFTIVLEWEKNETEKEISETTNALHWEIDISMLYEGLEPNTNYRLVSMIGCVEEGEFICMAYTKNRWVSLRHETLAEEVVGNWKSVVRFCGERKLRPEILFYEATRSMA >fgenesh1_pm.C_scaffold_2000515 pep chromosome:v.1.0:2:8401827:8404527:-1 gene:fgenesh1_pm.C_scaffold_2000515 transcript:fgenesh1_pm.C_scaffold_2000515 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFWRKMNKKRTLERGAMVLKDLIELGHGISNPIKFFSADEILKATNNFSKSNHVFRLAYYSDWYSGKNENHPMILIKKRARFWSLRTDLMCRDIAVSSMVSGHKNFLKLVGCCLELEKPVLVYHGVKKHYQLIISEQTWKRRMKIAEDIATALAYLHTAFPRPFVYTSLSIENILLDEDGVAKLIDFSLCVSIPQGETFVQVDREDILLYSYLYDGYMMSSGVVSEKTDVLAFGKFMGLSLLLGNQYYFEYYAGYWLSKLKEDRRMEEIADRKMIEKMGQISEQELCQMEAFRMLSLRCIGPSEEVPTMVEVAKELKKIQTSLYNDSSSTSGEKEESEELKKIQRSPYNDSSSPSDETQFDSAQDISSSVILSNQT >fgenesh1_pm.C_scaffold_2000518 pep chromosome:v.1.0:2:8448459:8450428:1 gene:fgenesh1_pm.C_scaffold_2000518 transcript:fgenesh1_pm.C_scaffold_2000518 gene_biotype:protein_coding transcript_biotype:protein_coding MISKKKITSLIICSFLLLLLPIAFSRPTRNLDGTSPRAPTVQQIRNRHGTREVIVDNGIISVSFSSPQGLITGIKYKGVNNVLSPHQRARGYWDITWQGEKTRGGIDRIEGTKFRIITQNQEQVEISFSRTWESGSGSHIIPLNVDKRYIIRTNSSGLYAYGIFERLPEWPEVEMGQVRMVFKLDQDKFHYMAVADDIQREMPTDNDRDIHRGHAKALGYKEAVQLIHPHNSMFKDQVDDKYQYSCEIKDIKVHGWISTKSHVGFWIISSSGEYRFGGPMKQELTSHVGPTAIASFISGHYVGTDMDTRYKSGEAWKKVLGPIFIYLNSGDELLWEDAKRQSKEEVKAWPYDFVAFSDFPSRRERGTVTGRLLVNDGFLTPGRFAYVGLAPPGEAGSWQTNTKGYQFWTKTNETGYFKIDNVRPGTYNLYGWVHGLIGDFLYQNLPPRNGPTLWEIGVPDRTAREYFVPEPYKNTMNPLYLNHTDKFRQYGLWQRYTELYPNHDLIYTIGVSKYSQDWFYAQVTRNNGDSTYTPTTWQIVFHLPYVNMRGSYTLQLALASAARANLQVRFNNEYTRPFFSTGYIGRDNAIARHGIHGLYRLYSINVPGRLLRTGTNTIYLRQSKASGPFEGLMYDYIRLEEPSRV >fgenesh1_pm.C_scaffold_2000520 pep chromosome:v.1.0:2:8456119:8458622:-1 gene:fgenesh1_pm.C_scaffold_2000520 transcript:fgenesh1_pm.C_scaffold_2000520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7KQX5] MELRRKLCIVVAVFVIVNEFASGNFVFKVQHKFAGKEKKLEHFKSHDTRRHSRMLASIDLPLGGDSRVDSVGLYFTKIKLGSPPKEYHVQVDTGSDILWVNCKPCPECPSKTNLNFHLSLFDVNASSTSKKVGCDDDFCSFISQSDSCQPAVGCSYHIVYADESTSEGNFIRDKLTLEQVTGDLQTGPLGQEVVFGCGSDQSGQLGKSDSAVDGVMGFGQSNTSVLSQLAATGDAKRVFSHCLDNVKGGGIFAVGVVDSPKVKTTPMVPNQMHYNVMLMGMDVDGTALDLPPSIMRNGGTIVDSGTTLAYFPKVLYDSLIETILARQPVKLHIVEDTFQCFSFSENVDVAFPPVSFEFEDSVKLTVYPHDYLFTLEKELYCFGWQAGGLTTGERTEVILLGDLVLSNKLVVYDLENEVIGWADHNCSSSIKIKDGSGGVYSVGADNLSSAPPLLMITKLLTILSPLIAVALLH >fgenesh1_pm.C_scaffold_2000530 pep chromosome:v.1.0:2:8752169:8752651:1 gene:fgenesh1_pm.C_scaffold_2000530 transcript:fgenesh1_pm.C_scaffold_2000530 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L22, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KQZ8] MIKKRKKKSYTSVYALGQYISMSAHKARRVIDQIRGRSYEEALMILELMPYRGCYPIFKLVYSAAANASHNKGFKETNLVISKAEVNQGNTVKKLKPRARGRSYPIKRSTCHITIVLEDISFYQQYEEYLMYLKKPGCSNENRNLTCYDRYSSGGLWDKK >fgenesh1_pm.C_scaffold_2000544 pep chromosome:v.1.0:2:8774801:8775489:-1 gene:fgenesh1_pm.C_scaffold_2000544 transcript:fgenesh1_pm.C_scaffold_2000544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast envelope membrane protein A [Source:UniProtKB/TrEMBL;Acc:D7KRA3] MAKKKALIPFFYFTSIFETWITNWWNTRQCETFLNDIQEKSVLEKFIQLEELFQLDEMIKEYPETDLQQFRLGIHKETIQFIKIHNEYRIHTILHFSTNLISFVILSGYSFWGKEKLFILNSWVQEFLYNLSDTIKAFSILLLTDLCIGFHSPHGWELMIGYIYKDFGFAHYEQILSGLVSTFPVILDTIFKYWIFRYLNRVSPSLVVIYHAIND >fgenesh1_pm.C_scaffold_2000554 pep chromosome:v.1.0:2:8796905:8799109:1 gene:fgenesh1_pm.C_scaffold_2000554 transcript:fgenesh1_pm.C_scaffold_2000554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A2 [Source:UniProtKB/TrEMBL;Acc:D7KRB5] MALRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFETWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSALSLIGGWLHLQPKWKPRVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLNVLPHPQGLGPLFTGQWNLYAQNPDSSSHLFGTSQGSGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAILFLIAGHMYRTNFGIGHSIKDLLEAHIPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDVLLSSTSGPAFNAGRSIWLPGWLNAINENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWKDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >fgenesh1_pm.C_scaffold_2000556 pep chromosome:v.1.0:2:8802668:8803729:-1 gene:fgenesh1_pm.C_scaffold_2000556 transcript:fgenesh1_pm.C_scaffold_2000556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II D2 protein [Source:UniProtKB/TrEMBL;Acc:D7KRB9] MTIALGKFTKDEKDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFALGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWAFVALHGAFALIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >fgenesh1_pm.C_scaffold_2000558 pep chromosome:v.1.0:2:8813420:8816267:1 gene:fgenesh1_pm.C_scaffold_2000558 transcript:fgenesh1_pm.C_scaffold_2000558 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:D7KRC3] MIDRYKHQQLRIGLVSPQQISAWATKIIPNGEIVGEVTKPYTFHYKTNKPEKDGLFCERIFGPIKSGICACGNYRVIGDEKEDPKFCEQCGVEFVDSRIRRYQMGYIKLTCPVTHVWYLKRLPSYIANLLDKPLKELEGLVYCDVPITKKPTFLRLRGSFEYEIQSWKYSIPLFFTTQGFDIFRNREISTGAGAIREQLADLDLRIIIENSLVEWKQLGEEGPTGNEWEDRKIVRRKDFLVRRMELAKHFIRTNIEPEWMVLCLLPVLPPELRPIIQIEGGKLMSSDINELYRRVIYRNNTLTDLLTTSRSTPGELVMCQEKLVQEAVDTLLDNGIRGQPMRDGHNKVYKSFSDVIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHRCGLPREIAIELFQTFVIRGLIRQHLASNIGVAKSQIREKKPIVWEILQEVMQGHPVLLNRAPTLHRLGIQSFQPILVEGRTICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEARLLMFSHMNLLSPAIGDPISVPTQDMLIGLYVLTSGTRRGICANRYNPCNRKNYKNERIYETNYKYTKEPFFCNSYDAIGAYRQKRINLDSPLWLRWQLDQRVIASREVPIEVHYESFGNYHEIYAHYLIVRSVKKETFCIYIRTTVGHISFYREIEEAIQGFSQACSYDT >fgenesh1_pm.C_scaffold_2000578 pep chromosome:v.1.0:2:9135759:9137180:1 gene:fgenesh1_pm.C_scaffold_2000578 transcript:fgenesh1_pm.C_scaffold_2000578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP43 reaction center protein [Source:UniProtKB/TrEMBL;Acc:D7KRF8] MKTLYSLRRFYHVETLFNGTLALAGRDQETTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVIDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGVGAFLLVFKALYFGGVYDTWAPGGGDVRKITNLTLSPSVIFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGSICIFGGIWHILTKPFAWARRALVWSGEAYLSYSLAALSVCGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLSTSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDFEPVLSMTPLN >fgenesh1_pm.C_scaffold_2000580 pep chromosome:v.1.0:2:9141590:9143842:-1 gene:fgenesh1_pm.C_scaffold_2000580 transcript:fgenesh1_pm.C_scaffold_2000580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psi P700 apoprotein A1 [Source:UniProtKB/TrEMBL;Acc:D7KRG2] MIIRSPEPEVKILVDRDPIKTSFEEWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYSEFLTFRGGLDPVTGGLWLTDIAHHHLAIAILFLIAGHMYRTNWGIGHGIKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSLTIIVAHHMYSIPPYPYLATDYATQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTNRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPGVTAPGETASTSLTWGGGELVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNAISVVIFHFSWKMQSDVWGSISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFFLARIIAVG >fgenesh1_pm.C_scaffold_2000587 pep chromosome:v.1.0:2:9161982:9162676:1 gene:fgenesh1_pm.C_scaffold_2000587 transcript:fgenesh1_pm.C_scaffold_2000587 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKAFIPFFYFTSIVFLPWLISLCCNKSLKTWITNWWNTRQCETFLNDIQEKKTDLQQFRLGIHKETIQFIKIHNEYRIHTILHFSTNLISFVILSGYSFWGKEKLFILNSDTIKAFSIILLTDLCIGFHSPHGWELMIGYIYKDFGFAHYEQILSVIYHAIND >fgenesh1_pm.C_scaffold_2000590 pep chromosome:v.1.0:2:9171250:9173273:-1 gene:fgenesh1_pm.C_scaffold_2000590 transcript:fgenesh1_pm.C_scaffold_2000590 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:D7KRQ8] MPIGVPKVPFRKRLFFLGQEVDTEISNQLISLMIYLSIEKDTKDLYLFINSPGGWVISGMAIYDTMQFVRPDVQTICMGLAASIASFILVGGAITKRWVMIHQPASSFYEAQTGEFILEAEELLKLRETMTRVYVQRTGKPIWVVSEDMERDVFMSATEAQAHGIVDLVAVQ >fgenesh1_pm.C_scaffold_2000596 pep chromosome:v.1.0:2:9184777:9185259:-1 gene:fgenesh1_pm.C_scaffold_2000596 transcript:fgenesh1_pm.C_scaffold_2000596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22 [Source:UniProtKB/TrEMBL;Acc:D7KRS0] MIKKRKKKSYTSVYALGQYISMSAHKARRVIDQIRGRSYEEALMILELMPYRGCYPIFKLVYSAAANASHNKGFKETNLVISKAEVNQGNTVKKLKPRARGRSYPIKRSTCHITIVLEDISFYQQYEEYLMYLKKTGCSNENRNLTCYDRYSSGGLWDKK >fgenesh1_pm.C_scaffold_2000597 pep chromosome:v.1.0:2:9185647:9187153:-1 gene:fgenesh1_pm.C_scaffold_2000597 transcript:fgenesh1_pm.C_scaffold_2000597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 [Source:UniProtKB/TrEMBL;Acc:D7KRS1] MAIHLYKTSTPSTRNGAVDSQVKSNPRNNLIYGQHHCGKGRNAKGIITARHKGGGHKRLYRKIDFRRNAKDIYGRIVTIEYDPNRNAYICLIHYGDSEKRYILHPRGAIIGDTIVFGTEVPIKMGNALPLSTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPVTPWGYPALGRRTRKRKKYSETLILRRRSK >fgenesh1_pm.C_scaffold_2000598 pep chromosome:v.1.0:2:9187172:9187453:-1 gene:fgenesh1_pm.C_scaffold_2000598 transcript:fgenesh1_pm.C_scaffold_2000598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23 [Source:UniProtKB/TrEMBL;Acc:D7KRS2] MDGIKYAVFTDKSIRLLGKNQYTFNVESGSTRTEIKHWVELFFGVKVIAMNSHRLPGKVKRMGPILGHTMHYRRMIITLQPGYSIPPLRNKRT >fgenesh1_pm.C_scaffold_2000604 pep chromosome:v.1.0:2:9217751:9218347:1 gene:fgenesh1_pm.C_scaffold_2000604 transcript:fgenesh1_pm.C_scaffold_2000604 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMVFRKIVFIAFLLSLSCLLEGEARISGDITIQRGGSCNNDNTCHDTCPGCRVTQCIFSQCVCSRCNTPRSSLRIKSHM >fgenesh1_pm.C_scaffold_2000615 pep chromosome:v.1.0:2:9463654:9467265:1 gene:fgenesh1_pm.C_scaffold_2000615 transcript:fgenesh1_pm.C_scaffold_2000615 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYQESPPLLLQDLKVTIKESSLIFPSDETSERKSMFLSNVDQILNFDVQTVHFFRPNKDFPPEMVSEKLRKALVKAMDAYEFLAGRLRVDPSSGRLDVDCNGAGAGFVTAASEYTLEELGDLVYPNPAFAQLVTSQLPSLPKDDQPLFAFQVTSFKCGGFAMGISTNHTTFDGLSFKTFLENLASLLHEKPLSTPPCNDRTLLKARHPPSVTFPHHELVKFQDCETTTVFEATSQHLDFKIFKLSSEQIKKLKERASETSSGYVRVTGFNVVTALVWRCKALSVAAEEGEETNLERESTILYAVDIRGRLNPELPPSYTGNAVLTAYAKAKCKALLEEPFGRIVEIVGEGANRITDEYARSAIDWGELYKGFPHGEVLVSSWWKLGFAEVEYPWGKPKYSCPVVYHRKDIVLLFPDIDGDSKGVYVLAALPSKEMTKFQHWFEDTLC >fgenesh1_pm.C_scaffold_2000619 pep chromosome:v.1.0:2:9532368:9533325:1 gene:fgenesh1_pm.C_scaffold_2000619 transcript:fgenesh1_pm.C_scaffold_2000619 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFHDVSILRPCITGWHIRVKVLRMITVCINPRNALRLVLVDDKGFKIDCWINSEYAKHYAEFLKEDRWFSFTAFRVLENSDRVRLTNHHFRMSVFGTTVVLPADPPSTEPRDSFTPFSSIIDGTVDESVLIDLIGVLSDVGELVNVRTNPSDLTGFRLSFRIRGPCGNAIDGLVSGRSAMEFRKHYDLCTDISMDQRMLGLLTRG >fgenesh1_pm.C_scaffold_2000621 pep chromosome:v.1.0:2:9586282:9588468:-1 gene:fgenesh1_pm.C_scaffold_2000621 transcript:fgenesh1_pm.C_scaffold_2000621 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDSYVEYVSVAERRAMEAQKILQRKGKASELEEEADKEKLAEAKPSLLVQATQLKRDVPQVSATEQIILQEKEMMEHLSDKKTLMSVRELAKGITYTEPLLTGWKPPLHIRRMSNKQRDLIRKQWHIIVNGDDIPPPIKNFKDMKFPRPVLDTLKEKGIVQPTPIQVQGLPVILAGRDMIGIAFTGSGKTLVFVLPMIMIALQEEMMMPIAAGEGPIGLIVCPSRELARQTYEVVEQFVAPLVEAGYPPLRSLLCIGGIDMRSQLEVVKRGVHIVVATPGRLKDMLAKKKMSLDACRYLTLDEADRLVDLGFEDDIREVFDHFKSQRQTLLFSATMPTKIQIFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTSPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEDREYAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEEAETIANASGVKGCAYCGGLGHRIRDCPKLEHQKSVAISNSRKDYFGSGGYRGEI >fgenesh1_pm.C_scaffold_2000623 pep chromosome:v.1.0:2:9708557:9708859:-1 gene:fgenesh1_pm.C_scaffold_2000623 transcript:fgenesh1_pm.C_scaffold_2000623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSC5] MKDNFVNLLHHGYSSWLKSVNNSSKPSPFPQFPLEVDGLSVSIGCSHDPGSCSPNIFAVLSGKISSKRFIVESKSARSILEGTLAFSVTHISSPCSIQVV >fgenesh1_pm.C_scaffold_2000627 pep chromosome:v.1.0:2:9728765:9733107:1 gene:fgenesh1_pm.C_scaffold_2000627 transcript:fgenesh1_pm.C_scaffold_2000627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7KSD0] MEILISLSILALLFSGEVADSKNIVFEEVRVGLVVDLGSIQGKILKTSISLALSDFYRVNNSYRTRVSVLDRDSQGDPLLALAAVKAIVGAESLQVAKLLAAISKKAKVPVIFYKKICLQPPPSYDKYVAIMSTLVPNTLSLNKYNHFLHWTYDITSEAKGITSLIQELNLKSVVRSFANIGGSFPRWWSPNPPYYFFLRIITRRRLHGLSLRKLKSARAAVFIVHMSEILVSRLFQCADKLGMMKEGYVWILTARTMNHFHNLDGFAVRSMQGVIGFRSYIPVSEHVTNFTSRLRKLMVDDDTAQIETEHFSVVISVWAHDIACILATAVENIWLRASNESNLLETIKQSGFKGLSHGDMQIVGNKYLLGTFEIVNMVGTGVRRIGLWSCINFCGRRHVMVSSINELETISWPGGSGRIPRHRFLEENGERKLLRVLVTSRNRFPHLVAVRPDPETGLNIVSGFCIEVFKASIAPFNYELEFIPYDRSSNYDDLANELFTQRDKYDAAVGDITITYNRSLYVDFTLPYTEMGVGVLTVKKKKESMWTFFDPLDKSLWLATGAFFILTGFVVWLVERAVNPEFQGSWGQQLGMMLWFGFSTIVFAHREKLQKMSSKFVVIVWVFVVLILTSSYSANLTSTKTISRIQFSELLRNPSQYRMLRTNSTLNTFDDYVQALRDGTISHVVSEIPYLNVFLGHYPGVFEILGRDTTSNGFGFMFQKGSGLAPNVSREIVKLRSSRMLKDMEKRWFQELDSFGKPHIDWSENDDAFNRLTIHELGGLFVIVGVSHALVLALHLYQTRREISRALWESRLFTKLQNFSGFYK >fgenesh1_pm.C_scaffold_2000634 pep chromosome:v.1.0:2:9909731:9912326:1 gene:fgenesh1_pm.C_scaffold_2000634 transcript:fgenesh1_pm.C_scaffold_2000634 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRSVCTVSRFYIASSALVPGLLRFNTSNIRCFSSEKSVVHAPLFASENSINSVLTNSSILSISQHWKNTLPQGKKVSAIDLALNSVVKVFTVSNKHRTFQPWQFCLQDEGTGSGFVIAGRKILTNAHVVANHTSVKVRKHGSPTKYKAKVRAIGHECDLAILDIDSDNFWEGMNPLELGDIPSLQEKVYVVGYPKGGDTISVTKGVVSRVELLEYSHSATELLAIQIDAAINEGNSGGPVIMGNKVAGVAFETLGCSDSIGYIIPTPVISHFLDAVEESGQHVSFCSINLSYQNMENDQLRNHFKMSDDMTGIVIKKINPLSDSYKVLKKNDVILAIDGVPIGNDSTVPFRNKERITFKHLVSMKKPCERALLKVLREGKEYEFSISLKPVPRLVPMHQFDKPPSYYIFGGLVFVPLTKPYIDDASISKYALEKMPKKAGEQIVIISQILEDDINTGYNIFEDLQVKKVNGVQVHNLKHLYNLIEECCTEKLLMDLEQDNIIALDYKSAKKATSKILKKLEIPSAMSKDLKPRQLNRRRRVS >fgenesh1_pm.C_scaffold_2000638 pep chromosome:v.1.0:2:9996094:10001285:-1 gene:fgenesh1_pm.C_scaffold_2000638 transcript:fgenesh1_pm.C_scaffold_2000638 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCLSKKPSPSPLPSNGTSCTVKPSDPIKPVIKLLEEETEPKSEKLIQEEEVLVIKHRISHEESSKKTESDKDSPVAEKPNSTPVVRISSCTKEEVDAILIQCGKLSRSNSAAKTRRYSGSKRSFDFDQNERIRGGDTEEERDGMERNRHRGVERVNGSPRERRRRTPSRERERSGSKERGNGGGGGGGSRRVSRSPAKRSEVPNANSCGSSVNSSNSRPGKFVTIPARDKSNKVEPLVKRITVKRNIGDACRIAASPRSKSPARAGNNVPSLSRSNSRKAEQSPYRRNPLGEIDQNSSKAGSCNTKKMIESVKPNSRTSRAPSPSRAAVVELTKPPQVVLSRSRSLRKSRDFDLVSNEDNNYTALLLKDIQNFHGKSIDDNAISLPLCVTKACSIVEAVADLNSMTNNTCLSSDSSRFRFTSTAKKADLMEPSFEKYVTVKRGGSLDESSEFSEDSEEKVFRVFTNQNLRQGTSFRFESNKGHIVLWTVYMATNTGLEDLVNQIISVITNDGRNIVGVLKGFDQATNIILDESHERVFSTKEGVQQHVLGLYIIRGDNIGVIGELDEELDAGLDLSKLRAHPLKPVVH >fgenesh1_pm.C_scaffold_2000645 pep chromosome:v.1.0:2:10161169:10162323:1 gene:fgenesh1_pm.C_scaffold_2000645 transcript:fgenesh1_pm.C_scaffold_2000645 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLPLALVEEILSRVPLTSLSSGLFNGNLISKDSAITATLFQVFHWDGLLLCVIRDNRWRVVWNPYLGETRLIKPINKFHRYKILDMFALGYDNNNKNHLPVFFEIYNFNSNAWRVLDVYPHCDIKSGVSLKGNTYFFAREKVKGLSSAPNVEVFLLCFDFTAERFGPRLPLPLHSYVWLSEHATLSCVRDEHLAVLFQRYNAIMEIYITTKIEPNVVSWSNFLKVDLTTFPDRFYAESFFIDEEKKVIVVFDSLGSRWTQTSFYRTTYIIGESGYFKSVKFGETPDCCSGQNNPLLFSSYVPSLVKLQINQRGKRKERD >fgenesh1_pm.C_scaffold_2000650 pep chromosome:v.1.0:2:10252268:10253160:-1 gene:fgenesh1_pm.C_scaffold_2000650 transcript:fgenesh1_pm.C_scaffold_2000650 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSENVPSNDQHENVTLVAPSEEHEIMVSALQQVISNSRADASSSNLIASEGLPPPDAGPCCTLQRPHREIEKEKKYKGVRKKPSGKWAAEIWDPSSKSRKWLGTFLTAETAASSYDEAARSLSGEHQQDVAKRTEEELNGGGDD >fgenesh1_pm.C_scaffold_2000652 pep chromosome:v.1.0:2:10295212:10295682:-1 gene:fgenesh1_pm.C_scaffold_2000652 transcript:fgenesh1_pm.C_scaffold_2000652 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKKTTQAYLLLSLVHILLCLSFQIRAIEAIRPLLADPPSQIRNPPPPSPVWPSPPCGSNIGSQVTAIREKSCRQIPRPPKTKEKKTPKPLKTKKNKISRPPAAIP >fgenesh1_pm.C_scaffold_2000661 pep chromosome:v.1.0:2:10527445:10528002:1 gene:fgenesh1_pm.C_scaffold_2000661 transcript:fgenesh1_pm.C_scaffold_2000661 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIVDTGIARIKTIVTDKDSDIKLAVETFLSNKKNTKKIIGLETERVQKARKQFKTVLLQLCDGDHCLIVKLPCEESVNLPVSLFNFLNLPQFTFVGFDIKKTLVKLESEWGLTCKNSVEINPTTWNLPDMTNVGRRMMHTCVFSQRPTSPIFEEWDQCVLTKDQIKLATSNADFYKDFKIND >fgenesh1_pm.C_scaffold_2000669 pep chromosome:v.1.0:2:10570291:10573732:1 gene:fgenesh1_pm.C_scaffold_2000669 transcript:fgenesh1_pm.C_scaffold_2000669 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNEPCIYRVPSRIRDVKPEAYTPRMVVIGPLHRYPKSTTIDGAETSSYPWHIKPEYSMMEARKKVYFETFSKYGDGIVKMRKIVQGEEKKIRDSYEESTEWIPSEYFVDLILHDALFIMQFLLTTRIGRSYDEILGQESVVRNDLILLENQLPFFILDRLFSSDRSFMTNVMLLHRCPTIKDFILNAFGLTIEENPNFKHFTDMFRYVYEKSLDNIPGHVKLTPWSWPHNIELMNADNLSKGGVKFKVKAMFGVFSLHVEFKKGRLTMLSFRANQWFDMVLRNVIAFEQCHVSLIPFTTNYVHFLNFLITSDRDVEVLSEEGVVTNNIGRVSLVVDMVNKLQVGVKVGNTSQYFYIAEDLRAHCKSRRKRCWATLRKVYFSDLWTGTATLAAAFLLLLTLVGTVASVIQAYKSFK >fgenesh1_pm.C_scaffold_2000681 pep chromosome:v.1.0:2:10806947:10807367:1 gene:fgenesh1_pm.C_scaffold_2000681 transcript:fgenesh1_pm.C_scaffold_2000681 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTGYEKRDLDRAVRRLTIFPSRVRSESHDFSTQKKEPPCAVDDSNGHGASDGPRGSSPAINEVRCECSSGGIKSDLGLHRRSKVVLTDLLRAEGEVGGQTPDPSIFHRR >fgenesh1_pm.C_scaffold_2000689 pep chromosome:v.1.0:2:10895309:10898564:-1 gene:fgenesh1_pm.C_scaffold_2000689 transcript:fgenesh1_pm.C_scaffold_2000689 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGYSKYLPLSQAISHGNLERVRDFLDNNPEALNSWIDTLETPLLKACSCGQLEIVKELLQRMTPEQMLIPTETESHSPLTPLLIAAMTGNLGIAEALVEKCPNLTEIPSRLGRVIPVLRAANAGHKEMTRFLYYRTSLSFLLSGKGFWAIYLSHYAIFNGILVRPRLAVTQHRCLESTPLGLLASKPDFFRSGCELSFWQGLIYSCTFLQAMFREVSIMDKDDGWTNAVHEAIIRAVSHGNKEFIVGMIKSNSELLMTNYGESRRNIFQLAVEFRKEKIFDLIYGLDDRKNMLISWYDHKCNWILHIAGEISPLDELSKVAGPALQMQRELQWFKEIESMVPDNELARKNKNGQMPREIFENSHREMRVKGEKWMKETAAANSFVAALIATVTFQAIFTVPGGTNDTSGDPIHIRDDRFMIFIIADTLSFFASCTSVLIFLSILTARYSFDDFLMSLPKKLIWGLCTLFISIAALLVAFTTALFMSLYSMPLLVIPAMSLTFLPAVLFLLLQFPLLKTMISSTYGKGLFNRDTTRWF >fgenesh1_pm.C_scaffold_2000716 pep chromosome:v.1.0:2:11529583:11530004:1 gene:fgenesh1_pm.C_scaffold_2000716 transcript:fgenesh1_pm.C_scaffold_2000716 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFLIFMFVVATCFGLNVAFDMFPSWSQFGSSVPLSFKRQQSKCLPNLQFNETKVINFGDKLGRRTRWTCLLKHGLYMRYYSEFIGYRMGNVRRCGHTRHWIARKDGIYLTRNQNPPPLFHHGWNKTN >fgenesh1_pm.C_scaffold_2000722 pep chromosome:v.1.0:2:11578182:11581232:1 gene:fgenesh1_pm.C_scaffold_2000722 transcript:fgenesh1_pm.C_scaffold_2000722 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSATPPRRGWWSRPIATFPNDRKPTVREGTALLTPMYLSVITLIIVYFINQDPYQAKFTIQSIAVSPSTATWHVDFLVTNPSSIPLFYLLIYYGGDTAVRLGSLNAAVLNTSHKSYSPSQTVFSVDFVVEDHPNDVVYEQLDIKLKAKDDSYRGDIAGHIDIRCRNLTQIHENVEKIQCHSSFTELKTLKLFANSVSVTNVDIKANISAADWRIGFAANSPVTGCKISILTLSSRLLRGEQVISNSSSWENLGEFVPRDKTNIVFEKVVMPKLIGDMIWNLRVKIMYAVKTDARYINGLLMADCPDIPVKFTTDAAGKVMGTLLGNVRRCEYKFQHDLDYSVLNSY >fgenesh1_pm.C_scaffold_2000723 pep chromosome:v.1.0:2:11582628:11583727:1 gene:fgenesh1_pm.C_scaffold_2000723 transcript:fgenesh1_pm.C_scaffold_2000723 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVKLPSDLEEDILSRLPPRSLIRFKTVCKRWNALFNDKWFLNNHLVRARPQFIFITESKSYSIDIDLCGTIEVRELAADFPCHAIDLMDTTIMACDEFLFRDFWKQGVAVWNPWFHFCGVGYDNSIPEKGYKILGYFDCLRRVSDTYEVGYRRVAIYECSSHALKFIDGPFKQWPMMVPLSLNGNLYWLTQNPETREHFIRSFDFSSEIFKPFCLLPCQKNRSSDRLILAVYKGDRFSLLKQCYVTETIDIWVTKKNIDGEEVVWTNLMTFPRTNLPKLVNKICGISYFIFDKTLIICCGDGQLGAACIYILRGDMFRKIHIDLGIIRFSHCVYLPNLISVPLEFISLQV >fgenesh1_pm.C_scaffold_2000734 pep chromosome:v.1.0:2:11776659:11777964:1 gene:fgenesh1_pm.C_scaffold_2000734 transcript:fgenesh1_pm.C_scaffold_2000734 gene_biotype:protein_coding transcript_biotype:protein_coding MSAINITNVAVFDNPAPFVSPFQFEISYECLNSLNDDLEWKLIYVGSAEDESYDQLLESVLVGPVNVGNYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFFRVGYYVNNDYEDEQLKEEPPTKVMIDKVQRNILSDKPRVTKFPINFHPEEEETAAPRFETSDEQQPNVNGEAQVLPDQPANPEHQES >fgenesh1_pm.C_scaffold_2000750 pep chromosome:v.1.0:2:11966734:11968286:-1 gene:fgenesh1_pm.C_scaffold_2000750 transcript:fgenesh1_pm.C_scaffold_2000750 gene_biotype:protein_coding transcript_biotype:protein_coding MYHLPTSCLVRFFLLSLFHPLPCDSSKQGLGWCETLFQCGNITAGFPFSGGNRHKDCGHPSLELHCNKNNITSLFISNQKYSVLHIDQISNTLTLTKQDLLGSFCSSVFTNTTLPPETFELPPTYKSVTIFYQCSSLLPNLSSYTCPGIGPIAVSENPEKHPESCRSSFTVKVPTSFDTKEKELNVTNLESVLRKGFEVKVVINENTCQECLSSLGRCHGFTENLTPGCRPPSDSEGSCGYNQTSSTFICYCKDPYSLSCSSGKSFVGWIIGGVLILILIGVIVSLVFLCRCCRAKILRKKKTSDALEVPPEPVVQNPT >fgenesh1_pm.C_scaffold_2000767 pep chromosome:v.1.0:2:12251832:12253467:1 gene:fgenesh1_pm.C_scaffold_2000767 transcript:fgenesh1_pm.C_scaffold_2000767 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQEPCIYRVPSQLREVNPEAYRPRMLLIGPLTHSAKPKAHTDSRCLIRYLEYKMMEDQKVLYKAAFTKRLDTDIAILEDMTTTIKEEEVNIRASYAVSTAWILPDIFVELILNDSIFIVEFILRMYESHEKIGDMIVDKPFYTSTVLDDLTLLENQLPYFCLSKLLNPITKRFCGDQTLDQVILQLFSVNDSGMINENTKFNHFTDLFRCVYKESLGQNIELTDSNSQIVDMKNADKLSSVGVEFKVVNREFSLNVSFEEGCLILPSFPADESSNIILRNVIAYEQCHDPENAFTTNYIHFMNFLITSDEDVALLTSAGVLTNGVGRSSMVLKMVNKLAIGVLLSNQSQYHDIVEKLNIHHNSRRKRIWAKLRKVYFSDLWTTTATLAAISLLLLTLAGTVASIIQAIKS >fgenesh1_pm.C_scaffold_2000778 pep chromosome:v.1.0:2:12363954:12368866:-1 gene:fgenesh1_pm.C_scaffold_2000778 transcript:fgenesh1_pm.C_scaffold_2000778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein [Source:UniProtKB/TrEMBL;Acc:D7KV17] MNNNTIRSVAEDHYPSCDIATIFVAICRNPPVLFLEILHFVKYEISTLYQEARSRWLKPPEVLFILQNHERLTLTNTAPQRPTSGSLFLFNKRVLKFFRKDGHQWRRKRDGRAIAEAHERLKVGNAEALNCYYAHGEQDPTFRRRIYWMLDPEYEHIVLVHYRDVSDREEGQQAGGQVYQFAPIPSTLFLSPNSIGTQNVSYNHYIGDSSDIHQQHSSTSPGIAEVNSNLEGSGSSSEFEQALKMLKEQLSIGDEQVNSVDPLNIQPESLDSLQFLEYSNDRDHLVQPTTIYQRPENNKLERCYGGNFGAQYNAKNDSNKLERCYGGYVGAEYHSSNLMLVKNDSGGTGGSGDQGSESWKDVLEACEASIPLNSEGSTPSSAKGLLAGLQEDTNWSYNNQVDQSTLLLPQDLGSFEVPVSYSALGALENNDDYCRMMDNEGKIGLPFEEEMRLAGAHKKKFTIHDISPEWGYSNETTKVIIVGSFLCDPTESTWSCMFGNAQVPFEIIKEGVIRCRAPPCGPGKVNLCITSGDGLSCSEIREFEYRDKPDTCCPKCSERQTSDMSTSPDELSILVMFVQTLLSDRPSERKSNLESGNDKLLKILKADDDQWRHVIGAVLDGSASSTKTVDWLLQELLKDKLDTWLSSRSCDEDYTTCSLSKQEQGIIHMVAGLGFEWALYPILGHGVSVDFRDINGWSALHWAARFGSEKMVAALIASGASAGAVTDPSRQDPNGKTAASIAASNGHKGLAGYLSEVALTNHLSSLTLEETESSKDTAQVQTEITLNSISEQSPSGNEDQVSLKDTLAAVRNAAQAAARIQAAFRAHSFRKRKQREAAMAACLQEYGIYCEDIEGISAMSKLTFGKVRNYHLAALSIQKKYRGYKGRKEFLELRQKVVKIQAHVRGYQIRKNYKVICWAVGIIDKVVLRWRRKGVGLRGFRQDVESTEDSEDEDILKVFRKQKVDGAVNEAFSRVLSMANSPEARQQYHRVLKRYCQTKAELGKTETLIGDDDDGLFDIADMEYDTLFTLP >fgenesh1_pm.C_scaffold_2000779 pep chromosome:v.1.0:2:12372693:12383866:-1 gene:fgenesh1_pm.C_scaffold_2000779 transcript:fgenesh1_pm.C_scaffold_2000779 gene_biotype:protein_coding transcript_biotype:protein_coding description:F1N21.14 [Source:UniProtKB/TrEMBL;Acc:D7KV18] MRNCTQPRPADHNGKSAPKPMQPQQGFSSPGPYLGSGGPPPVYMGGSPYGSSLFNGSSMPPYDVPFSGGSPYHFNYNSRIPSGAHYRPLHMSGPPPYHGGSMMGSGGMYGMPPPIDRYGLGMAMGPGAAAAMMPRPRFYPDEKSQKRDSTRDNDWTCPNCGNVNFSFRIVCNMRKCNTPKPGSQQGGSSDKISKQNAPEGSWKCDNCGNINYPFRSKCNRQNCGADKPGDQSNGSPSRAPEENDQGESDPAGVVIGLVKLISCIEEEEEEESVKMEVIRSQKRTVSNDVVSTPTLPLYLTAPPMEVRLEEFELFAIDRLRVLKGVSDGLARGRNPNEMDDLVETLWREHMRLSDVSEMINKDIISHFVLRLVYCRSDELKKWFLSMETALFRHRFRRKKIEEQRAIVGEFGLPYKAVIGAELEFEGEVGASCTFTCRDMLYFDTILASSSSRNYFFFTSLSYLAVPFEEVPDLVAGRRVLLQKGYAFVAGSQASHGLVSLVVTQFRSHLSKALILTNRKWTTTIREREKDRLTPIVEALSTSYLGPDYSQSSEYADISLKDIDQVSKSSFPLCMRHLFEKGVGLKLDDALAFWREEFTKKVGSERFDKEYAYAIRHNYGKEGKRTDYTPYACSKIITSAPGAGDHHGCPYRHFSEDNLKAALSRMGLSSRGMEDVMDKVRNKHYQLACTLTFEAVYGASCDTGINHPNQYFEESQKILKSKTPAAPV >fgenesh1_pm.C_scaffold_2000802 pep chromosome:v.1.0:2:12579971:12582991:1 gene:fgenesh1_pm.C_scaffold_2000802 transcript:fgenesh1_pm.C_scaffold_2000802 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7KVL0] MDVTELEENLFAASDAKLHGDMCKELSGVLCKVLSIFPSLEGARPRSKSGIQALCSLHIALEKAKNILQHCSECSKLYLAITGDAVLLKFEKAKIALIDGLKRVEDIVPSSIGSQILEIVGELENTRFMLDPSEKEVGDQIIALLQQGKKFDNCNDNTELEIFHRAATRLSITSSRVALAERRALKKLIDRARAEEDKRKESIVAYLLHLMRKCSKLFRSEILDENDSQGSYPCSPTVQGFNEDRGSVHGFGRQLSRFGSLNDKPMNSINSGQMPVPPEELRCPISLQLMCDPVIIASGQTYERVCIEKWFSDGHNTCPKTQQQLPHLSLTPNNCVKGLIASWCEQNGTQIPSGPPESQDLDYWRLALSDSESTKSQSVNSIGSCKLKGIKIVPLEENGTTVVERQNTEESFVSDDDDDEDSDLHVLERYQDLLTILNEEEDLEKKGKVVEKIRLLLKDDEEARIFMGANGFVEALLRFLGSAVDENNASAQDSGAMALFNLAVNNNRNKELMLTFGVIPLLEKMISSSESQGSATALYLNLSCLDEAKSVIGSSQAVPFLVQLLQREIETQCKLDALHALYNLSTYSPNIPALLSTNIIKSLQGLLTSTGENLWTEKSLAVLLNLASSQEGKDEAVSSQGMISSLATVLDMGDTTEQEQAVSCLLILCNGRESCIQMVLQEGVIPSLVSISVNGTPRGREKSQKLLMLFREQRQQRDQPSPNRDKPPQKEPPRKSLSAPMSVHGSNPASAPVPDYEPRFLSKSMSRRKSLARPFSFLWKKSYSVRE >fgenesh1_pm.C_scaffold_2000809 pep chromosome:v.1.0:2:12624784:12625629:-1 gene:fgenesh1_pm.C_scaffold_2000809 transcript:fgenesh1_pm.C_scaffold_2000809 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPVASSSSHYISIFTNFPLISSLLAFTIAQFIKFFTSWYKERRWDLKRLVGSGGMPSSHSATVTALALAVGLQEGFGGSHFAIALVLTTIVMYDATGVRLHAGRQAEVLNQIVYELPAEHPLAESRPLRELLGHTPPQVIAGGMLGISTAVVGYLVILITK >fgenesh1_pm.C_scaffold_2000817 pep chromosome:v.1.0:2:12658678:12666094:1 gene:fgenesh1_pm.C_scaffold_2000817 transcript:fgenesh1_pm.C_scaffold_2000817 gene_biotype:protein_coding transcript_biotype:protein_coding description:F12A21.16 [Source:UniProtKB/TrEMBL;Acc:D7KVN0] MTENEGNDKKIEGSNPKKKLNVVTFTGAAGLPGCDTVCVNLSAKEILDLADEIIHKSTRVHDAVALVSLDKLSYENVVLPLAELEARQLSLIQCCVFPKMLSPHDNVRKASAEAEQKIDAHILSCRKREDVYRIIKIYAAKGESIAPEAKCYLQCLVRDFEDNGLNLTAIKREEVERLTNEIDELSLRYIRNLNEDSSCLFFTEDELAGLPLEFLQSLEKTQNKEFKLTLGSRNVAAILELCKIAKTRKTVAMAYGKRCGDTNIPVLQRLVHSRHRLARVLGYSHFADYALDRRMSKTSMRVIRFLEDISSSLTDLAIREFSILKDLKRKEEGEIPFGVEDLLYYIKRVEELQFDLDFGDIRQYFPVSLVLSGIFKICQDLFGIKIEEVTEVDVWYHDVRAFAVFDSGSGKLLGYFYLDMFTREGKCNHSCVMALQNNALFSNGACQIPVALLIAQFAKDGSGESVPLGFSEVVNLFHEFGHVVQHICNRASFARFSGLRVDPDFREIPSQLLENWQDITKPLVDEVCKTLKRWRYSFSALKSLQEILYCLFDQIIYSDDDADLLQLIRSLHPKVMIGLPVVEGTNPASCFPRAVIGSEATCYSRLWSEVYAADIFASKFGDGHPNLYAGLQFRDKVLAPGGGKEPMELLTNFLGREPSTEAFIDSRTNFNKVRIYEIIIFFLKPKMSMSLNALTRLPLKNTGRFEEVGLVRQSLFSSRTACRETAVQQRRMVFVVEAKGKKGMAARQYQRTPPPMPKIEDDGNPRFVIFIRMANVYLWYPLSIIAGGTTAKIMVAAKDNLLGKYIYKDTIARNIAAVIYRVNYTSCFLFGLIPLFNMMRKRFKRQQLSSTVSCENGNMRAALSTSDVIELPTQDQLKTVFDKVKDYFGDAKESFGKLTSLNPGTDQKTEETPDEKANRILVSFTKMIYNRFTGPEAEQLSLGWLILMSQPSRYGLQKILSDGMKHVALSLSSTCLVWLAYDTHG >fgenesh1_pm.C_scaffold_2000824 pep chromosome:v.1.0:2:12723775:12724032:-1 gene:fgenesh1_pm.C_scaffold_2000824 transcript:fgenesh1_pm.C_scaffold_2000824 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLKRDLMLLITLIYFLLTSSMARKDFSLFGVERDVVPAGTDLKENKAQPHLPLFRIMRTVPSGPNPLHEISPPQPNIPNYARN >fgenesh1_pm.C_scaffold_2000827 pep chromosome:v.1.0:2:12730791:12733476:-1 gene:fgenesh1_pm.C_scaffold_2000827 transcript:fgenesh1_pm.C_scaffold_2000827 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRSDISALNEDIIVEQLLRSHDPDGRWLDSEMLLQEVETILSFVLQDDVSMPLMTENCITNIVVSESKETLPYAITRISVQMLCPCTGESDIRTRTLVLFDLLKEYRWDAKAVLVLGVLAATYGGLLLPGHLAFCDPVAASIATLNQFPIERTKFRPWLESLSLLIKAMVDVTKCIIKFERLPFKQAKLDNNIVGETLSNIYLATYRVVKSALACMKQIPYFKQTQRIPITELQEKVIMLLLSKPPVEPLFFLLQQLYDHPSNTNTEQNYEILWVPIPSSQKWTDEEKEIFDFYSNSLPWISVRQPWLLSSTILNFFKQEWHYGDDEAMVVVIDPNGRFVNMNAMDMVLIWGVKAYPFSVSRENELWEEHGWSMQLLLDGIHPSFETWVKEGREICIFGSENLDWVDEFVSLARKIQNLGFQLELIYLSNQRRRDERAKAMEESSILFSPTLQQLFWLRLESIERSKLKRIGIESSKSDRVLEEVTKLLDFDYGKHKGWGVIGKGSTAETVDGERMTERMRKIVRWGEYARGLGFTEAIEIAAEKPCELSQTVVVPFEEALTRRVVTCEKCKWPMKRFVAYQ >fgenesh1_pm.C_scaffold_2000851 pep chromosome:v.1.0:2:12863766:12865124:1 gene:fgenesh1_pm.C_scaffold_2000851 transcript:fgenesh1_pm.C_scaffold_2000851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:D7KVS1] MATMKIPMTVPSPRIDADQLFKAFKGRGCDTSVIINILAHRNATQRALIEQEYETKFSDDLRKRLQSELHGHLKKAVLLWMPEAVERDASILKRCLRGAVTDHKAVAEIICTRSGSQLRQIKQVYCNTYGVKLEEDIESEASGNHKRVLLAYLNTTRYEGPEIDNASVENDARTLKSAVARKHKSDDQTLIQIFTDRSRTHLVAVRSTYRSMYGKELGKAIRDETRGNFEHVLLTILQCAENSCFYFAKALRKSMKGLGTDDTALIRILVTRAEVDMQFIITEYRKRYKKTLYNAVHSDTTGHYRTFLLSLLGPNV >fgenesh1_pm.C_scaffold_2000871 pep chromosome:v.1.0:2:12939199:12942554:1 gene:fgenesh1_pm.C_scaffold_2000871 transcript:fgenesh1_pm.C_scaffold_2000871 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLVHESFDDISILLIDHDTASVASLTSMLKQFSKRVMSVDVASKALSMIEKQKKDIGLIIANIEMPHIDADSFLTVLLHKDIPLILINPEIKTKKPSDLLIKRACFSLDQPISDNDIKNIWQHVLPKKIQESKKINITIVNQENGTDKDINQIEVFRASLKRQRTSQASLLGRQPFIDTFTISETSQKRKNRANVEWKTKPGCLIGIENKRKEWKKMDSSVVRRRSLWTNERHMKFLAAISILGEKVLFKKPITERNKNMPKFHVGGKSDLSNHSLVGNIFNKSSINVNYVPSTISNNPPYNILSTDNANHTSLGSENLPILSGLPSNVRASNTCAFQMESTKISIPQYDPNPFHLPRSVLETDVSQIDLDFTSTPDSFHPLADLCTMNYTMNPFETNIDQMDWLPFIENYSHHEINMNHMDWDHSIENFILLETDVNISFPEKHTNQMDWVSSGEGYVPFENVNPSKVDISQMDIDYSQEETNTNHVGFVSSEIRYGIPPKTDMTILEANYSNMVDCVFPKDISSLETNTIQKDLVSCKTSFAALDNIVPLEANMEEMNYVSYDESCDAPIEDLISFDINVDEKDMPSWLDDNGFSERDNMMKSCEYHNVEIVNQRDHLKGEDNFDDYRDNMDWIYEVMNIS >fgenesh1_pm.C_scaffold_2000873 pep chromosome:v.1.0:2:12948096:12948842:1 gene:fgenesh1_pm.C_scaffold_2000873 transcript:fgenesh1_pm.C_scaffold_2000873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:D7KW96] MAVFGYEMDEHRASSSRRRRSLYHNLGGGRFADIIFWKNKKESGTILAVFTLIWFLFEVVEYPFITFICQILLLSIFIFLIWSYIGSSQLIQSKPPSINDLRISESTWRFLFNKINWFIIKLYDISSGKDFRLLFLAVVSLWILSVVGNYFSSLTLLYIGESL >fgenesh1_pm.C_scaffold_2000901 pep chromosome:v.1.0:2:13155600:13155911:1 gene:fgenesh1_pm.C_scaffold_2000901 transcript:fgenesh1_pm.C_scaffold_2000901 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRKDMEEEGTDDMEEEGTDDDDHSLAAAAMAVAVAAAATTAAEEEEQCWGGGVVEEMTWSSVWLPFWDVEFVGRNYGVLFNDVVWDDDIWNIHNLTHHSS >fgenesh1_pm.C_scaffold_2000914 pep chromosome:v.1.0:2:13259388:13260218:1 gene:fgenesh1_pm.C_scaffold_2000914 transcript:fgenesh1_pm.C_scaffold_2000914 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNGPVFKAEGTSFRDQPYAEQLPQGLWTTGLCDCHEDAHISCMNAGLIHLALGFVGCSWLYAFPSRSRLREHFALPEEPCRDFLVHLFCTPCAICQESRELKNRGADPSIGWLSNVEKWSREKVTPPIVVPGMIR >fgenesh1_pm.C_scaffold_2000919 pep chromosome:v.1.0:2:13288738:13289794:1 gene:fgenesh1_pm.C_scaffold_2000919 transcript:fgenesh1_pm.C_scaffold_2000919 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPSKTVMERKKKGAPIKFLVPLIYAPALPLIRLSLRHKPVLRDRLFGLVLAGAFAHGFYLVTDIYDAESK >fgenesh1_pm.C_scaffold_2000924 pep chromosome:v.1.0:2:13321646:13322489:1 gene:fgenesh1_pm.C_scaffold_2000924 transcript:fgenesh1_pm.C_scaffold_2000924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KWF5] MDSNSIIWSLLLASALISSFSVNAQGPAASPATSTTTAPPPTTAAPPTTTAPPPTTAAPPTTAAPPPTTAAPPPTTTTPPVSATQPPAVTPTSSPAPKVAPVSSPATPPPQPPQSPPVSAPSVSPPPAPTSPPPAPASPPPAPVSPPPAPASPPASPPPAQAPTPISLPPAPAPAPAKHKRKHKHKRHHHAPAPAPIPPSPPSPPVITDSQDAAPAPSPNTNGGNALNQLKGISVMWLNTGLGILFLLAMTA >fgenesh1_pm.C_scaffold_2000925 pep chromosome:v.1.0:2:13322694:13323442:-1 gene:fgenesh1_pm.C_scaffold_2000925 transcript:fgenesh1_pm.C_scaffold_2000925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KWF6] MANTAAGWSPVLPPIYSPISANPKPINFHISASFCKPPRPSYQHQNPISALHRSKTTRVIEVVTPKQRNRSVSVFGSLSDDSKLNPDEESKDSQLASIDIKLPRRSLLVEFSCDSCGERTKRLINRHAYENGLVFVQCAGCLQHHKLVDNLGLIVEYDFRETPKDSGTDQV >fgenesh1_pm.C_scaffold_2000927 pep chromosome:v.1.0:2:13338555:13343772:-1 gene:fgenesh1_pm.C_scaffold_2000927 transcript:fgenesh1_pm.C_scaffold_2000927 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPPC4 [Source:UniProtKB/TrEMBL;Acc:D7KWF8] MTDTTDDIAEEISFQSFEDDCKLLGSLFHDVLQREVGNPFMEKVERIRILAQSALNLRMAGIEDTANLLEKQLTSEISKMPLEEALTLARTFTHSLNLMGIADTHHRMHKVHNVTQLARSCDDIFSQLLQSGISPEELYNTVCKQEVEIVLTAHPTQINRRTLQYKHLRIAHLLEYNTRSDLSLEDRETLIEDLVREITSLWQTDELRRQKPTPVDEARAGLNIVEQSLWKAVPHYLRRVSTSLKKFTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTKEVSLLSRWMAIDLYIREVDSLRFELSTDRCSDRFSRLADEILEKDYDRGQSNFQKQQSSSSLPTQLPARAHLPSCIDFGESRHTKFEIATTDYMPPNLQKQNEQDFPESNWEKTDNGSQSGLTSRGSFSSTSQLLLQRKLFEESQVGKTSFQKLLEPPPLKRAGSAPYRIVLGEVKEKLVKTRRLLELLIEGLPCEYDPLNSYEKSDQLLEPLLLCYESLQSSGASVLADGRLADLIRRVSTFGMVLVKLDLRQESARHSEALDAITTYLDMGTYSEWDEEKKLEFLTRELKGKRPLVPPCIKVGPDVKEVLDTFRVAAELGSESLGAYVISMASNASDVLAVELLQKDARLAITSEHGKPCPGGTLRVVPLFETVNDLRAAGPSIRKLLSIDWYREHVQKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQENVVAACNEFGIKITLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGSLRSTEQGEMVQAKFGIPQTAVRQLEVYTTAVLLATLKPPQPPREEKWRNLMEEISTISCQHYRSTVYENPEFLSYFHESTPQAELGFLNIGSRPTRRKSSSGIGHLRAIPWVFAWTQTRFVLPAWLGVGAGLKGVSEKGHADDLQEMYKEWPFFQSTLELIEMVLAKADIPMTKHYDEQLVSEKRRGLGNELRKELMTTEKYVLVISGHEKLLQNNKSLKKLIESRLPYLNAMNMLQVEILKRLRRDEDNNKLRDALLITINGIAAGMRNTG >fgenesh1_pm.C_scaffold_2000933 pep chromosome:v.1.0:2:13369770:13370923:-1 gene:fgenesh1_pm.C_scaffold_2000933 transcript:fgenesh1_pm.C_scaffold_2000933 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRANCHED2 [Source:UniProtKB/TrEMBL;Acc:D7KWG5] MFPSLDTNGYDLFDPFNPTQTTMLPSFTSYIQSPSSHHHYSSPSFPFSPDFLDSFNESLLINQFLLQQQDAAANVVESPRKLCKKLQLKNNNEKCVDGTTSEQVPRRRMAKKRDRHSKICTAQGPRDRRMRLSLQIARKFFDLQDMLGFDKASKTIEWLFSKSKGSIKQLKERVAASEGGGQDEHLQVDEKEKDETLKVRVSKRRTKTMESSCKTKESRERARKRARERTMAKMKMRLFETSETISDPHQETRDQIKITNGEQLLEREHKEQEWSHTNVNMVEYQMDSVSIIEKFLGLTSDSSTSSIFGDSEECHTSLSSIIGMSTPREHNTTVDEEKSPISSFSLYDYLCY >fgenesh1_pm.C_scaffold_2000936 pep chromosome:v.1.0:2:13403204:13406025:-1 gene:fgenesh1_pm.C_scaffold_2000936 transcript:fgenesh1_pm.C_scaffold_2000936 gene_biotype:protein_coding transcript_biotype:protein_coding MATISPGGAYIGTPSPFLGKKLKHFSLTSPISSSKPTVKLNSDCRAQLIDTVHNLFIGVGVGLPCTVMECGDMIYRSTLPKSNGLTITAPGVALALTALSYLWATPGVAPGFFDMFVLAFVERFFRPTFRKDDFVVGKKLGEGSFGVVYKVSLSKKRSNEEGEYVLKKATEYGAVEIWMNERVRRACGNSCADFVYGFLDKSSKKGPEYWLLWKYEGESTLAGLLQSKEFPYNVETIILGKVQDLPKGLERENKIIQTIMRQLLFALDGLHSTGIIHRDVKPQNIIFSEGSRSFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVAAALSPVLWQMNLPDRFDIYSIGLIFLQMAFPSLRSDSNLIQFNRQLKRCEYDLTAWRKLVEPRASGDLRRGFELLDLDGGIGWELLTSMVRYKARQRISAKAALAHPYFDRQGLLALSVMQNLRMQYFRATQQDYSEAANWVIQLMAKNGTEKDGGFTETQLQELREKEPRKKANAQRNALASALRLQRKLVKTVTETIDEISDGRKTVWWNRWIPREE >fgenesh1_pm.C_scaffold_2000938 pep chromosome:v.1.0:2:13416555:13417071:-1 gene:fgenesh1_pm.C_scaffold_2000938 transcript:fgenesh1_pm.C_scaffold_2000938 gene_biotype:protein_coding transcript_biotype:protein_coding MISHRKCEKVAEQRALTRKFKAHVYGPWLRYDPEPAGKGLRVKSHYSQSLTYEETLTYARFMVEVRVDVVYASEHEWGGKKLIRSLLQTFEVDKISPLARLTAEDKASIVHGLMDHLMKQREAWSKQRWVPLLVLVKVLS >fgenesh1_pm.C_scaffold_2000958 pep chromosome:v.1.0:2:13570563:13571252:-1 gene:fgenesh1_pm.C_scaffold_2000958 transcript:fgenesh1_pm.C_scaffold_2000958 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAHFSASAGLVDGKIYVLGEVFDPKTQTWVNFVTPKMSHNIHQSVVIEEKKVYYAVDEEAQSFYFLLSEGIFQTSGKKDSSTPKNRNNWCTIGKLLYCRGTRGRILWCEPDELIWKEVKGLEELQLSLCGSRMLCSLDPNWQNRELTNVKYDICRLCSNSAGNVVIFWTAHLGDPESLELWSAEVSLERREGCKIRGKIEWSSAIYKLDPLSHSA >fgenesh1_pm.C_scaffold_2000963 pep chromosome:v.1.0:2:13640429:13648275:-1 gene:fgenesh1_pm.C_scaffold_2000963 transcript:fgenesh1_pm.C_scaffold_2000963 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNSPRSRRSRKPEPKSDIYSTFVVHSDSDSDQGRNRDKRKAKPEEDENVDLYATMVYKGDSDGEGEEDDEDDSMLPPLLKRLPKDFGGGASLDYDDDDGDETGDFGTMIVKTDRSSHSKNSPYSSKPRMGVSPRRRARGGDEESSDEEDEEEEDDDDDGEYGTFVVKSSSKKGKNKEKEIDMSTMGRAVASMQKSNFGGKTRKLDPSSSSSKLQGEDNRKMQQQNSKMSTTSLPDSITREDPTTKYEFLNELGKGSYGSVYKARDLKTSEIVAVKVISLTEGEEGYEEIRGEIEMLQQCNHPNVVRYLGSYQGEDYLWIVMEYCGGGSVADLMNVTEEALEEYQIAYICREALKGLAYLHSIYKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQENRYDGKVDVWALGVSAIEMAEGLPPRSAVHPMRVLFMISIEPAPMLEDKEKWSLVFHDFVAKCLTKEPRLRPTAAEMLKHKFVERCKTGASAMSPKIEKSRQIRAVMALQAQSVVAPSSEDTSTLGPKSNEEVGITVPAKPPQNSTEAPLTGTLNRQHITGNTVLAGEGKYYMHAYLCGDFGTMIVHGEDEAEESDSRSQLVKEKEESSSSQVEGVSREVSGEELPDSWIHDKKNPPGIDLPIEASTSQSIQASSSHEHRTKLNNIAGTQIEGGSDASGSTLKNETVGRKAFALQDKLWSIYAAGNTVPIPFLRATDISPIALLSENMIGGMQQDGNGTVAVEALQELFTSDPQSKKGRRGQNEMPLPPSVYQRLTTSSSLMNLAQVLAYHRAYVLRGNAAAGIASNAGAANHSKSMRYSSHYSPPLSISMQFSSSLLLPKTTPPRYFPFSSSISRFSSSSSSPSPSVRPPKSAGSNGGEEDTFEYKTTDDVEVIEDWEEEEDEEVESYLGDGGDGGGIVLRDVPWGEKVLSIAAEVLKQSEEELELFAFKTSPRGYIYVRLDKLSNEYGCPTMDKLEEFSREFKKRLDDAGAEKVIPEDLALEVKRVFLHESTTTQGQFVLNLVSVLFFSVKVSSPGAERLLRVPEDLPRFKEMPMTVSYVEKTNSRKVVKTAVFLLESIDAESDNCVWKLADVKENRDPESKGRPLSRKQKDLRITLPFTDHKKINLYLD >fgenesh1_pm.C_scaffold_2000968 pep chromosome:v.1.0:2:13689820:13693340:1 gene:fgenesh1_pm.C_scaffold_2000968 transcript:fgenesh1_pm.C_scaffold_2000968 gene_biotype:protein_coding transcript_biotype:protein_coding MKISRNSPINILIVFFLLTTARATRNWTNRTHRTVPRVQHAYYAYPHRSCESFSRPYARSMCIELERIHRSSRQPLFSPPPPSTEIDQSVMNDTREQRAKPVPMAAVVDQWKETELSKSRNKYEKLSEKIVSWEDKKRKKAKRKLHRTERAVEKTKLKAVQRFTDENERIEIIVASARAHAYESRMKEELKVKEKANLMRTTGRKPSACL >fgenesh1_pm.C_scaffold_2000988 pep chromosome:v.1.0:2:13776690:13788682:1 gene:fgenesh1_pm.C_scaffold_2000988 transcript:fgenesh1_pm.C_scaffold_2000988 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLRPRTLTFLEVAIPPPTDTTQCSVFEGCSGSTSSAEAGGILGGSTREIEACKVKVFDKLSEKAERVLEIGIGSGPNMRYYAARNSNVTLYGLDPNPKMKKYARKSATKAGLKPKNFRFKQGVGEAIPLKDNSVDAVVATLVLCSVSDVTQTLKEIKRVLRPGGVFIFLEHVAAKDGSFFKRLQKLLDPLQQRLADGCHLTRNTRECILEAGFSGVEVETYSILCPCGRRHFLGDATTTTPFLPIPPSHAAQSNSSEDLERLRPPNSKARLISDYKMKLFDNLVGKAEKVLEIGIGTGPNFKYYTAIPNLSVIGIDPNARMESYARKSAEEAGLKPEDFTFIHALGESIPLEDASVDAVVGTLVLCSVADVTRTLNEIKRVLRPGGTFIFIEHVAAEDGTFLRLVQNVLDPLQQVVADGCHLTRHTGESILEARFNGGADVKKASLSSSFIAFLTYSPRRVIRASRDQLHAQTVKSHHLPSGSSYTSLCSCGRKHFLEAASPTMPFLPIYSPNASRSKDVSETFHPQRPDWYKELFAWFLSTGMRSYEAEIADYKRKLFEKLAGKAETVLEIGVGTGLNLKYFAGNENVCVFGMDPNHKMEKYAFDTAREAGMKPENFRFIQGVGEAIPLDDDSMDAVVATLVLCSVSDVTQTLNGKLHKPLRDLTGQYPFNRLSFSEIKRVLKPDGSFFRHVQNVLDPIQQVVADGCHLTRNTDLYISDAGFDGGSEINNTAIYSFPWIIRPHVYGAAYK >fgenesh1_pm.C_scaffold_2001000 pep chromosome:v.1.0:2:13894691:13895774:-1 gene:fgenesh1_pm.C_scaffold_2001000 transcript:fgenesh1_pm.C_scaffold_2001000 gene_biotype:protein_coding transcript_biotype:protein_coding MESIPQTQVFAELRFFVYNKKENKYFTIQDVEVKRFNALRMVWGLIQVLPYDTFINPEFGYIFEGGECEFGVDVLVAPPLTNWEILSFDEKLSHPKFSWTVKSFSDLKEDVYTSNKFSMGGKEWILKLYPKGDSPANGKYLSLYLHLADSETLKPDEKVFKQGHVRVLNPIGSNHVEGQYSRWHKEPGKGWGWDQFMSLADLRKTYLDKEDALNVEVEFKVVSATKYSPI >fgenesh1_pm.C_scaffold_2001006 pep chromosome:v.1.0:2:13931752:13933004:1 gene:fgenesh1_pm.C_scaffold_2001006 transcript:fgenesh1_pm.C_scaffold_2001006 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRLNSSCHFPSSTRLYFESYLGLRTTARISYARTLTAPRGYLAVKANGGQASVVTAAAIMEKQQKKYPGESKGFVEEMRFVAMRLHTKDQAREGEKESKSPEEGPVAKWEPTVEGYLQFLVDSKLVYDTLEGIIDDSNFPTYAGFKNTGLERAESLRKDLEWFKEQGYEIPEPMAPGKTYSEYLKDLAENDPQAFICHFYNIYFAHSAGGQMIGTKVSEKILDDKELEFYKWDGHLSQLLQNVRQKLNKVAECWTREEKNHCLEETEKSFKFSGEILRLILS >fgenesh1_pm.C_scaffold_2001011 pep chromosome:v.1.0:2:13964900:13970026:-1 gene:fgenesh1_pm.C_scaffold_2001011 transcript:fgenesh1_pm.C_scaffold_2001011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosine-specific methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KXJ2] MAPKRKRATKKDESTKSIPKPRKRAPKRAKTVKEEPVKFVEEGEKYVARFLDEPIPESEAKSTWPDRYKPITVQKPKAPSRNTTKDDEKDKIIRARCHYRRAIVDERQIYELNDDAYVQAGEGNQPFVCKIVEMFEGANGKLYFTARWFYRADDTVMKQFKILIDDKRVFFSEIRDTNELGLLEKKLNILMIPLNENAAGPIAATKTCDYYCDMNYLLPYDTFEALQQDTKMAISESSTISSDTDVNEGAAAISENQEGSQDTRVQRETTLLDLYSGCGAMSTGLCMGAQLSGLNLVTKWAVDMNAHACKSLGHNHPETHVRNMSAEDFLFLLKEWEKLCIHFSLRNSPNSEEYENLHGMTNVEDNEDVSEESDDEDDGEVFTVEKIVGISFGVPKKLQKRGLYLKVRWLNYDDSHDTWEPIEGLSNCREKIKEFVKLGYESGILPLPGGVDVVCGGPPCQGISGHNRFRNLLEPLKDEKNKQLLVYMNIVEFLKPKYVLMENVVDMLKMSEGYLARYAVGRLIQMNYQVRMGMMAAGAYGLAQFRLRFFLWGALPSMMIPQFPLPTHDLVQRGNIVKEFQGNTVAYDEGHTVKLADKLLLRDVISDLPAVDNSEQRDEIPYDQDPVTPFQQFIRLRKDEALGSLTKSKSKKHVLYDHHPLNLNINDYQRVCRVPKRKGANFRDFPGVIVGPGNVVHLDEDMKIMLQSGKQLVPNYALTFVDGTSSKPFGRLWWDEIVPTVVTRAEPHNQVIIHPTQDRVLSIRENARLQGFPDDYKLFGPTKQKYIQVGNAVAVPVAKALGYALGIAFQGLADGKDPLLTLPEGFAFMKPNLPSELA >fgenesh1_pm.C_scaffold_2001014 pep chromosome:v.1.0:2:14003271:14007804:-1 gene:fgenesh1_pm.C_scaffold_2001014 transcript:fgenesh1_pm.C_scaffold_2001014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carrier protein [Source:UniProtKB/TrEMBL;Acc:D7KXJ7] MSTPARKRLMRDFKRLQQDPPAGINGDSQDNNILLWNAVIFGPDDTLWDGGTIKVCIMTLQFTEDYPNKPPTVRFVTRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLFCDPSPNSPANSEAARLFSENKREYNRRVKKIVEQSWNIIQ >fgenesh1_pm.C_scaffold_2001017 pep chromosome:v.1.0:2:14023674:14024248:1 gene:fgenesh1_pm.C_scaffold_2001017 transcript:fgenesh1_pm.C_scaffold_2001017 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKVSFFLILFLCVLSTADFGEAQNPTGRKCEDPNGVDKKAECYIYCNEQGFLGGSCQGYKNHYLCECYVG >fgenesh1_pm.C_scaffold_2001018 pep chromosome:v.1.0:2:14029092:14029527:1 gene:fgenesh1_pm.C_scaffold_2001018 transcript:fgenesh1_pm.C_scaffold_2001018 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKVSFFLVLCLCILSGGECIESPIFTGNKCSDPTGLDKDGKCLDYCHAQGYPGGSCEGFTDHYMCVCKVG >fgenesh1_pm.C_scaffold_2001020 pep chromosome:v.1.0:2:14039755:14040988:-1 gene:fgenesh1_pm.C_scaffold_2001020 transcript:fgenesh1_pm.C_scaffold_2001020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 family protein [Source:UniProtKB/TrEMBL;Acc:D7KXK6] MGQALGCIQVDQSNVAIKETFGKFDEVLEPGCHCLPWCLGSQVAGHLSLRVQQLDVRCETKTKDNVFVTVVASIQYRALAESAQDAFYKLSNTRNQIQAYVFDVIRASVPKLDLDSTFEQKNDIAKTVETELEKAMSHYGYEIVQTLIVDIEPDVHVKRAMNEINAASRMREAASEKAEAEKILQIKRAEGEAESKYLSGMGIARQRQAIVDGLRNSVLAFSESVPGTSSKDVMDMVLVTQYFDTLKEIGASSKSNSVFIPHGPGAVKDIASQIRDGLLQGNSVAE >fgenesh1_pm.C_scaffold_2001036 pep chromosome:v.1.0:2:14212002:14214979:-1 gene:fgenesh1_pm.C_scaffold_2001036 transcript:fgenesh1_pm.C_scaffold_2001036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KXX8] MMLLFLLLLFFPKNSENVVCQGSDPTGGEFDFNGFLYAAGSANLNNNGLFRLTNSTTQTAGQVFYNFPVRFKDSVNGTVYSFSTTFVFAIVSHYGAMKGHGLAFVICPTRGLSNDGDPKNHIIAVELDTVQGPEVYDMNANHVGIDINTIVSEIAASAGYYKDDGRFIDLLLASGDPMQVWIEYDSKQRQLNVTLHPIRVPKPKIPLLSLQKDLSPYLLEFMYLGFSSSTGTLTASHYILGWTFKINGTAPAIDPSRLPNVPRFNQPWIRSLKGVLTISLTISGVAILIILGLSIWFFLKRKKLLEVLEDWEVQFGPHRFAFKDLHTATKGFKNTELLGKGGFGKVYKGTLPVSNVEIAVKRVSHDSRQGMRGFIAEIATIGRIRHPNLVRLQGYCRHKGELYLVYDCMPKGSLDKFLYDQQTGNLDWSQRFKIIKDVASGLCFLHQQWVQVIIHRDIKPANILLNANMNAKLGDFGLAKLWIMGLILRPLMWQLSRTGKASTSSDVFAFGVVMLEIACGRKPILPRAPQSEMVLTDWVLDHKIGQDYVEEQVAFVLKLGLLCSHPVPAIRPNMSSVIQFLDSVAQLPDNLLDIVQTREIHRGTEISGGAADSPESSSVAPLTFTESFVSHGR >fgenesh1_pm.C_scaffold_2001037 pep chromosome:v.1.0:2:14216384:14217007:1 gene:fgenesh1_pm.C_scaffold_2001037 transcript:fgenesh1_pm.C_scaffold_2001037 gene_biotype:protein_coding transcript_biotype:protein_coding MACGKKQTVHWLFSIIYLANQETPVPNIEIASMDFTVLNITQTRLSANWDLLIRVPSDLPNVFICLQGDIQASVFYKNINLVTSSGQRYNDLKSCSPQQLRVSASISEEDIGGLIGKNIIKDINEKREVKFGSQLFLTDCRKGTTGVLSYVCDETTLRFEPGSETKATKFGNNPTCTKF >fgenesh1_pm.C_scaffold_2001038 pep chromosome:v.1.0:2:14223539:14225609:-1 gene:fgenesh1_pm.C_scaffold_2001038 transcript:fgenesh1_pm.C_scaffold_2001038 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLKKLLLFFLFFFYMASISQGLDPTGGQFSFNGYLYTDGVADLNPDGLFKLITSKTQGGAGQVLYQFPLQFKNSATGAVSSFSTTFVFAIVAVRKTIAGCGLSFNISPTKGLNSVPNIDPSSSSNRSVSVEFHTAKSEKTDGEDVNVVGINVDRTETGRNCSAGYYKDDGRFVNLDIASGKPIQVWIEYNNSTKKLDVTMHSIQISKPKTPLFSLRKDLSSYLREYMYIGFTSVGSPTSSHYILGWNFNNTGTVSDINLSRLPKVPDEDQDRALSSKILAISLSISGVTLVIVLVLGFMFFLKRKKFLEVIEDWEVQFGPHKFTYKDLFIATKGFKNSELLGRGGFGKVFKGILPLSSIPIAVKKISHDSRQGMREFLAEIATIGRLRHPDLVRLLGYCRRKGELYLVYDFMPKGSLDKFLYNQPNQILDWSQRFKIIKDVASGLCYLHQQWVQVIIHRDIKPANILLDENMNAKLGDFGLAKLCDHGIDSQTSNVAGTFGYISPELSRTGKSSTSSDVFAFGVFMLEITCGRRPIEPRGSPSEMVLTDWVLDCWGSGDILQVVDEKLGHMYLAEQVTLVLKLGLLCSHPVAATRPSMSSVIQFLDGVATLPHNLLDLANARIIDGGFDALGETTESMEASSNVSVVMTESFLSSGR >fgenesh1_pm.C_scaffold_2001061 pep chromosome:v.1.0:2:14391314:14391823:-1 gene:fgenesh1_pm.C_scaffold_2001061 transcript:fgenesh1_pm.C_scaffold_2001061 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKTLNYDDLDNFSKLQKSQRYADIIQKVEEALEKGTVLEYKKLIEDCNQLLVDIENEIVIVHNFIREKYRLKFQELESLVHHPIDYVRVVKRIGNEMDLTLVDLEGLLPSAMIMVVSVTASTTKGNQLPKDVLLKTIDACNRALDLDSARKKVLDFVDYVIVCDTY >fgenesh1_pm.C_scaffold_2001062 pep chromosome:v.1.0:2:14395702:14397060:1 gene:fgenesh1_pm.C_scaffold_2001062 transcript:fgenesh1_pm.C_scaffold_2001062 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKHFNPKTIIISEDMVEEIFHHLPLKSLARFKVLSKKWRSMIESTYFSHKRLVRTGLPTPNMKFMYVSRHFSANFVEEDSNSTTLLLETFSRDDHNNNRKNSPSSSSHYTFPDDPVDKSQNKTIQVVGSCDGLVLIRIYDDFRYIYLINPTTEERLTFHPEFTQWPLTFRFKSTAMVDRPWRQVTQGVLHYPPDVKIMPFLAGFGKDIVTKSYKVVLMYTRKGNHDPCFKVKVLSLDNGEQRDVCFYRMSMFIFCEEQTSVYANGSVFWLTLCNSRKTALKLLAIDLHTEEFRWIILPKCYIRYDSSIEMWNLNDRLCLSDVLECSNLVVWSLQQEYPTEKWEKIYSIGFIKTNQLHEKFWMLGLAAAYFPCIGNHRDQVSFFRQRTVSYSPTMICPSSLML >fgenesh1_pm.C_scaffold_2001102 pep chromosome:v.1.0:2:14621563:14622512:1 gene:fgenesh1_pm.C_scaffold_2001102 transcript:fgenesh1_pm.C_scaffold_2001102 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KYE6] MEKPEEEVEIKELVGLVRIQVKRGINLARRDAFSSDPFVVITMGSQKLKSFTVKNNCNPEWNEELTLAIENPNEPVNLMVYDKDTFTSDDKMGDAEIDMKPFLEIHKMGLQQLPDGTEIKRIVPTRDNCLAEDSRIVYDNGKIVQDMILVLKNVECGKIEIQLEWLKNPGGSGL >fgenesh1_pm.C_scaffold_2001103 pep chromosome:v.1.0:2:14623304:14624204:1 gene:fgenesh1_pm.C_scaffold_2001103 transcript:fgenesh1_pm.C_scaffold_2001103 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KYE7] MEELVGLLRIRVKRGINLAQRDTLSSDPFVVITMGSQKLKTRTVENNCNPEWNEELTLALKHPDEPVNLIVYDKDTFTSHDKMGDAKIDIKPFLEVHKMGLQELPDGTEIKRVVPTRVNCLSEASSIVSINGKIVQDMILLLKNVECGEVEIQLEWIEIPGSRGL >fgenesh1_pm.C_scaffold_2001108 pep chromosome:v.1.0:2:14651439:14651971:-1 gene:fgenesh1_pm.C_scaffold_2001108 transcript:fgenesh1_pm.C_scaffold_2001108 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMAKSMIEVEINVSADKIFQAIKSTSRSVPKLSPEKILSVEEHIGDDSKGTKNWTLSVDGKVEKMKERVVIDEANKSMTVFVFEGDVMENYSSFKCNLQIIPKLHGRSIARWSWEYEKLNADSPAPNKYMDFAVYLTKDIESNLLKT >fgenesh1_pm.C_scaffold_2001119 pep chromosome:v.1.0:2:14729834:14730605:-1 gene:fgenesh1_pm.C_scaffold_2001119 transcript:fgenesh1_pm.C_scaffold_2001119 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KYH5] MEGGIKQTYYEILGVAVDSSAEQIRRAYHKLAMRWHPDRWTKDPFRSGEAKGRFQQIQEAYSVLSDERKRSLYDVGLYDSGEDEEKQYSLEELQTMVDDMVYEFQSEPLFQNQSMGMNFDLNQPADWHSQMSLPLSSFEFYPQSSY >fgenesh1_pm.C_scaffold_2001151 pep chromosome:v.1.0:2:14899393:14901209:-1 gene:fgenesh1_pm.C_scaffold_2001151 transcript:fgenesh1_pm.C_scaffold_2001151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7KYU8] MAIIEWRRQRRRPLDGGGTRRRLFFRPLYSRNFKRTILFAVAFLAIFPPLYFHFKLRRIRQIVAQKCDWLHHPPLVCAHGGDSTLAFPNTMDAYSFAIRSRVDCIEVDVSRSSDGVLFALHNRDLQRIARNSSVQVGDLSMKQIKELDISEIVKGTLGNRRIPTLEDALALISNSVRKVILDAKVGPPMYEKGLAQDILSVIERAQCKNCIVWAKSDTLARDIIRQAPDTTVGYIVMVDHSTGVRSNLLRMKGARVVGVYHPLIDEELVRLVRRRNKDVYAWTVDDADPMKRMLHLGVDAVVTSDPSMFQGLMEDLRTECLEEGFSIRT >fgenesh1_pm.C_scaffold_2001160 pep chromosome:v.1.0:2:14947093:14947758:1 gene:fgenesh1_pm.C_scaffold_2001160 transcript:fgenesh1_pm.C_scaffold_2001160 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVPINSQPEPESSEEELSDSQVSYSSEDDSMDGKPSDSGNTNGGVTETEANDTKDEFWVRYPSLKMFLSKEIVKEFIPEQYVLEKAKLIGDDKAKELNDKCDVLFIKEMEYLINKFRFIADVLEEMFL >fgenesh1_pm.C_scaffold_2001166 pep chromosome:v.1.0:2:14964827:14966137:1 gene:fgenesh1_pm.C_scaffold_2001166 transcript:fgenesh1_pm.C_scaffold_2001166 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLPFPMTPKLILSSFVSLVVITLFFITRTGFSPSSSIFQPLNNTLRISTSSTGSKLQSSKSTSCSKIPPSLADALVHYAASNVTPQQTLSEISVTKKVLEKKSPCNFLVFGLGRDSLMWAALNHGGRTIFLEEDELWIHKIAEKFPSLESYHVRYNTKVRDATALMAATRDREECRRVSTDLRVSACELALKGLPEVVYETEWDLIMVDAPTGFDDETPGRMTAIYTVGMIARRRKDDGETTAVFVHDVDRKVEDEFSMEFLCRDYMTEQEGRLRHFTVPSHRNHGFSGAKLCP >fgenesh1_pm.C_scaffold_2001172 pep chromosome:v.1.0:2:15000746:15003911:-1 gene:fgenesh1_pm.C_scaffold_2001172 transcript:fgenesh1_pm.C_scaffold_2001172 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVEPAGIMKKTHRQKSQRLWAKLVMRKWLNISGRDPEYGADTEDESENEDVREDNDDSSSDEEESSSSRGRESKVCENAEDAIAAASAVVDAEAAAAEFISNEAPMKLRRRNSETLRAQYINNKEIRVCVGTWNVGGISPPSDLDIDDWIEINQPADIYVLGLQEIVPLNAGNILGAEDNRPVAKWEEVIREALNRVRPKLSGVKSYSDPPSPGRFKPFEETHDVIEEEVAFESDSDAGVEVHPIDEEEEEETDRLWALKHDGGVIGEVHTLVNPNTGLPVVEIKRQFSIPKKLDRQLCLRADSFEGNIEEDSSQTGMKTINRMLSGKERIGLSWPEPPLNMLGPCVLDRQPSIKTVKSLKTAKSFKAFSSFKSVAGSNNGIPPEVLALAEMDLKLLMERKRRPAYVRLVSKQMVGILLTIWVKRSLRKHIQNVRVSTVGVGVMGYIGNKGAVSVSMSINQTFFCFINTHLTAGEREVDQIKRNADVHEIHKRTVFHSVSSLGLPKLIYDHERIIWLGDLNYRLNSSYEKTRDLISKREWSKLLEYDQLVKEYRKGRAFDGWSEGTLHFPPTYKYQANSDEFTANDGKAPKRTPAWCDRVLSYGKGMRLVHYRRTEQKFSDHRPVTAIYMAEVEVFSARKLQRALTFTDAEIEDEGLVAVLV >fgenesh1_pm.C_scaffold_2001177 pep chromosome:v.1.0:2:15022203:15023291:1 gene:fgenesh1_pm.C_scaffold_2001177 transcript:fgenesh1_pm.C_scaffold_2001177 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLFIALQCYECSTMQVKQKKKSSNKWVCVICNQKQSVKKVFAQGYKAKELRFFVQSFNMSRKVADEVADSFSEVDVEGEEVLDVIGMKKRSDWSEYLDFDSPNDRRRLVGEEDDVKIVTEMPKDMFKRPKLNKDSNAGGSSSITGGGKKDGNALFKPSFSTRSIKMPNFCSDGVMTRKKDIEERNLESERIIKPASKWDAYLIDEEGEHQAPPQIGGNKTLKDDANVGEWDRAIMEINTEYQIVDDEVHPDFM >fgenesh1_pm.C_scaffold_2001193 pep chromosome:v.1.0:2:15131287:15133649:1 gene:fgenesh1_pm.C_scaffold_2001193 transcript:fgenesh1_pm.C_scaffold_2001193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:D7KYZ8] MAESGGSWRDSYKGMSSDNIKGLVLALSSSLFIGASFIVKKKGLKKAASTGTRAGVGGYSYLYEPLWWIGMTTMLLGEIANFAAYAFAPAILVTPLGAVSIIISAVLAHIILREKLHIFGILGCALCVVGSTTIVLHAPQEQEIDSVIEVWNLATEPAFMFYASLVIGAAVFLIIRFAPLYGQTNVMVYIGICSLVGSLSVMSVKALGIALKLTFSGTNQIHRGYFLLSNFVTKGIAFHLQALDTFNTAIVSPIYYVMFTSLTILASVIMFKDWDRQNGTQIVTEMCGFVTILSGTFLLHRTKDMVEGSSVILPLRISKHINEEEGIPLRRQESLRSP >fgenesh1_pm.C_scaffold_2001196 pep chromosome:v.1.0:2:15147655:15149219:1 gene:fgenesh1_pm.C_scaffold_2001196 transcript:fgenesh1_pm.C_scaffold_2001196 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIMQSSMPPGFRFHPTEEELVGYYLERKINSMKSALDVIVEIDLYKMEPWDIQARCKLGYEEQNEWYFFSHKDRKYPTGTRTNRATAAGFWKATGRDKAVLSKNIVIGMRKTLVYYKGRAPNGRKSDWIMHEYRLQNSELAPVQEEGWVVCRAFRKPIPNQRPLGYEPWQNQLYHVENSNNYSSSVTMNTSHHIGASSSNHNLNQMLMSNNHYNPNNVSSSMHQYGNNELPQLDSPSLSPSLGTNKDQNESFEQEEEKSFNCVDWRTLDSLLETQVTHPHNPNVLMTSFETQSYHPAPSFPSMHQNYNDVEANIHHSLGCFPDS >fgenesh1_pm.C_scaffold_2001198 pep chromosome:v.1.0:2:15151853:15152955:-1 gene:fgenesh1_pm.C_scaffold_2001198 transcript:fgenesh1_pm.C_scaffold_2001198 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFARGTRVYLNHHIIIIIIVFFLFSSIVMAESTGEATVSSEAKVHIIYTEKPTDEEPKTYHLRTLSSALGSEEAAKDALIYSYKEAASGFSAKLTPEQVTEISKQPGVIQVVPSQTYQLHKPGGGGGGFKLT >fgenesh1_pm.C_scaffold_2001203 pep chromosome:v.1.0:2:15178499:15187462:1 gene:fgenesh1_pm.C_scaffold_2001203 transcript:fgenesh1_pm.C_scaffold_2001203 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLYDSAHSLDGRSGWDTPVFSMKDSSDLNPMVTEAWEALCRSQVYFREKPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMKGEPDIVKNFLLKTLHIQGQDKMIDKFKLGDGAMPASFKVLHNPIKKTDTIIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDHSLADRPECQKGMRLILSLCLSEGFDTFPTLLCADGCSMVDRRMGIYGYPIEIQALFFMALRFALSMLKHDSEGKEFMEKIVTRLHALSFHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWIFDFMPLRGGYFIGNVSPARMDFRWFALGNCIAIISSLATPEQSMAIMDLIEARWEELVGEMPLKICYPAMESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAASIKTGRPQIARRAIELAEARLLKDGWPEYYDGKSGRFIGKQARKFQTWSIAGYLVAKMMMDDPTHVGMISMEEEKHMKPPLKRSSSWT >fgenesh1_pm.C_scaffold_2001214 pep chromosome:v.1.0:2:15215839:15220466:1 gene:fgenesh1_pm.C_scaffold_2001214 transcript:fgenesh1_pm.C_scaffold_2001214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7KZ97] MMTTSEISLQEEYVTDTVDHRGFAAKRSNTGRWRAALFIIGVEVAERFAYYGIGSNLISYLTGPLGQSTAVAAANVNAWSGVATLLPALGAFVADAFLGRYRTIIIASLIYVLGLAFLTLSAFLIPISCSENSTEVISSPSSLLNALFFFSLYLVAIGQSGHKPYSQEISDRSSFFNWWYLSLSAGICLAILVVVYIQEEFSWAFGFGIPCLFMVISLVLFVLGRRSYRYSKRRDEEEINPFTRIGRVFFVAFKNQRLSSSELCKVELEANTSPEKQRFFNKALLVPNDSSQGENACKSCDVEDATALIRLIPVWLTTLAYTIPYAQYMTFFTKQGVTMDRTILPGVKIPPASLQVFIGVSIVLFVPIYDRVFVPIARSITKDPCGITTLKRIGTGIVLSIITMVIAALVESKRLETAKVIDQPGATVPMSIWWLIPQYLLLGLADVYTLVGMQEFFYSQVPTELRSIGLALYLSALGLGSLLSSLLISLIDLATGGDAGNSWFNSNLNRAHLDYFYWLLARKMTTASDIYLQEEYVTDAVDHRGFAAKRSNTGRWRAALFIIGVEVAERFAYYGIGSNLISYLTGPLGQSTAVAAANVNAWSGIATLLPVLGAFVADAFLGRYRTIIIATLIYVLGLAFLTLSAFLIPNTTEVTSTPSFLNALFFFSLYLVAIGQSGHKPCVQAFGADQFDEKNQQENTDRSSFFNWWYLSMCAGIALAILVVVYIQENVSWAVGFGIPCVFMVISLVLFVLGRRSYRYSKRRQEEEINPFTRIGRVFFVAFKNQRLSSSELYKVELEANPSQESPEELSFLNKALLVPNDSPEGEMACKSRDVDDATTLVRLIPVWLTTLAYAIPYAQYMTFFTKQGVTMERTIFPGLEIPPASLQALISITIVLFVPIYDRVLVPIGRSITKDPCGITTLKRIGTGMVLATLTMVVAALVESKRLQTAKEYGLIDQPKTTLPMSILWLFPQYILLGLADVHTLVGMQEFFYSQVPTELRSIGLALYLSALGVGSLINSLLISLIDLATGGDAGNSWFNSNLNKAHLDYFYWLVAVVSAVGFFTFLFISRSYIYRRVD >fgenesh1_pm.C_scaffold_2001215 pep chromosome:v.1.0:2:15220973:15222957:1 gene:fgenesh1_pm.C_scaffold_2001215 transcript:fgenesh1_pm.C_scaffold_2001215 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRSSANELENTTEGFLEIRENTSGGWKSARLIIGVEMAERFAYFGIASNLIMYLTGPLGESTAAAAANVNAWTGTVSFLPLLGGFVADSFLGRFRTIVISSSLYILGLGLLSFSTMIPYHRKDSNQLQVALFFCSLYLVAIGQGGYKPCIKVFGADQFDGNDLKEAKDKSSFFNWLMFGNCISILTTRLVSTYIQENLSWSLGFGIPSVSMLLALFLFLLGTNSYRFSTEREGKRNPFARISRVYMEALKNQRQPDLDIANANETLLLLAHQSSKQFRFLDRAAISCELAEIEEAKAVLRLIPIWITCVVYTIVHAQAPTFFTKQGATMDRSISPGLLVPAATLQSFINLSIVVFIPVYDRLLVPFARSFTQKPSGITMLQRIGTGIFLSILAMVVAALIETKRLQTTRDDLTIPMSVWWLVPQYVILGVSDVFTMVGLQEFFYDQVPSELRSIGMALNLSIYGAGNFLSSFMISVIDKITSQYGQTSWFDNDLNQAHLDYFYWLLASLSFIGFASYLWFAKSYACNRPNTF >fgenesh1_pm.C_scaffold_2001219 pep chromosome:v.1.0:2:15249845:15251657:-1 gene:fgenesh1_pm.C_scaffold_2001219 transcript:fgenesh1_pm.C_scaffold_2001219 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein [Source:UniProtKB/TrEMBL;Acc:D7KZA3] MAEEQPTTTLVTPEKLPSSDVTPPVESEPTQEVLPRETETPETNPPESAETTTKPEEETTAEHHPPTVTETETASTEKQELGDESSSSQKELAEEKKSMIPQNLGSFKEESSKLSDLSNSEKKSLDELKHLVRDALDNHQFSSIPKPEDTNNTPEEVKIWGIPLLEDDRSDVVLLKFLRARDFKVKDSFAMLKNTVKWRREFKIDELVEEELVDDLDKVVFMHGHDREGHPVCYNVYGEFQNKELYNKTFSDEEKRKHFLRTRIQFLERSIRKLDFSSGGVSTIFQVNDMKNSPGLGKKELRSATKQAVELLQDNYPEFVFKQAFINVPWWYLVFYTVIGPFMTPRSKSKLVFAGPSRSAETLFKYISPEQVPVQYGGLSVDPCDCNPDFSLEDSASEITVKPGTKQTVEIIIYEKCELVWEIRVIGWEVSYKAEFVPEEKDAYTVVIQKPRKMRPSDEPVLTHSFKVNELGKVLLTVDNPTSKKKKLVYRFNVKPL >fgenesh1_pm.C_scaffold_2001227 pep chromosome:v.1.0:2:15312745:15318003:1 gene:fgenesh1_pm.C_scaffold_2001227 transcript:fgenesh1_pm.C_scaffold_2001227 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCCDSLLATDASLRRESFCRSAEKDTVSSSRTMTMVDLDSNCELSNDVDMEQSSPDLMKTEQSSDPVALDGKVVLGFSLASPDLVNCGASPDLPRGSYEDSPEFSKKRRFSTELSLENGIDGSTTTRHGRKSQVVKFSAICQTFGYELSPESSFELPSPPVNFRESTTPVISINSGSTSTDVTVDDVTFLKDAFFSGGESITTDAVVGNEDELLLYQTARLGNFAYKFQSLDPGDYFIDLHFAEIEFTKGPPGVISGLDLFSQVGANTPLVIEDLRMLVGREGELSIRLEGVTGTAILCGISIRKEATATYVEETGMLAVKGSTDSVLSQQTQENVDCRTEEETEGTRSDCGQQRKEMEDMKKMVEELKQENQRKTRECEEALTSLREIQNELMRKSMHVGSLAFAVEGQVKEKSRWFSSLRDLTRKLKQIKLLEEATTYKHLVQDINEFSSHIQSRVKQDAELHENLKVKFVAGEKERKELYNKILELKGNIRVFCRCRPLNFEEIEAGVSMGIDVESTKNGEVIVMSNGFPKKSFKFDSVFGPNASQADVFEDTAPFAMSVIDGYNVCIFAYGQTGTGKTFTMEGTQHDRGVNYRTLENLFRIIKEREHRYNYEISVSVLEVYNEQIRDLLVPASQSASAPKRFEIRQVSEGNHHVPGLVEAPVKSIEEVWDVLKTGSNARAVGKTTANEHSSRSHCIHCVMVKGENLLNGECTKSKLWLVDLAGSERVAKTEVQGERLKETQNINKSLSALGDVIFALANKSSHIPFRNSKLTHLLQDSLGGDSKTLMFVQISPNENDQSETLCSLNFASRVRGIELGPAKKQLDNTELLKYKQMVEKWKQDMKGKDEQIRKMEEMMYGLEAKIKERDTKNKTLQDKVKELESQLLVERKLARQHVDTKIAEQQTKQQAGDENNPSKRLPLTSILLGSGSKEMVNLTRPPLLESTPSINLAPLPSGGLKYNDLSEKENNPEMADQVQIPNRTGRFSICAKRIPSAPAPRRSSLAPTTSTSREMVNLTRPPLVESTTSYDLPPLPNGGGLKYNDLIEKVNNPEMAEQVQIPKRTGRSSICAKRIPPAPRRKTLAPMPFIPITSPSNSSSSLMPPCQAITNSPDEKSGANQVLCTSPKLHRSNGKTLTSILRRSIQKRMQKKSSPRQQPMRRGGGINVGMERVRLSIGNRGKLAHRVLLTNARKAGLKETPQKQERWI >fgenesh1_pm.C_scaffold_2001237 pep chromosome:v.1.0:2:15369674:15375358:1 gene:fgenesh1_pm.C_scaffold_2001237 transcript:fgenesh1_pm.C_scaffold_2001237 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFKISKVGRKFRPKISTELVTPDSPEQLNSKAKATDESNVGDVSGFSKPSLPDISPDHEVSFILSLYPNGYSIGKTSEALQQTSFRDVPKVLHPYDRAAEGLLSAIEAGRLPGEILEDIPCKFVDGVVICEVHDYRKHTPAQVSPVINKLRLKMSLENVVKDIPSMSDNSWTYGDLMEVESRILKALQPELCLDPVPRLDRLSKNPLSAKLDLSLSTLRRKRLRQMAEVTVMSQNKIHGKKVCIDRVPESSDRGNMPGHLIMQQTHNNQAIQNLGTNMLVGLRSQPLQDAPNSSLALVPPQQQRYLGTGNIRNMQDQGSNSVSVSCASPGGLDVMLPYGSDSMNPGTSFHRKRESQEGQMSSMPGLNKRTRVSHMGPDGVPQQQLGQRMDGLHGTDTNWKNTLLQQQDMLGRSIQYPNTSTQRFSPHQMEGVLNQEGGPMQFPASQQGAPRYTSKEEPFETGKIDGGTRNNIPGGSDTNDLDPRIQSRMPHNAYIRSNFPQTSWNVNPGQQIEKEPKKEEQFSRRISAQSPRLSAGAPPQSPHSSKSGEFSGGSMGTHYGAVAAAQKDKAVTSIPAIGATQSVGSSANDAMQQRQHQAQMAKRRTNSLPKTQVISTVGSPVSVNTISVPVNARSPSVGPQTLGDHAILDRFSKIERVAARYQLNCKKHKVDEYSRRPRVYAKQSLTVCLSNLSNEEVFKDEDKALSKSILGGSMNTCKTRVTNFFHMERVMQGTVPSFVPRIRTKLVMSEKAVDGTVAWYQGDVDEGDVSQAEDFLLALPNTMTREGYMIEEHILAKPNRGDTGPISNQPNSAGGYPRGYSANDMQQYGDAVAGQASGEASKQGNTGNVPNTSTQNILANARMLPPANSQALQLSQGLLSGVSMPMQPQQPDPQQSALLSSHSQQKNQQSMFTQQQHPQMQRPSMILPTNPLSAINSIGQSSGMQPGGQMANKYSPLQLQMLQQQQQAAMQKKIMMGLGSGVGMGMGMGMGMGMGSMGNSIAGLGALGNQLNMAGRGIGGTGISSSMSVPGIGNMGQNPMNLNPASNLNAISQQLRSGALTPQQNALFTQIRMGMANRGGVMGAPQAGISGVSGTRQMHPSSAGISMLDQNSLNRANLQRAAAMGNMGPPKLMPGMNLYMNQQQQQQQQLQQQPQQQQLQHQQQLQQPMSQPSQQLAQSPQQQQQQQLQHEQPQQTQQQATASPLQSVLSPAQVGSPSAGITQQQLQQSSPQQMSQRTPMSPQQMNQRTPMSPQISSGTMHPMSTSNLEGCPASPQLSSQTMGSVGSITNSPMELQGPKNNSAGNNS >fgenesh1_pm.C_scaffold_2001242 pep chromosome:v.1.0:2:15397990:15403287:-1 gene:fgenesh1_pm.C_scaffold_2001242 transcript:fgenesh1_pm.C_scaffold_2001242 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLKPLSKSSQDLSLLTSDIASFASSIGLASSLPSSGFNDTDFRKPAKSQTQKRKKPKKDQKHKNEVKEGEPNSNIGNEEGNAFGASKQNKDAPVKQTIQPKPKPGFLSIDDESTGIKAKRFDEFKSLPKLPLVKASLLSSEWYNDAAELEEKVFGGRKVAVTNKEDFKGVVEKKRELGERLMWQYAEDFVISKGKSGDMKMVISAQKSGTVADKITAFEIMVGENPIANMRSLDALLGMVTSKVGKRFAFKGLKALSEILIRHIFLTLPMSYRLMLLPDRKLKTLLQRPLNRIPENKDGYSLLLFWYWEDCLKQRYERFVTALDESSKDMLPELKDKALKTIYFMLTSKSEQERKLLVSLVNKLGDPQNKSASNADYHLTNLLADHPNMKAVVIDEVDSFLFRPHLGLRAKYHAVNFLSQIRLSHKGEDPKVAKRLIDVYFALFKVLTTEANRKQGADDKGAADKKKSNPKDTKQEVATDSPIELDSRILSALLTGVNRAFPYVSTDEADDIIESQTPVLFKLVHSANFNVGVQSLMLLDKISSKNKIVEMFIGLLLRAMKNDINVKRVAAFSKRVLQVALQQPPQYACGCLFLLSEVLKARPPLWKMVVQRESVEEEEDVEHFEDVIEGDDIDPNKKAENDENDVEVDHDGGEKTSRDGDSSSDEEEALAVRQSDEEDDYASDDSEELIRNETPQLQETMEVSNDMEKRSQPLVKSSSLPGGYDPRHREPSYCNADRASWWELVVLSKHAHPSVATMAGTLLSGTNIVYNGNPLNDLSLTAFLDKFMEKKPKQNTWHGGSQIEPSKKLDMSNRVIGAEILSLAEGDVAPEDLVFHKFYVNKMNSTKQSKKKKKKKKLPEEEAAEELYDVNDGDGGENYGSDVEFEGSDESDNEEIENMLDDVDDNAVEEEGGEYDYDDLDGVAGEDDEELVADVSDAEMDTDMDMDLIDGEDVDDGDSDGDDDSDGDDGRGKKKKKEKRKRKSPFASLEEYEHLIDQDEKDNSKSKRKATSEPTKKKKKKKTKASE >fgenesh1_pm.C_scaffold_2001244 pep chromosome:v.1.0:2:15419801:15421820:1 gene:fgenesh1_pm.C_scaffold_2001244 transcript:fgenesh1_pm.C_scaffold_2001244 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAWLIWPFVLSLTALSANSITESESLLKFKKSLTNTKSLDSWTPDSEPCGESQRWIGLICNKNSVFGLQIEQMGLSGKVDVAPLKDLPSLRTISIMNNSFSGDIPEFNRLTALKSLYISGNRFSGNIPSDYFETMVSLKKAWLSNNEFSGLIPISLATTLPNLIELRLENNQFIGSIPNFTQTTLAIVDLSNNQLTGEIPPGLLKFDAKSFAGNSGLCGAKLSTACPQPKNSTASITIEGTMKDANKSKYFLAFGTLGVLLIVVLVSLAFRKKKKKRRRKKARRTSEQDNSDDQQIQVTVEGSNSSRQSKSSRSGELNKGVAGTTDLVMVNKEKGVFGLSDLMKAAAHVLGNPGGGSSRPSSSGGVGSAYKAVLSNGVTVVVKRVTVMNQVSVDVFDKEIRKLGSLRHKNILTPLAYHFRRDEKLLVFEFVPNLSLLHRLHGDHEEFQLDWPSRLKIIQGIARGMWYLHRELGFLNLPHGNLKSSNIFLAEDGEPLISEFGLQKLINPDAQSQSLVAYKSPEADRDGTVSAKSDVFSFGVVVLEILTGKFPSQYAGLNRAGGANLVEWIGSAVEQGGWMDLLHPTVVTAAAEDKILEEEIENVLRIGVKCTGEDPDQRPNMTEVVDELTIEDSNDDFITIET >fgenesh1_pm.C_scaffold_2001254 pep chromosome:v.1.0:2:15494613:15497003:1 gene:fgenesh1_pm.C_scaffold_2001254 transcript:fgenesh1_pm.C_scaffold_2001254 gene_biotype:protein_coding transcript_biotype:protein_coding MNKWLGFSLTPPLRICDSEEEHEEELRHDGSDGSDPLTHHPRVWGYDINLDHHHHHQHDEVPKVEDLLSNSHQTEYPLTNNQTNVNSTTVVNRLNPPGYLLHEQTVVTPQYPNLDPNLTHDYGGFERVGSVSVFKSWLGQGTPTFQLSSTYVTEEGGTSNNISHFSNEEIGYNTNGSMLSLALSHGACSDLINETNASVVPVEEPVKIDEKRKRLTGKSQVKDLVPRKSVDSFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDDEKKAARAYDLAALKYWGPTTHLNFPLSNYEKEIEELNNMNRQEFVAMLRRNSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTYSTQEEAAEAYDIAAIKFRGLNAVTNFDINRYDVKRICSSSTIFNSDQANRSPTSSGSGQ >fgenesh1_pm.C_scaffold_2001265 pep chromosome:v.1.0:2:15530875:15535474:1 gene:fgenesh1_pm.C_scaffold_2001265 transcript:fgenesh1_pm.C_scaffold_2001265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7KR29] MARGRIRSKLRLSSLYTFGCLRPSTLEGQDSQPIQGPGFSRTVFCNQPHMHKKKPLRYRSNYVSTTRYNLITFFPKSLYEQFHRAANLYFLVAAILSVFPLSPFNKWSMIAPLVFVVGLSMLKEALEDWRRFMQDVKINARKTCVHKSDGVFRQRKWKKVSVGDIVKVEKDEFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVSLPLDDDDSFKNFMATIRCEDPNPNLYTFVGNLEFERQTFPLDPSQILLRDSKLRNTTYVYGVVVFTGFDTKVMQNSTKSPSKRSRIERTMDYIIYTLLVLLILISCISSSGFAWETEFHMPKMWYLRPGEPIDFTNPINPIYAGVVHLITALLLYGYLIPISLYVSIEVVKVWQASFINQDLHMYDDESGVPAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGTSYGVRSSEVELAAAKQMAVDLEEHGEISSTPQSQTKVYGTWDSSRTQEIEVEGDNNYNIPRAPIKGFGFEDSRLMNGNWLRESQPNDILQFFRILAICHTAIPELNEETGKYTYEAESPDEASFLAAAREFGFEFFKRTQSSVFIRERFSGSGQIIEREYKVLTLLEFTSKRKRMTVIVRDEEGQILLLCKGADSIIFERLAKNGKTYLGPTTRHLTEYGEAGLRTLALAYRKLDEDEYAAWNSEFLKAKTSIGSDRDELLETGADMIEKELILIGATAVEDKLQKGVPQCIDKLAQAGLKLWVLTGDKMETAINIGFACSLLRQGMRQICITSMNSEGGSQDSKRVVKENILNQLTKAVQMVKLEKDPHAAFALIIDGKTLTYALEDDMKYQFLALAVDCASVICCRVSPKQKALVVRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTGFSGQSVYNDYYLLLFNVVLTSLPVIALGVFEQDVSSEICLQFPALYQQGTKNLFFDWSRILGWMCNGVYASLVIFFLNIGIIYSQAFRDNGQTADMDAVGTTMFTCIIWAANVQIALTMSHFTWIQHVLIWGSIGMWYLFVAIYSMMPPSYSGNIYRILDEILAPAPIYWMATLLVTVAAVLPYVAHIAFQRFLNPLDHHIIQEIKYYGRDIEDARLWTRERTKAREKTKIGFTARVDAKIRHLRSKLNKKQSNLSHFSAQDAMSPRSL >fgenesh1_pm.C_scaffold_2001274 pep chromosome:v.1.0:2:15567228:15568878:-1 gene:fgenesh1_pm.C_scaffold_2001274 transcript:fgenesh1_pm.C_scaffold_2001274 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSLLHSATYFPSHSETSLKPYSAASFTVRCTSSASASPAVPPQTPEKHRRSPDENIRDEARRRPHQLQNLSARYVPFNAPPSSTESYSLDEIVYRSQSGGLLDVQHDFAALKRYDGEFWRNLFDSRVGKTNWPYGSGVWSKKEWVLPEIDDDDIVSAFEGNSNLFWAERFGKQYLQMNDLWVKHCGITHTGSFKDLGMTVLVSQVNRLRKMNKPVIGVGCASTGDTSAALSAYCASAGIPSIVFLPADKISMAQLVQPIANGAFVLSIDTDFDGCMHLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFNWQVPDWVIVPGGNLGNIYAFYKGFDMCKELGLVDRIPRLVCAQAANANPLYLHYKSGFKEDFNPLKANTTFASAIQIGDPVSIDRAVYALKKSNGIVEEATEEELMDATALADSTGMFICPHTGVALTALMKLRKSGVIEANDRTVVVSTAHGLKFTQSKIDYHSKNIKEMACRLANPPVKVKAKFGSVMDVLKEYLKSNDK >fgenesh1_pm.C_scaffold_2001297 pep chromosome:v.1.0:2:15707503:15709137:-1 gene:fgenesh1_pm.C_scaffold_2001297 transcript:fgenesh1_pm.C_scaffold_2001297 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQTSAASRMIHSIFQVLLVSLLVLGSARWILDELKSKESRIFQLYRFRQKEAVFVTKEDQLDESCNVFEGQWVWDNVSYPLYTEKSCPYLVKQTTCQRNGRPDSYYQSWRWKPSSCDLPRFNALKLLDVLRNKRLMFIGDSVQRSTFESMVCMVQSVIPDKKKSFHRIPPMKIFKAQEYNVSIEYYWAPFIVESISDHATNHTVHKRLVNLDAIEKHSKSWEGVDVLVFESYVWWMHQPKINATYGDTSEVREYNVTTAYKMALETWAKWFETKINPEKQRVFFTSMSPTHLWSWEWNPGSDGTCYDELYPIDKISYWGTGSNQEIMKIVGDVLSRVGENVTFLNITQLSEYRKDGHTTVYGERRGKLLTKEQRADPKNYGDCIHWCLSGVPDTWNEILYAYLLRSHRNFF >fgenesh1_pm.C_scaffold_2001298 pep chromosome:v.1.0:2:15709721:15711354:-1 gene:fgenesh1_pm.C_scaffold_2001298 transcript:fgenesh1_pm.C_scaffold_2001298 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHIAGGGVSKTKHKWSDSGNKSQKRSKPTMAKSNSLGSEDNHQMMKISLSSISKLEVRNLKRKLQAELEEVRSLIKRLEPQAPVPNKKLKTANGGKKSGVHGAGDKGTVQILKSCNNLLTKLMKHKSGWVFNTPVDAVRLGLHDYHTIVEKPMDLGTVKTRLSKSWYKSPLEFAEDVRLTFNNAMLYNPVGHDVHHMAEFLLNLFEEKWAPLETQYGLLNRKQQPVRDIDLHAPVSTNTHNIEPFPLPPPPPPTVVENRTLERAESITNPVKPAVLPVVPEKPVEEASADRDLTFDEKRRLSEDLQDLPYDKLEAVVQIIKKSNPELSQQDDEIELDIDSLDLETLWELYRFVTEYKERSSKKKEEHGLDSERDAESFHNSVQEPNTLVTGLESSKVTELGHVASPVRQEVNVGGSSSSNSSSSGSGSGSSDSDSDSSGHGSDIGN >fgenesh1_pm.C_scaffold_2001299 pep chromosome:v.1.0:2:15711813:15714692:1 gene:fgenesh1_pm.C_scaffold_2001299 transcript:fgenesh1_pm.C_scaffold_2001299 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKNHSSRTMFSSSSTSFSFRFTTIITLAIFIFCSSYYILFSRLDCSPVTSSPGNPPFAGDLRDLTSPWNKLSLGPISEKLKLAVFCKSWPVGSIPGGMERHAYTLYTSLASRGHEIHVFTVSSDRSNREEYYNRGDLHVYFAPNEHGTLNHSQAFEIFHKINGLDHPFDYVHTESVSLPHWRVKMVPNGDIAVTWHGIWYEIMHSNLFQELSNDRPISDLQQTMPRLVDEIRFFPKYKQHICISNSAREVLVNIYQLPKRNVHVIVNGVDQTKFVYSPESGARFRVKHGVPDNGTFIVMGVSGRLVRDKGHPLLYEAFALLVKMHPQVYLLVAGSGPWGKRYAELGENVRVLGALKPEELSGFYNALDVFVNPTLRPQGLDLTIIEAMQCVKPVVVPNYPSIVGTVVVDERFGYTFSPNVRSLVETLDSVVRDGSIVLEMKGIACKVYALSMFTATRMASAYERFFMCMKNERLLYSGNYLLLSDGEDQDLQD >fgenesh1_pm.C_scaffold_2001301 pep chromosome:v.1.0:2:15719008:15722036:-1 gene:fgenesh1_pm.C_scaffold_2001301 transcript:fgenesh1_pm.C_scaffold_2001301 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7KR78] MLSLSLSNHVPPLTLLRHERSLSFLKLRCRSSLLFHHLFHTKFLLVSSSSPGVVAISASSSPVPELEDEDHFDDELRRLLALVPEEIRRTLEKHPEISELIEIVLDLGRKPLARFPSGDSVISDEAVSVKDLEFAVSQVGEFTNDNRAGISRTLHRISAIRNRKGEIIGLTCRVGRSVRGSANLLRDLVQDGNSLLLIGPPGVGKTTMIREVARMLGNDYEKRVMIVDTSNEIGGDGDIPHPGIGNARRMQVPNSDIQHKVLIEAVENHMPQVIVIDEIGTKLEAIAASTIAERGIQLVATAHGATIENLIKNPSLDLLVGGVQSVTLGDEEATRRGGQKTVLERKGPPTFNCGAEIVSKTEVRVHRSLEATVDAVLAGRLPNVEIRKIKSHGVEVIVEKEPFIDETTVDKKHEEETLDVSKLAKEEIISEVIPTKEITEAESSEQETLMYLYIYGIAESTVLQAIKQLEMETAVELTDDISEAEALLALQAKIRKNPRIKSLATSHGIPVYVTKTNSGIQVAKAIRALLTDYEDRLGEFGSEDRLKLSEKMDALEEARLAIERVVVPKKETADLLPRPPRIVSLQGKLVRKYNLRSERKWRGDEMYLRILPYGTEEDRDDGEDEGEFEEENGEELDEFGCATGESNDSPSCIDRLPLLPD >fgenesh1_pm.C_scaffold_2001317 pep chromosome:v.1.0:2:15792582:15796418:-1 gene:fgenesh1_pm.C_scaffold_2001317 transcript:fgenesh1_pm.C_scaffold_2001317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:D7KR99] MSSSSQAMLQRSDSIADKMPDALKQSRYHMKRCFASFVGGGKKLMKRKHLMNEIEKCIEDSRERSKILEGLFGYILTCTQEAAVVPPFVALAARPNPGFWEYVKVNSGDLTVDEITANDYLKLKESVFDESWSKDENALEIDFGAIDFTSPRLSLSSSIGKGADYISKFISSKLGGKSGRLEPLLNYLLRLNHHGENLMINDDLNTVAKLQKSLMLAVIVVSTYPKHTPYETFALRLKEMGFEKGWGDTAERVKETMVMLSEVLEAPDNVKLDLLFSRLPTLFNVVIFSVHGYFGQQDVLGLPDTGGQVVYILDQVRPLEEELLIRINQQGLGFKPQILVVTRLIPEARGTKCDQELEAIEGTKHSHILRVPFVTDKGILRQWVSRFDIYPYLERFTQDATSKILQRLDCKPDLIIGNYTDGNLVASLMATKIGVTQGTIAHALEKTKYEDSDAKWKELDPKYHFSCQFTGDLIAMNVTDFIITSTYQEIAGSKDRPGQYESHTAFTMPGLCRVVSGIDVFDPKFNIAAPGADQSVYFPYTEKEKRFTKFHPSIQELLYNEKDNAEHMGYLAEREKPIIFSMARLDTVKNITGLVEWYGKDKRLREMANLVVVAGFFDMSKSNDREEKAEIKKMHDLIEKYKLKGKFRWIAAQTDRYRNSELYRCIADTKGVFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHIDPNNGDESVTKIGDFFSKCSSDGLYWDNISKAGLKRIYESYTWKIYAEKLLKMGSIYGFWRQVNEDQKKAKQRYIELLYNLQFKQLTKKVTIPEDKPLPLRLASLRNLLPKKTTPLGAGSKQKEVTEAEKTKQKSKDGQEQNDGKVGERDVSETFLAAEASERTKKVLETSEEKQKLEKMKIAYGQQQNQGASPVRNLFWSVVVCLYICYILKQRFFGANSAQEY >fgenesh1_pm.C_scaffold_2001329 pep chromosome:v.1.0:2:15867453:15868174:-1 gene:fgenesh1_pm.C_scaffold_2001329 transcript:fgenesh1_pm.C_scaffold_2001329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid binding protein [Source:UniProtKB/TrEMBL;Acc:D7KRJ2] MNITRIFGVVTIVVILYSVQVTAQLFGDVQQAMRCVSKLLPCQPYIHLSIPPPPWCCNPMKQIAEQDVSCLCTAFKHPDLLRFLALTKENALNLLNSCGINHDLSQCNKINPSSPAALPEAATSGNSGSTKKNAALSISFLGFSFVYAFLGMIFF >fgenesh1_pm.C_scaffold_2001332 pep chromosome:v.1.0:2:15874714:15875391:1 gene:fgenesh1_pm.C_scaffold_2001332 transcript:fgenesh1_pm.C_scaffold_2001332 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KRJ5] MSLLDNLLGILRVRVKRGVNLAVRDVSSSDPYVVLKLGRQKLKTKVVKKNVNPQWEEDLSFTVTDPNLPLTLIVYDHDFFSKDDKMGDAEIDLKPYIEALRMELSGLPDGTIISTIGPSRGNCLAEESYIRWINDRIVQNICLRLRNVERGEVEIELQWIDLPGSKGL >fgenesh1_pm.C_scaffold_2001341 pep chromosome:v.1.0:2:15927563:15930672:-1 gene:fgenesh1_pm.C_scaffold_2001341 transcript:fgenesh1_pm.C_scaffold_2001341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7KRK6] MATLFTATVPSHHRFVSPSQHPKQSLPSQSLSVTFTEIPEPAAVITLQEQQMTDWIASPVTRRFGIGAGFTCAGFLAFGVVSEQLKKSRLNVFLEDDNTRGLEKQEEIVLPNGIRYYDLQVGSGATPSSGYLVVFDVKGQVHGTEQVFVDTFGGKDKKSLAMVMDSRPYSKGLCQGIEYVLRSMKAGGKRRVIIPPSLGFGDKNVEFGQGLMIPPSATLDYIIEVVYQFIFFVITALFKFDQVTDFAGSTNFVILAVLTLVLKASWHFRQIVLTLLVVVWGLRLGIFLLMRILQWGEDRRFDEQRGNIVRLIIFWTLQAVWVWTVSLPLTVVNASDAGGSLKPADVIGWTMWVFGFLIEAAADQQKLSFKNSPENRGKWCDVGVWKYSRHPNYFGEMLLWWGIFVAASPVLEGAEYLVIFGPLFLTLLLLFVSGIPLLEVLPRSLPFYLDNF >fgenesh1_pm.C_scaffold_2001350 pep chromosome:v.1.0:2:15984127:15985790:1 gene:fgenesh1_pm.C_scaffold_2001350 transcript:fgenesh1_pm.C_scaffold_2001350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7KRL9] MAIPSFLSPNLPFCPSTKLIPSRLTISSSSSSICCISVDRQINHSSVSDEISGLRVVISAGGTAGHISSALAIGDELKSADPLARILFIGFPNSMESTTVPSAGFEFSAISTVGSSSSRPFLCFTSFLKFPLRLIQSTFESYKILRKFKPQIVVGTGGHASFPVCFAAVISRTKLVIQEQDSIPGTTNWILSFFADTIFAPFNCTVTNLPKRVAGKCVVYGNPIRQALRRYSSKGAARVSFFGQWAGAVSEAKVVLLLGGSLGANAINIALLNCYSQLLSEHESWFFVWQTGVEAFDEMDSLVRSHPRLFLSPFLRSIGVAYAAADLVISRAGAMTCSEIMALGKPSILIPSPHSDEGDQVRNASLMADIVGSKLITEEELDTITLRAAMEDILGNEELMMEMSERAFKAAKPDAASDVAKHIISIIKSNTKISR >fgenesh1_pm.C_scaffold_2001364 pep chromosome:v.1.0:2:16044014:16047401:1 gene:fgenesh1_pm.C_scaffold_2001364 transcript:fgenesh1_pm.C_scaffold_2001364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7KRN3] MSGYERKNTTGNSITITKRKRYSISEPSENVFEKSNRQESIALKPHRSFAPGSSQRNCKPASSLRRRRRAKEKISTSIEREWVFSTNNFENLKEKLVLVSYNLLGVDNASNHMDLYYNVPPKHLEWSRRKHLICKEISRYNASILCLQASSGEVDRFDDLDVLLKNRGFQGVHKRRTGEASDGCAIFWKEKLFKLLDHQHIEFDKFGMRNNVAQLCVLEMNCEDPKSKLRVRSSDPQRLVVGNIHVLFNPKRGDIKLGQVRLFLEKAYKLSQEWGNIPVAIAGDLNSTPQSAIYDFIASADLDTQLHDRRQISGQGEVEPEERSFRNHYAFSASVSISGSLPNEWSQEELQLATGGQATTRVQHQLKLHSAYSGVPGTYRTRDQRGEPLATTYHSRFLGTVDYIWHTKELVPVRVLETLPTDVLRRTGGLPSEKWGSDHLAIACELGFVNDIQ >fgenesh1_pm.C_scaffold_2001392 pep chromosome:v.1.0:2:16157416:16159831:1 gene:fgenesh1_pm.C_scaffold_2001392 transcript:fgenesh1_pm.C_scaffold_2001392 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIFSRRVVVVGFSSQLTKLVTKQPSQSRHFMSHLLLPSTLSSSSSATRFVPSLSRLEKVHGFFATTLGNTNLKLKFRNVLESRVGFFSSQLPSNGLIESKGFTGFQKRGWKSWINGANGVVFGLIIANVAVFTMWRVSNRWWMLKHFVLTTKSFTSGRIHTLITSGFSNVGTNQIILNMIGLYYFGTRIARTLGPLYLLKLYFAGALGSSVFFLSNHALLATLKGQGVVTIDKSKLPYSQLGADGSVFAIALLDMFLYPKVTTYFVLMLRVPVMGGILFLGLEMLKILEGKNNNISRSSVRLMGGVVVAAMAWARIRKGRF >fgenesh1_pm.C_scaffold_2001393 pep chromosome:v.1.0:2:16160681:16163682:1 gene:fgenesh1_pm.C_scaffold_2001393 transcript:fgenesh1_pm.C_scaffold_2001393 gene_biotype:protein_coding transcript_biotype:protein_coding MRWERVRQVGLGDSSSGPGNRWGHTCNAIKGGRFLYVFGGFGRDNCLTNQVHVFDTQTQLWTRPVINGVPPCPRDSHSCTTVGDNLFVFGGTDGTNYLNDVHILDTSSHTWICPDIRGEGPGAREAHSAALVDKRLFIFGGCGKSSDSDDEVFYNDLYILNTETFMWKRAVTSGKPPSARDSHTCSAWKNKIIVVGGEDLDDYYLSDVHILDTDKFVWKELRTSGQLLTPRAGHVTVALERNLFVFGGFTDSQNLYDDLYVLDLETGVWSKVVAMEEGPSPRFSSAAVCLHPYKAGSFFFVGGCNKNLEPLDDIYYLHTEGGYDVQFNQNPGRLSLRKQMKLKCHGQDLAVARTNIDHGGENVPLNIGSIDQRKPMFQARVTENVPLGYTIETIIDGKVLRGVLFSNRHSSVQTADSSFSNRQVKRPATSDGDCDHRAKMPRTLSKDPAGSSQQAGPIDPSDDTNKKVADSHGTDTPMIDADDANVNMAGTQEAKTAAGTQEAETAAVTSDVKDQDASQLDTRIVNTEPLPVSHDQANVEPLRNEISTDAPKADPGVDSSTQKQGKT >fgenesh1_pm.C_scaffold_2001406 pep chromosome:v.1.0:2:16242555:16242904:-1 gene:fgenesh1_pm.C_scaffold_2001406 transcript:fgenesh1_pm.C_scaffold_2001406 gene_biotype:protein_coding transcript_biotype:protein_coding MELADRAVGLLLSSISLSIFTYYTFWVIILPFVDSDHFIHKYFLPQDYAILVPVFAGIALLSLISVFIGMVMLKSKKKKA >fgenesh1_pm.C_scaffold_2001416 pep chromosome:v.1.0:2:16285159:16291587:-1 gene:fgenesh1_pm.C_scaffold_2001416 transcript:fgenesh1_pm.C_scaffold_2001416 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRIGLFDLEKHFAFYGAYHSDPINIIIHTVEKQVLISTHTLFVFATLLFLYSTPPILDHSQLGFLQSLTFDSVLRLDIGFTLTLLCYVFSCWIGSSFLAARLGHSLTLKLLCWSGQFLGHGLFEKRAPALFNNLLQAFLMGPFFVLLEVLQSVFGYEPYSGFKARVNSKIESEIKEWRESKEKKKKKVT >fgenesh1_pm.C_scaffold_2001418 pep chromosome:v.1.0:2:16299660:16300104:1 gene:fgenesh1_pm.C_scaffold_2001418 transcript:fgenesh1_pm.C_scaffold_2001418 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVLALLYLMISWKPVLITARTEKSSTDHFETKGQELNQISHLGRIETDPKGRTRTRKMMTVDDINDYPGSGANNRHTPHCSDC >fgenesh1_pm.C_scaffold_2001422 pep chromosome:v.1.0:2:16310152:16311939:-1 gene:fgenesh1_pm.C_scaffold_2001422 transcript:fgenesh1_pm.C_scaffold_2001422 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSCSKINDNEDDNVDSSVNSKPFSRADSDTGRSSNLSYPWSLKPLIAGKCEANITLPAPRGEGDIMHSQYLKSFTLDELKNATGNFCPESLIGEGGFGFVHKGCVNGGPGIELSVAVKKLKTGGLQGHKEWLREVNYLGRLHHPNLVKLIGYSLENEHRLLVYEHMPNGSLENHLFERGSNVLSWSLRMKVAIGAARGLCFLHEANDQVIYRDFKAANILLDSEFNAKLSDFGLAKEGPKDNRSHVTTEVMGTEGYAAPEYLATGHLTTKCDVYSFGVVLLEILSGRRVIDKTKAREEESLVEWATPYLRDKRKVFRIMDTKLVGQYPKKAAFMMSFLALQCIGEVKVRPSMIEVLSLLEKVPIPRHRKSRSRGFAYTNSASMSSKRFLRHPKD >fgenesh1_pm.C_scaffold_2001449 pep chromosome:v.1.0:2:16447297:16450283:1 gene:fgenesh1_pm.C_scaffold_2001449 transcript:fgenesh1_pm.C_scaffold_2001449 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEPCVTSKTGSLVSVLVSAPTTYVFFASALPVIAFGEQLSHDTEIVEHSGNANVNSEPLLILGVAEPTVLMYKYLFDFAKGKPELRKQLYLARVAWVCVWTALLLFLMAIFHVAYIINRFTRIAGELFGMLISVLFLQQTIKNMLSEFRIPKGEDSKLEKYQFEWLYTNGLLGLIFTVGLVYTALKSRKARSWRYGTGWCRSFLADYGVPSKLPSGVPRRLVSPLPWDSVSLKHWTVIKSLRDTYLRAFIPALMIAGLYFFDHSVVSQLAQQEEFNLKNPSAYHYDILLLGFMVLICGLLGLPPSNGVLPQSPMHTKSLAVFKRQKMVMTAKESIRQRATSSQVYEDMQQVFIEMDKSPLAETHTTLINELQDLKEAVMKKSENDGYTGDESGFDPEKHVDAYLPVRVNEQRVSNLLQSLLVIGAVFAIPAIKLIPTSLLWGYFAYMAIDSLPDNQFFERTVLLFVPPSRRFKVLEGAHASFVEKVPYKSMAAFTLFQILYFGLCYGVTWIPVAEIMFPDLFFLLIAIRQYLLPKLFKQAHLRELDAAEYEEIPGTPRNPLELSFRSTNSARGVQECDAGILDELTTSRGELKVRTLGHNEDKGHQIYPKEIVEVGDVDMSTSRE >fgenesh1_pm.C_scaffold_2001450 pep chromosome:v.1.0:2:16453254:16454980:-1 gene:fgenesh1_pm.C_scaffold_2001450 transcript:fgenesh1_pm.C_scaffold_2001450 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQLLCFFLFLLLLLQATMSKRSFKKFVEQELGSRPHFLIYTVLEWSLIVILFIDGIIAFLSNQYAKFFDLNIPCLLCTRIDHILVPRDPRFYYNESICDSHKKKVSSLAYCHVHKKLSEIKHMCEGCLLSFATEKESDCDTYKSLIGILHKDLELLIDDERELPLAFKKDDNFIQTTKNLVDHKNNIKNDSLKQHCSCCGELLKIKSEKLPNNNNSFLAPAPSPRVSNNKLSERESEFKDLDVDRTPSFVRGGNKFFGIPLSDSVQNSPRWSVRSLKKSLLDKTENASDTADPNGESILNQLKKEVRLDKKSLIDLYMELDEERSASAVAANEAMAMITRLQAEKAAVQMEALQYQRMMDEQAEYDQEALQSMSTELAKREEEMKELEAEFEAYREKYGSLTDEEDAREEFHEQNGNASANDDCQETKPVSDLGVCSSNQEENGENIDRNGSTQSKRSEESTAEKDVSADEEKGTESKEGIVKELSDITERLSALQSNGDLLKHIADVLDVSEGEAILLQISQNLHMLRSFVAMPSESMNL >fgenesh1_pm.C_scaffold_2001456 pep chromosome:v.1.0:2:16472466:16473551:-1 gene:fgenesh1_pm.C_scaffold_2001456 transcript:fgenesh1_pm.C_scaffold_2001456 gene_biotype:protein_coding transcript_biotype:protein_coding MALREIASSSSSSSSPELHVLAVDDSFVDRKVIERLLKISACKVTTVESGTRALQYLGLDGDHGSSGLKDLKVNLIVTDYSMPGLTGYELLKKIKESSAFREIPVVIMSSENIQPRIEQCMIEGAEDFLLKPVKLADVKRLKELIMRGGEAGEGKTKKLSPKRILQNDIDSSPSSSSTSSSSSSHDVSSLDDDTPSSKRIKLESRD >fgenesh1_pm.C_scaffold_2001469 pep chromosome:v.1.0:2:16534779:16535713:1 gene:fgenesh1_pm.C_scaffold_2001469 transcript:fgenesh1_pm.C_scaffold_2001469 gene_biotype:protein_coding transcript_biotype:protein_coding MANYSSIHILFLVFITNGIAVSATVFTLQNSCPYTVWPGILSGNDNTLGDGGFPLTPGASVQLTAPAGWSGRFWARTGCNFDASGHGNCVTGDCGGVLKCNGGGVPPVTLAEFTLVGDGGKDFYDVSLVDGYNVEMGIKPQGGSGDCHYAGCVADVNAVCPNELRIMDPHTGIIAACKSACAAFNSEEFCCTGAHATPQTCSPTHYSAMFKSACPGAYSYAYDDATSTFTCTGSNYLISFCPTRS >fgenesh1_pm.C_scaffold_2001494 pep chromosome:v.1.0:2:16629672:16630906:1 gene:fgenesh1_pm.C_scaffold_2001494 transcript:fgenesh1_pm.C_scaffold_2001494 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKSKILVIGGTGYMGEFIVERSAKASNPTFALVREASLSDPVKSKTIQSFKDLGVTILHGDLNDHDSLVKAIKQVDVVISTIGHKQMLDQTKIISAIKEAGNVRRFLPAEFGTDAERTSARSGEPLKLKEYHTLTLLAIALAQFESGFISHTRDKDILFGKENVPPRDKVTILGDGNAKESFKKEEDIAAYTIRTVDDPRTMNKTLYISPPNNTLSMNEMVSLWEKKIGKSLEKTHISEEQILKSIQVDKPCGVGEEASELYPDVKYTSIDEYLSQFT >fgenesh1_pm.C_scaffold_2001495 pep chromosome:v.1.0:2:16634694:16639837:1 gene:fgenesh1_pm.C_scaffold_2001495 transcript:fgenesh1_pm.C_scaffold_2001495 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEKSPTATTFSRKISGNRSHSLSFSANAVYDGVFSSPANSKSPLVDYGEIFRGSGPSPSSIPFLDVPELNVGKVKVDVRSSKLDYSSVFGGFGACDFAVTPKEVIIKSEKKTAVTEDNKRNRRKGGNSSDVPLCNEGKKSPEVVRMKHSDILHHQTVPRNENGTTHLTQVLTMPGPSPTQAVDNTSLLQKIESKTPIPTAEKKLPCSEGHEEVKASRNRGSKTEVDFENSFARNGCSTRDNSTSKTDSNGGHQDPTLDGENGASERLSGLDSGPSERFQTEDADSPSSPPYFDAETDANSAAAESSAALKKAIDEAQIRMNIAKQMMDRKKSGFRSCAKLKSCDDPKIENKGDTKVEGITEESRYNNSQILGEIVKYSEQSFSNEGDQHAKRARKLWGVPEGLLKSTSDHKPEELDEQDVIKQEEEQARRGRKHWELPGGIFKSVMNSMQQEPENLAPAKPETDTKQEVQPLTENPFYTFGQLGSKIKCVVVEAFTGSKVSQKDEKQVTEKENSTLTQMVQGEESDSKEMLAGIPVMETYLREVEETPQQTESKSEINIEEKSESTICTFTEGSSQIMEKETGCQVKSACESEGGCGVKDFQENSNQTCNVLDQESEKEIVSEPQEMLVGPDDTKTYIREVEGIPTPSLNKTQSDDSVGAMVSFNRENISEPENVDEVQETVHKVPRRRRVWKTSEDVYNMIKAPKGSNRPWQLESAENETTAMSFHEEGVRIHHASEETESTSGQASDSGLQENWTVLKQMFRQMFQTADTKGEDETYCLVESEKGHLDIHRKAQEKYEQDEVETVRTNFEDYANTRENEDLETVQETYCRKEDGKVEVQGKTSLVRELIGEELEMASLEEEDVQEESEEASWVQGLSELNEIKEHADSHAEMLEYDRSETDSNNSGERFEQTQEQAEETKIDGSIDTDTSRSSFEMRQGDSYIEEVGIEQDLSDQFPEKASVVSSTEENIEEIDSDSIQSGWSVVEDDDRSLQDGGAGQAESKPDELEETKEESAEMKTSLGVERNGDKTELEHRFECQENETDRSNVEAAETVEENLKKKDKTREKERFMGEESCRSSMEEEGDATSDIGAATDGNMKENEGEESCRSSMEEEGDATSDISQNKAETVEEHLKKKDQTREKEKEREKERFMVERAIREARERAFADARERAGKAALEKAKAGAHRKGTAEVPRRSEKGSVEVNDKLSSAEKASMQAKLRAERAAVERALTEARERAMEKALSGKSAASQTKSYGGSKSFSSSGERRGSSSSGAENKSSGPSNSSNQTGESIQRCKARSERHQRTSDRAAEALAEKKHRDLKAQKEQTERNRLAEALDADVKRWSSGKENNLRALLSTLQYILGGESGWKPIPLTDLVSSASVRKAYRKATLYVHPDKLQQRGASTQQKYICEKVFDLLKEAWNKFGADER >fgenesh1_pm.C_scaffold_2001503 pep chromosome:v.1.0:2:16676079:16676403:1 gene:fgenesh1_pm.C_scaffold_2001503 transcript:fgenesh1_pm.C_scaffold_2001503 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATRPSSSATTSVILENPVSQSQPTERLVLRLNRKKKKFMQKKSSKKCCIFHKQKPFDEDDSEEEEEEEDNHHHHHHEHSESGEASSSNDSKSS >fgenesh1_pm.C_scaffold_2001506 pep chromosome:v.1.0:2:16687316:16689062:1 gene:fgenesh1_pm.C_scaffold_2001506 transcript:fgenesh1_pm.C_scaffold_2001506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7KSP1] MAKASTMQKKRWALMVLLFLSVSTVCVILVRSSFESCSVGGQFVDSSALRFQSNPLAFMKSKLVLLVSHELSLSGGPLLLMELAFLLRGVGAEVVWITNQKPLEEDEVVYSLEHKMLDRGVQVISAKGQKAVDIALKADLIVLNTAVAGKWLDAVLKENVFKVLPKILWWIHEMRGHYFNPDLVKHLPFVAGAMIDSHATAEYWQNRTQARLGIKMPKTYVVHLGNSKDLMEVAEDSVAKRVLREHVRESLGVRNEDLLFGIINSVSRGKGQDLFLRAFHESLEIIKEKKLQVPTMHAVVVGSDMSRQTKFETELRNFVQEKKLENFVHFVNKTLTVAPYIAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTMEIVVNGTTGLLHSAGKEGVIPLAKNIVKLAMQVELRLTMGNNGYERVKEMFLEHHMSHRIASVLKEVLQHAKARALR >fgenesh1_pm.C_scaffold_2001513 pep chromosome:v.1.0:2:16721007:16722249:1 gene:fgenesh1_pm.C_scaffold_2001513 transcript:fgenesh1_pm.C_scaffold_2001513 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPUP15 [Source:UniProtKB/TrEMBL;Acc:D7KSP7] MGHNQPIQSNPQEQFVQIPLNIEHRLTTPISLTSESNQRRNKWVTIIICTILAVTGQCIARLLENYYFLHRNLSRHRGILTQPLLQVVGFPILIFPFLLHFLIKKQKQLLIFSGGTSFKQLAITYSCLCIYMFCQAFFFNVRNQIPFRVFTLIYTTQLLFTLILSTCYNKIKFNRWMIISLILAVLAGAFTLYTFSAGSPIYDSWTKSNKWGTIYVALCAAAFFSFLLCVIRQVFEEVISICNTSTNRKQPSFVVVLELIIFLSLVTTIILVAAILISGEHHNMKKEMDRFTKGEIAYVRTMVGQAVAWQIYWVGIVGLVFAVSAVFSNVISVCTWPIVSLLVVCFYDKYDHFDVFRGIALGAAALSVACYIYIIHKEKSDGDDQSTS >fgenesh1_pm.C_scaffold_2001522 pep chromosome:v.1.0:2:16808464:16811547:1 gene:fgenesh1_pm.C_scaffold_2001522 transcript:fgenesh1_pm.C_scaffold_2001522 gene_biotype:protein_coding transcript_biotype:protein_coding description:F10A5.19 [Source:UniProtKB/TrEMBL;Acc:D7KSR1] MARTKQTARKSHGGKAPRKLLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDVQLARRIRGERA >fgenesh1_pm.C_scaffold_2001527 pep chromosome:v.1.0:2:16833046:16834193:-1 gene:fgenesh1_pm.C_scaffold_2001527 transcript:fgenesh1_pm.C_scaffold_2001527 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKLSEAELMIYIHPSKSRNVFQAICRELSSLLFQYNETFDGVLLAYDATVKSKQAKILAGLHPYFGVRVNTRLLLFDPKPKSFVEGKIVKISPESIHVIVLGFSAAVITDVDIREEFKYRVRDGEGSFVSRSHKRHALKLGTMLRLQVQSFDEEVMHIAGSLLPENTGCVKWLENKSEEALHTDRDHKRRKIA >fgenesh1_pm.C_scaffold_2001532 pep chromosome:v.1.0:2:16854537:16855220:-1 gene:fgenesh1_pm.C_scaffold_2001532 transcript:fgenesh1_pm.C_scaffold_2001532 gene_biotype:protein_coding transcript_biotype:protein_coding METTKRAEIDTTAPFRTVKEAVALFGERVLASQVYSNHLKVAMKKQMQDEKWEDPSGIERELEETRHDLKRAKEESIQMRNSLSCLKEELERTKQELQKLRVDPGVPETKLDETFFKTKFEVLVPRDDDEPIRSPRLRSMSEKRYVKFANPTGNNGSAVEMYLERHPSMKMKEKKTKNNKKKKKSLIPLFVGGIFSKKKVLQ >fgenesh1_pm.C_scaffold_2001546 pep chromosome:v.1.0:2:16918892:16919459:1 gene:fgenesh1_pm.C_scaffold_2001546 transcript:fgenesh1_pm.C_scaffold_2001546 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPQTKLSLSVTPVNGTVPQNPAIPLGLIAPYHQQANDINHMLQNQMTRELYGQLRSLEERAVLCVRGKDKIIEEMKKQCGEMEIRTRKALAEAEFWRKMTNQKTDLCKELAGRLLEIKKKEKSTRRLGERNMAEEAESSTGDNGDDVVHTARTRLCKRRRL >fgenesh1_pm.C_scaffold_2001547 pep chromosome:v.1.0:2:16925019:16930785:1 gene:fgenesh1_pm.C_scaffold_2001547 transcript:fgenesh1_pm.C_scaffold_2001547 gene_biotype:protein_coding transcript_biotype:protein_coding description:T4O12.12 [Source:UniProtKB/TrEMBL;Acc:D7KT80] MERRGSGHLRINSYFCPSSSTIPFWRTFFLVLLFTTTTNALVKIPKNTTVPAVIVFGDSIVDAGNNDDMITEARCDYAPYGIDFDGGVATGRFSNGKVPGDIVAEELGIKPNIPAYRDPNLKPEDLLTGVTFASGGAGYVPLTTKIAGGIPLPQQLKYFEEYIKKLKGMVGEERTKFIIKNSLFVVICGSNDIVNNFFALPPVQLHYTVASFTALMADNARSFAQTLYGYGARRILVFGAPPIGCVPSQRTVAGGPTRDCVARFNDASKLFNTKLSANIDVLSRTLRDPTIIYIDIYSPLLDLILNPHQYGFKVANKGCCGTGLIEVTALCNNYTASTTTNALVKLPPNETIPAIIVFGDSIVDAGNNDDIMTTLARCNYPPYGIDFDGGIPTGRFSNGKVATDFIAEKFGIKPTIPAYRNPNLKPDDLLTGVTFASGGAGYVPFTTQLSGGIALSQQLKLFEQYIEKLKEMVGEERTTFIIKNSLFMVICGSNDITNTYFALPSVQHQYDVASFTTLMADNARSFAQKLHEYGARRIQVFGAPPLGCVPSQRTLAGGPTRNCVVRFNDATKLYNAKLAANLESLSRTLGEKTIIYVDIYDSLFDIILDPQQYGFKVVDRGCCGTGLIEVTVLCNNFAADVCQNRDEYVFWDSFHPTEKTYRIMATKYIERYV >fgenesh1_pm.C_scaffold_2001548 pep chromosome:v.1.0:2:16935780:16939919:1 gene:fgenesh1_pm.C_scaffold_2001548 transcript:fgenesh1_pm.C_scaffold_2001548 gene_biotype:protein_coding transcript_biotype:protein_coding description:T4O12.13 [Source:UniProtKB/TrEMBL;Acc:D7KT81] MKDNSSWSCSWSSWKICLLSVLFLTETITAVKLPPKLVVPALFAFGDSIVDTGMNNNVKTVVKCDFHPYGIDFQGGVATGRFCDGRVPADLLAEELGIKSIVPAYLDPNLKSKDLLTGVSFASGGSGYDPITPKLVAVISLEEQLTYFEEYIEKVKNIVGEERKDFIVANSLFLLVAGSDDIANTYYTIRARPEYDIDSYTTLMSDSASEFVTKLYGYGVRRVAVFGAPPIGCVPSQRTLGGGIMRDCAETYNEAAKLFNSKLSPKLDSLRKTLPGIKPIYINIYDPLFDIIQNPANYGFEVANKGCCGTGAIEVAVLCNKITSSVCPDVSTHVFWDSYHPTEKTYKVKITLVLALFSIYFLSTNAANGSFPALLAFGDSILDTGNNNFLLTLMKGNIWPYGRSFNMRMPTGRFGNGRVFSDIVAEGLGIKKILPAYRKLFVSPSDLRTGVCFASGGAGVDPVTSKLLRVLTPRDQVNDFKGYIRKLKATAGPSKAKEIVANAVILVSQGNNDIGISYFGTPSATFRGLTPNRYTTKLAGWNKQFMKELYDQGARKFAVMGVIPLGCLPMSRIFLGGFVIWCNFFANRVAEDYNGKLRSGTKSWGRESGFSGAKFVYVDMFNTLMDVIKNHRRYGFSNEKNGCCCMITAIVPCPNPDKYVFYDFVHPSEKAYKTISKKLVQDIKNGLA >fgenesh1_pm.C_scaffold_2001550 pep chromosome:v.1.0:2:16945080:16951181:1 gene:fgenesh1_pm.C_scaffold_2001550 transcript:fgenesh1_pm.C_scaffold_2001550 gene_biotype:protein_coding transcript_biotype:protein_coding description:T4O12.15 [Source:UniProtKB/TrEMBL;Acc:D7KT83] MFRGKIFVLSLFSIYVLSSAAGQNTSFSALFAFGDSVLDTGNNNFLLTLLKGNYWPYGLSFDYKFPTGRFGNGRVFTDIVAQGLQIKRLVPAYSKIRRIDSEDLKTGVCFASGGSGIDDLTSRTLRVLSTGDQVKDFKDYLKKLKKVVKRKKKVKEIVSNAVFLISEGNNDLGYFVAPALIRLQSTNTYTSKMVVWTRKFLKDLYDLGARKFAVMGVMPVGCLPLHRAVFGGVFGWCNFLLNKVTEDFNSKLQKGLTSYAVEYDFKGAKFVYVDMYGTLMDLVKNPKAYGFLEARKACCCMPNAIIPCFNPDKYVFYDFAHPSQKAYEENTRDDCFSYMINKTQMFYRGKTFDSFCIQNRWFSTIYKFHKFPLLGLVLFLGLTGSLTVANEYACSSTDIHFTRANFPKGFIFGTATAAFQVEGAVDEGCRGPSMWDVYTKKFPHKCNYHNADVAVDFYHRYKEDIKLMKNLNTDGFRFSIAWPRIFPHGRMEKGISKAGVQYYHDLIDELLANGITPLVTVFHWDTPQDLEDEYGGFLSDRIIKDFTEYANFTFQEYGHKVKHWITFNEPWVFSRAGYDIGNKAPGRCSKYIKEHGDMCHDGRSGHEAYIVSHNMLLAHADAVDAFRKCDKCKGGKIGIAHSPAWFESHELSEEEHETPVTGLIDFILGWHLHPTTFGDYPQSMKDHVGHRLPKFTEAQKEKLKNSADFVGINYYTSVFALHDEEPDPSQPSWQSDSLVDWEPRYVDKFNAFANKPDVAKVEVYAKGLRSLLKYIKEKYGNPEIMITENGYGEDLGEQDSSLVVALSDHHRTYYIQKHLLSLHQAICDDKVNVTGYFLWSLMDNFEWQDGYNARFGLYYVDYKNNLTRHEKLSAQWYSSFLHDGLKEFEIEHEFEHDEL >fgenesh1_pm.C_scaffold_2001560 pep chromosome:v.1.0:2:16983483:16983911:-1 gene:fgenesh1_pm.C_scaffold_2001560 transcript:fgenesh1_pm.C_scaffold_2001560 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLDLQSFILRARVLKLYRQALKVAQRAPVHVRGELKQTVRHEMEKNRDCDDKQKIRYLISEGLERIKGLDEMLDMQGH >fgenesh1_pm.C_scaffold_2001567 pep chromosome:v.1.0:2:17003660:17005889:1 gene:fgenesh1_pm.C_scaffold_2001567 transcript:fgenesh1_pm.C_scaffold_2001567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:D7KTA0] MGYHNNVFDECNDQTDIGRVLRDGREVILQAYNWESHKHDWWRNLDGKVPDIAKSGFTSAWLPPPSQSLAPEGYLPQDLYSLNSAYGSEHLLKSLLRKMKQYKVRAMADIVINHRVGTTRGHGGMYNRYDGISLPWDEHAVTSCTGGLGNRSTGDNFNGVPNVDHTQHFVRKDIIGWLRWLRNTVGFQDFRFDFARGYSANYVKEYIGAAKPLFSVGECWDSCNYNGHGLDYNQDSHRQRIISWIDATGQISAAFDFTTKGILQEAVKGQYWRLCDAQGKPPGVMGWWPSRAVTFLDNHDTGSTQAHWPFPSHHIMEGYAYILTHPGIPCVFYDHFYDWGSSIHDQIVKLIDIRRRQDIHSRSTIRVLKAESNLYAAIVGEKLCMKLGDGSWCPSGGDWTLATSGHRYAVWHK >fgenesh1_pm.C_scaffold_2001574 pep chromosome:v.1.0:2:17040353:17040721:1 gene:fgenesh1_pm.C_scaffold_2001574 transcript:fgenesh1_pm.C_scaffold_2001574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7KTA8] MKKLYSKGIRLSELMEKWRRKRGYFAVYTNEGKRFVLPLDYLNHRMLQVLLEMAEDEFGTTIDGPLKVPCDGSLLDHIIMLVRRSKSHDYDDVEKSSTSSSCKGASISSLFRGQSQLQSLVS >fgenesh1_pm.C_scaffold_2001595 pep chromosome:v.1.0:2:17140991:17141551:1 gene:fgenesh1_pm.C_scaffold_2001595 transcript:fgenesh1_pm.C_scaffold_2001595 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLFRLLQETNSTSPPEAPPPFNSDLVLILAVLLCALTCIIGLIAVSRCAWLRRIASRNRSDQTHPPPVAAANKGLKKKVLRSLPKLTYSPDSPPAEKLVECAICLTEFAAGDELRVLPQCGHGFHVSCIDTWLGSHSSCPSCRQILVVARCHKCGGLPGSSTSGSEPDTRIKQREDDPANFLP >fgenesh1_pm.C_scaffold_2001603 pep chromosome:v.1.0:2:17184706:17187069:1 gene:fgenesh1_pm.C_scaffold_2001603 transcript:fgenesh1_pm.C_scaffold_2001603 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl coenzyme A reductase [Source:UniProtKB/TrEMBL;Acc:D7KTU2] MKKKQAGPQQTCGFVSYKTCLISPSHLSRHLTSSSLLSLPLERLFPPMDLRRRPPKPPVNNNTDGSFRSYQTRTSDDDHRRRTTTIAPPPKASDALPLPLYLTNAVFFTLFFSVAYYLLHRWRDKIRYNTPLHVVTITELGAIIALIASFIYLLGFFGIDFVQSFISRASGDAWDLADTIDEDDHRLVTCSPPTPIVSVAKLPNPEPIVTESLPEKDEEIVKSVIDGVIPSYSLESRLGDCKRAASIRREALQRVTGRSMEGLPLDGFDYESILGQCCEMPVGYIQIPVGIAGPLLLDGYEYSVPMATTEGCLVASTNRGCKAMYISGGATSTVLKDGMTRAPVVRFASARRASELKFFLENPENFDTLTVVFNRSSRFARLQSVKCTIAGKNAYVRFCCSTGDAMGMNMVSKGVQNVLEYLTDDFPDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAVIKGEIVNKVLKTSVAALVELNMLKNLAGSAVAGSLGGFNAHASNIVSAVFIATGQDPAQNVESSQCITMMEAINDGKDIHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKESPGMNSRRLATIVAGAVLAGELSLMSAIAAGQLVRSHMKYNRSSRDISGATTTSTT >fgenesh1_pm.C_scaffold_2001622 pep chromosome:v.1.0:2:17294855:17297333:-1 gene:fgenesh1_pm.C_scaffold_2001622 transcript:fgenesh1_pm.C_scaffold_2001622 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSCDPDQEGDELPQYEHIYQNDFSYRKHKKQKEEDISICECKFDFGDPDSACGERCLNVITNTECTPGYCPCGVYCKNQKFQKCEYAKTKLIKCEGRGWGLVALEDIKAGQFIIEYCGEVISWKEAKRRAQTYETHGVKDAYIISLNASEAIDATKKGSLARFINHSCRPNCETRKWNVLGEVRVGIFAKESISPRTELAYDYNFEWYGGAKVRCLCGAVACSGFLGAKSRGFQASPYIIILIEDTYVWEDGDDRYSVDKIPVYDSAEDELTSEPSKNDESNRNEEKEKDISTENHLESTALIVQQSDSTPMEEDVVTETVKTETAEDMKLLSQNSQEDSSPKTAIVSRVRGNIYKIKSESLPKKRGRPFSGGKTKNVAQKHVDIANVVQLLATKEAQDEVLKYEVKQFFKLIGTNSTSSQYPMCLVNCCLQEVKKEAAVRLSSLYDEIRPAIEEHERDSQDSVATSVAEKWIQASCNKLKAEFDLYSSVIKNIASTPIKPQDTKTKAAEAGNEDHIKLLEAK >fgenesh1_pm.C_scaffold_2001643 pep chromosome:v.1.0:2:17419551:17420092:-1 gene:fgenesh1_pm.C_scaffold_2001643 transcript:fgenesh1_pm.C_scaffold_2001643 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKRIEAMMILVVIMMVFSWRICEAESLRRHSSSSRPQRFFKVRRPNPHHHHQNQGFIDDDYPPESFSGFLPKTLPIPPSAPSRKHNVYGLQRTNSRSSYFWTHAHLS >fgenesh1_pm.C_scaffold_2001644 pep chromosome:v.1.0:2:17425044:17425396:-1 gene:fgenesh1_pm.C_scaffold_2001644 transcript:fgenesh1_pm.C_scaffold_2001644 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTPSSSKVLMMMLFSFLALFIISHARVVFTDTPSNSYAPPIYAPVPKECLEPPYCRGPPGESQFGYNERNYYQIN >fgenesh1_pm.C_scaffold_2001645 pep chromosome:v.1.0:2:17430268:17431062:-1 gene:fgenesh1_pm.C_scaffold_2001645 transcript:fgenesh1_pm.C_scaffold_2001645 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIPLMLISVIILLTSFPAPIKVSGRGGGGGFVGSGNPGGIGSGYFGGGGNAGPGYRGGNIPSGGSIRDGSNVGTGYRGGASGGKPSGGGDTGPAIVVIPKKPDEPVKVVPTPMPQYRMPMPQECLPEVRHCINTHVYGGAGEPHQGGACCNKFRQSRRCICKFLESQDAHLTKKSSGILRGCHFKRNCTFNKI >fgenesh1_pm.C_scaffold_2001653 pep chromosome:v.1.0:2:17462163:17465779:-1 gene:fgenesh1_pm.C_scaffold_2001653 transcript:fgenesh1_pm.C_scaffold_2001653 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGNGFLVSSVTELHRKEKQKKKGKSGGFESLNLGPNVFNAIKKKGYKVPTPIQRKTMPLILSGVDVVAMARTGSGKTAAFLIPMLEKLKQHVPQGGVRALILSPTRDLAEQTLKFTKELGKFTDLRVSLLVGGDSMEDQFEELTKGPDVIIATPGRLMHLLSEVDDMTLRTVEYVVFDEADSLFGMGFAEQLHQILTQLSENRQTLLFSATLPSALAEFAKAGLREPQLVRLDVENKISPDLRLSFLTVRPEEKYAALLYLVREHISSDQQTLIFVSTKHHVEFVNSLFKLENIEPSVCYGDMDQDARKIHVSRFRARKTMLLIVTDIAARGIDIPLLDNVINWDFPPRPKIFVHRVGRAARAGRTGSAYSFVTPEDVPYMLDLHLFLSKPVRPAPTEDEVLKNMEEVMTKTSQAIDSGVTVYGRFPQKTIDLIFNRTREMIDSSAELDSLERTSTKAFRLYSKTKPSPSKESIRRAKDLPREGLHPIFRSIIETGELEAMSFFQKIKNFRPKQTILEAEGEVAKSKHVKGPAGQWVDVMKKKRAIHEEIINTRHQQNQKSSNNHLEMEAEPTTSFDEGTIEGSKLSGKKRKAQESFKDDDFYISSIPVNHHSEAGLSLRGNEGFGSNRLDAAVLDLVADDGQGMKQQQSNYHWDKKSKKYIKLNNGDRVTASGKIKTESGAKVKANKTGIYKRWQERSHKKVFSRDGGDADETTRMSGRGGRDGKRRQGSVPNAHVRSEIKDLEQVRKERQQKANKVSYLQSKRGGRGGTRGGRGGRREFGGGGGRDFGSSSDRGGRSGGRDIGGRRGGSSTSKRGGGRGRGSGGKRGRGR >fgenesh1_pm.C_scaffold_2001655 pep chromosome:v.1.0:2:17478084:17479229:1 gene:fgenesh1_pm.C_scaffold_2001655 transcript:fgenesh1_pm.C_scaffold_2001655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7KTZ9] MVTFVISYFMLLNLNPLEAQLSVGFYADKCPTAESVVRAVIRNKVTTDPLNAAVLLRLQFHDCFVLGCDGSILLRHNAGESAAPGNAGVGGFSAIEDAKAAVEEICPGVVSCADIVALAARDAVSLTNGPFFEVPTGRRDGRVSRAEDAANLPDSEDSIEILKSKFGEKGLTEKDLVLLSAGAHTIGQAACFFVNQMLDSAPPISPEFFGNLRSRCPEGGDVNVKLPLDWDGELLFDTHIFTNIKSGRAVISSDAVLYQDPATKKLIDAYATNSSAFAADFAGAMVKLGRLNVKLGGEGEVRRFCNIPN >fgenesh1_pm.C_scaffold_2001658 pep chromosome:v.1.0:2:17494166:17495669:-1 gene:fgenesh1_pm.C_scaffold_2001658 transcript:fgenesh1_pm.C_scaffold_2001658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7KUF8] MSRRIREDISISLFGPKMITVALFLVLFYFHDQLGYSVAQLQFGFYSETCPSAESIVRDVVQHAVTNDPGKAAVLLRLQFHDCFVEGCDGSILIKHDGNDDERFAAGNAGVAGFDVIDEAKSELERLCPGIVSCADIVALAARDAIAEVKGPFYEVPTGRRDGRIANVGHATNLPDVQDSINTLKSKFREKGLSDQDLVLLSAGAHTIGTTACFFVIPRLDAQDPTINPEFFQILRSKCPQGGDVNVRIPLDWDSQFVFDDQILQNIKNGRGVILSDSVLYQDNSMKKIIDSYLETNQSSKANFAADFVKAMVKMGAIGVKIGVEGEIRRLCSATN >fgenesh1_pm.C_scaffold_2001668 pep chromosome:v.1.0:2:17541478:17543189:1 gene:fgenesh1_pm.C_scaffold_2001668 transcript:fgenesh1_pm.C_scaffold_2001668 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTWNKNPKKRSRVALSNFPDLPFEKDDAPESQSHRQFREDDIGRRQITDQLDSSDHPREKFDLEAKDLAESIRAQGDKLAEEGKYQEALGKWEAALNLVPEDAVLHEQKAQVLLELGDAWKALKAATRATEIDPSWAEAWTTLGRAQLNFGEPDSAIRSFESALSINGDSREANDDLKTAKQLIKKREQLQTSGQDTETKRFVVGDKNIEPN >fgenesh1_pm.C_scaffold_2001679 pep chromosome:v.1.0:2:17609796:17610781:1 gene:fgenesh1_pm.C_scaffold_2001679 transcript:fgenesh1_pm.C_scaffold_2001679 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVGTSMLGSLLVFTVILSLQEIYRGKLASSELFTILGGFTSSLLFLFSLTFIGNFQESSGIKSGWGAVILAEIIALIAASTVHRVCITTCFLFSAGLLYEVNKISGYMLSKTESKSKRY >fgenesh1_pm.C_scaffold_2001697 pep chromosome:v.1.0:2:17684668:17685073:-1 gene:fgenesh1_pm.C_scaffold_2001697 transcript:fgenesh1_pm.C_scaffold_2001697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KUK1] MKSVTQFVVFCVLMFFVMHNAKVEAEDRPPVLVEFFPGKLCNPIQSRGAQQCKDETRDPYYPHCVCINVQGGHDCSCNHS >fgenesh1_pm.C_scaffold_2001708 pep chromosome:v.1.0:2:17728210:17729894:1 gene:fgenesh1_pm.C_scaffold_2001708 transcript:fgenesh1_pm.C_scaffold_2001708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding LysM domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KUL1] MKSPEKPLILFLILASSLVSMATAKSTIEPCSTKETCNSLLGYTLYTDLKVTEVASLFQVDPVSMLLSNSIDISYPDVENHVLPAKLFLKIPITCSCVDGIRKSLSTHYKTRTSDTLGSIADSVYGGLVSPEQIQVANSETDLSVLDVGTKLVIPLPCACFNGTDESLPALYLSYVVRGIDTMAGIAKRFSTTVTDLTNVNAMGAPDINPGDILAVPLLACSSNFPKYATDYGLIIPNGSYALTAGHCVQCSCVLGSRSMYCEPASISVSCSSMRCRNSNYMLGNITSQQSSSGCKLTTCSYNGFASGTILTTLSMSLQPRCPGPQQLAPLIAPPDTVPKELMYLPSPSPSPSPEFDDIVGGGSSIAAVPAASPRGPTVSSSSSIPGNPANGPGGSISIASCPLSYYSFIAFLISTASCFFVFLV >fgenesh1_pm.C_scaffold_2001712 pep chromosome:v.1.0:2:17751531:17751833:-1 gene:fgenesh1_pm.C_scaffold_2001712 transcript:fgenesh1_pm.C_scaffold_2001712 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGRVIIIALLMVAMVMNMTWCVNSKGFVNLGISPEELQSCIKACIPEQCMPEANNLTVCEKACHKYCNRPAFKSYYVVPRDRNGGGFIRSAFCKLWC >fgenesh1_pm.C_scaffold_2001724 pep chromosome:v.1.0:2:17816429:17817769:-1 gene:fgenesh1_pm.C_scaffold_2001724 transcript:fgenesh1_pm.C_scaffold_2001724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7KUM8] MILLSIQIFCPAGVAGDITGVCYGRNGNNLPTPADTVALYKTNNIDAIRMYEPFADMLEALRGSGLSVAFGPRNEEIQSLAQDPAAATNFVATWITPYQNDVAIKWITIGNEVFPGEIAPFVAAAIRNVNAALTNSGVTGIAVTTVLAMNALTNSYPPSAATFLPDLTEIMTEISSILSQTNSPLMTNIYPYFAYASDPYHISLDYASFKSDTPVVIDGDLKYTNMFEAMVDGFNAALEKINAGNVVVMVAESGWPTEGNPPYTSVDNAKAYNLGIRTCGGSQRKRTPRRPETPVDVFLFAMFRENQKDGPVEQSFGIFAPDMTPVYDLFCY >fgenesh1_pm.C_scaffold_2001729 pep chromosome:v.1.0:2:17845022:17846228:-1 gene:fgenesh1_pm.C_scaffold_2001729 transcript:fgenesh1_pm.C_scaffold_2001729 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSCTNSVNGFYSFLNRSMEDLERVYLSNNFMSLQFLQRVICLLRTSHSHLTLLVQKLNLPVGDKWLDDYMDETSKLWDVCHVIKSAISSIESFCSSAISITSTLDGHYHHRRLLSPQISRQVIRAISGCRREAVGIEEENRALMENRIQRFPFWSEQVTTTAMESSKIQNGFSGFRGVMNTMKNINSLLLVILMQGLVYYIPGDTTAATVPPGTMVRLKQRVAAEMERIGMRKGMMMYEYRRSKTAMEELKAELERRCCGGGGEEEAAEKGLRERVENLKGSVGNLRNGTESIVAQIDDFFDDIVDGRKMLLDYCSHR >fgenesh1_pm.C_scaffold_2001739 pep chromosome:v.1.0:2:17892144:17894572:-1 gene:fgenesh1_pm.C_scaffold_2001739 transcript:fgenesh1_pm.C_scaffold_2001739 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGDMCDDESSLLSPLCFLESPEPYIPLLDCLPNPTVETENSYNDPFGLIPISTRDETNCLLSSQQSNLITYQETSTLVPNPLEMHQHQHQQHLQHQNQYQHQHQHQVVQQQRHQLSNSHETWLQDNLSNMLNTIHESSVFTGNQTEIGQQVRQENNGFAHPTVTNSPIQLQNRFLPVPLIPQGRSNAFGSLFPHANSPNRSQNYMPYQTPHQHVASSFGMYPHQPTPPVFASRQNVPPNLRMVNNLAMMRTHPLHNQTNMIPTFNAVPQRNIPSTIPRPQMSSRFIRQHGGMQTSVNQSPWRFRLPNINNRQMPIRPNANAIIPPQGEILGCRRRSYPTRFEFGQSSSSSVQRRRIVSEGENLGSTGINHPERRHVNNIYNPRYESLGLYPRYESLGLYIDPHLRKYSLMT >fgenesh1_pm.C_scaffold_2001745 pep chromosome:v.1.0:2:17936057:17943335:1 gene:fgenesh1_pm.C_scaffold_2001745 transcript:fgenesh1_pm.C_scaffold_2001745 gene_biotype:protein_coding transcript_biotype:protein_coding MGIELQNHQSHHEEAGPTEEPISRWLINTPEPPSMWQELAGYIRTNVLAKKKHRRNNTKNSSSNPVYSCLKSVFPILSWGRQYKLNFFKKDLMAGLTLASLCIPQSIGYANLAGLDPEYGLYTSVVPPLIYSTMGTSRELAIGPVAVVSLLLSSMVRDIQDPVTDPIAYRKIVFTVTFFAGALGFLVDFLSHAALVGFMAGAAIVIGLQQLKGLFGLSHFTNKTDVVSVVSSVFHSLHHPWQPLNFVIGSAFLIFILLARFIGKRNKKLFWIPAMAPLISVVLATLIVYLTNAETRGVKIVKHIKPGFNQLSVNQLQFKSPHLGQIAKIGLISAIIALTEAIAVGRSFATIKGYRLDGNKEMMAMGFMNIAGSLSSCYVATGSFSRTAVNFSAGCETVVSNIVMAITVMISLEVLTRFLYFTPTAILASIILSALPGLIDVSSALHIWKLDKLDFLVLIAAFFGVLFASVEIGLLLAVGISFARIMLSSIRPNIEALGRLSKTDIFGDINQYPMANKTPGLLTLRISSPLLCFANANFIRDRILNSVREVEEEENEQEVTKENGLQVVILDMSYVMGVDTSGVVALEELHQELASNDIRLVVASPRWRVLHKWKRAKLDEKLKSENIYMTVGEAVDVYVRARSTSHDLC >fgenesh1_pm.C_scaffold_2001747 pep chromosome:v.1.0:2:17954950:17956448:1 gene:fgenesh1_pm.C_scaffold_2001747 transcript:fgenesh1_pm.C_scaffold_2001747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate/bisphosphoglycerate mutase family protein [Source:UniProtKB/TrEMBL;Acc:D7KV69] MATTTTMSHQAIGSIVSHRPFKASQFLKEPLNVPMKFRPKRFKIEATASQISVVDNTFLSPTKNRPQVSKKKSNEAALILIRHGESLWNEKNLFTGCVDVPLTQKGVGEAIEAGKKISNIPVDLIFTSSLIRAQMTAMLAMTQHRRKKVPIILHNESVKAKTWSHVFSEETRKQSIPVIAAWQLNERMYGELQGLNKKETAERYGTQQVHEWRRSYEIPPPKGESLEMCAERAVAYFEDNIKPELASGNNVMIAAHGNSLRSIIMYLDDLTSQEVTTLDLSTGVPLLYIFKEGKFMKRGSPVGPTEAGVYAYTKRLAQYREKLDAASQATLD >fgenesh1_pm.C_scaffold_2001749 pep chromosome:v.1.0:2:17963218:17966360:1 gene:fgenesh1_pm.C_scaffold_2001749 transcript:fgenesh1_pm.C_scaffold_2001749 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g78070/F28K19_28 [Source:UniProtKB/TrEMBL;Acc:D7KV71] MDIFDNSDLEYLVDEFHADFDDDEPFAEVDIASDSDSDFMDSDFDFELSQSKTNNDTSALEARNGKDIQGIPWESMNYTRGRYRENRLLHYKNFESLFRSREELYKDCLQVEKGKNFYDFQFNTRLVKSTIAHFQLRNLVWATSKHDVYFMNNYSLMHWSSLQQRGKEVLNVAKPIVPTMKQHGSLSQSVSRVQISTMVVKDDLIVAGGFQGELICKRINEPEVAFCTKLTSAENDITNSVDIYNAPSGSLRVMAANNDCTVRLFDATNFAFLNSFTFDWSVNNISTSPDGKLVAVLGDSPECLLADTGSGKVIHGLEGHLDYSFSSAWHPNGQILATGNQDTTCRLWDIRNLSQSLKVLKGNMGAIRALRFTSDGRFLAMAEPADFVHLFDTEAGYTQCQEIDLFGEIAGISFSPDTEALFVGVADRTYGSLLEFNRKRNHSYVDSIF >fgenesh1_pm.C_scaffold_2001754 pep chromosome:v.1.0:2:18033394:18035028:1 gene:fgenesh1_pm.C_scaffold_2001754 transcript:fgenesh1_pm.C_scaffold_2001754 gene_biotype:protein_coding transcript_biotype:protein_coding MKAETVTLILVNLAGIMERADESLLPGVYKEVGLALQTDPTGLGSLTLLRSMVQAACYPLAAYMAIRHNRAHVIALGAFLWSAATFLVAFSSTFFQVAVSRALNGIGLALVAPAIQSLVADSTDDANRGTAFGWLQLTANIGSILGGLCSVLIAPFTFMGIPGWRVAFHIVGVISVIVGVLVRVFANDPHFVKDGVDVSNQRGSRKPFCTEVKDLVREADTVIKIRSFQIIVAQGVTGSFPWSALSFAPMWLELIGFSHGKTAFLMGLFVAASSLGGLFGGKMGDFLSTRLPNSGRIILAQISSASAIPLAAILLLVLPDDPSTAAMHGLILVLLGLFVSWNAPATNNPIFAEIVPEKSRTSVYALDKSFESILSSFAPPIVGILAQHVYGYKPIPEGSSKSAEIATDRENAASLAKALYTSIGIPMAACCFIYSFLYGTYPLDRDRARMEAFIDSEMRELLPGSSNRDIEVSQEDIFANHVKS >fgenesh1_pm.C_scaffold_2001759 pep chromosome:v.1.0:2:18053327:18053705:1 gene:fgenesh1_pm.C_scaffold_2001759 transcript:fgenesh1_pm.C_scaffold_2001759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KV84] MTSKDEFSRDRAAIRCARATMLLYSLTSSRTIDTAGEKQEKGETRREIEDLKRKLTMEKKKMNRIKLCGLMELLLLVVLVLLLSTFFLVFFLGSA >fgenesh1_pm.C_scaffold_2001774 pep chromosome:v.1.0:2:18144431:18150272:-1 gene:fgenesh1_pm.C_scaffold_2001774 transcript:fgenesh1_pm.C_scaffold_2001774 gene_biotype:protein_coding transcript_biotype:protein_coding description:F3F9.11 [Source:UniProtKB/TrEMBL;Acc:D7KVA3] MANEVILLDFWPSMFGMRTRIALREKGVEFEYREEDLRNKSPLLLQMNPIHKKIPVLIHNGKPVNESIIQVQYIDEVWSHKNPILPSDPYQRAQARFWADFIDKKLNVMLLCAMFQLYDAQRKVWATKGEEQEAGKKDFIEILKTLESELGDKPYFGGDDFGYVDIALIGFYTWFPAYEKFANFSIESEVPKLIAWVKKCLQRESVAKSLPDPEKVTEFVSELRKKFVPEIYTNGESADSFGLLAEYVRDEGEKEDFSNKSALLLQSNPIHKKIPVLIHNGKPVCESLNVVQYVDEAWPEKNPFFPSDPYGRAQARFWADFVDKKFTDAQFKIWGKKGEEQEAGKKEFIEAVKILETELGDKPYFGGDSFGYVDISLITFSSWFQAYEKFERKASRIATMAEEVILLNFWPSMFGMRTMIALEEKGVNYEYREEDVINNKSPLLLEMNPIHKKIPVLIHNGKPVCESIIQIQYIDEVWSDKNPFLPTDSYQRAQALFWADFIEKKVTTNFRSNSRVLLVTHVCVYSLCHNDQLFVCGRKTWATKGEELEAATKEYIEILKTLQCELGDKPYFGGDKFGFVDIVLIGYYSWFPAYQKFGNFSIEPECSKLMAWGKRCMQRASVAKALPDSERVVGYVLQLKKFYGIK >fgenesh1_pm.C_scaffold_2001779 pep chromosome:v.1.0:2:18183580:18184850:-1 gene:fgenesh1_pm.C_scaffold_2001779 transcript:fgenesh1_pm.C_scaffold_2001779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-oxidase [Source:UniProtKB/TrEMBL;Acc:D7KVB0] MAVLSKPVAVPKSGFSPIPVIDMSDPESKHALVKACEDFGFFKVINHGVSAELVSVLEHETVEFFSLPKSEKTQVAGYPFGYGNSKIGRNGDVGWVEYLLMNASLDPGSGPLFQSLLKSPGTFRNALEEYTTSVRKMTCDVLEMITDGLGIKPMNTISKLVSDQNTDSILRLNHYPPCPLIDKKTNGGENVIGFGEHTDPQIISVLRSNNTSGLQINLTDGSWVAVPPDHSSFFFNVGDSLQVMTNGRFRSVRHRVLANSDKSRVSMIYFAGPSLTQRIAPLTCLMDNEDEMLYEEFTWSEYRNSAYNSRLSDNRLQQFERKTTNNNTLFD >fgenesh1_pm.C_scaffold_2001782 pep chromosome:v.1.0:2:18215009:18229988:1 gene:fgenesh1_pm.C_scaffold_2001782 transcript:fgenesh1_pm.C_scaffold_2001782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:D7KVB4] MWRLRIAAEAGDKNHLFTTNSYAGRQIWEFDAKAGSPEELAEVNKVRQKFSSNPPRFKSSADLLWRMQFLREKSFEQKIPRVIIDDEQKITYEDAKMALKRGILYFAALQADDGHWPAENSGPLFFEAPFVISLYITGHLDKIFSQEHRKELMRYMYNHQNDDGGWGLHVEGHSVWFCTVINYICLRIFGIEPDQENVCARARKWILDHGGATYTPLIGKIWLSVLGVYDWSGCKPIPPEFWMLPSSSPVNGGTLWIYFRDIFMAVSYLYGKKFVTKTTPLIAQLREELYPQRYSKIDWSQARQQCAKEDLYYPQSFVQNLFWRGVHMLSENVLNQWPFNKLIRQRALRKTMELIHYHNEVTRYITGGCVQNPFHMLACWIEDPESDYFKKHLTRVADYIWIGEDGLKIQSFGSQLWDTALSLQVMLGAADVIDDESIKATLVKGYKFLENSQITQNPPGDHMKMFRHITKGGWTFSDQDQGWPVSDCTAESLECCLIFESQPFEIIGEKMDVKRLYDASKNGGISAWEPALGKTWLEWLSPVEFMENTIVEHEYVECTGSVIIALARFKQQFPAHRTEEVERFIVKGVKYIENLQMVDGSWYGNWGVCFMYGSFFAIRGLVAAGKTYSNCKAIRRAVQFFLNTQNVEGGWGESFLSCPNKKYIPLEGNKSNVVNTGQALMGLIMGGQMERNPLPVHRAAKVLINLQMDNGDFPQEEIRGVFKMNVLLHYPTYRNMFTLWALTYYTQALRL >fgenesh1_pm.C_scaffold_2001783 pep chromosome:v.1.0:2:18230766:18232871:1 gene:fgenesh1_pm.C_scaffold_2001783 transcript:fgenesh1_pm.C_scaffold_2001783 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMWTVASLVIALVVVSISHWLYRWSNTKPKCIGKLPPGSMGFPIIGETIHFFKPYGFYEIPPFLKKRLLRYGPLFRTNIFCSKTVFSTEPDVIFEIFRQENKSFALGYPDMFVKVLGKDNLFFKPGDIHKHLKQITQHLLGAESLKQKMIGNMDQEIRNHLRLKASESRFDVKDTVLNMVVASMTSMARREAIDVIKDVLTRRKESKEKHGDFVDTMLEDLEKENTIFDQGSAISLIFSILVVAKEGVPNITSIAVKFLSQNPKALAELKREHKAILRNRKDKGGVSWEEYRHSMSFTNMVISESLRLANLSPVMFRKALRDVEIKGKNIRYTIPAGWIVAVVPAMVHFDEATYENPLEFNPWRWEGKEMIWGSKTFMVFGGGVRLCVGAEFARLHIALFLHHLVTTYDFSLVQDCELIRTPFLHFTKGLLLNISESSK >fgenesh1_pm.C_scaffold_2001787 pep chromosome:v.1.0:2:18252853:18253490:-1 gene:fgenesh1_pm.C_scaffold_2001787 transcript:fgenesh1_pm.C_scaffold_2001787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein 17 [Source:UniProtKB/TrEMBL;Acc:D7KVB9] MAKAWICLSFLIILYLFSDKNFINVNAETKTWCVAKPSSDQATLLDNINFACSHVDCRVLSSGCPCYSPGNLINHASIAMNLYYQANGRNYWNCNFKNSGLIVITNPSEFALSLQLCYLKTLLYIYKSISLY >fgenesh1_pm.C_scaffold_2001801 pep chromosome:v.1.0:2:18317203:18319462:1 gene:fgenesh1_pm.C_scaffold_2001801 transcript:fgenesh1_pm.C_scaffold_2001801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyl hydrolase 2 [Source:UniProtKB/TrEMBL;Acc:D7KVU0] MWSFAWLVPLVLSLLKDSIIMGKSAAILLPSQTGFDISRSPVCSAPDPNLNYRPVIGILSHPGDGASGRLSNATDASSIAASYVKLAESGGARVIPLIYNDPEEILFQKLELVNGVILTGGWAKEGLYFEIVKKIFNKVLERNDAGEHFPIYAICLGFELLTMIISQNRDIFEKMDARNSASSLQFLENVNIQGTIFQRFPPELLKKLGTDCLVMQNHRFGISPESFEGNVALSSFFKIVTTCVDNNNKVYVSTVQSKKYPVTGFQWHPEKNAFEWGSSKIPHSEDAIQVTQHAANHLVSEARKSLNRPDSKKVLSYLIYSYKPTYCGYAGNGYDEVYIFTQQ >fgenesh1_pm.C_scaffold_2001804 pep chromosome:v.1.0:2:18326888:18328734:1 gene:fgenesh1_pm.C_scaffold_2001804 transcript:fgenesh1_pm.C_scaffold_2001804 gene_biotype:protein_coding transcript_biotype:protein_coding MNFHQVLFLILLIFLVDLSDYNVLADNTDAGYKNTSKCNIYQGRWIYDNSSNPLYGTSTCPFIGLDCQKFGRPDKNYLHYRWQPTGCDIPRFDGRDFLKRFKGKKILFVGDSLSNNMWVSLSCMLHAAVPNAKYTFQLNKRLSTFTIPEYGISVNFLKNGFLVDLVADKTRGLILKLDSISSGNQWLGSDVAIFNTFHWWSHTGRAKTWDYFQTGDQIVKEMNRMEAFKIALTTWAKWIDHNIDPSKTRVFYQGVSPVHLIGGEWGKPGKTCLGETEPVKGPSYCGQPNEGEAIVRSVIGGMAKPVELLDVTNMTEMRKDGHPSIYAGGGNRLNDCSHWCLPGVPDAWNQLLYTALVRH >fgenesh1_pm.C_scaffold_2001805 pep chromosome:v.1.0:2:18337611:18341154:-1 gene:fgenesh1_pm.C_scaffold_2001805 transcript:fgenesh1_pm.C_scaffold_2001805 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCKHCAKNVPGIRPFDGGLACDLCGRILENFNFSTDVTFVKNAAGQSQASGNIVTSVKSGLSSSRERRKRIARDELRNLKDALGIGDERDDVIVMAAEFFEMATDQNFTKGRRTELVQSSCLYLTCREKKIPFLLIDFSSYLRVSVYELGSVYLQLCEMLYLVQNENYEELVDPSIFIPRFMNNLLKGAHNITKNVWDKVFGTATNIISSMKRDWMQTGRKPSGICGAAIYIAALSHGIMCSRADIAKIVHMCEATITKRLNEFANTKAGSLTVDELDKSEPISRKEAFTPRPNSDEGVVNCQHKDLKRFGYGLCKSCHDDFIKISGGIVGGSDPPAFQRAEKERMEKAAREENEGGVGSDEQVNVSKGEKQCPEKGQGEKYGGEEHAEYSDDDESGICSDDDDSEVDHILLGEDETLLKTTAWNLQNREYVKEQAEKEAALKAANCPEDARNLVEASKAAVAKSRKEKRQKRAEEEKNAPPPATTMEAVRRTLERKRLGGLINYDVLEELFDTSPGKKSQKKPRTETVTEKEKEEHEIDEDEEAPYEMNTDEKFYEDEVEEEEDGYDFGLY >fgenesh1_pm.C_scaffold_2001808 pep chromosome:v.1.0:2:18351913:18352762:-1 gene:fgenesh1_pm.C_scaffold_2001808 transcript:fgenesh1_pm.C_scaffold_2001808 gene_biotype:protein_coding transcript_biotype:protein_coding MDANNTFDSDLIHAIFKHIWARRFRERERSDAIDATEAEIALGTTKKNRLASANANALKLSCELLKSFVSEAVQRAAIIAEAEGMDKIEATHLERILPQLLLDF >fgenesh1_pm.C_scaffold_2001821 pep chromosome:v.1.0:2:18394822:18398447:-1 gene:fgenesh1_pm.C_scaffold_2001821 transcript:fgenesh1_pm.C_scaffold_2001821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7KVW4] MTLAAATTAVSFNSKLLVFRIRCSDSNPKRGFGFKKEEKDPALQQRKSSSKQSVSVPRKAPGLNTQFEGKSGPSFDIDFEERLENIRRSALEQKKTEVVKEFGPIDYDAPIKSDQKTIGLGTKVGVGIAVVVFGLVFALGDFLPTGSDSPTKNTTVVKNQISEEEKATLQQRLKEFETTLTGTPKDQAALEGAAVTLTELGDYSRAAAFLEKLAKERPTDPDVFRLLGEVNYELKNYEGSIAAYRISEKVSKGIDLEVTRGLMNAYLAAKIPDEAVKFLLDTRERLNTKKTSTSDSVTDETNLDPIQVELLLGKAYSDWGHISDAIAVYDQLISAHPEDFRGCLAKGIILRENGSRGDAERMFIQARFFAPDKAKALVDRYSKL >fgenesh1_pm.C_scaffold_2001826 pep chromosome:v.1.0:2:18412210:18422008:-1 gene:fgenesh1_pm.C_scaffold_2001826 transcript:fgenesh1_pm.C_scaffold_2001826 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIANGNKEEPYLFSTNNFLGRQTWEFDPDAGIAEELAAVEEARRKYFDDRFQVKASSDLIWRMQFLKEKKFEQVIPPVKVEDANKITSEIATNALRRGVNFLSALQATDGHWPAENAGPLFFLPPLVFCLFVTGHLHEIFTQEHRREILRYIYCHQNEDGGWGLHIEGDSTMFCTTLNYICMRILGESPFGGPGNACRRARDWILDHGGATYIPSWGKTWLSILGVFDWSGSNPMPPEFWILPSFLPIHPAKMWCYCRLVYMPMSYLYGKRFVGPISPLILQLREEIYLQPYAKINWNRARHLCAKEDAYCPHPQIQDVIWDCLYIFTEPFLTCWPFNKLLREKALGVAMKHIHYEDENSRYITIGCVEKALCMLACWVEDPNGSHFKKHLLRISDYLWIAEDGMKMQSFGSQLWDSGFALQALVASDLANEIPDVLRRGYDFLKNSQVRENPSGDFTNMFRHISKGSWTFSDRDHGWQASDCTAEGFKCCLLLSMMPPDIVGPKMDPEQLYEAVTILLSLQSKNGGVTAWEPARGQEWLELLNPTEVFADIVVEHEYNECTSSAIQALILFKQLYPNHRTAEINTSIKKAVQYIESIQMHDGSWYGSWGVCFTYSTWFGLGGLAAAGKTYNNCLAMRKGVHFLLTTQKDNGGWGESYLSCPKKRYIPSEGDRSNLVQTSWAMMGLLHAGQAERDPAPLHRAAKLLINSQLENGDFPQQEITGAFMKNCLLHYAAYRNIFPVWALAEYRRRVPLPYENLEQREELCSFVMWRLKIGEGSGDDPYLFTTNNFVGRQTWEFDPDAGSPEERYAVVEARQSFYDNRFHVKASSDLLWRMQFLKEKKFEQVIAPVKVEGSEKVTFETATNALRRGVHFFSALQASDGHWPAENAGPLFFLPPLVFCLYITGHLDEVFTLEHRKEILRYIYCHQKEDGGWGLHIEGHSTMFCTALNYICMRILGESPVGGHENACRRAREWILSHGGVTYIPSWGKTWLSILGVFDWSGSNPMPPEFWILPSFFPVHPAKMWCYCRMVYLPMSYLYGKRFVGPITSLILQLRKELYLQPYEEINWMKVRHLCAKEDTYYPRPLVQELIWDSLYIFAEPFLGRWPFNKFLRQKALQVTMKHIHYEDENSRYITIGCVEKVLCMLACWVEDPNGNYFKKHLARISDYLWVAEDGMKMQSFGSQLWDTGFALQALLASNLSSEISDVLRRGHEFIKDSQVGENPSGDFRSMYRHISKGAWTFSDRDHGWQVSDCTADGLKVIQPFKPNTLTLLPAVLDAGTGYCCPKQDPERLYDSVNILLTLQVSIIGRDSFTCFQSKNGGVSAWEPAGAPEWLELLNPTELFSDIVIEHEYSECTSSAIQALSLFKQLFPHHRTTEITTFINKAVHYLESMQTPDGSWYGNWGICFTYGTWFALAGLTAAGKTYNDCEAIRKGVQFLLGAQKDNGGWGESYLSCSKKIYIAKEGEMSNVVQTAWALMGLIHSGQAERDPVPLHRGAKLIINSQLESGDFPQQQATGVFLKNCTLHYASYRNIHPLWALAEYRARVSLP >fgenesh1_pm.C_scaffold_2001829 pep chromosome:v.1.0:2:18443636:18449390:-1 gene:fgenesh1_pm.C_scaffold_2001829 transcript:fgenesh1_pm.C_scaffold_2001829 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSVASAELPPPPPQDGETLSNIPQTLSGEDCKKQRIQRPKSKNAEKCTVKCVNTCIRSGDGEGPINIRRFQRFGWHIDRIQVTVSCFFVTRQNTIFQQPTPHKHSSFSSENNNQMGEAAEQARGFHMTTTRKQVITAALPLQDHWLPLSNLDLLLPPLDVNVCFCYKKPLNITNTVAHETLKTALAETLVSYYAFAGEVVTNPTGEPEILCNNRGVDFVEAGADVELRELNLYDPDESIAKLVPIKKHGVIAIQVTQLKCGSIVVGCTFDHRVADAYSMNMFLLSWAEIARSDGPISCVPSFRRSLLNPRRPLIVDSSIDQIFMPVTSLPPPQETTNPENLLASRIYYIKADALEELQTLASSSKNSKRTKLESFSAFLWKLVAEHAAKDPVPSKTSKLGIVVDGRRRLMEQENKTYFGNVLSIPFGGQRIDDLISKPLSWVTEEVHRFLERSVTKEHFLNLIDWVETRRPTPAVSRIYGDGSDNGPAFVVSSGRSFPVTQVDFGWGSPVFGTYHFPWGGSAGYVMPMPSAVEDGDWMVYLLLTKGQLKFIEEEASHVLKPIDNDYLKI >fgenesh1_pm.C_scaffold_2001838 pep chromosome:v.1.0:2:18480888:18481646:-1 gene:fgenesh1_pm.C_scaffold_2001838 transcript:fgenesh1_pm.C_scaffold_2001838 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSESDHRDSADATTSSCQSTAAEDGQVGGGGEAMARGLSAMLESVIKDFDSRALDTLNSQDHLSGSLDRLVQELDQLLENAPLPFIVQHASRISSVKQRVSSLNLVLKSVQRRIDNIDHMLSANTTLEKTSSETT >fgenesh1_pm.C_scaffold_2001845 pep chromosome:v.1.0:2:18515893:18516701:-1 gene:fgenesh1_pm.C_scaffold_2001845 transcript:fgenesh1_pm.C_scaffold_2001845 gene_biotype:protein_coding transcript_biotype:protein_coding MILDMAADVSSLVRLLSGYKDDRAVVKDSAGAKSTAALMTRDLLGSGIGGGDRSLELDLDLQVPTGYEKRLDLKSGKVYLQRCNSTSSSSITNAAEQSNQTVPTFQDLNFPPNSSNSPLLNLFDDTTTPELKLLPSSRYRPLSISPNASNFQSVCTLDKVKSALERAERDPAMFKKRQSPDHYRTEVVASPVAAGCPGCLSYVLVMMNNPKCPRCDTIVPLPTNSMKKKPKIDLNISI >fgenesh1_pm.C_scaffold_2001851 pep chromosome:v.1.0:2:18579430:18581310:-1 gene:fgenesh1_pm.C_scaffold_2001851 transcript:fgenesh1_pm.C_scaffold_2001851 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKPGKKLDSSEPKSTHHTTSSNYPPLDIVHQTPQPRKEMQQRPLFDPKKMDNLIKPEPAGFSNHHRPIPSPKIPSSPGSSMAESQSNLNTKPSNNNSNNNSNMSSRSNSIESTSSNPSKPHTGGDIRWDAVNMLTSKGVQLGISDFRLLKRLGYGDIGSVYLVELRGTNTYFAMKVMDKASLASRNKLLRAQTEREILSQLDHPFLPTLYSHFETDKFYCLVMEFCGGGNLYSLRQKQPNKCFTEDAARFFASEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCSVSPTLVKSSSVHAAGGGSGSSRPVGLIDEDAAVQGCIQPSTFFPRILQSSKRNRKAKSDFGLFVNGSMPELMAEPTNVKSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFIYELLYGATPFKGQGNRATLHNVIGQALRFPEVPHVSSAARDLIKGLLVKEPQKRIAYKRGATEIKQHPFFEGVNWALIRSATPPHVPEPVDFSCYASKDKESMAAVDGGGKKNNIGAGGGCSSVGGGDNKSNGDCHDPDYIDFEYF >fgenesh1_pm.C_scaffold_2001852 pep chromosome:v.1.0:2:18582392:18583145:1 gene:fgenesh1_pm.C_scaffold_2001852 transcript:fgenesh1_pm.C_scaffold_2001852 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLQEVENPRPIPVHPFVAPLSYLLGTWRGQGEGEYPTIPSFRYGEELRFSHSGKPVISYTQRTWKLESGAPMHAESGYFRPNPDGSIEVVIAQSTGLVEVQKGTYNVDEQSIKLKSDLVGNASKVKEISREFQLVNGKLSYVVHMSTTTTNPLLHPHLKAILDKL >fgenesh1_pm.C_scaffold_2001861 pep chromosome:v.1.0:2:18637274:18639972:1 gene:fgenesh1_pm.C_scaffold_2001861 transcript:fgenesh1_pm.C_scaffold_2001861 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP79C1 [Source:UniProtKB/TrEMBL;Acc:D7KWH2] MDYYLYNVIFSVFVTFSIILNIVFLIKSVVDRFLSGRKQLPPRPRGFPIIGNLVGMLKNRPTSKWIVRVMNDMKTDIACFRFGRVHVIVITSDVIAREVVREKDAVFADRPDSYSAEYISGGYNGVVFDEYGERQKRMKKVMSSELMSTKALNLLLKVRNLESDNLLAYVHNLYKKDESKTKNGVLVNVRDIVCNHTHNVKMRLLFGRRHFKETTMDGSLGLMEKEHFDAIFKALDCFFSFYVADYYPFLRGWNLQGEEIELREAVDVIARYNKMIIDEKIELWRGKNKNCYRTEKKNDVSVIKDWLDILFTLKDENGKPLLTPQEITHLSVDLDVVGIDNAVNVIEWTLAEMLNQREILEKAVEEIDMVVGKDRLVQESDVPNLNYVKACCRETLRLHPTNPFLVPHMARHDTTLAGYFIPKGSHILVSRPGVGRNPKTWDEPLIYRPERHITGNEVMLTEPDLRLVSFGTGRRGCVGAKLGTSMIVTLLGRLLQGFDWTIPPGTTDKVELVESKENLFMANPLMACVKPRLDPNMYPKLWTGPA >fgenesh1_pm.C_scaffold_2001890 pep chromosome:v.1.0:2:18784519:18787434:-1 gene:fgenesh1_pm.C_scaffold_2001890 transcript:fgenesh1_pm.C_scaffold_2001890 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGSHFEIRVLPSDTIMAVKKNIEDSQGKNNYPCGQQLLIHNGKVLKDETSLVENKVTEEGFLVVMLSKSKTAGSAGQSSVQPASATTSSTKPETPSTTQSIAVPASPITAQEQPAAQTDTYGQAASTLVSGSSLEQMVQQIMEMGGGSWDKETVTRALRAAYNNPERAVDYLYSGIPETAEVAVAVPGAQMAGSGAAPVAPASGGPNSSPLDLFPQETVAAAGSGDLGTLEFLRNNDQFQQLRTMVHSNPQILQPMLQELGKQNPQLLRLIQENQAEFLQLVNEPYEGSDGDADMLDQPEQEMPHAINVTPAEQEAIQRLEAMGFDKALVIEAFLACDRNEELAANYLLENSGDFED >fgenesh1_pm.C_scaffold_2001904 pep chromosome:v.1.0:2:18840401:18842558:-1 gene:fgenesh1_pm.C_scaffold_2001904 transcript:fgenesh1_pm.C_scaffold_2001904 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKIVAAAAVATAVVVIREYDEERDKRDVEDMERKCDETGNHGKPVMVSDLLGDPVRRVRHFPSHTMLVAEYGEGRKIVGVVRGCVKTVTRGNSIFVKLAYVLGLRVSPSHRNLGIGTKLVQALEEWFKQQGATYAYMATDCTNEHSINLFTKKCSYVKFRTPTMLVQPVHAHTKPISSDVAILRLTPQTAESIYTRIFKNSEFFPSDIDAILTSRNSLGTFIAVPNEKHGPKSNCLDRDIPANFAILSVWSTKDVFRLQMKGVSRLTHAFCSGSRFLDSCMPWMKLPSFPNVFDKFWVYFMYGMHMEGKDGPRLMKSLCSFVHNIGRYDRGCGALAAELSPSDAVALVVPHWKRLSWAQDLWCLKKLSDEPELSDWTRSRYTSVIFADPRDI >fgenesh1_pm.C_scaffold_2001928 pep chromosome:v.1.0:2:18944659:18945628:-1 gene:fgenesh1_pm.C_scaffold_2001928 transcript:fgenesh1_pm.C_scaffold_2001928 gene_biotype:protein_coding transcript_biotype:protein_coding MDQETKRISKKPSRSIIITLKDIPPLDPSSIPSLKPMGQDNNNDEEEDNAISSVSNIQIDPNSTRSITQENKLVPKPEEDTTTKPNSKGDESKRGSAKSVLHEICASKRWRPPVYECCKVDGPCHLRLFTYKVVVEIIESSGKTVLECFGDPRRNKKAAAEHAAEGALWYLEHVKAKPEDKAAYHLVKRK >fgenesh1_pm.C_scaffold_2001931 pep chromosome:v.1.0:2:18953329:18955186:-1 gene:fgenesh1_pm.C_scaffold_2001931 transcript:fgenesh1_pm.C_scaffold_2001931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S15 family protein [Source:UniProtKB/TrEMBL;Acc:D7KX37] MALHLARPKQRLHSNPSLIHLFSTSSSSPQDGNESSEQPSQSPSSSSSSPPDFKISSYFSGIRSSLKQTQPQDGRRQFVRFDAKAPNPATSLSGNQDIRRNLNEFRSRAVAPPPRDWQDIYKQNVLPKSGDSYGTRKIDGTNIKENLRQMRPQATTESKWATLSGLQNTMKTNLKFNENLRSNVIGGGGGLPYSVFEKELEQGQKNENETEEMKSEFIKSYDPIELGEKLRLYRPVGKKELGWFSLNELNQRLVKLRAMEEEQFERTRTLHPSFVKELRSAYENEKAVKAQKSVPCSKYMLEPPKDQLVETYFHPDNMSSAEKMKIELAKVREEFKMSESDCGSARVQVAQLTTKIKHLSSVLHKKDKHSRKGLIAMVQRRKKLLKYMRRTDWDSYCLSLSKLGLRDNPDYKF >fgenesh1_pm.C_scaffold_2001935 pep chromosome:v.1.0:2:18970198:18971022:1 gene:fgenesh1_pm.C_scaffold_2001935 transcript:fgenesh1_pm.C_scaffold_2001935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive element-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7KX41] MENTVDGHRLQYSVPLSSMHETRQSFETYGLSKETPLVCMPFFETNTSSFGISSLFSSNPKPEPENTPRVMDDSIAAVVGENVLFGDNNKVSDHFPATETTGGGVKRGRKMPQKNGGFMGVRKRPWGRWSAEIRDRIGRCRHWLGTFDTAEEAARAYDAAARRLRGTKAKTNFVIPPVFPEEIALVQSSTTEENRRRRKKKRLNVRKCVKVTSVAQLFSNTNTSSSSSSNVNVVTSIDNLEKMGLELDLKLSLGLFRK >fgenesh1_pm.C_scaffold_2001940 pep chromosome:v.1.0:2:18987782:18990189:1 gene:fgenesh1_pm.C_scaffold_2001940 transcript:fgenesh1_pm.C_scaffold_2001940 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTETPSYHGRRRLLLVAVGLLISSSLVSFSHGVSSSCHHRPSSTSFQGVRRQILEGGNGTLVLAAERTRRPDPLNHFNIYVDGWNVTNTHYIAITNLETMSFIFRIGSAMLYTGQNEFYGSVERTFMYIVKQATGVLTKLTSLWDSIQSAKDIQLDGHNLFPPEFRGNIDHFNNMIKMSNITYPDRVANQTIRYLTGALNPVRYVLNVIAGVMLAVAFLGLLFSFCGLRVLVYLVVADTCMAMDQWVHDPAADSALSQLLPCLDPKTIGETLDITKTMTATAVDMTNAYTVNVSNHDQFPPNVPFYHNQSGPLVPLLCNPLDQNHNPRPCAPDEVLLANASQVYKGYVCQVNAEGICTTQGRLTPASYDQMMGAINVAFTLDHYGPFLASIADCTFVRDTFRDITTKNCPGLSITSQWIYAGLASLSGAVMFSLIFWLIFVRERRHRSQTKKSMIQMNRF >fgenesh1_pm.C_scaffold_2001953 pep chromosome:v.1.0:2:19055825:19057369:-1 gene:fgenesh1_pm.C_scaffold_2001953 transcript:fgenesh1_pm.C_scaffold_2001953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KX62] MDVSSIARTSLTDQHPLLMDHHTHNNRDHIVDITMSNGQDSSSIDELTPPHQGEEETVSSSTLTAHPAPPQQRPTSSRNARRTTSTRSPLNSGLWISVELVVTVAQIVAAIVVMVLAKDEHPEAPLFTWVIGYTSGCIATLPILYWRFRTYSRGTGQDSSQRLSSSSQANNNPSESTPYTAVSVAQAADEENSTDMSAAPRNNQVGETLRTRLNGLVDHFKMAIDCFFAVWFVVGNVWIFGGHSSPSDSPKLYRLCIAFLTFSCIGYAMPFILCATICCCLPCLISVLGFRENFSQTRGATTEAINALPVYRFKSKSRNDLEFSEEGEGGFLLLGSQKKRLISGEDASCCICLTRYGDDVQVRELPCSHVFHVDCVDKWLKINATCPLCKNEVGESSGASS >fgenesh1_pm.C_scaffold_2001956 pep chromosome:v.1.0:2:19066496:19068690:-1 gene:fgenesh1_pm.C_scaffold_2001956 transcript:fgenesh1_pm.C_scaffold_2001956 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCA2_4 [Source:UniProtKB/TrEMBL;Acc:D7KX65] MGKENAVSGNTIPIHGRPVTRALASALRASSKLITSSQVAATTQNQGRVLRAKSKRTALDEKKANAPKIKKRAVLNDITNVTCENSYTNCFSVAVENIKLIKKGRPSSSKVASSSATSQVTDAKLGGSSSGCTDTSLGTNEASYSFIAKPSSRLPPRPLGRVEKSGVGASSSVASSPKFVDIDSDDKDPLLCSLYAPDIYYNLRVAELNRRPFPDFMERTQRDVTETMRGILVDWLVEVSEEYTLVPDTLYLTVYLIDWFLHGNYVERQRLQLLGITCMLIASKYEEINAPRIEEFCFITDNTYTRDQVLEMESQVVKHFSFQIYTPTSKTFLRRFLRAAQVSFPNPSLEMEFLANYLTELTLMDYPFLKFLPSVIAASAVFLAKWTLNQSSHPWNPTLEHYTTYKASDLKASVHALQDLQLNTKGCPLNSIRMKYRQDKFKSVAVFSSGELPEKLFIS >fgenesh1_pm.C_scaffold_2001960 pep chromosome:v.1.0:2:19088652:19090012:1 gene:fgenesh1_pm.C_scaffold_2001960 transcript:fgenesh1_pm.C_scaffold_2001960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 3-oxidase 4 [Source:UniProtKB/TrEMBL;Acc:D7KX69] MPSLAEEICIGNLGSLQTLPDSFTWKLTAADSVLPPSSAVSSGTVEESIPVIDLSDPDVTTLIGNACKTWGAFQIANHGISQKLLDDIESLSKTLFDMPSERKLEAASSDKGVSGYGEPRISPFFEKKMWSEGFTIADASYRNHFISLWPHDHTKYCGIMEEYVDEMEKLASKLVSCILGSLGVTVEDIGWAHKLEKSGSKVGRGAIRLNHYPVCPEPERAMGLAAHTDSTILTILHQSNTGGLQVFREESGWITVEPVPGVLVVNMGDLFHILSNGKIPSVVHRAKVNHTRSRISIAYLWGGPAGEVQIAPISKLIGPVEPSLYRSITWKEYLQIKYEVFDKALDAIRVINPTN >fgenesh1_pm.C_scaffold_2001968 pep chromosome:v.1.0:2:19114513:19115134:-1 gene:fgenesh1_pm.C_scaffold_2001968 transcript:fgenesh1_pm.C_scaffold_2001968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:D7KX77] MEELSQLLKNNRTDDLTWFCSLSESELDLLISLKKLAIQRAKISGHQELADKFDLKLLRALGLVLMEYVRKRVQDDTCLAPSVVHQLMLLDNCNLLKTHEDDTVDMEEILTQICENKSKKKARKRLVRESKQLAERP >fgenesh1_pm.C_scaffold_2001974 pep chromosome:v.1.0:2:19129119:19130805:-1 gene:fgenesh1_pm.C_scaffold_2001974 transcript:fgenesh1_pm.C_scaffold_2001974 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRKGYQLIKDFATGEKGQLKPFNRKLFDETIEECDQNHHLIQSLIRWKMQQQGLDVQNNRNADHYGALIHHLSLIRNKRCLMAYVYNRAEIVRDLAWRVGLELLDLPSEIQEKLTTLEKEYFKNHSVALKSYMGKVGIELNVDMVPPKDPYIKVRILDDIDEGIVLSDKATNFARHSMHFLKRTDAEPYIARGLMEELTG >fgenesh1_pm.C_scaffold_2001978 pep chromosome:v.1.0:2:19138183:19139057:-1 gene:fgenesh1_pm.C_scaffold_2001978 transcript:fgenesh1_pm.C_scaffold_2001978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase family protein [Source:UniProtKB/TrEMBL;Acc:D7KX86] MKEDTTGNPLHIKSLNHISLLCRSVEESISFYHNVLGFLPIRRPGSFDFDGAWLFGHGIGIHLLQSPEPEKLLKKTEINPKDNHISFQCESMEAVEKKLKELEIKYVRAVVEEGGIQVDQLFFHDPDAFMIEICNCDSLPVVPLAGEMARSCSRLNIRQLVHP >fgenesh1_pm.C_scaffold_2001980 pep chromosome:v.1.0:2:19141870:19144399:-1 gene:fgenesh1_pm.C_scaffold_2001980 transcript:fgenesh1_pm.C_scaffold_2001980 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGAVGDGIADDTSAFQKAWEDACKGSSKMGSVNVPAGKVFLLNSLHFTGPCIPKPLLFIIDGEMIAQSDPKKWENGENGVIPWLIFDQVDGLAIVGRGLLDGQGKSWWDIHCRDHAGPNCIWLAPTMMTFSNCGNVTLKSLRFRNSAQTHVLVMGSQNVYINDIKVTSPEASPNTDGIHITSSTVVSINHSDIATGDDCVSIGDQVNNLNVTFMNCGPGHGDSIGSLGRGGTEVAVENIRVWHVNFTGTTNGARIKTWPGGTGYVRGIEFFDIHFSSVQNPIIIDQFYGCAPKCVETRKGVHIEKVRYMKMSGTSATKVAMKLECSGESVPCSNLLMRDIDLSPADGIGSVSSLCSFVHGSAQGLIRPSSCL >fgenesh1_pm.C_scaffold_2002012 pep chromosome:v.1.0:2:19287467:19294871:-1 gene:fgenesh1_pm.C_scaffold_2002012 transcript:fgenesh1_pm.C_scaffold_2002012 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGRRKSVSGSLVKPPPPPKLAKESLHRSLSDISLDFHREEEKGLGTIVEVEKAKCECCGMREECTMEYIERVREKFFGKWICGLCSEAVKEERYKRDEEGLEGALKEHMSACLRFNKLGREYPALFQADAMRDMLRRSTRERKRRKQQHGKGGGEKESTCCGIKNEGVSVCVVRMRRNGDGEEVVAKRRRRIKELVQVALRGGCLAASATAMAVMLTATEEGVADIYGFKLTLSSNWSFSPSYQYVVGACTGTVLYSLFQLCLGVYRLLTGSPITPSRFQAWLCFTSDQLFGYLMMSAGSAGSGVTNLNKTGIRHTPLPDFCKTLSSFCNHVALSLLLVFLSFIFLASSSFFTVLVLSTP >fgenesh1_pm.C_scaffold_215000001 pep scaffold:JGI8X:scaffold_215:2203:4634:1 gene:fgenesh1_pm.C_scaffold_215000001 transcript:fgenesh1_pm.C_scaffold_215000001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRFTTQLFVSRLSAYTTDQSLRQLFAPFGQIIEGFGFITFESEDDAQNALKALNGKIVKGRLIFVEAAKEVEAPITIMKK >fgenesh1_pm.C_scaffold_22000009 pep scaffold:JGI8X:scaffold_22:71332:74244:-1 gene:fgenesh1_pm.C_scaffold_22000009 transcript:fgenesh1_pm.C_scaffold_22000009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7MVS0] MDNEKGTISDLTTRQRKPLGWKAMPYIIGNETLERLATFGLMANFMVYMVREYHMDQVQAATLINTWSALTNFAPIIGAFISDSYAGKFNTIVFGSIAELLGMLMLTFTSLIPNLQPPPCTADQITGKCIRYSNSQLYVLLSGLFLLSVGTGGIRSCSVPFSLDQFDDSTEEGREGSRSFFSWYYTTHTIVQLVSMTLVLYVQNNISWAIGFAIPTVLNLFALLLLFVGTRFYVFVKPEGSVFSGIFKVLVAAYKKRNVRFPSGIDYYRPLLETDLQSNKLVLTDQFRFLNKAVIVMNNDEAENEEWRICTVRQIEDMKSIISIIPIFVSSIIGFLAMNQQQTFTVSQALKMDLQFPGTSYLIPPASITVISLLTIGIWLPFYETVLVRHIENITKQEGGISLLQKVGIGNILSISTMFISGIVERKRRDLSLTGVNISVFWLTPQQVLMGFYQVFTIVGLTEFFNKQVPINMRSIGNSLLYLGLSLASYLSSAMVSIVHSLTARGRRQSWLTDDIDTSKLDYFYYFIAALSTLNLIFFFWCARRYRYRNYSDEQR >fgenesh1_pm.C_scaffold_270000001 pep scaffold:JGI8X:scaffold_270:348:849:1 gene:fgenesh1_pm.C_scaffold_270000001 transcript:fgenesh1_pm.C_scaffold_270000001 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQTKCDLELRLLTSSYASSDFHSSVDKSSSSEISQPKHESQILTIFYNGHMCVSSDLTHLEAKAILSLASRDVEERSLSLKSSDDSEPPTLPKYSTRFHNQKVSMKRSLRSFLQKRNVRIQATSPYSR >fgenesh1_pm.C_scaffold_275000001 pep scaffold:JGI8X:scaffold_275:6801:10527:-1 gene:fgenesh1_pm.C_scaffold_275000001 transcript:fgenesh1_pm.C_scaffold_275000001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISDLPRNLVGKILSMVPITCLGAVRCTCKGWNALSKVRILCKAETRHQFVGFMMKKYKLCSQTLFNLHGTFNEEGANEFVYPSIKELGNLFNQVKISRVFQCDGLLLCMTKEDNTRLVVWNPYLGQISYAIGYDNNRNHKILRFVDFYDSKVKHKFLEYEIYDFSSNSWRVLDITPDWEIESYQRGASLKGNTYFIAKEKIIFEEDGEYPEPPDYLLCFDFTTESFGQFLPLPSLFSWRRETRGIISEPNAVSWNPFLKVDMKPHCSFGFHFHYDGGSFFIDEEEKVGVVIHFDASEMTRYEDAAYIIGKNGYVKKVRLGEAPANQGGSLNFKYCCPLHKSTKLQDSKRKER >fgenesh1_pm.C_scaffold_281000001 pep scaffold:JGI8X:scaffold_281:8271:9000:1 gene:fgenesh1_pm.C_scaffold_281000001 transcript:fgenesh1_pm.C_scaffold_281000001 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSYPILPSDYEILRSTYNRLQLEWSTHDLISHCVQEEERLTSEKKEHDLVTGKVICDKKRKHYDQCL >fgenesh1_pm.C_scaffold_283000002 pep scaffold:JGI8X:scaffold_283:2841:4771:1 gene:fgenesh1_pm.C_scaffold_283000002 transcript:fgenesh1_pm.C_scaffold_283000002 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGAASTGVVMVMVIMILVLLNQTESASVNGSSQSHRKFCNIYLGSWVYDKSYPLYDSKTCPFIERQFNCKSNGRPDKEYLKYRWQPSGCNLPRFNGLEFLGRIMKGKKLMFVGDSLSLNQWQSLTCLLHNVAPNVNSSVTRSPSGLSVFSFPAYNSSIMFSRNAFLVDIVGAPPKRVMMLDSISSGSLWKTADVLVFNSWHWWLHTGRKQPWDAIMSGNVTVKDMDRLVAYEKAMMTWAKWIDQNIDPSKTKVFFQGVSPDHGRASEWSKQGGKGSCIGETKPIMGSKYWAGPHRAEMVVAKVIKTMKNPARLMDVTLMSQLRKDGHPSVYGFGGHKMPDCSHWCLSGVPDSWNQLLYSELFHS >fgenesh1_pm.C_scaffold_285000001 pep scaffold:JGI8X:scaffold_285:2925:5802:1 gene:fgenesh1_pm.C_scaffold_285000001 transcript:fgenesh1_pm.C_scaffold_285000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MX56] MAKRADSFCFISCVLVSFLILGSAVTDDSQDKKVYVVYMGSLPSRLEYTPMSHHMSILQEVTGESSIEGHLVRSYKRSFNGFAARLTESERERVAEMEGVVSVFPSKNYKLQTTASWDFMGLKGGKNTKRNLAIESDIIVGVIDSGIWPESESFSDKGFGPPPKKWKGVCSGGENFTCNNKLIGARDYTSEGTRDSIGHGSHTASTAAGNAVENTSYYGIGNGTARGGVPASRIAAYKACGETGCSDESILSAFDDAIADGVDLISISIGERFVHKYEKDPMAIGAFHAMVKGILTVNSAGNDGPDPGSVISVAPWILTVAASTTNRGFVTKVVLGNGKTLVGKSLNAFDLKGKNYPLVYGTLLKEPLLRGKILVSKYQLSSNIAVGTINLGDQDYASVSPQPSSALSQDDFDSVVSYVNSTKSPQGTVLKSKAIFNQKAPKVASFSSRGPNTIAVDILKPDVTAPGVEILAAYSPLNSPSEVWFDKRHVKYSVLSGTSMACPHVAGVAAYIKTFHPEWSPSMIQSAIMTTGKQFSYGAGHVDPIAALNPGLVYELDKADHIAFLCGLNYSSKTLQLIAGEAITCTGKSLPRNLNYPSMSAKLSESNSSFTVTFNRTVTNLGTPNSTYKSKIVINHGSKLKVKVSPSVLSMKSVKEKQSFTVTVSGSNLNTNLPSSANLIWSDGKHNVRSPIVVYTYSG >fgenesh1_pm.C_scaffold_3000013 pep chromosome:v.1.0:3:45630:46155:1 gene:fgenesh1_pm.C_scaffold_3000013 transcript:fgenesh1_pm.C_scaffold_3000013 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNRRRSRGFMIAKLMPFCKAVKSPTPSQDLYNNVHYTSSTTTSYARQPDFNNYVTATSPIPPKVSFLLQPSVAPEGKDMEKKLTMMAEKLIGRGINGVNECVDARAASYISSVRERFKADHCDQKLTTVISLDDED >fgenesh1_pm.C_scaffold_3000028 pep chromosome:v.1.0:3:98318:101683:-1 gene:fgenesh1_pm.C_scaffold_3000028 transcript:fgenesh1_pm.C_scaffold_3000028 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLSSKNNRRCSPVQVDYLIHIHDIKPWPPSQSLRSLRSVLIQWENGDRNSGTTTVVAPSLGSVIGEGKIEFNESFKLPLTLLKDASARNKGGGDLFFKNVLELNLYEPRREKTHQLLATATIDLAVYGIVKESFSLTAQMNSKRSYRNATQPVLYLTIQPVGRRRASSSSSINSFKDETKNGGESVSALMNEEYYKEAEIASITDDDISSHSSLTVSSSTLESNGGFSVRTEEEEHERINKDPRGNGHERSQSVSETRQGEISDQIPSRSMSVDLSSVFYLPGDIPDSAPNTSVSGLEDCANVFRTDTNESSKLVTNSQQNNGDTKSMPLQIDNLSETSPRASENSQGLISDQEPESTVEKSRKVKSVRSSLDINRSNSRLSLSSDRKEAKVYPKSTYDTTLENKIKNLESRVQKLEGELREAAAIEAALYSVVAEHGSSSNKVHAPARRLLRLYLHACRETHLSRRANAAKSAVSGLVLVAKACGNDVPRLTFWLSNTIVLRTIISDTSAEEELPVSAGPGPRKQKVERETEKRSSLKWKDSPLSKKDIESFGACDDPVTFITALEKVEAWIFSRVVESIWWQTLTPRMQSSAASTREFDKANGSASKKNFGRTPSSMNQEQGDFSLELWKKAFREAHERLCPLRGSGHECGCLPVPARLIMEQCVARLDVAMFNAILRDSDDNFPTDPVSDPIADLRVLPIPSTTSSFGSGAQLKNSIGNWSRWLTDLFGIDDEDDDSSDENSYVDRSFKTFNLLKALSDLMMLPKDMLLNRSVRKEVCPMFGSPLIKRVLNNFVPDEFCPDPVPDAVLKSLESEEEAEKSMITSYPCTAPPPVYSPPSRTSISTIIGDFGQPQAPELSRIRSSITRKAYTSDDELDELSSPLAVVVLQQEGSKKINNGGSDEIVRYQLLRECWMNGE >fgenesh1_pm.C_scaffold_3000029 pep chromosome:v.1.0:3:103638:104897:-1 gene:fgenesh1_pm.C_scaffold_3000029 transcript:fgenesh1_pm.C_scaffold_3000029 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRRALSSRNAVGFLRRSSVVVVQSRDFSIISSPNLMMVGRDLQFDMSMPDFLRDTRRGFAKGKKSKDDSGMVDAAPDIGPTVKAAASSQMEAAIDALSRDLTKLRTGRAAPGMLDHIVVETGGVKMPLNHLALVSVLDPKTLSVNPYDPDTVKELEKAIVASPLGLNPKLDGQRLVASIPADIFFGQALDTIKKAGSSLPKDEVKRLEKEVDELTKKFVKSAEDMCKSKEKEITEA >fgenesh1_pm.C_scaffold_3000062 pep chromosome:v.1.0:3:243377:244548:1 gene:fgenesh1_pm.C_scaffold_3000062 transcript:fgenesh1_pm.C_scaffold_3000062 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQTQNTSLQRLQNVENRVVKVLELAGGVMEELASPSGPKKEFVNSHCREFMQSMKDIQVTLREEIKSACEYRPFEKCDYNARIANEICFQKLEYVLTQLDDLKQTAGQYPSSD >fgenesh1_pm.C_scaffold_3000064 pep chromosome:v.1.0:3:249841:253278:1 gene:fgenesh1_pm.C_scaffold_3000064 transcript:fgenesh1_pm.C_scaffold_3000064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-dioxygenase 1 [Source:UniProtKB/TrEMBL;Acc:D7LAG3] MKAITSLISSVLLKFIHEDFHEIYSRMSLLDRFLLLIVHAVDKMVPWHKLPVFLGLAYLEVRRHLHQEYNLINVGQSPVGTRFDPANYPYRTADGKFNDPFNEGVGSQNSFFGRNCPPVDQITKLLRPDPMVVASKLLGRRKFIDTGKQFNMIAASWIQFMIHDWIDHLEDTRQIELVAPKEVANKCPLSSFSFFKTKEVPTGFFEIKTGSLNIRTPWWDSSVIYGSNSKILDRVRTYKDGKLKISEETGLLLHDEDGLAISGDIRNSWVGVSALQALFIKEHNAVCDALKDEDKDLEDEDLYRYARLVTSAVIAKIHTIDWTVQLLKTDTLLAGMRANWYGLLGKKFKDSFGHAGSSILGGVVGMKKPQNHGVPYSLTEDFTSVYRMHSLLPDHLQMRDIDDVPGANKSLPLIKEISMGNLIGRKGEETMSQIGFTKLMVSMGHQASGALELMNYPMWLRDIVPQDPNGQDRPDHVDLAALEIYRDRERNVPRYNDFRRAMFMIPITKWEDLTDDEEAIKVLDDVYDGDVEELDLLVGLMAEKKIKGFAISETAFYIFIIMATRRLEADRFFTSDFNETIYSKKGLEWVNTTESLKDVFDRHYPEMTDKWMNSESAFSVWDSPPVTKNPIPLYLRIPS >fgenesh1_pm.C_scaffold_3000092 pep chromosome:v.1.0:3:370513:373329:1 gene:fgenesh1_pm.C_scaffold_3000092 transcript:fgenesh1_pm.C_scaffold_3000092 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNKKQRKGESNEAKGSGGVADEGNEMIKDPRFSSAHTDPKFRRIRRRDSKVAIDSRFQRMFNDKRFTTASAPVDKRGKRRRGGSGKDSLREFYRIVDEDEKKKKKTEEESGDESESEKDMIDLKSEKSSDVESEEESELKVASLDEEVSDEKSESDEEADSEEVSQEEEEEEEDDTDEDDEAIYEDEGPEIPEENIPFIPEETHRLAIVNMDWRHVSAKDLYVVLNSFLPKDGRILSVAVYPSEFGLERMKEEEIHGPVIDGDKKNEGSDDEDEEEEEDEDVINQKLRAYEISRLKYYFAVAECDSSATADHLYKSCDGIEFERSSNKLDLRFIPDSMEFKHPPRDIASEAPAGYEGLDFQSRALQLSKVNLSWDEDEPHRIKTLNQKFNPEQLANLEMKEFLASDESDSDDEDDDSKEGINQSKRKEDKRKDKYRALIESEDVDSDKDMEEEDGQDMEVTFNTGLEDLSKEILKKKDSKSESVWETYLRQRREKKRARKNKQNDDSSSPDDDDDYKIDRKAVKDDGDDDFFMEEPPLKKKKKEGKTKKKGLEEEVAAEEKSRAELELLLADENAGDGNGLKGYNIKRKGKKGKTDISEEKIPAADLDDPRFSALFSSPFYALDPTDPQFKRSATYARQLAAKQKEDPKSHEDVKAPKEKHELNSDGNLGSKKERHELTSTVKSLKMKMMNKDSEKKKAGNAVSSSTLAQRIKKKAKDLSNK >fgenesh1_pm.C_scaffold_3000097 pep chromosome:v.1.0:3:398253:400815:1 gene:fgenesh1_pm.C_scaffold_3000097 transcript:fgenesh1_pm.C_scaffold_3000097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7LAJ2] MDGSGGRSGVESILPNYKLGRTLGIGSFGRVKIAEHALTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPTDIYLVMEYVNSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSAGARDLIPRMLVVDPMKRVSIPEIRQHPWFQAHLPRYLAVPPPDTVQQAKKIDEEILQEVINMGFDRNHLIESLRNRTQNDGTVTYYLILDNRFRASSGYLGAEFQETMEGTPRMHPAESVASPVSHRLPGLMEYQGVGLRSQYPVERKWALGLQSRAHPREIMTEVLKALQDLNVCWKKIGHYNMKCRWVPNSTDGMLSNSMHDSNYFGDESSIIENDAAVKSPNVVKFEIQLYKTRDDKYLLDLQRVQGPQFLFLDLCAAFLAQLRVL >fgenesh1_pm.C_scaffold_3000098 pep chromosome:v.1.0:3:405325:407429:-1 gene:fgenesh1_pm.C_scaffold_3000098 transcript:fgenesh1_pm.C_scaffold_3000098 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDDVSSIRTTTLVAPTRPTITVPQRPPAIETAAYFFGGGDGLSLSPGPLSFVSSLFVDNFPDVLTPDNQRTTSFSQLLAGAMSVSPGGGGRSTAGMFAGGGPMFTIPSGFSPSSLLTSPMFFPPQAPAQTGFVHSQPQPQQQPPGPPRPDTFPHHMPPSTSTAVHGRQSFEVSTQADQRARNHYNNPGNNNNNNRSYNVVNVDKPADDGYNWRKYGQKPIKGCEYPRSYYKCTHVNCPVKKKVERSSDGQITQIIYKGQHDHERPQNRRGGGGRDSTEVGDIHFVGGAGQMMESSDDSGYGKDHEEDNNDDDDDDDFPASKIRKIDGVSTTHRTVTEPKIIVQTKSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTTPNCTVRKHVERASTDAKAVITTYEGKHNHDVPAARNGTAAAAGTSDHHRMRSMSGNNMQQHMSFGNNNNTGQSPVLLRLKEEKITI >fgenesh1_pm.C_scaffold_3000099 pep chromosome:v.1.0:3:411554:412455:-1 gene:fgenesh1_pm.C_scaffold_3000099 transcript:fgenesh1_pm.C_scaffold_3000099 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAVLVAAAVLAFLTATEVAAKKWTVGDNKFWNPNINYTIWAQDKHFYLDDWLYFVYERNQYNVIEVNETNYISCNPNNPIANWSRGAGRDLVHLNVTRHYYLISGNGGGCYGGMKLAVLVEKPPPPPAAAPIKNSATRTFTLSGFASQFVIPVAVFAAVGTMGDAVLRMW >fgenesh1_pm.C_scaffold_3000120 pep chromosome:v.1.0:3:512291:512906:1 gene:fgenesh1_pm.C_scaffold_3000120 transcript:fgenesh1_pm.C_scaffold_3000120 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWSYAKLMTSVLLFLFVIILLLAFHGGSTGDNHQYVHVAIGAKDSMGRKLKHLEPKTESLKMINPKKTNEFEYSDQVSNDLLEREVFFDTMATDYRGLKRRKPPINN >fgenesh1_pm.C_scaffold_3000132 pep chromosome:v.1.0:3:580345:581395:1 gene:fgenesh1_pm.C_scaffold_3000132 transcript:fgenesh1_pm.C_scaffold_3000132 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDSESEKEAGLLCNDDEEYQFGGSVPKFQFRVGSSKARWIAELGMAEVELKRGKLWTTTGIIRTGKTYCFIEEALYLSEIGELQLLGDEDDVVISLKDLYREIAEGKCGCCWEDYEVYRYLKGLGYILGRHGVPWTTKDVVNTTPSDEDESLCAGEFSQDKDSITKRLSDMQICDARPVFDVYLPNSHFKKSSPGEPSFVACFSGDSPPSKEEIEVLQKRVASPLMFCHIVEGRASFFSFNSIDLLVLP >fgenesh1_pm.C_scaffold_3000186 pep chromosome:v.1.0:3:788133:788728:-1 gene:fgenesh1_pm.C_scaffold_3000186 transcript:fgenesh1_pm.C_scaffold_3000186 gene_biotype:protein_coding transcript_biotype:protein_coding MANCIRRNALFFLTLLFLLSVSNLVQAARGGGKLQPQQCNSKCSYRCSATSHKKPCMFFCLKCCKKCLCVPSGTYGNKQSCPCYNNWKTKEGGPKCP >fgenesh1_pm.C_scaffold_3000204 pep chromosome:v.1.0:3:883097:884563:-1 gene:fgenesh1_pm.C_scaffold_3000204 transcript:fgenesh1_pm.C_scaffold_3000204 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFDAHCHLQDKRVIDKASQLISAALAVGVTNFAVNGTSEKDWDLVKEMGETYLSVVPCFGLHPWFIADRSPHWFNTLKKFFETTPTAAVGEIGLDKGPLAGGIDYSDQLVVFRPQLELAKELNKPVAVHCIDAFDDLLEIMRSVGPFPAGVILHSFNGSAEVVPKLAELGAYFSFSGWFTYIDEKIAKKTLKSIPSDRFLLETDSPDGLPKSDESSSDPKPTLNEPANILAVLDYVANLSNMKKEELAELSYVNSVRLFSYPGSKLLTYQ >fgenesh1_pm.C_scaffold_3000229 pep chromosome:v.1.0:3:992646:1005005:-1 gene:fgenesh1_pm.C_scaffold_3000229 transcript:fgenesh1_pm.C_scaffold_3000229 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGDDDELSSKTVSLRRRISFTILLLLLLLLISLSTRVSGSIDESGRRVIEARTGQDLIWVVQLSDLHFSVHHPERAIDFKNIVGPALSLINPSLVLITGDLTDGKSKDMLTMKLNEDEWLEYESVMQDVVKTSGLNKSIFYDLRGNHDNFGVPAVGSSVDFFSKYSINAQMGRKGNVNTITVETSERKHLFVGIDTTMHIGLRGPTNLFGHPTDELLSSLDSHLSQWDNQSAKPVAKISFGHFPLSFTALSHSQKSLKDVFLKHSISAYLCGHLHSKFGKNLKRHHHSGGISLSDNDLFQLNMRQSGAESTSNCSFGALPAAEFWEWEMADWRKNRAMRIMAIDRGHVSYVDLDFKSKSLRTIILPTFPLDSRFMSTSFARHKYECQHMISSSYDTIRAIVFSHSLIVEVVARVYDSSPGFDNLVMEAPMRKLGGDSSSSGATFFSLPWNYRAFEDPLPDRFWLQIEVTDIKGRLTLSEMRPFSINGLSSKVSWTWNEFRVMGCQWAALYYPILWAAMYSLFLVFLIPKCIIIVFKKQYTLKKFIAKRGPITLVLWILQDLCRMPVVWFGYMAYLLYLIFFPWFSGEVYTDSGNRTYMTIMGWVVTSSGADRKHEYIGQPDVMVLVIPHVVFVVIPSVLVVCCLVAEREIYKDHIRTVSGKKEDDHDRGRKKRSQRRSLLFSNRRLFRKSLLVASLALYWKHFKNCWALARAYEMNVVHFPGYSLTTDQVSASPLHFARSYQVEALEKAIKQNTIVFFETGSGKTLIAIMLLRSYSYLFRKPSPCFCVFLVPQVVLVTQQAEALKMHTDLQVVQVLVMTPAILLDALRHSFLNLSMIKVLIVDECHHAGGKHPYACIMRVYTCENESVLAGFVPFSTPRFKYYQHMGIPSSKRASLVEKLEKLMIKHRLSLATLDLKSSTVDSIEKRLSRISSTLTYCLDDLGILLAQKAAQSLSASQNDFVLWGELNMSSVTLVKKFCSDASQAFLADIPNGLNWSVANINGKVEAGLLTSKTVCLIETLLSYSSLENIRCIIFVDRVITAIVLESLLAEILPNCNNWKTKYVAGNNSGLQNQTRKKQNEIVEDFRRGLVNIIVATSILEEGLDVQSCNLVIRFDPASNICSFIQSRGRARMQNSDYLMMVESGDLLTQSRLKKYLSGGKRMREESLHHSLVPCPPLPDDSDELFFRVESTGATVTLSSSVSLIYHYCSRLPSDEYFKPAPRFDVNKDQGSCTLYLPKSCPVKEVKAQANNKVLKQTVCLEACIQLHKVGALSDHLVPDMVVAETVAQKLEKIQYNPEQPCYFPPELVSQFSVQPETTYHFYLIRMKPSSQRNFHLNDVLLGTRVVLEDDIGNTGFRLEDHKGTIAVTLSYVGAFHLTQEEVLLCRRFQITLFRVLLDHSVKNLMEALNGLLLRDGIALDYLLVPSTHSHETSPIDWEVIRSVNLTCHEALEKHVNCSINGASRILHTKDGLFCTCVVQNALVYTPHNGYFYCTKGVLNNINANSFLTKRNSGDQTYIEYYEERHGIQLNFVDEPLLNGRHIFTLHNYLHMTKKKKEKEHDKEFVELPPELCHVILSPISVDVIYSYTFIPSVMQRIESLLIAYNLKKSIPKVNIPTIKVLEAITTKKCQDQFHLESLETLGDSFLKYAVCQQLFQHCHTHHEGLLSTKKDGMISNVMLCQFGCQQKLQGFIRNECFEPKGWMVPGQHSAAYALVNDTLPESRNIYVASRRNLKRKSVADVVESLIGAYLSEGGELSALMFMNWVGIKVDFTTTKIERESPIQAEKLVNVGYMESLLNYSFEDKSLLVEALTHGSYMMPEIPRCYQRLEFLGDSVLDYLITKHLYDKYPCLSPGLLTDMRSASVNNECYALVAVKENLHKHILHASHVLHKHISRTVSEFEQSSLQSTFGWECDISFPKVLGDVIESLAGAIFVDSGYNKEVVFASIKPLLGCMITPETVKLHPVRELTELCQKSQFELSKAKDFNYFTVEVKAKEMSFAHTAKASDKKMAKKLAYKEVLNLLKKSLAS >fgenesh1_pm.C_scaffold_3000235 pep chromosome:v.1.0:3:1029146:1031552:1 gene:fgenesh1_pm.C_scaffold_3000235 transcript:fgenesh1_pm.C_scaffold_3000235 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPCHHSYFLLFFVFFIPQISFSCPQDQIQSLLEFKNLLTLNINNQSTAIITLKGLEIWRPNSDCCKWQLVRCNTCSPTREVIDLNLHSLILSGSVSSSILRPVLQIPGDGFVNLTSFISLDMSDNSFNGSIPPELFSLKNLQCLDLSRNDIGGTLSGNIKALKNLQELIFLSELLTLTLRQNLFSGSIPLSVSQLTKLETFDLQNSSLSFEIPDGIGKLANISTLSLSRNKLSGGIPSSIQNLTNLETLELENNNGLSGEIPTWLFGLQKLKILRLGGNKLQWNKNVSVYAQSKLTHLSLRSCGLEGNIPDWLKNQTALLPGSEHEQTRRKFSQMTTNSQIHYLLVCFQSISLSVLALSRNNFSGQIPDTVGETWDQYQSLSQRSTGCCCWTIIACVTRYIFQWFSGDVPAFFGSNTIMLSMSQNNFSGEFPHDFTNLPSLMHFDLHDNKISGNRYLPEKQFPGSLKVLDLSENNLDGSLPSSLGNLTSMKESLSSSSSPLPFMYSFTIEILAVNWKNSKQSLANRNLYLSKNKLFGEIPSSLGSRKSLKLLNLSYNDLSRLIPQSFGNLEKVEILDLSHNNLSGEILQTLSKLRELNVLELSNNKLTGRIPESPQLDRLNDPDIYANNNKLCECKSKNHALHRPSSQGKRKLKGKQCFHGMESCSHWL >fgenesh1_pm.C_scaffold_3000238 pep chromosome:v.1.0:3:1048499:1049702:-1 gene:fgenesh1_pm.C_scaffold_3000238 transcript:fgenesh1_pm.C_scaffold_3000238 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISDLPKELVKEIISRVPMKSMRAVRFTCKKWNHNNTRLVVWNPYCGQTRLIELRYSYISVKECDTCSYAFGYVEKKNKSCRNHKILRFTDNDGRYNERDNFICKFLTVNTGPEILLTIESFIIDEEKKVALVLDDHTFYILGEAGYLREWDLGEPAHKKCSTQMCSYVPSLVQIKRPAGSKKKLQSSLEKRRYDQKKLRLVALDKRIKK >fgenesh1_pm.C_scaffold_3000246 pep chromosome:v.1.0:3:1067553:1069024:-1 gene:fgenesh1_pm.C_scaffold_3000246 transcript:fgenesh1_pm.C_scaffold_3000246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chat-3-HEXEN-1-OL ACETYLTRANSFERASE [Source:UniProtKB/TrEMBL;Acc:D7L157] MDHQVSLPQSTTTGLSFKVHRQQPELITPAKPTPRELKPLSDIDDQQGLRFQIPVIFFYRPNLSSDLNPVQVIKKALADALVYYYPFAGRLRELSNRKLAVDCTGEGVLFIEAEADVSLTELEEADALLPPFPCLDELLFDVEGSSDVLNTPLLLVQVTRLKCRGFIFALRFNHTMTDGAGLSLFLKSLCELAYRLHAPSVPPVWDRHLLTVSASEARVTHTHREYEDQVAIDAVDTGDPFVSRSFFFSAEEISAIRKLLPPDLHNTSFEALSSFLWRCRTIALNPDPNTEMRLTCIINSRSKLSNPPLSRGYYGNVFVIPAAIATARDLMEKPLEFALRLIQETKSSVTEDYVRSVTALMATRGRPMFVAAGNYIISDLRHFDLGKVDFGPWGKPVYGGTAKAGIALFPGVSFYVPFKNKKGETGTVVAISLPVRAMERFVAELNGVLIKRF >fgenesh1_pm.C_scaffold_3000248 pep chromosome:v.1.0:3:1080893:1082269:1 gene:fgenesh1_pm.C_scaffold_3000248 transcript:fgenesh1_pm.C_scaffold_3000248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:UniProtKB/TrEMBL;Acc:D7L161] MDINPSIFHLVTRFCYGYKIDLSADNIVSVLCIAYYLDMSDDHSSNNLLNKAVTFLEQRVLMSCNETVKALRICSDKILDKLADVGLIEVFLDSLVEKALNDTRLLQDLITLPLRLYEPLILELSKHNVSIEKFVASVCNYAKRWVFEKDDGSVSRNKREVIEAVEKLLPHERGIISCGFLFKSLKESIFLDACSDCRKGFEVRISNQLDMARAKDLLILSPTEDGSYDIELLKTILKSFYSNYSVSDLSRFVSVARMLEEFLLEAAASDADLRVGTFKELAEIAVAASCDVLSYSDGIYRAIDAFLERHRDLIESEKMEACRFLECKKLSPEACEHASKNEKLPLRIVMQVLFVSQMQIRDKVAREMKGVVEEKTQDQVHEDDEVESMSKKLLKLEIEPDYSKKRKIDNLECVVYCEKKKTSVWREVKRKFGCMTSSTMDACNCHFKKRKKTYHLYK >fgenesh1_pm.C_scaffold_3000250 pep chromosome:v.1.0:3:1094977:1097173:-1 gene:fgenesh1_pm.C_scaffold_3000250 transcript:fgenesh1_pm.C_scaffold_3000250 gene_biotype:protein_coding transcript_biotype:protein_coding MSETTKGSGSYPIKTIVVLVQENRSFDHALGWFKELNREIDGVTKSDPKSNTVSSSDPKSLPVVFGDQSQYVDPDPGHSIQDIYEQVFGKPWDSGKPDPNPGPATMSGFAQNAERNKKGMSSAVMNGFKPNALPVYKELVQNFAICDRWFASVPASTQPNRLYVHSATSHGATSNDKKLLIEGFPQKTIFESLHEAGFSFGIYYQFPPSTLFYRNLRKLKYLTHFHQYGIQFKKDCKEGKLPNYVVIEQRWFDLLSIPANDDHPSHDVSEGQKLVKEVYEALRSSPQWNEILFVITYDEHGGFYDHVPTPVDGVPNPDGILGPPPYNFEFNRLGVRVPTFFISPWIEPGTVIHGPNGPFPRSQYEHSSIPATVKKIFKLKDFLTKRDSWAGTFESVIARDSPRQDCPETLSIPVKLRGTLAKENAQLSEFQEELVIMAAGLKGDYKNEELIHKLCKETCVADASKYVTNAFEKFLEESRKAKDRGCDENDIIYCVDDDHHHHVVIPPQSHSEASNAAPQPKTQTSFFNKLFSCFVRHD >fgenesh1_pm.C_scaffold_3000266 pep chromosome:v.1.0:3:1178731:1180895:-1 gene:fgenesh1_pm.C_scaffold_3000266 transcript:fgenesh1_pm.C_scaffold_3000266 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKLLMISFCLTSLLFSLLYIIPTTKTLFTSSKIPTLPLESNQNHNSTLPCFAYLISASKGDAGKLKRLLQSLYHRRNHYLIHLDLEAPEEEHLEMARFVAGEPLFQPEGNVMIVGKPNLVTYRGPTMLATTLHAMALLLRCCRWDWFINLSASDYPLVTQDDLIYAFSELPRDLNFIQHTSRLGWKMNKRGKPIIIDPGLYSLNKSEIWWVSNQRSLPTSFKLFTGSAWTFLSRPFSEYCIIGYDNLPRTLLLYYTNFVSSPEGYFQTLICNSDEFKSTTVNHDLHYIAWDNPPKQHPKILGTRDYRKMVMSNRPFARKFKSNDPVLNRIDREILRRTRKRGSKPDLGPGPGARRLKSLLMRLLLRRNFVNRQCR >fgenesh1_pm.C_scaffold_3000276 pep chromosome:v.1.0:3:1253094:1255260:1 gene:fgenesh1_pm.C_scaffold_3000276 transcript:fgenesh1_pm.C_scaffold_3000276 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP131 [Source:UniProtKB/TrEMBL;Acc:D7L1A2] MNDLLKGSLEFSRDRSNRSDLESGHGPGNSGDLGLSGFFKKVQEIEKQYEKLDKHLNKLQGAHEETKAVTKAPAMKSIKQRMERDVDEVGRISRFIKGKIEELDRENLENRTKPGCGKGTGVDRTRTATTIAVKKKFKDKISEFQTLRQNIQQEYREVVERRVFTVTGQRADEEAIDRLIETGDSEQIFQKAIREQGRGQIMDTLAEIQERHDAVRDLEKKLLDLQQVFLDMAVLVDAQGEMLDNIENMVSSAVDHVQSGNNHLTKAVKSQKSSRKWMCIAILILLIIIIITVISVLKPWTQKNGA >fgenesh1_pm.C_scaffold_3000278 pep chromosome:v.1.0:3:1264178:1264506:1 gene:fgenesh1_pm.C_scaffold_3000278 transcript:fgenesh1_pm.C_scaffold_3000278 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMSMKFVFVLFLAVTSVMNIGTVQNVEGKRLLPEETSQFVLDHEASPSFVIPQGIHCEKKCHVQCYIRERAYGCVCLC >fgenesh1_pm.C_scaffold_3000293 pep chromosome:v.1.0:3:1319786:1321096:1 gene:fgenesh1_pm.C_scaffold_3000293 transcript:fgenesh1_pm.C_scaffold_3000293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7L206] MAAASSVSSPPLCLAGRVAIVTGSSRGIGRAIAIHLAELGARIVVNYSTSPVEAEKVATAITSNYPKNDASEAAGNSPRVIVVKADISEPSQVKLLFDEAERAFESPVHILVNSAAIADPNHSTISDISVELFDRIISVNTRGAFLCAREAANRLKRGGGGRIILLSTSLVQSLKPSYGSYTASKAAVEAMAKILAKELKGTEITVNCVSPGPVATEMFFTGLSNEIVENVKSQNLFGRIGETKDIAPVVGFLASDAGEWINGQVIIADGGSLL >fgenesh1_pm.C_scaffold_3000303 pep chromosome:v.1.0:3:1360850:1361467:1 gene:fgenesh1_pm.C_scaffold_3000303 transcript:fgenesh1_pm.C_scaffold_3000303 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L216] MSTKQAKGRKTKGKQKIEMKKVENYGDRMITFSKRKAGIFKKMNELVAMCDVEVAFLIFSQAKKPYTFAHPSMQEVADRLKNPSRQEPLAKDDTGPLVEAYKKRRFHDLIKKMEALEEELTMDLEKLKLLKESRNEKKLDKMWWNFPSKGLSVEELKQRHQAIVELRDNLCDNMALLRLGKDGGSSSVRVGRRLSGGVRLFDREA >fgenesh1_pm.C_scaffold_3000316 pep chromosome:v.1.0:3:1456237:1457169:-1 gene:fgenesh1_pm.C_scaffold_3000316 transcript:fgenesh1_pm.C_scaffold_3000316 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLYLQLSSCVVRGRHCSINCDFDLCPSIVSRSSFKCRASRGPSWEEELFRDEGFARFEFGNRKKKRPWWFDDDDDDDYDDWTDEEEDWSSVFEVFRTLSWMLAPIGISLLLGTDSNAGLMALAVPLVQSVLSLVVSKVWSRPSFRPMERSRRDSFSRSANVSSARTRKARQGENMRGGVDKGGYKSWMVADADSNSMGTGYGGWDDLDTLREIRNDNPSNENVRQKQQSVKRASRRWRVKEKPLLLRMLIAAFPFLGSWTKLLF >fgenesh1_pm.C_scaffold_3000324 pep chromosome:v.1.0:3:1491280:1493311:1 gene:fgenesh1_pm.C_scaffold_3000324 transcript:fgenesh1_pm.C_scaffold_3000324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7L252] MTLASDFGFPTAMSSSFTTLEERYRHNFPNTLWVSSGQELMNNSPVPCQVFPLVSGGSSGGNLFSSSSGFCNGVYVSSSSQARPSVSTVPRDRMTVAHVSGEGRRQGCSVETQSLQLINQPQEQKNMTWSSDQLLGFFDFPVPDPQAESSRTMVSSKEVLLKYEWPDWADQLISDDGLEPNWSELLGDPNVLNLDSKITTSSSDIARQEIVFKNQHQVDPSMEPFDTKNSPASSMTSKQRMRWTPELHEAFVEAINQLGGSERATPKAVLKLMNSPGLTIYHVKSHLQKYRTARYKPELSENREEPQVKNLKTIEDIKSLDLKTSIEITEALRLQMKVQKQLHEQLEIQRSLQLQIEEQGRYLQMMIEKQQKMQENKKDTSFSSSMPEVDPCLAPSPNLSQAFHKATNSESSITDQNGCSTMDQSESASGTSNRKRVRED >fgenesh1_pm.C_scaffold_3000328 pep chromosome:v.1.0:3:1514346:1523237:1 gene:fgenesh1_pm.C_scaffold_3000328 transcript:fgenesh1_pm.C_scaffold_3000328 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIELACSYIQINSNPVAAEATILSLHQSPQPYKACRYILENSQVANARFQAAAAIREAAIREWSFLATDDKGGLINFCLGYVMQHANSSEGYVLSKVSSVAAQLMKRGWLEFTPAEKEVFFYQINQAILGSRGLDVQFIGVNFLESLVSEFSPSTSSAMGLPREFHENCRKSLEQNFLKTFYQWAQDAALSVTNKIIESHSSVPEVKVCNATLRLMHQILNWEFRYSKGGTRASINVFSDGIRPDNAFSRKTECVIVQPGASWCDVLLSSSHVGWLINLYSSVRQKFDLEGYWLDCPVAVSARKLIVQLCSLAGEISPSDNGQMQEQHLLLLLSGVLPWIDPPDVISKEIEEGRSGSEMIDGCRALLSIGTVTTPVVFDKLLRSLRPFGTLTLLSMLMGEVVKVLMANSTDEETWSYEARDILLDTWTTLLASMDGSGGNARLPPEGMHAAASLFSLIVESELKAMDERLGSYALIARAAVDATIPFLAKLFSDHVARLHQGRGTVDPTETLEEVYSLLLIIGHVLADEGEGETALVPDALQSHFVDVVEANNHPVVVLSSSIIKFAEQCLDAEMRSSIFSPRLMEAVIWFLARWSFTYLMLVEDCNLGSNQLQSLRSRACLFTFFNEHNQGKFVLDIIVRISLTSLMSYPGEKDLQELTCFQLLHALVRRRNICFHLLSLDSWRNLANAFANDKTLFLLNSVSQRSLAQTLVLSAYGMRSSDASNQYVKDLMAHMTSSLVDLSNNSDLKNLAQQPDIIMLVSCVLERLRGAASATEPRTQRAIYEMGLSVMNPVLRLLEVYKHESAVIYLLLKFVVDWVDGQLSYLEAHETAVVINFCMSLLQIYSSHNIGKISLSLSSTLLNEAKTEKYKDLRALLQLLSHLCSKDMVDFSSDSIETQSTNISQVVYFGLHIITPLITLELLKYPKLCFDYFSLISHMLEVYPETLAQLNNDAFSHVVTTVDFGLHQQDVDIVTMCLRALKALASYHYKEKNTGNSGLGSHAAGHTDPNGVFHEGILSRFLRTLLHFLLFEDYSTDLVSTAADALFPLILCEPNLYQGLGNELIEKQANPNFKTRLANALQVLTTSNQLSSSLDRLNYPRFRKNLNNFLVEVRGFLKTR >fgenesh1_pm.C_scaffold_3000333 pep chromosome:v.1.0:3:1551501:1551914:1 gene:fgenesh1_pm.C_scaffold_3000333 transcript:fgenesh1_pm.C_scaffold_3000333 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKTSVTLLLLIIMAVSLSNFSVLASDIKPTGRIDNQCKQMCSGGYGDGKCAADCRKAGFSSGRCLTSSPFGNKCCCT >fgenesh1_pm.C_scaffold_3000366 pep chromosome:v.1.0:3:1686999:1690681:-1 gene:fgenesh1_pm.C_scaffold_3000366 transcript:fgenesh1_pm.C_scaffold_3000366 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDKNRISAVSLRNFEYSPVFQYINELSPIEPVKPAPPENILHSLAFTSPSSLFSSPQLTSYRDSRFSIKRHRSLDLSSPPLVLIGETVKTSPDAQEAVKISGLCEEQLQCRPAQLANVSETKPFKEQISLAIELANSLKHAMDGCDTQMVSCDEVPMDAENELGGCGGSRELSDELCRHPIDPLDFDDDSDGVHQTEEMEAESGFGPEVIMFDVAGIQNNEQQIPRTADPRFYSLASVPQQFSIRCNNSANVLEPGGSCSVQVAAGAPDITLSSSSKVAAIVSTAEAEDKEDKDLQPSRKVTFHGIPLRDSTNDLPLDSTSKPPSPRKFLPIPRTIGLHLNGYVNPSVSSGRKKKKFKDGRVFPPTTFHHNNEDEFSTPVSTKRDLVFSGVKIMEATERSMEGECIDQHMAIENRQLSQGLDKLGSCKRCKCKKSQCLKLYCECFAAGLYCVEPCSCQNCFNKPIHEDLVMKSREVIEARNPLAFAPKVVSTSDTAIDLWVENSKTPASARHTRGCNCRKSGCLKKYCECNLMGVRCSSSCRCIGCKNVFGHTNEKCAGESDAVTINDEAKHCGDSRQNEETSNSERRNRLLLPGSVAFRSLTSLSEL >fgenesh1_pm.C_scaffold_3000372 pep chromosome:v.1.0:3:1760259:1763389:1 gene:fgenesh1_pm.C_scaffold_3000372 transcript:fgenesh1_pm.C_scaffold_3000372 gene_biotype:protein_coding transcript_biotype:protein_coding description:WNK1 [Source:UniProtKB/TrEMBL;Acc:D7L302] MNNLSYLEPDYSEFVEVDPTGRYGRYNEVLGKGASKTVYRAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHKNIMKFYTSWVDTANRNINFVTELFTSGTLRQYRLRHKRVNIRAMKHWCRQILRGLHYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEAYNELVDIYSFGMCILEMVTFDYPYSECTHPAQIYKKVMSGKKPDALYKVKDPEVKCFIEKCLATVSLRVSARELLDDPFLRIDDGEFDLRSLDMDDSVGPLYRQPHHLPDYYNYPSNSSSLNRQYSNGNYPSNSSSLNRQYSNGYNSQHEYQNGWAYNPAETEETHGIELFESRNDDDQEEEKKSGNVDITIKGKRRDDGGLFLRLRIADKEGRVRNIYFPFDIETDTALSVATEMVAELDMDDHGVTKIANMIDGEISSLVPSWRPGPEFEECLAAAAVANAASICNNCVSNRTSMGSVMDFLRTNPGANVIQCCRNGCGETHGRFEEITIRETEVRLRELWKLQQQQESRELSSIDSGNNHSEEEEEEEVLYENPENMYSCEAGNAINHLSGSESFSFMPFKYCDELSEKTENQVQQELRWLKAKCQIELRDIQDEQLKTRWPESGEEVEISPKDGFLGSGSGLGREEDTVKEMFGGRLVPKCLKRTTSLPVDAIDS >fgenesh1_pm.C_scaffold_3000376 pep chromosome:v.1.0:3:1773019:1773817:-1 gene:fgenesh1_pm.C_scaffold_3000376 transcript:fgenesh1_pm.C_scaffold_3000376 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIDLSRVTLRPFELMDAHDFLRWAGDDRVTGNLRWPAFTSEEEALTFIRDVCVPHPWRRSICIDDRSIGFISIFPETGDNRFKAHIGYGLSHEYWGKGITTRAVSVAVSQVFNDLPHVLRLQAFVQTQRVSKRGFAQEVHLSQRSNL >fgenesh1_pm.C_scaffold_3000394 pep chromosome:v.1.0:3:1858052:1859669:1 gene:fgenesh1_pm.C_scaffold_3000394 transcript:fgenesh1_pm.C_scaffold_3000394 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSSSSSSSSSSPAMQKGVFVSMPVLVLSVSFAAAVFFLISSSFSSCSCPESLSSSRNDAVEASVGGSGGDLRLEERISPTQEDIEWVRDLIRSNGLHMQKNELRKGINPRTRDQQLADLKQYKGISHYEGDEANNHTALPCPGELLVEQHHSNYGEPWAGGRDVFEFLAESSNLKPNSRVLEIGCGTLRVGLHFIRYLNPQHFHCLEKDELSLMAALRYELPSQGLLHKRPLIVRGDDMEFSKFGSDTTYDLIYASAVFLHMPDKLVWTGLERLVDKLKPYDGRIFVSHNVKFCSRLGQDKCSQKLASLGLEYLGKQTHDSLLFNHYEIWFGFRRFKT >fgenesh1_pm.C_scaffold_3000405 pep chromosome:v.1.0:3:1938387:1940081:-1 gene:fgenesh1_pm.C_scaffold_3000405 transcript:fgenesh1_pm.C_scaffold_3000405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L3S1] MKKHYKPILSQLENCRSMVELNQLHGLMIKSSVIRNVIPLSRLIDFCTTCPETMNLSYARSVFESIDCPSVYIWNSMIRGYSNSPNPDKALIFYQEMLRKGYSPDYFTFPYVLKACSGLRDIQFGSCVHGFVVKTGFEVNMYVSTCLLHMYMCCGEVNWGLRVFEDIPKLNVVAWGSLISGFVNNNRFSDAIEAFREMQSIGVKPNETIMVDLLVACGRCFDPYCQSKFEFNVILATSLIDMYAKCGDMRTARYLFDGMPERNLVSWNSIITGYSQNGDAEEAMCMFSDMLDLGIAPDKVTFFSVIRASMIQGCSQLGQTIHAYVSKTGFVKDAAIVCALVNMYAKTGNAESAKKMFEDLEKKDTIAWTVVIIGLASHGHGNKALSIFQRMQEEGNATPDGITYLGVLYACSHIGLVEEGQRYFAEMRDLYGLEPTVEHYGCMVDILSRAGRFEEAERLVKTMPVQPTANIWGALLNGCEIHENLDLADRIRSMVSESEELGSGIYVLLSNIYAKAGRWADVKLIRESMKSKRVDKLLAHSSVETMF >fgenesh1_pm.C_scaffold_3000421 pep chromosome:v.1.0:3:2041418:2044523:1 gene:fgenesh1_pm.C_scaffold_3000421 transcript:fgenesh1_pm.C_scaffold_3000421 gene_biotype:protein_coding transcript_biotype:protein_coding description:PWWP domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L3U3] MEKNRVPDYKSYLSEFDHYVASEKMGSGNCKALCYGFEVGDMVWGKVKSHPWWPGQIFNEAFASPSVRRMKKMGYVLVAFFGDNSYGWFDPAELLPFEPHVAENSQQTSSGHFAKAVEEAMDELGRRSALGLTCKCRNQYNFGPTNVQGYFAVDVPDYDLQAVYSSKQIQKARDSFSSVQTLAFVKRCALAPQECDTDSLKSFQKKVAVCAFRRAVFEEFDETYEQAFGARSVYCLVKTHEPFNRAPLRVPLTGSLVSAETLGNPKSSTKAMNVKDSTKHEKNLPKRREGAGDMTVQFGQVQESSQIQGSNRSSAGDHVLQRRTPHTQTPRKHEQTGLVSMNFTSSSGNIPGKKSSVSKLSRDDDKGFTHEKFKAVKCLKQEETGTNSRSNEGSLQPFIGGKFSAGVGIKKGNVVKRSSGEMESENGPPEPKKKKKESVSELNRDTPDKRKALSSGESWAKKSSQVDSAKRHSNRLIVRNSKLDGLQMLSNLQALSLDYFFGSSDRSSIRAVRQFFLHFRSHVYQKSLATSPFTTVLSKSAKTLCRTNEPSKAGRNRISSENQQDVPSTKKLKKTIQFKPMASDKKTKQEATKRSTLATFNPVRDQGGPVPINAKPAIVQSEKKKAPSAMVVEPTMLVMMFPPGTSLPSTALLKARFGRFGQLDQSAIRVSWKSSICRVIFKYKLDAQTALRYASGSNSIFGNVNVTYFLRDMKASSASGDHEQKKAKADEPIIEPLNQWLEKAPPVHQPNIQLKSCLKKPGNNGNGNHRTVRVKFMLGEETETPFSVSGRNNGNYASSSSSSVAMEYVSENTQNMVPSTLPPILPLSSQDSEPKPVNNQVNHVEPPINPSQLTVDISLQMMELLTRCNDVVSNVTCLLGYVPYHFL >fgenesh1_pm.C_scaffold_3000422 pep chromosome:v.1.0:3:2067444:2070378:-1 gene:fgenesh1_pm.C_scaffold_3000422 transcript:fgenesh1_pm.C_scaffold_3000422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:D7L3V0] MVYFRQIFLMIIVVSLHCCKGRFFCIVADAKELDDWKVFTVVNGERYRTQVGKYAGEDGGEKIKLRVLEKFRALLDLIKPSTSRRRNLAKAASFSPWPAPSPSPFPSGGPIESPAYPPAPPRPIPPHLRRPLAQRTHPLKEPEIQRGKHEKGGTLKKILVPVVASTASGIGFVVCVVGVFCLCARRKRKKNGKTLSFKRKKGKPQNSTRKVSVNPTLDFLYLNSLGVDLERQNSVSVKEIRETEKDLNDNNSGLLEEEVKRSIETEISQDWDNASSYSTKEIVSVHENDDEQTVNSVSVPPVVINGCNDSSDDDESFHSVGGGSQYSNPRLSNASSASGSVNVGSSQRFSEHKLDIPECSRNDFGVSVSAPPPPPPPPPPPLPQFSNKRLHTLSSPETANLQTLSSQLCEKLCASSSKTSFPINVLNSQENGSKSLPHPPPPPPLPPQQLQVAGMNKTPPPPLSLDFSERRPLGKDGAPLPKLKPLHWDKVRATPDRTMVWDKLRTSSFELDEEMIESLFGYTMQSSTKNEEGKSKTPSPGKHLLEPKRLQNFTILLKALNATADQICSALGKGEGLCLQQLEALVKMVPTKEEELKLCSYKGAVDELGSAEKFLRALVGVPFAFQRAEAMLYRETFEDEVVHLRNSFSMLEEACKELKSSRLFLKLLEAVLKTGNRMNVGTIRGGAKAFKLDALLKLSDVKGTDGKTTLLHFVVQEISRSEGIRVSDSIMGRIMNQRSNKNRTPEEKEEDYRRMGLDLVSGLNTELRNVKKTATIDLEGLVSSVSNLRDGLGQLRCLASEKLKGDEENRAFVSSMSSFLRYGEKSLEELREDEKRIMERVGEIAEYFHGDVRGDEKNPLRIFVIVRDFLGMLDHVCRELRCVRVPNSPSPLAPFR >fgenesh1_pm.C_scaffold_3000426 pep chromosome:v.1.0:3:2084709:2087240:1 gene:fgenesh1_pm.C_scaffold_3000426 transcript:fgenesh1_pm.C_scaffold_3000426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7L3V4] MGIQFVDKADLWKSALLLNLKLRDRFRIAVDDHRGRAAVFSPDGYFSSTIHRWVTRFRNFRRESLPSPPAFYRRRVSKDLTAEEESALFRMLQAVAVPLIGNACHVFMNGFNRVQVYGLEKLHDALLNRPKNKPLVTVSNHVASVDDPFVIASLLPPKLLLDARNLRWTLCATDRCFKNPVTSAFFRSVKVLPVSRGEGIYQQGMDIAISKLNSGGWVHIFPEGSRSRDGGKTMGSAKRGIGRLILDADTLPMVVPFVHTGMQDIMPVGASVPRIGKTVTVIIGDPIHFNDILSTEGAKHVSRKHLYDAVSSRIGQRLYDLKVQVDRVSLEQQSMLSHDANTSSDHAAEILHRVDWDSFGMGAQFSEESSASSIKQIAQTDDGNFRSPRRRVSSEGGVSLKIKKFMDSTEMMGFAARGLLMNEYKSWGESANVGQIRPLKAWREYLEVNLMNRGLLEENTC >fgenesh1_pm.C_scaffold_3000436 pep chromosome:v.1.0:3:2115679:2116909:-1 gene:fgenesh1_pm.C_scaffold_3000436 transcript:fgenesh1_pm.C_scaffold_3000436 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIDHRMVSVNGITMHIAEKGPKEGPVVLLLHGFPDLWYTWRHQICGLSSLGYRAVAPDLRGYGDSDSPESFSEYTCLNVVGDLVALLDSVAGDQEKVFLVGHDWGAIIGWFLCLFRPEKIKGFVCLSVPYRSRNPLVKPVQGFKAVFGDDYYICRFQEPGKTEAEIASADPRIFLRNLFTGRSLGPPILPKDNPFGENPNPNSENIELPEWFSKKDLDFYVSKFEKTGFTGGLNYYRAMDLNWELTTPWTGAKVQVPVKFMTGDFDMVYTTPGMKEYIHGGGFSADVPTLQEIVVIEDAGHFVNQEKPQEVTAHINDFFTKLQDNNKSF >fgenesh1_pm.C_scaffold_3000465 pep chromosome:v.1.0:3:2292192:2292958:-1 gene:fgenesh1_pm.C_scaffold_3000465 transcript:fgenesh1_pm.C_scaffold_3000465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low temperature and salt responsive protein LTI6B [Source:UniProtKB/TrEMBL;Acc:D7L4P4] MSTATFVEIILAIILPPLGVFLKFGCKVEFWICLILTLFGYLPGILYALYIITKRNRCFVVLFSLWD >fgenesh1_pm.C_scaffold_3000472 pep chromosome:v.1.0:3:2325146:2328356:1 gene:fgenesh1_pm.C_scaffold_3000472 transcript:fgenesh1_pm.C_scaffold_3000472 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:UniProtKB/TrEMBL;Acc:D7L4Q3] MTAPVLVLKDSLKRESGTKVHHANIQASKSVSDILRTTLGPSSMLKMILDAGGGIVVTNDGNAILREIDVAHPAAKSMIELSRTQDEEVGDGTTSVILVNCYMLLNHFLRRVTIPQSFAKLIIRLSRMPWLFLNRLPCQSMSMTASATVLGLVKSCIATKFTSKFGDLIADLAIDATTTIGVDLGQGLREVDIKKYIKVEKVPGGQLEDSKVLKGVMFNKDVVAPGKMKRKIVNPQIILLDCPLEYKKGENQTNAELVREEDWEVLLKLEEEYIENICLQILKFKPDLVITEKGLSDLACHYFSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDIGTNVGLFEVKKIGDDFFAFIVDCKEPKACTVLLRGPSKDLLNEVERNLQDAMSVSRNIIKNPKLVPGGGATELTVSATLKQKSATIEGIEKWPYEAAAIAFEVIPRTLAQNCGVNVIRTMTTLQGKHANGENAWTGIDGVTGAIADMKEKKIWDAYNVKSQTIDDIVSGIKKKQAPGAGPTKPTIETEGDAENEQILPD >fgenesh1_pm.C_scaffold_3000473 pep chromosome:v.1.0:3:2329099:2330858:-1 gene:fgenesh1_pm.C_scaffold_3000473 transcript:fgenesh1_pm.C_scaffold_3000473 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVSNANAPAFEAKMTVYVFICVMIAAVGGLIFGYDIGISGGVSAMDDFLKEFFPAVWERKKHVHENNYCKYDNQFLQLFTSSLYLAALVASFFASATCSKLGRRPTMQFASIFFLIGVGLTAGAVNLVMLIIGRLFLGFGVGFGNQAVPLFLSEIAPAQLRGGLNIVFQLMVTIGILIANIVNYFTATVHPYGWRIALGGAGIPAVILLFGSLLIIETPTSLIERNKNEEGKEALRKIRGVDDINDEYESIVHACDIASQVKDPYRKLLKPASRPPFIIGMLLQLFQQFTGINAIMFYAPVLFQTVGFGSDAALLSAVITGTINVLATFVGIYLVDKTGRRFLLLQSSVHMLICQLIIGIILAKDLGITGTLGRPQALVVVIFVCVYVMGFAWSWGPLGWLIPSETFPLETRSAGFAVAVSCNMFFTFVIAQAFLSMLCGMRSGIFFFFSAWIIVMGLFAFFFIPETKGIAIDDMRESVWKPHWFWKRYMLPEDDHHDVEKRNA >fgenesh1_pm.C_scaffold_3000478 pep chromosome:v.1.0:3:2347206:2351703:1 gene:fgenesh1_pm.C_scaffold_3000478 transcript:fgenesh1_pm.C_scaffold_3000478 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPEKLDDRTFPERKGQKRKLEEGDEREISAVATDGGEALLRVVATQVSVLSSTLSWKEADRTAAKRAIQILAELAKNEDFVDVIVEGGAVPLLVEHLQAPPYGDGALKPLEHEVEKGSALALGYLAIKPEHQKLIIDYGALPHLLNLLKRNKNGSSSRSVLRRAADAIINLAHENNTIKNLVRLEGGIPPLVELLEFADSKVQRAAAGALRTLAFKNDVNKNQIVDCNALPMLILLLGSEDATVHYEAVGVLGNLVHSSLNIKKKVLDARALQPVISLLSSCCPESRREAALLIGQFAASDSDCKAHIVQRGAVCPLIEMLESPEVKLKEMSAFALGRLAQDSHNQAGIAHKGALGPLLKLLESENISLQRKAAFALYGLADNEDNVSAFISVGGVQKLQEGKFIVQAIKDCVSKTVKRLEGKIQGRVLTHLLYLMRNSDKLIQRRVALALALLCSPEDQRTIFLLLGLLDSTNAKQQLDSAVALYNLANRSMALSLVDAAPPSPTQMVYLGEKYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIQWEVFELMMRFLYTGSVNITKEIAEDLLRAADQYLLEGLKRLCEYIIGQDITVENIGSKYDLSEAFNAKSLKQTCILFILKHFDKLSLKPGTNQLVQRTIPEIRQFMYRVLNKTTNL >fgenesh1_pm.C_scaffold_3000482 pep chromosome:v.1.0:3:2398173:2402025:-1 gene:fgenesh1_pm.C_scaffold_3000482 transcript:fgenesh1_pm.C_scaffold_3000482 gene_biotype:protein_coding transcript_biotype:protein_coding MQDILGSVRRSLVFRSSFAGDDGSSGGGLSGFVGKINSSIRSSRIGLFTKPPPGLPAPRKEEAPSIRWRKGELIGCGAFGRVYMGMNLDSGELLAIKQVLIAPSSASKEKTQGHIRELEEEVQLLKNLSHPNIVRYLGTVRESDSLNILMEFVPGGSISSLLEKFGSFPEPVIIMYTKQLLLGLEYLHNNGIMHRDIKGANILVDNKGCIRLADFGASKKVVELATVNGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSEQYQQFAAVLHIGRTKAHPPIPEDLSPEAKDFLLKCLHKEPSLRLSATELIQHPFVTGKRQESLSAYRNLLTECGNPITTEGVNVRSSINSLIRRSTCSGLKDVCELGSLRSSIIYPQKSNNSGFGWRDGDSDDLCQTDMDDLCNIESVRNNVLSQSTDLNKSFNPMCDSTDNWSCKFDESPEVMKSKSNLLSYQAAELKTGVPCDEETSLTFAGGSSVAEDDYKATELKIKSFLDEKAQDLKRLQTPLLEEFHNAMKPGTPQGALGDTNSYNLPNLPTISKSPKRLPSRRLSAISDAMPSPLKSSKRTLNTSRVMQPGTEPTQVNESTKKGVTNSRCFSEIRRKWEEELYEELERHRGKESATCCCRREDSIVSPQRIVNG >fgenesh1_pm.C_scaffold_3000492 pep chromosome:v.1.0:3:2445114:2449334:-1 gene:fgenesh1_pm.C_scaffold_3000492 transcript:fgenesh1_pm.C_scaffold_3000492 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKTSKMKFTKEMIESIKDKLPRLSFLLLFLRQCYGGCGDRTHLLPCSIATTSTRFWNLSDRPVELQIRVGSILKRVHTLKPGRSKRLRHRSIHRAYVDDQEGRRWLYYDDTCLPYVWVHETGTDLSKMVKQQYVSLEDLRDYSEIRVFKDLQRGCVSVEKRDRASAFLSASSCSAFCLISFFPIVILSPMGISFSNNNRRRDNNNNRRHLHHYPPPPYYYLDPPPPPPPPFPPHYDYNYSNYHLSQPLPPQPQINSCSYGHYHYHHQPPQYFTTAQPNWWGPMVRPAYYGPPQPQAQTQPLPPPFVEQQNAKKVRNDVNVHRDTVRLGVDDLVPGHHLVSFVFDALFDGSFTITFFAKEEPNCTIIPQFPEVYSPTRFHFQKGPGQKFLQPSGTGTDLSFFALDDLSRPLQEDVYPLVISAETVISPNSISEQSSVHKQVTQAVLEKDNDGSFKVKVVKQILWIEGVRYELRELYGSTTQGAASGLEDSGSGKECVICMTEAKDTAVLPCRHLCMCSDCAKELRLQSNKCPICRQPIEELLEIKVNSSDEQH >fgenesh1_pm.C_scaffold_3000494 pep chromosome:v.1.0:3:2455453:2458855:1 gene:fgenesh1_pm.C_scaffold_3000494 transcript:fgenesh1_pm.C_scaffold_3000494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein [Source:UniProtKB/TrEMBL;Acc:D7L4S8] MWATKSRRCAVHTSSMASSDVLPRFFTVFLSQYSSESMVIPRSYYEHLPRRLPKTAILVGTGGRFWKVAMTSRREQVYFEQGWGNFVADNELKDGEFLTFVFDGHKSYEVSIYGRGDCKETRAVIQVEEISDDDTEDYSVSLHSLNNVSLHSLDNDSLQADVEIESDSLMADVEIESDSLQVDAEIESDSDYSPENPDTASTSVESVEVVNPTTSMTSRQRSYKKKTIENPELYLDDPNNICFETCLKLRKFELLVDAQFVKDYSLKFGDQVDYIDGYGKLTATMTKWADQRVCIKKWQKICDRNSLTENDSILCEVLRNEDKVVYAIKIHIFRDAAAAST >fgenesh1_pm.C_scaffold_3000500 pep chromosome:v.1.0:3:2476385:2477309:-1 gene:fgenesh1_pm.C_scaffold_3000500 transcript:fgenesh1_pm.C_scaffold_3000500 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDVLPRFYTVFLSNVSSNSLKLIPRVYYEHLPRWLPKTAILTGTGGRFWKVAMMSKREQVYFEQGWGNFVADNDLKDGEFLTFVFDGHKSYEVSIYGRGECKETRAVIQVEEISDETESDNDSLGSLVDVTPMPVEENSDDTEGDNDSGDSVVDVTPMPVEEISDASENDSS >fgenesh1_pm.C_scaffold_3000516 pep chromosome:v.1.0:3:2560774:2562313:-1 gene:fgenesh1_pm.C_scaffold_3000516 transcript:fgenesh1_pm.C_scaffold_3000516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:D7L5G5] MEVSVIGNPQGRICRVELAERVNRFCIGSEFISINARTHVSFYGETRRRNEINLCFSQCSIRCEAVVSDKSPLLKSTPRRTRSRKIIRCASFGYSFRSNTVNHTKAIAAGLKALKLLGVEGVDLPIFWGVAETESPGNNQWSGYLAIAEMVKKTGFKLHVSLCFHGSKQPGLSLPDWVTHRSGSQYKDCLSFAVDDVHVLDDGELRYPSHQTRKLSDGAGEFQCYDKYMLVALKYMLWGLSGPHDAPSYDQRPNSAPFFSDGGSWESEYGDFFLAWYSSLLVSHADRVLSLASSVFSGTGLPLCGKLPLLHQWHKLRSRPSESTAGFYCNGDNDRYEAVAETFAKNSCRMILPGMDLSDEYQSPKSLSSPESLLAHIKTCCKKHGVVVSGQNSSEPNLGGFEKIKENLKDENAAIDLFTYQRMGALFFSPDHFHAFTEFVRNLSQFKQPEDDL >fgenesh1_pm.C_scaffold_3000537 pep chromosome:v.1.0:3:2732950:2734097:-1 gene:fgenesh1_pm.C_scaffold_3000537 transcript:fgenesh1_pm.C_scaffold_3000537 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPEKKRKTAASTPQSQPTSIQSLPDDLVLSIVARVPRLYHHTLSLVCKSFRSLLVSPELYEARSLSGHIESCLYLCIGCDTDYRMFTLCRKPDQTLTSEEEKKKSNGYVLAPVPAPDSYPAYFSSLVAVGSDIYNIAGSHGSSRVSILDCRSNTWREAPSLGVELTSVSATVIDRKIYVVGRYTDEESIFKKTSLRCWTQKHKLGILSPSIAARHKTSFSPAEPHLLTEKAVAYNSKESRWDPVQTKLACIMLKDSHCQIGNVMYCSVDGRIRWCDTEVSSWRLVKGLLELGNFPCGPFRVKLADYRGNIAVFWVKNFPDDNQRKMILCAEIALERRTSFEIWGKVLWFDHVLTVPTDYELIKALFLNA >fgenesh1_pm.C_scaffold_3000575 pep chromosome:v.1.0:3:2923714:2925322:-1 gene:fgenesh1_pm.C_scaffold_3000575 transcript:fgenesh1_pm.C_scaffold_3000575 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTSENNNHDTASRKIFVGSLAWQTTTEDLRRFFEQFGEVIDANVVCETYPGRSKGYGFVTFKDAVSAARALENPRPVIDGRTTNCNLASLRVKQNMNQPHKNELLNQVRPPHQYQPGLQHLIPYCTRVIWDSVSGQYRYMYNNPCYPFPTQMVHYNYNYACITQPNSHQTNRKPVVISAPQKSSAPRHIINEIDQRAVPPKSSQELIVDDDNKEVVTKPDSDADQQRATGQAGDNIGKDGDIKQDAMNQEGKINGQEYGMKQAVYAAIDDIHKFFMNEVTCEQKHDTNQDEDVTKEVLDNGIKVTHQDEDVQVAEDTL >fgenesh1_pm.C_scaffold_3000577 pep chromosome:v.1.0:3:2929812:2930140:1 gene:fgenesh1_pm.C_scaffold_3000577 transcript:fgenesh1_pm.C_scaffold_3000577 gene_biotype:protein_coding transcript_biotype:protein_coding MNCKIGFMSFVVMTSLVILFLLVSGKVEAKPKCIGSCEILGDCGIACMKKGYLFGQCVGWSNPNTCCCGH >fgenesh1_pm.C_scaffold_3000600 pep chromosome:v.1.0:3:3061771:3063257:1 gene:fgenesh1_pm.C_scaffold_3000600 transcript:fgenesh1_pm.C_scaffold_3000600 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVGSGSDVEVGFAKLQGEDFEYYMQSYSIILGRNSKKATVDVDLSSLGGGMNISRNHARIFYDFTRRRFSLEVLGKNGCLVEGVLHLPGNPNVKLDSQDLLQIGDKEFYFLLPVRSILGGPLGPRHHVSGQTSVVPYHNYHSGPGSGSGKKAVRSRELYEYDDDDDDGEDIRGSGKKTRRDGYEVVYASGEKKRSKVDREADDQQFLQLEEKDVVSSVATVLSDLCGPGDWMPMEKLHSVILKEYGNVWHHSRVRRYLTQEDWAIPEAKGKPWYGLLMLLRKYPEHFVINTRSKGRVTLEFVSLVSLLP >fgenesh1_pm.C_scaffold_3000605 pep chromosome:v.1.0:3:3086762:3088333:-1 gene:fgenesh1_pm.C_scaffold_3000605 transcript:fgenesh1_pm.C_scaffold_3000605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7L6J2] MRLLHLLALSLLISVSGAKFSGRPGVNYGQLGNNLPSPADSVNLIKSLNAKRVKLYDANPKILTALNGTDITVSVMVPNELIVNISKSESLSDDWIRSNILPFYPTTKIRYLLVGNEILSFPDSELKSSLVPAMRKIQRSLKSLGVKKVKVGTTLAVDVLQSSFPPSSGEFRSDISGLVMKPMLQFLNRTKSFLFVDVYPYFAWAQDPTHVDLDYAIFESSNVTVTDPVTNLTYHNLFDQMIDAFVFAMKRLGYPDLRIWVAETGWPNNGDYDQIGANIYNAATYNRNVVKKLAADPPVGTPARPGKVLPAFVFALYNENQKTGPGTERHFGLLHPNGTQVYGIDLSGKTTEYKESLPAPENNEFYKGKIWCVVAKGANWTQLGDALSYACSQGNNTCDPIQRGGPCHKPDLTVLHASYAFSSYWAQFRKTGGTCSFNGLATQTIKDPSYGRCEFPSVTL >fgenesh1_pm.C_scaffold_3000667 pep chromosome:v.1.0:3:3339219:3339971:-1 gene:fgenesh1_pm.C_scaffold_3000667 transcript:fgenesh1_pm.C_scaffold_3000667 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGIELLVRRVSAIPQHSISSSSHFLPQFCTSSSASPSSKLFIGGLSCSVDEQSLKDAFSSFGEVAEVRIAYDKGSGRSRGFGFVDFAEEGDALSAKDAMDGKGLLGRPLRISFALERVRGGPVVVPRLGKSKRDRERVFK >fgenesh1_pm.C_scaffold_3000678 pep chromosome:v.1.0:3:3389328:3390370:1 gene:fgenesh1_pm.C_scaffold_3000678 transcript:fgenesh1_pm.C_scaffold_3000678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar H+-ATPase subunit E isoform 2 [Source:UniProtKB/TrEMBL;Acc:D7L753] MNDADVSKQIQQMVRFIRQEAEEKANEISISAEEEFNIERLQLLESAKRKLRQDYDRKLKQVDIRKRIDYSTQLNASRIKYLQAQDDVVTAMKASAAKDLLRVSNDKNNYKKLLKSLIIESLLRLKEPSVLLRCREMDKKVVESVIEDAKRLYAEKAKVGSPKITIDDKVFLPPPPNPKLPDSHDPHCSGGVVLASQDGKIVCENTLDARLDVAFRQKLPQIRTRLVGAPETSRA >fgenesh1_pm.C_scaffold_3000683 pep chromosome:v.1.0:3:3414707:3415231:1 gene:fgenesh1_pm.C_scaffold_3000683 transcript:fgenesh1_pm.C_scaffold_3000683 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSVKNRFEQMMTFKSVFGFLFDSLKLKSLDESELKEHCINFHKTFSHDNVSDVDFNDFFSELKVLQMCLSQVSMTPSEVLEFVENVGCYPNVSIAYRILLTTPVTVASAERSFSKLKLNGLAILCIEKSLLESIDFETVIHEFASTRARQNRFFIPK >fgenesh1_pm.C_scaffold_3000700 pep chromosome:v.1.0:3:3475616:3476870:-1 gene:fgenesh1_pm.C_scaffold_3000700 transcript:fgenesh1_pm.C_scaffold_3000700 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPERKRKKTRWSTPHSTPNPSLPDDLLLSIFARVSRLYYPTLSLSFRSLLASPELYKARSLSANTESCLYVCLRCCSGYRWFTLCRKPDQTITNNKERKSSGYALARVPIPGGSPNVRSSSLVAVGSDIYNICGSINKASPSSSVSILDCRSHKWREAPSLPVELCSVSASVLDQKIYVAGCYNQVQGNGYPDSRKNSFEVGSEVAYNSKEGRWDSKELGMGGFMFSDSYCEIDNVLYSLSDGAFRWYDTEVREWKDLNGLFQLPKFSASACVRLADYGGKMAVLWDQLPYHYGYQKEIHCAVVERPTRYEMWGKSCEIWGKVEWCDLMLTVPTSYVLVKVLAATL >fgenesh1_pm.C_scaffold_3000708 pep chromosome:v.1.0:3:3509534:3511031:-1 gene:fgenesh1_pm.C_scaffold_3000708 transcript:fgenesh1_pm.C_scaffold_3000708 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLYSSVKPTPMLKDELDIVIPTIRNLDFLQMWRPFFEQYHLIIVQDGDPSKVINIPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPTGKEINALEQHIKNLLSPSTPHFFNTLYDPYRDGADFVRGYPFSMRKGAITAVSHGLWLNIPDYDAPTQLVKPLEKNSRKFDLPLRLPILYVDAVMTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHMGWGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEEAIPFFQSVTLPKECTSVQQCYLELAKLVKEKLGKVDPYFITLATGMVTWIEAWEELNSEERTEAKAPNGNKE >fgenesh1_pm.C_scaffold_3000716 pep chromosome:v.1.0:3:3541299:3547808:-1 gene:fgenesh1_pm.C_scaffold_3000716 transcript:fgenesh1_pm.C_scaffold_3000716 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASDLPAMYTLLANSMSGDETVRRPAEAALSQSESRPGFCSCLMEVIASKDLVSHVDVRLMASVYFKNSINRHWKNRRNSWSMSNEEKSHLRQKLLSHLREENYQISEMLAVLISKIARFDYPREWPDLFSVLAQQLHSADVLASHRIFLILFRTLKELSTKRLAADQRTFAEISSQFFDFSWHLWQTDVQTILHGFSTMAQSYGSNSAEQHHDELFLTCERWFLCLKIVRQLIISGFQRDAKYIQEIQPVKEVSPALLNAVQSFLPYYSSFQNRDPKFWEFVKKACVKLMKVLGAIQSRHPFSFGDKCVLPVVVDFCLNKITDPEQSLLPFEEFFIQCMVMVKSVLECKEYKPSLTGRVMDDNGVTFEQRKKNASNAVGGIVSSLLPNERIVLLCNILVRRYFVLTASDLEEWYQNPESFHHEQDMIQWTEKLRPCAEALYMVLFENYSQLLGPIVVSILQEAMNNCPPSVTEITPALLLKDAAYAATAYVYYELSNYLNFRDWFNGALSLELSNDHPNRRIIHRKVAMILGHWVSEIKDDTKRAVYCSLIKLLQDNDLAVKLAASRSLCLHVEDANFSEQSFLDLLPICWESCFKMVEEVREFDSKVQVLNLISTLIGHVSEVLPYAQKLVQFFQAVWEESSGESLLQIQLLVALRNFVIALGYQSPICYSILLPILQKGIDINSPDSLNLLEDSMALWETTLSYAPMMVPQLLACFPYMVEIIERSFDHLQVAVSIMESYIILDGGEFLNMHASNVAKILDLIVGNVNDKGLLSILPVIDILVQCFPVEVPPLISSCLQKLVIISLSGGDDRDPSKTAVKASSAAILARILVMNTTYLAQLTSDSSLSVLLQQAGVPVEDNILLCLIDIWLDKVDHASPMQKKTFALALSIILTLRMPQVLDKLDQILSTCTSVILGENKELTEEETSGDMSSSRSQGEETPPSKELRKSQIKVSDPIYQMSLEKSTRENLQTCSTLHGDAFNSAISRMHPSALAQVKQALKLP >fgenesh1_pm.C_scaffold_3000737 pep chromosome:v.1.0:3:3646571:3647556:-1 gene:fgenesh1_pm.C_scaffold_3000737 transcript:fgenesh1_pm.C_scaffold_3000737 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQVFDKSVALFGDSKLVNVSSSIQLTDSVSQSEGRVFYRKPIHLFKGKERNSVIFSTYFSFSMPNEIGDVLAFVMVPSSLDLSLFGKKDYSSSALGFLLEYAKNETVVAFEFDISKRGNRARILIGRPESAKIRNLSFVGDLMMNNGGTLNCMIEYEASSKRMMVRFRKPGSIKLLDPFFSFSVDLEKTWKGGEVMVGLSSANGNSSKPHFLYSWSFEIRHLDPIWVQPTPFGPNEGLKPDVSTEVEEGRERSECIWRMLGTLVLGAVCGALGAMSAMYLWTICGVRRSMAVVPEECAVSIVVVAVKDGKK >fgenesh1_pm.C_scaffold_3000742 pep chromosome:v.1.0:3:3660132:3662703:-1 gene:fgenesh1_pm.C_scaffold_3000742 transcript:fgenesh1_pm.C_scaffold_3000742 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICFKPWRRSPSPSITSTVTVIDDLGKIRRIRQQPLLFLHNNEEDEGSTCPIFREFSLEQLRVATDGFSAGNIVSEHNERVPNIVYKGKLKDGRKIAVKRFQRLSWPDSFEFTEEAQAVGRCRSEHMANLIGCCSEGHERLLVAEYMPNGTLAKHLFHWEKRPMKWEMRLRVALHTATALEYCNDMGIDLYHDLNTYRILFDKVGNPRLSCFGLMKCSREGKSYSTNLAFAPPEYLRLGTVIPESVTFSFGTLLLDLMSGRHIPPNHALDLFRGKNYLVLMDSALDGQFSDEDRTELIHLASRCFRPKPDERPSIKFLMSALSRLEKRAELWPNVNEENIPTPSDTKPATKEPLRLTPFGEACWRVDLSGIHELLEKLGYGEDDVVVTNEFSFQMWTGQMQENMDYKKHGDAAFRAKDFETAIEFYTEFMSGAPVVSPTVLARRCLCYLMSYMFREALSDAMQAQVASPEFSIALYLQSACLLKLGMEAEAKEALRHGSSLEAF >fgenesh1_pm.C_scaffold_3000755 pep chromosome:v.1.0:3:3719018:3723647:1 gene:fgenesh1_pm.C_scaffold_3000755 transcript:fgenesh1_pm.C_scaffold_3000755 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription factor [Source:UniProtKB/TrEMBL;Acc:D7L7U4] MVWCNHCVKNVPGIRPYDGALACNLCGRILENFNFSTEVTFVKNAAGQSQASGNIVSSVQSGIPSSRERRYRIARDEFTNLRDALGIGDERADVIDMAVLFFKSAVEQNFTKGRRTELVQASCLYLTCRELNVPFLLIDFSSYLRVSVYELGSVYLQLCEMLYIADNQNYEKLVDPSIFIDRFSNILLKGTHNKAVVKTAIAIIASMKRDWIQTGRKPSGICGAALYTAALSHGIKCSKSDIVNIVHICEATLTKRLIEFGNTESGNLNVDEITERESHKRSSTMKPTSNKEAVLCMHQDSKPFGYGLCKDCYEDFINVSGGLVGGSDPPAFQRAENERMEKAAREENEGGISSLNHDEQLYSDYCSMSKSEKLFSEKGERNKDGDEEHADTSDESDNFSDISDDEVDGYINNEEETHYKTITWTEMNKDYLEEQAAKEAALKAASEALKASNSNCPEDARKAFEAAKADAAKSRKEKQQKKAEEAKNAAPPATAMEAVRRTLEKKRLSLVINYDVLEELFDTSTAEKSPKRSKTETDIEKKKEVKSNEHEKGENEDEAEEDEEEGSVESYDMNTDFQNGEKFYEEDEGEEEDGNDFGLY >fgenesh1_pm.C_scaffold_3000767 pep chromosome:v.1.0:3:3761860:3764895:-1 gene:fgenesh1_pm.C_scaffold_3000767 transcript:fgenesh1_pm.C_scaffold_3000767 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNDEEAPLVSASGEDRKFRAGKSYTRDVHILSISFLLIFLAYGAAQNLETTVNKDLGTISLGILYVSFMFCSMVASLVVRLMGSKNALVLGTTGYWLFVAANLKPSWFTMVPASLYLGFAASIIWVGQGTYLTSIARSHAKDHGLHEGSVIGVFNGEFWAMFACHQLFGNLITLALLKDGKEGSTSGTTLLMLVFLFSMTLGTILMFFIRKIDGEDGKEPVGSPVGLVDSLVSLPRMIITPLLDIRMLLIVPLLAYSGLQQAFVWAEFTKEIVTPAIGVSGVGGAMAVYGALDAVCSMTAGRFTSGLSSITFIISGGAVAQASVFLWLLLGYRQTSGVLGTAYPLIMAAILGIGDGILNTQISALLALLFKHDTEGAFAQLKVWQSAAIAIVFFLSPYISLQAMLIVMLVMICVSLLSFLFLALKVENVFTHNE >fgenesh1_pm.C_scaffold_3000775 pep chromosome:v.1.0:3:3784577:3788213:-1 gene:fgenesh1_pm.C_scaffold_3000775 transcript:fgenesh1_pm.C_scaffold_3000775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipin family protein [Source:UniProtKB/TrEMBL;Acc:D7L899] MTTLVGRVGNLISQGVYSVATPFHPFGGAIDVIVVQQQDGSFRSTPWYVRFGKFQGVLKGAEKFVKISVNGTEADFHMYLDNSGEAYFIREVDPANNDTNSLISGSENNNGNVGYRLEHSLSDSGAGELREGFESLNRLERTESDCNRRFYDFQDDPPSPTSEYGSARFDNLNVESYGDSQGSDSEVVLVSIDGHILTAPVSAAEQEAENLRLNTPQFHLAPGDGTEFCEGNTEFASSETPWDTEYIAKVEESSDTANIVSEILDTTNDERNDLDSHDNAEKDSHDAERDILGSCLEQSETGENAKSGEPGSTFEDLNLKEGDFPLSTITENDRSEDEVTIETVDTLVDSFESSTTPVTAEEMKTTEESRISVDFNADSECKDEQPRTSAETAILINNQEGGIIESEDQNSERVSIDSTREEVEQLTPSKPTDKDNENGTTVVSVDATSSVDLGKPDTVQRYELSLCKDELRQGMGLSAAAEVFDAHRISMEEYINSATSILESENLVVRIRETYMPWTKAARIVLGKAVFDLDLDIQPDDVISVEENESPKPKDDETTITPSSSGRRWTLWPIPFRRVKTVEHTGSNSSSEEDLFVDSEPGLQNSPETQSTTESRHESPRRQLVRTNVPTNEQIASLNLKDGQNMITFSFSTRVLGTQQVDAHIYRWRWDTKIVISDVDGTITKSDVLGQFMPFIGKDWTQSGVAKLFSAIKENGYQLLFLSARAIVQAYLTRSFLNNLKQDGKALPNGPVVISPDGLFPALYREVIRRAPHEFKIACLEDIRKLFPTDYNPFYAGFGNRDTDELSYRKLGIPKGKIFIINPKGEVATGHRIDVKKSYTSLHTLVNDMFPPTSLVEQEDYNPWNFWKLPIEEVD >fgenesh1_pm.C_scaffold_3000780 pep chromosome:v.1.0:3:3811120:3814002:-1 gene:fgenesh1_pm.C_scaffold_3000780 transcript:fgenesh1_pm.C_scaffold_3000780 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDNILKKNRRDRDRSKERRKEPRTIRCEKVKRSDFEKREDSEEREKLKEKEKMRRRRDRKSRDFDEEDERDKRRGEEKGRGQREHEIDRGNDRKRDRERREHEKERIKDEDDNGEKKIREEEVDDEELYKRRRRVQEWQKLKRQKEESESESKSPQTGKAWTLEGESDDEVKSELDMDFDGGDAKMVDLESGGDGPEEEEEIDPLDAYMNSKVLPEVEKLRSSSLETGDQQKEGLNKSLGRIIQGEDSDSDYSEPKSDDDPSLEEDDEEFMKRVKKTKAEKLSLVDHSKIEYEPFRKNFYIEVKDISRMTQDVVNAYRKELELKVHGKDVPRPIKAWHQTGLTSKILDTLKKLNYVKPMPIQAQALPIIMSGRDCIGIANTGSGKTLAFVLPMLRHIKDQPPIEAGDGPIGLVMAPTRELVQQIHSDIKRFAKALGVRCVAVYGGSGVAQQINELKRGTEIVVCTPGRMIDVLCTSSGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVETLARKVVDKPVEIQVGGRSVVNKDIIQLVEIRPESERFSRLLELLGEWYEKGKVLVFFRSQEKSLSEFKNNVCNLLIATSVAARGLDVKELELVVNFDPPNHYEDYVHRVGRTGRAGRKGCAVTFISEDDAKYAPDLVKALELSEQPVPDDVKAVADGFMAKVKQGTEQAHGTGYGGSGFKFNEDDEEVRKAAKKAQAKEYGFEEEESDSEDENDVVRNAGDDISQQQAPFAQIAAISAAASNAPVTANQLPPNGGGLATEPGVPPTDGSGRTAALVAAANLQHYLARIQADAMPEHYEAELEINDFPPNTLWKVTRKETLGPISEWSGAAITTRGKWCDAGLIPGPGERKLYLFIEGPTKESVKTAKAELKRVLEDITNQAFSLPGRAQPGRYSVL >fgenesh1_pm.C_scaffold_3000784 pep chromosome:v.1.0:3:3821732:3826691:-1 gene:fgenesh1_pm.C_scaffold_3000784 transcript:fgenesh1_pm.C_scaffold_3000784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance family protein [Source:UniProtKB/TrEMBL;Acc:D7L8B2] MGFYGGGNDGGSMTSGRRELTGIDSFGIGKVLAVYFKDNENLAIDEDKLLLTAELIRVFSTSPGRDLVSQVNDEGGGSFSLSLDLQQFKKISDIENFFINLEDNPKGVIPCMNAAVHKLLLGQWETNEFENGMKISVRLHNYPESSISLKNLRAAYIGKLVTVHGTVVKVSTVKPLVTQMAFDCGKCKTSITREFSDGKFSPPLKCDAHGCKSKTFTPIRSSAQTIDFQKIRVQELQKPEDHEEGRVPRTVECELMEDLVDTCIPGDVVTVTGIIGVINNYMDIGGGKSKTKNQGFYFLFIEAVSVKNTKRQSAFENSEDSSSSAQSADVGDLYSFSQRDLEFIVKFKEEYGSDTFRRILHSVCPSIYGHEIVKAGITLSLFGGVRKHSMDRNKVPVRGDIHVIIVGDPGLGKSQLLQAAAAISPRGIYVCGNATTKAGLTVAVVKDSMTNDYAFEAGAMVLADGGLCCIDEFDKMTTEHQALLEAMEQQCVSVAKAGLVASLSARTSVIAAANPVGGHYNRAKTVNENLKMSAALLSRFDLVFILLDKPDELLDKQVSEHIMSHHRMLDMQTCMQKGILYFQDCSWTLRKMTTFLQFLANCLGNIFLMHGLLMSKEAGEIIQKFYLKLRDHNTSADSTPITARQLESLVRLAQARARVDLREEITVQDAMDVVEIMKESLYDKLIDEHGIVDFGRSGGMSQQKEAKRFLSALDKQSELQQKDCFSVSEMYSLADRIGLRVPDIDTFLENLNIAGYLLKKGPKTYQVLSSSYSRSQSSRSR >fgenesh1_pm.C_scaffold_3000790 pep chromosome:v.1.0:3:3848974:3851048:1 gene:fgenesh1_pm.C_scaffold_3000790 transcript:fgenesh1_pm.C_scaffold_3000790 gene_biotype:protein_coding transcript_biotype:protein_coding MWQVLLGAAIAGSTGLVAKRFFNPFSRDSPTLEDYTEEQEPIAPPVSIGFLDSPFDKTNGVFRFSSSGSTVNSGSGSGSSPGFRKSSGVKCRVRVRGLMKKKKKISEGCEIEKREVCSKKTKTLGAASASKRGSSYSNQDHSSFSSALGVCMMYMMSAEKSEISKLHAATEETAKVIQELKDELSRIKSLQSFKFAATASSEKSGQINLSRSEMASRECLDIIKAGNDGEYASSVLTEEPEQEAVEMEQLEMELESELQKLNLAETSDVMEECKDLVNGAESYQCGGISASELDKKLSHLLIEQQEGQINELEAELQTTQSKLQEKEAELQALKVCVRRLTEFPLLDRSDDEHEEDLNQDLSVSWSQHNKTDNEVRKPIVGMKRPMESCIHA >fgenesh1_pm.C_scaffold_3000816 pep chromosome:v.1.0:3:3962555:3966983:-1 gene:fgenesh1_pm.C_scaffold_3000816 transcript:fgenesh1_pm.C_scaffold_3000816 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTRSSSRRSPCIGRSVSAEFDTSVDSYVSSSEAPSVRGRSLTASTDAAISAKGSPSSEASMNNSISLTLSTEGDSIGSGDERSEADTSADGYVSSGNGPTLHTSSVSSYNDDSAATSGDSTCAGATSVDRVVSESSSAGIQVMAELMLTLNCSTLSIFYRFWMGPLSMRWQPLRFSLHEFEQLTETLLGPHKNFTIKQIIKLLKADREMLGWQKLRLMVRNLEFFFSYPWGRHSFERTLRMIKVGKKVRRQSDITKKLKQRSLVMHGEDIQDFLVRGIAVLPKLKTFHTDNILAVENDASSSCDPSYTHLLPQKEFTYSRCKAIPQYAIDVYEEFIGKVVAR >fgenesh1_pm.C_scaffold_3000820 pep chromosome:v.1.0:3:4005379:4008018:-1 gene:fgenesh1_pm.C_scaffold_3000820 transcript:fgenesh1_pm.C_scaffold_3000820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L8U8] MASCDDDFSLLGDDQSNPNQHHHHHQVLHHTPYAPRRFNPKPSNQIHLPHHQRNGDEDDENDVVVEASSAFHGVGVNPFAGDENSNPYDDNNAGVDEDEDLDANRSRIGGVRVEKRQSQEELSDGGTTNGGETTPYGSFKRPRTSSSSAGEYRKDREEWSDAAIACLLDTYSDKFTQLNRGNLRGRDWEEVASSVSERCEKLSKSVEQCKNKIDNLKKRYKLERHRMTSGGTSASHWPWFKKMEDIVGNSLATKGASDEDRSGSSMGNTVKPARRYPLVTYNPGVQINNVKSKATSNPRWRRVVLKISGAALACTGPNNIDPKVINLIAREVAMACRLGVEVAIVVGSRNFFCGSTWITATGLDRTTAYHISMMASVMNSALLQSSLEKIGVQARLQTAISVQGVGEPYNRQRATRHLDKGRVVIFGGIGATLGNPLLSSDASAALRAIDINAEAVVKGTNVDGVYDCHSQDSNVTFEHISFQDLASRGLTSMDTMALNFCEENSIPAVVVFNFLEAGNITKALCGEQVGTMIDRSGRGVS >fgenesh1_pm.C_scaffold_3000835 pep chromosome:v.1.0:3:4068839:4069160:-1 gene:fgenesh1_pm.C_scaffold_3000835 transcript:fgenesh1_pm.C_scaffold_3000835 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISAFFVILFLVSSCMVTMSVGDICQTDRDCVEIGIPRCKRTGKMPICYNGYCSCFAKRPPASTTRNSPSSSTTKFV >fgenesh1_pm.C_scaffold_3000845 pep chromosome:v.1.0:3:4097812:4100698:1 gene:fgenesh1_pm.C_scaffold_3000845 transcript:fgenesh1_pm.C_scaffold_3000845 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYAVQFHLFVTCVLFLSREGFRRACLRANIKSSSDVTRLLKVAWLTFPLGIDITIAACIFVLWWQNLSYSDTYAQAILIFGSACVLELMAEPLYILSQTLMLLQLRLIVETVATISRCVILCSLIVMQPNMEKGIIFALSQVAYGGSLFLGYWAYFLFCGVFKSSDLFPFRPGTFMDFDEQLSNMCMLFTFQSFRKLILQEGEKLVLVWFDTSYNQAVYGIVDKLGNLVVRMVFLPFEENDDNQDKSKKLRICLTEALKFVILIGLTFMAFGPSYSYSFIRLLYGEKWSDGEASLALQFYCLYIIVLAMNGTSEAFLHAVGKENQLKRSNNMLLVFSLIYIILNILLIRSLGAIGLILANSLNMILRITCSGRFIQHYFQGTSSFSFRQCLPLGWQVLIFSGIFTPISEKIFLNHKKFRETFRHRFPLHLSLSHCHVNTGVRDCSSIESYVSGITITATDDGFYFI >fgenesh1_pm.C_scaffold_3000864 pep chromosome:v.1.0:3:4191968:4194309:1 gene:fgenesh1_pm.C_scaffold_3000864 transcript:fgenesh1_pm.C_scaffold_3000864 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC050 [Source:UniProtKB/TrEMBL;Acc:D7L8Z5] MGRESLAVVSSPPSATAPSTAVSATSLAPGFRFHPTDEELVSYYLKRKVLGKPVRFDAIGEVDIYKHEPWDLAVFSKLKTRDQEWYFFSALDKKYGNGARMNRATNKGYWKATGKDREIRRDIQLLGMKKTLVFHSGRAPDGLRTNWVMHEYRLVEYETETNGSLLQDAYVLCRVFHKNNIGPPSGNRYAPFMEEEWADGGGALIPGIDVRVRVEALPQANGNNQMDQEIHSTSKDLININELPRDATPMDIEPNQQNHHESAFKPQENNNHSGYEEDEETLKREHAEEDERPPPLSILNKEAPLPLLQYKRRRQNESNNNSSRSTQDHCSSSTITTVDNTTTLISSSSAAAATNTAISALLEFSLMGISEKKENQQQPLSPHKEASPPSPIASPEEKVDDLQKEIHQMSVERETFKLEMMSAEAMISILQSRIDALRQENEELKKKNASGQAS >fgenesh1_pm.C_scaffold_3000871 pep chromosome:v.1.0:3:4229519:4235322:-1 gene:fgenesh1_pm.C_scaffold_3000871 transcript:fgenesh1_pm.C_scaffold_3000871 gene_biotype:protein_coding transcript_biotype:protein_coding MALKIIDVDVKPRHRLFISLSHDAAETTVWASKMDGTGSWDTLEWTKLDSASGSGSGSGSFSNLSCLLESERVIVEGYGVVLINTDEAGTLLVTNFRILFLSEGTRKVIPLGTIPLATIEKFNKMVLKVQSSPRQSDKNPPRRLLQVTGKDMRIIVYGFRPRTKQRRNVFDALLKCSKPERVWDLYTFACGPSKFGNTNPKERLLNEYFRLLGRSSIRASMDMIEDGSFTLFNELWRISDLNSNYNLCQTYPFAFMVPKSIRDEELLQACSFRARCRLPVITWCQPGSGAVIARSSQPLVGLMMNMRSNLDEKLVAAFCSQLPGAKGERRKLYIADARPRKNALANGAMGGGSESSSNYFQSEIVFFGIDNIHAMRESFSRVRDYLDMHGTTSSDGRSSFLRHGGWTWGGGNLSSMSASVSLLGDSGWLIHIQSVLAGAAWIAARVAMESASVLVHCSDGWDRTTQLVSLACLLLDPYYRTFAGFQALVEKDWLAFGHPFSDRVGMPNISGSGNFDLPRHSSHGHNNYSPIFMQWIDSVSQLMRMYPCAFEFSPTFLVDFMDCLLSCRFGNFLCNSEKEREQCGIADACGCLWAYLTDLRSFSATHVHCNPFYDPLKYDGPLLPPAASLAPTLWPQFHLRWACPEEAKAADIGVQCRAMTVKYSEMQKEKEAAERRVDEISFAMESLSAELLRERHLSWVARESANRATKEYAALTRAVQSLGCKINFTTSDVEDDPRSSLENNPRRRNRHGNNSDVSVSISLMSEENTSGNPIGRVCEALCPLRTREGVCRWPEVGCAHVGSQFVGLKANFDAFDRLAIYDSYFQPE >fgenesh1_pm.C_scaffold_3000875 pep chromosome:v.1.0:3:4249837:4250609:-1 gene:fgenesh1_pm.C_scaffold_3000875 transcript:fgenesh1_pm.C_scaffold_3000875 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIPPWTRDDDKRFELALVIFPEGSPSFLENIAQLLQKPLGLVKYHYDALVYDVALVESGKYALPKYPDDDNVSLTEATQSKHGIPWTEEEHRLFLDGLNKYGKGAWSMISREFVKTRTKIQVASHAQKYDKRQKLDINKRKRRSIHDITSESTMGKPDNFGV >fgenesh1_pm.C_scaffold_3000877 pep chromosome:v.1.0:3:4253683:4256937:1 gene:fgenesh1_pm.C_scaffold_3000877 transcript:fgenesh1_pm.C_scaffold_3000877 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQYRNHDGDTSFSSLRVYLNSLSDTPSRFSRRAVSVSTSYDEMSRVRAVSGEQMRRTLRWYDLIGLGIGGMIGAGVFVTTGRASRLYAGPSIVVSYAIAGLSALLSAFCYTEFAVHLPVAGGAFSYIRITFGEFPAFITGANLIMDYVMSNAAVSRGFTAYLGSAFGISASEWRFIVSGLPNGFNVIDPIAVIVVLTVTFIICYSTRESSKVNMVLTALHIAFLVFVIVMGFWKGDIKNLTRPDNPENPSGFFPFGVSGVFNGAAMVYLSYIGYDAVSTMAEEVKDPVKDIPMGISGSVAIVIVLYCLMAISMSMLLPYDLIDPEAPYSAAFSKSEGWEWVTKAVGIGASFGILTSLLVAMLGQARYMCVIGRSRVVPIWFAKVHPKTSTPVNASAFLGIFTAFLALFTDLNVLLNLVSIGTLFVFYMVANAVIFRRYVAVGYTKPWPTLSFLCLFSITSIFFTLVWQLAPRGPPKWFILGASAVTAIAIVQIFHCVVPQARIPEFWGVPLMPWTPCVSIFLNIFLLGSLDAPSYIRFGFFSGLAVLIYVFYSVHASYDAEGDGSLDFKDVESLERINIVLS >fgenesh1_pm.C_scaffold_3000879 pep chromosome:v.1.0:3:4262500:4263817:1 gene:fgenesh1_pm.C_scaffold_3000879 transcript:fgenesh1_pm.C_scaffold_3000879 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRSLVLHHRPTSPTVIPLFRNYPSKALKFSSLPFLHRCSKSQVSSSSARCCSSSSSSSMESPPEGYRRNVGVCLMNSSKKIFTASRLDIPSAWQMPQGGIDEGEDPRVAVMRELKEETGVHSAEIVAEAPHWITYDFPPDVREKLKVRWGSDWKGQAQKWFLLKFTGKDEEINLLGDGTEKPEFGEWSWTSPDQVIEHAVDFKKPVYKEVMSAFASHLQ >fgenesh1_pm.C_scaffold_3000895 pep chromosome:v.1.0:3:4340397:4344198:-1 gene:fgenesh1_pm.C_scaffold_3000895 transcript:fgenesh1_pm.C_scaffold_3000895 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRRKSTHWCNTCRRGIHLQGEGRRGGACIYCGNTFLERLYENVELSPFDLFGLAFEEARNRHNNRRSVLGDQLSFEELLNRLPAQDRRGPPPASLAAINSLQKIKIKQKHLGLDPYCPVCQDQFEIGSDARKMPCKHIYHSECILPWLVQRNTCPVCRKELPQDRSNGRKNPLWHLWPFRWGFSLLLWLETLVCFLSMGKTEDDVNLRVAGGEATGDSTERNARCGYCKWISSFVGFKCFFVLLLSVSLFLSALFLLLPFPMDREDSNLDPRFRGHAILASFSINRSASFLNENTLQLQNDIFQEMSYISIKVTILAVEPSDELNVTKVVFGIDPDTGYREILPLSLSSIKEMFESVLINQSTLQLTKSLFGETLLFEVLKFPGGITVIPPQSVFPLQKFKIVFNFTLNYSIHQIQINFNTLASQLKNGLNLAPYENLYVSLSNSEGSTVSPPTTVHSSVLLRVGTSNSSPRLKQLTDTITGSRSKNLGLNNTIFGKVKQVRLSSFLPNSSDSSTKSPSPSPSPHSKHHHHHHHHHHHHHHHHHHHHNHHHHHHHNLSPNMAPEVSPVASPAPQRSRRRAPSAPPPCNSGNRVHFKEKHVHFPSTPAPAPSAGAPRHQLHSPAPIPAAKSHIVPISAPLPHVVFAHAAQPPKTEPRESHANEAAHPQPQSSSSIAVLPALPWIVLLMLIVAGLHE >fgenesh1_pm.C_scaffold_3000896 pep chromosome:v.1.0:3:4345846:4348020:1 gene:fgenesh1_pm.C_scaffold_3000896 transcript:fgenesh1_pm.C_scaffold_3000896 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSVSLDNWRDYFRRGDSDIFGIIDHAIMVAAADWPNEFKSRRDRIAELLFSCRVSRCIGCDHLELSIAGDEEANHGCRTVETVGGGGDRDDSGVATDDGEEASVIVDEVMRNRDILLNKEDETESVLLESLRKLESMSMSVDILKDTEIGKAVNGLRRHSSDKISKLAKTLFAEWKKLVDQWMNTPEEMAGTEGTPESANLSVIDEEEAFPSPPHDLDIYAPEPNGFELSQRKCYPDKFLLLSLFQNVFGHLKILDCLDCDGNPRHSVESKHERKMQSSERSRPEGTNEANVVGGYSKDQHIRREDRPMKHSATDFDEPRRQLKQSREQMVPAIQRKPPIVAKQKRKLAGPQQDKLKALDPDAKFEFAKRKLQESYQQHENAKRQRTIQVLETIPKQNKVQKPQLKRPTRR >fgenesh1_pm.C_scaffold_3000897 pep chromosome:v.1.0:3:4349868:4352043:-1 gene:fgenesh1_pm.C_scaffold_3000897 transcript:fgenesh1_pm.C_scaffold_3000897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7L9R5] MNLNTKCIFFLNQPKQILSTFASLSSLNDKLSLDSSRTHFQMSHRISGQPSRRLVVSNAASSSPSLSGGFPDDGAQGKEEMEAETEQDPMNLADPDSCFCEFQGVHIHHKVFDPQTLSDDVSTPSLHAQETPKTEFPMILLHGFGASVFSWNRVMKPLARLVRSKVLAFDRPAFGLTSRIFHPFSGTANDAKPLNPYSMVYSVLTTLYFIDFLAADKAILVGHSAGCPIAVDSYFEAPERVAALILVAPAIFAPRPVATTDAGDNRGKEAPTTNFLGTLVELTKGVIRAILRVVTGMANMLNSLYKKALAAFLRSFLGVMLVRMAINKFGVTAVRNAWYDSKQVTDHVVQGYTKPLRAKGWDKALVEFTVATLTDNNGSEKKPPLSKRLQEIKCPVLIVTGDTDRIVPAWNAERLARAIPGSVFEVIKKCGHLPQEEKPDEFISIVAKFLGNAFGGSQQVDLKFQGIVS >fgenesh1_pm.C_scaffold_3000914 pep chromosome:v.1.0:3:4418667:4420101:-1 gene:fgenesh1_pm.C_scaffold_3000914 transcript:fgenesh1_pm.C_scaffold_3000914 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVVGEMFCNPYTTELVVRRRRESLKREHYDVFDLSDNLIFTVEGGIWNIRRKRVLRDATGIPLLSMRTKGLVTMRYKWEVYKGESTESEDLLFSAREPNLLSFKPSLDVTLPPPQNSTDISSIAPDFQTFGRYIGSSFKLFEPIHNTLLAEVVHDFSWGGPIKGSYSFKVRVNPYIDFAFVVALLVITDDTSNLR >fgenesh1_pm.C_scaffold_3000920 pep chromosome:v.1.0:3:4445703:4447204:1 gene:fgenesh1_pm.C_scaffold_3000920 transcript:fgenesh1_pm.C_scaffold_3000920 gene_biotype:protein_coding transcript_biotype:protein_coding MENVPASSPTKPHFFQPLLPGFHSHLNIPMAFFSRYIDGTKNEGNAVVKLRSNASDINWQVKMDGRRLTQGWQKFATSHDLQVGDIVVFRHDGDLLFHVTCLGPSCCEIQYDNDDDVIQISSAETDSDSDSKKNENTTEGGTSSDHSCFITRVTESNLSKDMLFLPSDFSRSNGLKKRQCDITLLNEDGEPWTLFLTYHKSRFNRVYIRSGWRSFCLANGKRANSVLTFKLVQAATTPVLQLCSSSLSTSQNRFLTLTLTPYNLKNDKLCLPMTFVKANGIENEEKITLVDRYGIKRTTSLKLEDEYGRMRLGKEWREFCDVNGVNIGESFKLKLIKEKEDTATHLLKFCSKVFP >fgenesh1_pm.C_scaffold_3000938 pep chromosome:v.1.0:3:4534006:4536374:-1 gene:fgenesh1_pm.C_scaffold_3000938 transcript:fgenesh1_pm.C_scaffold_3000938 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPNPKNFPVLSYVLARLPSFTAKSPSSSSSVPPFDIEQPPSSSSSSSSSSQSIEIVTQMPHLTQPDVLASMTSAISDVAETRSILRTLGPRPDHESVDKARAKLSEIESFLSESFEDIALTDAAAKDEKRRHEMDQEKTWCESVLKLDEVHASYEKLLKEAEERLVRIYESAEKNAAEDEENVAAVEVNEEVVGILQHASANPVDRVDLSGRKLRLLPEAFGRIQGLLVLNLSNNKLELSYGLIQILQAIAADVHASSFVDSSEVYVQQAIPDSIAGLHSLVELDVSTNSLETLPDSIGLLSKLKILNVSTNKLTCLPDSICRCGSLVILDVSFNRLTYLPTNIGLELVNLEKLLVQYNKIRSFPTSIGEMRSLKHLDAHFNELYGLPDSFVLLTNLEYLNLSSNFSDLKDLPSSFGDLISLQELDLSNNQIHALPDTFGTLDSLTKLNVDQNPLVVPPEEVVKEGVEAVKTYMGQRRIRMLEEEEKKKMEEEMEQANAGWLTRTTSKLKTYVADVSEYLGTNSPRDPYLERQL >fgenesh1_pm.C_scaffold_3000949 pep chromosome:v.1.0:3:4605851:4607881:-1 gene:fgenesh1_pm.C_scaffold_3000949 transcript:fgenesh1_pm.C_scaffold_3000949 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLKSSQSCHFPSPSSSSSSSSTSCGGNDGGNRDPHSPFNISRREEEEEEERSEKERERFELSSALEILVSAIRRSVIGGCVGEEDLCSMEIGVPTDVRHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASATVFGVSTESMQLSYDTRGNVVPTILLMMQSHLYSRGGLRVEGIFRINGENAQEEYIREELNKGIIPDNIDVHCLASLIKAWFRELPSGVLDSLSPEQVMESESEDECVELVRLLPSTEASLLDWAINLMADVVEMEQLNKMNARNIAMVFAPNMTQMLDPLTALMYAVQVMNFLKTLIVKTLKDRKESRDKLVPASNPSPRDHNGDQSSSRQLLHLMKANKGESLDNFEAEMKDKEESADEEEEEEECVESRELVDIKNSSLVNSNNGGFGQKHNGWEEQRTMSKASSIVGRVNYRVELFEAWR >fgenesh1_pm.C_scaffold_3000965 pep chromosome:v.1.0:3:4684652:4687031:-1 gene:fgenesh1_pm.C_scaffold_3000965 transcript:fgenesh1_pm.C_scaffold_3000965 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNAQEKKAGFFQRLKEFPRKLKDGVTKRMKNVKKFGKDDPRRIIHSMKVGVALTLVSLLYYVRALYISFGVTGMWAILTVVVVFEFTVGGTLSKGLNRGFATLIAGALGVGAVHLARFFGHQGEPIVLGILVFSLGGAATFSRFFPRIKHRYDYGALIFILTFSFVAISGYRTDEILIMAYQRLSTILIGGTICILVSIFICPVWAGEDLHKMIANNINKLAKYLEGFESEYFQPEKISKETNSCVREYKSILTSKSTEDTLANLARWEPGHGRFRLRHPWKKYLKIAGLVRQCAFHFEILNGYVLSNDKAPQEFDSKFQEPITIMNREVGEALKAMAKSIKTMSKDSACVNSHIDNSKKAIVNLRIALKSSYPDTEKDLLEIIPGVTMASILIEVVNCVEKISEAVEEFSGLAHFKETLDPKLSAEIGQHQLLHRGSVKPVPDGDNEKEDNSSSSHVLIPVHDEEQPPTATAKNVLGAEKTRVDVV >fgenesh1_pm.C_scaffold_3000983 pep chromosome:v.1.0:3:4774810:4777026:-1 gene:fgenesh1_pm.C_scaffold_3000983 transcript:fgenesh1_pm.C_scaffold_3000983 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLAIFLVGAVVVVCVFMRFSSKGKSEEDGIVNEKKIDTKSAPRASGSGEDGTENEQVDNNSDPSTGGLGEDDLENEKTNSESEVVVGSSAQISKTYVLPDRVVVQELSTENDELTDGKMVNDRLFVSAKKMEYGRNESNAYEVFWGVFGKKRSVAVKCLDLSQDALILNEIGNHCLSDDHSNIIRFHGVEQDQNFAYICLEPWKCSLDDLIKLCVRRISLNTQGKSTKAVAPLDPLEKVMEKINFWKDVGKPLPIMLKLMRDIVSGLAHLHELGIVHRDLNPHNVLVIVKEMTLIAKISDMSLSKHLGGEQSAYKHLDTCYGNSGWQTPEQLRKENEDFPVDMYRFGCILYYAMTGYHPFGGIRDRKTNILGNNAVNLSLVKNLEALNLIEQLLNPKPNLRPSATKVLLHPMFWDSEKRLFFLREASDRIEHDKNIWQKLESSVAPKVIKQSHWDSKLNTTFIKHIKNLPQRKQSHRQYDYTSLRNLLRLIRNTLSHQREILDDPNIQNIVGKVPERLDSFFTDPFPDLMMEIYAFISKHCKGEEEFQKYFN >fgenesh1_pm.C_scaffold_3000987 pep chromosome:v.1.0:3:4791202:4799793:-1 gene:fgenesh1_pm.C_scaffold_3000987 transcript:fgenesh1_pm.C_scaffold_3000987 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLDQQEDEEMLVPNPDLVEGPQPMEVAQTEPAASAVENPPPEDPPTLKFTWTIPIFTRLNTRKHYSDVFVVGGYKWRILIFPKGNNVDHLSMYLDVADAANLPYGWSRYSQFSLAVVNQVNNRYSIRKETQHQFNARESDWGFTSFMPLSELYDPTRGYLVNDTVLIEAEVAVRKVLDYWSYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDAPTASIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVERLEGDNKYHAEGHDLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDADKSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDVKRALEEQYGGEEELPQNNPGFNNPPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRVRLKKEQEEKEDKRKYKAQAHLFTTIKVARDDDITEQIGKNIYFDLVDHEKVRSFRIQKQTPFQQFKEEVAKEFGVPVQLQRFWIWAKRQNHTYRPNRPLLPNEELQTVGQIREASNKANNAELKLFLEIERGPDDLPIPPPEKSSEDILLFFKLYDPENAVLRYVGRLMVKSSSKPMDIVGQLNKMAGFAPDEEIDLFEEIKFEPCVMCEQLDKKTSFRLCQIEDGDIICYQKPLSIQESECRYPDVPSFLEYVQNRELVRFRTLEKPKEDEFTMELSKQHTYDDVVERVAEKLGLDDPSKLRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHSATKDEVIIHNIRLPKQSTVGDVINELKTKVELSHQDAELRLLEVFFHKIYKIFPSTERIENINDQYWTLRAEEIPDEEKNIGPNDRLIHVYHFTKEAGQNQQVQNFGEPFFLVIHEGETLEEIKTRIQKKLHVPDEDFAKWKFASFSLGRPDYLQDTDVVYNRFQRRDVYGAWEQYLGLEHIDNAPKRAYAANQNRHAYEKPVKIYN >fgenesh1_pm.C_scaffold_3001004 pep chromosome:v.1.0:3:4874671:4876330:-1 gene:fgenesh1_pm.C_scaffold_3001004 transcript:fgenesh1_pm.C_scaffold_3001004 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLVADKHVRYILMAEKKKESFESVVMDHLRMNGAYWGLTTLDLLDKLGSVSAVCGFAGNTGHDPHVIYTLSAVQILALFDKLSILDVQKVSSYIAGLQNKDGSFSGDMWGEVDTRFSYIAICCLSILKCLDKINVKKAVDYIVSCKNLDGGFGCTPGAESHARQIFCCVGALAITGNLHHVDKDLLGWWLCERQDYESGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIEKGKLVKFILDCQDMDNGGISDNPKDAVDIFHTYFGVAGLSLLEYPGVKTIDPAYALPVHVINRILFTK >fgenesh1_pm.C_scaffold_3001010 pep chromosome:v.1.0:3:4898675:4900635:-1 gene:fgenesh1_pm.C_scaffold_3001010 transcript:fgenesh1_pm.C_scaffold_3001010 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KZP6] MDTRKRGRPEVGSFNSNGGGYKKSKQEMESYSTGLGSKSKPCTKFFSTSGCPFGENCHFLHYVPGGYNAVAQMTNMGPPMPQVSRNMQGSGNGGRFSGRGESGPGHVSSFGASATAKISVDASLAGAIIGKGGVSSKQICRQTGAKLSIQDHERDPNLKNIELEGTFEQINEASAMVRELIGRLNSAAKKPPGGLGGGGMGSEGKPHPGSNFKTKICERYSKGNCTFGDRCHFAHGEAELRRSIA >fgenesh1_pm.C_scaffold_3001014 pep chromosome:v.1.0:3:4918480:4920371:1 gene:fgenesh1_pm.C_scaffold_3001014 transcript:fgenesh1_pm.C_scaffold_3001014 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KZQ4] MENDGRSSEKNLYEVLGVEATASPQEIRKAYHKLALRLHPDKNKDDEEAKEKFQQLQKVISILGDEEKRAVYDQTGSVDDADLSGDVVDNLRDFFKAMYKKVTEEDIEEFEANYRGSESEKNDLIELYKKFKGKMSRIFCSMLCSNPKLDSHRFKDLIDEAIAAGEVKSTKAYKKWAKEISEMEPPTNPQKMRRKATKGADKDLYAVISQRRDERKEKFDSMFSSLVSRYGNNADSEPNEEEFEAAQRKVESRRSSKKSRKK >fgenesh1_pm.C_scaffold_3001015 pep chromosome:v.1.0:3:4922613:4923734:1 gene:fgenesh1_pm.C_scaffold_3001015 transcript:fgenesh1_pm.C_scaffold_3001015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon family protein [Source:UniProtKB/TrEMBL;Acc:D7KZQ5] MAWDLFLWIISFFVSLALVASVFYQVICLTDLEADYLNPFETSTRINRLVIPEFVLQGSLCLLFLLTWHWVFFLVAVPVTVYHAMLFNERRYLIDVTEVFRGISFEKKFRFTKLGFYVFLFIMVVFRLTLSAVYSFTEDDDLLHLF >fgenesh1_pm.C_scaffold_3001021 pep chromosome:v.1.0:3:4946247:4948805:1 gene:fgenesh1_pm.C_scaffold_3001021 transcript:fgenesh1_pm.C_scaffold_3001021 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVKDGNLDYSEDEEENFSDDGDWGDWKADDSGVGGGEEEEDDDDSESDFLCLFCDSHFVSCDLLFEHCSLRHGFDFHRIRNEMKLDFYSSFKLINYIRSQVAENKCWSWKIEADDFNDVKFPWDEEKYLKPVWQEDSLLYSFADDEEDEEDTFDREEVMEDLRKLGDLCIDVEALGETSMSNNDKCNINGNKDVTLLSDCNGLKQSSADDLIVNGKDAEPKVCDGRLVNRNIKKVNENYFGSYSSFGIHKEMLNDKVRTEAYRDALLKNPTLLSGSVVMDVGCGTGILSLFAAKAGASRVVAVEASEKMAKVASKQIAKDNKVFNDNEHNGVLEVAHSMVEELDKSIQIQAHSVDVLVSEWMGYCLLYESMLSSVLYARDRWLKPGGAILPDTATMFVAGFGKGATSLPFWEDVYGFDMSSIGKEILEDTARLPIVDVIEERDLVTQPALLQTFDLSTMKPDEVDFTATATLKPTESEAKTRLCHGVVLWFDTGFTNRFCKENPTVLSTSPYTPPTHWAQTVLTFQEPISVAPASLLSGDDRRGAIGTKECPASSIHLRMSVARAHEHRSIDISLEATGLSSNGQKRRWPVQIFNL >fgenesh1_pm.C_scaffold_3001026 pep chromosome:v.1.0:3:4961265:4966517:-1 gene:fgenesh1_pm.C_scaffold_3001026 transcript:fgenesh1_pm.C_scaffold_3001026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7KZR7] MAITPLRITNPFPSLRFIPAGKSNAPRLLTFRATDVESTEETQPDPETTTQSESGTDPDQFESRLSNIRLRYRSGTGKKAEVRKSKKGSSGSPSKGSGMYLPPVSLKEAVSGGLKVELGFSSYSERINGRIAGLGLMALLLVELATGKSVLNYHTPSVVFLQIYFVAAVSAMFVKIEKEKATLGHGTATIKSILQCNVGNKSPLLLCVLSPDKVDSCQLNLEFEETDEVIFSVIGPRSVHLTGYFLGRSTAFRPNDDESESYGEDIVDTDMEKGSSDDYDYSDSFINDDDPPGRGSHLSSTDDDEISIKEMAAKTKDKIKNGKGGRLRKKFQVPDSDSDETSARAGESSNEDSVEILNNGNEHKIAKVLSSESPLPSRVTRSKARSSTLENGEPNAKCEKTSDGRTHTHKTLDKRQDKSLGDVKLSPVQKGCEILSKKKRNKDRSKSSAIIINSDDKEGKNIPGNLQNEKPVTDEGIKSSSDVLLSQNGDATLSKKKRKRDRREETTDVPENLVECPEKKKQAIDMNIEKEAGTKKPLETRTLSNGVIIEEIEKGKLDGKSAVKGKKVSILYTGKLKDTGELFDSNLGEAPLRFRLGGENVIEGLSIGAEGMRVGDKRRLIIPPSLGYSKKGLKEKVPKNAWLVYEVEAVKVR >fgenesh1_pm.C_scaffold_3001032 pep chromosome:v.1.0:3:4993982:4994403:-1 gene:fgenesh1_pm.C_scaffold_3001032 transcript:fgenesh1_pm.C_scaffold_3001032 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQWLRESEPSESTLLRKSTQVDFFGEEFIVTVTPDPSVIGQWIHDVLFHSRLSSHPLVVGVGVQWTPSGYHPASPPECLGYRGMWLDREIRMSDWSAYDLCDDQILQASIDVHVCFKLGVKYRLWKV >fgenesh1_pm.C_scaffold_3001035 pep chromosome:v.1.0:3:5001489:5003074:-1 gene:fgenesh1_pm.C_scaffold_3001035 transcript:fgenesh1_pm.C_scaffold_3001035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic endochitinase [Source:UniProtKB/TrEMBL;Acc:D7KZS9] MPSKKEYHKTLNKMKTNLFLFLIISLLLSFSSAEQCGRQAGGALCPNGLCCSEFGWCGDTEPYCKQPGCQSQCTPGGTPGPTGDLSGIISSSQFDDMLKHRNDAACPARGFYTYNAFITAAKSFPGFGTTGDTATRKKEVAAFFGQTSHETTGGWASAPDGPFSWGYCFKQEQNPGSDYCEPSATWPCASGKRYYGRGPMQLSWNYNYGLCGRAIGVDLLNNPDLVANDAVIAFKAAIWFWMTAQPPKPSCHAVIAGQWQPSDADRAAGRLPGYGVITNIINGGLECGRGQDGRVADRIGFYQRYCTIFGVNPGGNLDCYNQRPFGNGLLNAAI >fgenesh1_pm.C_scaffold_3001040 pep chromosome:v.1.0:3:5016381:5019160:1 gene:fgenesh1_pm.C_scaffold_3001040 transcript:fgenesh1_pm.C_scaffold_3001040 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVDVKQSLEAVMNNKLSDFEKSLYKKLKSGKLEVKVSYRTFLCPYCPDNKKKVGLYVDILQHASGVGNSKSKKRSLTEKASHRALAKYLIKDLAHYATSTISKRLKARTSLTPAEGGDTPLVYDDDQFEKLVWPWKGVLVNIPTTITQDGRSCTGESGPKLRDELIRRGFNPIRVRTVWDRFGHSGTGIVEFNRDWNGLHDALVFKKAYEADGHGKKDWLCGATDSRLYAWLANVDDYYRATYLGEKLRKTGDLKSISRFAEEEARKDQKLLQRLNVMVETKQNRLKKLEIKYSQDSIRLKYETQEKEKILHGYNADLTGRQQKSTDHFNRIFADHEKQKVQLESQIKELEIRELELAKREAENETQRKIVAKELEQNAAIFSYAQLAAVEQQKTREKVQRLAVDHKMQKEKLHKRIAALERQLDQKQELELEVEQLKRQLSVMRHVELDSGSEIVNKVETFLRDLSETEGELAHLNKFNQDLVVQERKSNDELQEARRALISNLRDMRSHIGVRRMGELDTKPFMEAMRKKYCQEDLEDWAVEVIQLWEEYLKDPDWHPFKRIKLETAETVVEVIDEDDEKLRTLKNELGDDAYQAVANALLEINEYNPSGRYISSELWNFREDRKATLEEGVTCLLEQWNQAKHHKP >fgenesh1_pm.C_scaffold_3001044 pep chromosome:v.1.0:3:5034605:5042997:-1 gene:fgenesh1_pm.C_scaffold_3001044 transcript:fgenesh1_pm.C_scaffold_3001044 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSAQSACHVGYGTSYWAHSFVFRPGQSPGSSRLLQLGAAGSASRLRSSSSKKPPEPLRRAVADCLSSSPPPVNSHHGAIPSMAPSEALRNLRDYLSASATTDLAYNMLLEHTIAERDRSPAVVTRCVALLKRYLLRYKPGEETLLQVDKFCVNLIAECDASLKQKSLPVLSASAGASPLPVSSFASAALVKSLHYVRSLVALHIPRRSFQPAAFAGATLASRQLLPSLSSLLSKSFNSQLSPANAAESPQKKDAANLSVSNLSNIQEINAMEDTEYISSDLLNWRWVGELQLSSASSESERPINLQDMNNCNLLEVGAAGLLVGDMEAKMKGQHWKYFGTTEMPYLEQLLQPASVTMITNSASARSHLRAITASKRTRAGPQQIWDDSTVNTFRPRARPLFQYRHYSEQQPLRLNPAEVGEVIAAVCSEASSTPSNQMTVSPQLTSKTGKPSMDVAVSVLIKLVIDMYVLDARIAAPLTLSMLEEMLCSTKAGCRIRVFDLILNLGVHAQLLEPMISDNATTIEEEYAQETYIDNENRLLLQGTRTKDLPKMSSTSSAIENFESWILKILFEILLLLVQVEEKEECVWASALSCLLYFICDRGKIRRNQLNGLDIRVIKALLGTSKRNSWSEVVHSKLICIMTNMFYRSPEPEGSTKAISSASNFLIDQVDLIGGVEYIFFEYSLATTREERRNLYSVLFDYVLHQINEACSAAGLSEYTDDEIQPLAVRLALADAPEAFYISVKLGVEGIGEILRRSIAAALSGFSNSERLNQLLANITEKFDMIIGSFTHLDKEFLHLKQITKSSKYMESIRDLRNDISMSVNLAWATLHSLLHSERTTYRQNGYIWLGDLLIAEISEESSGSIWLSIKDLQQKIAHCGTSDSLVTSDVPVSIHLLCGLLKSRNSVIRWGFLFILERLLMRSKFLLDENETQRSTGGVASQDHKDKRLEKANAVIDIMSSALSLMAQINETDRINILKMCDILFSQLCLKVLSTDEDAVPNSADRKFDSSHRNSYKESMDEADTRPRYNNVSVSTCETASMAAMLLRGQAIVPMQLVARVPAALFYWPLIQLAGAATDNIALGVAVGSKGRGNIPGATSDIRATLLLLLIGKCTADTIAFQEVGGEEFFRELLDDTDSRRMMTEEPEKYQNMLQKLVFKAQQSNNEKLLENPYLQMCGILQLSNEL >fgenesh1_pm.C_scaffold_3001076 pep chromosome:v.1.0:3:5168940:5171701:1 gene:fgenesh1_pm.C_scaffold_3001076 transcript:fgenesh1_pm.C_scaffold_3001076 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEEQRKIMDRKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQESAGDVRMTDTRADEAERGITIKSTGISLYYEMTDESLKSFTGARDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQSLGERIRPVLTVNKMDRCFLELKVDGEEAYQNFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMHASKFGVSESKMMERLWGENFFDPATRKWTTKNTGSATCKRGMMINTCMNDQKDKLWPMLEKLGIQMKPDEKELMGKPLMKRVMQAWLPASTALLEMMIFHLPSPYTAQRYRVENLYEGPLDDKYAAAIRNCDPEGPLMLYVSKMIPASDKGRFFALGRVFSGTVSTGMKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKKQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPLRAMKFSVSPVVRVAVKCKLASDLPKLVEGLKRLAKSDPMVLCTMEESGEHIGAGAGELHIEICVKDLQDFMGGAEIIVSDPVVSLRETVFERSCRTVMSKSPNKHNRLYMEARPMEDGLAEAINEGRIGPSDDPKIRSKILAEEFGWDKDLAKKIWAFGPDTTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGPLAEENMRGVCYEVCDVVLHVDAIHRGGGQMISTARRAIYASQLTAKPRLLEPVYMVEIQAPEGALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVVESFNFSGQLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAATLVADIRKRKGLKLQMTPLSDFEDKL >fgenesh1_pm.C_scaffold_3001088 pep chromosome:v.1.0:3:5222364:5225061:-1 gene:fgenesh1_pm.C_scaffold_3001088 transcript:fgenesh1_pm.C_scaffold_3001088 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSQVLKSKYNPFLRRSHSGKKFQGTVTMSEGIETCEESTSGESFPYRFQLEEDVKRLQLQLQQEIDLHTFLESVMEKDSWELSYSSSVPHPAQELLSNIVTLETAVTKLEQEMMSLNFQLSQERNERRLAEYHLTHSASPLNSSSSLRYLNQSDSDLHQSAEDSPCQDQTAQDQESSSESSPAESTVEQTLDPSNDFLEKRLMRKTIARKLPRGMPPKHLWDHPNLLSEEMVRCMKNIFMSLADPTATSKASSNESHLSPVSPRGHLSSSASWWPSTERSMISSWVQSPQIDIQNNANVLATGDVFDPYRVRGKLSWAEIGSYSLASEVSWMSVGKKQLEYASGALRKFRTLVEQLARVNPIHLSCNEKLAFWINLYNALIMHAYLAYGVPKSDLKLFSLMQKAAYTVGGHSYTAATMEYVILKMKPPMHRPQIALLLAIHKMKVSEEQRRASIDTHEPLLGFALSCGMYSSPAVRIYTAKGVKEELLEAQRDFIQASVGLSSKGKLLVPKMLHCYAKSLVEDSSLGVWISRYLPPHQAAFVEQCISQRRQSLLASRNCGILPFDSRFRYLFLPDDNTSL >fgenesh1_pm.C_scaffold_3001093 pep chromosome:v.1.0:3:5245742:5247736:1 gene:fgenesh1_pm.C_scaffold_3001093 transcript:fgenesh1_pm.C_scaffold_3001093 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKSRFTVDKALVAMGFGKFQLYVLAYAGMGWVAEAMEMMLLSFVGPAVQSLWNLSAREESLITSVVFAGMLIGAYSWGIVSDKHGRRKGFIITAVVTFIAGFLSAFSPNYMWLIILRCLVGLGLGGGPVLASWYLEFIPAPSRGTWMVVFSAFWTVGTIFEASLAWVVMPSLGWRWLLALSSVPSSLLLLFYRWTSESPRYLILQGRKAEALSILEKIARMNGTQLPPGVLSSELETELEDNKNLPTENTHLLKPGEIGEAVAVSKIVLKADKEPAFSLLALLSPTLIKRTLLLWIVFFGNAFAYYGVVLLTTELNNSHNRCYPTEKQLGNSNDVNYKDVFIASFAEFPGLLISAAMVDRLGRKVSMASMLFTCCIFLLPLLSHQSPFITTALLFGGRICISAAFTVVYIYAPEIYPTAVRTTGVGVASSVGRIGGILCPLVAVGLVHGCHQTIAVLLFEVVILVSGICVCLFPFETSGRDLTDSISASKEPPSASV >fgenesh1_pm.C_scaffold_3001102 pep chromosome:v.1.0:3:5297355:5298081:-1 gene:fgenesh1_pm.C_scaffold_3001102 transcript:fgenesh1_pm.C_scaffold_3001102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7L0P1] MDYTVDMSCVMKVNRSCELCRQKVSEVMHCVNGVYSVDFVSDDNSMKLKASVNPNILLAVIERYGEHGKITNLRFEGEVMTPRGGGGYYGQSGYYIPSTARGNYAYPSLYPYPPPPYVYGGNYAHPASNPPRHTEAPRQVTNPHTTPPSYSLPAPPSRPVHSYAYVEPQYRTSGSSGKGCVIM >fgenesh1_pm.C_scaffold_3001111 pep chromosome:v.1.0:3:5318629:5321400:-1 gene:fgenesh1_pm.C_scaffold_3001111 transcript:fgenesh1_pm.C_scaffold_3001111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7L1B6] MEIRRSTEEVEENHVMQITGSNGIVHNMEFMPQAYLRNQYSSEIDIDEEFVSSYPLEDAPLPIFLKFEDVEYKVRNSQASSANLVKTMVSKVVTHTNPDPDGYKHILKGITGSTGPGEILALMGPSGSGKTTLLKIMGGRLTDNVKGKLTYNDIPYSPSVKRRIGFVTQDDVLLPQLTVEETLAFAAFLRLPSSMSKEQKYAKIEMIIKELGLERCRRTRVGGGFVKGISGGERKRASIAYEILVDPSLLLLDEPTSGLDSTSATKLLHILQGVAKAGRTVITTIHQPSSRMFHMFDKLLLISEGHPAFYGKAKESMEYFSSLRILPEIAMNPAEFLLDLATGQVSDISLPDELLSAKTAQPDSEEVLVKYLKQRYKTDLEPKEKEENHRNRKAPEHLQIAIQVKKDWTLSWWDQFLILSRRTFRERRRDYFDKLRLVQSLGVAVVLGLLWWKSKTDTEAHLRDQVGLMFYICIFWTSSSLFGAVYVFPFEKIYLVKERKAEMYRLSVYYVCSTLCDMVAHVLYPTFFMIIVYFMAGFNRTIPCFLFTVLTILLIAITSQGAGEFLGASVLSIKRAGMIASLVLMLFLLTGGYYVQHIPKFMQWLKYLSFMHYGFRLLLKVQYSADQLFECGSKGGCRTLQSSSSFDTVNLNGGLQELWVLLAMAFGYRLCAYFCLRKKISICHL >fgenesh1_pm.C_scaffold_3001129 pep chromosome:v.1.0:3:5406421:5408346:1 gene:fgenesh1_pm.C_scaffold_3001129 transcript:fgenesh1_pm.C_scaffold_3001129 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTMSCLIPKTVFIFLVTCFVCLGPYAHARSLNSPVMEETNPLIKTTKVAEENNEKNLQVNIIYGGKGHDAPLPPKTPINIIYGSYKSGPDGSGKGHDAPLPPKTQMNIIYGGYKSGPDGSGKGHDAPLPPKTTLNIIYGSYKSGPDGSGKGHDAPLPPKTPMNIIYGGYKSGPDGSGKGHDAPLPPKTPMNIIYGGYKRKAHDAPLPPKTPMNIIYGGYKSGPDVSGKGHDAPLPPKTPINIIYGSYKSGPDGSGKGHDAPLPPKTPMNIIYVPDDQGNGHGSPSPRV >fgenesh1_pm.C_scaffold_3001137 pep chromosome:v.1.0:3:5457900:5458404:1 gene:fgenesh1_pm.C_scaffold_3001137 transcript:fgenesh1_pm.C_scaffold_3001137 gene_biotype:protein_coding transcript_biotype:protein_coding MNWKLVLLFGWILLSSIASNPTLAKVTYPPRTTRKGLGNIHINKGMKIGFTGSFSRPAPHGGGPPKIISKL >fgenesh1_pm.C_scaffold_3001138 pep chromosome:v.1.0:3:5461474:5462445:1 gene:fgenesh1_pm.C_scaffold_3001138 transcript:fgenesh1_pm.C_scaffold_3001138 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGLEGSNPVDLSKHPSGIVPTPHSPVIYKMPPRIAAIRLVSSHREVYEPCDDSFALVDALLADRTNLIEHNPKICMEIGCGSGYVITSLILLLKNEVPGVHYLATDTNPIATRVTKETLQAHGVDADVICADIASVLEKRLSGSVDVIVVNPPYVPTPEYEVGMEGIASAWAGGENGRTVIDKILPVVDLLLSEKGWFYLVTLTSNFPAEICLMMRKKGYASRIVVQRSTEEENLVILKFWRDKDEESEEKETSSSSFVSQFSKSLSSLMEKQWR >fgenesh1_pm.C_scaffold_3001159 pep chromosome:v.1.0:3:5567719:5568195:1 gene:fgenesh1_pm.C_scaffold_3001159 transcript:fgenesh1_pm.C_scaffold_3001159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7L1G6] MNGKHLGPYKKEKFTHLRVYWHNSVNGRNPSSVMIQQPVLNSSSLFGAITMMDDPLTLDVPRNSTVVGQAQGMYAAAAQGEIGFLMVMNFAFTTGKYNGSTITILGRNVVMSKVREMPVVGGSEIFRFARGYVEARTKSIDLKAGVAIVEYNCYILHY >fgenesh1_pm.C_scaffold_3001168 pep chromosome:v.1.0:3:5610471:5613034:-1 gene:fgenesh1_pm.C_scaffold_3001168 transcript:fgenesh1_pm.C_scaffold_3001168 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP90D1 [Source:UniProtKB/TrEMBL;Acc:D7L1H9] MDTSSSLLLFSFFFFIIIVIFNKINGLRSSPATKKLKDYHVISHSHGPNIIFVNRRVMYGRVFKSHIFGTATIVSTDAEVNRAVLQSDSTAFVPFYPKTVRELMGKSSILLINGSLHRRFHGLVGSFLKSPLLKAQIVRDMHRFLSESMDLWSEDQPVLLQDVSKTVAFKVLAKALISVEKGEELEELKKEFENFISGLMSLPINFPGTQLHRSLQAKKNMVKQVERIIEGKIRSAKNKEEDGTVIAKDVVDVLLKDSSEYLTHNLIANNMIDMMIPGHDSVPVLITLAVKFLSDSPAALNLLTEENMKLKSLKELTGEPLYWNDYLSLPFTQKVITETLRMGNVIIGVMRKAMKDVEIKGYVIPKGWCFLAYFRSVHLDKLYYDSPYKFNPWRWQERDMNTSSFSPFGGGQRLCPGLDLARLEASIFLHHLVTRFRWIAEEDTIINFPTVHMKNKLPIWIKRI >fgenesh1_pm.C_scaffold_3001175 pep chromosome:v.1.0:3:5642595:5644400:1 gene:fgenesh1_pm.C_scaffold_3001175 transcript:fgenesh1_pm.C_scaffold_3001175 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAP1_4 [Source:UniProtKB/TrEMBL;Acc:D7L278] MSNEENNTSIKADNKTGESSDLPSIPALDIGAEDCDILAELKASNFKSLITNHINLTLKRPFDVKKLSPKVTKRVLFLKDIQVKHDELEEKFLAEKAALEATYDNLYKPLFDKRYEIVNGVVEAEEEKEGVPNFWLIAMKTNEMLANEITERDEGALKYLKDIRSCRVEDNSRNFKLEFLFDPNPYFKNSVLSKTYHVNDEDGPVLEKVIGTDIEWCPGKCLTHKVVVKKKAKKGPKKVNNIPMTKTENCESFFNFFKPPEIPEIDEVDDYDDFDTIMTEELQNLMDQDYDIAVTIRDKLIPHAVSWFTGEALVDEDDYDDDDDDDDDDDNDEKSD >fgenesh1_pm.C_scaffold_3001199 pep chromosome:v.1.0:3:5762046:5762588:-1 gene:fgenesh1_pm.C_scaffold_3001199 transcript:fgenesh1_pm.C_scaffold_3001199 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLFLGMILSFHGFLLVQSQQDQRQPLLQSPPPPLSPFVQSQPPPLTPPQVNTPPPPSQETVNIMIPPTSPPPPLPSPPPPPPPPPPPPPISKHPHPPPRAQASPPHSNRNQPPRRLRPPSPPPPPPSRVFKQSEKSGLNTGKTVGLVFAGFAAMLQICVVAFLVFKRKQLLRMTHTY >fgenesh1_pm.C_scaffold_3001200 pep chromosome:v.1.0:3:5763427:5764377:-1 gene:fgenesh1_pm.C_scaffold_3001200 transcript:fgenesh1_pm.C_scaffold_3001200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like protein ATG12 [Source:UniProtKB/TrEMBL;Acc:D7L2A9] MATESPNSVRKIVVHLRATGGASILKQSKFKIAGTEKFAKVIDFLRRQLHSDSLFVYVNSAFSPNPDESVIDLYNNFGFDGKLVVNYACSMAWG >fgenesh1_pm.C_scaffold_3001206 pep chromosome:v.1.0:3:5801446:5803118:-1 gene:fgenesh1_pm.C_scaffold_3001206 transcript:fgenesh1_pm.C_scaffold_3001206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase [Source:UniProtKB/TrEMBL;Acc:D7L2B6] MVGFRRASGVLLVVLVVMATTIVNGTPVVDKAKDAAESVGDKVSEAATTVGDKAKDAAASVGVKVPGAKAGGASLDIKASGAKGDGKTDDSAAFAAAWKEACAAGSTITVPKGEYLVESLEFKGPCKGPVTLELNGNLKAPATVKTSKPHSGWIDFENIADFTLNGNGAIFDGQGSLAWKANDCAKTGKCNSLPINIRFTGLTNSKIKSITSTNSKLFHMNILNCKNITLEDIGIDAPPESLNTDGIHIGRSNGVNLLGAKIKTGDDCVSIGDGTENLIVENVECGPGHGISIGSLGRYPNEQPVKGVTVRKCLIKNTDNGVRIKTWPGSPPGIASNILFEDITMDNVSTPVLIDQEYCPYGHCKAGVPSKVKLSDVTIKNIKGTSATKVAVKLMCSKGVPCTNIALADINLVHNGKEGPAVSACSNIKPILSGKLVPAACTEVAKTGP >fgenesh1_pm.C_scaffold_3001223 pep chromosome:v.1.0:3:5868674:5869569:1 gene:fgenesh1_pm.C_scaffold_3001223 transcript:fgenesh1_pm.C_scaffold_3001223 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g14190 [Source:UniProtKB/TrEMBL;Acc:D7L2D4] MANMNALQQMIFPDENAPIHRKKSVTAASVKSKGTVLGQKKPGGARKALNDITNKSGIHAKASSKNKQIASAAAAKDEIDIAGERFLHDHSKCIKEQQSLWDDHYSSDLILLHHESSIKEKHLNYDIEKMDAKNNLTYEEPEEMASPKLSDWLKNSTPWRSPIRHGSMMPSTPLAWRFDSSEFTLKEHSDDLF >fgenesh1_pm.C_scaffold_3001234 pep chromosome:v.1.0:3:5968484:5970465:-1 gene:fgenesh1_pm.C_scaffold_3001234 transcript:fgenesh1_pm.C_scaffold_3001234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7L332] MDTVKSINKGYGKVDEAEDLALKRKTRKRLFLIGVSVVVLVSILISSVVAVAVHSRKNNSPNPTPSSVNELTPAASLRTVCSVTRYPASCISSISKLPSSNTTDPEVLFRLSLQVVVDELNSIADLPKKLAEETDDERIKSALGVCGDLFDEAIDRVNETVSAMEVGDGKKILNSKTIDDLQTWLSAAVTDHDTCIDALDELSQNKTEYANSTISLKLKSAMVNSTEFTSNSLAIVAKILSTLSDFGISIHRRRLLNSNSFPNWVNPGVRRLLQAKNLTPNVTVAAYGSGDVRTVNEAVERIPKNGTTMFVIYVKAGTYVENVLLDKSKWNVFIYGDGKDKTIISGSKNYVDGTSTFKTATFATQGKGFMMKDIGIINTAGPEKHQAVAFRSGSDLSVYYQCSFDGFQDTLYPHSNRQFYRNCDVTGTVDFIFGAATVVFQGCNIRPRQPLPNQFNTITAEGKKDKHQNSGTSIQRCTISANGNVTAPTYLGRPWKEFSTTVIMQSVIGSIVNPVGWIAWNSTLDPPPSTILYGEYKNSGPGSDVTQRVEWAGYKPIMSDDEAGRFTVATFLRGADWLPVMGVPYQQT >fgenesh1_pm.C_scaffold_3001299 pep chromosome:v.1.0:3:6295966:6297997:1 gene:fgenesh1_pm.C_scaffold_3001299 transcript:fgenesh1_pm.C_scaffold_3001299 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLKRKQQKKINPKPVQLNPNWSLLQQKLKSDSHGNTRKSSNPENPSSILGSIQPKNSNLKFGCSNLHCKLAGKRKERPDSEVDVPKINPLSPINDDSSLTDEVAMDCEMVGVSQGTKSALGRVTLVNKWGNVLYDEFVRPVERVVDFRTHISGIRPRDLRKAKDFRVAQTKVAELIKGKILVGHALHNDLKALLLTHPKKDIRDTAEYQPFLNNLSNRDKTRKSLKHLAAEILGADIQNGEHCPIDDARAAMMLYQKNRKEWEKNVKDQTRMWLKQKKRKPKKKVKEGNASTNHNPVV >fgenesh1_pm.C_scaffold_3001304 pep chromosome:v.1.0:3:6313019:6319857:-1 gene:fgenesh1_pm.C_scaffold_3001304 transcript:fgenesh1_pm.C_scaffold_3001304 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNHSGSPSGKKSKKLAAICEEEYKKNHGESLDRDGGSGLACADSELRRSSRVRKIPSILDASPPPPKKRQRLNKHVDRSSSSIKKGKRNEDEDEDTLDGWKSRLRSRRKNVGSQASGRQRRVVKGKRKLVFRNRACELSDKAVESDREEERRAPLGGGLIKTKKPVDVKESECSEDGEKESDTSNSEDESASESEESMQADSEDREEVEEKMATKRSVVLDSENEAEVDGTETESEVGTDSTDNEIDDSDEEGESETQGSAEKTGSETEANVEEMRADTNVRMEAVQNESRNQMEELENEIEMGVEDENKEMCVMVSESGNGTGVLEDENKDMGVGVSGSGNGTGILEDDSNFAAKVKNREGDTLHPELLREASIEVNESLEQNDDIGEQGVSRTPSNNKTKEHSEFLDRGSESVEMLDELPIQNETCKKAVDSVSTSSDRLGKPLFKQTRRCGLCGVGTDGKRPKKLMQDNGDSDVEAHSGSSSSEEQNYDILDGFGDDPGWLGRLLGPINDRYGISGTWVHQNCAVWSPEVYFAGVGCLKNIRAALFRGRSLKCTRCARPGATIGCRVDRCPRTYHLPCARANGCIFDHRKFLIACTDHRHHFQPHGRQCQVRLTKMKTKRMRLEMKKHSNDAWRKDVEAEEKWFEKCGEDEEFLKRESKRLHRDLLRVAPEYIGGSDSESGKAFEGWDSVAGLEGVTQCMKEVVLIPLLYPEFFDNLGLTPPRGILLHGHPGTGKTLVVRALIGSLARGNRRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKCQPSIIFFDEIDGLAPKRSRQQDQTHSSVVSTLLALLDGLKSRGSVVVIGATNYPDAIDPALRRPGRFDREIYFPLPSVDDRAAIISLHTRKWPKPVSGYLLKWVAKETAGFAGADIQALCTQAAMIALNRSFPLQESLAAAELGVSSSNRAALPSFSVEERDWLEALSRSPPPCSRRGAGIAASDIFSSPLPTYLVPSLLPALCSLLVALHLEERILLPPLLSKAAVDVQNVIRSALSDKKITEGCWWSHVATLLHEVDVVKDIVQRLSYTGILDGGSDLVRSVASIPGTGDCSLGSAQFMVHRVCRRPGLLGNASVETTSKSGFQLLIAGGPKSGQRHLVSCILHCFIGNAEMQKIDTATISQEGNGDLVLGVTHLLIKCASRKSCVVFMPRIDLWAVETETPLNKGIECDDASLKENCYSLFREMGEEKALQNAVRVSHAWNTFFEQVESLRVSTKMMILATSGMPYKLLPPKIQQFFKTDLSKEYQPTMSEAVPQFNVQVVESSDHDIAIDLSATELSRRAIQVFLHLVHQGTHTHCGLQKKYKRENPDQGCRDAAYQNNTDHGAGEEAGVKSKPLDDGSLKVPPLPININVKAKSSLQLAVSTFGYQILQYPQFAELCWVTSKLKEGPSADVSGPWRGWPFNSCITRPCNSSEQTITSSDSNNVKGKDSTGIVRGLTAVGLSAYRGTYISLREVSFEVRKVLELLVGRINVKINAGKDRCRYIRILSQVAYLEDLVNSWVYAMRSFESTTQTESANPLPCSVVNPSVRNEPTEQGTSDQSKGSEEDLKEDTQNTNCPDPIASSNLTDTHQPVVEIANGHSGTNHEPFLEDTGHLTTHSTDGLTLVKENVDVISNTEMVIEDSGVSSFRQAVLLDLNSPAADHEQNETPHGSCEVETTGTVISLQGKADSLDNRNGSEDPNSISLKDPHKSADSNNGNAGDGVHGLESANNMPEPVEQVETTARTNPLDDPSLVCLYRCCSQCVSILQDSMHKLVTRELRLGRSSITTDGIHDAVSSLSVELIAAVRKFISAKNNGTMQEAKVEDHEECPEKEACSCKSLSGKFLASVECCSHSAELQGSLDEGNTYPSHKTWLEPLFVFRDGILVPVSTEDDRSLHCKYDRFCLGSLIELIATEMKPF >fgenesh1_pm.C_scaffold_3001305 pep chromosome:v.1.0:3:6325653:6331745:-1 gene:fgenesh1_pm.C_scaffold_3001305 transcript:fgenesh1_pm.C_scaffold_3001305 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFSAFRVSLSRISPFRDTRFSYPATFALAHTKRIMCSSTHSVSSSPSPSDISSSSSSSPSTFSLMGASENARWRPMCLYYTHGKCTKMDDPAHLEIFNHDCSKELPVAATDLGRKKSQEFNFFLVIDLEGKVEILEFPVLIVDAKTMEVVDLFHRFVRPTKMSEQAINKYIEGKYGEVGVDRVWHDTAIPFKQVVEEFEAWLAEHDLWTKDTDGALNDAAFITCGNWDIKTKIPEQCVVSNINLPPYFTEWINLKDIYLNFYGREARGMVSMMRQCGIKLMGSHHLGIDDTKNITRVVQRMLSEGAVLKITARRSKSNTRNVEFLFKNRIKPMNWPNLGCNRLCAGSSESQTATLCLCSFCQHLLHSPTEEDSHVLENCYVLEFIIFTNSNFRLSGSGLNLITSNYLIDMYCKCREQLIAYKVFDSMPERNVVSWTALMSGHVLNGDLNGSLSLFTEMGRQGIYPNEFTFSTNLKACGLLNALEKGLQIHGFCLKIGFEMMVEVGNSLVDMYSKCGRINEAEKVFRWMVGRSLISWNAMIAGYVHAGYGSRALATFGMMQEAKIKERPDEFTLTSLLKACSSTGMIYAGKQIHGFLVRSGFHCPSSATITGSLVDLYVKCGNLFSARKAFDQIKEKTMISWSSLILGYAQEGDFVEAMGLFKRLQELSSQIDSFVLSSIIGVFADFALLQQGKQMQALVVKLPSGLETSVSNSLVDMYLKCGLVDEAEKCFAEMQLKDVISWTVMITGYGKHGLGKKAVSIFNKMLRHNIEPDEVCYLAVLSACSHSGMIKEGEELFSKLLETQGIKPRVEHYACVVDLLGRAGRLKEAKHLVDTMPIKPNVGIWQTLLSLCRVHGDIELGKEVGKILLRIDGKNPANYVMMSNLYGQAGYWNEQGNARELGSIKGLQKEAGMSWVEIEREVHFFRSGEDSHPLTLVIQETLKEVERRLREELGYVYGLKHELHDIDDESKEENLRAHSEKLAIGLALATGGLNQKGKTIRVFKNLRVCVDCHEFIKGLSKITKIAYVVRDAVRFHSFEDGCCSCGDYCFFIDEQEKVAVVFDVDGYRDTETARYHTAFIIGDDGYFKSVSLGEAPNVYDGKPGHLGYTPQFYCPPLVCSSSYLPSFVSHS >fgenesh1_pm.C_scaffold_3001345 pep chromosome:v.1.0:3:6498884:6499985:1 gene:fgenesh1_pm.C_scaffold_3001345 transcript:fgenesh1_pm.C_scaffold_3001345 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKKTKSKFGCFSNVFGDRSRSSRWRKASKCKLHIQNLLCSIKLHRSRRECMVRQSRSDITQLLSNGRFSEALPKVSEINLNQLAKQFYEDERRLSAYDQVELFCTSILQNISSLKHESDVNLLLEETKEAMAGMIFAASRIGELEDLQHIRSLFVQRFGLQFDKDCVNLRQGNVVGSEIVKILDTSVRKDEITHIVMELSQKYQTNIATSADSISKDSASSDDLGISDPDVMKVEKMKRVRRKEVVKENSKFMHPNLGEFQGRDRSFMR >fgenesh1_pm.C_scaffold_3001376 pep chromosome:v.1.0:3:6638828:6640789:1 gene:fgenesh1_pm.C_scaffold_3001376 transcript:fgenesh1_pm.C_scaffold_3001376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7L4X8] MGYCVPPLGKSPTSHMGFSSFFLSFLFVFSVLSSQTAVAFIGTYGVNYGRIADNLPSPDAVATLLKSAKIRNTRIYDADHSVLLAFRGTGIEIIVGLGNEFLKDISVGEDRAMNWIKENVEPFIRGGTKISGIAVGNEILGGTDIGLWEALLPAAKNVYSALRRLGLHNVVEVSSPHSEAVFANSYPPSSCTFRDDVAPFMKPLLAFFGQIGSAFYINAYPFLAYKSDPITIDINYALFERNKGILDPKTKLHYDNMFDAMVDASYFALEKAGYTKVPVIVSETGWASKGDADEPGASLKNARTYNRNLRKRLQKRKGTPYRPDMVVRAYVFALFNENSKPGPTSERNFGLFKPDGTIAYDIGFTGHKSSSATRCRFRPSLVSASVFTFLFLLHRLLHL >fgenesh1_pm.C_scaffold_3001408 pep chromosome:v.1.0:3:6778144:6782215:1 gene:fgenesh1_pm.C_scaffold_3001408 transcript:fgenesh1_pm.C_scaffold_3001408 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTTLKSFNYLSLINHRLNHNTYAILSSRLPRFHQPATSSFSNSGFRFFQSNHLFFSSQSGSLMEVFKAAFSEGSNSCDRIAIKADGKSYSYGQLTSSAFRISKLFSNEDTTNGGETKKYEGFGSLKGARIGIVAKPSAEFVAGVMGTWFSGGVAVPLALSYPEAELLHVMNDSDISLLLSTEDHSETMKTIAAKSGAQFHLIPPVVNSTSETVARNQFQDDSFEAEGKFLDDPALIVYTSGTTGKPKGVVHTHNSINSQVKMLTEAWEYTSTDHFLHCLPLHHVHGLFNALFAPLYARSLVEFLPKFSVSGIWRRWRESYPQNDEKNNDAITVFTGVPTMYTRLIQGYEAMDKEMQDSSAFAARKLRLMMSGSSALPRPVMHQWESITGHRLLERYGMTEFVMAMSNPLRGARKAGTVGKPLPGVEAKIKEDENDSNGVGEICVKSPSLFKEYWNLPEVTKESFTEDGYFKTGDAGRVDEDGYYVILGRNSADIMKVGGYKLSALEIESTLLEHPTVAECCVLGVTDKDYGEAVTAIIVAESAIKKRREDESKPVMTLEELCGWAKDKLAPYKLPTRLLIWESLPRNAMGKVSKKELKKSLDNQE >fgenesh1_pm.C_scaffold_3001409 pep chromosome:v.1.0:3:6782567:6783244:1 gene:fgenesh1_pm.C_scaffold_3001409 transcript:fgenesh1_pm.C_scaffold_3001409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7L5L2] MVDPTIHNTTTYLEEIAKGNGKTEFEILILQDLELIHVGKGTLRCRLVVTDRVAGEDGSWNAGVITAVMDSIGASAVYSAGGGLHISVDLNSSFYSTARIHETVEIEARVNGSNGGLKSAVIEIRRETNGEIIATGRLWMAPLSLKVMHNVSTLSKL >fgenesh1_pm.C_scaffold_3001425 pep chromosome:v.1.0:3:6883967:6890889:1 gene:fgenesh1_pm.C_scaffold_3001425 transcript:fgenesh1_pm.C_scaffold_3001425 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPDR1/PDR1 [Source:UniProtKB/TrEMBL;Acc:D7L5N8] METLSRSLSRSLGELLASNSNNHFSRRSGSTIDDHDEEALKWAALEKLPTFARLRTTIIHPNDDLVDVTKLGVDDRQKFIDSIFKVTEEDNEKFLKKFRNRIDRVRIKLPTVEVRFEKVTVEANCHIGKRALPTLPNAALNIAERGLRLLGFNFTETTKVTILRDVSGVIKPSRMTLLLGPPSSGKTTLLLALAGKLDPSLKVTGRVTYNGHGLEEFVPQKTSAYISQNDVHVGVMTVQETLDFSARCQGVGTRYDLLSELVRREKDAGILPEPEVDLFMKSIAAGNVKSSLITDYTLRILGLDICKDTVVGDEMIRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQEIVRFTDATVLMSLLQPAPETFELFDDIILLSEGQIVYQGPRDHVLTFFETCGFKCPDRKGTADFLQEVTSRKDQEQYWAETAKPYSYISVSEFSKRFRTFHVGANLEKDLSVPYDRFKSHPASLVFNKHSVPKSQLFKVCWDRELLLMKRNAFFYVTKTVQIIIMALIASTVYLRTEMGTKDESDGAVYIGALMFSMIVNMFNGFAELALMIQRLPVFYKQRDLLFHPPWTFTLPTFLLGIPISIFESVVWVSITYYMIGFAPELSRFLKHLLVIFLTQQMAGGIFRFIAATCRSMILANTGGSLVILLLFLLGGFIVPRGEIPKWWKWAYWVSPMAYTYDALTVNEMLAPRWMNQRSSDNSTRLGLAVLEIFDIFTDPNWYWIGVGGILGFTILFNILVTLALTFLNPLEKQQAVVSKENAEENRAKNRAENGLKSKSISVKRGMVLPFTPLTMSFDNVNYYVDMPKEMKEQGVSKDKLQLLREVTGVFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKRQETFARISGYCEQNDIHSPQVTIKESLIYSAFLRLPKEVTKVEKMRFVDEVMELVELESLKDAVVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFETFDELLLMKRGGQVIYAGPLGRNSHKIIKYFQAIHGVPNIKEKYNPATWMLEVSSMAAEAKLEIDFADHYKTSSLYQQNKNLVKELSTPPQGASDLYFSTRFSQSLLGQFKSCLWKQWITYWRTPDYNLARFFFTLAAAVMLGSIFWKVGTKRESANDLTKVIGAMYAAVLFVGINNSSSVQPLIAVERTVFYRERAAEMYSALPYALAQVVCEIPYVLIQTTYYTLIIYAMLCFEWTVAKFFWFYFVSFVSFLYFTYYGMMTVALTPNQQVAAVFAGAFYGLFNLFSGFVIPRPRIPKWWIWYYWICPVAWTVYGLIVSQYGDVEDTIKVPGMANDPTIKWYIENHYGYDADFIVPIATVLVGFTLFFAFMFAFGIRTLNFQQR >fgenesh1_pm.C_scaffold_3001446 pep chromosome:v.1.0:3:7040019:7041155:-1 gene:fgenesh1_pm.C_scaffold_3001446 transcript:fgenesh1_pm.C_scaffold_3001446 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L650] MSTKLPSELEDEILFRVPPLSLTRFRTVCKRWNTLFNDQRFINNHLACVRPQFILRTEKDSKIYSIGINLDDSLEVRELNLETQGPKKLKVYRNLFYCDGFLLCPALIDEVAVWNPWLRQQTKWIEPKRTRFNLYGLGYDNRRPEKCYKILGFSYGYCSEIDGSNTKINPRVSIYEFETNMWKDLKFGLLDWHLRSPRTVLSLNGTLYWIAIRCESGGDCFIQSFDFSSERFEPFCLLPCKNDLGDTQILEVFRGDRLSVLEQCVTTKKIKIWVTKNRISGDRKELVSWRLLMTVSIPNFPRLQDHIYSNSQPSYFMDNNDDKRLIVCTCDEIGKPCIYIVKGDRFKKIQMDFEVDPWPFHLVYVPSLVPIPLAQEQQ >fgenesh1_pm.C_scaffold_3001447 pep chromosome:v.1.0:3:7041586:7044477:-1 gene:fgenesh1_pm.C_scaffold_3001447 transcript:fgenesh1_pm.C_scaffold_3001447 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAMDMSSGSDEEVQEEETTVNERVIYQAALQDLKQPKTEKDLPPGVLTVPLMRHQKIALEWMRKKEKRSRHCLGGILADDQGLGKTISTISLILLQKLKSQSKQKKRKSRKFGGTLIVCPASVVKQWAREVKEKVSDEHKLSVLVYHGSRRTKDPKELAKHDVVVTTYAIVTNEVPQNPLLNLYDSRSNKRGRESFEGSSLIQSHVGALGRVRWLRVVLDEAHTIKNHRTLIAKACFSLRAKRRWCLTGTPIQNKVDDLYSYFRFLRYHPYAMCNSFHERIKAPITKSPLYGYKKLQAILRGIMLRRTKVEWSFYRKLELYSRLKFEEYAADGTLHEHMAYLLLMLLRLRQACNHPQLVKGYSHSDTIEEMSDEVIVAPREDFIMFLDLLKLSSTICSVCSDPPKDPVVTLCGHVFCYECVSGNINGDDKTCPALNCSNELKHDVVFTESAVRSCINDYDDPQDKNALVMLQGDFISSKIKAVIELLQSLAQQGSPDTPPIKTIVFSQWTDVQVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRTVTVTRIAIKNTVEERILTLQERKRNIVASALGEKHGKSSAIQLTLEDLEYLFVFVKRNKISSYFISH >fgenesh1_pm.C_scaffold_3001448 pep chromosome:v.1.0:3:7045117:7047084:-1 gene:fgenesh1_pm.C_scaffold_3001448 transcript:fgenesh1_pm.C_scaffold_3001448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L652] MFLRLLESCIRSRNLILGQIIHQHLLKRSLTLSSSTVLVNLTRLYASCNEVELARHVFDEIPHPRINPIAWDLMIRAYVSNGFAEKALDLYYKMLNSGVRPTKFTYPFVLKACAGLRAIEDGKLIHSHVKCSNFAADMYVCTALVDFYAKCGELDMAIKVFDEMPKRDIVAWNAMISGFSLHCCLTDVIGLFLDMRRSDCLSPNLSTIVGMFPALGRAGALREGKAVHGYCTRMGFSNDLVVKTGILDVYAKSKCIIYARRVFDSDFKKNEVTWSAMIGGYVENEMIKEAGEVFLQMLVNADMAMVTPVAIGLILMGCARFGDLSGGRCVHCYAIKAGFILDLTVGNTVISFYAKYGSLCDAFRQFTEIGLKDIVSYNSLISGCVENCRAEESFRLFHQMKSSGIRPDITTLLGILTACSNLAALGHGSSCHGYCVVNGYAVNTSICNALMDMYTKCGKLYVAKRVFDTMHKRDIVSWNTMLFGFGIHGLGKEALSLFNSMQDTGVHPDEVTLLAILSACSHSGLVDEGKQLFNSMSRGDFNVIPRLDHYNCMTDLLARAGYLDEAYDFVNKMPFEPDIRVLGTLLSACWTYKNVELGNEVSKKMQSLGETTESLVLLSNTYSAAERWEDAAKIRMTQKKSGLLKTPGYSWVDVD >fgenesh1_pm.C_scaffold_3001453 pep chromosome:v.1.0:3:7071379:7072451:1 gene:fgenesh1_pm.C_scaffold_3001453 transcript:fgenesh1_pm.C_scaffold_3001453 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLKNKHMTVSLILVCLIVVSPMAEAQLGLGGGGGGGGGGLIGGLGGLVGGLGGLVGALVGGILNLVNINGVVFCSLNGALNGTSTPAFANAGVQLQCGRQNRVVSTATTNAAGLFTLPTDTIQMLLSTLLSDCRVVVTTPLSTCNANLPSVGNLVSRLAMIGNSLTGLLNIISIIPAGFGLLT >fgenesh1_pm.C_scaffold_3001462 pep chromosome:v.1.0:3:7138582:7139788:-1 gene:fgenesh1_pm.C_scaffold_3001462 transcript:fgenesh1_pm.C_scaffold_3001462 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSNLPRDLVEEVLSRLSVTSTRAVRSTCKKWNIISKDESFTTKHVAQANAAARESEFQVVMMMEYKLYLMSVNLHGIENNVDPSIEVKGKLISLNIADQIVYEIEIYNFNSDSWKALYGDRRFFVHGVSLKGNTYWFAREYVRYEGVQEVMNYRFGPRLPLPFDIFIIDNVSLSSVREEQLVVLHHQWMKLRMEIWVSNKIEPNAVSWRKLLEVNMRPLPRYRFRLDHGSFFIDEKNKVVVVLDKDEETETRNLVYFIGEDGYFKEVELGESRGKNGSPFVSSYVPSSVQVSNSQRRQLTIFD >fgenesh1_pm.C_scaffold_3001464 pep chromosome:v.1.0:3:7176353:7181284:-1 gene:fgenesh1_pm.C_scaffold_3001464 transcript:fgenesh1_pm.C_scaffold_3001464 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 13 [Source:UniProtKB/TrEMBL;Acc:D7L681] MVAGGKESSSMAKKNKRSHKRKREEDFERIDSLPWSSSIPIGEDDEGETFSTLFSGSGQLDGGFLSLEEIDEADYHLALPTVENGITERKQAQEDDDVTNETVDEIIEGEGEEEDGEEMEDEDDSESRKIREKKTKKNKEKKKEKKKKKQKKIKEAEKDQDTSDAVSCDDDYKVEEQVEGEEIPPEFSAWGLMRLHPLLMKSIYHLGFKQPTEIQKACFSIAAYQGKDVIGAAETGSGKTLAFGLPILQRLLDEREKVGKLYALKGEKAQKYAADGYLRALIITPTRELALQVTDHLKNAAENLNVKVVPIVGGMSSAKQERLLKGKPEIVVGTPGRLWELMSAGEKHLVELHSLSFFVLDEADRMVESGHFRELQSIIDLLPVTDRPNEGKMQTVQSSDTVSNAPKKKRQTFVFSATIALSSDFRKKLKRGSSKSKPSSSGEVNSIEVLSERAGMRDSVAIIDLTTASILAPKIEESFIKCEEEEKDAYLYYILSVHGQGRTIVFCTSVAALRHICALLKILGLDVCKLYSDMKQRARLKAIDRFRASENGILIATDVAARGIDIKNVRTVIHYQLPHSAEVYVHRSGRTARAFADGCSIALIAPSDSSKFYMLCKSFSKETVKIFPLDSSYMPAVRKRLSLARQIDQIERKGSREKADRTWLEKHAESMELELDDDESEEERVDNVRQRKATSAQLNKLQEELSSLLSRPMQPKKFSGRYFAGAGVSTLMQNQFIELSKQKQLQMQTGGKKKRRKLVVINQNCIEPLQALRAGGNEMMKMKGQSADKRRDIASLRKKRKEEKIDVYDLAVLCIFQVGVISEGTRRKREN >fgenesh1_pm.C_scaffold_3001465 pep chromosome:v.1.0:3:7188650:7190463:1 gene:fgenesh1_pm.C_scaffold_3001465 transcript:fgenesh1_pm.C_scaffold_3001465 gene_biotype:protein_coding transcript_biotype:protein_coding MQVALVWLLAFTILLIRGEGNNAICKEIFKLDPRPHSVSILEFGAVGDGKTLNTLAFQNAIFYLKSFADKGGAQLYVPPGKWLTGSFNLTSHLTLFLEKGATILASPDPSHWDVVSPLPSYGRGIELPGKRYRSLINGDNLIDVVITGENGTFDGQGAAWWEWLETGSLNYSRPHIIEFVSSKHILISNLTFLNAPSINIHPVYCSQIHIRKVLIETSVDSPYVLGVAPDSSDNVCIEDSTINVGHDAVSLKSGWDQYGIHYGRPTTAVYIRNLSLKSPTGAGISFGSEMSGGISDVTVERLNIQSSHVGVAFRTTRGRGGYIRNITISDVDLTSVDTAIVANGHTGSHPDDKFDWDALPVVTHIVLRNFTGVDIGLAGNLTGIGESPFTSICLTDIHLHTHSDSWICSNVSGFSDDVSPEPCQELMSSPSSCFAGGSIYGGDAAAQSYYIW >fgenesh1_pm.C_scaffold_3001469 pep chromosome:v.1.0:3:7209114:7211405:1 gene:fgenesh1_pm.C_scaffold_3001469 transcript:fgenesh1_pm.C_scaffold_3001469 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMSNLPRDLLEEILSRAPTDSMRAVRLTCKKWNTLAEEESFRKKQLLLAKAAKEFMHQSPQGRRRYKLKCNGKLINLTDVSQVFHCGGLVCITNGFSNTRDVLWNPYWGQTRWIEARSRHAYIYAIGYETKKSCRSYKIVTSANYYIDDKRVGYEIYELDSNSWRVLDLNSDWATAFYKMEVSVKEILIRILEQEVGVNSCFVLILQQRDLDRLCLCHSTLIVKILWLSLVLEKSNLQCYISDMIHYIWRYGLLPNDVSWRKLLLAVDMKPLTVCYGNFFVDEEKKVAVVFDKDKEIRHQSACFLVGCSYVPSSVQIKQLSKGKSN >fgenesh1_pm.C_scaffold_3001473 pep chromosome:v.1.0:3:7220554:7221733:-1 gene:fgenesh1_pm.C_scaffold_3001473 transcript:fgenesh1_pm.C_scaffold_3001473 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g16920/K14A17_4 [Source:UniProtKB/TrEMBL;Acc:D7L690] MVSKPLFSLLLLTVALVVFQTRSLVNAEDSEPSSSARKPRVKIVKGKTLCDKGWECKGWSEYCCNHTISDFFETYQFENLFSKRNSPVAHAVGFWDYRSFITAAAEYQPLGFGTAGEKLQGMKEVAAFLGHVGSKTSCGYGVATGGPLAWGLCYNKEMSPDQLYCDDYYKLTYPCTPGVSYHGRGALPVYWNYNYGQTGEALKVDLLSHPEYLENNATLAFQAAIWRWMTPPKKHLPSAHDVFVGKWKPTKNDTAAKRTPGFGATINVLYGDQICNSGFDNDEMNNIVSHYLYYLDLIGVGREEAGPHEKLSCADQEPFSSSSSAPTSSGSSS >fgenesh1_pm.C_scaffold_3001474 pep chromosome:v.1.0:3:7236878:7241425:1 gene:fgenesh1_pm.C_scaffold_3001474 transcript:fgenesh1_pm.C_scaffold_3001474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein [Source:UniProtKB/TrEMBL;Acc:D7L693] MDGDGSGRLIGSEIHGFHTLQDLDVQTMLEEAKSRWLRPNEIHAILANPKYFTINVKPVNLPNTGRIILFDRKMLRNFRKDGHNWKKKKDGRTVKEAHEHLKVGDEERIHVYYAHGEDNTTFVRRCYWLLDKARENIVLVHYRDTQEAGTTSGDSNSSPISVSDQAFPNLVTAEDIDFSIENSRYLASNNDTVVRNHDISLHDINTLDWDELLVPTDLNNQSAPTVDDLSYFTDSLQNAANGTAEHVNATVADGSLDALLNNGPQSRESFGRWMNSFISESNGSLEDPSFEPMVTPKQDPLAPQAVFHSHSNIPEQVFNITDVSPSWAYSSEKTKILVTGFLHDSYQHHANLYCVCGDFCVPAEYLQAGVYRCIIPPHSPGMVNLYLSADGHKPISQCFRFEHRSVPVLDKTVPEENQESKWEEFEFQVRLSHLLFTSSNKLNVLSSKIPPSNLRDAKKLASKTNHLLNSWAYLIKSIQGNKVSFDQAKDHLFELTLKNRLKEWLMEKVLEGRNTLDYDSKGLGVIHLFAILGYTWSVQLFSLSGLSLNFRDKQGWTALHWAAYYGREKMVAALLSAGARPNLVTDSTKDNLGGCMAADLAQQNGYDGLAAYLAEKCLIAQFRDMIIAGNISGDLEACKAEMLNQGTLPEDEQSLKDALAAYRTAAEAAARIQGAFREKALKAARSSVIQFANKEEEAKSIIAAMKIQNAFRKYDTRRKIEAAYRIQCRFQTWKIRREYLNMRRQAIRIQAAFRGLQARRQYKKILWSVGVLEKAVLRWRQKRKGFRGLQVAAEEDSSGEAQEDFYKTSKRQAEERLERCVVRVQAMFRSKKAQEDYRRMKLTHEEAQLEYDCLEDI >fgenesh1_pm.C_scaffold_3001476 pep chromosome:v.1.0:3:7246523:7246981:-1 gene:fgenesh1_pm.C_scaffold_3001476 transcript:fgenesh1_pm.C_scaffold_3001476 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAELVALIIIMCTSVTMSLAQNPMASPKIENPNTTVVIYNDLEGSWPMSYHCVSRDVDFGIRRMPSERDQESAVFGCKRCEWKIREDGPCKLNKKTGMFDLCPPWDSQLSENN >fgenesh1_pm.C_scaffold_3001477 pep chromosome:v.1.0:3:7247416:7247847:-1 gene:fgenesh1_pm.C_scaffold_3001477 transcript:fgenesh1_pm.C_scaffold_3001477 gene_biotype:protein_coding transcript_biotype:protein_coding MTICINVTISRAQNDIISPSSGFHNPRTTVVIYNDLGGHLPLRYHCKSKQDDLGDRTMAVNGTWSFEFRPSIFGRTLFFCGFRWDNELHWFDIYTQKRDKEFTKFGCRRCEWKIHKDGPCKLNKNTKMFDVCLPWNSQLSENN >fgenesh1_pm.C_scaffold_3001478 pep chromosome:v.1.0:3:7248256:7249377:1 gene:fgenesh1_pm.C_scaffold_3001478 transcript:fgenesh1_pm.C_scaffold_3001478 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:D7L697] MSTMKFCRECNNILYPKEDKEQKILLYACRNCDHQEVADNSCVYRNEVHHSVSERTQILTDVASDPTLPRTKAVRCAKCQHSEAVFFQATARGEEGMTLFFVCCNPNCGHRWRE >fgenesh1_pm.C_scaffold_3001481 pep chromosome:v.1.0:3:7254294:7256319:1 gene:fgenesh1_pm.C_scaffold_3001481 transcript:fgenesh1_pm.C_scaffold_3001481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein [Source:UniProtKB/TrEMBL;Acc:D7L6A0] MGRRRNIGFDKIIEERKMLSFFKIFQSSDLSSECMRAFSYSFISRLSRKDFSYKMVIRAQWGKTWHLEISKNPRYYYIERRGWDQFVSDNALGENEFITFTHRGNMVFHVNIYEQNGVEMLTPRKFQTMDSSSGIKKEGESSFKDVKKEEETDESAGRAEFVVRKRKTEESKSSKKKMERKKKKSKSKSKSKEVLNGVPEFKITIRKSYLKFLAIPKHFVDDHIPNKSKFFTVRHPNGIGSWKVLCLVREIRTIFSGGYSKLAREYPLMVGDKCTFKLIKPFEFVLLTSKKTRDEIDHYMID >fgenesh1_pm.C_scaffold_3001493 pep chromosome:v.1.0:3:7303554:7304448:-1 gene:fgenesh1_pm.C_scaffold_3001493 transcript:fgenesh1_pm.C_scaffold_3001493 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVGVAMGNIALGAETLGQINEAYKTKPMLKKPLDECSLRYKTIVDVDVHTAIIAVKGNPKFAEGAIVDAGVEASICEGGFTKGQSTLTSLTQRMEKICDVTRAIVRILL >fgenesh1_pm.C_scaffold_3001496 pep chromosome:v.1.0:3:7310982:7312643:-1 gene:fgenesh1_pm.C_scaffold_3001496 transcript:fgenesh1_pm.C_scaffold_3001496 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLHASSSLVSLRPRIDGRDSFINPSRVCLNPSLGRRGSKPLPLVAAAKKKKSKKDDNHSFSARPDEATGPFPESILLKEKKIDEEGDLLPEFADAEEKELYEFLDLQLQSDLNEERMRHYEVVYLIHEKHAEEVESINQKVQDFLKEKKGKVWRFSDWGMRRLAYKIQKAENAHYILMNFEIEAKYLNEFKGLLDSDERVIRHLVMKRDEAITEDCPPPPEFHSVRAGDEYYDDDEEEEEEFDVDEGEGEDEEDGDNIEYEVDDDGNVVMVLYGDEGAAEEEDGASEPEEGHDKSTNGRRETRRTVNVGG >fgenesh1_pm.C_scaffold_3001502 pep chromosome:v.1.0:3:7345475:7348604:-1 gene:fgenesh1_pm.C_scaffold_3001502 transcript:fgenesh1_pm.C_scaffold_3001502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7L6Q3] MAYPCVKRNVFSLLPLLVLLSVTPLSSSLSPSDKITKDLLYYLCTEPNIDSSFCIPWLTSDPTTFTLDLQGLLDLVFQKTQLLGYKSLAAMKGSVRTMPGSTLKIPFETCVKDYESAIKSIEEAQGFLTSKAYALASQGAAKAFISISVCEAQFEGRADVPAYGAKKMAYPCVKRNVFSLLPLLVLLSVTPLSSSLSPSDKVTKGLLYQLCTKPNIDSYFCIPWLNSDPTTFTLDLQGLLDLVFQKTQLLGYKSLAAMKGSVRTTTDPTLKIPFETCVKDYEVAIKAIEEAQGFVTSKAYPLASQGAAKAFISISVCEAQFEGRVNVPDYVAKLNSFFKRMCNIDRVFSDVLTS >fgenesh1_pm.C_scaffold_3001506 pep chromosome:v.1.0:3:7365813:7366948:-1 gene:fgenesh1_pm.C_scaffold_3001506 transcript:fgenesh1_pm.C_scaffold_3001506 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L6Q6] MMFADLPSDLESEILSRVPATSLKELQTTCKRWYTLFRDPRFVKKNLVTEMNTLIHSINLRGIQNSFDSSIGVDVKLYELKDPEHDKIRCIISHCDGLLLCTTKDNNSRVVVWNPCTGQTRWIQSNMLMNTYSLAKFEIYEFNSDSWRILDEISPDCFRRSDGVTLKGNAYWFGSNLESKSILKFDFTTERFGRLSLPFQNNYRSVFLSVVREEKLALIQQRLDTDSLKMNIWVTKTKIDEDKDLSWSNFLVVDFGRFPLTSETLSYMSFLISHIEKKIRIYFAKEGIQVHQKIARKPKGCCPFLVSYVPSLVQIQ >fgenesh1_pm.C_scaffold_3001515 pep chromosome:v.1.0:3:7404297:7405196:-1 gene:fgenesh1_pm.C_scaffold_3001515 transcript:fgenesh1_pm.C_scaffold_3001515 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLRKNGIMIPSPRSGDYRSFINLLLPYPLFIEFIHVQSINFSDNFHMLKTLKLRSGYFLFVLNRRQTLCGILNLHGRGFHAREDPPVLLRFYTHHLRTLVYASSKVLSLSNDHSPSNSSDDFKPYTLHTTSNCYVLPKLNSHYTAALANNFKHQSTFTFARTLVKALLRVAFLVPARITSLTPSSKPLRLLTMANRSSIDLLLEESSILFDLTCTNKLPSFWLKALKELLSINLTYLYIYLMLSLGYSLYCSALNFGNSVFLYLCTWFLH >fgenesh1_pm.C_scaffold_3001541 pep chromosome:v.1.0:3:7531905:7533300:1 gene:fgenesh1_pm.C_scaffold_3001541 transcript:fgenesh1_pm.C_scaffold_3001541 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 15 [Source:UniProtKB/TrEMBL;Acc:D7L7B2] MNRKASVSKELNAKHSKILEALLKHPDNRECADCRSKAPRWASVNLGIFICMQCSGIHRSLGVHISQVRSITLDTWLPDQVAFMKSTGNAKANQYWESELPQHFERSSSDTFIRAKYSEKRWVSPGGIQPAPIVSQLSCKVSHLVESGYKPETPKKARTLSLDEEILLHHVLQVTPPETRTRAGSVDMKENVYVVPLPVGMEFKKPNQKNEIFSSEINQNRRTTIAPPSSWATFDCKAMIQAFPFYLLLIFRL >fgenesh1_pm.C_scaffold_3001564 pep chromosome:v.1.0:3:7644558:7645112:1 gene:fgenesh1_pm.C_scaffold_3001564 transcript:fgenesh1_pm.C_scaffold_3001564 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPPWMLGGASTGVAAARNASEPEAPKTKSEKRPRRVQKPKEKDLSLKSEEPKRVRRKIGEKAKRSSNGPEMIQSVTGPEDDDLTVDDLLSFANEYVQRDEEETRKERERSLSMSETTLDLDESNTTTSSQGGTKDPTADEMLELLMGPFFKKR >fgenesh1_pm.C_scaffold_3001585 pep chromosome:v.1.0:3:7743813:7748574:-1 gene:fgenesh1_pm.C_scaffold_3001585 transcript:fgenesh1_pm.C_scaffold_3001585 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1270 [Source:UniProtKB/TrEMBL;Acc:D7L7Z7] MAVSAGALAFPALSVRATLNPENKDEQGNISTSSTQKFTYSRASPAVRWPHLSLRETYDSRASTPSQPLSSPVSPTDTPDSAEFVDSIGSSEHQKTKDETAVASRRRRVKKMNKVALIKAKDWRERVKFLTDKILSLKPNQFVADILDARPVQMTPTDYCFVVKSVGQESWQRALEVFEWLNLRHWHSPNARMVAAILGVLGRWNQESLAVEIFTRAEPTVGDRVQVYNAMMGVYSRSGKFSKAQELLDAMRQRGCVPDLISFNTLINARLKSGGLTPNLVVELLDMVRNSGLRPDAITYNTLLSACSRDSNLEGAVKVFEDMEAHRCQPDLWTYNAMISVYGRCGLAAEAERLFIELELKGFSPDAVTYNSLLYAFARERNTEKVKEVYQQMQKMGFGKDEMTYNTIIHMYGKQGQLDLALQLYKDMKGLSGRNPDAITYTVLIDSLGKANRSVEAAALMSEMLDVGIKPTLQTYSALICGYAKAGKREEAEDTFSCMLRSGTKPDNLAYSVMLDVLLRGNETRKAWVLYRDMISDGHTPSHSLYELMILGLMKENRSDDVQKTIRDMEELCGMNPLEISSVLVKGECFDLAARQLKVAITNGYELENDTLLSILGSYSSSGRHSEAFELLEFLKEHASGSKRLINEALIVLHCKVNNIGAALDEYLADPCVHGWCFGGSTMYETLLHCCVANEHYAEASQVFSELRLSGCEPSESVCKSMVVVYCKLGFPETAYQVVNQAETKGFHFACSPMYTDIIEAYGKLKLWQKAESVVGNLRQSGRTPDLKTWNSLMSAYAECGCYERARAIFNTMMRDGPSPTVESINKLLHALCVDGRLEELYVVVEELQDMGFKISKSSILLMLDAFARAGNIFEVNKIYSSMKAAGYLPTIRLYRMMIELLCKGKRVRDAEIMVSEMEEANFKVELAIWNSMLKMYTVIEDYKKTVQVYQRIKESGLEPDETTYNTLIIMYCRDRRPEEGYSLMQQMRNLGLDPKLDTYKSLISAFGKQKCLEQAEQLFEELLSKGLKLDRSFYHTMMKISRDSGSDSKAEKLLQMMKNAGIEPTLATMHLLMVSYSSSGNPQEAEKVLSNLKNTEVELTTLPYSSVIDAYLRSKDYKSGIERLLEMKKEGLEPDHRIWTCFVRAASFSKEKSEVMLLLKALQDIGFDIPIRLLGGRPELLVSEVDGCFEKLKSIEDNAALNFANALLNLLWAFELRATSSWVFQLAIKRGIFSLDVFRVADKDWGADFRRLSGGAALDASLEGYPESPKSVVLITGTAEYNGVSLDKTLKACLWEMGSPFLPCKTRTGLLVAKAHSLRMWLKDSPFCFDLELKDSVSLPESNSMDLIDGCFIRRGLVPAFNHIKERLGGFVSPKKFSRLALLPDEMRERVIKTDIEGHRQKLEKMKNKNKRNETNGVNTRRKFVRSK >fgenesh1_pm.C_scaffold_3001588 pep chromosome:v.1.0:3:7753117:7758068:1 gene:fgenesh1_pm.C_scaffold_3001588 transcript:fgenesh1_pm.C_scaffold_3001588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbamoyl phosphate synthetase small subunit [Source:UniProtKB/TrEMBL;Acc:D7L800] MAATKALGFVLPTGLFIQPSLNRRGGVRVSVFQCSVSSLTSTGTDFPISLFALSYNARLVLEDGSVWSAKSFGASGTRVAELVFNTSLTGYQEILTSPRLRSYAGQFVLMTNPQIGNTGVNPDDEESRQCFLAGLVIRSLSISTSNWRCMITLGDYLAERNIMGIYDLDTRAITRRLRESGSLNGVLSTDGSKTDEELLHMSRSWDIVGLDLISNVSCKSPYEWVEKTNPEWEFNTTSSHHKESYRVIAYDFGIKQNILRRLASYGCEITVVPSTWPAAEALEMNPDGILFSNGPGDPSAVPYAVETVKELLGKVPVYGICMGHQLLGQALGGKTYKMKFGHHGGNHPVCNNRTGHVEISAQNHNYAVDPASLPSGVEVTHVNLNDGSCAGLSFPAMNVMSLQYHPEASPGPHDSDNAFGEFVELMKRFKQST >fgenesh1_pm.C_scaffold_3001592 pep chromosome:v.1.0:3:7767787:7768241:1 gene:fgenesh1_pm.C_scaffold_3001592 transcript:fgenesh1_pm.C_scaffold_3001592 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTLFMVSCVLMFYVLSHVREVKSVAPKRVKKVCEKSQVFKQNCGWNGNKTCIRGFNKIKEYPFHCECGIYDELNSRRLCKCKFPYSPC >fgenesh1_pm.C_scaffold_3001611 pep chromosome:v.1.0:3:7850642:7851763:-1 gene:fgenesh1_pm.C_scaffold_3001611 transcript:fgenesh1_pm.C_scaffold_3001611 gene_biotype:protein_coding transcript_biotype:protein_coding MLMYLSQDLLEEILCRVPAISLKQLRSTCKRWNSLFNDKRGELRRVSISQVFHCDGLLIYINKGDTMMVVWNPFMGKIRWSQHIDRDKTHYDYVLGSYQDDKSGNTSYKVLRYMFWGSKKNLEICELYSSSWRILDVTTDCTFYAESTRASLKGKTYWFAFDEKDWQIYMVSFDYTTERFGSRMSLPYQFPIGSYKTVALSCVREEKLSVLLHLRDTSRKEIWVTNKIDETTQVLSWNKVLTLDYPDLGRCFLTGLSFLFDEENRKIVCCERRMSEDEAADWVYIVEEDNKVTQLCFGKCNDFDTLESDPYLFGYVPSLVQIEHPRHKTKRGD >fgenesh1_pm.C_scaffold_3001612 pep chromosome:v.1.0:3:7852544:7853662:-1 gene:fgenesh1_pm.C_scaffold_3001612 transcript:fgenesh1_pm.C_scaffold_3001612 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L8G8] MTLPELPKDLVEEILCLVPATSLKRLRSTCKRWNHLFKDDKRFARKHTDKAAKQYLPLMLTKDYRICPINVNTPSLEVKNELSLLDPHSAAQFNIDRVFHCDGLLLCTSQKESRFVVWNPLTGVTKWIELGDRYNEGMAFVLGYDNKSCNKSYKILSFNFCNKDSEIYEFSSDSWRVIDDIKPRHYLEYSRKCVSLKGNTYWFGIDRRRSLWDPSMALLKFDFGTEKFGYVPLPRPCQVHGFEASNLSVVRDEKLSVLLEDGSTSKTEVWVTNKIGENNVVSWSKVLALYPRADFWHGASCLLDEEKKVVLCCKSKRWMEEGDKVYIVGEDNKVKIMDSGVYTIEGSCPTILNYIPSLVQIERAGGKRKRGE >fgenesh1_pm.C_scaffold_3001626 pep chromosome:v.1.0:3:7913395:7918162:1 gene:fgenesh1_pm.C_scaffold_3001626 transcript:fgenesh1_pm.C_scaffold_3001626 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLQDGSERDKTPPPSSSSSSSPIPVVTNFWKEFDLEKEKSLLDEQGLKIAENQENSQKNRRKLAESTRDFKKASPEDKLSMFNSLLKGYQEEVDNITKRAKFGENAFLNIYQKLYEAPDPFPALASIADQDRKLSEVESENRKMKVELEEFRTEATHLKNQQATIRRLEERNRQLEQQMEEKIKEVVEIKQRNLAEENQKTMELLKDREQALQDQLRQAKDSVSTMQKLHELAQSQLFEIRAQSDEETAAKQSEVSLLMDEVERAQTRLLTLEREKGHLRSQLQTANEDTDNKKSDNVDSNSMLENSLTAKEKIISELNMEIHNVETALANERESHVAEIKKLNSLLNKKNFYFVLKPTVILLVLNQDTVIEEMKKELRERPSAKLVDDLRKKVKILQAVGYNSIEAEDWDAATTGEEMSKMESLLLDKNRKMEHEVTQLKVQLSEKTSLLEKAEAKGEELTAKVNEQQRLIQKLEDDILKGYSSKERKGALFDEWEFSEAGVAEQSEPMDQKHIPSDQDQSSMLKVICSQRDRFRARLRETEEEIRRLKEKIGFLTDELEKTKADNVKLYGKIRYVQDYNHDKVVSRGSKKYVEDLESGFSSDVESKYKKIYEDDINPFAAFSKKEREQRIKDLGIRDRITLSSGRFLLGNKYARTFAFFYTIGLHVLVFTCLYRMSAYSYLSHGAEETLMTEATTNLPHGL >fgenesh1_pm.C_scaffold_3001651 pep chromosome:v.1.0:3:8056550:8059026:-1 gene:fgenesh1_pm.C_scaffold_3001651 transcript:fgenesh1_pm.C_scaffold_3001651 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTDDACALQEPPDPEVLEVDPTFRYIRYKEVIGKGAFKTVYPFRYKAFDEVDGIEVAWNQVRIDDVLQSPNCLERLYSEVRLLKSLKHNNIIRFYNSWIDDKNKTVNIITELFTSGSLRHYRKKHRKVNMKAVKNWARQILMGLRYLHGQEPPIIHRDLKCDNIFINGNHGEVKIGDLGLATVMEQANAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLEMVTFEYPYCECKNSAQIYKKVSSGIKPASLSRVKDPEVKQFIEKCLLPASERLSAKELLLDPFLQLNGLTMNNPLPLPDIVMPKEGAFGDRCLMSEGPPTTRPSKTLSIDLDEDSNLPIVTFSDNSGSRCIEVRRAKRGNFFVLKGEENDEQSVSLILRIVDENGRVRNIHFLFYQEGDTASKVSSEMVEQLELTDQNVTFIAELIDILLVNMIPTWKTDVTVDHLIHSQLNQNSRSHHNEAKPQKQEETTFHDACESVSHSWNSDCPRSEEEDKQCVDATKGENGSSNQEAEEATEPVSLEEEERLRQELEEIEAKYQEEMKEIATKREEAIMETKKKLSLMKLK >fgenesh1_pm.C_scaffold_3001662 pep chromosome:v.1.0:3:8104525:8105841:-1 gene:fgenesh1_pm.C_scaffold_3001662 transcript:fgenesh1_pm.C_scaffold_3001662 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSVKISDKLSLIRVLRGIICLMVLVSTAFMMLIFWGFLSAVLLRLFSVRYSRKCVSFFFGSWLALWPFLFEKINRTKVIFSGDKVPCEDRVLLIANHRTEVDWMYFWDLALRKGQIGNIKYVLKSSLMKLPLFGWAFHLFEFIPVERKWEVDEANLRQILSSFKDPRDALWLALFPEGTDYTEAKCERSKKFAAENGLPILNNVLLPRTKGFVSCLQELSCSLDAVYDVTIGYKTRCPSFLDNVYGIEPSEVHIHIRRINVTQIPNQEKDINAWLMNTFQLKDQLLNDFYSNGHFPNEGTEKEFNTKKYLINCLAVIAFTTICTHLTFFSSMIWFKIYVSLACAYLTSATHFNLRSVPLVETAKKAFKLLNK >fgenesh1_pm.C_scaffold_3001667 pep chromosome:v.1.0:3:8125131:8131834:1 gene:fgenesh1_pm.C_scaffold_3001667 transcript:fgenesh1_pm.C_scaffold_3001667 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRTSKHKRSRSDPVPRKSLKNKKSSETLYNIDRFHMDERLQREIEQLKSLLKSRKLHPKRVSSDEIDLLLQEDEVLVRREMETVIRRKLFLEDSKNEDSSIPKEAKKLVREIAGLELQVMYLETYLLLLYRRFFNNKITSELKSEGKEISEAILGSTKVTDSPKNTVCSSQKVVEDSGIFRSHSSLSHCSGYSFRMSPQAMDSSYHRSLPFSMLEQSDIDEMIGTYVSENVHNSPNSLSEEMIKCILQVFRQLADPESLDDDRETSSPFRGKERLKVICRPYDKLLMVKSICRDPGKLNAVEPALKHFRSLVNKLEGVNPRKLNHEEKLAFWINIHNSLVMHSIIVYGNPKNSMKRVSGLLKAAYNVGGRSLNLDTIQTSILGCRVFRFLFASRSKGKAGDLGRDYSITHSEPLLHFALCSGNLSDPSNVMMELECGREEYVKSNLGISKDNKILLPKLVELYAKDTQLCNVGILDMIGKFLPCEARDRIQQCRNKKHGRFTIDWIWNDYRIFPISVNLHGNSPSLELKSELIDPHSKNSVAQFEISRVLHCDGLLLCSSHVDESRVLVWNPLTDDNKSWIKSYKMLSYYRGTKYFEIYDFDSDSWRILDDIIAPGGSIGFSELSVSLKGNTYWLARGITETPRIISLLKFDFSTDKSVPVPLPYQSRRFEATSLSVVREDKLSVLLQRDKSSKTEIWVTDKIDETTKVMSWSKVLALDLSPHLQIWNDASFLLGEEKKVVMCCEKLIDENKVKDMVYIVGEDNVVTEVGFGVDEMDGCRAVIFNYVPSLVQIEQAGGNRKRGN >fgenesh1_pm.C_scaffold_3001681 pep chromosome:v.1.0:3:8216026:8218680:1 gene:fgenesh1_pm.C_scaffold_3001681 transcript:fgenesh1_pm.C_scaffold_3001681 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB complex BAF60b domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L975] MVSDLDLVTRLREILGSSDLNTATPASVRRQLEADFGIDLTDKKAYIRDQIDTFLESNGAVEDKPESSKPEEDINAEEIKAEIEGGDGEDLDGDGSESEEEKEERPVKAKKRGGGFTKVSQLSPQLEKVVGASQLGRTEVVKKMWAYIRENDLQDPKDRRKIVCDELLHSLFRVKTINMFQMSKALTKHIWPLGDGDGCANNVKEEDEEEASEGTDKKGDQSEEVEENKEEESEEEEVRSLRKRKRKKPAKSVEKPKRKGGGGFAKVCSLSPELQAFTGVTELARTEVVKMLWKYIKENNLQDPNDKRIIICDESLRSLFPFESINMFQMSKLLTKHIWPLEDNAGESVSSNSPKNGKQKMESDGDSEEPNEKDKKQKKEVLAPLPLSVALVKFLGNNGESSLSRADVGKRLWEYIKQNDLQDPSDKRRIICDEKLKELFEVDSFEDTSFSTLLTNHFIKAEQ >fgenesh1_pm.C_scaffold_3001686 pep chromosome:v.1.0:3:8239033:8240145:-1 gene:fgenesh1_pm.C_scaffold_3001686 transcript:fgenesh1_pm.C_scaffold_3001686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor [Source:UniProtKB/TrEMBL;Acc:D7L981] MTERKRELEEEATSTSVLPLNKKTKLNDSDSSPDSHDVIVFAASSSSVASSAALASDECSVTTAKEENDQCSSISSGFFTRIAKNSSSFGVDLETHEIKTETETSTFINNKFRKETSPVSEGLGETTTEMESSSATERKQPKVSKTPTPTEIEDFLSELENDNKKRFIEKYNFDIVNDEPLEGRYKWDRL >fgenesh1_pm.C_scaffold_3001687 pep chromosome:v.1.0:3:8246260:8247467:-1 gene:fgenesh1_pm.C_scaffold_3001687 transcript:fgenesh1_pm.C_scaffold_3001687 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATIPT8 [Source:UniProtKB/TrEMBL;Acc:D7L982] MQNLTSTFVSRSIVPITSPRLRLPPPRSVVPMTTVCMEQSSKQKVVVIMGATGSGKSRLSIDLATRFSGEVVNSDKIQFYNGLKVTTNQMSIPERCGVPHHLLGELPPDDSELTTSEFRSLASRSISEITARGNLPIVAGGSNSFIHALLVDRFDPQTYPFSSEVSISSNLRYECCFLWVDVSVSVLFEYLSKRVDQMMESGMFEELAGFYNPRYSGSTIRTHGIHKTIGIPEFDRYFSLYPPENNHKMPEWDQARKAAYEEAVQEIKENTWRLAKKQIERIVKLKSSGWDIQRLDATPSFRRSSREIWDKTVLDESVKVVKRFLVKDKVGVIC >fgenesh1_pm.C_scaffold_3001689 pep chromosome:v.1.0:3:8257469:8262158:1 gene:fgenesh1_pm.C_scaffold_3001689 transcript:fgenesh1_pm.C_scaffold_3001689 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAYTFPVLPSSCLLCAISNRGTSFVVDRPELQISGLLVVRSESGEIFGSGLSLRRFQREGRRRLNAGGGGIHVVENAPSRTSSLAASTSAIEIPVTCYQLIGVSDQAEKDEVVKSVINLKKADAEEGYTMEAAVARQDLLMDVRDKLLFEPEYAGNLKEKIAPKSPLRIPWAWLPGALCLLQEVGQEKLVLDIGRAALRNLDSKPYIHDIFLSMALAECAIAKAAFEANKVSQGFEALARAQCFLKSKVTLGKLALLTQIEESLEELAPPCTLDLLGLPRTPENAERRRGAIAALGELLRQGLSVEASCQIQDWPCFLSQAISRLLATEIVDLLPWDDLAITRKNKKSLESHNQRVVIDFNCFYMVLLAHIAVGFSGKQNETINKAKTICECLIASEGVDLKFEEAFCSFLLKQLSATGPTCWIAQGSEAEALEKLKQLESNSDSAVRNSILGKESRSTSAAPSLEVWLTESVLANFPDTRGCSPSLANFFRGEKKYLENKKMGSPSIMNHKTNQRPLSTTQFVNSSQHLYTAVEQLTPTDLQSPVVSAKNNDESGASMPSVQLKRNLGVHKNKIWDEWLSQSSLIGRVSVVALLGCTVFFSLKLTGIRSGRLQRLPISVSGKPHSESDSFLWKTESGSFRKNLASVNRNGIVGNIKVLLDMLKMDHGEHPDALYLKSSGQSATSLSHSASELHKRPMDTEDAEELVRQWENVKAEALGPTHQVYSLSEVLDESMLVQVTVSWQTLAQTAKAKSCYWRFVLLHLEILQAHIFQDGIAGETAEIEALLEEAAELVDESQPKNAKYYSTYKIRYTLKKQEDGSWKFCQSDIQIQK >fgenesh1_pm.C_scaffold_3001698 pep chromosome:v.1.0:3:8297126:8298905:-1 gene:fgenesh1_pm.C_scaffold_3001698 transcript:fgenesh1_pm.C_scaffold_3001698 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWHFQIAVYFAFGFFFLRLFLDRFVFQRIAVWLLSTGSSPMKLNDATTRAKIVKCKESLWKLLYYAACDFCVLQVVYHEPWARDIKLYFDGWPNQELKLSIKLYYMCQCGFYMYGVAALLAWETRRKDFAVMMSHHVITIILLSYSYLTSFFRIGAIILALHDASDVFMETAKIFKYSEKEFGASVCFALFALSWLLLRLIYFPFWIIWATSIELLDYLDMTSAEGTIMYYSFNTMLLMLLVFHIYWWYLICAMIVRLLKNRGKVGEDIRSDSEDDD >fgenesh1_pm.C_scaffold_3001708 pep chromosome:v.1.0:3:8352381:8354910:1 gene:fgenesh1_pm.C_scaffold_3001708 transcript:fgenesh1_pm.C_scaffold_3001708 gene_biotype:protein_coding transcript_biotype:protein_coding MCCRRHLTESMETNQWRSKKKIESAAETLQASSRRSRVQARMVAPVNGVRPDRARKSLSEKLDTVAFNSPKKDARVNLYGDKSVVDEIFLEDEEMGLETGLGNRESSPFCGVSDRLLQRIELLGRDHEAKRLDNNNIRSTETMKKRQEESSGDDLIEMKTKFQTLAVENSQLKKSLVAKEELAVSLQERKFQVESEFEALMTRLDSTEKENAFLRYEYNVLEKDLEVKTEETEHTRRSMELTHKQQLRNVNKIVELEAECQRLRLLFRKKFPEKSISMRNEGEEKKMEMRRRNANKSDLMMRDEVQSRKLKYDLLMEQIGNVRAENKNLMDIIMKKNMEIKDLSRGQKPLEASSFDIRSESSVISPCGSKEMKLLMDDFNEMEKLAIVCTEKDPRVDDEKEGSFDWIQVVLSAITKQERISKRGVKELLQDIKIALGCMDENDNAARKKGEEDPLCITWKSPSESGPMTKDEIKRHLGLTKADKVEKIECDEKQELRNKLEESEEKIRNLEAEIKTLRESKEAVEAEMETEKSMKGDLDTNLNIIRAKLNETQKKLSSLEVELDYRKSCCEELEGTCIELQLQLESVETKKPTQRNKNGWDIATASVKLSECQETITNLRKQLRALSTTETSSTMKFLHKRSSLRENIEDSTAKDSSYKAEDDNNRVSHDDDGNHYNALIVYEPVKARGEKMEMVQRKKQGLGFLKKLLFRRKRVSSKKCLALTM >fgenesh1_pm.C_scaffold_3001711 pep chromosome:v.1.0:3:8380628:8381872:1 gene:fgenesh1_pm.C_scaffold_3001711 transcript:fgenesh1_pm.C_scaffold_3001711 gene_biotype:protein_coding transcript_biotype:protein_coding MYERWLVENRKNYNGLGEKERRCKIFKENLKFIDEHNSLPNQTFEVGLTRFADLTNDEPKDFMKADRYLYKEGDILPDEIDWRAKGAVVPVKDQGNCGSCWAFSAVGAVEGINQIKTGELISLSDQELIDCDRGFVNAGCEGGVMNYAFEFIINNGGIESDQDYPYTATDLGVCNADKKNNTRVVKIDGYEYVAQNDEKSLKKAVAHQPVGVAIEASSQAFKLYKSGVFTGTCGIYLDHGVVVVGYGTSSGEDYWIIRNSWGLNWGENGYVKLQRNIDDSFGKCGVAMMPSYPTKSSFPSSFDFLSEI >fgenesh1_pm.C_scaffold_3001715 pep chromosome:v.1.0:3:8395921:8398317:1 gene:fgenesh1_pm.C_scaffold_3001715 transcript:fgenesh1_pm.C_scaffold_3001715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:UniProtKB/TrEMBL;Acc:D7L9Z2] MAKWRLATATLRRHLQSPSPTISAFKDPTKSLSAAAHHCSRSYSTAQTDDSRGNWLTLPPFSPTIDGTAVGKDLLSDGDSVKASTDNSKTTALRWILRCRPDLPRTLVQKLFRLRQVRRQMSLSVDGHELQRSQLKRVAAKESLNVGDRIYLPLSVDNDTPPTPPAKKESFQCSEEERKFVCSLVLYKDPAIIVLNKPHGLAVQGGSGIKTSIDELAATCLTFDKSESPRLVHRLDRDCSGLLVLARTQTAATVLHSIFREKTCGASAYGVKKNIKSLKRKYMALVIGCPRRQKGQISAPLRKVVVDDGKSDRITVNDNGELVSTQHAITEYKVIESSPHGYTWLELRPLTGRKHQLRVHCAEVLGTPILGDYKYGWQAHKAREPFVSSETTPTKPSSSPFGLDLDGGDVSSKQPHLHLHSKQIDLPNISQLLEKMQVSSDSDISDLDSLKFDAPLPSHMQLSFNLLKSRVETCDKN >fgenesh1_pm.C_scaffold_3001730 pep chromosome:v.1.0:3:8483495:8486354:-1 gene:fgenesh1_pm.C_scaffold_3001730 transcript:fgenesh1_pm.C_scaffold_3001730 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor family protein [Source:UniProtKB/TrEMBL;Acc:D7LA12] MSVAKNLSPERESKRQKNIPEIMEPSFPLLSPDNCGHWYIRHGVCIVCKSTVDKNIQGRVFDGLQLSSEALALTKRLTTKFSCLNMKKLHLVLDLDHTLLHSVRVQFLSEAEKYLIEEAGSTTREDLWKMKVKGDPIPITIEYLTKLRPFLREFLKEANKLFTMYVYTKGTRRYAKAILKLIDPKKLYFGHRVITRNESPHTKTLDLVLADERGVVIVDDTRNIWPNHKSNLVVIGKYKYFRFEGRVLKPHSEEKTTDESENNGGLANVLKLLKEVHRKFFRVEEEVESQDVLFINKETMSVVENFSSEPQAKRQKIEPKINESSSSLSSSSSCGHWYVFHGICIACKSTVNKSQGRAFDYIFNGLQLSHEAVALTKCFTTKFSCLNDKKLHLVLDLDHTLLHTVMVPSLSQAEKYLLEEAGSATREDLWKIKAIGDPMEFLTKLRPFVREFLKEANQMFTMYVYTKGSRGYAKQVLELIDPKKLYFEDRVITKNESPHMKTLDLVLAEERGVVIVDDMRTVWPDHKSNLVDISKYTYFRLKGQESMPYSEEMTDESESDGGLANVLKLLKEVHSRFFRVEGRQVAATRNRI >fgenesh1_pm.C_scaffold_3001732 pep chromosome:v.1.0:3:8489820:8493148:-1 gene:fgenesh1_pm.C_scaffold_3001732 transcript:fgenesh1_pm.C_scaffold_3001732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,4-xylosidase [Source:UniProtKB/TrEMBL;Acc:D7LA14] MSVGRFVGVSLLIAALVSSLCESQKNFACDRNDPATAKYGFCNVSLSYEARAKDLVSRLSLKEKVQQLVNKATGVSRLGVPPYEWWSEALHGVSDVGPGVRFNGTVPGATSFPATILTAASFNTSLWLKMGEVVSTEARAMHNVGLAGLTYWSPNVNIFRDPRWGRGQETPGEDPLVVSKYAVNYVKGLQDVQDAGKSRRLKVSSCCKHYTAYDLDNWKGIDRFHFDAKVTKQDLEDTYQPPFKSCVEEGDVSSVMCSYNRVNGIPTCADPNLLRGVIRGQWRLDGYIVSDCDSIQVYFDDIHYTKTRLNMNCGDFLGKYTENAVKLKKLNGSEVDEALIYNYIVLMRLGFFDGDPKSLPFGQLGPSDVCSKDHQMLALEAAKQGIVLLENRGDLPLSKTAVKKIAVIGPNANATKVMISNYAGVPCKYTSPLQGLQKYVPEKVVYEPGCKDVNCGEQTLISAAVKAVSEADVTVLVVGLDQTVEAEGLDRVNLTLPGYQEKLVRDVANAAKKTVVLVIMSAGPIDISFAKNLSTISAVLWVGYPGEAGGDAIAQVIFGDYNPSGRLPETWYSQEFADKVAMTDMNMRPNSTSGFPGRSYRFYTGKPIYKFGYGLSYSAFSTFVLSAPSIIHIKTNPILNLNKTTSIDISTVNCHDLKIRIVIGVKNRGQRSGSHVVLVFWKPPKCSKTLVGAGVPQTQLVGFERVEVGRSMTEKVTVEFDVCKALSLVDTHGKRKLVTGHHTLVIGSNSDQQIYHHLNVRLAGDSTKQRSLMEGLIPYLIHAIKKDYKPDHQRYRSMSVGSSRSYRPLMMGQEGSSSMQGSSHRRTRSDYNPPAIMDKSDQRSSGFGQEFVNKDSSSQNIATKT >fgenesh1_pm.C_scaffold_3001733 pep chromosome:v.1.0:3:8493541:8495630:-1 gene:fgenesh1_pm.C_scaffold_3001733 transcript:fgenesh1_pm.C_scaffold_3001733 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSVFDASEIKSEFESAGINPNFAIPIWKYVIQNPDCVWDEIPSLPSAAYSLLHSKFKTLTSSLHSLFHSSDGTTSKLLIKLQNGAFVEAVVMRYDTRLGMLGGKPRPGGIRSTLCISSQVGCKMGCTFCATGTMGFKSNLTSGEIVEQLVHASRIADIRNIVFMLLPWFDDCDQGMGEPLNNYNAVVEAVRVMLKQPFQLSPKRITISTVGIVHAINKLDNDLPGVSLAVSLHAPVQEIRCQIMPAARAFPLQKLMDALQTFQKNSQQKIFIEYIMLDGVNDQEQHAHLLGELLKTFQVVINLIPFNPIGSTSQFETSSIQSVSSFQKILRETYKIRTTIRKEMGQDISGACGQLVVNQPDIKKTPGTVELRDIEDLHL >fgenesh1_pm.C_scaffold_3001754 pep chromosome:v.1.0:3:8627909:8631546:1 gene:fgenesh1_pm.C_scaffold_3001754 transcript:fgenesh1_pm.C_scaffold_3001754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LA51] MTTTTPEKTPARPLSIHDWDVLIDDFRDAGAPRDWFTSVFQIDSLVDFALSSLLKKDFPTPVKLSILVFLDEFSPILFDKCGNDTFDRFIDVLRAIVQSPTDGSSGFKEQAMVSFTSVLVSIDSFSVGHVEAVVDLLLALVNRPNHGFDRQARAIACECLRQLEKAFPGLLSDVAGHLWSLCQAERTHAVQAYLLLFTTIVYNVVNQKLKVSLLSTSVPLVPFNAPNWMRDQSLIMSHGQGLGPDQKELRRTLAFMLESPYLFTSCAMMEFMGMVVPLASALELQASMLKVQFLGMIYSFDPMLCHVVLLVYSQFPDAFEGQEKEIMRRLMLFSKETQIYLVFRLLALHWLMGLLNKLMLSGELEKRKSVLEMGQKFHPVVFDPLALKALKLDLLVQCSVSSNALSGGDNSKSAGDLLQDCLVSVSDFKWLPSWSSETELAFRTLHKFLICASTHSDSDPSTTRSLMESSLFQNVQGLLVDMTLEFQILVPVIVAFIERLIHCHKHQWLGERFLQTVDENLLPKLKKKNLLTAYFPLFHRIAENDTIPPSRLIELLTKFVISLVEKRGFDVGLKLWDQGTEVLGICRTLMSHHKSSRLFLGLSRLLSLTCLYFPDLEVRDNARIYLRMLVCIPGQRIKNILKPADAVSPSTHSSTFFSVQSPRFRHDPSKSRNLSSYIHLERVTPLLVKQSWSLSLPSLSVGTDGYSIIENKIQVDEVEPDGSQELQILPEARRIESGKPTLRVMDSKIAEILERLRRYFSVIPDFKHMPGIKVRITCTLRLDAEPYSSIWGSESQKIDLEKVDSPPAIFATVLKFSSSAPYGSIPSCRIPFLLGEPHWNSNVPNEEVSLDIVLVENTLKEEEKDGLRGAPVTVELEPREPTPGLVEVSMEANAENGQMIQGKLESVPVGIEDMFLKALAPVDEPEDTIPSYYSDLFNALWEVCGSSSSTAHETFALKGGKTAAAVSGTRSVKLLEVPAEIVIQATELRLAPFVVAISGEQLVNIVRDGGIIENIVWKEEEEEQGDRANADQSSSSSVGLNRGPLRLTYIGYGDDQEVPMTRSRGKMGKIKMLMFLPPRYHLMFEMEVGEGSTLVHIRTDYWPCLAYVDDYLEALFLQ >fgenesh1_pm.C_scaffold_3001761 pep chromosome:v.1.0:3:8668093:8669841:1 gene:fgenesh1_pm.C_scaffold_3001761 transcript:fgenesh1_pm.C_scaffold_3001761 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAFVLEGGGGGRSYEGGVTAFVIITCIVAAMGGLLFGYDLGISGGVTSMEEFLTKFFPQVESQMQKAKHDTAYCKFDNQMLQLFTSSLYLAALVASFMASVITRKHGRKVSMFIGGLAFLIGALFNAFAVNVAMLIIGRLLLGVGVGFANQSTPVYLSEMAPAKIRGALNIGFQMAITIGILVANLINYGTSKMAQHGWRVSLGLAAVPAVVMVIGSFILPDTPNSMLERGKNEEAKQMLKKIRGADNVDHEFQDLIDAVEAAKKVEYPWKNIMESRYRPALIFCSAIPFFQQITGINVIMFYAPVLFKTLGFGDDAALMSAVITGVVNMLSTFVSIYAVDRYGRRLLFLEGGIQMFICQLLVGSFIGARFGTTGTGTLTPATADWILAFICVYVAGFAWSWGPLGWLVPSEICPLEIRPAGQAINVSVNMFFTFLIGQFFLTMLCHMKFGLFYFFASMVAIMTVFIYFLLPETKGVPIEEMGRVWKQHWFWKKYIPDDAIIGGHDDDNNTN >fgenesh1_pm.C_scaffold_3001772 pep chromosome:v.1.0:3:8748373:8752423:1 gene:fgenesh1_pm.C_scaffold_3001772 transcript:fgenesh1_pm.C_scaffold_3001772 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISDLPRNLVGKILSMVPITCLGAVRCTCKGWNALSKVRILCKAETRHQFVGFIMKKYKLCSVRALFNLHGTFNEEGANEFVYPSIKELGNLFNQVKISRVFQCDGLLLCMTKEDNTRLVVWNPYLGQISYAIGYDNNRNHKILRFVDFYDSKVKHKFLEYEIYDFSSNSWRVLDITPGWEIESYQRGASLKGNTYFIAKEKIIFEEDGEYPEPPDYLLCFDFTTESFGQFLPLPFEHYLYDAGALSSQPNAVSWNPFLKVDMKPHCSFGFHFHYDGGSFFIDEEEKVGVVIHFDASEMTRYEDAAYIIGKNGYVKKVRLGEAPANQGGSLNFKYCCPLVCCSSYVPSLAQINQITGFEKEREMKRKRTNEHKEEDLYN >fgenesh1_pm.C_scaffold_3001777 pep chromosome:v.1.0:3:8773834:8775683:-1 gene:fgenesh1_pm.C_scaffold_3001777 transcript:fgenesh1_pm.C_scaffold_3001777 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYAKLYDKYSKLKKKKFSEYDEVNREQEEKFLNFVSASEELMEHLRGENQNLLEIVEKIRNEMTSIRHVHHILRSGRDDKCLEYQKLLREEEQKNKALSEEVVKLKELVKEGHPRNYEDQSGRKQETKTPETARVTTRSMRKRSRLSEDMVETDIVSPQISKHHKAKETLLVSQPQCCKTTYDGSSSSASCTFQALGEHLLGMKLSTNNEGEHVCIVASHPTTGLSFSLTFINNPIGEESELLYEVVSLGTFQRVAPEWMREVIKFSTSMCPVFFARVSRVIKLYC >fgenesh1_pm.C_scaffold_3001837 pep chromosome:v.1.0:3:9128883:9136070:1 gene:fgenesh1_pm.C_scaffold_3001837 transcript:fgenesh1_pm.C_scaffold_3001837 gene_biotype:protein_coding transcript_biotype:protein_coding MESILARALEYTLKYWLKSFTRDQFKLQGRTAQLSNLDINGEAIHASMGLPPALSVTTAKVGKLEIMLPYVSNVQTEPVVVQIDKLDLVLEENPDADVTKGPSSSQSPTAAKSNGYGFADKIADGMTLQVKVVNLLLETGGGAHREGGAAWAAPLASITIRNLVLYTTNESWKVVNLKEARDFSTNTGFIYLFKKLEWEALSIDLLPHPDMFTEANLARSEEENLRDDDGAKRVFFGGERFLEGISGQAYITVQRTALNSPLGLEVQLHIPEAVCPALSEPGLRALLRFLTGMYLCLNRGDVDPKSQQSAEAAGRSLVSVLVDHVFLCIKDAEFQLELLMQSLLFSRACVSDGESANYLTKILIVGLFLRDAFSRAPCALVQPSMKTAAEDLAIPEFAKNFCPLIYPLDSGPWQIVQDVPLISLHSLQVKPSPKPPHFFSKTVIQCQPLMVHLQEEACLRISSFLADGIVVNPGDVLPDNSVNSLLFTLKELDVSVPLDLSNLEDSAIKEDLSIKKSFIGARLHIENLSFAESPTLKVRLLNLEKDPACFCLWPGQPIDASQKKWTAGASHFSLALETSPNSTELQSPRGPEMGLWNCVEGKDVSIEVAMVSADGKPLITIPPPGGIVRIGVACEQYISRASVEQLFFVLDLYSYFGKVSEKISIVKESKRQNTVSLTGGLLEKVPSDTAVKLALKDLQLKFLESSFTSTQDMPLVQFLGKDLSVKVTHRTLGGAVAVSSNIYWENIEVDCVDTDLQQEHENSWNGHLVSCNGSTPLRRVFWVVNGRHDGHSGSTVMTPFLDISITHVIPLSEKDMECHSVSIVACISGVRLGGGMSYAEALLQRFGILNLDGGPGEGLSRGLEHLSSGPLSKLFKSSIVDDRKKDGTPGNWNGDGFPHLKRPDDIDVSIELRDWLFALEGREGVGTGILNNEDIGREERCWHTNFRTFRVLAKSTPKNVDPNGTENQYDAHKYPVDSIIVSVEGLQTVKPQMQKGTDSCNGLSTNGVHENGHMHGGVNIEANIVASEDKSVHDDSLNWVAESLKFSVKQPVEAIVTKDELQHLTFLCKSEVDAMGRIVAGVLRVLKLEESIGQATLNQLSNLGSEGFDKMFSPKASRAGSPKSSPFAASSDSMREISLRTNLESTISSIEEASMELEAKCSALVSDLNDSESSAKHANELKQKLESLQSLMAKLRTQI >fgenesh1_pm.C_scaffold_3001843 pep chromosome:v.1.0:3:9156181:9160809:-1 gene:fgenesh1_pm.C_scaffold_3001843 transcript:fgenesh1_pm.C_scaffold_3001843 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 6 subunit B [Source:UniProtKB/TrEMBL;Acc:D7KZW4] MAGDDLAETKKGSSKNPKNSNESKLKQKSPAEFFAENKNIAGFDNPGKSLYTTVRELVENALDSAESISELPEVEVTIEEIVKSKFNSMIGLIDRERVDTQLYDDYETEKAREKRLAKEARASEIQAKNLASGKKNKEPGVSKVLKARGEASYYKVTCKDNGKGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEISSSMKSQNYVTFCRLDIDIHRNIPHIHLHEKKGNKEKWHGAEIQVVIEGNWTTYRSKILHYMRQMAVITPYAQFLFRFISETPEKNVTIKFTRRTDVMPPIPIETKHHPSSVDLLLIKRLITDTSKKTLLQFLQNEFVNINKTLATRLIGEMGPDFGPSMAVKSVTSQQMVRIHQLFRQAKFDDPSGDCLSPAGEYNLRLGIIKELHPDMVATYSGSFTYFVANLSFVSLSVDFFLETLFSAQVFEGHPFIVEAGVSLGGRDGINIFRFANRIPLLFEQGADVVTRTALKRINWNSYKINQTQDKIGVFVSIVSTKIPFKGTGKEYIGDDISEIATAVKSAIQQCCIQLKSKIVKRLQAREQQERKRSLSRYIPDATGAVYEVLKQMTEEHTTKRKRYGEEDTVMLNKVSKHIITKETLKEKLAEHVEQVDYEMALEYATQSGVSEEPRENIYLQHLDPNKSNFIDLHSPNFVFRLML >fgenesh1_pm.C_scaffold_3001851 pep chromosome:v.1.0:3:9210232:9212555:1 gene:fgenesh1_pm.C_scaffold_3001851 transcript:fgenesh1_pm.C_scaffold_3001851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KZX4] MANKISETASKMDDYEVVEQIGRGAFGSAFLVIHKSERRKYVVKKIRLAKQTERCKLAAIQEMSLISKLKSPYIVEYKDSWVEKDCVCIVTSYCEGGDMTQMIKKSRGIFASEEKLCRWMVQLLLAIDYLHNNRVLHRDLKCSNIFLTKENEVRLGDFGLAKLLGKDDLASSMVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEVAAHQPAFKAPDMAGLINKINRSSLSPLPVMYSSSLKRLIKSMLRKNPEHRPTAAELLRHPHLQPYLAQCQNLSPVFKPVVSKSEHNTYENRIGLPPKTKSVKTPIKHNQEAEETEKRNKNTSSGSKDKERPAKSQEMSLISTLTLLREFQKKSPKSEERAEALESLLELCAGLLRQEKFDELEGVLKPFGDETVSSRETAIWLTKSLMNVKRKQNDDETNL >fgenesh1_pm.C_scaffold_3001853 pep chromosome:v.1.0:3:9217790:9219733:-1 gene:fgenesh1_pm.C_scaffold_3001853 transcript:fgenesh1_pm.C_scaffold_3001853 gene_biotype:protein_coding transcript_biotype:protein_coding MADPACIFKNGYVDYYDYSFNYATSLSRIYNSHDSFYYPHHTTNPNINTNPNFTSPDSPPLREALPLLSLSPIHKHQEPIAHHHEYYFMETTETSSNSNFIDHDLGQSQETHRHLDVAVDLHLGLPNLGDGGSSSSDVVLESTDFQEHHHDHHQDQGVEVTIASDHDDDHGGLQRGNHLHHFWIPTPSQILMGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLKLPCYCCAPGCKNNIDHPRARPLKDFRTLQTHYKRKHGVRPFACRRCGKAFAVKGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHVKAFGNGHVPCCGIDHEEEEAASDVEQQE >fgenesh1_pm.C_scaffold_3001854 pep chromosome:v.1.0:3:9225219:9226663:1 gene:fgenesh1_pm.C_scaffold_3001854 transcript:fgenesh1_pm.C_scaffold_3001854 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAGTRLNHSAKATVFNDFEFEGSEKKKKKKLLESSNRFDHPNQEALLILCAIDNVLQRVSPIIISDLYGDGPDGREMGPKRQRMIDQGPPGPFYGPHPGSGFMYNPYGFVAPPPPPPFPAVRLRGLPFDCAEIDVVEFFHGLDVVDVLFVHKNNKVTGEAFCVLGYPLQVDFALQKNRQNMGRRYVEVFRSTKQEYYKAIANEVAESRVHGMASGGGGGGGGGTGGGNGGGGGGGGGRISGGSSPRRHVQRARSSDDGKEDIEHTGTLRLRGLPFSAGKEDILDFFKDFELSEDSVHVTVNGEGRPTGEAFVEFRNAEESRAAMVKDRKTLGSRYIELFPSSVEELEEALSRGR >fgenesh1_pm.C_scaffold_3001861 pep chromosome:v.1.0:3:9295292:9297430:1 gene:fgenesh1_pm.C_scaffold_3001861 transcript:fgenesh1_pm.C_scaffold_3001861 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPIIDPLQGDFPEVIEEYLEHGVIKCVAFNHRGSLLAAGCADGGCVIWDFETRGIAKEIRDNDCSAAITSVSWSKYGHRLLVSAADKSLTLWDVSTGEKIARTILQQTPLQARLNPGLSSPSLCLACPLSSAPMIVDFDIDCTTLLPVAVPEMPDVLAPPQRSKCPESNPPFSPAAACFNKCGDLVYIGNSKGEILIVDYKSVRVLALVPVPGAAPVKNIVFSRNGQYLLTNSHDRTIRIYENLLPAKNVLRSLEDLGKNIDGLDGVEKMKTVGSKCLTLFREFQDSVTKMHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPIIVSVSLAGLVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPETEKVKVLDVNEDEEVDIETVEKDAFSDSDMSVEELRYLPAEPIPDTNDQLDNLVESIKLIEGQISASPASEEAGQNGHHASSPQGDDVVTNAWLSIAEEMGETRGKRKRKPSEKAMELQAEKAKPLKGSGRTVRAKGRAGVDQETDDSINGDDDDDDDAYY >fgenesh1_pm.C_scaffold_3001866 pep chromosome:v.1.0:3:9324168:9326090:1 gene:fgenesh1_pm.C_scaffold_3001866 transcript:fgenesh1_pm.C_scaffold_3001866 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTGKQNCGRVVLVSCLALLAAGLVADFLWASSHRFSSVGMSLPSSVTTGKDTEKKKKDKVRERKLSATFQDLAAPELKWEKMTAAPVPRLDGAAIQIRNFLYVFAGYGTIDIVHSHVDIYNFVDNTWGGRFDMPKEMAHSHLGMVTDGRYIYIVTGQYGPQCRGPTAKTFVLDTDTNSWSDFVPFPVPRYAPATQLWRGRLHVMGGSKENRHTPGLEHWSIAVKDGKAMEKEWRSEIPIPRGGPHRACVVVDDRLFVIGGQEGDFMAKPGSPIFKCSRRMEVVFSDVYMLDEEMKWKVMPSMPKPDSHIEFAWKVVNNSIVIVGGTTEKHPETKKMVLVGEIFQFNLNTMKWYVIGKLPYRVKTTLVGYWEGQLYFTSGQRDKGPDDPAPRKVMAEMWRTKLILNP >fgenesh1_pm.C_scaffold_3001901 pep chromosome:v.1.0:3:9506721:9508458:-1 gene:fgenesh1_pm.C_scaffold_3001901 transcript:fgenesh1_pm.C_scaffold_3001901 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYNTMMVIYKAAPIAIIAILIALFWISRMLCGKNSQTSSTVTPQRLIEFSMEELRQATNNFDLDNNHLGFGCYGLVYTGLIGDRIVAIKREWLHLQPRFLDEVSYMSKIQHENLVNLIGYCCEEGNQLLVFEYMVKGNVRDYLGDSSDFTFKKRISVALDAAKGLLHLHNLDPPVQHKRFRTGKVLLDADLNAKVSDAGMLGLLQDRDLRLLNPRGGLEETIDVYSFGLFLLELITGEKPGLLQSTFEIMQWILLRRSTNTLLDDNMPGTFTNQSLEAYMKITIECLTYPAIDRPKMDMVVTELETIYQNEVINEEHEVALGSELFNITIQ >fgenesh1_pm.C_scaffold_3001904 pep chromosome:v.1.0:3:9521215:9522786:1 gene:fgenesh1_pm.C_scaffold_3001904 transcript:fgenesh1_pm.C_scaffold_3001904 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEEHLSLGEFHVSNLIKNHISRGSPIQALVLYGGIRRRGVYFPGWVPLILKACACVVPRVVLGKLLHSESIKFGVCSDVMVGSSLISMYGKWGCVVSARKVFDEMPERNVATWNAMIGGYMGNGDAVSASGLFEEISVCRNTVTWIEMMKGYGKRKEIEKARELFERMPFELKNVKAWSVMLGVYVSHRKMEDARKFFEDIPEKNSFVWSLMISGYFRIGDVHEATSVFYRVFARDLVIWNTLITGYAQNGYSGDAIDAFYNMQGEGYEPDAVTVSSVLSACAQSGRLDVGREVHSLINRKGIELNQFVSNALIDMYAKCGDLENATSVFESLSLRSLACWNSMISCLAIHGKGNEALEMFRTMGSLDLKPDEITFLAVLTACVHGGFLMEGLKIFSEMKTQDVKPNVKHFGCLIHLLGRSGKLKEAYRLVREMPVKPNDTVLGALLGACKVHMDTEIAEQVMKIIVTVGSITNSDCENHLASISNLYAHTERWETAEALRVEMEKRGLEKSPGLSSVVLT >fgenesh1_pm.C_scaffold_3001914 pep chromosome:v.1.0:3:9565679:9567508:-1 gene:fgenesh1_pm.C_scaffold_3001914 transcript:fgenesh1_pm.C_scaffold_3001914 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g21580/MIL23_14 [Source:UniProtKB/TrEMBL;Acc:D7L0U5] MNQSNLATPSLSLTVTLIPKHPIRHLTAPIHNRNRNFANPKLFFPLRLNETPSSLTSKRVFVVRATVDGDGKTSNWVNRLPIPGLGAENVFRLISSATGSPIGQFISSPVTFLHSVDPRIKLVWLLTLVVLPARANIVVRLGLVVCTALLSILVLPRQVWMDQLARVSLLSGILFITLGLGSDGAPPMLQSRTPPSSITSLPNLPMSLSGYSYMLLKLGPLQFTRKGLSVGSTAACLTFIIFQSASICLATTTPEQLALALRWFLFPLTYIGVPVGEIILTLLLSLRFINLVFDEVRSVSLGIVSRRVNWQQLTVLETLDIFASFIRRIFKNIFRHAEQISQAMIVRGFRGESSSHKIYFFSGSSNKFADFASVLSLIGVISTALLSEYFLV >fgenesh1_pm.C_scaffold_3001915 pep chromosome:v.1.0:3:9569124:9570341:-1 gene:fgenesh1_pm.C_scaffold_3001915 transcript:fgenesh1_pm.C_scaffold_3001915 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTQQNQSPQKVNEEVLLENPNDRVHLVDKPEPLELALSFLGGDGEIMENPEFLGDFMWEKSSSASGMNNNEIDWKEYAPKAEEYKSVVAKAIAEGTGHIIKGIFTCSNSYSKKIRKGGTIAEEVEERSGDISEIGGGDNNETKKENKHNKNLQRAEKLWKVSEAIGMAVIEGEDMVSGWMVAPVVKSRLGKALLSTAPGELILASLDSFHNIIGAAEAAEIQTHSATSMAVTRLVSKR >fgenesh1_pm.C_scaffold_3001916 pep chromosome:v.1.0:3:9571577:9573199:-1 gene:fgenesh1_pm.C_scaffold_3001916 transcript:fgenesh1_pm.C_scaffold_3001916 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGPSKTSRTRNHEKETMTRQNPSPQPQTMRTEEVLLQIPRCRVHLIDESEAVELASGDFKLVKVSDNGVTLAMIVRIGHDLQWPVIRDEPVVKLDARDYLFTLPVKDGDPLSYGVTFSGDDRDVALVNSLKLLDQFLSENSCFSSTASSKVNNGIDWQEFAPRIEDYNNVVAKAIAGGTGHIIRGIFSLSNAYSNQVHKGGDIMITKAEESQRNGGYNNGNSSGNEKKNGINTNLQRVRKLSKATENLSRTMLNGAGVVSGSVMVPVMKSKPGMAFFSMVPGEVLLASLDALNKILDATEAAERQTLSATSRAATRMVSERFGENAGEATGDVLATAGHAAGTAWNVLKIRKTFYPSSSLTSGVVKNAPRK >fgenesh1_pm.C_scaffold_3001930 pep chromosome:v.1.0:3:9640587:9641691:-1 gene:fgenesh1_pm.C_scaffold_3001930 transcript:fgenesh1_pm.C_scaffold_3001930 gene_biotype:protein_coding transcript_biotype:protein_coding MSNWRHKVWRNLSSFQGSCYSTTPSRTNKLKLDDLRKIRPMILRRIENRAKDYPVKEIVPVAEEILIARKNLLSNVTALLKVFPVLTCKFCSEVFVGKEGHLIETCRSYIRRGNNRLHEWVPGSINDILVPVESYHLHNISQGVIRHQQRFDYDRVPAILELCCQAGAIHPEEILQYSKIHDNPQISDEDIRSLPAGDLKYVGTNAQMAWEKVRAGVKKLLLVYPSKVCKRCKEVHVGPSGHKARLCGVFKYESWRGTHYWEKAGVNDLVPEKVVWHRRPQDPVVLVDEGRSYYGHAPAIVSLCSHAGAIVPVKYACKMKPQGLSFSFTNSVPNLET >fgenesh1_pm.C_scaffold_3001941 pep chromosome:v.1.0:3:9699558:9700443:-1 gene:fgenesh1_pm.C_scaffold_3001941 transcript:fgenesh1_pm.C_scaffold_3001941 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCP2_1 [Source:UniProtKB/TrEMBL;Acc:D7L0X7] MLTVAGDDELDPVVGPEPPTEAATPRVLTIISHVMEKLVARNEWLAKQTTGFGKSLEAFHGVRAPSISIAKYLERIYKYTKCSPACFVVGYVYIDRLAHRHPGSLVVSLNVHRLLVTCVMIASKILDDVHYNNEFYARVGGVSNADLNKMELELLFLLDFRVTVSFRVFESYCFHLEKEMQLNGDVSSLKDIQPMQESLSPSSSLSSLYE >fgenesh1_pm.C_scaffold_3001943 pep chromosome:v.1.0:3:9708784:9710166:1 gene:fgenesh1_pm.C_scaffold_3001943 transcript:fgenesh1_pm.C_scaffold_3001943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (B-box type) family protein [Source:UniProtKB/TrEMBL;Acc:D7L0Y0] MEPKCDHCATSQAVIYCKSDLAKLCQNCDFHVHSANPLSHRHSRSLICQKCFSQPAVIRCLGEKVSYCQRCHWHASNCSDLGHRVQRLNPFSGCPSPTDFVKMWSSILEPSVSSLVSPFVGSLPLNDPNNTMFGMAKINELDGLIGSPYSMVPHSFNVTQNFSDQLSFFSVESKGYPDLVLKLEEGEEDLCEGLNFDNAPLNFDVGDDIIGCSLEEPIEPDHTVPNCLLIDKNNTSVTASNFTIDNTSASSPGQQMNINTGLPLPLSPVLFGQIHPSLNISNVTGESNAADYQDCGMPPGFITSEAPWESNLEVSCPQARTQAKLRYMEKKLKRSFGKQIRYASRKARADTRKRVKGRFVKAGDNYDYDPSSPTTNN >fgenesh1_pm.C_scaffold_3001947 pep chromosome:v.1.0:3:9744246:9745245:1 gene:fgenesh1_pm.C_scaffold_3001947 transcript:fgenesh1_pm.C_scaffold_3001947 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFVTKRLFSVPILAMVAMQLSFVQCVLSLNQTNEYLHHICLNRQGTYKSGSKDERDLNNLIQMISISILGDVVVFSGDNSIYVKLQCRGDSSTSKCRSCLDTAFSGKMSQQQRIIWYDNCVLTILTFHTYGKIDYQNNFYISNAKDVSGDTNSFNEKTRALLYKLKEKASSKENIPNKKNYLYATGEESLGKMKLYAMVQCTQDLSIKNCSVCLNWILAKFPKCCNGKQGGRFLSTSCNFRYELYPFVKLTTS >fgenesh1_pm.C_scaffold_3001951 pep chromosome:v.1.0:3:9771261:9772450:1 gene:fgenesh1_pm.C_scaffold_3001951 transcript:fgenesh1_pm.C_scaffold_3001951 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTFGSVPILTVVAIQLFLIRNVLSLNLTNAYLHHKCNNTQGIYKRGTAFEKNLNIALRTVIFNGDFRTGFRYGDVGEDPNTVFVMYQCRGDSYWSNCRTCVTTALSGASTKALLYEIKPYNIVFDCGVDIYGYAYDSFERDIDYENDFFLSNPKNVSNRELFNRETSALLEKLTNKATDKKNIDGANQLVLYAAGEKRIGTKKVYAMVQCTKDLVFTTCSSCLEWIFRMYSECCDGKQGGRVLSTSCNFSIIHEKRLYCKGKKI >fgenesh1_pm.C_scaffold_3001952 pep chromosome:v.1.0:3:9774093:9775467:1 gene:fgenesh1_pm.C_scaffold_3001952 transcript:fgenesh1_pm.C_scaffold_3001952 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYSLSKRLVSIPILAIQLLLIRSVSSLNLTNDYLNHKCLVSQGKYRPGDKYEDNLNFLTQVLSYNFPDGFIHISYGEAPSFVAVILQCRGDSYDSKCLSCYATALSGLRRRCQRNKGRVIWYDQCFLFINSIKSSPRKNDYRNAFSMHNPNNMIKDTELFNKKTRDFLYELMLEATTPNRTMMLYAAGEKKLGTKKLYAMVQCAQDILRCKGCLEWSINELSKCCHSKQGARVLGTECTLRYELYPFLRG >fgenesh1_pm.C_scaffold_3001955 pep chromosome:v.1.0:3:9793882:9795003:-1 gene:fgenesh1_pm.C_scaffold_3001955 transcript:fgenesh1_pm.C_scaffold_3001955 gene_biotype:protein_coding transcript_biotype:protein_coding MYVEIDSTNLLSTPLTDVVACLIFFVYNKKTDKYFTIRDTEVKRFNALRTVWGLSQVLSLETFNDPKNGYIFEGDQCEFGVDVLVAPSLTKWEVVSFNQKISNPKFSWTLKKFKELKEEFYDSVKFLVGGRQWFLKVYPKGDIRARDKSLSIYLFLSKSETLNAEEKIYTRVHVRLLDPLGSTHHVAWTLTYWYTKQNTGYGWDKFASLDKLRAQYLDNEGSLNIEIEFAVVSSTKYSPSV >fgenesh1_pm.C_scaffold_3001990 pep chromosome:v.1.0:3:10043963:10045390:1 gene:fgenesh1_pm.C_scaffold_3001990 transcript:fgenesh1_pm.C_scaffold_3001990 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYCSGDASSRVHHLGLHKALCVLMGWNFSKAPDNSKAYQNLPAEEAAINQAQLIIWPPHVIVHNTSTGKGKEGRMEGFGNKTMDNRIRELGLTGGKSKSLYGREGHLGITLFKFAGDDSGLREAMRMAEYFEKINRGRKSWGRVQTRTPSKDDEKNPSLVEVDGRTGEKKRIFYGYLATVTDLDKVDMDTRKKTTIESLRELTGKK >fgenesh1_pm.C_scaffold_3001993 pep chromosome:v.1.0:3:10054554:10056531:1 gene:fgenesh1_pm.C_scaffold_3001993 transcript:fgenesh1_pm.C_scaffold_3001993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:D7L1Q3] MASGTSKIAKDVTELIGNTPLVYLNKVAKDCVGHVAAKLEMMEPCSSVKDRIGYSMIADAEAKGLIKPGESVLIEPTSGNTGVGLAFTAAAKGYKLVITMPASMSVERRIILLAFGAELILTDPAKGMKGAVAKAEEILAKTPNGYMLQQFENPANPKIHYETTGPEIWKGAGKYLKEQNKNIKLYGVEPVESPILSGGKPGPHKIQGIGAGFIPGILDVDLIDEVVQVSSEESIDMARLLAREEGLLVGISSGAAAAAAIKLAKRPENAGKLIVAVFPSFGERYLSTVLFDAARKEAETMTFEP >fgenesh1_pm.C_scaffold_3002000 pep chromosome:v.1.0:3:10090971:10091881:-1 gene:fgenesh1_pm.C_scaffold_3002000 transcript:fgenesh1_pm.C_scaffold_3002000 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKRSRSKQALMAEPNQSQNQKQSKPTPFPRLFTAFSSFKSFTENDAVASPTSILDTKPFSVLKNPFGSDNPKTHEPETRLRLEPKRIGLAIVDSLIQDETPEPGPRSGTILFGSQLRIRVQDSPRSSSDFGIKTRNSQPETKKPDPGSGLGSPRIISGYFSASDMELSEDYTCVTCHGPNPRTIHIFDNCIVESQPGVVLFRRSDPVNESDPDYSPPDSFLSSCCNCKKSLGPRDDIFMYRGDRAFCSSECRSIEMMMSEENDIK >fgenesh1_pm.C_scaffold_3002011 pep chromosome:v.1.0:3:10140964:10151731:-1 gene:fgenesh1_pm.C_scaffold_3002011 transcript:fgenesh1_pm.C_scaffold_3002011 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYLPPDLVEEILSRVPATSLIRLRSTCKLWNTLFKHPKFAEKQFRKAPKESFVLMLKEYRVCPMNVNLNVSPPHIEFKGALALSNYPSNSEEVYIHEVFHCDGLLLCRTMDYRLVVWNPCLGETRWIQTEKKYSRLALGYEKNKSGHIYKILKCRDNIPYGQVDEFEIYDFSSDSWRVLDVVALDCFILSSIGVSLKGNTYWRALDKVNYYQFLVNFDFTAERFTRLCLPPFQNVPERFERMCLPLVVGRMALSVVGEKQLSVLSQSDSTSKIDIWITNEIDNDIKGVLQWSKSFTVDIPFGGYNYLFFLSFLNDEEKKVALCCDRSCQIGKNKVYIIGEGDENYTEIVYAESTNKLWWHPFIFSYVPSLVQIQQGRCKRE >fgenesh1_pm.C_scaffold_3002031 pep chromosome:v.1.0:3:10319643:10320272:1 gene:fgenesh1_pm.C_scaffold_3002031 transcript:fgenesh1_pm.C_scaffold_3002031 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRVAIVGPNGAGKSTLLNLIAGDLVPTEGEVRRSQKLRIGRYSQHFVDQLSMWETPVEYLLRLYPDQEGCSKQEAVRAKLGKFGLTGENHSTPTAKLSGGQKARVVLTSISMSKPHILLLDEPTNHLDMQTIDALADALDEFKGGVVLVSHDSRLISRVCEDEEKSEIWLVENGTVTFFRGTFEEYKEELIGEIKAEVDELTSLVGC >fgenesh1_pm.C_scaffold_3002040 pep chromosome:v.1.0:3:10426146:10428186:1 gene:fgenesh1_pm.C_scaffold_3002040 transcript:fgenesh1_pm.C_scaffold_3002040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7L2J0] MIGQLMNLKATELCLGLPGGAEAVESPAKSAVGSKRGFSETVDLMLNLQSNKEGSVDLNNVAAAPKEKTTLKDPSKPPTKAQVVGWPPVRNYRKNMMTQQKTSGVEEASSEKAGSGGGGAAGAGLVKVSMDGAPYLRKVDLKMYKSYQDLSDALAKMFSSFTMGNYGAQGMIDFMNESKLMNLLNSSEYVPSYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAIGLAPRAMEKYCKNRY >fgenesh1_pm.C_scaffold_3002043 pep chromosome:v.1.0:3:10442127:10443867:-1 gene:fgenesh1_pm.C_scaffold_3002043 transcript:fgenesh1_pm.C_scaffold_3002043 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFMDFFNKPSVTETFVDILLCAVPIWVAVMIGLLIGWSWRPRWTGLVYLGFRSKLRFLLTAPPGFGARRIWLAFTALSVFSVCRTLWSKIGSTSKRSSVNNGLAPSSKPVEEEAVSDIVTEKEQDVVTEKDLEHLLYLLKDGNANLEWQSMMDKSTPNMSYQAWRHEPETGPVVYRSRTVFEDATPDIVRDFFWDDEFRPKWDPMLAYFKTLEEDPHTGTTIVHWIKKFPFFCSDREYIIGRRIWESGKKYYAVTKGVPYQALPKRDKPRRVEVYFSSWIIKAVESRKRDGQLSACEVSLVHYEDMGIPKDVAKLGVRHGMWGAVKKLNSGLRAYQSARKPGTSLSRSAQMASITTKLNMDLVETSGAEEEERGRAVENARKQKDQVSVDWKWIVVGGVALACGLHSSAIGKALMVGAGHRLARR >fgenesh1_pm.C_scaffold_3002050 pep chromosome:v.1.0:3:10579117:10579539:-1 gene:fgenesh1_pm.C_scaffold_3002050 transcript:fgenesh1_pm.C_scaffold_3002050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 42 [Source:UniProtKB/TrEMBL;Acc:D7L2L4] MKNSSLLFVLIVVFVISSSENQKMVGEAKKCKAGWACSGEDICKEKCMAKYNGVGTVTYFSFPPETITILCDCVYDC >fgenesh1_pm.C_scaffold_3002051 pep chromosome:v.1.0:3:10581220:10581596:-1 gene:fgenesh1_pm.C_scaffold_3002051 transcript:fgenesh1_pm.C_scaffold_3002051 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSTILFVLVVFFFISSSGERKKCFDGWTCLGEDKCKVKCMAKHKGVGTCNLYTIPSFPAPGASYICDCKFDC >fgenesh1_pm.C_scaffold_3002054 pep chromosome:v.1.0:3:10594426:10595738:1 gene:fgenesh1_pm.C_scaffold_3002054 transcript:fgenesh1_pm.C_scaffold_3002054 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVQRKVNKFGKKVASFPHVETIGRSLFASSFFLSAWHDYMELRANWIGTEDYWSPKFGYSGDQIKYLMTVSIIVRTLGGLIFIYGSFSGAFLLLMYQGIATMIHHDFYNHHIDTEEFGLLYLKLKRILNETVSYNTAYNFYKSDFDEQQIEQVKSKFRELADHAMTNPALFGHNEFLRRLLSFVKGLAVVGALLFFLDMKHRLNKAKNQSKVKTD >fgenesh1_pm.C_scaffold_3002061 pep chromosome:v.1.0:3:10653268:10654364:1 gene:fgenesh1_pm.C_scaffold_3002061 transcript:fgenesh1_pm.C_scaffold_3002061 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRSLPLELQEEILSRVPAKSLARLRSTSKRWNALLKSGSFAKIHSANAPTESLIMIIMLKDSRVYLEIVNLHGVHNNVAPSFELGSRLYLKEPHICNVFHCEGLLLLCTIKENRLEVWNPCSGETKLIKPRNSYYKESNFFALGYANKSSGKKYKVLSVDRRDHVPGISNNEYEIYDFTNDSWRVLGVTTNRIIRQNHPVFDFSTERFQSLSLPQPFPYIVAALSVVREEQLCLLGYYNKETYSEDLNLWVTSSIGSVMSWSKFLTVHTINPGREILFADGMSFLAVEQTKVLVCFSNQILRIVGENNNIQHVDDHDRDSMSRSSCSVLLKYVPSLAQIQ >fgenesh1_pm.C_scaffold_3002062 pep chromosome:v.1.0:3:10656243:10660245:1 gene:fgenesh1_pm.C_scaffold_3002062 transcript:fgenesh1_pm.C_scaffold_3002062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein [Source:UniProtKB/TrEMBL;Acc:D7L3A0] MADPASCYIHHERDIDQALVVLKKGTQLLKYSRKGKPKFRAFRLSPDEKTLIWFSRGEEKGLKLFEVSRIVPGQRTRFLRPEKDHLSFSLLYNNRERSLDLICKDKAETEVWFAGLKFLIEKSRNRRARSEIPEIHDSDTFSVGRQSIDFVPNNIPRGRTSIDLGYQNNSDVGYERGNMLRPSTDGFRISVSSTPSCSSGGSGPDDIESLGDVYVWGEVWTEGILPDGTVSKETVKTDVLTPRPLESNVVLDVHQIVCGVRHVALVTRQGEVFTWGEEVGGRLGHGIQVDISRPKLVEFLALTNIDFVACGEYHTCVVSTSGDLFSWGDGIHNVGLLGHGSDISHWIPKRVSGPLEGLQVLSVACGTWHSALATANGKLFTFGDGAFGVLGHGNRESVSYPKEVQSLNGLKTVKVACSIWHTAAIVEVMGQTGTSMSSRKLFTWGDGDKNRLGHGNKETYLLPTCVSSLIDYNFHKIACGHTFTVALTTSGHVFTMGGTAHGQLGNSISDGKLPCLVQDRLVGEFVEEIACGDHHVAVLTSRSEVFTWGKGANGRLGHGDTEDKRTPTCVEALRDRHVKSLSCGSNFTSSICIHKWVSGADQSICSGCRQAFGFTRKRHNCYNCGLVHCHACSSKKALKAALAPTPGKPHRVCDACYSKLKAAESGYSSNVNRNVATPGRSIDGSVRSDKETTRSSKVLLSANTNSVRSSSRPGLTPDSSNARASQVPSLQQLKDIAFPSSLSAIQNAFKPVIAPTTTPPRPLVVGPSSPSPPPPPRSSSPYARRTSPPRTSGFSRSVIDSLKKTNEVMNQEMTKLQSQQRCNNQGTEIERFQKAAKDAFELAAKQSSKHKAATEALKSVAEQLKELKDKLPPEVSESEAFESINSQAEAYLNANEALETSLLTTSGQEQETYQKTEEQVSSNSSITEASNSSRPPSTEASSSRTSGKESKEQFEPGVYVTYEVDMNGNKIFRRVRFSKKRFDEHQAEDWWTKNKDRLLKCYGSNSSSSSSNPTASDSPVAPQPPSDPSVPEQSNEKEPDSET >fgenesh1_pm.C_scaffold_3002072 pep chromosome:v.1.0:3:10730666:10731439:1 gene:fgenesh1_pm.C_scaffold_3002072 transcript:fgenesh1_pm.C_scaffold_3002072 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPMKGLLKGLRYIARIFEDEKEPEMQIGIPTDVKHVAHIGWEGPSATTPSWMHDFKSQDRTKTETKGSSNKKPGSSGEKHRNKGRRKTSTGNNSPAESPSRVGGSVRQSRRSTGKQREQNTGSGSESGSGLELPQQTEQSVGPKHSRQKKSKGSAAGGGGGGGEPPPAIEHVKTKETDISVRAVYPCAGLGSSTGR >fgenesh1_pm.C_scaffold_3002073 pep chromosome:v.1.0:3:10733306:10734836:-1 gene:fgenesh1_pm.C_scaffold_3002073 transcript:fgenesh1_pm.C_scaffold_3002073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein pap [Source:UniProtKB/TrEMBL;Acc:D7L3B5] MAASSTFSSLLPSPPALLSDHRSPPSSIRFSFSSLTVPKSSRLGFTVSERRNLAANSSLVEVSIGGERDPPPPSGSGGDDKGIALLKLKLLSVVSGLNRGLVASVDDLQRAEVAAKELETAGGPVDLTDDLDKLQGKWRLLYSSAFSSRSLGGSRPGLPTGRLIPVTLGQVFQRIDVFSKDFDNIAEVELGAPWPFPPLEATATLAHKFELLGTCKIKITFEKTTVKTSGNLSQIPPFDIPRLPDSFRPSSNPGTGDFEVTYVDDNLRITRGDRGELRVFVIA >fgenesh1_pm.C_scaffold_3002089 pep chromosome:v.1.0:3:10888156:10891192:-1 gene:fgenesh1_pm.C_scaffold_3002089 transcript:fgenesh1_pm.C_scaffold_3002089 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSFHILAIQLLIRSVSSLNITNEYLNHKCRVEQGKYQPGSEYEKDLNSITGNVATEKFVNGFVHSAIKDGTNSATVIFQCRGDSYRSKCNSCYATALAGFRKRCPRNKGGIIWYDQCFLDISMINEGAPRKVNYKNTFPMHNPNNVRGDTKLFNKKTNDFFQQLIAKADKADKDDIELLYYAAGEKRIGTNKLYAMVQCTSCLEWSISHLSKCCDGKQGARVLGTACNLSYELYPFLRT >fgenesh1_pm.C_scaffold_3002091 pep chromosome:v.1.0:3:10944160:10945978:-1 gene:fgenesh1_pm.C_scaffold_3002091 transcript:fgenesh1_pm.C_scaffold_3002091 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVTRFSHSTTKLACVDGKDGRLISAELLNKTLSVDRDAMTITVQSGVSLRQLIGDAAKVGLALRMAPHWWGLTVGGMMSTGAHGSSWDGERGGTAFHDYVIEMRMVTSAPPDQGYSMIRTLNRSNFSELDAARVSLGVFGVISQVTVQLELMFKRSITYMNIKDSDLVEMVEKHGDRYEFPDIMWYPSQGEVVYRMDERVSMNISGSGSYDSVLFEAKDSKILAFQRSKEEELEFERNSEEICTLARDVPALLYTLLYGLSNDGMYEVFWLSSDLMSSGGCLDSKEDGLATACPWDSRIHGQFFHQTTFTIPIESVKEFISDIKTLVKIEPKALCGLNFYNGVLIRYVQPSFAYLGIEFEGMEFEFTYYRSRDPLMPRMYEDFFEDIEQIGLFKYGGLPHWGKNRNVAFINATEKYKDAALFLKMKQMFDPLHLFSSKWTDAVLGLGGDLTVDTEGCALEGLCICSKDVHCSPSRGYFCRAGKIYKAARVCTHV >fgenesh1_pm.C_scaffold_3002098 pep chromosome:v.1.0:3:11023337:11023750:1 gene:fgenesh1_pm.C_scaffold_3002098 transcript:fgenesh1_pm.C_scaffold_3002098 gene_biotype:protein_coding transcript_biotype:protein_coding MRCATSFVVLCILMFLVLNNVKVDVKAQRRKPLCKMTGLLTPGKCPITIHDASNLCNRQLASPDRTFKRCDCQNTIKWRGKDHYQCTCYLRLPCNE >fgenesh1_pm.C_scaffold_3002126 pep chromosome:v.1.0:3:11390481:11392836:1 gene:fgenesh1_pm.C_scaffold_3002126 transcript:fgenesh1_pm.C_scaffold_3002126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7L484] MYSAIRSLPLDGGHAAGDYHGPLDGTNLPGDACLVLTTDPKPRLRWTTELHERFVDAVTQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKFRLGRQAGKESTENSKDASCVGESQDTGSSSTSSLRMVQQEQNEGYQVTEALRAQMEVQRKLHEQLEHGQVQRRLQLRIEAQGKYLQSILEKACKAFDEQAAAFAGLEAAREELSDLAIKVSNSSQGTTVPYFDATKMMMMPSLSELTVAIDNKNNITTNCSVESSLTSITNGSSISAASMKKRQRGDNLGVGYESGWIMPSSTIG >fgenesh1_pm.C_scaffold_3002129 pep chromosome:v.1.0:3:11400103:11401370:1 gene:fgenesh1_pm.C_scaffold_3002129 transcript:fgenesh1_pm.C_scaffold_3002129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 6 [Source:UniProtKB/TrEMBL;Acc:D7L488] MATRLRFENRPDIGVFSKLTNAYCLVAIGGSENFYSTCMLSLLLRHLLVEYKETKMGFLCLIQPLTKLQHLRNSLPDQVVVQRIDERLSALGNCIACNDHVALAHTDLDKETEEIIIADVLGVEVFRQTIAGNILVGSYCALSNTGGIVHPQTSVEDLDQLSTLVQVPLVAGMIVNDWTAFCGPDTTGTELSVIDSIFKLLSTR >fgenesh1_pm.C_scaffold_3002150 pep chromosome:v.1.0:3:11635653:11642187:-1 gene:fgenesh1_pm.C_scaffold_3002150 transcript:fgenesh1_pm.C_scaffold_3002150 gene_biotype:protein_coding transcript_biotype:protein_coding MESFTEDLWGIILARLPLRSITSSKLVCKQWKSIVESPVFRQLFLSHHQNSHSSWSLIYKHWPVEVVAHYGCAIWGLTRSLGSYLSSFLSKTFETHNEKVRVLAYTNVGLILIGLGSDLKNPTYYVANPISQQCVKIPPRPLRPLGVLSLVVYSSETGLWSFNTLQSHPQLHSMTYIDPITLNGNIYWMGFNLDVGELLVSHDLYSESDQCRVILFPNDGTGKKFPRNCTISQDFLIYMNMVYENRAYKLRVWRLKSGEWQLVSEIPSVTSLDYFPLGINPFHGDIMYMWSKMDRHFSSINLYKGQIGRHNNLERSSHGRTLRFAREWDPDEDRIYIPFISRFLLPRWLHPIPSSPS >fgenesh1_pm.C_scaffold_3002160 pep chromosome:v.1.0:3:11730261:11733622:-1 gene:fgenesh1_pm.C_scaffold_3002160 transcript:fgenesh1_pm.C_scaffold_3002160 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCFSAGGPYGGYQQQNASRQSNNVMASLPPPMPTSAPPRLPHLMSSSSSGGYDSNYSDQSVLPPPSPGLALGIYQGTFNYEELSRATNGFSEANLLGQGGFGYVFKGMLRNGKEVAVKQLKEGSSQGEREFQAEVGIISRVHHRHLVALVGYCIADAQRLLVYEFVPNNTLEFHLHGKGRPTMEWSSRLKIAVGSAKGLSYLHENCNPKIIHRDIKAANILIDFKFEAKVADFGLAKIASDTNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVVLLELITGRRPIDANNVHADNSLVDWARPLLNQVSEIGNFEAVVDTKLNNEYDREEMARVVACAAACVRSTARRRPRMDQVVRVLEGNISPLDLNQGITPGHSNVYGSSGGSTDYDSSQDSEGMNRFRKMGLETQDLYTNPISEYDLYPSWSSTDGQTTREMGNIKRPGQGYS >fgenesh1_pm.C_scaffold_3002181 pep chromosome:v.1.0:3:11979623:11982231:-1 gene:fgenesh1_pm.C_scaffold_3002181 transcript:fgenesh1_pm.C_scaffold_3002181 gene_biotype:protein_coding transcript_biotype:protein_coding MKMYPKSDSDITSLDLSSPKLPTYYVQSPSRDSDKSSSVALTTHQTTPTESPSHPSFASRVSNNGGGGFRWKGRRKYHGGRWWPADKEDDADDGRYEDLYEDNRGVSIVTCRLILGVVATLSIFFLLCSVLFGVSQSFPPIVYIKGVNVQSFYYGEGSDSTGVPTKIMNFKCSVDITTHNPSTLFGIHVSSTTINLVYSRQFTLAIAQLKSYYQPKLSNHTSRINLVGSKVPLYGAGAELVASDHIGGVPVHTPNYTILSESRLSSSSRTSNGTSGMGFRWKGSSRRSNMYWPEKPYTINEDEVYDDNRGLSVGQCRAVLVILGTVVVFSVFCSVLWGASHPFSPIVSVKSFNIHSFYYGEGIDRTGVATKILSFNSSVKVTIDSPAPYFGIHVSSSTFKLTFSALTLATGQLKSYYQPRKSKHIAIVKLTGAEVPLYGAGPHLAASDKKGKVPVKLEFEIRSRGNLLGKLVKSKHVNHVSCSFFISSSKTSKPIEFSHKTCKLVTK >fgenesh1_pm.C_scaffold_3002183 pep chromosome:v.1.0:3:11998764:12000904:-1 gene:fgenesh1_pm.C_scaffold_3002183 transcript:fgenesh1_pm.C_scaffold_3002183 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALERRLSASKSFNFKRMFDSSSTKQQQSQTIVVENGDSHIVESNTPESQNSDSFVESPVESSLPIISPLTRPGKRSDRQQADMEMMKDRFAKLLLGEDMSGGGKGVSSALALSNAITNLAASIFGEQTKLQPMPQDRQVRWKKEIDWLLSVTDHIVEFVPSQQTSKEGVCTEIMVTRQRGDLLMNIPALRKLDAMLIDTLDNFRGHNEFWYVSRDSEEGQQARNDRTNDKWWLPPVKIPPGGLSEPARRMLYFQKDSVTQVQKAAMAINAQVLSEMAIPESYIDSLPKNGRASLGDSIYKSITEEWFDPEQFLSMLDLSTEHKVLDLKNRIEASVVIWKRKLHTKDTKSSWGSAVSLEKRELFEERAETILVLLKQKFPGLPQSSLDISKIQFNKDVGQAVLESYSRILESLAYTVMSRIEDVLYTDSLALKQTLLAEETSDGGRTTETDSESAGSSNSGEETEKLDPHYSKTLLDFMGWNDNSSKGGDKPTKSPNITPKKLSYLEKLENLNGFRSPKDRH >fgenesh1_pm.C_scaffold_3002192 pep chromosome:v.1.0:3:12163823:12165515:-1 gene:fgenesh1_pm.C_scaffold_3002192 transcript:fgenesh1_pm.C_scaffold_3002192 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDAAAPKYATAEIAVWWDMKDCPIPEGYEAHRVRPSIEGAFKKLGYSGPVSITAYGDQTQTPDNLLRGLSSTGVHVAHAITESTCALMYSDMVEWRRHIPPPATMMFISNQVDHVFSLDLARLQQETQYNLFLAYSVSSKAIPALETSAEWRWNNLLKSKTKKTRVVKIYEEEESDRAMFYCKSCDFDCQSLRELRKHLSSRYHAMGELLCPTATEISPVTMKWGRNFPAKPEYATAKILVLWDIVDCPIPEGYEVHRVRPSIEGAFKKLGYSGPVSITAYGDQSQTPDHLLRGLSSTGVAVSHAITEVRYKRMFSDLIRWQYLNPPPATIMLISDHIEDYFSTSVASLQQCCIKYKYNMFLAYSFRPTKMLALVTSAEWLWESLLEGVYLSSSLCSTVSETRRHVLQRCSSQRGEPESTRMFDCKTCLFDCKSLDDFMKHLSTNEHAWEVSILSQYIISFFLTI >fgenesh1_pm.C_scaffold_3002194 pep chromosome:v.1.0:3:12169934:12171982:-1 gene:fgenesh1_pm.C_scaffold_3002194 transcript:fgenesh1_pm.C_scaffold_3002194 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRSKTPTPLRFSNGKHQKADSDYSWSDVGTGEKARNVSVLCAIRRAAKKVFAIIFLGQRKFKPTECRSDPGESSTLDRDSTLSGWTGYSSPSSFGRSTERKISGQYRFSGSRFQSPGKDSSSSKSWHQGPVIFSFVELQRATANFSSVHQIGEGGFGTVFKGKLDDGTIVAIKRARKNNYDKSWLLEFKNEIYTLSKIEHMNLVKLYGFLEHGDEKVIVVEYVGNGNLREHLDGLRGNRLEMAERLEIAIDVAHALTYLHTYTDTPIIHRDIKASNILITNKLRAKVADFGFARLVSEDLGATHISTQVKGSAGYVDPDYLRTFQLTDKSDVYSFGVLLIELLTGRRPIELKRPRKDRLTVKWALRRLKDDEAVLIMDPFLKRNRAAIEVAEKMLRLASECLAPTRATRPAMKDIAEKLWAIRREMKETMICSSASNSSCSSTTHSFIGRDSDRFALPRIEDNENSIELLSP >fgenesh1_pm.C_scaffold_3002195 pep chromosome:v.1.0:3:12181200:12185357:1 gene:fgenesh1_pm.C_scaffold_3002195 transcript:fgenesh1_pm.C_scaffold_3002195 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRWAGQNAEPAAVLAERRNVNKNVSLQTGEEFSMEFLKDHTPVQSPIVSGKTHNDVHRFGDLYYQNQPPGYDSAARFHELRRIESECPSDAYDFGRDPRSSIRVENGGYIPHFNAYHNVGGEKEVITRKAFGEINSNRGDVTGRSAPCVFLPERVQSNNYTGGGGDFDRFGKVKFLCSFGGRIMPRSTDEKLKYVGGETHIISIRKNLSWEELKKKTSAICQQLHSIKYQLPGDELDSLISVSSDEDLQNMIEEYNGLERLEGSQRPRLFLIPIGEPEKKVQQNIPDCQYATALNCNADPNPRNIAGSQTLVGDTRYHINNLDRNPSVCKRTPGQMLRLDTTAMHPNPLFNGVQYNMSSYPSPPVSPSPFQHRDSSGAYSQFHGNNSSSESNNSFTPVQQDTSIFEVIDSKYHQQRPLPSVNYQSNKQEAENLYGILFQSGFNEKLATPNPGHVDNLCFNPERSANNGRVYYDKVSMPEESKVSFSGSTNSNDSYLGIPHSYSDSTLELNGGHSSYFSQERQQSPSSTLNFTKKQTQEKPVQVHRNNDLADRRTQSDILDMKSTEGGETMFKFSPSPRGPRLSGENKTLHIDISAAGNHYDEIYLNQETKNQGGNSDTIFHLGGKVLGTRATPSNMDRKMLPTSGNQTSVVVDPWKQIKQDNERLMAGTLSANLISLEEGIAANTPNEEPESRAARERNMEVSGIFLNKRAVSDENFLFSIASESPNSKIVHETGRLELDSTQKNRTESEGGLGHVRIPSMDLNQPAPATASETYSLKTPREDSPQSIPHTKMNSGDTIFLSEEAEANIGQKENSFKDARFVEMEASVYGLQIIKNADLEDLTELGSGTYETVYHGTWRGTDVAIKRIRNSCFAGRSSEQERLTKDFWREAQILSNLHHPNVVAFYGIVPDGTGGTLATVTEFMVNGSLRHALLKKDRLLDTRKKIIIAMDAAFGMEYLHSKNIVHFDLKCENLLVNLRDPQRPICKVGDLGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSTRVSEKVDVFSYGISLWEILTGEEPYADMHCGAIIGGIVKNTLRPPIPKSCSPEWKKLMEQCWSVDPDSRPPFTEITCRLRSMSMEIVTKSKRRDNKP >fgenesh1_pm.C_scaffold_3002200 pep chromosome:v.1.0:3:12236634:12238601:1 gene:fgenesh1_pm.C_scaffold_3002200 transcript:fgenesh1_pm.C_scaffold_3002200 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMVSNFNKSTKLNVDSSPPMGYTENGSATYLSAGNPCLDFFFHVVPSTPKESLEKRLQEAWGHDALTTLKLICNLRGVRGTGKSDKEGFYTAALWLHGHHPKTLACNLESISTFGYFKDFPEVLYRILQGSEIRSIQKLEWSQRKGGASRNRRARFSRQTSRCGFGRGRRRGGGRGRGMGRRGLQIRPASTRQLRVEYAERKNQEEKARASLERKQKKVSMGMDAFTKYSNDPDYRFLHERVSDLFANQLRRDLEFLTSGEPNKISLAAKWCPSLDSCFDKATLLCESIARKIFSRESFPEYEGVEDAHYAYRVRDRLRKQVLVPLRKTLQLPEVYMGARDWESLPYNRVASVAMKSYKGFFLKHDAERFQQYLNDARMGKTTIAAGALLPHEIIRELDWGGDGGQVAELQWKRMVDDLKEKGSLTNCMAISDVSGSMMGEPMEVSVALGLLVSELSEEPWKGKLITFSENPELHLVTGDDLRSKTEFVRNMEWDMNTDFQKVFDLILRVAVEGKLRPQDMIKRVFVFSDMEFDQAPPPTPSNGWDTDYEVIVRKYREKGYGEAVPEIVFWNLRDSRSTPVLGNKKGVALVSGFSQNLIKVFLEHDGEIGDGDVDNGEIDPMMIMEAAISKDEYKSLVVID >fgenesh1_pm.C_scaffold_3002203 pep chromosome:v.1.0:3:12284352:12285942:1 gene:fgenesh1_pm.C_scaffold_3002203 transcript:fgenesh1_pm.C_scaffold_3002203 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCFSSKVLDNEGSSMPVPYKQPNSPKRTTGEVVAKNANGPSNNMGARIFSFRELATATRNFRQECLIGEGGFGRVYKGKLENPAQVVAVKQLDRNGLQGQREFLVEVLMLSLLHHTNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLLDLEPGQKPLDWNTRIKIAIGAAKGIEYLHDEADPPVIYRDLKSSNILLDPKYVAKLSDFGLAKLGPVGDTLHVSSRVMGTYGYCAPEYQRTGYLTNKSDVYSFGVVLLELVSGRRVIDTMRPSHEQNLVTWAQPIFRDPTRYWQLADPLLRGDYPEKSFNQAIAVAAMCLHEEPTVRPLMSDVITALSFLGASSNSSNTGPNHLQQNRSKKDQDAVQWDSSPTR >fgenesh1_pm.C_scaffold_3002205 pep chromosome:v.1.0:3:12293697:12294422:1 gene:fgenesh1_pm.C_scaffold_3002205 transcript:fgenesh1_pm.C_scaffold_3002205 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYIKKSKVAGAVSVKDISHPTTLRFRTRSPAAKNLALYRLRSHSNEADSLNYLQLRSRRLMKLPLLANTRKQLTQCVNQCQTRNPRAKSGPAKKSEAETTTMEEACGDNERISRSDCNFGDKGFDLESENRSMIRDSKAIQSEIEDFFANAEEQQQRFFIQKYNFDIVSDNPLPGRYEWV >fgenesh1_pm.C_scaffold_3002224 pep chromosome:v.1.0:3:12615367:12617356:1 gene:fgenesh1_pm.C_scaffold_3002224 transcript:fgenesh1_pm.C_scaffold_3002224 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP82G1 [Source:UniProtKB/TrEMBL;Acc:D7L6B9] MIFLFSTLQFSLFSLALIIAGYIFLGKKLSKGEVDTSTIPEPLGALPLFGHLHLLRGKKLICKKLAAISEKHGPIFSLKLGSYRLVVASDPKTVKECFTTNDLALATRPNIAFGRYVGYNNASLTLAPYGDYWRELRKIVTVHLFSNQSIEMLGHIRSSELNTFIKHLYKGSGGTSIVKIDMLFEFLTFNIILRKMVGKRIGFGEVNSEEWRYKESLKHCEFLAVIPMIGDVIPWLGWLDFAKISQMKRLFMELDSVNTKWLQEHLKKRSRNEKDQERTIMDLLLDILPEDIVISGHVRDVIVKATILALTLTGSDSTSITLTWAVSLLLNNPATLKAAQEEIDNCVGKGRWVEESDVQNLKYLQAIVKETHRLYPPAPLTGIREAREDCFVGGYRVEKGTRLLVNIWKLHRDPKIWLDPKTFKPERFMEEKLQCEKSDFEYIPFGSGRRSCPGINLGLRVVHFVLARLLQGFELRKVSDEPVDMAEGPGLALPKD >fgenesh1_pm.C_scaffold_3002225 pep chromosome:v.1.0:3:12636213:12638007:-1 gene:fgenesh1_pm.C_scaffold_3002225 transcript:fgenesh1_pm.C_scaffold_3002225 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP82G1 [Source:UniProtKB/TrEMBL;Acc:D7L6C2] MIFLFTTLQFSLFSLALVIAGYIFLGKKLSKSEVDSSTIPEPLGALPLFGHLHLLRGKELICKKLAAMSEKHGPIFSLKLGFYRLVVASDPKTVKDCFTTNDLALATRPNIAFGRYVGYNNAILALAPYGDYWRELRKIVTVHLFSNQSIEMLGHIRSSEVNALIKHLYKGGGGTSMVKIDMLFEFLTFNIILRKMVGKRIGFGEVKSEEWRYKEALKHSVYLAAVPMIGDVLPWLGWLDFAKISQMKRLFKELDSVITKWLKEHLKKRSINEKDQEKTIMDLLLDIFSEGIVICGHVRDVIVKATILVLTLTGSDSTSITLTWAVSLLLNNPAALKAAQEEIDNCVGKGRWVEESDIQNLKYLQAIVKETHRLYPPAPLTGIREAREDCFVGGYRVEKGTRLLVNIWKLHRDPKIWPDPKAFKPERFMEEKSQCEKSDFEYIPFSSGRRSCPGINLGLRVVHFVLARLLQGFELRKVSGEPLDMAEGPGLDLPKIKPVEVVVTPRLHPELYSLL >fgenesh1_pm.C_scaffold_3002233 pep chromosome:v.1.0:3:12756202:12757662:1 gene:fgenesh1_pm.C_scaffold_3002233 transcript:fgenesh1_pm.C_scaffold_3002233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidative signal-inducible1 [Source:UniProtKB/TrEMBL;Acc:D7L6T2] MREEDQKQSRALDFNRLEVLSLLGRGAKGVVFLVRDDDAKLLALKVILKEAIEKKKKKARESEEDEYKRVSFEQGVLSRFDHPLFPSLHGVLATDKVIGYAIDYCPGQNLNSLRKMQSESMFSDDIIRFYAAELVLALEYLHNQGIVYRDLKPDNVMIQENGHLMLIDFDLSTNLAPRTPQPSPSPSKPSPATTRKKRLFRFSSFCNSGISPQESISIHSSSTLAVSDSSGEKSNSFVGTEEYVAPEVITGDGHDFAVDWWSLGVVLYEMLYGTTPFRGSNRKETFYRILSKPPNLTGETTSLRDLIRRLLEKDPSRRINVEEIKGHDFFKGVDWEKVILVSRPPYIPAPDDGGDKGKDVNTKMDVENIVQEIFAAREERDKQSGDNNNANMRIKGDNSGEWVKGLNNNNNHDLESENNFLVF >fgenesh1_pm.C_scaffold_3002243 pep chromosome:v.1.0:3:12916840:12920046:-1 gene:fgenesh1_pm.C_scaffold_3002243 transcript:fgenesh1_pm.C_scaffold_3002243 gene_biotype:protein_coding transcript_biotype:protein_coding MELEMERRLKKEHNAKVKALKKQKAIEKAKLGELNAKSKKSAAKKIGKEEENHADFSDPETPIGERKRLSSQMAKHYSPSAVEKSWYEWWETSEFFKADATSSKPQFVIVLPPPNVTGALHIGHALTCAVQDTLIRWKRMSGFNALWVPGFDHAGIATQVVVEKHLSRETGLTRHDFGREEFLNHVWQWTESKSGTIKSQLRRMGSSLDWSRECFTMDEHRSKAVTEAFVRLHKEGLIYRDLRLVHWDCFLSTAISKREVEHIEIKERTPIKVPGYEKPVVFGLITSFAYPLERGGGEVVVATTRVETMLGDTAIAVHPDDARYKHLHGEFAVHPFNGRKLPIICDEILVDPNVGTGCVKITPAHDTNDFDVGRRHNLEFINVFTDDGRINANGGPDFTGMPRFAAREAIVEALRKKGLYRGEENNKMTIGVCSRSSDVAEPMLKPQWYVSCSLMAKEALDVAANGKIEFIPKQYSAEWRRWLESICDWCISRQLWWGHRIPAWYATLEEDHLKETGAYNDHWVVARNEEDAQKEAAQKFSGKKLLELSQDPDVLDTWFSSGLFPLSVLGWPDETEDFKAFYPTSVLETGHDILFFWVARMVMLGMKVGGGDVPFRKVFLHPMIRDAHGRKMSKSLGNGIDPLEVINGVTLAGLHARLEEGNLDPKELVVAKEGQVKDFPNGIPECGADSLRFALVSYTAQSDKINMDVLRVVGYRQWCNKLWNAVRFAMMKLGDGYTPPSQALSPGAMPFSCQWILSVLNTAISKTVDSLKAFELSDAANTVYAWWQYQFCDVFIEAVKPYFSAENPGRTHAQDALWVCLETGLRLLHPFMPFVTEELWQRLPSPQDCERKASIMICDYPSPEEKWTNEKVETEMDVVLVTVKTLRALRAAESLKRWINERLHAFALCENALTLGIVQSHELEIRTLANLSSFEVVLKGEDKAAHSGSAVVETVNENLKVYLKLDGASINAEAESEKIRNKIIVVQKQKEKLQKVMGVTGYEDKVPANIREDNLRKLSKFIEEFRFLSDRTVSEA >fgenesh1_pm.C_scaffold_3002255 pep chromosome:v.1.0:3:13101725:13103606:-1 gene:fgenesh1_pm.C_scaffold_3002255 transcript:fgenesh1_pm.C_scaffold_3002255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7L7E9] MEEPFLPEDEQLVPCKATWQNGQLNVELKKVSRLAAPMATVTIAQYLLPVISVMVAGHNGELQLSGVALATSFTNVSGFSIMYGLVGALETLCGQAYGAKQYEKLGTYTYSAIASNIPICVLISILWIYIEKLLISLGQDPDISRVAGSYAFWLIPALFAHAIVIPLTRFLLAQGLVLPLLYSALTTLLFHMAVCWTLVSALGLGSNGAALAISVSFWFYAVILSCYVRSSSSCEKTRGFVSGDIVSSVKQFFHYGVPSAAMLCLEWWLFELLILCSGLLPNPKLETSVLSICLTTATLHYVIPVGVAAAVSTRVSNKLGAGNPQVARVSVLAGLCLWLVESAFFSTLLFTCRNIIGYAFSNSKEVVDYVADLTPLLCLSFVLDGFTAVLNGVARGSGWQHIGALNNVLAYYIVGAPVGVYLAFSREFNGKGLWCGVVVGSAVQAIILSFVTCSINWKEQAEKARKRIISTENELA >fgenesh1_pm.C_scaffold_3002256 pep chromosome:v.1.0:3:13149137:13151148:-1 gene:fgenesh1_pm.C_scaffold_3002256 transcript:fgenesh1_pm.C_scaffold_3002256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit L [Source:UniProtKB/TrEMBL;Acc:D7L7F6] MVPDSVKSFVVHMYRHIRDKNVYEIHQMCETSFQSISERLFKETPWPSVEAIAPYVDNDHVFCLLYREMWFRHLYARLSPTLKQRIDSYDNYCSLFQVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQFRAKLKNKTEEEIALLRQHDKAWNVYGVLNFLQALVEKSCIIQILEQDKHGLEQFTATDGYDYSGGSNVLKVLGYFSMVGLLRVHCLLGDYHTALKWLQPIDITQQGVYTSVIGCHIATIYHYGFANLMLRRYLDSVREFNKILLYIFKTKQYHQKSPQYEQLLKKNEQMYALLALCLSLCPQPNLVDDSVSSQLQDKYGEKMMRMLRYDDEAFGIYDELFSYACPKFITPSPPCLEEPLVNYNQDAYRLQLKMFLYEVKQQQLLSGVRTFLKVYSSISLAKLANYMEVDEPTLRTILLTYKHKTHAVDSDGRIISNADIDFYINNDMIYVVESKPAKRYGDFFLRQIAKLEGVINDMDRVKLE >fgenesh1_pm.C_scaffold_3002259 pep chromosome:v.1.0:3:13177482:13178657:1 gene:fgenesh1_pm.C_scaffold_3002259 transcript:fgenesh1_pm.C_scaffold_3002259 gene_biotype:protein_coding transcript_biotype:protein_coding MAKILSGCPSLERLKLCFCNALKILDLNKSMRLRTLEINSDPQFPRTLQIVAPYIHCLILKSSHHLPCVLVDVSSLVEARLNISIASVSKTKNDSLQVMVLNMLEKLQNVEKLTFVGGNLLKILSMAELRGVPFPMFKFKSLTLERVIFRYVIPGIERVLKNSPNLKKLHTKDCYHKQRVYLGDYVDLQAFNLDQCWRSKYGEVCQSTLFHSWTLRLKIQRHYIRQDGLLLEDHYLRFKELVPTLPHNCNVSVALYTKP >fgenesh1_pm.C_scaffold_3002274 pep chromosome:v.1.0:3:13351814:13352111:-1 gene:fgenesh1_pm.C_scaffold_3002274 transcript:fgenesh1_pm.C_scaffold_3002274 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g04410/T1O3.18 [Source:UniProtKB/TrEMBL;Acc:D7L7I0] MTLHQLRDLLLSSTKLETRKREVENPTHQGKMNLDIIKMGKFLRNPPKNGFAVYAQNKWRGVCLGRSFIYI >fgenesh1_pm.C_scaffold_3002275 pep chromosome:v.1.0:3:13354135:13354570:-1 gene:fgenesh1_pm.C_scaffold_3002275 transcript:fgenesh1_pm.C_scaffold_3002275 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKQISSLILLSLMISTFIFIPMISGEMINKIREIKQCGMKCYSTHECNETCIDEGYEEGKCLGLGYRKGGVECCCLASSQDGSPISSP >fgenesh1_pm.C_scaffold_3002277 pep chromosome:v.1.0:3:13407534:13409169:1 gene:fgenesh1_pm.C_scaffold_3002277 transcript:fgenesh1_pm.C_scaffold_3002277 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEAQETPLLQWKPDDYGGVKVNLTEPMTTEDFVPKLRASLVEWRNQGKKGIWLKLADGLENLIAPAKAEGFKYHHAESDYLMLVSWLSDLHNTIPANASHRIGIAAFVLNSNREVLVVQEIGGPFDGTGVWKLPTGVIKEGEGVWAGAEREVEEETGIKTTFKEVLAFRESHKSFSEKRKTDIMFLCELNMKPGTFEIKKEKTEIYAAKWMPIEEYVNQPWNQEKELFRFMANICLKRSQEMEYVGFSTVRTTTSTGRESYLYCNTDHANLLNATRGPASTSTPTSR >fgenesh1_pm.C_scaffold_3002288 pep chromosome:v.1.0:3:13497072:13497390:-1 gene:fgenesh1_pm.C_scaffold_3002288 transcript:fgenesh1_pm.C_scaffold_3002288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L834] MEKISMKFAFVAFFVVTFVMCIITIQNVEARRVLSEEIPQIALHRDENRWGLGCKKGCHLICYAPDPTFPICRCIC >fgenesh1_pm.C_scaffold_3002296 pep chromosome:v.1.0:3:13537926:13540951:-1 gene:fgenesh1_pm.C_scaffold_3002296 transcript:fgenesh1_pm.C_scaffold_3002296 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYVGVLVSDPWLQSQFTQVELRTLKSKFYSTKTRFGRVTVKHLPPVLAKLKDFSGKFDENEIKTILDESYPNRAKEVEFETFLRAFLSVQSRGSKGASSFLKTGATTFHHAINESEKASYVSHINSYLKEEPLLKSYLPINPATNALFDLVKDGVLLCKLINIAVPGTIDERAINTKTELNPWERTENLSLCLNSAKAIGCTVVNIGTQDIAEGTPHLVLGLIFQIIKIQLLADLNLKKTPQLVELVEENQDVEELMGLAPEKLLLKWMNFHLKKAGYEKQVTNFSSDVKDGEAYAYLLNALAPEHSTHVTLEIKDPSERAMKVLEQAEKLDCKRFLSPKDIVEGSANLNLAFVAQLFHHRNGLSDESSKGPVSVTEMVTEDDETSREERCFRHWMNSLGAVTYVDNVFEDVRNGWVLLEVLDKVSPGSVNWKHANKPPIKMPFKKVENCNQVIKIGKELNFSLVNVAGHDIVQGNKKLLLAFLWQLMRYTMLQILNNLRSHWQGRDITEADILNWANRKVKKSGRPSQAVSFKDKNLANGIFFLELLSAVEPRVVNWSLVSKGETAEEEKNLNATYIISVARKLGCSIFLLPEDILEVNQKMMLILAASIMNWSLQQQSDTESTVSDDTDVSSVTEEISNLSTDDGSSDV >fgenesh1_pm.C_scaffold_3002302 pep chromosome:v.1.0:3:13566515:13569310:-1 gene:fgenesh1_pm.C_scaffold_3002302 transcript:fgenesh1_pm.C_scaffold_3002302 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHSLLFSSSFLSKPSSFTSSLRRFVYLPTRRFWPSQRHGFSTVFAVATEPAISNTGPKKTEPSTVVLPSNESSDKLLKIRHTCAHVMAMAVQKLFPDAKVTIGPWIDNGFYYDFDMEPLTDKDLKRIKKEMDRIISRNLPLLREEVSREEAKERIMAINEPYKMEILEGIKEEPITVYHIGNEWWDLCAGPHVETTGKINKKAVELESVAGAYWRGDEKRQMLQRIYGTAWESEEQLKAYLHFKEEAKRRDHRRIGQDLDLFSIQDEAGGGLVFWHPKGAIVRNIIEESWKKMHVEHGYDLIYTPHVAKADLWKISGHLDFYRENMYDQMEIEDELYQLRPMNCPYHILLYQRKRQSYRDLPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEEILSRFGFNKYEVNLSTRPEKSVGDDDIWEKATCALRDALDDKGWSYEVDEGGGAFYGPKIDLKIEDALGRKWQCSTIQVDFNLPQRFDITYVDTNSDKKRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLSPIQVRVLPVTDNQLEFCKEVSKKLRACGVRAELCHGERLPKLIRNAETQKIPLMAVVGPKEVETGTVTVRSRFGGELGTIPVDDFINKINIAVETRTAL >fgenesh1_pm.C_scaffold_3002325 pep chromosome:v.1.0:3:13858221:13858768:1 gene:fgenesh1_pm.C_scaffold_3002325 transcript:fgenesh1_pm.C_scaffold_3002325 gene_biotype:protein_coding transcript_biotype:protein_coding MRFASLFVFSCIFMFLIISHVKEVEPVPYCKVIQWYDVKCGSDGNKTCVDHLIKGHIYDVPICDCSDLKTTPFGILCTCQHRFPCKEPPPLHRISIRKQIRG >fgenesh1_pm.C_scaffold_3002327 pep chromosome:v.1.0:3:13897689:13898489:1 gene:fgenesh1_pm.C_scaffold_3002327 transcript:fgenesh1_pm.C_scaffold_3002327 gene_biotype:protein_coding transcript_biotype:protein_coding MITLAELNNKRGFLMNEELIVVAKIEVLEVVGNLDVSEEYSPVMKTIDVNGFQVLRSQEESVKSLFERHLNIASKFRPKNSYLKTAYMNVLLSLTQTICQSPQELSNDDLSDAGAALAYLREAGFELDWLEKKLDEVKEKKKKEEACLARIQEIDEQLQPFKKTYLDLEAQIDKEKEELLAARAPLSLNDDNVV >fgenesh1_pm.C_scaffold_3002357 pep chromosome:v.1.0:3:14512945:14516065:1 gene:fgenesh1_pm.C_scaffold_3002357 transcript:fgenesh1_pm.C_scaffold_3002357 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERRSSSNPMTNEENAMFLDILHEAPLFGHRKSRSLVGSYLYIVLLASYAILAAGAPLIFHRVEQLTPSLLCCCDVALLVVTGIFQQYFVYQVQKIRLQGYYSFSQKLKHVVRLPFAIAAYGTAAMLLVIVWRPQFHILSISSLQRIIMLVEAVCAGFFMGLYIGYVHQYNSVNSRPDVLKSLYSPLQPSSSMEGLRYYEGRLSDQQTALLQYQRENLHFLSEEILSLQEKLSKYEQSDDGSTPQVDLAHLLAARDQELRTLSAEMNQLQSELRLARSLIAERDAEVQRVNSTNNQYIEENERLRAILSEWSMRAANLERALEVERMSNSELQKEVAGGRRKQMLETTTSEQP >fgenesh1_pm.C_scaffold_3002359 pep chromosome:v.1.0:3:14560432:14572334:1 gene:fgenesh1_pm.C_scaffold_3002359 transcript:fgenesh1_pm.C_scaffold_3002359 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKEKGKEIVTFEENPSTSIHHLDHFDHTKKVSMLLSEQEIEVILQKSTSNKETYKENLQKSKKDNAQKVGIEEGNGSSSKITPCIFYTSDEKARLRWSRDLHDCFVTAVEKLGGPDKATPKSVKETMEVEGIALHHVKSHLQKFRLGKCNIRDGTNQYIRRFINPYVTIESQSMPGLSTIPTQQQLQLNESCVIHDSYRNATFSSAPVPPTIDPLDQDTLPASVSHHTYISSYPSYNTLEVVRAQLNALQNSSTNQTQETSSRETNLSSSVTRDEVDPVDKYIDWAKVEESGIGLDPVEVFKALGFGVSP >fgenesh1_pm.C_scaffold_3002361 pep chromosome:v.1.0:3:14593810:14597065:-1 gene:fgenesh1_pm.C_scaffold_3002361 transcript:fgenesh1_pm.C_scaffold_3002361 gene_biotype:protein_coding transcript_biotype:protein_coding MTILRSREIPSVSAKPLPKQSDIEPSTPARTLEPDVHRSPDELGLVDEPTHTTRRRSLRLAHQFDGDDSVTENEVSGERRELNVDDKFLSLRSGKRVAKRGVDYGIEIESRKFDFDSELGGSRSKRKRVCVDLVEENIVRDELENSGDLGKAVMEDRNGSGVLGDSLVEVENESKNKGKGIMEDSYGESDVICVESYEKPSSSMGRRKYTREEKGKGVIQVEDVSSPITIEVGEEAMEIENLVNNEEPPVVSVPELAAAGVNVEQTQNHNSNEIGNGSRTRHFRDIAKRNASRFARFDAQMEEEEDLSDKEGELQVEDWPGPFSTAIKIIKDREENTTPYVGIGVSNKERSSPPIWVPKRNCSLTPRKAPSLQELSLRILVKNADAITSLDYVPDTLRVKLCQLLCDSRRMDVHFLDLLVQGSPTEICVPDCSWLTEEQFTECFKNCDTSNLMVLQLDQCGRCMPDYVLHSTLARSPKQLPMLSSLSLSGACRLSDVGLRALVSSAPAITSINLSQCSLLTSSSIDMLSDSLGSVLRELYINECQNIDMKLIVSALKKFEKLEVLSLADIPSVKGQFLKEFVTAIGQTLKQLILTNSGKLTDSSVKAISENCPNLSVLDLANVCKLTDSSLGYLANGCQALEKLIFCRNSFRQTLHMSLYSDEAVAAFVETAGSSLKELSLNNVKKVGHNTALALAKHSDKLQILDVSWCREMSNDLLGYFVDNCSSLKVLKVFGCTQVTDVFVKGHSNPNVKILGLKMNPFLGHLTKNLADS >fgenesh1_pm.C_scaffold_3002372 pep chromosome:v.1.0:3:14708980:14716955:-1 gene:fgenesh1_pm.C_scaffold_3002372 transcript:fgenesh1_pm.C_scaffold_3002372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LA70] MESHVVYNEQKPHVVCVPYPAQGHINPMLKLAKILYVRGFHVTFVNTIYNHNRLLRSRGPNALDGLPSFRFESIPDGLPETNVDATQDISALCDAVKKNCLTPFKELLRRINSQQNVPPVSCIVSDGTMSFTLDAAEELGVPEVLFWTTSACGFMAYLHFHLFIEKGLCPLKDESYLTKEYLDTVIDWIPSMKNLTLKDIPSFIRTTNPDDIMVNYALRETERAMDAKHASAIILNTFDDLEHDVIQSMQSILPPVYSIGPLHLIMNQEIDENSDVGKIGSNLWKEEMDCLDWLDTKTRNSVVYVNFGSITVMSAKHLVEFAWGLAGCGKEFLWVIRPDLVVGEEAVVPPDFLTEKVDRRMLANWCPQEKVLSHPSIGVFLTHSGWNSTLESLSCGVPMVCLPFFAEQQTNCKFCCDEWEVGMEIGEDVRREEIETVVKELIDGEKGKKMREKAEEWRRLAKEATDHKHVVGGGTVALKRIVGNVGLSNANIGENPMPRKPKGRFLL >fgenesh1_pm.C_scaffold_3002376 pep chromosome:v.1.0:3:14819608:14820341:1 gene:fgenesh1_pm.C_scaffold_3002376 transcript:fgenesh1_pm.C_scaffold_3002376 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKEIDRVNNDFSDFSLSSPARKIRRLDLDLPPIMEEDEIKSVPVNEERAIVLYKPLHHYHQPSNEHLFVDRDFISGFKNRFLHDGAVADDLCDDDDEEKRKQQAVVRWDRSQFQPFEPEITELDGEDAMMEEAAMDVEEASLPQQPQLTGGLLQWQQQQHHCMIPQLPQTNSTPISWYR >fgenesh1_pm.C_scaffold_3002385 pep chromosome:v.1.0:3:15075833:15078892:1 gene:fgenesh1_pm.C_scaffold_3002385 transcript:fgenesh1_pm.C_scaffold_3002385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LA95] MKANTQQVIFELKKKVVTALNKLADRDTYQRGVDELEKTVEHLAPDKISCFLSCILDTDSEQKSAVRKECIRLMGTLARFHEGLVGPYLAKMVSSIVKRLKDPDSVVRDACIETMGVLASKMSCYEDQNYGVFVSLVKPLFEAIGDQNKYVQSGAALCLARVIDSSPEAPVAIIQRMLMRTVKLLNNSHFIAKPAVIELNRSIILAGGATPKGVLSSAMSSFQDALKNKDWTTRKAASVALMEIAATGEKFLGPLKASCICSLESCRFDKVKPVRDSVILALQYWKGVPGCDSPEPSETGSSVKESYNGARESSELFSTSDSKVKDATSIKYVTDLARKKVPVSARQPPTRYNEDPRKSKQDNWHIDIAVPESSIVSKVDLHNEESEGSCITKTFAEATTTPGVTYEYIPIEDKADCYVTGGVNENDDIKSITVSSSSFRASGEETDSEEQPFSTKVKDRTSLDSSVTVSSSQINHDCCAKIANEMASVRKQLSDIEDKQSRLIDQLQAFSTGIMNNFSVLQSKVSSLEYAVEGIAQNVVLHSDISNSNFVKHNQGSTLSPRLSSCTSRTSTDIRNRQSTLSTSKYSMARENKTHIRSRLNESHGMEKTRKDIWNNIGQGRQTLIQTRTSSDSIQSIRQHYAEVMSGSRKPVTGVSCEEVVESEYLDVLSSGDELALVELLDRTGPVLESMSSQTINEILSILLSYLLERRFMNSILPWLHQVADLSTTNGANYLIPSARKRAQVLSAIQEASGMDFSNLAERRAVTQIAMKLRKLWGKLRSLLALVPTWSKDHAEKTCL >fgenesh1_pm.C_scaffold_3002392 pep chromosome:v.1.0:3:15352493:15358433:-1 gene:fgenesh1_pm.C_scaffold_3002392 transcript:fgenesh1_pm.C_scaffold_3002392 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 4 [Source:TAIR;Acc:ATMG00580](projected from arabidopsis_thaliana,ATMG00580) MLEHFCECYSNLSGLILCPVLGSITLLFIPNSRIRPIRLIGLCASLITFLYSPVPRIQFDSSTAKSQFVESLRWLPYENINFYLGIDGISLFFVILTTFLIPICISVGWSGMRSYGKEYITAFLIREFLMIAVFRMLDLLLFYVFPESVPIPMLQRKIKAAYQFFLYTLLGSLFMLLAILLILFQTGTTDLQISLTTEFSERRQIFLWIASFASFAVKVPMVPVHIWLPEAHVEAPTAGSVILAGIPLKFGTHGFLRFSIPMFPEATLCSTPFIYTLSAIAIIYTSLTTSRQIDLKKIIAYSSVAHMNLVTIGMFSRAAAGIGGSILPMLSHGLVPSALFLCVGVLYDRHKTRLVRYYGGLVSTMPNLSTIFFSFTLANMSSPGTSSFIGEFLILVGAFQRNSLVATLAALGMILGAAYSLWLYNRVVSGNLKPDFLHKFSDSNGREVSIFIPFLVGGATVR >fgenesh1_pm.C_scaffold_3002395 pep chromosome:v.1.0:3:15361817:15362176:-1 gene:fgenesh1_pm.C_scaffold_3002395 transcript:fgenesh1_pm.C_scaffold_3002395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent periplasmic protein translocase [Source:TAIR;Acc:ATMG00570](projected from arabidopsis_thaliana,ATMG00570) MIKLQPKIYDHIMLTVRISFIPSVCSQVPVIVICLPEPRGLSLETFTNNRRFLMVFPLLTAALSTPPDIWCQIVARFLISLIIELAIFVASIVQVREEGWTSGMRESGSIEKKNKSSPP >fgenesh1_pm.C_scaffold_3002396 pep chromosome:v.1.0:3:15362796:15365497:-1 gene:fgenesh1_pm.C_scaffold_3002396 transcript:fgenesh1_pm.C_scaffold_3002396 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGRARALRQFTLSTGKSAGRNSSGRITVFHRGGGSKRLLRIIDLKRSTSSMGIVESIEYDPNRSSQIAPVRWIKGGCQKKMNTIEKFAPPRKILEPTTNTISGLFSFSFLPGKVDKRKVACFSPGLMAAYVVVGLPTGMPPLSSSKSAFASKGAGSTKTLVKDVFFSAFSSPKAKRETASLAFASSFGFPRIAVAGAKPAFFAPRMRQKVRGKSTFSLCEVQKGRTHSILWAHRIKGKAGLSWQSFRRQDTLGLVGAAGHNKSKPKTDQGNLPAKPIGERAKQLKALRGLRAKDGACKVDRAPVVNTYIIASHQLEAGKMVMNCDWSKPSTSSFLQSAQNDHPKPLFTV >fgenesh1_pm.C_scaffold_3002397 pep chromosome:v.1.0:3:15368162:15368997:1 gene:fgenesh1_pm.C_scaffold_3002397 transcript:fgenesh1_pm.C_scaffold_3002397 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWDRFCVNLHTRKITLEDESQKRSMDLRLLHGVAYGHSWFGKWGYRFCSGSFGVEEHHYHRAIAFLTSISLVDDITANFRENKANLNIGDIVRCYRDMSEIQLTTLQDLLRFMLTIKSRAPPIRIPIGKIEAPSVVLPSMKAYGTRACPQVKQCPKDKEKSVKCRKFALPLPLQACFHFPFRSSMTLLSGSFRS >fgenesh1_pm.C_scaffold_3002403 pep chromosome:v.1.0:3:15383961:15384843:1 gene:fgenesh1_pm.C_scaffold_3002403 transcript:fgenesh1_pm.C_scaffold_3002403 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGGSLGEEKQATFAYLPSISGNVIKRPTLQRETAASARFVTKGLRFITPKAFSELLKLSREYQMLTVTKVTDFRWTRSQRVQSKSVTSLRTLLLTCYIPAFLHGSRATPPCFSLPPPPPYRPKLRGRMEYSQVVRHRFLVPACKGSNPFTPDYEHPIGSVKNELTTEALIN >fgenesh1_pm.C_scaffold_3002408 pep chromosome:v.1.0:3:15406958:15407278:1 gene:fgenesh1_pm.C_scaffold_3002408 transcript:fgenesh1_pm.C_scaffold_3002408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein [Source:TAIR;Acc:ATMG00890](projected from arabidopsis_thaliana,ATMG00890) MHLERSVQSQLTESKEIARPYSLWGISLAQHSFKTSTRSTGKKRSKGSTSQDGKKQESLESRNDLGPTIVGLIRKILSYSSKKEFSNLTGLESGGSSPPFSLAVSK >fgenesh1_pm.C_scaffold_3002423 pep chromosome:v.1.0:3:17899415:17899787:-1 gene:fgenesh1_pm.C_scaffold_3002423 transcript:fgenesh1_pm.C_scaffold_3002423 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKKMLTVFVLTILLAVSFVHCSDRTSGFGINQEYAKCYDLADCQKPKVDDAACERFCGAKSFLLYGRCDVATNKCCCKSKTK >fgenesh1_pm.C_scaffold_3002450 pep chromosome:v.1.0:3:18518958:18522881:1 gene:fgenesh1_pm.C_scaffold_3002450 transcript:fgenesh1_pm.C_scaffold_3002450 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGSSRGFIWLILFLDLVLRVTGNTQVDALSALRVSLSDPNNVLQSWNVTHVTPCSWVYITCNSENSVTRVDLGNVNLSGELVPQLGQLPNLQYLELYSNNITGEIPEELGNLMELVSLDLYANSISGPIPSSLGKLGKLRFLRLNNNSLSGEIPRSLTAVPLQDLDVSNNRLSGDIPVNGSFSQFTSISFANNNLRPRPASSPPSPSGMTAAIAGGVVAGAALLFALAWWMKRKLQDHFFDVPVEEDPEVHLGQFKRFSLRELLVATEKFSKRNVLGEGRFGKVYKGRLADGSLVAVKRLREECTKGRKLQFQTEVEMISMAVHRNLLRLHGFCMTPTERLLVYPYMANGSVASCLKERPEGNPPLDWAKRKNIALGSARGLAYLHDHGNQKIIHRDVKAANILLDEEFEAVVGDFGLAKLMNYNDSHVTTAVRGTFGHIAPEYFSTGKSSEKTDVFGYGVMLLEIITGQKAFDLARLANDDDIMLLDWVKEVLKEKKLDRLVDAELEGKYVETEVEQLIQMALLCTQSSAMERPKMSEVVRMLEGDGLAERWEDWQKEEMPIHDFNYQAYPHAGTDWLIPYSNSLIENDYPSGPR >fgenesh1_pm.C_scaffold_3002454 pep chromosome:v.1.0:3:18640326:18645026:1 gene:fgenesh1_pm.C_scaffold_3002454 transcript:fgenesh1_pm.C_scaffold_3002454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance family protein [Source:UniProtKB/TrEMBL;Acc:D7L0Z3] MESPTQTAEHIESMTEFLAKHYSDQLREISLSSDPKLHYPLFIEYAELVDDNPSLAHLVFSNPEQFLRQFNDSAILAHKIALEHMKKFEKKIGIEKRFIHVRINTSGSPLERSPETFPSIGRVRVRHRGILLMLKGTVIRSGAVKMYEGERMYRCRKCKHMFPIFPELESINSIVKPPFCPSQRSKSCEGTNFDPVDDTVTRHDYQEIKIQENTQVLGVGVIPRSILVVLKDDLVDNVKAGDDVVVSGILTSKWSHDLKDVRCDLEPMLIANHVRRTNELKSEINISDDLIEKFKNFWSHFRDTPLKGRNAILRGICPQVFGLFTVKLAVALTLIGGVQHVDASGTKVRGESHLLLIGDPGTGKSQFLKFAAKLSNRAVITTGLGSTSAGLTVTAVKDGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQSISVAKAGLVTTLSTKTIVFGATNPKGQYDPDQSLSVNTALSGPLLSRFDIVLVLLDTKNPEWDAVVSSHILAEVQIEQGRDVDDLTTIWPLPMLQRYIQFVKRNFRPVLSKEAEEIISSYYRLQRRSSTHNAARTTVRMLESLIRLAQAHARLMFRNEVTRLDAITAILCIESSMTISAIVDSMGNALHSNFSEEPDQECILFRHYLTFVPIYVQSLPLIT >fgenesh1_pm.C_scaffold_3002465 pep chromosome:v.1.0:3:18866675:18868057:1 gene:fgenesh1_pm.C_scaffold_3002465 transcript:fgenesh1_pm.C_scaffold_3002465 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENRIASILLREAAELRRKAEKEGVRAYLDKSDIRHRPNSRFLKATVLGVQQSNKAVETNEMWRVRKKEIELEDKRHKGKTQEESSSSQMKQNGSFMKWSLDKRCSSGDEKMITCASSSNKRWYSEDDEGLGDDDIETFLQSRKKRGRGSIGPMMDETGPYLPAENRPFQSCDTRERKVILGPERPPSLTQGSDNQDGPRIRKDYMKKHRKNDKKTEKKRKRDRH >fgenesh1_pm.C_scaffold_3002471 pep chromosome:v.1.0:3:19282193:19282660:1 gene:fgenesh1_pm.C_scaffold_3002471 transcript:fgenesh1_pm.C_scaffold_3002471 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKQYWLLKTEPSEWSWSDQEANGGISKWDGVKNKQAQKNLKSMTLGDLCFFYHSGTKARCVVGVVEVSREWYTDDDEGEGAVDVKAIGEMRKCVDLKEMKGDKGIIKSFVLFRQPRLSVVPVEEDVWKKICELGNGFCGDGKEDCESSDDES >fgenesh1_pm.C_scaffold_3002472 pep chromosome:v.1.0:3:19289899:19296131:-1 gene:fgenesh1_pm.C_scaffold_3002472 transcript:fgenesh1_pm.C_scaffold_3002472 gene_biotype:protein_coding transcript_biotype:protein_coding MISVASIAAGIQFGWALQLSLLTPYVQVLGVPHKWSSFIWLCGPVSSLLVQPSTGYFSDRCQSRFGHRRPFIAIGALLVALLFMGDKIDEPLLKLGLHNTNIVIFAFGFWILDVANNTLQGPCRAFLGDLAAGDAKKTRTANAFFSFFMAVGNVLGYAAGSYTNLHKIFPFTMTKACDIYCANLKSCFFISITLLLVVTIIALRYVEDKQWSPKADSDNEKTPFFGENFGAFKVMKRPMWMLLILTALNWIAWFPFLLYDTDWMGREVYGISRKMGGAKRLWGAVNIILAVCLAMTVLVTKKAEEHRRIAGPMALPTDGIRAGALTLFALLGIPLAITFSIPFALASIISSSSGAGQGVLNMAIVIPQMVVSFGVGPIDALFGGGNLPGFVVGAIAAAISSVVAFTVLP >fgenesh1_pm.C_scaffold_3002476 pep chromosome:v.1.0:3:19348380:19351522:-1 gene:fgenesh1_pm.C_scaffold_3002476 transcript:fgenesh1_pm.C_scaffold_3002476 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGVFAAISYMASAVLLVMFNKAALSSYRFPSANVITLLQMLSSCLILYVMRYFKIISFNNDRSKSEHNNNLFTLVSTKRLFQTIPLAFTYLLYMLVTMESVRNINVPMYTTLRRTTILFTMIMEYFLAGQKHSALIIFSVGIIILGAIIAGIRDLSFDGYGYGLVFTANICTATYLALISRIGRKSSGLNIFGLMWCNGIICIPFLLLWTSVKGELEAMLSFPHLYSVGFQVVICLSCVLAFMINYSVFLNTTLNSALTHSICGNLKDLFTITLGWLIFAGLPFDWVNVMGQALGFTGSIFYAFFKYKGM >fgenesh1_pm.C_scaffold_3002477 pep chromosome:v.1.0:3:19351521:19353722:1 gene:fgenesh1_pm.C_scaffold_3002477 transcript:fgenesh1_pm.C_scaffold_3002477 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEGLSFLLIEEILSRVPPKCLVRFRTVSKQWNTLFDDKTFINNHKMTFRFILATKYRIYSVSIDPVIVVVRDITLGTPGLAFKLKNLVDCNGLLLCGKNNGAVVWNPWLGQSRWIEPSLKHSRMNFEGIGYDNKTYKIAASSPGIKYPNLDLWTIYEFASDVWIDHDPEPGNGGSIYIESVVSLNGTLYWVCSHYETDPLCYHIRKFDFSSGLFIRFCDLPCGRNHDGDALVLGIFRGDRFSLLKQCMVTKKIDIWVTENKINENGADVVWMNFMNFSSPNLPDLYSKPSYFIEDKRLVVCSCDDTGRAWIYILGDNKLISKFHIYCVVDPCLLHCTFIPSLVPVP >fgenesh1_pm.C_scaffold_3002479 pep chromosome:v.1.0:3:19369321:19370354:1 gene:fgenesh1_pm.C_scaffold_3002479 transcript:fgenesh1_pm.C_scaffold_3002479 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLKKLPWELIEEILSRVPPKSLVRFRTVSKQWNALFDDKTFMNHHRMTFRFILATESMVYSVSIDPVIVNPWLGHSRWIEPSLKHSGMQFEGIGYDNKNYKIAASSPRNKDDLWTIYDFASDVDDDDWVYVESVVSLNGTLYWVCSHDETVPLCYHIRKFDFSSGLFIRFCDLPCGRNHNSSDALVLRVFRGDRFSLLKQCMVTKKIEIWVTVNKINHEDGDDVVWMNFMTFSSPNLPDLVDTVTYTDPSYFIEDKRLVVCSWDNTGYAWIYILGDNKLISKNRIDCVVDPWPLHCTFVPSLVPVPARCRREERAELHV >fgenesh1_pm.C_scaffold_3002542 pep chromosome:v.1.0:3:20378051:20379292:1 gene:fgenesh1_pm.C_scaffold_3002542 transcript:fgenesh1_pm.C_scaffold_3002542 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMRRKRRRRHHREIHVDVEDLKTWVAPEIPLDLLIEILTRLPAKSVLRFKCVSNFWSSLFSSRYFRNRFLMVSSQRHSRLYMSFMDLYNDSKSMLLSSAPISSTFPSSLVFDQDLTIRKMGGFILRVLRGFICFTVDSKPHIYNPTTRQLVILPAMEESVIIAEEEEDNYEIFFFMGHDHVKDQLKLLCTITTMSNDMQKIESELWVFVLEAGGSWKRVAKEFPPHLPSPYELNMNGVLYYLAWTDMHTCVLVSFDFRSEEFNMIQVPRKAGDKILPRLKKWLTQIDYAGKVAVFDMTYLKVRGTVDLWVVQDWRNKEWLRKTLVLQPSQLHPIFDNHRLLAKGTLKGKVILVPRYLVSPFYFLCYDLQLNDLKKVEIKGIPDRWFSKPNTDIYCDIEFMNPSESIEYLET >fgenesh1_pm.C_scaffold_3002546 pep chromosome:v.1.0:3:20416041:20416804:-1 gene:fgenesh1_pm.C_scaffold_3002546 transcript:fgenesh1_pm.C_scaffold_3002546 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSVTLRSFHLPIEFNDTKFAAPSFPPARSFPVVRCSSTRDVPKLELFSRGKFDRILQDPPLIEKAETELSDYCSTLEGDDSYSCWRAYFELKDLEREKPKVEVENLILQTGGVKSLIGCLHGVASMEKDNKTKNGLNREKGMKLHIHIPDGLPKSEQELEEEEKSKMPDSAFTRLLRTKGTIPAWFSHAPDHETD >fgenesh1_pm.C_scaffold_3002550 pep chromosome:v.1.0:3:20454104:20456901:-1 gene:fgenesh1_pm.C_scaffold_3002550 transcript:fgenesh1_pm.C_scaffold_3002550 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDDVEIEDMEWNEEIQAYTYPCPCGDLFQITKEDLRLGEEIANCPSCSLYITVIYNMEDFQNDTKKNNEPKTQNEVFAMTDDKKATCNHEEYILNPMAGLLLVLFSDYLSEGFLGEEETKGTRFSLAKNGEFYNHHDDSSDNNPVYSPCSDTQISKGDGFTIGIAISSKEAFFLDQVQLSPCDSRLGLAAKMAQLALFRPKVDEISLLSIDTSKFNPSEAGGFMVGFAGSKYAARSSPVKVADGSNTITAFTLVLEFQKGVLQNLFWKSFGCDSCKGIGSSSSSVCLNGTDCAVPTSKCKANGGEANCNIGIQVAFSGTDKNLESLNTWYEVNNLRQYSLTDLYANAVDSLSGGLL >fgenesh1_pm.C_scaffold_3002552 pep chromosome:v.1.0:3:20496726:20505479:1 gene:fgenesh1_pm.C_scaffold_3002552 transcript:fgenesh1_pm.C_scaffold_3002552 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKVSSFVAFMACSTVKVGSIVWVQDLEEAWIDGEVVEVNGEDIKVKCTSGKTVVVKGSNTYPKDMEAPPSGVDDMTTLAYLHEPGVLQNLKSRYYIDEIYTYTGNILIAVNPFKQLPDLYNDHMMAQYKGAALGELSPHPFAVADAAYRQMINEGISQSILVSGESGAGKTETAKMLMKYLAKMGGRAVSDRRTVEDQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDQRGKISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDKRKLKLNDPTEFRYLNQSHCIKLEGVDDSKEYTKTREAMGIVGISLEEQEAIFQVVAAILHLGNIEFAIGEEPDSSVPTDESKKHLKIAAELFMCDEQALEDSLCKRVMVTPEETISRCLDPNSAALSRDALAKFVYSRLFDWIVNKINNSIGQDPDSKHMIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFTKHVLKMEQDEYKKEEIEWSHINFPDNRDVLELIEKKRGGIIALLDEACMFPRSTHKTFSQKLYETLKDNEYFSKPKLSRTDFTICHYAGDVTYQTEQFLEKNKDYVVAEHQALLGASMCTFIADLFPPLMEDANKQSKFSSIASQFKQQLASLIEGLSTTEPHYIRCVKPNNLLKPSIFENQNSLQQLRCGGVMETIRVCRAGYPTRKHFDEFLDRFGILAPSTLDKSSDEKAACKKLLETVGLQEYQIGKTKVFLKAGQMAVLDDRRTEVLGRAACIIQWKFRSYLTRQSFIMLRNAAINIQAAYRGQVARYRFENLRREAAALKIQRALRIHLDRKRSYIEAVVTVQSGLRGMAARVVLRRKTKATTVIQSHCRRLQAELHYKKLKKAAITTQSAWRARLARKELRKLKTAARETGALQAAKSKLEKQVEELTWRLQLEKRMRVDVEESRAQENAELQLALEEIQLQFEETKVSLLKEVEAAKKTAETVPVVKEVPVVDTELMEKLTSENEKLKSLVSSLEQKIDETEKKFEETKKISEERLKKALDAENKIDNLKTAMHNLEEKLKEVKFENNFLKESVLTTPVKTASGRFLSTPLKYLERSLQHRQGYKNQDLTLSQGDPILILNMYRFVVFFEKEDVDALINSVTKNVGFSQGKPVAAFTIYKCLLHWKSFEAERTNVFDRLVQMIGSAIKDEDNDTNLAYWLSNTSTLLFMLQQSLKSGGTGATPLRHSPSLVRWMTKGFRSPAAEAIRPVDAKDPALHFKQQLEAYVEKISGIIWDNLKKELNTVLALCIQAPKTFKGNALISITTAKYWQDIIEGLDALLSTLKESFVPPVLIQKIFSQAFSLINVQLCNSLVTRPDNCSFINGEYLKSGLEKLEKWCSETKEEYAGSSWDELKHTRQAVGFLLIHKKYNISYDEIANDLCPNLQIQQHFKLCTLYKDEIYNTKSVSQDVIASMTGVMTDSSDFLLKEDSSNIISFSIDDLCSSMQDKDFAQVKPAEELLENPSFVFLQ >fgenesh1_pm.C_scaffold_3002557 pep chromosome:v.1.0:3:20521563:20523823:1 gene:fgenesh1_pm.C_scaffold_3002557 transcript:fgenesh1_pm.C_scaffold_3002557 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLIAREMSNEVVEDRQSSNNVVAKLMGLETSTPRSRSRSSSRCSLTCVGSSNEAGKFHKHHREDEIWDQKKARKGKCDGSMSDKHMDLVRRKFMEVTDDKLHRSSEFQEALQVLSSNKDLFVKFLQESNSLLSQHLSDFHHPVLPHPEAKRITVLRPSKAVGVQKCLVEDSKKPVSLNQETGWIDDVQPTRIVVFKPSPGKSLDIKAIASSPPYFDEAGDAETRQVAKEITRQIRETFEGHCRNETISSSSSSVLSNGYIDDDCSLNRSNYEYPVGNITNSEIMSTSSRHSWDCANRFESPFSSSSFSRVSFSPDSSVYREAKKRLSERWAMMSLNEDTQQPKNIPKVSTALGEVLALSETKVPTGSSEESNKVKQETRRSISCIGSGLDQVESTSDSLNTLERSKSVPEIRLNGGTSKAQAPKELTESRSLKSSWKVSSLFFFRNKKSYKDKTDVPSQLAIHRDAFQQKNIFTSEGDVENENQDQPSPVSVLQPAFEEECSVSAKPRTPQGDKMSLKSNLIDKSPPIGSIARVFSWEDESYTNTTKPAMGIEEDENWYGFVKTLLTASGFSGSDSLMTRWHSPESPLDPSLRDKFANKELIKRRKQRSTCKLVFDCVNAIITETTSTLAHTGLTKGFNMVEHVWTALQDSAVSDEVVGKMWSYGLQVETNNLGIEIEVILLQELVEEAVFDLTR >fgenesh1_pm.C_scaffold_3002560 pep chromosome:v.1.0:3:20533591:20534061:-1 gene:fgenesh1_pm.C_scaffold_3002560 transcript:fgenesh1_pm.C_scaffold_3002560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 60 [Source:UniProtKB/TrEMBL;Acc:D7L5A4] MAKAASTLVLPIIFLVMFALVEQNMGCTASMGLCEKDKSCSAKCRATFGDKANGFCDHSTSTGGGGECICVYPCPPLAP >fgenesh1_pm.C_scaffold_3002562 pep chromosome:v.1.0:3:20552971:20555064:1 gene:fgenesh1_pm.C_scaffold_3002562 transcript:fgenesh1_pm.C_scaffold_3002562 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFVPDFDTDDDYVNTNNNNSSLNHLPRKSITTMGEDDDDLMELLWQNGQVVVQNQRLHNNNTKKPSSSSFPTKLLQPPIPPSMDNHQQQQQPSSDQNLFIQEDEMTSWLHYPLRDDDFCSDLLFSAAPTPPCATSHVVTAVRPPVPVPSSSNESRPPVRNFMNFSRLRGDFSNGRGESGPKTIVRESTQVSPSATPSSAAASESGLTRRTDGTDSSAGLNRKGKAVAMTAPAIEITGTSSSVVSKSEIEPEKTNFDDKKRKEREATTEEAECRSEETKQARGSTTSTKRSRAAEVHNLSERKRRDRINERMKALQELIPRCNKSDKASMLDEAIEYMKSLQLQIQVMSMGCGMMPMMYPGMQQYMPHMAMRMGMNQPLPPPSFMPFPNMLAAQRPLPTQTQMGGSTPQYPVHASDPSRVFVPNQQYDPTSGQPQYPGYMDPYQQFRGLHPTQPPQFQNQATSYPSSSRVSSTKESDDQGNHKTG >fgenesh1_pm.C_scaffold_3002565 pep chromosome:v.1.0:3:20562626:20565198:-1 gene:fgenesh1_pm.C_scaffold_3002565 transcript:fgenesh1_pm.C_scaffold_3002565 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTKLILLTLAEEPYHQKRKKNELEKLLNHINKPAVKSFQTKHGYILDCIDIQKQLAFDHPSLKNHSIQLKPTIIPKWTRDNNTSQKSSSLPFRQADDISCPLGTVIIKRTTLEDLIQFQRLKSLGFKYPAPQDGNCPGMNRHHFAIAQYYKDNDGARGNINIWDPFVKDDQLSPASISVESGLKDTLQSISAGWIVSPKLNQNHSGLFTYWSVNGYNKTGCYSTLCPGFVQVSSKFALGARAEPVSIYDGQQYQLEVSLFKDYYTGDWWFVLGDEPIGYWPRSLFHFEGLANGANRIFWGGEVFSSVENMISPIMGSGHFPQEGFKKAAFVNGLKVIDHVSKEVRSPPTSGLMLFANSPTCYNVQTISTVGEEWSSAIFYGGPGGCTIS >fgenesh1_pm.C_scaffold_3002570 pep chromosome:v.1.0:3:20632387:20632771:-1 gene:fgenesh1_pm.C_scaffold_3002570 transcript:fgenesh1_pm.C_scaffold_3002570 gene_biotype:protein_coding transcript_biotype:protein_coding MANKMVAYLYKNTFMMIALVLILLISGSEARSNVIIYDPMCPGVCGNFVKPDCNGLCHGLGFPAGGYCKPGNTCCCKKKHSGPADVPATA >fgenesh1_pm.C_scaffold_3002583 pep chromosome:v.1.0:3:20761868:20766104:1 gene:fgenesh1_pm.C_scaffold_3002583 transcript:fgenesh1_pm.C_scaffold_3002583 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:UniProtKB/TrEMBL;Acc:D7L6F4] MMTTTGGDEVFSRSEIVLLGSVETMLEKIYGKHNHHPPIKVETRRKLSSISEELALETLRKVLNMEYVKTLDGIIMYFVNNAATVDGSPRLSSGESPLQSPTTPGKKEVSLDGEDPSPKFLRRDETGRTNHIPPLVALGELEFKKAFLLLTYLGGDRFCYRKSLGEVISGDEIRQWKDLPMVAYESAVWFKLGQNEQRISLESDSGKTHYYQCHVTPDGSYRFKGYLLENTGTHLHKVLGDDNVLTVKFDQLPKESTYCNDPYSKHKEIAKNGIMVGLRRYQIFVFKDGGKAEKKKRNSTKQVKCYFIRMDSTASSDMENPYILAGMSIHEARMHFMHVHTLPSPANYMARFSLILSKTKKLEVDMTGITVKQIDDIHCHDQSNNDVLDKNGKPRIHSDGTGYISEDLARMCPVNIFKGKNIKSDIIQGKTCGQEPPLLIQIRMFNDGSAVKGIFLLNKNLPPRTVQVRPSMIKVYKDKNLSNFSTFNSLEVVTTSNPPKRAKLSKNLVALLSYGGVPNDFFLDILLNTLEKKNTVFFKIRAAGKGSMRSHMMALFLSLFVFCSCTSLRNMDDKNALQMIMAGIPLDEPYLKHHLSLLLKIKKDDLKAGRLPIDESYYLMGTVDPTGELKEDEVCVILNPGLHFGDIHILQATYVKTLEQYVGNSKYAVFFPQKGPRSLGDEIAGGDFDGDMYFISRNPKLLEHYKPSEPWVSSSPPSKIYTGRKPSELSPEQLEEELFNMFLKTGFSPSNVIGQAADSWLGIMDRFLTLGDENAIDAPKTGTEVNLPFDVKADVFPHYMERNKIFKSISILGLIFDTVDSHNAEDPTPSALGYLSEISKLQCFEDEPVSEFDMEKCKSWHKDYRKEMCQAMHSDDDSCDEVIQKYKKVSSSI >fgenesh1_pm.C_scaffold_3002585 pep chromosome:v.1.0:3:20790794:20794313:-1 gene:fgenesh1_pm.C_scaffold_3002585 transcript:fgenesh1_pm.C_scaffold_3002585 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLDSIDAILFSLSRAFTSPFAVFVQIQGCTICLLLALGWLMAEYVRNREVKRIKKSIKAGNSLAFLYQDINELEHSRQEKLPRVSVVMPLKGFGEHNLHNWRSQITSLYGGPLEFLFVVESTEDPAYHAVSRLLSMYQDHVEAKVVVAGLSTTCSQKIHNQLIGVEKMHKDTKYVLFLDDDVRLHPGTIGALTTEMEKNPEVIFIQTGYPLDLPSGTLGSYCIYEYHMPCSMGFATGGRTFFLWGGCMMMHADDFRQDRYGVVSGLRDGGYSDDMTLASLAGAHKRLITSPPVAVFPHPLASDLSFGRYWNYLRKQTFVLESYISKVNWIMNKALFAVHCYLSWGFVAPYVMAVIHITSALRIYIKGYHQLEDTTFASGGMMLVITLAICTFIELLSMWNLTRREVQLCNMLSPEAPRLSLATYNWGLVFVAMLVDNFLYPISAFRSHFSQSINWSGIRYHLKDGKIFKIERRKDMGPAKTDLGGKHLYGKKGAPQKASFLSSLGRNLAHWRQPKKFDV >fgenesh1_pm.C_scaffold_3002622 pep chromosome:v.1.0:3:21090127:21097748:1 gene:fgenesh1_pm.C_scaffold_3002622 transcript:fgenesh1_pm.C_scaffold_3002622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L7I9] MVVMLTQEMSGGGGPKAEDGQLFVAVAVKGLIGDKLGGAGSRRAVRWAVDNLLPKADRFVMIHVIPTITSIPTPSKMSVVVDGERLPVEEVEESVVEMYVRDVKKEFETVFVPFLKICKSSSSTKVGLVLRARGPGVPLTVLKYAPETCEVYIVCKDRITTKSMDPLINREPCTSPYAAATTHDFLRDWAASFQTLRSPSLSEPRQSTEAGTRRSASARELRFEALSLTCNKPKTPQSSKASSATIPEIVRRHGGSDIPQLNYSDFVQTYTEPPSNLEIIVSEQIDSDRSPPGTSSKSKKVEIIAEVERLKKELQSTVTKYKQACEELFSTQNKVQMLSTECSNEAKRVNNAVEKEELERKTAALEKERYMKAVKEVETAKALLAREFCQRQIAEVNALRTYLEKKKVIDQLLGTDHRYRKYTIEDIVTATEGFSPEKVIGEGGYGKVYQCSLDSTPAAVKVVRLDTPEKKQEFLQEVEVLSQLRHPHVVLLLGACPENGCLVYEYLENGSLEEYIFHRKNKPPLPWFIRFRVIFEVACGLAFLHSSKPEPIVHRDLKPGNILLNRNYVSKIADVGLAKLVTDVAPDNVTMYKNSVLAGTLHYIDPEYHRTGTIRPKSDLYAFGIIILQLVTARQPSGLVPAVENAVKKGTLTEMLDKSVTDWPLAETEELARIGLKCAEFRCRDRPDLKSEVIPVLKRLVETANSKIKKEGSNLRAPSHYFCPILREIMEEPEIAADGFTYERKAILAWLEKHNISPVTRQKLDHFKLTPNHTLRSAIRDWKSRVRFSNDIVNITG >fgenesh1_pm.C_scaffold_3002625 pep chromosome:v.1.0:3:21115169:21116686:-1 gene:fgenesh1_pm.C_scaffold_3002625 transcript:fgenesh1_pm.C_scaffold_3002625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7L7J2] MVWFQCEDCGESLKKPKLPTHFKMCRANKLSCIDCGEMFGKDTVQGHNQCITEAEKYGPKGQSKSSNGTPAKPKDNSKQQPDFDITVGLSNRYPWFCSICYTKATSQQTLLAHADGKKHRGKAKAFHARQQQQSEQSTVDTKDGSENASNGDSEQKKVDLPVSSSVANGESHAEKKRKLETLDETSRGEVPQAEEAKGGDLKKAKKQGHEKKINWKKLITLALKSNEDKTLKMKKLKKLVLESIVDSGITRDKSELKAELVLKVNSSSRFTVDGKYVKFVAKD >fgenesh1_pm.C_scaffold_3002632 pep chromosome:v.1.0:3:21141243:21142742:1 gene:fgenesh1_pm.C_scaffold_3002632 transcript:fgenesh1_pm.C_scaffold_3002632 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVASYASSDEEEDLQPRREAFTVKSSEPRTSSSSLFSAIPQPKELKSSEDGDFGSSSSRGKSSPFLSSLPPPKSSISRKQNPAPSSIPKRVVQIRLPVNPRPSNLDDDEDDEEEEKARKKRKQMESASASHDSSVRSFFSAMPAPKSSQTLGALPSLGSGSGRRSNLETETPAIVIPQTDSGISDQQNQSYESLSHSNSETEQNVGVDNYYAAGYGGYETNPSGSVGYDGSSYGGNTWNGGGFEATTGLPEAVVAMDSGARRGRRGRNQMPEIVEVKQDELMKNRPRVDQVKSTGIAFGPAYQPATSSSKGKVSKLHKRKHQITALFMDMKHKESELTERRSRGLLTKAETQAKYGW >fgenesh1_pm.C_scaffold_3002634 pep chromosome:v.1.0:3:21248752:21249371:-1 gene:fgenesh1_pm.C_scaffold_3002634 transcript:fgenesh1_pm.C_scaffold_3002634 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHLFIFCIILISSFPLHECENGKGVEANNAVKPVCMSMNCDNKDRKLTCACCFRANFRNRCYNSKSECLADCKA >fgenesh1_pm.C_scaffold_3002670 pep chromosome:v.1.0:3:21713517:21713765:1 gene:fgenesh1_pm.C_scaffold_3002670 transcript:fgenesh1_pm.C_scaffold_3002670 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNDIETRFNRYGRVDDRPLAQTSIRPNSELPFIFPNLGRFVGAGQVYTLNHVERQQAHRHILVNCQLLDPLREYVSVIQK >fgenesh1_pm.C_scaffold_3002688 pep chromosome:v.1.0:3:21880666:21882870:-1 gene:fgenesh1_pm.C_scaffold_3002688 transcript:fgenesh1_pm.C_scaffold_3002688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L8S9] MGSHLYSKLQALSSKIKQASVNGKWREVVSGYSEIQSAGIQFNDPFVFPIVFKACAKLSWLLQGFESYVSVGNSIADFYMKCGDLCSGLRAFDCMNSRDSVSWNVIVFGLLDHGFEEEGLWWFSKLRVWGFEPNVSTLVLVIHACRSLWFDGEKIHGYVIRSGFWRISSVQNSILCLYSEFDSLSARKLFDEMSERDVISWSVVIRSYVQSQEPVLGLELFKEMVREAKTEPDCVTVTSVLKACAVLDDIDVGRSVHGFSIRRGFDLVDVFVRNSLIDMYSKGYDADSAFRVFDETTCRNIVSWNSILAGFVYNQRYDEALEMFRLMKKEALEADEVTLVSLLQVCKFFEHPLPCKSIHGVIIRRGYESNEVALSSLMDAYTSCSLVDDARTVFDSMSYKDVVSCSTMISGLGRCGRSDEAISIFCQMRDKPNAITVISLLSACSVSAVLRTSKWAHGIAIRRGLAINDISVDTSIVDAYAKCGAIDIARRTFDQITEKSIVSWTVIISAYAINGLPDKALASFDEMKRDSYTPNAVTYLAALSACNHGGLVKKGLMIFRSMVEEDQKPSLQHYSCIVDMLSRAGEIDTAMELIKNLPEDVKAGASAWGAILSGCRNRLKSGIITSEVVAEVLELEPLCSSGYLLASSVFAAEKSWVDVAMMRRLVKERNVRVVAGYSMVLEGSIARKFLAGDKLNHSDSELNDVVQRLHRCMRLDDMVGPIN >fgenesh1_pm.C_scaffold_3002708 pep chromosome:v.1.0:3:22018285:22020322:1 gene:fgenesh1_pm.C_scaffold_3002708 transcript:fgenesh1_pm.C_scaffold_3002708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:UniProtKB/TrEMBL;Acc:D7L9D9] MCWISMSQSRFIIKSLLSTAGGFLLGSALSNPPSLATAFSSSSSSSAAVEMETHKTKVCIVGSGPAAHTAAIYASRAELKPLLFEGWMANDIAPGGQLTTTTDVENFPGFPEGILGAEIVEKFRKQSERFGTKIFTETVNKVDFSSKPFKLFTDSRTVLADSVIISTGAVAKRLSFTGSGEGNGGFWNRGISACAVCDGAAPIFRNKPLVVIGGGDSAMEEANFLTKYGSKVYIIHRRDTFRASKIMQQRALSNPKIEVIWNSAVVEAYGDDNGKGVLGGLKVKNVVTGVVSDLKVSGLFFAIGHEPATKFLDGQLELDEDGYVVTKPGTTKTSVVGVFAAGDVQDKKYRQAITAAGTGCMAALDAEHYLQEIGSQEGKSD >fgenesh1_pm.C_scaffold_3002712 pep chromosome:v.1.0:3:22045902:22055426:-1 gene:fgenesh1_pm.C_scaffold_3002712 transcript:fgenesh1_pm.C_scaffold_3002712 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFHPQSRERLLSQNGYSDSCFRKITKLCELGHSDRRRTFFAVKMGMALALCSVVIFLKEPLHDASKYSVWGILTVVVVFEYYVGATLVKGFNRAIGTVSAGGLALGIARLSVLSGDFEQAIIIICIFLAGFIASYSKLHPAMKPYEYAFRVFLLTFCIVLVSGNNTGDFFSTAYYRFLFIVVGATTCLVVNIFIFPIWAGEDLHKLVANNFKSVANSLEGCVNGYLRCVEYERVPSKILTYQTSDDPLYSGYRSAIQSTNQEESLLEFAIWEPPHGPYRTFNHPWKNYVKLSGALRHCAFTVMAIHGCMLSEIQAAPEKRQVFRHELQRVGNEGAKVLRLIGDKVEKMEKLSPMEILNDVQLAAEELQMKIDSKSYLLVNSESWAATKEQAEAEVDEEEAHETKVIKSLSQIWDTNSSTNNQNPTSGNDESQIWESTESMMLRNRETWPSVSFIGGSVVNETVYKVYESASSLSLATFASLLIEFVARLENLVNAFEELSTKADFKDPVPLNVVDQGLWTRLMRLRRLRRYFSRSEN >fgenesh1_pm.C_scaffold_3002720 pep chromosome:v.1.0:3:22122821:22125840:1 gene:fgenesh1_pm.C_scaffold_3002720 transcript:fgenesh1_pm.C_scaffold_3002720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:D7L9F7] MESGNVVNAQPELSGITIDVSKRYMYEQLWKLCAGPLCDIPKIGEKVYYFPQGHIELIEAYTREELNKIQPIFDLPSKLQCRVIAIQLKVEKNSDETYAEITLMPDTQVVIPTQNDNHYRPLVNSFTKVLTASDTSVHGGFSVPRKLAIECLPPLDMSQPLPAQELLTIDLHGNQWRFKHSYRGTPRRHLLTSGWNAFTTSKKLVAGDVIVFLRGETGELRVGIRRAGHQQKNIHSSLISIDSMRHGVIASAVHAFNNQCMFIVVYKPRSSQFIVSYNKFVDAVNNKFNVGSRFTMRFEGEDFSERRYSGTIIGVNNFSSHWMESEWRSLEVKWDEFASFPRPDKVSPWDIEHLTPSLNVLRPSLLKNKRSREVNEIGSTSSHLLHPILTQGQEIGEPSMTSPMNVFLSYCDEIEDDETPSRMLMSYHVPTMPKLNYNNDQMVTPIEENITTNANASFRLFGVSLATSSVIKDPIEPMESYPKSEISKLCQEKKLGLGQTITSPREIQSKQFSSTRSCTKVQMQGVPVGRALDLNVLNGYDHLIIELEKLFDLNGQLQTRNQWKIAFKDNEGNEKLVGDNPWPEFCSMVKKIFIYPK >fgenesh1_pm.C_scaffold_3002756 pep chromosome:v.1.0:3:22316000:22319592:-1 gene:fgenesh1_pm.C_scaffold_3002756 transcript:fgenesh1_pm.C_scaffold_3002756 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQRFLQDRCLSVSNLPHKGRSLFTTRDIRPGEVILSQKPYICVPNNTSLESRCDGCFKTNNLKKCSGCQVVWYCGSFCQKSEWKLHRHECKALTRLEKEKRKFVTPTIRLMVKLYIKRNLQNEKVLPITSTDNYSLVEALVSHMSEIDEKQMLLYAQMANLVNLILQFPSVDLREIAENFSKFSCNAHSICDSELRPQGIGLFPLVSIINHSCSPNAVLVFEEQMAVVRAMDNISKDSEITISYIETAGSTLTRQKSLKEQYLFHCQCARCSNVGKPHDIEESAILEGYRCSNEKCTGFLLRDPEEKGFVCQKCLLLRSKEEVKKLASDLKTVSEKAHASPSAENKQAAIELYKTIEKLQVKLYHSFSITLMRTREKLLKMLMDLEIWREALNYCRLIVPVYQRVYPATHPLIGLQFYTQGKLEWLLGETKEAVSSLIKAFDILRISHGTSTPFMKELSAKLEEARAEASYKLACIEKRL >fgenesh1_pm.C_scaffold_3002767 pep chromosome:v.1.0:3:22408029:22410325:1 gene:fgenesh1_pm.C_scaffold_3002767 transcript:fgenesh1_pm.C_scaffold_3002767 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMFSGNRMSKEEMEVVVNKAKEIVSAYPVTYCGYCQRVKQLPTQLGATFKVLELDEMSDGGEIQSALSEWTGQSTVPNVFIKGKHIGGCDRVIETNKQGKLVPLLTEAGAIANNSCQL >fgenesh1_pm.C_scaffold_3002777 pep chromosome:v.1.0:3:22539749:22541809:-1 gene:fgenesh1_pm.C_scaffold_3002777 transcript:fgenesh1_pm.C_scaffold_3002777 gene_biotype:protein_coding transcript_biotype:protein_coding MALLITVSLLFIVYLISRWREPLYPPGPKGLPIIGNMLMMDQLTHHGLAKLAETYGGLFHLRMGFRHVFAITSPDVSRQVLQVQDISFSNRPVTIAINYLTYDLADMAFAPYGPFWRQMRKVCVMKVFSRRRAESWASVRDEVNKMVRSLSSNVGNPVNVGELIFTLTRNITYRAAFGAACETEQDEFIRILQEFSKLFGAFNVADFVPFLGWFDLHGINKRLVKARNDLDGFIDEVIDEHMKKREIVNHDDEDTDMVDDLLAFYSEEEKLVSEDSSTNRNKNSVKLTRDNIKALVMDVMFGGTETMASGIEWALTELLRNPDELKRLQQELAEVVGLDQRVDETHLEKLTLLKCTLKETMRLHPPIPLILHEAIEDTKLQGFSVPKGSRLMINAFAIARDPKLWVEPEAFKPSRFMEPGMPDFMGTNFEFIPFGSGRRSCPGMQLGLYAMEVAVANIIHTFKWQLPKGMKPSELDMSDVMGLTAPRATRLIAVPNRRLTCPF >fgenesh1_pm.C_scaffold_3002778 pep chromosome:v.1.0:3:22544332:22545487:1 gene:fgenesh1_pm.C_scaffold_3002778 transcript:fgenesh1_pm.C_scaffold_3002778 gene_biotype:protein_coding transcript_biotype:protein_coding MATFPNLNSASGLKKLDEHLLTRSYITGYQASKDDITVFTALSKPPTLEYVNVSRWFNHIDALLRISGVSAEGSGVIVEGSSPITEEAVASPPAADSKDAAADEEDDDDVDLFGEETEEEKKAAEERAASVKASTKKKESGKSSVLIDIKPWDDETDMKKLEESVRSIQMEGLFWGASKLVPVGYGIKKLQIMCTIVDDLVSIDTMIEEQLTVEPINEFVQSCDIVAFNKICKFHESFLNHLTFLYDNAVCN >fgenesh1_pm.C_scaffold_3002779 pep chromosome:v.1.0:3:22546060:22546734:1 gene:fgenesh1_pm.C_scaffold_3002779 transcript:fgenesh1_pm.C_scaffold_3002779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB81] MSSFVVFLLVFGVVLLLLSSSSVHGLRYRPVRRVFNSTDQSSVLGSNKMFESGYNKARRVQEGNVDGDCGGCSGGEGSCGGCNGSGGSRGDGGRGGGGGGGGRGRRNGKGQGDDGGKEDCNDRNNGNGVGIGIGIGGDEPSPGSGGGGGGLGGGGGRGGGCAGGGGGGDSNGGVWRPKNDGGCWFPGCDKKSTKGQH >fgenesh1_pm.C_scaffold_3002780 pep chromosome:v.1.0:3:22548588:22549530:-1 gene:fgenesh1_pm.C_scaffold_3002780 transcript:fgenesh1_pm.C_scaffold_3002780 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGSSRNNEEDNQQNTNWVWYRNTNNNPSTSHNNQIWQQPSLDLYPGQMDVCDLTTSSRSLTISCQDCGNQAKKGCTHGRCRTCCKSHGLHCPTHVRSTWIPIAKRRERQQQIQTPISNRNGGGHRVGNIPTPYREIDQPANSSGLEMGEATFPDEVSSDALFRCVRMSGTDDGEGQFAYQTTVGIAGHLFKGILYNQGPENMSMP >fgenesh1_pm.C_scaffold_3002785 pep chromosome:v.1.0:3:22607942:22609128:-1 gene:fgenesh1_pm.C_scaffold_3002785 transcript:fgenesh1_pm.C_scaffold_3002785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:D7LB87] MAMLVEPPNGIKQQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGVVCSSINRETNERVAIKKIHNVFENRVDALRTLRELKLLRHVRHENVIALKDVMLPANRSSFKDVYLVYELMDTDLHQIIKSSQSLSDDHCKYFLFQLLRGLKYLHSANILHRDLKPGNLLVNANCDLKICDFGLARTSQGNEQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPIFPGTECLNQLKLIINVVGSQQESDIRFIDNPKARRFIKSLPFSRGTHLSNLYPQANPLAIDLLQRMLVFDPTKRISVTDALLHPYMAGLLDPGSNPPAHVPISLDIDENMEEPMIREMMWNEMLYYHPEAEIANT >fgenesh1_pm.C_scaffold_3002787 pep chromosome:v.1.0:3:22653081:22654764:-1 gene:fgenesh1_pm.C_scaffold_3002787 transcript:fgenesh1_pm.C_scaffold_3002787 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSFLSTLTYCLFLRHPHKKSKLNSVSHHNYYHTKPMSRPLSLQTIDLKVRMCCSGCERVVKHAIYKLRGVDSVEVNLEMERVTVVGYVERKKVLKAVRRAGKRAEFWPYPDMPRYFTSSDHYFKDTTREFRESYNYYRHGYNLSDRHGNIHVTNRGDDKMSNFFNDDNVHACSLM >fgenesh1_pm.C_scaffold_3002808 pep chromosome:v.1.0:3:22767311:22769140:1 gene:fgenesh1_pm.C_scaffold_3002808 transcript:fgenesh1_pm.C_scaffold_3002808 gene_biotype:protein_coding transcript_biotype:protein_coding MAESIFRKLRDGGEEGELAPALTIEETVASPFGIDVSGYLLTNLSSSILAGKSKSQGLVLITFSRSPSFYLQLLKQRGIVVSSSSKWIRILDCYTDPLGWIHEPSSGFSEGSSLIKLHKCVSDLRKLFSTVIEAGRELVGAGKTHFCVAIDSVNELLRHSSMPLVSGLLTDLRSHAQITSVFWSLNTDLHQEKVTNALEYISTMKANLEPLCPSSDGQRNALENLFLVHQDFGKGRFHVRFKLRKGRVRVMSEEYHVDQSGIVFSPISSTDTVIVTTKSLLPKASYLVHFNLTLSEKERVEKEKVVLPFEHQDDGKSNEIYDGRRSLVDGKIETTPLSSMELQTDVVSSGKGGEIIYFRDSDDEHPDSDEDPDDDLDI >fgenesh1_pm.C_scaffold_3002820 pep chromosome:v.1.0:3:22900288:22901696:1 gene:fgenesh1_pm.C_scaffold_3002820 transcript:fgenesh1_pm.C_scaffold_3002820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L085] MDQPHALVVASPGLGHLIPALELGNRLSSVLNINVTILAITSGSSSLTETEMIHAAAARGTLTMMRAMTPAVRDAVKSMKQKPTVMIVDFFGTALLSITDVGVTAKYVNIPSHAWFLALIVYLPVLDKVVEGEYVDIKEPMKIPGCKPVGPKELLDTMLDRSDQQYRECVQSGLEIPMSDGVLVNTWEELQGKTLAALREDMDLNRVMKVPVYPIGPIVRSNVLIEKRNSILEWLDKQGERSVVYVCLGSGGTLSLEQTMELAWGLELSGQSFLWVLRRPVSYLGGSSKDDDQVSACLPEGFLDRTRGVGLVVTEWAPQVEILSHRSIGGFLSHCGWSSVLESLTKGVPIVAWPLYAEQWMNATMLTEEIGVAIRTSELPSKKVIGREEVASLVKKIVVEEDKEGRKIKAKSAEVRVSSERAWTHGGSSHSSLVEWAKRCRLVS >fgenesh1_pm.C_scaffold_3002824 pep chromosome:v.1.0:3:22924672:22927463:1 gene:fgenesh1_pm.C_scaffold_3002824 transcript:fgenesh1_pm.C_scaffold_3002824 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRADEKLIPSTAKELKEAFHAKLSDIGLLSFIRNIVQGLASPLAGLFAVSYDRPTVFAFGSFFWVSSTVATGVSRYFIQVTLGVAFNGVGHAIVYPVLQSIIADSFKESSRGFGFGLWNLIGTVGGIGGTVVPTVMAGHNFFGISGWRCAFILSATLSAMVGILVFFFVTDPREKKTSSVIVHHDDQHERDENNGATTMESPSSSVWKESWVAIKDVTKLRTFQIIVLQGIVGSVPWNAMVFWTMWFELIGFDHNQAALLNGIFATGQAIGSLVGGIIADKMSHMFPNSGRLICAQFSVFMGAIFSIVLLRMIPQSINSYYIFLVTLFLMGLTITWCGPAINSPILAEIVPAKHRTMVYAFDRALEVSFSSFGAPLVGIMSEKLFGFDAKGIDHVKDSGREAEALGKGIMWMMALPFGLCCLCYTPLHFLFRKDRKNDRTTSSTEVEMV >fgenesh1_pm.C_scaffold_3002827 pep chromosome:v.1.0:3:22934006:22935384:1 gene:fgenesh1_pm.C_scaffold_3002827 transcript:fgenesh1_pm.C_scaffold_3002827 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGKSSKNKKNVEFGSPSTPVQIKINSEYSEHLSSYERACSEDPKLESFDAALHERTNRVINKLASGVEIKSLSFDSLREVTQCLLDMNQDVVKVILQDKEDIWNNQDLFSLVNLYFESTAKTMDFCSELENCLNRARRSQVIIQFAVKQFEEEAEIPVNGDKENRKYEKTLKELKRFKVAGEPFTKEFFALFDLVYKQQVMMLEELHKLKKKLDKKLKNIKTWRRVSNMVFVTAFVSVLIFSVVAAAVAAPPVVAAIAGALAVPVGSVGKWCNTLWTKYEKVVRGQKEIITSIRIGTYISVKEMDNISILVRKVEVEIESLLKKAEFAITEEKEVRLAIDEIKKKLDVFTETIEELGEHAGKYCSDVTKARTVILQRIIRYPASSPKDEAPWTEMV >fgenesh1_pm.C_scaffold_3002830 pep chromosome:v.1.0:3:22963011:22963469:-1 gene:fgenesh1_pm.C_scaffold_3002830 transcript:fgenesh1_pm.C_scaffold_3002830 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVVVKFVVMMIVFAQILAPIAEAALGKAVYYDPPYTRSACYGTQRETMVVGVKNNLWQNGRACGRRYRVRCIGATYNFPGACTGRTVDVKVVDFCREPCNGDLNLSRDAFRVIANIDAGNIRVVYTP >fgenesh1_pm.C_scaffold_3002838 pep chromosome:v.1.0:3:23045696:23046948:-1 gene:fgenesh1_pm.C_scaffold_3002838 transcript:fgenesh1_pm.C_scaffold_3002838 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDVVAIPAQTDYSTFDDLRLGRSSQDVVGRLLRFWDAHNIKKTCSLIHCFIPAVRASQFRNVLQEGRIFCVGGFEVGRCTNLYKITDNPFVIRFLPSTTIVEVNHVGATIEREKFMLRKGDHLQALANINLELPAATFQAYLTSGDTINSVMLVTTINPKLFGGRMKSYVIYISGKS >fgenesh1_pm.C_scaffold_3002848 pep chromosome:v.1.0:3:23212768:23214112:-1 gene:fgenesh1_pm.C_scaffold_3002848 transcript:fgenesh1_pm.C_scaffold_3002848 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSLLPNDILELIVSHFETSFEIRSVIPPLDHHSRCLGIKTHDIPFNAGFSLHGQPPFNSGDKCTLKQISVYLVRFQTPFGDDYLLAEMREREFEEPMLMLSPLSSNGIIYGFGIYKVLFNSLTSPIIPFGHYYEITYFEMQHIHYRYGLPYHLWDGVDWVETSERVAFLKLHSEDRRDFAVLLDGGTTNLVMYRSRNMSWTQVVDHPETCSYQDMVAFKGKFYVVDSSGWGRVFVVELSLEVTEIPSVRGSQESSKESLVLSGEELLLVQRFTPGWRHGEYIYIHGSECLDLMKKEGG >fgenesh1_pm.C_scaffold_3002850 pep chromosome:v.1.0:3:23261935:23263264:1 gene:fgenesh1_pm.C_scaffold_3002850 transcript:fgenesh1_pm.C_scaffold_3002850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epithiospecifier [Source:UniProtKB/TrEMBL;Acc:D7L1U5] MAPTLQGEWIKMEQKGGKGPGPRCSHGIAMVGDKLYSFGGELIPNMHIDKDLYVFDFNTHTWSIAPANGDPPRISCLGVRMVAVGTKLYIFGGRDAKRAFNDFYSYDTVQKEWTFLTKLDEEGGPEARTFHSMTSDENHVYVFGGVSKGGLQTTPKRFRTIEAYNIADGGWVQLPDPGENFEKRGGAGFAVVQGKIWVVYGFATSIIPGGKNDYESNAIQYFDPASGKWTQVKTTGAKPSARSVFAHAVVGKYIIIFGGEVWPDLKGHLAPGTLSNEGYVLDTETLVWDKLAEGGEPAMPLGWTSYTTATVYGKKGLLMHGKLPTNERTGDLYFYAVNSA >fgenesh1_pm.C_scaffold_3002851 pep chromosome:v.1.0:3:23264582:23265626:-1 gene:fgenesh1_pm.C_scaffold_3002851 transcript:fgenesh1_pm.C_scaffold_3002851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RER1 [Source:UniProtKB/TrEMBL;Acc:D7L1U6] MEGVGGDAASMASSPVQKRANEAWRLYQYYLDKTTPHAVNRWIGTLVIAGIYCLRVFYVQGFYIISYALGIYVLNLLIGFLSPLVDPELDASDGPMLPTKGSDEFKPFIRRLPEFKFWYSMTKAFCIAFVMTFFSFFDIPVFWPILLFYWIVLFVLTMRRQIAHMMKYKYIPFNLGKQKYGGKKASGSRSRAD >fgenesh1_pm.C_scaffold_3002861 pep chromosome:v.1.0:3:23366947:23369065:-1 gene:fgenesh1_pm.C_scaffold_3002861 transcript:fgenesh1_pm.C_scaffold_3002861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7L1W5] MFTLRECVEKLQLLKSPEERQRRLEEIPEIHDDPKMDPDCESEDEDEKEEKEKEKQLRPRSSSFNRRGRDPISPRKGGFSSNESWTGTSNYSNTSANRELTRSYSGRGSTGRGDYLGSSDDKVSESMWTSARERDVQPSLGPEKPRSVSIPETTTRSSRAVAPPELSPRFASEISTAPPAVVPQPVPKSNESEKIWHYKDPSGKVQGPFSMAQLRKWNNTGYFPAKLEIWKAKESALDSVLLTDALAGLFQKQTQAVDNSYTKAQVAAYSGQSSQSEPNLGSTARTAPSTIEIPRNSQDTWSQSGSLPSPTPNQITTPTAKRRNFESRWSPTKPSPQSANQSMNYSVAQSVQSHASRIDIPVVVNSAGTLQPQAYPVPTPDLINVSVNHSATLHSPTPAGGKQSWGSLQTDHGGSNAPSSQNSSTSYGTPSPSVLHSQSQPGFPPSDPWKVAVPSQPNVQAQAQAQAQAQAQAQAQWGINMVNNNQNSGQPQAQAPANQNSSWGQGTVNPNMGWVGPAQAGVNVNWGGSSAPSTGQGIPNSGWGGPVQGQTQAYPNPGWGAIGHPQAQPQAQVQAQAGTTSAGWMQPGQGIQSGNSNQNWGTQNQTAIPSGGSGGNQAGYWGNQQQSQNGDSGYGWNRQSSGQNNFKGQRVCKFFREDGHCRKGASCNYLHN >fgenesh1_pm.C_scaffold_3002862 pep chromosome:v.1.0:3:23370019:23371644:-1 gene:fgenesh1_pm.C_scaffold_3002862 transcript:fgenesh1_pm.C_scaffold_3002862 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB complex BAF60b domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L1W6] MFSLCKGCAKDAVFFCIRGNKGLCETCMETVKLIERKEQEKEPAQMDFDDKTSWEYLFKDYWIDLKTQLSLSPEELDQAKRPLKGHETNASKQGTANETDYVTDGGSDSDSSPKKRKTRSRSKSGSAEKIRSPANKNLSGETMEWASKELLDLVVHMRRGDRSFLPMTEVQTLLLAYIKRYNLRDPRRKSQVICDSRLQNLFGKSHVGHFEMLNLLDSHFLKKEQNQADDIQGDIVDTEEPNHEDVDENLDHPMKSGKDKKRKTRKKNVRKGRQSNLDDFAAVDMHNINLIYLRRSLVEDLLEDSTAFEEKVASAFVRLRISGNQKQDLYRLVQVVGTSKAPEPYKVGKKTTDYVLEILNLDKTEVISIDIISNQDFTEVTYS >fgenesh1_pm.C_scaffold_3002874 pep chromosome:v.1.0:3:23505381:23507788:-1 gene:fgenesh1_pm.C_scaffold_3002874 transcript:fgenesh1_pm.C_scaffold_3002874 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7L1Y2] MAEKMFKALLWAFATAVVMAEAVRGQRVPCYFVFGDSVFDNGNNNDLDTLAKVNYSPYGIDFARGPTGRFSNGRNIPDFIAKEVGFKYDIPPFIRASTEQAHTGINYASGGAGLLEETSQHLGERISFEKQITNHRNMILTAGVPPEKLKKCLYTINIGSNDYLNNYFMPAPYTTNGNFSFDGYADYLIRSYRSYLKSLYVLGARKVAVFGVSKLGCTPRMIASHGGGKGCAAEVNKAVEPFNKNLKALVFEFNRNFADAKFTFVDLFSSQNPIEYFILGFTVTDKSCCTVESGQELCAANKPACPNRGQYVYWDNVHSTEAANKVVAEAAFVGLITSPYSILLLTL >fgenesh1_pm.C_scaffold_3002877 pep chromosome:v.1.0:3:23520539:23522907:-1 gene:fgenesh1_pm.C_scaffold_3002877 transcript:fgenesh1_pm.C_scaffold_3002877 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7L1Y6] MSKVCWLVAAIMFAAATMVYGQQEPCFFVFGDSMSDNGNNNNLKSEAKVNFSPYGIDFPQGPTGRFSNGRTIPDIIGELSGFKDFIPPFAGASPEQAHTGMNYASGGSGLREETSEHLGDRISIRKQLQNHKTAITKANVPAERLQQCLYTINIGSNDYINNYFMSKPYNTKRRYTPKQYAYSLIIIYRSHLKNLYRLGARKVAVFGLSQIGCTPKIMKSHSDGKICSREVNEAVKIFNKNLDDLVMDFNKKVRGAKFTFVDLFSGGDPLAFKFLGFKVGDKSCCTVNPGEELCVPNQPVCANRTEYVFWDDLHSSEATNMVVAKGSFDGIITKPYSIAQLVKEL >fgenesh1_pm.C_scaffold_3002890 pep chromosome:v.1.0:3:23687293:23689876:-1 gene:fgenesh1_pm.C_scaffold_3002890 transcript:fgenesh1_pm.C_scaffold_3002890 gene_biotype:protein_coding transcript_biotype:protein_coding MSETGDLCLELPKDDPFYHHKKKFLSCKGVGVKETLNLSGSLSQQLLNAALEKLLQFGRIVNLDKVEVYFGEDACTSAGIYSVRNEISALSWILSLIPVSCEMQTQVDTFEALRAAVKSRISEVVGAETERARVFDSYRCEKESRLVEWGQDNGVKTKLQIAHIDGYGRGAIASEDLKFGDVALEIPISSIISEEYVFNSDMYPILEKIDGITSETMVLLWTMREKHNLDSKFKPYFDSLQENFCTGMSFGVNAIMELDGTLLLDEIMQAKELLRERYDELIPLLSNHRHVFPPEHYTWEHYLWACELYYSNSMQIKFPDGKLKTCLIPVAGFLNHSIYPHIVKYGKVCVETSSLKFPVSRPCNKGEQCFLSYGNYSSSHLLTFYGFLPKGDNPYDVIPLDFDVIDDEDTETEFSWTTHMVRGTWLSSNHDIFHYGLPTPLLNYLRKAHGLDHHSETDLWKNLEVEMGVLENLQSTFDDMMQNLGDADSIDRENADWDVKIAMEFKEQQRKIVSSILDSCSAGIKLVLEFITNPPVLAKLE >fgenesh1_pm.C_scaffold_3002898 pep chromosome:v.1.0:3:23787276:23788992:-1 gene:fgenesh1_pm.C_scaffold_3002898 transcript:fgenesh1_pm.C_scaffold_3002898 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNHVVIVDVSSDEEEVDTRVDLDYSSWLNDVMEPVDDKSDSTDIVEVLSEVKGCVDSQYRKPNSSSLAVDDDDDCVILDCDPDKSTKTASIDDDDDDKLAKNDDDDDDDDDVLVVGQKGEIACRDFPHPRHSCAKYAFNSTSHEKYCDMCHCYVCDIRAPCPYWCIAVSSIDHCHANDKEKIWKNQREYFRTGYMPTPPTSKPTPSILRVSKNTLLRNPVEIRRCSSSTRVANPSSVKARHRIRQPIPHNQGLQSQPAQSLSGVRNSVIQKDRSSYKFSLRSRVASTAGNGSSIRYSNAQVSQSSHHSSSLVAPTINPEIYTQQRNYQPNVSDHCTALPGSQSNMYTRQSDQNIRGSGNRQFQTHVNLFALSEAPLTAADSQTETVQHQPGTNENVLETKLSDFENWLMENPNQTGPVSPLPEPVGEDYVGTLSFDFETFLND >fgenesh1_pm.C_scaffold_3002905 pep chromosome:v.1.0:3:23837362:23838531:-1 gene:fgenesh1_pm.C_scaffold_3002905 transcript:fgenesh1_pm.C_scaffold_3002905 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPEKKRKKWSPDSLPYDLIVTILARLSRSYYPKLSLVSKTFRAILASPELYQTRILLSRTETLLYVCLSFPDEANPRWFTLYRKPNQIHTTKKNKKKKDGSSVHLLAPTPILNSPPVEFSSLLAVGSYLYAFSAAMEDPPCSNLWFLDSETPDSLKRVEVYNIETQTWIPVPPNKRRVKLIHMKGKLYMNVGKLLSLAEKVLPLNPKVRSLEIMSPRDVMAFKPEVCGAFEALGLDTVNTDLNRGSFCMIEEMTYHYDPSVKFRWRKSMGGVWRTLGGLEGLPKFARYSTVKLADCGGKLVVLWDKYVPASGYEEKMIWCAEISLERRNKEEILGKVEWLDAVLTVPKSYKFVDAKSATV >fgenesh1_pm.C_scaffold_3002929 pep chromosome:v.1.0:3:23960572:23961849:-1 gene:fgenesh1_pm.C_scaffold_3002929 transcript:fgenesh1_pm.C_scaffold_3002929 gene_biotype:protein_coding transcript_biotype:protein_coding MVFETPICSPPSPIDKESSEDVSTPLVLMKLTNSLQSNSIYIALILAHLVTVTQLMVRSVAHFDYQMTLNAIDPANNSFSSVEICAWDASIENDENLRLVTTFCSLEGSGEQGTQWDFDGVDMFYNGVMPKWLDDSAITGSEKLHYYEINDSDLQENEWLHLYAQVGAYSKWDLDMVNHLLLEIKKAVVQTKEDDIESSLKLKSSNAIFYITFTTRGGDECACIVRQTWDGRPQHMCLEVKNVEIYGQIDKC >fgenesh1_pm.C_scaffold_3002937 pep chromosome:v.1.0:3:24009689:24016271:-1 gene:fgenesh1_pm.C_scaffold_3002937 transcript:fgenesh1_pm.C_scaffold_3002937 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLLRQPFSTFFWKLPCRRSFVHFPKTIPSPELIDDTLKRRIERVQDSTVSITPLLREWCQIGNQTALSELRSIITSLHRSNRFFHALQVSDWMIEQKAYKLSSMDFERRLYLTAKVCGVEEAAKFFETVPAEDHDMVVKLLGEMDEKKMQPQGLSFDKLLTSYSMASDLDVQGMEKFLSKWEVMIQEKWTTFYFPGLVYLRAGFREKGLALLRRSEPSVGDRAREIIYGCLMTAYCSENLTEDVYRLWNLAKDYGISFDSSKCSDIVKAFMKKGDLDEVMEEWDECPNIDLMDFGLQHRCMKEEAEKIVDMFGKKESKWESLALKVNNLVEDEDDKEEERRKRVAEAMEGRLHDRWNPKSSMALSAYACVQYVEGRRDMESTADILRLLNKREQVLHAMDKDRLSLKMVEAMRGGGYVGGDD >fgenesh1_pm.C_scaffold_3002951 pep chromosome:v.1.0:3:24063164:24065416:-1 gene:fgenesh1_pm.C_scaffold_3002951 transcript:fgenesh1_pm.C_scaffold_3002951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7L4L2] MSSGLNEDFLDCIVRLEETHIQQGFDEGYEEGLVSGREDARHLGLKLGFETGELIGFYKGCSVLWNSALRIDPTRFSPLLHKHLNDFHVLLDKFPLLDPEGEAKDGIKDDLRLKFSIICASLGISKKQLEWSEEMLREMLGCCKVYISEARNKTALEAIERAVKAFPPVAIVNKFEDAAYGRVGYTVVSSLANGSSSSLKNAVFAMVKTALNTINLELHCGTHPRLGVVDHICFHPLSQTSLEQVSSVANSVAMDIGSILRVPTYLYGAAEKEQCTLDSIRRKLGYFKANREGHEWAGGLELEMVPVKPDAGPQEVSKAKGVVAVGACGWVSNYNVPVMSNDLKAVRRMARKTSERGGGLASVQTMALVHGEGVIEVACNLLNPSQVGADEVQGLIERLGREEGLLVGKGYYTDYTPDQIVQRYMDLLSNS >fgenesh1_pm.C_scaffold_320000002 pep scaffold:JGI8X:scaffold_320:6220:7769:1 gene:fgenesh1_pm.C_scaffold_320000002 transcript:fgenesh1_pm.C_scaffold_320000002 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEEPRNWADLPSELTSLILIRLSVADILDNAQKVCRPWRRVCKEPSMWRKIDMRSLIRDRGMLDPLAIMCRHAVDRSEGGLVKIHLGNFVNDDLLDYIADRSRNLRSLGLGMCFPRVTRPGLMNAITKLPLLETLEVSHSCLNLSLEDIGHACPQLKTLKLNSSGGFWNSRNDDDYALEIAKSMPELRHLHLYANNLSDTRLNAILDGCPHLERLITDVLQA >fgenesh1_pm.C_scaffold_34000003 pep scaffold:JGI8X:scaffold_34:74040:82608:1 gene:fgenesh1_pm.C_scaffold_34000003 transcript:fgenesh1_pm.C_scaffold_34000003 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/Kelch-repeat protein At5g49000 [Source:UniProtKB/TrEMBL;Acc:D7MVV5] MSSLKKKTKKRSPESTPISSLPDDLVLSCFARVSRLYYPILSLVSKSCRTLVASPELYKTRSFFNRTESCLYVCLEFPPDPNPRWFTLYRKPNQTLTNITEKTKNSSGYVLAPIPNHHSPSASLVAVGSNIYAIGGSIENAPSSRVSILDCRSHTWHEAPSMRMKRNYPAANVVDGKIYVAGGLEDFDSSKWMEVFDTKTQTWEFVLCPLAERFVYRSLVIDGEIYIFGDKVVTYKPKEDRWGDEGEHQSMDLGLYFHSYCVIDNVLYCYRPGGIKWYESEKRSWRKLRGLKGLSKLASNCVRLADYGGKIAIFWDKYSPSSGYKSHMISCAVISLGSCKNQGIRGKVEWFDDMLTAIPYCYSFVNVLAATV >fgenesh1_pm.C_scaffold_37000001 pep scaffold:JGI8X:scaffold_37:721:1780:-1 gene:fgenesh1_pm.C_scaffold_37000001 transcript:fgenesh1_pm.C_scaffold_37000001 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNQNQPHRPVPVHVTNAEPNPNPNNLPNFLLSVRLKYVKLGYHYLISNALYILLLPLLAATIANLSSFTINDLSLLYNTLRFHFLSATLATGLLISLSTAYFTTRPRRVFLLDFSCYKPDPSLICTRETFMDRSQRVGIFTEDNLAFQQKILERSGLGQKTYFPEALLRVPPNPCMEEARKEAETVMFGAIDAVLEKTGVKPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNILSYNLGGMGCSAGLISIDLAKQMLQNYRLQFFLSKFNYLCLGVT >fgenesh1_pm.C_scaffold_37000003 pep scaffold:JGI8X:scaffold_37:39826:45277:1 gene:fgenesh1_pm.C_scaffold_37000003 transcript:fgenesh1_pm.C_scaffold_37000003 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVQLFTWKPLILPSRVDRFASFNRSLSLKALPLGGIESFRCPGTFKVKSQRTGDTEPSSVNLNDFNSKFHKSLPYKLVVGCIPLYVVFRIAQKICQVLPLLVQNSVGAGLPFACASNSLPTPLKLDVSVPSFQDIRWGLARFLYLFNIQLEKNIGTFLVALMIACVSFVIIGGLLFSNSDLPLEDCLWEAWACLISSSTHLKQKTRIERVIGFVLAIWGILFYSRLLSTMTEQFRYNMTKLREGAQMQVLEADHIIICGINSHLPFILKQLNSYHEHAVRLGKQRLLLMSDTPRKQMDKLAEAYSRDLIILISLHRGKLCSCSLNMTKSFERAAASMARAIIILPTKGDRYEVDTDAFLSVLALQPIQKMESIPTIVEVSSPNTYDLLKSISGLKVEPVENVTSKLFVQCSRQKDLIKIYRHLLNYSKNVFNLCSFPNLAGTKYRQLRLGFQEVVVCGLLRDGKVNFHPNDNEELMETDKLLFIAPLNWKKKQLLYTDMKLENITVATDTRKQVFEKKRSRLAKITVRPRKSLSKGSDSIKGPTESILLLGWRGDVVQMIEEFDNYLGPGSSMEILSDVPLEDRRRVGDSMSSVKIKNIQVSHKVGNPLNYDTLKQTIIRMKSKYRKGKNIPLTILVISDRDWLLGDPSRADKQSAYSLLLAESICNKLGVKVHNLASEIVDSKLGKQITGLKPSLTFIAAEEVMSLVTAQVAENCELNEVWKDILDADGDEIYVKDIELYMKEGENPSFTELSERAWLRREVAIGYIKGGKKIINPVPKNEPLSLEMEDSLIVISELEGDQPITL >fgenesh1_pm.C_scaffold_375000001 pep scaffold:JGI8X:scaffold_375:8276:8732:-1 gene:fgenesh1_pm.C_scaffold_375000001 transcript:fgenesh1_pm.C_scaffold_375000001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLERLNILPFRVAAYDKTQGKMAFFDPTRAQDFLFISGTKMRALAKNRENPPDGFMCPGGWKVLVDYYDSLTVTGNTKLPEKIPV >fgenesh1_pm.C_scaffold_378000001 pep scaffold:JGI8X:scaffold_378:127:5251:1 gene:fgenesh1_pm.C_scaffold_378000001 transcript:fgenesh1_pm.C_scaffold_378000001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNVGTEVFINFRGEELRNNFISHLHDALHRMGIKAFIDSDEPPGEDLDIFFKRIEQSKVALAVLSSRYTESHWCLEELAKIKECVDRSSLRVIPIFYNVDPTTVKELDGDFGLKLWDLWRKDGRDNRILKWDAALQDVVDKIGMVLGIRNESEFPKAALTEHQTVSNPKPKEASNGNGAPRSIKSGGQRLTQLEEKLDLDCNENKTRYVGIVGMAGIGKTYLADKLFQKLKTKIGCNVFLKLVREKTTDEDLYLEKRLVEGLLNKTINFSSKNPLEERKNDLIQKKVVVVLDNVSDQKEIEPFLGICNWIKEGSIIVITTRDKSLLKGMNCDIYEVPKMNDRESLELFKDRAQVCSSTNFEENFMELSKKFVDYAGGNPLALKNIGKELYAKEKDHWEERLRTLTQCSNPKVREKLRSSYDELNEQQKDVFLDIAHFFRSEDVKYVTSLLDSFDPGSAEAGKELIKGLVDKFLISVCDGRVEMHNLLLTMAKEHVGDTAGKYWLWSSNCEEFTSALSNIEGKDKVRGIIIDMSNVEEMPLDNQAFVGMSSLRYLKVCDTGHSEAQCKLNLPDVLEFPKDNIVRYLNWVKFPGKELPSDFEPTNLIDLRLPYSKITSVWKDAKVAPELRWVDLSHSSNLSSLLGLSEAPKLLRLNLEGCTSLKELPEEMQKMKKLVSLNLRGCTSLLSLPKITMDSLKTLILSCCSKFQTFEVISKHLETLYLNNTAIDELPPTIGNLHGLIFLDLKDCKNLATLPDCLWKMKSLQELKLSGCSKLKSFPNVKETMVNLRILLLDGTSIPLMPSKIFDSSFLRRLCLSRNEEICSLLFDMSQLFHLKWLELKYCKNLTSLPKLPPNLLCLNAHGCSSLRTVASPLASLMPTEQIHSTFILTDCHKLEQVSKSAIISYIQKKSQLMSNDRHSQDFVFKSLIGTCFPGCDVPVWFNHQALGSVLKLELPRDGNEGRLSGIFLCVVVSFKEYKAQNNSLQELHTVVSDHVFIGYSTLFNSKQRKQFSSATEVSLRFEVTNGTREVAECKVMNCGFSLVYESDEAESATWEANLMENSTKGNKSGCIQIKYQKNNLGGEAIKQ >fgenesh1_pm.C_scaffold_379000001 pep scaffold:JGI8X:scaffold_379:925:5815:-1 gene:fgenesh1_pm.C_scaffold_379000001 transcript:fgenesh1_pm.C_scaffold_379000001 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSSEGEDVDCYDKMKQPAFDNPLLKNHKFQEMPSEFPKGIKTIQKGKRKQTLESHISFAKCPHGTVPIRSNNATVNHRKQPDSSSYQGGHEPIWKPQTTPKFYGTKAIVNVWDPELEKGAEEMSISQIWLASGEYKSGDLNTIEAGWQVLPQLYNDTKPRLFLFWTSNAYRTGCYNVRCAGFVQTSSSIVVGGSISPVSSYGGSQFEIAIQVWKDREYGNWWLSLGSNNELVGYWPAEIFTTLADHASVVQWGGEVVNWQRFGRHTTTQMGSGHFPEEGFGKSSYFCNLETVDINNSLQPVQELKRLVTNPAYYDVKDLYTEDTFFLRGARI >fgenesh1_pm.C_scaffold_380000001 pep scaffold:JGI8X:scaffold_380:198:838:-1 gene:fgenesh1_pm.C_scaffold_380000001 transcript:fgenesh1_pm.C_scaffold_380000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7MXF2] MASETENIKYEESFIKNTRGMKLFTCKWVPANQEPKALVFICHGYAMECSITMNSTARRLVKAGFAVYGIDYEGHGKSDGLSAYVPNFDHLVDDVSTHYTSICGNVDFFVGVKV >fgenesh1_pm.C_scaffold_380000002 pep scaffold:JGI8X:scaffold_380:5468:7274:1 gene:fgenesh1_pm.C_scaffold_380000002 transcript:fgenesh1_pm.C_scaffold_380000002 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGGNGDGATSGDCYAHHHRRDIKLAGDSSENLDSISSLPDVILQQILSYLPTKLSIRTSVLSTRWRHVWGLKADTLNKTLARYKLPKIMSFHLYTNMLDNVPYIDGWIEFAISRNVENLSLDLGESNGRGFHSIPEFIYTSSSVKQLSLRHCHLIPRCAVSWKSLKNLSLHTCSLSDEFFAKTLCGFPFLESLKNSYFEPGPMHIVAPHIHSLKLTKSKFSCTLVDVSSLTEATVEAIPDVDFCMRNQPTNLQVMTLKMLEKLQNVEKLTFGANFLKLLSIAKVHGVSFPMFKAKALTVETTMYEHCVTPGVVSVLQNAPELKWLTLVHIMDSRSGFIQKDDLLYNLDPRDQHCRCWVFERTFSLKTKHVASFLKIILKNTKTLEKMVVRLKDYLEEKCFEELLQIVPSLSLDNNISILLS >fgenesh1_pm.C_scaffold_383000001 pep scaffold:JGI8X:scaffold_383:30:2498:1 gene:fgenesh1_pm.C_scaffold_383000001 transcript:fgenesh1_pm.C_scaffold_383000001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFYSLKGLLRQPKWGHLRDLHKAIKLCEDALIATDPTISSLGSNLEAAVYKTASGSCAAFLANVGTKSDATVSFNGESYHLPAWSVSILPDCKNVAFNTAKINSATEPTAFARQSLKPDGGSSAELGSEWSYIKEPIGISKADAFLKPGLLEQINTTADKSDYLWYSLRMDIKGDETFLDEGSKAVLHIESLGQVVYAFINGKLAGSGHGKQKISLDIPINLVAGKNTVDLLSVTVGLANYGAFFDLVGAGITGPVTLKSAKGGSSIDLASQQWTYQVGLKGEDTGLGAVDSSEWVSKSPLPTKQPLIWYKTTFDAPSGSEPVAIDFTGTVKGIAWVNGQSIGRYWPTSIAGNGGCTDSCDYRGSYRANKCLKNCGKPSQTLYHVPRSWLKPSGNTLVLFEEMGGDPTQISFGTKQTGSNLCLTVSQSHPPPVDTWTSDSKISNRNRTRPVLSLQCPVSTQVISSIKFASFGTPKGTCGSFTSGSCNSSRSLSLVQKACIGSRSCNIEVSTRVFGEPCRGVVKSLAVEASCS >fgenesh1_pm.C_scaffold_396000001 pep scaffold:JGI8X:scaffold_396:861:1490:1 gene:fgenesh1_pm.C_scaffold_396000001 transcript:fgenesh1_pm.C_scaffold_396000001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRVAIVGPNGAGKSTLLNLIAGDLVPSEGEVRRSQKLRIGRYSQHFVDQLSMWETPVEYLLRLYPDQEGCSKQEAVRAKLGKFGLTGENHSTPTAKLSGGQKARVVLTSISMSKPHILLLDEPTNHLDMQTIDALADALDEFKGGVVLVSHDSRLISRVCEDEEKSEIWLVEDGTVTFFRGTFEEYKEELIGEIKAEVDELTSLVGC >fgenesh1_pm.C_scaffold_4000001 pep chromosome:v.1.0:4:89201:91911:-1 gene:fgenesh1_pm.C_scaffold_4000001 transcript:fgenesh1_pm.C_scaffold_4000001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPQIIRRRLLSWVLAGLVLVPAHHLCNQILLGFDVTVLDEGHGLDDVGIQGFWYPNRNVFSLVDQLGLKPFTTWTRSAQYLGEDLHVEFPVFQELPQVPTPLGTLYYSQFSRLSLADKISLLPLMAAGKSLLHYVVIDYDNTDAAWRKYDSVTARELFKQFGCSESLYRNILRQLLQVGLFAPPEQCNTAAMLALFYYLILSHQKDFDMVWSRGTVREMIFNPWTDSLEERGCKFLRDKSVTDFILSDDDSGYVTQVICGRDKISTIQEIIKKRSNVFKVLPSSVFICLLCSLCKREEFLRVLNLRAVDVVYVKLKLDRKVTIPHASNACSVLEDSYRWTFFDLNSIYDAYKEEEQTVVRTDFYNMNELIGTTDEMIVDKAIKNMSKCVKDLKKAVVMEADVQRFPQSLSYLFPGSYKHMIRGSTSVPNMFWERKLVDYVGERSFVRIIPIQEEEPHVEALRTLNRTINHLRSQIPFSTY >fgenesh1_pm.C_scaffold_4000048 pep chromosome:v.1.0:4:298577:299492:1 gene:fgenesh1_pm.C_scaffold_4000048 transcript:fgenesh1_pm.C_scaffold_4000048 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIMGRRCEDCGNQAKKECLYMRCRTCCKSKAFHCQTHIKSTWVPAYRRPHHKHQSQSQPPSTSNPKRVQIRTTLGHFPAELSSLADFRCVKVSSIDDGKEQYAYQTTVNIGGHVFRGILHDQGLEEGMIDHHYNKNSNNHQESLHPSTSSCPLMTTSPFTDFMSRAQFSSVLRR >fgenesh1_pm.C_scaffold_4000054 pep chromosome:v.1.0:4:333259:333598:-1 gene:fgenesh1_pm.C_scaffold_4000054 transcript:fgenesh1_pm.C_scaffold_4000054 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKTTIFIVFVLCLSCTLLVNISGIQATAPSSTSKESLTAETELTNGERCKTDNDCPQSHPCPKEFYYACLLGECTCIAI >fgenesh1_pm.C_scaffold_4000064 pep chromosome:v.1.0:4:392333:392651:1 gene:fgenesh1_pm.C_scaffold_4000064 transcript:fgenesh1_pm.C_scaffold_4000064 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFDQGTYDKLLTEAPKFKLITPSILSDRMRINGSLARRAIRELMAKGLIRMVSAHSSQQIYTRATNT >fgenesh1_pm.C_scaffold_4000067 pep chromosome:v.1.0:4:402872:404751:-1 gene:fgenesh1_pm.C_scaffold_4000067 transcript:fgenesh1_pm.C_scaffold_4000067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7LBW7] MGLYKTKSKRSIANYYHIILINIFILSSITFSSMASSNSSSSSIDFSTAILIRVDQSGKGDFSKIQEAIESIPPNLNNSQLYYIWVKPGIYREKVVIPADKPYITLSGTQASNTFLIWSDGGDILESPTLTIFATDFVCRFLTIQNKLGTAGRAVALRVAADKAAFYGCVITSYQDTLLDDNGNHYFKNCYIEGATDFICGSASSLYERCHLHSLSPTKGSITAQMRTSATEKSGFIFLGCKLTGSSSTYLGRPWGPYSRVIFAYSFFSNVVAPRGWNQWGDSTKENTVYYGEYKCYGPGADRGQRVKWSKQLSDDEATVFLSKDFIGGKDWLRPAPSHFKKWSQINPKIYK >fgenesh1_pm.C_scaffold_4000074 pep chromosome:v.1.0:4:458669:462015:-1 gene:fgenesh1_pm.C_scaffold_4000074 transcript:fgenesh1_pm.C_scaffold_4000074 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAVLGYLLDNEEITDSGQFSDEHNLNHDEVVNVIKRLHAFGYVDCQNIKRVSSVLTDEGKKYAAEGSPEVHYFLAVPAEGSISKEELQKKLSPSDWKSKTSVFRIGSIYAIKNKWVEGGKQVSRKVQHVEDKVKNLLLQIQEGMELDEESLNYLKNTRRLIEEKVWKGYSIKKGPNYAPKRMSFATDLSRENLQKSITSMLNDSLLMLDIFTPFSRYSNVRKQFKDIFRQMGFEEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLKAPSTTRELPEDYVERVKQVHESGGYGSRGYNYDWKREEANKNLLRTHTTAASSRMLYALAKKPFTPKKYFSIDRVFRNEAVDRTHLAEFHQIEGLVCDRGLTLGDLIGVLQDFFSRLGMSKLRFKPAYNPYTEPSMEIFSYHEGLGKWVEIGNSGMFRPEMLLPMGLPEDVRVIAWGLSLERPTMILYEIDNIRDLFGHKVDLGLIKRNPICRIGIE >fgenesh1_pm.C_scaffold_4000079 pep chromosome:v.1.0:4:477830:479506:1 gene:fgenesh1_pm.C_scaffold_4000079 transcript:fgenesh1_pm.C_scaffold_4000079 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRNKAFGWAANDESGVLSPFHFSRRENCENDVTVKIFFCGVCHSDLHTIKNHWGISRYPVVPGHEIVGIATKVGKNVTKFKEGDRVGVGVIIGSCESCESCNQDLENYCPEVVFTYNSRSSDGTRNQGGYSDVIVVDHRFVLSIPDGLPSDAGAPLLCAGITVYSPMKYYGMAEESGKHLGVNGLGGLGHIAVKIGKAFGLRVTVMSRSSEKEREAIDRLGADSFLVTTDSQKMKDATGTIDFIIDTVSAEHALLPLFSLLKVSGKLVALGLPEKPLELPIFPLVLGRNMVGGSQIGGMKETQEMLEFCAKHNIVSDIELIKMSDINSAMDRLAKSDVRYRFVIDVANSLVTDSSAENLEDHVDHEVSITSRF >fgenesh1_pm.C_scaffold_4000089 pep chromosome:v.1.0:4:526242:528947:1 gene:fgenesh1_pm.C_scaffold_4000089 transcript:fgenesh1_pm.C_scaffold_4000089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7LBZ3] MAEPQHFSHECLLTSPKIVAEGICSICYKDEVVELACNPCEFNLCKACSHDLPQKVSHDFHPDHPLEFCVHKYDQKPGYIVCSGCGNMSSGSFYKCKECEIYLDLDCALSANIFRGWGDKDLLHYSHCHLLKRCRPGPDARGSCLLCELPLSPSAICYGCVHCYSFVHERCIDFPREIAHPVHPAHPLKRLDYTRSSRCDICGEIIASIPFGCLECVFDAHLRCLDSFLRGLMHKSHQHMLIYRTKSYISFTENHQCQICGRCNEISLDSFFYCMECCMVFHFECLEIPEFVVAKSHHIHPLVCKLLPYEEEFLEYCGVCETMVYAGHHVYSCEECGFLGHIECILRKEVPSPLYLKDLYACSKDNTSSTNQEDHDTNELETKLMVNSMKGHIHVMRFVDVSELDETANCNICKTRILSSPCKCETCSFQAHNFCAELGRSLKHRVHKHPLTLLPEAPAGAGVTMNCDICTNDIQGFNLFCRLCNFVIHTNCIFKGKHLFTELQRGQKIIGSCEGMIRCIQKDHSLDQIMVSKSYSMACAICEEKVCGKDKTIHMSSLMLSTFVERLINQSSLVSCLECEDVYHPLCVEVERQIRFYHPLHPDHNLRVSFVSGSKCSACKLEIRKYGYHCSTCEISFHIKCIKAVRLPKEIKPHSHYFYHFWIADSTITRTCRVCARPCGVSFYGCISCDFNAHAECIGFPSHVKNQQHQHTLVEESNRVWPSLCSLCGESIGVRKLHGRKCSNVFYSCQHCGDEFHLKCIMSTDGRETATEEDQVGDIYLMYLERHLLELLKSES >fgenesh1_pm.C_scaffold_4000093 pep chromosome:v.1.0:4:566403:568287:-1 gene:fgenesh1_pm.C_scaffold_4000093 transcript:fgenesh1_pm.C_scaffold_4000093 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor 59 [Source:UniProtKB/TrEMBL;Acc:D7LCL4] MNYPSNPNPSSTDFTEFFKFDDFDDTFHMIMEEIGREDHQSSSPTLSWTSSEKLVAAEITSPLQINQATSPMSLEIGDKDETKKRKRHKDDPILHVFKTKSVDQKVALDDGYKWRKYGKKPITGSPFPRHYHKCSNPDCNVKKKIERDTNNPDYVLTTYEGRHNHPSPSVVYCDSDDFDLTSLNNWSFQTTNTYSFSHSAPY >fgenesh1_pm.C_scaffold_4000096 pep chromosome:v.1.0:4:621089:622869:1 gene:fgenesh1_pm.C_scaffold_4000096 transcript:fgenesh1_pm.C_scaffold_4000096 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIAQRIQYPSWVDWREFEGKSLRGSLRYSQRVKEEKRFRGLALAHLQPERRKRSVSSEVLCSDNNSSALLEAGSVYPFDEDLILKATLQRKAEEVKPFLNGRSMYLVGMMGSGKTTVGKLMSKVLGYSFFDCDSLIEQAMNGTSVAEIFVHHGENFFRGKETDALKKLSSMYQVVVSTGGGAVIRPINWKYMHKGISIWLDVPLEALAHRIAAVGTGSRPLLHDESGDAYSVAFKRLSTIWDERGEAYANANARVSLENIAAKRGYKDVSDLTPTEIAIEAFEQVLSFLEKEETMEIPDGDL >fgenesh1_pm.C_scaffold_4000102 pep chromosome:v.1.0:4:647054:648172:1 gene:fgenesh1_pm.C_scaffold_4000102 transcript:fgenesh1_pm.C_scaffold_4000102 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAEKFINGSSLSFSSLPYDVVLNCLSRVPRCYYSNLSRVSKTFRSLVSSPELARRRSSLIGKNNPVICVCFTEADSFGRIFHWFTYDAHEKKISSALNVLPRQMMCCSIVSLGSTIYFIGGAMGHTSTSIRFLDPWSGELCEGPSMKEARMLPGVTVVDGKLFVMGGCGQEQVQVEVFDPETQTWEVGPLNPHVGIQYGQGIPSMRYGSTVTESVDVEGKVYGMSYSKSKHIIYNTKDGICETFEMKEEKAWRRGGVCVINNVIYVYYKDRGLMWYDSKDKVWRMVHGFKLDKGIDMPVGMVDYNGKLAVLWEDLGRIISKKTKMKKIWCTIVALDRIGGVAIRGTVKWSRLVCSVPIYYDVWRCLHVSD >fgenesh1_pm.C_scaffold_4000109 pep chromosome:v.1.0:4:669240:669625:1 gene:fgenesh1_pm.C_scaffold_4000109 transcript:fgenesh1_pm.C_scaffold_4000109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 35 [Source:UniProtKB/TrEMBL;Acc:D7LCN9] MAKISCSYFLVLILVVSVFSVVEKAKGDGRCTITIDPKAPSCDIIECRLSCITEYNGVAECIASKIGRPPNCVCSYDC >fgenesh1_pm.C_scaffold_4000114 pep chromosome:v.1.0:4:714840:717530:-1 gene:fgenesh1_pm.C_scaffold_4000114 transcript:fgenesh1_pm.C_scaffold_4000114 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEKKIATKSVFLFCFFYFVFFAEVVRCAKPYYNLKQNLLTETDAIITETVAEAVVEGSHYVKNTVSPCHFTSSSSDSSLPKKDKHEKLSAVATLWRERPPTSYCIKFQSLATLLKLVKDGKYESRPFTIGGYNWTFLIYPNGNKKDGANGYVSLYARIDNSTLISDPKDVYAEVKFFVYNRVYDKYYTYQETEARRFHLFKPEYGVPLFQPTSVFSTPTTGYIFDGEQCVFGIDIFVAQTFKEWEVFSFEENIKTPFTHGNSPNSQLSIVTLTHPPHFLPEEVYPNGDGYGKGNSLSLYLLSDSNENAYVRAKLRVLDQIRSNHVEKLVEGWPNATTNNNGWGYEKFVSLADLKDASKGLVVDDAIKVEVEFIGFSKTDSTLDVFY >fgenesh1_pm.C_scaffold_4000116 pep chromosome:v.1.0:4:810573:812720:-1 gene:fgenesh1_pm.C_scaffold_4000116 transcript:fgenesh1_pm.C_scaffold_4000116 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFRFTQESITQLSSPIQRMCMQRSSSSSTTESTTSTTPIKVYPNGDGYGKGNSLSLYLLSDSNENAYVRAKLRVLDQIRSNHVEKLVEGWPNATTNNNGWGYEKFVSLADLKDASKGLVVDDAIKVEVEFIGFSKTDSTLDVFY >fgenesh1_pm.C_scaffold_4000124 pep chromosome:v.1.0:4:865067:867377:-1 gene:fgenesh1_pm.C_scaffold_4000124 transcript:fgenesh1_pm.C_scaffold_4000124 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAT/ATAAT/MEE17 [Source:UniProtKB/TrEMBL;Acc:D7LDE1] MATQSSAAVISATSAAGRVESFPDSKKPIGSVRFQPLRLSLSYCKSGNMSSRICAMAKPNDVETLSSSSSVDMSLSPRVQSLKPSKTMVITDLAATLVQSGVPVIRLAAGEPDFDTPKVVAEAGVNAIREGFTRYTLNAGITELREAICRKLKEENGLSYAPDQILVSNGAKQSLLQAVLAVCSPGDEVIIPAPYWVSYTEQARLADATPVVIPTKISNNFLLDPKDLESKLTEKSRLLILCSPSNPTGSVYPKSLLEEIARIIAKHPRLLVLSDEIYEHIIYAPATHTSFASLPDMYERTLTVNGFSKAFAMTGWRLGYLAGPKHIVAACSKLQGQVSSGASSIAQKAGVAALGLGKAGGETVAEMVKAYRERRDFLVKSLGEIEGVKISEPQGAFYLFIDFSAYYGSEAEGFGLINDSSSLALYFLDKFQVAMVPGDAFGDDSCIRISYATSLDVLQAAVEKIRKALEPLRATVSV >fgenesh1_pm.C_scaffold_4000134 pep chromosome:v.1.0:4:926751:927785:-1 gene:fgenesh1_pm.C_scaffold_4000134 transcript:fgenesh1_pm.C_scaffold_4000134 gene_biotype:protein_coding transcript_biotype:protein_coding MSMECVVQGIIETQHVEALEILLQGLCGVKRERLRVHELCLKSGPNLGVVSSEVRLLCDLDQPEPTWTVKHVGGPMRGAGADQISVMVRTMIESKVSKNALRMFYALGYKLDHELLKVGFAFHFQRTAHISVSVSSVNKMPKVHAIDEAVPVTPGMQIVDVTAPATPENYSEVAAAVSSFCEFLAPLVHLSKPFISTGVVPTAAAAAASLMSDGGGTTL >fgenesh1_pm.C_scaffold_4000135 pep chromosome:v.1.0:4:929038:932940:-1 gene:fgenesh1_pm.C_scaffold_4000135 transcript:fgenesh1_pm.C_scaffold_4000135 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGRHRGRSQRKDFKESRENVWKRPKSEASVDGSDNAVPEQKPTWEPIVTVNPNFEEYYKEQGVVKAQEWDLFMEILRKPLPAAFRVNSNGQFCDEIISILENDFMKSLQAEAIEGGELEAIKPLPWYPKNLAWHSNFSRKEIRKNQTLERFHEFLKLENEVGNITRQEAVSMVPPLFLDVHSDHFVLDMCAAPGSKTFQLLEIIHEASEPGSLPNGLVVANDVDFKRSNLLIHQTKRMCTSNLIVTNHEGQQFPGCRLNKSRASEKGISENMPINQLAFDRVLCDVPCSGDGTLRKAPDIWRKWNSGMGNGLHSLQIILAMRGLSLLKVGGKMIYSTCSMNPVEDEAVVAEILRRCGDSVELLDVSDKLPELIRRPGLKKWKVRDKGGWITSYKDVPQNRRGGVLVSMFPSGKNLKDSTETTQKNENGDVNGCEDGLKEADVPVVDATPDEQAEEVSDLPLERCMRIMPHDQNTGAFFIAVLQKISPLPDSYFNTYGSGELIDSLLTEFQEKPNTKRNSTAKSTDSTEKSLSKESVVTVDAAVPDESAVEKVIEADSNNEKDDSLEPEKKITEGESITEDKEANSSNVGGKRKVPMQGKWKGFDPVVFVKDETVINGIKEFYGIKDEAFPLHGHLVARNNDTSSVKRIYYVSKSVKEVLQLNFAVGQQLKIASVGLKMFERQSAKEGSSTLCPFRISSEGLPVILPYITKQVLYTPMADFKLLLQDKSIKFLDFVNPQLAQKATDLVMGSCVVILSDGCDFYGFAGTSGEEPVKVDASTIAISCWRGKASLAVMATAADCQELLERFAEKTPKTEGGSVNGSNGDLGGPQAMETA >fgenesh1_pm.C_scaffold_4000136 pep chromosome:v.1.0:4:933376:935196:1 gene:fgenesh1_pm.C_scaffold_4000136 transcript:fgenesh1_pm.C_scaffold_4000136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LE02] MVITGLVLDPFASSRLIAFCALSESRYLNYCVKILKGIENPNAFSWNVTIRGFSESENPKDAVLAYKQMLRRGCCESRPDHFTYPVLFKVCADLRLNSLGHMILGHVFKLRLELVSHVHNASIHMFASCGEMENARKVFDESPVRDLVSWNCLINGYKKIGEGEKAIEVYKVMESEGVKPDDVTMIGLVSSCAMLGDLNRGKEFYEYVKANGLRMTIPLANALMDMFSKCGDIHEARRIFDNLEKRTIVSKLFDDMEEKDVVMWNAMIGGSVQAKRSQDALALFQEMQTSNTDPDEITMIHCLSACSQLGALDVGIWIHRYIEKHSLSLNVALGTSLVDMYAKCGNISEALCVFHGIQTRNSLTYTAIIGGLALHGDASTAISYFNEMIDAGIAPDEITFIGLLSACCHGGMIQTGRDYFSQMKSRFNLNPQLKHYSIMVDLLGRAGLLEEADKLMESMPMEADAAVWGALLFGCRMHGNVKLGEKAAKKLLELDPSDSGIYVLLDGMYGEANMWEDAKRARRMMNERGVEKIPGCSSIEVNGIVSEFIVRDKSRPESEKIYDCLHCLGTHMGRSLSVLYSEMRLLRS >fgenesh1_pm.C_scaffold_4000157 pep chromosome:v.1.0:4:1087977:1096523:1 gene:fgenesh1_pm.C_scaffold_4000157 transcript:fgenesh1_pm.C_scaffold_4000157 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIQKIDDSTSRQVTFSKRRKGLIKKAKELAILCDAEVCLIIFSSTDKLYDFASSSVKSTIERFNTTKMEQQQLLNPASEVKFWQREAETLRQELHSLQENHRHLTGEQLNGLSVKELRNLESQLEMSLRGIRMKREHILTNEIKELTRKRSLLHHENLEISRKVQRIHQENVELYKQVYATSNTNGLGHHELVDGANESLVEVRLQLSQPEQSHYETSSNS >fgenesh1_pm.C_scaffold_4000164 pep chromosome:v.1.0:4:1149065:1152081:1 gene:fgenesh1_pm.C_scaffold_4000164 transcript:fgenesh1_pm.C_scaffold_4000164 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTKEEDCLPPATETPRCYSPSSTTPLAELETVRSIEIVESSFLSPVWLLVIFCIINLLNYMDRGAIASNGVNGSTRSCNDKGKCTLATGIQGHFNLSNFEDGVLSSSFMVGLLIASPIFASLAKRLIGVGLTVWTIAVLGCGSSFAFWFIVLCRMFVGVGEASFISLAAPFIDENAPQKQKAAWLGLFYMCIPSGVALGYVYGGYVGKHISWRYAFWGEAVLMAPFAVLGFLMKPLQLKGSETSKNNNRLQVGNEIEHDQFEVSIETSKSSYAKAVLNSFTGFAKDMKVLYKEKVFVVNVLGYVSYNFVIGAYSYWGPKAGYNIYKMKNADMIFGAVTIICGIVGTLSGGFLLDRVTATIPNAFKLLSGATFLGAVFCFTAFTLKSLYGFIALFALGELLVFATQAPVNYVCLHCVKPSLRPLSMAISTVAIHIFGDVPSSPLVGIVQDHINSWRKTALILTSILFLAAAIWLIGIFINSVDRFNQEETGSENTRRQELSILTP >fgenesh1_pm.C_scaffold_4000173 pep chromosome:v.1.0:4:1252689:1253602:1 gene:fgenesh1_pm.C_scaffold_4000173 transcript:fgenesh1_pm.C_scaffold_4000173 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAANDFGFITCLVIFLVLTGISNGMRMTQKVSCIEGRTLWARRHLKFLYCSSTLCEDNCINTGAYRSGTCDIENEVAICRCHRCKMMI >fgenesh1_pm.C_scaffold_4000206 pep chromosome:v.1.0:4:1727191:1727539:-1 gene:fgenesh1_pm.C_scaffold_4000206 transcript:fgenesh1_pm.C_scaffold_4000206 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGKGSSSASCNDRCGCPSPCPGGESCRCKMMSEASGGDQEHNTCPCGEHCGCNPCNCPKTQTQTSTKGCTCGEGCTCATCAA >fgenesh1_pm.C_scaffold_4000228 pep chromosome:v.1.0:4:1914520:1916571:-1 gene:fgenesh1_pm.C_scaffold_4000228 transcript:fgenesh1_pm.C_scaffold_4000228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LFC7] MSIHIASSIPLMVEKKLTDMVKPSKHIPQQTLSLSTLDNDPYNEVIYKACYVFKSKNVVDDDNRPELLLREALSDLLVYYYPLSGSLKRQESDRKLQLSCGHDGGGVPFTVATANVELSSLKNQENIDSDTALMFLPELHVDNDGYRPFALQVTKFECGGFILGMAMSHAMCDGYGEGHIMCALTELANGKKKPMVTPVWERERLVGKPEDDQPPFVPGDDTAASPYLPTDDWVTEKINIRADSIRRLKEATLKECDFSNETITTFEVLGAYLWKSRVKALNLDRDGVTVLGLSVGIRNVVDPPLPDGYYGNAYIDMYVPLTAREVEEFTISDIVKLIKEAKRKGHDKDYLQEELANTEKIIKMNLKIKGKKDGLFCLTDWRNIGIFGSMDFGWNEPVNIVPVVPSETARTVNMFMRPSRLEPDMVGGVQIVVTLPRIAMLKFKEEMKALQ >fgenesh1_pm.C_scaffold_4000230 pep chromosome:v.1.0:4:1928499:1930767:-1 gene:fgenesh1_pm.C_scaffold_4000230 transcript:fgenesh1_pm.C_scaffold_4000230 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMRTKAQDSTPKTNPNPELIHETPKVSLYEQCREERIKENLQRMQNLGILNLSRKLKPQTRPVKRSYGNPNSSQNPTPPLQPSRRSSRLENTTPVIYTDGIYEKGKKTSKRESVVVIGEGTRAEIYTEEHEKLLGNTERSWTCFVDGYDKNGKRIYDPFNGKTCHQCRQKTMGYRTQCSECNLVQGQFCGDCLFMRYGEHVLEALENPNWICPACRGICNCSLCRNNKGWVPTGPIYRRIAALGYKSVAHYLIQTKRAQTQDAAPNQASAKRSLSFQGMIADDEEVPTLENDDSLQKEEDENTNENQNGDLPEEVQKLQNIENQSGDSLKKEEDETPNSARRSLSFLLPSVDDQTSFVDVQVLSCLEPPKQEHSCAHNGDDLPEVREKIGRNSNLEMLPDSDLLPVDDQTPFVNVQVLSYLETPKQDKQEHSCAHSGDDLPKIQKWTGQDPNLETRPVSYLIPMDDQTPLVDAQVLSYLETPKQDKQEHSCAHIDDDLPEIEEGTGPDLNLETSFGESQTIVVKAQNESVSTRVTRSKRKAALEPNPNSIGGRLRQRRRSQSGF >fgenesh1_pm.C_scaffold_4000235 pep chromosome:v.1.0:4:1947946:1956045:-1 gene:fgenesh1_pm.C_scaffold_4000235 transcript:fgenesh1_pm.C_scaffold_4000235 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKRKQHFVLVHGACHGAWCWYKVKPQLEASGHRVTALDLAASGINMTRSITDISTCEQYSEPLTQLMTSLPNDEKVVLVGHSLGGLSLAVAMDMFPNKISVSVFVTAIMPDTTHSPSFVMDKLRQGISREEWLDTVFTSEKPDCPREFSLFGPKFMAKNLYQLSPVQDLELAKMLVRPQPLITKNLAEKSSFSEEGYGSVPRIYIVCEKDLVVPEDYQRSMINNFPVKEVMEIKDADHMPMFSKPQELCALLLEIANKYA >fgenesh1_pm.C_scaffold_4000237 pep chromosome:v.1.0:4:1969313:1972352:-1 gene:fgenesh1_pm.C_scaffold_4000237 transcript:fgenesh1_pm.C_scaffold_4000237 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKNLLLLGFLLAYCFSSVFVINAEDPYLFFTWTVTYGTRSPLGVPQQVILINGQFPGPPIEGVTNNNIVVNVINKLDEPFLITWNGIKQRRMSWQDGVLGTNCPIQPKSNWTYHFQLKDQIGTYTYFASTSMHRASGAFGGLNVNQRSVIFVPYPKPDADFTLLVGDWYKMGHKELRRRLDSGRDLPPPDGLLINGASKGLVFTGQHGKIYRFRISNVGISTSINFRIQGHMMTLVEVEGSHTLQEVYESLDIHVGQSVTVLVTLKAPVKDYFIVASTRFTKPVLTTTGILSYQGSKIRPSHPLPIGPTYHIHWSMKQARTIRLNLTANAARPNPQGSFHYGTIPINRTFVLANGRASINGKLRYTVNRVSYVNPTTPLKLADWFNIPGVFNFKTILNIPTPGPSILGTSVFDVALHEYVEFVFQNNETSIQSWHLDGTSAYVVGYGSGTWNMANRRGYNLVDAVSRHTFQVYPKSWTSILVSLDNKGMWNLRSQIWSRRYLGQELYVRVWNDERSLYTEAEPPVNALFCGKAKRPRLI >fgenesh1_pm.C_scaffold_4000263 pep chromosome:v.1.0:4:2255120:2257856:-1 gene:fgenesh1_pm.C_scaffold_4000263 transcript:fgenesh1_pm.C_scaffold_4000263 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCNGC6 [Source:UniProtKB/TrEMBL;Acc:D7LG46] MFDTCGPKGVKSQVISGQREKFVRLDSMDSRYSQSSETGLNKCTLNIQGPNRFTQGSKTSSGSFKKGFRKGSEGLWSIGRSIGLGVSRAVFPEDLEVSEKKIFDPQDKFLLLCNKFFVASCILAVSVDPLFLYLPFINDKAKCVGIDQKLAIIVTTIRTVIDSFYLFHMALRFRTAYVAPSSRVFGRGELVIDPAQIAKRYLQQYFIIDLLSVLPVPQIIVWRFLYTSRGANVLATKQALRYIVLVQYIPRFLRMYPLSSELKRTAGVFAETAWAGAAYYLLLYMLASHIVGALWYLLALERNNDCWSKACNNNQNCTRNFLFCGNQNMQGYAAWDNIKVSYLQLKCPVNVPEDEEPPFDFGIYLRALSSGIVSSKNFVSKYFFCLWWGLQNLSTLGQGLETSTYPGEVIFSITLAIAGLLLFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRMLPPELRERVRRYDQYKWLETRGVDEENLVQNLPKDLRRDIKRHLCLALVRRVPLFENMDERLLDAICERLKPCLFTEKSYLVREGDPVNEMLFIIRGRLESVTTDGGRSGFYNRSLLKEGDFCGDELLTWALDPKSGSNLPSSTRTVKALTEVEAFALIADELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFMQAAWRRYIKRKKLEQLRKEEEEEEAAAASVIAGGSPYSIRATFLASKFAANALRSVHKNRTAKSTLLLSSTKELVKFQKPPEPDFSVEDH >fgenesh1_pm.C_scaffold_4000265 pep chromosome:v.1.0:4:2262780:2267237:1 gene:fgenesh1_pm.C_scaffold_4000265 transcript:fgenesh1_pm.C_scaffold_4000265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7LG48] MARTHLIFLLLVLLSKSTSSTSTKEQEEDRIKALPGQPKVGFSQFSGYVTVNESHGRSLFYWLTESSSHSPHTKPLILWLNGGWFFFLSAFIRPGCSSIAYGASEEIGPFRISKTGYNLYLNNFSWNTEANLLFLESPVGVGFSYTNTSSDFVESGDERTAQDNLIFLTSWMSRFPQYQYRDFYIVGESYAGHYVPQLAKKIYEYNKDCKNPVINLKGFMVGNPEMDKTNDKLGTITYWWSHAMISDASYNCILENCDFKAEKFSKECNSAIYDAAADFGDIDQYSIYTPKCVPPQDQTNQTKFVQMMQMQTTKPFLVDQYDPCTENYAEIYYNRPEVQRAMHANHTAIPYKWTACSDSVFDNWNWRDSDNSMLPIYKELIAAGIRIWVYSGDTDSVIPVTATRFSLSKLNLTVKTRWYPWYSGNQVGGRTEVYEGLTFVTVRGAGHEVPFFQPQSALILLRSFLAGKELPRYY >fgenesh1_pm.C_scaffold_4000271 pep chromosome:v.1.0:4:2323068:2325203:-1 gene:fgenesh1_pm.C_scaffold_4000271 transcript:fgenesh1_pm.C_scaffold_4000271 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQKSVTDATRPPLVPTEKNNAVVVTRRARTMEVSLRYRSPTPTKTRRCPSPNVTRTVSPSASQSLSKRAVSAERKRGPSTPTTPSTPVSDVLIDLPVSSRRLSTGRLPESLWPSTMRSLSVSFQSDSVSVPVSKKEKPLVTSSIDRTLRPSSSNIAHKQQNETTSVSPKHMPERKRSPLKGKNVSSGQSENSKPVDGSSHSRLIPPQHRWPSRIRGNRSFDLGDKAGRRVSLPLSKKSSKPLHYKSSSDIERLLSCYENGGLEVLSSTTSEDSSSTESLKLFSASSLPRLHPMSAPGSRAASPSRSSFSSSSSNSRGMSPSRGVSPMRGLSPSRVGNRSFVRSSTPPSRGVSPSPIRQIAQSSSNTTSVLSFIADVKKGKKATYIEDVHQLRLLYNRYSQWRFANARAEGVSYIQSLIAEETLYNVWHAISDLRDLVTTQRICLQQLKLEIKLESILNDQMVCLEDWAMLEREHISSLAGAIGDLEANTLRLPLAGGTKADLGSLKLAMSSALDVMQSMGSSIWSLHSQMEEMNKLVSDLAVIAKKENFLLDKCENLLTSTAVMEIEERSLKTHMIQKKKEAEEVRNDAESPLLTLSKIPWP >fgenesh1_pm.C_scaffold_4000292 pep chromosome:v.1.0:4:2651518:2660890:-1 gene:fgenesh1_pm.C_scaffold_4000292 transcript:fgenesh1_pm.C_scaffold_4000292 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKTRKKTSTTSIKPDLSQLPEELLHLISTHLKNCFDVVHARSVCSLWRSTFPFPSSLLRQSYSLPKFHSQLKDLCTLEKRKSKELCTLEKVPLFLFRVRAPDGDAAEYFMGGIGRDESDDHLELPSPLQCSVKVKIPGTEPTLMNILDCQILSLGHQYRMIGCIPKDCGGVSFLPLNKEGGEFVVLLLNYTNVWLVLRSAEMRWRELKELSTAACRELFTFRGRFYASFFNGDKFVIDPSSLEATPLTPHNESNFFIPSGNDELFLVKTDFPTCRVSRLDEEAGKWVEVSDLGDRVLFIGGHRGNFCCSAKELPQGCGLTGNSILFTLGPRNVTFPYKYGVHNTGNAEDDINCWRSSMENRVMILNKYFPVLAFQVER >fgenesh1_pm.C_scaffold_4000299 pep chromosome:v.1.0:4:2720826:2722019:-1 gene:fgenesh1_pm.C_scaffold_4000299 transcript:fgenesh1_pm.C_scaffold_4000299 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNMAAKFAFFPPPPTYGVSKDEETGKLMFTGITPEKSMDVHQLTTKSGNKVIATFWKHPFSRFTLLYSHGNAADLGQMVDLFIELRAHLRVNIMSYDYSGYGVSTGKPTELNTYYDIEAVYNCLRSEYGITQEEMILYGQSVGSGPTLHLATRLKRLRGIILHSAILSGLRVLYPVKMTFWFDMYKVSLISIVSNIEKIRHVTCPVLVLHGTKDDIVNISHGRRLWELAKDKYDPLWVKGGGHCNLETYPEYIKHVRKFMNAMEKLALNNPPSKQQNDEPSIKETKQNRCLRFSKR >fgenesh1_pm.C_scaffold_4000305 pep chromosome:v.1.0:4:2819772:2823793:-1 gene:fgenesh1_pm.C_scaffold_4000305 transcript:fgenesh1_pm.C_scaffold_4000305 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYDQKHQVYINFRGKDMRRHFVSYLTHALKMNGVSFFLDEMEVKGVDLGYLFKRIEESKLALVIISSRYTESAWCLNELVKIKELRDEGKLVAIPIFYKVEPSQVKKLKGVFGDNFRSLCRMNQDHHINTKWMEALMSMASTMGFYLDEYSSESEFIKHIVKEVLRIITQQEGEKPSFFGMEQRMKQLENKLDFDGNDTQIIGVVGMPGIGKTTLAMMLHEKWKRKFISCVTYLDISKNSEDDRPVQLRRTLLEDLLKGKVPDIGDETTHGSVKVALLKTKIFAILDDVSDKRQLEFLLGELDWIKKGSKIIITTCDKSLLEGFADDTYVVPKLNDRVALQLFSYHAFHGQNFNFTSSLLTLSRMFVDYARGHPLTLKLLGRELYEKDEVHWAPILEMLTKQSNRMFQVCFFKSEDEYFVRSLLDSGDPDSTNAVSEVKDLVNKFLITIAGGRVEMNVPLYTFSKDLGSPRWLRLWNYEDIINKLMKMKKSDANIVRGIFLDTSKLTKSMCLDILTFIDMRNLRYMKIYDSCCPRQCNAECKLNFPDGLEFPLGEVRYLHWVKFPLEELPPDFRPENLVDLRLPYSKITRVWEGEKDTPRLKWVDLSHSSELLDLSALSKAENLQRLNLEGCTSLDEFPLEIQNMKSLVFLNLRGCIRLCSLPEVNLISLKTLILSDCSNLEEFQLISESVEFLHLDGTAIKGLPQAIQKLQRLVVLNLKNCKMLACLPNCLGNLKALDKLILSGCSRLKNLPDVRNSLKHLHTLLFDGTGAKEMPSISCFTGSEGPASADMFLQTLGSMTEWPCAVNRVSSLRHLCLSGNDFVSLQPDIGKLYNLKWLDVKHCTKLRSVPMLPPKLQYFDAHGCDSLKRVADPIAFSVLSDQIHATFSFTNCNKLDQDAKDSIISYTLRRSQLVRDELTQYNGGLVSEALIGTCFPGWEVPAWFSHQASGSVLKPKLPAHWCDNKFTGIGLCAVILFDGYHNQRKRVLLKCNCEFKNEYGSSQRFSWTVGDDDEEKCVCTETYIEFEVTDGMEAIEDCEVVKCGFSLVNSPEERRNICSDIKTL >fgenesh1_pm.C_scaffold_4000326 pep chromosome:v.1.0:4:3056238:3057222:1 gene:fgenesh1_pm.C_scaffold_4000326 transcript:fgenesh1_pm.C_scaffold_4000326 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVNGVVFVVSLMIVLLISTVTTYCEKIRSRSAPHDICKKKNGNALCKETCWIRETYQNGRCLILPKTTKLDCYCYHFDDGSKC >fgenesh1_pm.C_scaffold_4000327 pep chromosome:v.1.0:4:3057353:3058279:-1 gene:fgenesh1_pm.C_scaffold_4000327 transcript:fgenesh1_pm.C_scaffold_4000327 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAPQISSQVSDSRRILLLSWQSKVKLGFSPVDLFSNFGPIPPRRPKPISWFKNLKANILRSVFIILMLSPSHYLCRSPLLHGRGLYVREVSPLLPWLCIYSIRILVLVVFRDLWYAYKGLKNYDLIISNRFCRSVNCGIGLVWFSVQISPSSSYVVFKHLNLTTISIIQILCYKVHVAIYRRDLNLLLWVSKLSSTDYRTIVSRILVIALPWVLQLTPMRNVTLIHSATSQRLLTMTNLSSFESLEDDHSIHRDLTCLNVLPSPCLKAFMNLKSMNLIYLFIALGNAFCCNVLNFGFLEILLFVNV >fgenesh1_pm.C_scaffold_4000331 pep chromosome:v.1.0:4:3159081:3162878:-1 gene:fgenesh1_pm.C_scaffold_4000331 transcript:fgenesh1_pm.C_scaffold_4000331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein [Source:UniProtKB/TrEMBL;Acc:D7LIB5] MMIQVSDHHDNNIEFQRQKNSSETEADYSCFVTASSQQTDALRLPMQFTRENGINKPGKIYLLGKDGSKWLANLLSENNRGRMTLGDGWKSFVKANGLKTGDTYTFKLFWDDTIPVLSLCSEEYNTDTRVGEECSKESLPTEPSSQEKVVKDDNNKHESNKDESSLWKREDNHLRCIDSTSPSENRSLTLTITPDSLEHGRLRLPLQFMTENNMNKPGEITLLGKDGAKWMASLLLERRGRMSLGKGWKDFAKANGVKTGDSITLESIWEDTTPVLKLISIESSSGQFSKESLSVEPSSGNMTEKAEKNREASRKYPPRSRESSSAIENQFMALTPSCDIISQVAHDLRIGEVIIFRHEGDNMLQVSDLGPNCCGILDVPAPSSNNDHDNIEHPSKKKVKKNNPETEADYLSDHSCSVAHVTTSSLHANALSLAVKESITIEPSIDDNNKDESSKEENDIEEKSNNKNNIEESSSFEREKNHRICIDSISSSHNRFLTLTITPDSLKHGRLRLPLQFMTENSMNKPGEITVLGKDGAKWLVSLLLERRGRMSLDASSGHKTREAEKNRDSSSEIENRFLTLTLAPEDVKDGNLHLPIQFMRINGINKPGKITLLGRGGLKWFAYLLSRDGTVVLGNGWKGFCEANGVMLGESFILEFISIEDTNHVFKFYSSYGDQIDKC >fgenesh1_pm.C_scaffold_4000336 pep chromosome:v.1.0:4:3213864:3216876:-1 gene:fgenesh1_pm.C_scaffold_4000336 transcript:fgenesh1_pm.C_scaffold_4000336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7LIV1] MRNAKLFFCFLLFFSFRLEIGRGQNNGKTQVNVGVVTDVGTSHSEVSMLCINMSLTDFYSSRPQFQTRLVVNVGDSKNDVVGAATAAIELIKNKQVKAILGPWTSMQAHFLIEIGQKSRVPVVSYSATSPFLTSLRSRYFLRATYEDSSQVQAIKSIIKLFGWREVVPVYIDNTFGEGIMPRLTDALQDINVRIPHRSVIAPNATDQEISVELLKMMNMPTRVFIIHMSSSLASRFFIKAKEIGLMKPGYVWILTNGVIDGLRSINETGIEAMEGVLGIKTYIQKSKDLDMFRSRWKRRFPQMELNVYGLWAYDATTALAMAIEEAGINNMTFSNVDTGRNVSELDALGLSQFGPKLLETLSKVQFRGLAGDFRFVSGQLQPSVFEIVNMVGAGERSIGFWTEGNGLVKKLDQEPRSIGTLSTWQDHLKLIIWPGEANSVPKGWEIPTNGKKLRIGVPKRLGFTDLVKVTRDPITNSTVVTGFCIDFFEAVIQAMPYDVSYEFIPFETPDGKPAGNHNDLVQQVYLGRYDGVVGDTTILANRSSYVDFTLPFIKSGVGMIVPMRDEVKRDEFSFLKPLSIELWLTSLVFFFLVSISVWTLEHRVNPDFRGPANYQASTIFWFAFSTMVFAPRERVFSFWARALVVTWYFLVLVLTQSYTASLASLLTSQQLHPTITSMSSLLHKGETIGYQRTSFILGKLNETGFPQSSLVPFDTAEECAELLKKGSKKGGISAAFLGPYLRLFLGQYCNTYKMVEEPFNVDGFGFVFPIGSPLVADVSRAILKVAESPKAMELENAWFKKKEQSCPDPVTNPDSNPSVTSIQLGVRSFWFLFLVVFVVCVLALGKFTFCFLWKTKGKDLWKEFLKRDPDSYINDIDKCLCSREMPENSNRATNGVELRVRNIS >fgenesh1_pm.C_scaffold_4000338 pep chromosome:v.1.0:4:3238178:3239576:-1 gene:fgenesh1_pm.C_scaffold_4000338 transcript:fgenesh1_pm.C_scaffold_4000338 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L4/L1 [Source:UniProtKB/TrEMBL;Acc:D7LIV4] MSTATADVRPLVTVQSLDGDMITDQTTTLPLPDVMKAPVRPDVVSHIHAQISNNSRQPYAVSKHAGHQTSAESWGTGRAVSRIPRVAGGGTHRAGQAALGNMCRSGRMFAPTKTYRRWHRRVNSNLKRHAIVSAIAATSALVMARGHKIENLPEFPLVVSDYVESVEKTSDAVKKAKDSIGIRSGVGKMRNRPYVSRKGPLIVYGNEGAKLVKAFRNIPGIDLCHVERLSLLKLAPGGHLGRFVVWTKSAFAKLELVYGSFEMSSEMKKGYVLPRAKMVNADLARIINSDEVQSVVRPIEMDVKRAVLKKNPLKNLNVMLKLNPYAKTARRMSLLAEAERVKSKNEKLERKRKPISKEEAMKIKAAGKPWYRTMISDSDYTEFDNFTKWLGVEQ >fgenesh1_pm.C_scaffold_4000345 pep chromosome:v.1.0:4:3391526:3391981:1 gene:fgenesh1_pm.C_scaffold_4000345 transcript:fgenesh1_pm.C_scaffold_4000345 gene_biotype:protein_coding transcript_biotype:protein_coding MINSSKGNLISIMSSKFTISIVVISFICSEALQQDRQLISITGPLMTVSIINENDYHLGVHCKSANKDFGFRLLKKGEIYEWKFHNNLTKTTLYFCGFHDGQIDKGIFDIYIALRNEERCKICTWKAVKDGIYGYSDKHPEPALLFYKWLK >fgenesh1_pm.C_scaffold_4000363 pep chromosome:v.1.0:4:3659858:3665465:-1 gene:fgenesh1_pm.C_scaffold_4000363 transcript:fgenesh1_pm.C_scaffold_4000363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:D7LJJ1] MLEDEDYRVYVGRIMSQLREQFPGASFMVFNFRDGDSRSRMESVLTEYDMTIMDYPRHYEGCPLLTMETVHHFLKSAESWLLLSQQNILLSHCELGGWPTLAFMLASLLLYRKQFSGEQKTLEMIYKQAPRELLQLMSPLNPLPSQLRFLQYISRRNVGSQWPPLDRALTLDYVNLRLIPDFDGEGGCRPIFRIYGQDPFMASDRTSKVLFSMPKRSKAVQQYKQADCELVKIDIHCHILGDVVLECITLGSDLEREEMMFRVVFNTAFLRSNILTLNRDEIDVLWNTTNRFPKDFSAEVIFSEMGAGKNLVAVDHPHMEEKDVLPMEAFAKVQEIFSEAEWLDPNSDVAVTVFNQITAANILQESLDSGSPRSPDSRSLLESALEKVKEKTKLMISENIVASPDASSPEWKEKDTVSSHKSYADPNSILKKVDESHGLRVSVQRNVHPKIISPRVVQSPVTSPLPNRTPTQGSPASVSRFHSSPSSLGITSILHDHGTCKGEESTSSSPASPSISFLPTLHPLLKKASPQVPQSPTPVCSNGPPSAEAAVTSPPLPLLKPLRILSTPPPLPPQPPPPISSLRSTPSPSSTSSSIATQGPPPPPPPPPPPPNLQPQRSAHSSSPLPPPLPPKKLLATTNPPPPPPPPLHSNSRTGVPTSSLVVKSPPVPPPPAPAPLSRSHNGDSNGNIPPVPGPPLGLKGRGILQNLRGQGQTRKANLKPYHWLKLTRAVQGSLWAEAQKSDEAATAPDFDISELEKLFSAVNLSSDNESKGGKSGRRAQPKVEKVQLIELRRAYNCEIMLSKVKIPLPDLMSSVLALDESVIDVDQVDNLIKFCPTKEEAELLKGFTGNKETLGRCEQFFLELLKVPRVETKLRVFSFKIQFHSQVTDLRRGLNTIHRAANEASRFFVRGSAKLKRIMQTILSLGNALNHGTARGSAIGFRLDSLLKLTDTRSRNSKMTLMHYLCKVLAEKLPELLDFPKDLVSLEAATKIQLKYLAEEMQAISKGLEKVVQEFTASETDGQISKHFRMNLKEFLSFAEGEVRSLASLYSTVGGSADALALYFGEDPARVPFEQVVSTLQNFVRIFVRSHEENCKQVEFEKKRAQKEAENEKLKKGVYNEN >fgenesh1_pm.C_scaffold_4000379 pep chromosome:v.1.0:4:3866527:3866927:1 gene:fgenesh1_pm.C_scaffold_4000379 transcript:fgenesh1_pm.C_scaffold_4000379 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSKATMLILFALFLSGILLESIPRAEAQLIVPCKTSEQCKSIRCSNGSAQCVNKQCQCPSLKQINSMMTVSCKTVSDCAASHQCPPGLYACVEGKCICLP >fgenesh1_pm.C_scaffold_4000387 pep chromosome:v.1.0:4:4076656:4077096:1 gene:fgenesh1_pm.C_scaffold_4000387 transcript:fgenesh1_pm.C_scaffold_4000387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 81 [Source:UniProtKB/TrEMBL;Acc:D7LKM4] MDVRRSPYIFIAVSFIAMFLTTGVRSQPVRDICPGVCHAGIEPDCDTLCTSLGFTGGYCQGLTCCCNPKSSKSSITPPI >fgenesh1_pm.C_scaffold_4000394 pep chromosome:v.1.0:4:4219994:4231116:1 gene:fgenesh1_pm.C_scaffold_4000394 transcript:fgenesh1_pm.C_scaffold_4000394 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDQKRCWATLKENNCVHDECRSMCLKKNPKGHEFAFWIGKSETRSRLRFGFVYFHELFVGIRSIYVTFLRYSFTGFRRYSLEDWMTKLLSNIELARSVVVASFLELEATARSACQVVDQNASDSNDDGNITILPSLVHPNLSFLHSGGSSLLSSDYSSDTAYETSELGSASLGQDDVSETGTGDLILDEDLTNPTEKLVKFTMSNIDKGLSMSQTIIEQLDDFPKHRVHLGYANDITEANLYNGKASKGVFRANDDLRRLSESETSQSVMHDRKLSAESTNEFPSLAGETSISGIQLDVHHGISVGNLEMPGSGRIVLPLKMHNKLNRILLTMNERLLNSKTDMEDLIARLNQETAVKEYLNRKVDDLEVELETTKQRNKENLEQALMTEKQSVTQMQWDMEEFRQKTFEMELNLKSKEDGSSHGKPSGNSTVGESHELIQEMDATKQQLEDLSRRYVELESKSKADIKVLVREVKSLRRSHMELEKELSRSLTERSDTEKLLQQERKIVENKLEARKKLVSDCKILHDRLKVNNTNLTYLTEVSNALQGQIEYIPRPEDHVLGIVVDCKGENYWVDIKGPQLALLPVLAFEGANKRNYPKFEAKSGKAAVFGPLKDGFMFETSTGLSRMLLSSPTCPVLEALGKKLSFETAFGLNGRCWVHAAAPRIVIIVANALMNSETLSGTQQRIMVEKLLEKISD >fgenesh1_pm.C_scaffold_4000431 pep chromosome:v.1.0:4:5067177:5069717:-1 gene:fgenesh1_pm.C_scaffold_4000431 transcript:fgenesh1_pm.C_scaffold_4000431 gene_biotype:protein_coding transcript_biotype:protein_coding MASHCSMRAILHRFSNGVSSRSILNSTKHRLLTMTNSLSSLSSISPHTTSHFTASQQSDDENCFRKLQVRKISISTPLCMGRRSSKIAGRKGAQDSKKAKLYCRIGKEVVSAVKKGGPNPVSNTTLATILDKAKELDVPKDIVERNIKRASEKGQEAFIEKIYEVYGYGGVSMVVEVLTDKINRSVAAIRSVVKDYGGKMADSGSVMFKFRRVRVVNIKVTEADKDQLLNIALDAGAEDVIEPPTYEDDTDEDREERYYKIVTSSENYSTILSKLRDEGVNFEPDNGSELLPLTTVEVDDEAMELNKELMQKLLELDDVDAVYIDQK >fgenesh1_pm.C_scaffold_4000434 pep chromosome:v.1.0:4:5197729:5201808:-1 gene:fgenesh1_pm.C_scaffold_4000434 transcript:fgenesh1_pm.C_scaffold_4000434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LC01] MVSSTQQRTDDGSSPPVVKALKTYGITKPLSIAGPCAADVKRNLELEKFLVDEGLYESKEETMRREEVLVRIDQIVKHWVKQLTRQRGYTDQMVDDANAVIFTFGSYRLGVHGPRADIDTLCVGPSYVNREEDFFIILRDMLAEMEEVTELQPVTDAHVPVMKFKFQGISIDLLYASISLLVIPQDLDISNSSVLCDVDEQTVRSLNGCRVADQILKLVPNSEHFRTTLRCLKYWAKKRGVYSNVTGFLGGVNWALLVARLCQLYPNAIPSMLVSRFFRVYIQWRWPNPVMLCTIEEDELSFPVWDPRKNHRDRYHLMPIITPAYPCMNSSYNVSQSTLRVMTEQFQFGNTICQEIELNKQQWSSLFEQYMFFEAYKNYLQVDVLALDAEDLLAWKGWVESRFRQLTLKIERDTNGMLMCHPQPNEYVDTSKKFRHCAFFMGLQRAEGFGGQECQQFDIRGTVDEFRQEVNMYMFWRPGMDVYVSHVRRRQLPSFVFPKGYKRPRQSRHQNQQCREPGEGVVGSLSDSVDGYAKRKNDNETMDTRPEKREKRASRSPHSLDAVSPVSSGITTGETPQIGIAPGPRAECLVTGDLVCNVRSLPNVEVEAEKFIRKSTELIKFSQYEHNSGCEQILEVDSRALVQGYHGLAEPVGKHVRPDPRAVLACEGWQNKEIGRDMGSESINDTATQHLPRRLNVKEDVDEVEREAKSGEIADGVLWNGYCGRNLDHEGFLTPANLDSAVEHRNLHADRLFKSGLSEELQSNPLLSGMGKLDDGASSRRLSLKSIV >fgenesh1_pm.C_scaffold_4000436 pep chromosome:v.1.0:4:5212700:5214724:-1 gene:fgenesh1_pm.C_scaffold_4000436 transcript:fgenesh1_pm.C_scaffold_4000436 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAUR2 [Source:UniProtKB/TrEMBL;Acc:D7LC03] MGISTETQQNAVSEAAQKRWTTSDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKTQLQQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAARGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGILCYEFLYGVPPFEAREHSETYKRIVQVDLKFPPKPIVSSYAKDLISQMLVKESTRRLPLHKLLEHPWIVQNADPSGLYRG >fgenesh1_pm.C_scaffold_4000441 pep chromosome:v.1.0:4:5299055:5300075:-1 gene:fgenesh1_pm.C_scaffold_4000441 transcript:fgenesh1_pm.C_scaffold_4000441 gene_biotype:protein_coding transcript_biotype:protein_coding MATFDIVAIPQDASYVTFDALQLGRSNQQIVGRLLRFWEATNNKEDGELMGIVLLFLDEKNTVIHGFIPASLVDHNRYVLQESEIFNLSGFEVGRSTNLYKITDNPLAIRFLPSTNMTKIGNIGVTINQEKFMLQNSNLLQALANTNLALPDVVGQVMFVQGSNLHDGTSKERLVMRFKMDTSVIVYLQLWGEAASTFCSLISKKKTNKNVMVVTTINPKLFGGNSFNNKLIIYLIKYFTSY >fgenesh1_pm.C_scaffold_4000467 pep chromosome:v.1.0:4:6048744:6049096:1 gene:fgenesh1_pm.C_scaffold_4000467 transcript:fgenesh1_pm.C_scaffold_4000467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 23 [Source:UniProtKB/TrEMBL;Acc:D7LCT9] MANISCSHFLILMLVLSVVEKAKGYQTDKYCTIILDPRTPCDLVDCRLNCYSGYNGVGKCIASKAGRTPNCVCTYNC >fgenesh1_pm.C_scaffold_4000474 pep chromosome:v.1.0:4:6189387:6192891:1 gene:fgenesh1_pm.C_scaffold_4000474 transcript:fgenesh1_pm.C_scaffold_4000474 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSCGRKFEHTLKHGTRNGLFVVTIGWFVDSVKRNLRMSESLYNVKQLGQNCEKGNELSRVFDLEHICRPRQIQAVNFGTSTKNHQVSSSGTESGTSVDMTLADHCMYVDSDISDELRLKVLKVAGEQGAKVIDSWFIGCNASLVVCEGASIQRYLGHANTIVSPLWVLKTVERHRQRLVHMSPDLARQLGLMLENFEDGTAKEKICEQGNSQGAFKFRSLSKQERKETVNIAKTGVRRRRARHMQTCQNPIRRITQSSLLENICWTISEVASTATIFTDPCSSSRDISEPQLSVVQEGIDKGLDSVASFSNSTRALTESEKTEVIFKDSFLTILFPADRFSEIGPSSRTYFSDSGFTCLQILDYIHRFYQENLPDHEIEVAIHTDSRHADRLRTVYCSKETSDEGHIVFPRIELLGSRKSFEMLKRVNGENNSNVYELMIRA >fgenesh1_pm.C_scaffold_4000481 pep chromosome:v.1.0:4:6281658:6288979:-1 gene:fgenesh1_pm.C_scaffold_4000481 transcript:fgenesh1_pm.C_scaffold_4000481 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKIKLNRIESLKERSSKYSKRKQGLFKKAEEVALLCDCEVILIVVSPTDKPTLFHTRSKSFNKIYDRYCMLSLQEREERCDLSDLYIIIT >fgenesh1_pm.C_scaffold_4000483 pep chromosome:v.1.0:4:6325770:6326925:1 gene:fgenesh1_pm.C_scaffold_4000483 transcript:fgenesh1_pm.C_scaffold_4000483 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLHATVSLPLRHNPNRKKLTCAATLSPPPWKQSRRVISVSFFLSRLLLLPHDAMAGSLMDKYVKRKKLDPLEAYVPPVILAQLQIQDLEEFLNVEKPEFEACRRQLRSGPASSLRVNIRAVAQYASDDGFSKTATDDVDRCLRALEELDSLFLRASRKDSNATVELMKSQLGTALTALDSLLQTVPAQVLDKGKAMVEVYRSASEQEAGSDDLESSEIKQLQSIL >fgenesh1_pm.C_scaffold_4000485 pep chromosome:v.1.0:4:6363093:6364665:-1 gene:fgenesh1_pm.C_scaffold_4000485 transcript:fgenesh1_pm.C_scaffold_4000485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LDG2] MKNEEQITFQRLSTDPLKSTMVNKARKKKHVSPESLLLSILNDLFLNSQKLESCFRKTTNSDACSFIGSLNFAKVGNFTSRSNETAPLISEAVAKGNNFDTGTRVGLDVGHLLKSALAGGISCAFSAFLMHPVDTVKTQVQASTTLSFLEILSKIPEIGARGLYKGSIPAVVGQFASHGLRTSIYEASKLAVPLVAPTLLDIQVQSIASFLGTVLGTTLRIPCEVLKQRLQANQFDNIVEATVSVWHQDGLKGLFRGTGVTLLREVPFYVAGMGLYNQSKKVVERQLGRELEPWEAIAVGALSGGLTAVLTTPFDVIKTRMMTAPQGVELSMWMAAYSILTHEGPLAYYKGAVPRFFWTAPLGALNLAGYEILQKAMITPLNQSGHNE >fgenesh1_pm.C_scaffold_4000487 pep chromosome:v.1.0:4:6486827:6489676:-1 gene:fgenesh1_pm.C_scaffold_4000487 transcript:fgenesh1_pm.C_scaffold_4000487 gene_biotype:protein_coding transcript_biotype:protein_coding MQETVFLFTEENLNKEPSSGVKYKQSSRRVVPMTSCEVSDAAAEIRIVEKVLKNGDLYNGGLSAGVPHGTGKYLWSDGCMYEGEWTRGKASGKGRFSWPSGATYEGQFKDGRMDGEGTFIGIDGDTYRGHWLWGRKHGYGEKRYANGDVYQGNWKANLQDGNGRYVWSDGNEYVGEWKNGVISGKGAMTWANRNRYDGLWENGAPVGKGVLSWGEEKTSYNGWGRKCKKKDDEIVQHHKLSSVETLSTNTNFPRICISELEDSGVCDHVEASPYTSESDTSGCGEQEWARSPLLLESGGAMSLQQSPKWLDEGDVKKPGHTVTAGHKNYDLMLNLQLGIRYSVGKHASILRELRHCDFDPKDKQWTRFPPEGSKSTPPHLSIEFKWKDYCPIVFRHLRDLFAIDQADYMLAICGNESLREFSSPGKSGSSFYLTQDERFMIKTMKKSEIKVLLKMLPNYYEHVSKYKNSLVTKFFGVHCVKPVGGQKTRFIVMGNLFCSEYRIHKRFDLKGSSHGRTIDKGEGEIDETTTLKDLDLKYVFRLETSWFQAFINQIDIDCEFLEAERIMDYSLLIGLHFRESGLRDDISLGIGRRDQEDKLMRGNGPLLRLGESTPAKAEQVSRFEEESWEEDDIDNSNPKSTRKEVVEVILYFGVIDILQDYDITKKLEHAYKSLHADPASISAVDPKLYSRRFRDFINRIFIEDK >fgenesh1_pm.C_scaffold_4000491 pep chromosome:v.1.0:4:6612365:6614538:1 gene:fgenesh1_pm.C_scaffold_4000491 transcript:fgenesh1_pm.C_scaffold_4000491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7LDI2] MAFQDFDKIQERVNANRKRKFRKRIIVGTVSLLVVVAAIVGGAFAYVAYEKRNEQQQAKSHNKSGSGNNVKDSDKKSQSPPSQSPSSKSPPSTSQKAPVSAAQSAKPGQGDKIIQTICGSTLYKRACEETLKNRTEKGFALANPTSFLKSAIEAVNEDLDRVLEKVLSLKTENQDDRDAIAQCKLLVEDAKEETAASLNKINGTEVNSFAKVVPDLESWLSAVMSYQETCLDGFEEGTLKSEVKKSVNSSQVLTSNSLAMITSFDVNLSPVMKVATRHLLDDIPSWVSNEDRRMLRAVDVKALKPNATVAKDGSGNFTTINDALRAMPEKYEGRYIIYVKQGIYDESVTVDKKKANLTMVGDGSQKTIVTGNKSHAKKIRTFLTATFVAQGEGFMAQSMGFRNTAGPEGHQAVAIRVQSDRSIFLNCRFEGYQDTLYAYTHRQYYRSCVIVGTIDFIFGDAAAIFQNCNIFIRKGLPGQKNTVTAQGRVDKFQTTGFVVHNCKIAANEDLKPVKEEYKSYLGRPWKNYSRTIIMESKIENVIDPVGWLRWQETDFAIDTLYYAEYNNKGSSGDTTSRVKWPGFKVINKEEALNFTVGPFLQGDWISASGSPVKLGLYDA >fgenesh1_pm.C_scaffold_4000506 pep chromosome:v.1.0:4:9674743:9678757:1 gene:fgenesh1_pm.C_scaffold_4000506 transcript:fgenesh1_pm.C_scaffold_4000506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adhesion regulating molecule family [Source:UniProtKB/TrEMBL;Acc:D7LE78] MGSSEAFPVMQEIMLEFRAGKMSLQGTRVVPDARKGLVRIARGDEGLIHFQWLDRNQNTVEDDQIVFPDEALFEKVNQSSDRVFILKFNSDDRKLFFWMQEPRAEGDAELCSLVNQYLNQPLGIKEFPGEEGLAAAITEELEDMAEDNASSRAGNLVVPNLSTEVSEVTSSSGPVKLADLQRILNNLSGVPVGIAGDEDEGLALGDILKPELIMPLLETLPVQERLSLHLPEGHSRAEDILELLQSPPFRQQVDAFTYVLRTGQIDLTQFGIDPSRYKFTVVSFLEALEDSVSKQSTEAMDES >fgenesh1_pm.C_scaffold_4000529 pep chromosome:v.1.0:4:10330248:10331768:-1 gene:fgenesh1_pm.C_scaffold_4000529 transcript:fgenesh1_pm.C_scaffold_4000529 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAYGQPSLPQMHSLFSKLRPFLSLSSPSFTAPFRRRRDFSFSALPTTKAIDVALMKEKWLDSLSLTSQDEDTTPENAESSCVIGIDPDLSGALALLKFDHLGSSSSAQVYDTPHIPVLVGKRVRKRLDAKSIVQLIQSLDVPSGSRVYIEQSNPFPKDGKQVYATTHIHFSSVMGWYSGGFGYGLWIGTLVASGFCVIPVSASLWKRHFQLASGSCTKDDSRRVAAELFPSLSTQLKRKKDHGRAEALLIAAYGEALKTEKLLIQPQELVSQVNYLENQLVEIK >fgenesh1_pm.C_scaffold_4000537 pep chromosome:v.1.0:4:10441708:10442890:-1 gene:fgenesh1_pm.C_scaffold_4000537 transcript:fgenesh1_pm.C_scaffold_4000537 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDQAYDLLTMKKNYTIWRQEEDKLLKDYVNQHGDVTWTDVPKHTGLSHTAKSCRFRWLNYLRPGLKRGPFTEEEEQRVIELQAVLGNKWAQMSKEKLKRLGLPVYPDKVRKQAISTALRNGLKIDLLDAQDLLMVDNVEKPDAALNNLPLNQFSSYYQSMLANVQPREPETTFPFTNGYVMNEQNPNLLNYLSVDSTQEQLPDIHLFGNATCSSPPMPLIHGVGNLELPSFQGFNAHEVPSSFGAEQYNPMMNLEPDNTLVQPPLIGQTPTDFPSSFYDELLESVVYGSVGERPKTDTSSELPPFPSSLLSHTEVTPPIANTIGKLSFTSLFVYSIQEVSSNLYA >fgenesh1_pm.C_scaffold_4000544 pep chromosome:v.1.0:4:10657005:10657574:1 gene:fgenesh1_pm.C_scaffold_4000544 transcript:fgenesh1_pm.C_scaffold_4000544 gene_biotype:protein_coding transcript_biotype:protein_coding MVCASSRELEMSNLTALSPLDGRFWRKFKELASSMSEFRLIYFRALGEIKWLPKLSNTLSKSLKFQALAKKLRFTCKAMEKIEKVTNHDVKAVDYFLDQKCESHQDIAKV >fgenesh1_pm.C_scaffold_4000545 pep chromosome:v.1.0:4:10721128:10722718:-1 gene:fgenesh1_pm.C_scaffold_4000545 transcript:fgenesh1_pm.C_scaffold_4000545 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B7 [Source:UniProtKB/TrEMBL;Acc:D7LFI3] MSFLLYFLCLLPIFLVCLSNFSKNLKHSKWKLPPGPKTLPIIGNLHNLTGSPHACFRNLSQKFGPVMLLRFGFVPVVVISSREGAEEALKTQDLQCCSRPETVVTKMISYNFKDIGFAPYGEEWKALRKLVVVELLNMKKIQSFRYIREEENDLLVKKLTESALTQSPVNLKKTLFTLVASIVCRLAFGINIHKCEFVDEEKVADLVHKFEMLGAGIAFTDFFPGVGWLIDQISGRKKTLNNVFSDLDTFYENVLDDHLKPGRRVSESPDVVDVMVDLMKKQEKDGDSFKLTTDHLKGIISDIFLAGVNTSVVTLNWAMTELIRNPKVMKKVQDEIRTILGDKKQRITEQDLNQLHYFKLVVKEIFRLHPAAPLLLQRETLSHVKIQGYDIPAKTQMMINIYSIARDPKLWENPDEFNPDRFLDSSIDYRGLNFELLPFGSGRRICPGITMGITTVELGLFNLLYFFDWEVPQGKNVKDIDLEETGSFIISKKTTLQLVPILHR >fgenesh1_pm.C_scaffold_4000561 pep chromosome:v.1.0:4:11103957:11104903:-1 gene:fgenesh1_pm.C_scaffold_4000561 transcript:fgenesh1_pm.C_scaffold_4000561 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRFQLSLIILTFFIILELGVMGNVQQGKREQCHTNIPNKSGKCIYTECICLPPKTCQKLKKGPVASICLPPKTCRWYHFCS >fgenesh1_pm.C_scaffold_4000577 pep chromosome:v.1.0:4:11394352:11395063:1 gene:fgenesh1_pm.C_scaffold_4000577 transcript:fgenesh1_pm.C_scaffold_4000577 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTEAKEEGVAASKHIRLVCSNKRKNKIKKIITIYFGVSAALILLTIFPQFMLEDLYVDPHSPSVFVNLSSTNPSSKSSVYYSKLSLHVLVPGDFETETVFLQSKRQKPREVTTWTAVLANNNDNLKRTWDFLVSHGIADGYVIADIKFQWKSGIFSFRKFGLHVRCPVLLILDDLSSTSRAVFPCSQHFHL >fgenesh1_pm.C_scaffold_4000592 pep chromosome:v.1.0:4:11575513:11579510:-1 gene:fgenesh1_pm.C_scaffold_4000592 transcript:fgenesh1_pm.C_scaffold_4000592 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVDEILSRLPATFLGRLRFTCKRWNALLKDSKFITKQLDKAAKQNLVLMLSNFRVYSMSINLKEIHNNIDVDPSIKFTAKLSQQVEITQVFHCNGLLLCSTKEADKTKLVVVNPCTGQTRWIEPRSDCNIYDMYTLGYENNNKKSYDSYKILRISYGCNLLEIFELKSNSWRVLPQVPPNMGLDLRLYGRGESLKGNTYWFCYFKFGMLSFDFTTETLRRVPLPFPYGHRDLCTLSLSVVKEEQLSVLRSSHDTRQMEIWMEIWMSTKIDTETFSWSKSFVFDLSSHLDLLIFTKRSEFLH >fgenesh1_pm.C_scaffold_4000596 pep chromosome:v.1.0:4:11589837:11591010:1 gene:fgenesh1_pm.C_scaffold_4000596 transcript:fgenesh1_pm.C_scaffold_4000596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7LHL2] MASSNAYAPSAPELPESFGQQQYDGENRYSYAYPSYQQTQQLSSSSGMFSPETHPQIVRSFESADRDRSGFLEESELRQALLLSGYEGISNRTIRFLLFIYKSPGDSLLRLGPKEYVELWNCLAQWRAIFDRYDRDRSGKINATELRDAFFHLGYMLPTSVLQLIVSQFDDGTGKTVDLCFDSFLECGMIVKGLTEKFKENDPGYTGYATLPYDVFLLMVIPFVVSYD >fgenesh1_pm.C_scaffold_4000599 pep chromosome:v.1.0:4:11624265:11625419:-1 gene:fgenesh1_pm.C_scaffold_4000599 transcript:fgenesh1_pm.C_scaffold_4000599 gene_biotype:protein_coding transcript_biotype:protein_coding MVPACSLSWTSLKKLYLCNCNISDESMAKILSGSPLLESLTLYFCDQLRVLDLSKSLRLRTLKINRNIWVLGPTHIVAPHIHRLRLTNSQLPCTFVNLSSLAEARLDICIVPITGIFEADFLQDMVLKMLEKLQNVEKLTFEGNFLQIISLAEVRGVPFPMFKVKDLTLETVIFQYVIPGIERLLQNSPDLKKLTIRARDTNTIREKDINNYLQLQGLNPDQCWRSKDGVFFNNLPWYLESKHATSFVELVLKNTKTLDIDKIVILLNERYLRFKFEELAIPTLSHNNFSIALLKMPMTSNDDDW >fgenesh1_pm.C_scaffold_4000618 pep chromosome:v.1.0:4:11849378:11851027:-1 gene:fgenesh1_pm.C_scaffold_4000618 transcript:fgenesh1_pm.C_scaffold_4000618 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMKSMQMGVIGALFLSVASSVSIVICNKALMTNLGFPFATTLTSWHLMVTYCTLHVAYKLNFFENKPIDMRTVVLFGLLNGISIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETLFFNKKFSQKIKFSLFLLLVGVGIASITDLQLNFVGSVLSLLAIATTCVGQILTNTIQKRLNVTSTQLLYQSAPFQAAILFVSGPFVDKYLTRLNVFSFHYSPIVAGFITLSCLIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYTLLHDPFTPRNIAGILIAVLGMLLYSYFCSVASKSKQASSESTFLGKDRDTTPLLGQEKENHHEAKKLDKHSPV >fgenesh1_pm.C_scaffold_4000624 pep chromosome:v.1.0:4:11908441:11911144:-1 gene:fgenesh1_pm.C_scaffold_4000624 transcript:fgenesh1_pm.C_scaffold_4000624 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCNGC15 [Source:UniProtKB/TrEMBL;Acc:D7LID3] MGYGNSRSVRFQEDQEVVHGGESGVKLKFKINGTQIKNMKMMSKGKFLKDKVLSRVFSEDLERVKTKILDPRGQTIRKWNKIFLIACLVSLFVDPLFFFLPVMRNEACITIGVRLEVVLTLIRSLADAFYIAQILIRFRTAYIAPPSRVFGRGELVIDSRKIAWRYLHKSFWIHLVAALPLPQVLIWIIIPNLRGSPMTNTKNVLRFIIIFQYVPRMFLIFPLSRQIIKATGVVTETAWAGAAYNLMLYMLASHVLGACWYLLAVERQEACWRHACNIEKVVCQYRFFECRRLEDPQRNSWFEWSNITTICKPGSKFYEFGIFGDAVTSTVTSSKFINKYFYCLWWGLKNLSSLGQNLATSTYAGEILFAIIIATLGLVLFALLIGNMQTYLQSTTMRLEEWRIRRTDTEQWMHHRQLPPELRQAVRKYDQYKWLATRGVDEEALLISLPLDLRRDIKRHLCFDLVRRVPLFDQMDERMLDAICERLKPALCTEGTFLVREGDPVNEMLFIIRGHLDSYTTNGGRTGFFNSCLIGPGDFCGEELLTWALDPRPVVILPSSTRTVKAICEVEAFALKAEDLQFVASQFRRLHTKQLRHKFRFYSHQWRTWAACFVQAAWRRHRKRKYKTELREKEEFHYRFEAATARLAVNGGKYTRSGSDSGMMSSIQKPVEPDFSSE >fgenesh1_pm.C_scaffold_4000628 pep chromosome:v.1.0:4:11933957:11939751:-1 gene:fgenesh1_pm.C_scaffold_4000628 transcript:fgenesh1_pm.C_scaffold_4000628 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYVEDEHEFSYEWNQENGPAKWGKLRPEWKMCGKGEMQSPIDLMNKRVRLVTHLKKLTRDYKPCNATLKNRGHDMMLKFGEEGSGSIKVNGTKYKLLQLHWHSPSEHTINGRRFALELHMVHENINGGLAVVTVLYKIGRPDSFLGLLEHKMAAITDQNDAKKNVVMIDPSKIKIESRNYYRYIGSLTTPPCTQNVTWTIIRTTRTVTKSQVNLLRVAVHDDSNSNARPVQPTNKREIHLYRPKSL >fgenesh1_pm.C_scaffold_4000629 pep chromosome:v.1.0:4:11941227:11941818:1 gene:fgenesh1_pm.C_scaffold_4000629 transcript:fgenesh1_pm.C_scaffold_4000629 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTKVLTAQYEPKAGTKKNETAEKTCFEVIWNKPDRLVIVNAFSFSEGGLFYCLRKVEREYETILKRTLQSICVLTVVPNTTTSVIIQVVHVGGSVSFLCSLHLGKHLLMLSETHIIRYKQCLFEYL >fgenesh1_pm.C_scaffold_4000656 pep chromosome:v.1.0:4:12270625:12274203:1 gene:fgenesh1_pm.C_scaffold_4000656 transcript:fgenesh1_pm.C_scaffold_4000656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7LIH8] MKKSVVHLVILCLIVSCTNGQTKPVRRSNSNGSEAWGYVEVRPKAHMFWWHYKSPYRVEDPSTPWPIILWLQGGPGASGVGIGNFQEVGPLDTFLKPRNSTWLKKADLLFVDSPVGSGYSFVEGNQKDLYVKSDEEAAQDLTKLLQQLFNKNQTLNQSPLFIVAESYGGKIAVKLGLLVFDAVQSGKLKLQLGGVILGDSWISPEDFVFSWGPLLKYVSRLDDNGLDLSTSSLAEKIKTQIKNGEYVDATQTWMDLENLISSKSNSVDFYNFLLDTEMDPVSLTTSLKIKKEEKIKKYSRYLNDLRSSSDVEDDEGDLGKLMNGVIKKKLKIIPNDLIWGNNSNDVFAAMEAAFMKPVIEDVDELLAKGIDVTIYNGQLDVICSTSGTEAWVHKLKWEGLEEFKKMERRPLFCESDRTTRGFTKSYKNLHFYWILGAGHFVPVDEPCVALKMVGEITKSPQL >fgenesh1_pm.C_scaffold_4000660 pep chromosome:v.1.0:4:12308924:12310466:-1 gene:fgenesh1_pm.C_scaffold_4000660 transcript:fgenesh1_pm.C_scaffold_4000660 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGIEIKPEKPFKMISKDGYMVHANQVTLGEVDKVKEDENVPVYVKIGDDKSGFIIGNLSQKFPQVSLDIFLGHEFEISHNSKTSVYLIGYRTPMDEQYPLFHCLFFSSIHDSELEEFMEQQIDALPQNEMNNEMNPEEDGSSDDSDEMGLDEDDESSDEEDVEAEAQVPPSKKMSNGIHGIPKGGDKNKSSGGKKRCPFPCGSSCKK >fgenesh1_pm.C_scaffold_4000678 pep chromosome:v.1.0:4:12493114:12494453:-1 gene:fgenesh1_pm.C_scaffold_4000678 transcript:fgenesh1_pm.C_scaffold_4000678 gene_biotype:protein_coding transcript_biotype:protein_coding MSELPGDLVEEILCRVPAISLKQLRYTCKRWNNLFNERRFTRKHFDKAAKQFLVLISKHYMVCLMRVNLYGIPSIEFKVELSLIDPQASLDHFDIFKVSHCDGLLLCNNGEYNRIVVWNPCTGQTKWIEPRKIGSYALGSYQDKTYGDNSYKILCCCDGDDNEFEIYEINSSSWRTLDVILDCELEYNDCGMYLKGKTYWFASDENEEQLGMFLVSFDYTTERFEQEKLSVLLQHADTSRTDIWVTNKIDETKVVKWSKVLTVDLKPELGICGLVRFLVDEEKKFLVFGHLNLKSGVPIKQEVYIVGEDNKVEQVYTGFTSSMPNLFDYVPSLTQIHAV >fgenesh1_pm.C_scaffold_4000684 pep chromosome:v.1.0:4:12536536:12546975:1 gene:fgenesh1_pm.C_scaffold_4000684 transcript:fgenesh1_pm.C_scaffold_4000684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LJ24] MRFTRTVWRPQSLENFKLKFCIYANELGNLKPNFRIYCFGAASSSRLYYAHNLFDKSPDRDRESYTSLLFGFSRDGRTQEATRLFLNIQHLGMEMDCSIFSSVLKVSATLCDELFGRQLHCQCIKFGFLDDVSVGTSLVDTYMKGSNFKDGRNVFDEMKERNVVTWTTLISGYARNSLNEEVLTLFMRMQDEGTQPNSFTFAAALGVLAEEGVGGRGLQVHTVVVKNGLDKTIPVSNSLINLYLKCGNVRKARILFDKTEVKSVVTWNSMISGYAANGLDLEALGMFYSMRLNHVRLSESSFASIIKLCANLKELRFTEQLHCSVVKYGFVFDQNIRTALMVAYSKCMAMLDALRLFKETGFLGNVVSWTAMISGFLQNDGKEEAVGLFSEMKRKGVRPNEFTYSVILTALPVISPSEVHAQVVKTNYERSSTVGTALLDAYVKLGKVDEAAKVFSGIDNKDIVAWSAMLAGYAQAGETEAAIKIFSELTKGGVKPNEFTFSSILNVCAATTASMGQGKQFHGFAIKSRLDSSLCVSSALLTMYAKKGHIESAEEVFKRQREKDLVSWNSMISGYAQHGQAMKALDVFKEMKKRKVKMDSVTFIGVFAACTHAGLVEEGEKYFDIMVRDCKIAPTKEHNSCMVDLYSRAGQLEKAMKVIDNMPNLAGSTIWRTILAACRVHKKTELGRLAAEKIIAMIPEDSAAYVLLSNMYAESGDWQERAKVRKLMNERNVKKEPGYSWIEVKNKTYAFLAGDRSHPLKDQIYMKLEDLSTRLKDLGYEPDTSYVLQDIDDEHKEAVLAQHSERLAIAFGLIATPKGSPLLIIKNLRVCGDCHVVIKLIAKIEEREIVVRDSNRFHHFSSDGVCSCGDFW >fgenesh1_pm.C_scaffold_4000698 pep chromosome:v.1.0:4:12768477:12774611:1 gene:fgenesh1_pm.C_scaffold_4000698 transcript:fgenesh1_pm.C_scaffold_4000698 gene_biotype:protein_coding transcript_biotype:protein_coding MVEERPLPRTLVAQKIMMESARKTLMDDETWIMGMYDMGGVGKTALLAQIYDKLYEERQIFDLVIWVDVSRDVHIEKIQEDIAEKLAIYTHFLKEKEILVIIGRRVEESGYNRDRIVFTTRSREICGHMGVYDPMEVQYLAENDAWELFQRKVGQKTLLSHPDISMLARKIAKKCHGLPLALNVIGETMSCKTSVYEWKHAIDRIFKNGRVYSPCSLLYSYDILKGEHVKSCFQYCVLFPEDHKIRKEELIEYWICEGFVDGKDGRERALNQGYEILGTLLRAGLLLEDAKTKSYVKMHDVVREMAILEITRRDVLYKVELSYANMSLMRTNIKMISGNPDCPQLTTLLLKTNYKLENISGEFFMSMPMLVVLDLSMNYRLEELPEEISELVSLQFLDLSYTSIDRLSVGIQKLKKLLHLNMESMWRLESIYGISNLSSLRLLKLRNSTVLVDNSLIEELQLLEYLETLTLTIPSSLGLKKLFSAHKLVKCIQKVSIKNLEEKTFKILSFPVMDNLNSLAIWKCDMLEIKIEKSPSWNKSPTSSCFSNLSYIWIRECSGLRDLTWLLFAPNLIDLTVGSINELEDIISKEKADQAREEQGNIIPFQKLESLSLIDLPTLKSIYWSPLPFPSLKRIKVQKCRKLRRLPFDSKSGVVGEDLVINYGEEEWIERVKWEDEATRLRFLSSSYKTHKEMTKLSSSAGTCGIKDF >fgenesh1_pm.C_scaffold_4000699 pep chromosome:v.1.0:4:12775866:12780789:1 gene:fgenesh1_pm.C_scaffold_4000699 transcript:fgenesh1_pm.C_scaffold_4000699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7LJL4] MNEKGEKTCPLCAEEMDLTDQHLKPCKCGYQICVWCWHHIIEMAEKDKTEGRCPACRTRYDKEKIVGMTVSCERLVAELNNDRKKSQKARTKPSEGRKDLTGVRVIQRNLVYVMSLPFDLADEDLFQRREYFGQYGKVVKVAMSRTAAGAVQQFPNNTCSVYITYSKEEEAIRCIRSVHGFILDGRNLKACFGTMKYCHAWLRNMPCSNSECLYLHEIGSQEDSFTKDETISVHMRRMVQEITGWRDHYVRRSGSMLPPPVDDYVDNESSTRTIPNVVLNNAHSVAKNSPPNDSNSQSVTLPAGAMWGMHSSSQSSVPNTPSSREPLKDKTATVSSAVAINPTQMSLHRSDELKKPTLEDNRTADGNALKPPSLLDGQTDFPELSVSNKTQISNSREVVSVSVDNIRAISEPSDCTDFPEHTSQSSRSMLSNGNKMINRRIQSVCSDDVSVDADSAVDGYHGITRSDNSHFDHASIKSSHTEVSQDYLQRCVDEPREVQPLQKSSRTNANEVGVSREEVNTGTSLMSPLRTDHYLEAEDDISLFNRQRLKDPEVLSCQPNGFLRPSNSMQPCSSQYKGEHDETRTVFGSSYSDSRGSNIAPISHGYTEMPHREPNHLNGSLNHSILVPDKARDTQPIEKSFVDLQENSRSEIDDRIIANIMSLDLDEYLTSPHNFANLFGESDEEARSLQLASSSKVEDNQSRFSFARQEESKDQSFDSYNVHNQISRGNGFYQDSLEGQSPNMGMFGTYNGLSSGYRRGLDYVTESSTLPSSYKPNSVPRCPVSAPPGFSVASRPPPPGFSSNGRDHQIFDGLSGNSRFSDSIAYGNHYRQSLPIDNVRDVQFMDPAILAVGQGFENASLDFRSNFQGNTNMFGNAAKLQQQQQAVMQSPLSSHQNCRFTDSLGMAPRLMDQSQGNNILTRNLPLPNGRHWDALMSNEIQTRNRLQNERLVGSTNWIPGYNGTFRI >fgenesh1_pm.C_scaffold_4000703 pep chromosome:v.1.0:4:12819834:12826002:1 gene:fgenesh1_pm.C_scaffold_4000703 transcript:fgenesh1_pm.C_scaffold_4000703 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSESQEILLKRGYIKHNKHSRFLGTALGEILKHNEPSTSLSTVLGEMFKNNEEKEQIFSDLERGTMLTRPMGEEYIQIRLSKDTTTQPDSKIPGLWSSSVKPEFCCIYRVPDRLRKVNPEAYTPQMLLIGPLHHSKKVEAFKRYKTELRYLNYLNMELHKKKCLDSIGDIYGEQPVKEFRRLIEINEKFIRDSYAESTIWINTKDFVEMILHDSVFILMFFIQTGSTLNFSKKEDILFNQSRLINSTAILEDLILLENQLPYALLEKLFEPFFSNLDTKETFRDITLRAFGFEGKIKEEVRFQHFTDLFRCVRVSTLSLAEEQISIAKNEPPKSRKIMYNADKLDSAGVNFVNVDEENDLSLVITFKDGILKMPCFTVEDNTERVMRNLMALEQCHYPRTTYVCDYISFLDFLINTDQDVDLLVKKGIVKNWLGHQGSVTEMVNKLCLGLVDFGSHYCDIVENLNKHYDNRLNRSVGTLRRVYFKDLWTGTATIAAVVLLVLTLIQTVASILQVMMQNDNKSPPPPAPSRGL >fgenesh1_pm.C_scaffold_4000722 pep chromosome:v.1.0:4:13029397:13032567:-1 gene:fgenesh1_pm.C_scaffold_4000722 transcript:fgenesh1_pm.C_scaffold_4000722 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTERGRAMWRTCLASAFRTALACTIVGSATLYGPEWINRHVAFPAFSYVTVILIITDATLGDTLRGCWLALYATCQSVGPAIITLKLIGPARLTAETTALAAALAAFVVVLPNSSTHLVAKRIALGQIVLIYVIGYIKGAETDPVMHPLQVAASTALGVVACVLALLVPLPRLATCEVKQSCKELGQNVTTRVKLYMKAFCSDDAMSATASVSQARVLARSSSKLYQTLKRYQPSMTWERLPFKIWRWQNVNDNKGEKLQSMEIALRGMEMVVASKSPIPSSLLAGEVKEDLKNIQERVILSIKRVNNSRQPSVTPESDPKKPDECLQTLQEIPETPQDLPFYFFLFCIRLLEIITMAKPEENKVKVLEKSKTRSWISDWDSKKVMPALKLSLSLGLAIMLGSMFSKPNGYWAGLPVAISFAAAREATFKVANVKAQGTVIGTVYGVMGCFVFQKFLTVRFLSLLPWFLFSSFLSKSRMYGQAGGISAAIGAVLILGRKNIGPPSEFAIERIIETFIGLSCSIMVELVFQPTRAANIAKLELSRSFHALYECASLFGAKASKAEIMESQKKLRSHLNELKKFTAEAHAEPSFWFSPFNFSCYEKLFKSLSKMADLLQFSGYAIGFLGEQGKTKSPQCKEILSNVDKDLKSLTESIALLAKSFEEITLLKSLDALGKALAKSDNTSWDIELGKTPNPSFSTAVSEPEKILETYLQHCRSVADGIFRVEEGGEEEVKVDKSEVVLSLSALGFCVERIGKETREIEEMVKEVLQSENPSSHVNLHEISCKIRSLYK >fgenesh1_pm.C_scaffold_4000727 pep chromosome:v.1.0:4:13076921:13079405:-1 gene:fgenesh1_pm.C_scaffold_4000727 transcript:fgenesh1_pm.C_scaffold_4000727 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7LK90] MAKSEKHKLAQTLIDSINEIASISDHHTPMKKHCGNLSRRLKLLIPMLEEIRDNQESSSEVVKALLSLKESLVPAKDLLSFVSQVSKIYLVLERDQVMVKFQKVTALLEQALSGIPYENLEISDELKEQVELVLVQLRRAIGKGGDVYDDELYRDLLSLYSDIGSVTESDMLRSVAEKLQLMTITDLTQESLALLDMVSSSGGDPGESFEKMSMVLKKIKDFVQTDNPNLDDAPMRLKSRLPKLQDGDQDRPVPPEDFRCPISLELMTDPVIVSSGQTYERECIKKWLEGGHLTCPKTQETLTSDTVTPNYVLRSLIAQWCESNGIEPPKRPNNSQPSSKASSSSSTPDDEHNKIEELLLKLTSQHPEDQRSAAGEIRLLAKQNNHNRVAIAASGAIPLLVNLLTISNDYRTQEHAVTSILNLSICQENKGRIVYSCGAVPGIVHVLQRGSMEARENAAATLFSLSVIDENKVTIGAAGAIPPLVTLLSEGSQRGKKDAATALFNLCIFQGNKGKAVRAGLVPVLMRLLTEPESGMVDEALAILAILSSHPDGKSVVAAADPVPVMVDFIRNGSPRNKENAAAVLVHLCSWNQQHLIEAQKLGIMSLLIEMAENGTDRGKRKAAQLLNRFSHFNDQQKQQSGLGVEDQISLI >fgenesh1_pm.C_scaffold_4000755 pep chromosome:v.1.0:4:13444400:13454995:-1 gene:fgenesh1_pm.C_scaffold_4000755 transcript:fgenesh1_pm.C_scaffold_4000755 gene_biotype:protein_coding transcript_biotype:protein_coding MITSKSIALTIIFLSYFVSCVSSQRETKFLNHGFLGANLLNLGSSKVHPSGLLELTNTSMRQIGQAFHGFPMPLSNPNSTNSLSFSTSFVFAITQGTGAPGHGLAFVISPTMDFSGAFPSNYLGLFNTSNNGNSLNRILAVEFDTVQAVELNDIDDNHVGIDLNGVVSIESAPAAYFDDREAKNRSLRLASGKPIRVWIEYNATEIMLNVTLAPLDRPKPSIPLLSRKLNLSGILSQEHHVGFSAATGTVASSHLVLGWSFNIEGKESDFDITKLPSLPDPPPTLSPSPSPPVSANKKSSNTMLIIIVAASATVAIMILIFLAFWFFRRDKIFFTGGARKFSYQTISSATGGGFDNSKLLGERNSGSFYKGQLSPTEIIAVKRITCATRQQKTTLITEIDAISKVKQRNLVDLHGYCSKGNEIYLVYEYVPNGSLDRFLFSNDRPVLTWSDRFCIIKGIASALQHLHGEGQKPLIHGNVKASNVLLDEELNARLGDYGHGSRHSTTGHVAPELVNTGKATRDTDVFEFGVLMMEIVCGRKAIEPTKPPAEISLVNWVLQEFKKGNLLQICDTRVNRENLVAREVLLVLKTGLLCANRSPESRPMMKQVFRFLDGTEPLPHDDYLFYGV >fgenesh1_pm.C_scaffold_4000757 pep chromosome:v.1.0:4:13460879:13461763:-1 gene:fgenesh1_pm.C_scaffold_4000757 transcript:fgenesh1_pm.C_scaffold_4000757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Atpase43 [Source:UniProtKB/TrEMBL;Acc:D7LKP2] MEIAVISSTVTFSAWHTFLIRYIKVENGEIIAFVKNSHGLKSGDSVIREFAETDGIDCTLPETFTYERLVNVHQNKRTGDCGPLTVKFIELHARGMGLDELTDAKVDEMRMRFAQTEYEKEVVECYVDGKKNNDQITRSKNTTSLILEGIFNKTSGEVFESEDGEKEIIGSPIDRMILKWAIHVFFPISLPTQF >fgenesh1_pm.C_scaffold_4000758 pep chromosome:v.1.0:4:13505318:13506105:-1 gene:fgenesh1_pm.C_scaffold_4000758 transcript:fgenesh1_pm.C_scaffold_4000758 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLDIAGKSGIRNPGVQRYAKRERNERKHNNHYHTHTQHANTIEKTIWSQIMSMLYFESQKLCGLLQLWFRSRHPN >fgenesh1_pm.C_scaffold_4000763 pep chromosome:v.1.0:4:13524200:13526188:-1 gene:fgenesh1_pm.C_scaffold_4000763 transcript:fgenesh1_pm.C_scaffold_4000763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione-s-transferase [Source:UniProtKB/TrEMBL;Acc:D7LL86] MAERSDSEEVKLLGMWASPFSRRIEIALTLKGVSYEFSEEDITNKSSLLLQLNPVYKMIPVLVHTGKPISESLVILEYIDETWRDNPILPQDPYEKAMARFWAKFVDEQIYVTAMKVVGKIGEERDAVVEATRDLLMFLEKELVGKDFFGGRSLGFVDIVATLVAFWLMRTEEIVGVKVVPVEKFPEIHRWVKNLLGNDVIKKCIPPEDEHLQYIRAHVIVSSCVLSLGFV >fgenesh1_pm.C_scaffold_4000764 pep chromosome:v.1.0:4:13532486:13535425:-1 gene:fgenesh1_pm.C_scaffold_4000764 transcript:fgenesh1_pm.C_scaffold_4000764 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSSEGRLVASTVVMISEENKQNKIPVLASEICTAASFKQHFHTPWRVSLSRRVLPKRNELIEEKDKCDRLRRHAKNPADIVKLFTSHKRSLPVSGAIILDEDFEKVLLVKRYKGPSWTFPRGKKDYEDKEDYMCAIREVLEETRFDISNLLDKKHYLQKFFDKQRACRYIVAGVSTNTTFAPQTKHEIEVHANS >fgenesh1_pm.C_scaffold_4000765 pep chromosome:v.1.0:4:13538930:13540198:-1 gene:fgenesh1_pm.C_scaffold_4000765 transcript:fgenesh1_pm.C_scaffold_4000765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione-s-transferase 7 [Source:UniProtKB/TrEMBL;Acc:D7LL88] MAERRADLNLPMRLQCNTCDHIMSNGTQFNSRVEQVIGETYLGIKILRFHIQCTNCTGEIKFKTDPKNAVKRCKMHTYGGVLTPRVSSEDGKAAELARVGTAATELVSIGKRLGGDLAKSRRDPVTIASVVEMEDLV >fgenesh1_pm.C_scaffold_4000766 pep chromosome:v.1.0:4:13541336:13543825:-1 gene:fgenesh1_pm.C_scaffold_4000766 transcript:fgenesh1_pm.C_scaffold_4000766 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFWLGFICFLNHKPKFLNLSNLNLDLALLFSASKRNLETGSFSLRNQQMGDEQMDNIVDKTRAANRDKRLPKLAANLPYDLVEEILLKLPVKSLARFNLTCKEWGSLFSGRRFYLQTIGHDSKNEYKVLRFNFGAYDCDCDFYEAVAEIFEMETNRWRRSDNWECARQPPMIVSVGGNSYWIASSDGKLFVQRSIDSLGTGHNQQILYPVPSFFITEKKIVMFCEELVEEGTAHIKVINRKEVLSGRKRGSLQVESE >fgenesh1_pm.C_scaffold_4000769 pep chromosome:v.1.0:4:13560358:13561227:-1 gene:fgenesh1_pm.C_scaffold_4000769 transcript:fgenesh1_pm.C_scaffold_4000769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein putP14 [Source:UniProtKB/TrEMBL;Acc:B2BXJ2] MFKLGLFFAILTALGLAGYKWLAPSIMDKGRMLLDINFREGSLETKVKFKFITITKGYK >fgenesh1_pm.C_scaffold_4000770 pep chromosome:v.1.0:4:13563806:13564808:-1 gene:fgenesh1_pm.C_scaffold_4000770 transcript:fgenesh1_pm.C_scaffold_4000770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione-S-transferase 4 [Source:UniProtKB/TrEMBL;Acc:B2BXJ1] MSENNELTNFVELRPFPALKLKGINYDYVEEKFESKSSLLLALNPIHKKVPVLVHNGKTILESHVILEYIDETWPHNPILPQDPYKRSKARFFAKLVDEHITNVGFVSMAKADEKGRQVLVEQIRELIMYLEKELIEKDYFGGKSVGFLDFLAGSLIPFCWEGRGLQVITEEKFPEYNKWVKNLEKVEIVKDCIPPREKPVEHMNYMAKRIRSSL >fgenesh1_pm.C_scaffold_4000776 pep chromosome:v.1.0:4:13610569:13611453:-1 gene:fgenesh1_pm.C_scaffold_4000776 transcript:fgenesh1_pm.C_scaffold_4000776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione-s-transferase 9 [Source:UniProtKB/TrEMBL;Acc:D7LL98] MEKEEEVRLLGFWASPFTCRVKMALKLKGVPYEYLEEDLMNKSPLLLELNPVHKKVPVLVHNGKPILESNLILEYIDQTWTNNPILPQDPYDKAMARLLAKFVDEQVAPAGFRSLVKAEKGIEVAIEEARELIMFLEKEVTGKDFYGGKTIGFLDMIVGSMIPYCGVRAWEFMGIDMIPEEKFPALNRWIKKLNEGEIVRECVPPREEHIKHLKRNEERVKSAYKRQT >fgenesh1_pm.C_scaffold_4000787 pep chromosome:v.1.0:4:13639897:13649103:1 gene:fgenesh1_pm.C_scaffold_4000787 transcript:fgenesh1_pm.C_scaffold_4000787 gene_biotype:protein_coding transcript_biotype:protein_coding MATISETSNDGSNGGDPNMKPEESHKNPQEEEEENQNENPKDENQEDDHQEEEKEEEENQNEDAKEEDQEDDQEDEEVENHPPIPRVIPPELIENTIALIRRCHYPSLSLLSNAFRQVISSEDLFQIRSRIGLTEPVLYTLITFKYPTFEEPRWFILHRSNNSLQLNRVTSLPPMFLGCTAVTMGHKIYVMGGYNLRYNRAVGTMLAIDCRFNTSRQLRSMKRDRCYAVAGVIDGRIYVVGGRERRINDWVEVFDVETERWALVPGPFSPIASSSGEFVTHVVLDNKIYIIDGDFCFAYDPRQRTWQTWGPESVQRRFWHASSCVVDDLLYATVPREIVGVPIVVYDPREVAWRHVKGLEFWPNLVYIESRMTNFGGKLVILGCYQSRDSVDYNGEIDVWCVEVALEKREDGDIWGKVQSLSLVNTFRKPPFFELSRTVTV >fgenesh1_pm.C_scaffold_4000801 pep chromosome:v.1.0:4:13760516:13761818:-1 gene:fgenesh1_pm.C_scaffold_4000801 transcript:fgenesh1_pm.C_scaffold_4000801 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSEIPGGPSGDDPNNNPQENAQEEEENAQEEEEEEEEEEEEEEEEEVENLPILLELPEDLFERIIAHIPRSHYPSLSLVSRAFRHVITSHKLFVTRSLLGFTELALYALIRCTANIPLQLRRIRPLRHIFPGAAVVTIEYKMYVMGGTNPIEFTNKPVSTVIVIDCRFHTWGNLPDMQRARYHAAAGVIDGNIYVIGGCKKRDADWVEVFNVTTETWATVPSQCPEDASENGEFVTYVVMQGRIFTLEFGGCFAYEPGQGLWQSWGVESELMRFWNSSSSCVVGDLLYALDLTCTLGYPIVVYIIRMNWFGDLCWVDILIICLFSLVILGKYSWGSKDIWCIEIALETRQENQIWGVVESISLVFRDEMMMPSIELCRTVMV >fgenesh1_pm.C_scaffold_4000818 pep chromosome:v.1.0:4:13949363:13950727:-1 gene:fgenesh1_pm.C_scaffold_4000818 transcript:fgenesh1_pm.C_scaffold_4000818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter ZIP6 [Source:UniProtKB/TrEMBL;Acc:D7LBJ5] MASCVTGTEAAIRAAACRDGDAASHLKIVAVFAIFLTSVFGVWGPVLLAKYFHGKPLYDKAILVIKCFAAGVILSTSLVHVLPEAFESLADCQVSSRHPWKDFPFAGLVTMIGAITALLVDLTASEHMGHGGGGGGEMEYMAVGGLEMKEGKFGADLEIQENSEEEIVKMKQRLVSQVLEIGIIFHSVIIGVTLGMSQNKCTIRPLIAALSFHQIFEGLGLGGCIAQAGFKAGTVVYMCLMFAVTTPLGIVLGMVIFAATGYDDQNPNALIMEGLLGSFSSGILIYMALVDLIALDFFHNKMLTTAGESGSRLKKLCFVALVLGSASMSLLALWA >fgenesh1_pm.C_scaffold_4000832 pep chromosome:v.1.0:4:14053079:14054343:-1 gene:fgenesh1_pm.C_scaffold_4000832 transcript:fgenesh1_pm.C_scaffold_4000832 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LBL2] MCISKTIVFGLFVATLLVSCNADANATQPLFPAILIFGDSTVDTGNNNYYSQAVFKANHLPYGVDLPGHEANGRFSNGKLISDVISTKLNIKEFVPPFLQPNISDQDIVTGVCFASAGAGYDDETSLSSKAIPVSQQPRMFKNYIARLKRIVGDKKAMNIINNALVVISAGPNDFILNFYDIPTRRLEYPTIYGYQDFVLKRLDGFVRELYSFGCRNILVGGLPPMGCLPIQMTVKMRSICVEQENKDTVLYNQKLVKKLPEIQASLPGSKFLYANIYDPVMDMIRNPSKYGFKETKTGCCGTVETSFLCNSLSKTCPNHSDHLFWDSIHPSEAAYKYLGNFIDAQIHEWLKA >fgenesh1_pm.C_scaffold_4000837 pep chromosome:v.1.0:4:14078532:14080216:-1 gene:fgenesh1_pm.C_scaffold_4000837 transcript:fgenesh1_pm.C_scaffold_4000837 gene_biotype:protein_coding transcript_biotype:protein_coding MLTADIPPNQSIYIQNLNEKIKKEELKRSLYCLFSQFGRILDVVALKTPKLRGQAWVTFSEVTAASNAVRQMQNFPFYDKPMRLQYAKAKSDCLAKAEGTFVPKDKKRKQEEKVERKREESQRPNTANGPSANGPSANNGVPAPSFPPSGQEAMPPNNILFIQNLPHETTSMMLQLLFEQYPGFKEIRMIDAKPGIAFVEYEDDVQASIAMQPLQGFKITPQNPMVISFAKK >fgenesh1_pm.C_scaffold_4000841 pep chromosome:v.1.0:4:14116984:14118817:1 gene:fgenesh1_pm.C_scaffold_4000841 transcript:fgenesh1_pm.C_scaffold_4000841 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKFLPWLSLVALLWLQSFNGTNLSFPAYSSQLKEFLKISQFKLNYLSFASDAGKVLGFISGIAAVYLPLPLVLLAGGSLGFAGYGLQYLSIVRKIICWINTACYIVAINSFPVNRQVAVGITASYQGLSGKIYTDMVHTLFHTSQREEASGYLLLNSLVPLVACLVTAPMLMRHGGDKTTSFSGDVKVGFIVLFVLTIATGIYAVATSLVSAPAVLVLVGIALFLLAPLAIPIGVGLEELMSSRKTQQKVQDLEAPPDKFYFEEEDHTKEEEEFEKEIIGVKEEVEWTQLWKKLDFWIYFGLYLFGPTVGLVFMNNLGQIAESRGSTATSSLVALSSSFGFFGRLLPSLLDYFFSRNKYMPSSPVSMAGSLVAMVASFLLLLIDSDIALYISTAMIGIFSGALTSLSVTMTAELFGTKHFGVNHNIVVGSIPLGSFSFGLLAAKVYRDGAALYGDDGKCFGMHCFQTTLVFWGMLCSIAALLAAVLYVRNRKFYSQKP >fgenesh1_pm.C_scaffold_4000857 pep chromosome:v.1.0:4:14199210:14201361:-1 gene:fgenesh1_pm.C_scaffold_4000857 transcript:fgenesh1_pm.C_scaffold_4000857 gene_biotype:protein_coding transcript_biotype:protein_coding MSESHKFQLGTIGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKFFEHQPFDPRAVLGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVVLETIFFRKKFSRKIQFSLVILLLGVGIATVTDLQLNMLGSVLSLLAVITTCVAQIMTNTIQKKYKVSSTQLLYQSCPYQAITLFVTGPFLDGLLTNQNVFAFKYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYLLLKDAFSWRNILGILVAVIGMVLYSYYCTLETQQKAAETSTQLPQMDENEKDPLISVENGSGLISDNVVPKADPVWNSNKDFQA >fgenesh1_pm.C_scaffold_4000859 pep chromosome:v.1.0:4:14216789:14221407:-1 gene:fgenesh1_pm.C_scaffold_4000859 transcript:fgenesh1_pm.C_scaffold_4000859 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWMGGSRRKVAASHTSVKKRQKQYFEQRRQQQHQLTVGSESCTNDINNSNQHSREHQSLDILNLLNLSTATPECKLSCPENGMQDLDADFYSLKDNISGVGSSFNHIAEPTSSKRTLFSLPDNQTNDFKKANTDNQTNDFKKANTTADLMDGTERKLSVFDLVGDDHTTTNLEECSPSEAHMAFSVEGLGKINTETPVNSPQPSDRTFVYRCSSPWMVNGQPNTSHVRERLNDFENEVDTIIESSKIFQDDSRYRSPIGIHAKDGGRKQKLQTFSDHLHKQYSDSRSNFCDVADFNNSRFSDDEWNAKSAFLDDGEDSFYWKGEQPCQKESLNPDFLKYGKDYTESRSSSEHHRKKKRDYLETTWRSNIRDSPTRRSHLLERNIDHPSFAKPATSDFDFNNVFDQPVWSSIVPEEDKDSHSLRSEESCSSSAVWTNETHNSQFETNTRQRKRETNSFRNLGDKYYLNNNLFQESWEDWDVDDQHMKRQVGSGKQVRLSNPGKLKSTSQREGGLDESYNWFAEGFSSAGINSDITSERDKPYPFLNAEIGSSHWRSSRAPDSIPETWVPKFSVGGTGDDDDEDDYVNCLSANHKSKLAGGTCGFENDTLSENDNEQSREVNHPKIQGDETSSSVAKSLSDENEYVRYNPNKEVMQARHQVNRESGEKTSRDSLQQMIMLERRTLQLVCFNKTLLLDSLKT >fgenesh1_pm.C_scaffold_4000881 pep chromosome:v.1.0:4:14373801:14375925:-1 gene:fgenesh1_pm.C_scaffold_4000881 transcript:fgenesh1_pm.C_scaffold_4000881 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71A12 [Source:UniProtKB/TrEMBL;Acc:D7LC85] MSNIQEMEMILMVSLCLTTLLTLLLLKQFLKRTANKVNLPPSPWRLPVIGNLHQLSLHPHRSLHSLSLRYGPLMLLHFGRVPILVVSSGEAAHEVLKTHDLKFANRPRSKAVHGLMNGGRDVVFGPYGEYWRQMKSVCILNLLTNKMVASFEKIREEEVNAMIEKLEKASCSSSSENLSELFVTLPSDVTSRVALGRKHSEDETARDLKKRVRQIMELLGEFPIGDYVPVLAWIDRINGFNARIKEVSQGFSDLMDKVVQEHLEAGNHKEDFVDILLSIESEKSIGFEAQRNDIKFMILDMFIGGTSTSSTLLEWIMTELIRNPNVMKKLQDEIRSTIRPHGSYIKEKEVNNMKYLKAVIKEVFRLHPPLPLILPRLLSEDVKVKGYNIAAGTEVIINAWAIQRDPAIWGPDAEEFKPERHLDSTLDYHGKDLNFIPFGSGRRICPGINLALGLVEVTVANLVGRFDWRVEAGPNGDQPDLAEAAGLDVCRKFPLIAFPSSVI >fgenesh1_pm.C_scaffold_4000895 pep chromosome:v.1.0:4:14476933:14477610:1 gene:fgenesh1_pm.C_scaffold_4000895 transcript:fgenesh1_pm.C_scaffold_4000895 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFLEKATSAVNEAKESVTATAETTTETARSALTDAQKTVEASTETVKTEAEAAPKKASDLSTQAKDAVDKGLSRGIEGAKSLLQAFEEKSSDISSKLVGGVTNLVSGASSSTVASRDLPVSTDNQPLLASGEKTPWWKNCCGVLDFFKKDT >fgenesh1_pm.C_scaffold_4000898 pep chromosome:v.1.0:4:14492999:14493868:1 gene:fgenesh1_pm.C_scaffold_4000898 transcript:fgenesh1_pm.C_scaffold_4000898 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVQRKIYLYNVTFGLYMLDWWERYLFNSLVIILMWFILYNGSRYFSELCKR >fgenesh1_pm.C_scaffold_4000909 pep chromosome:v.1.0:4:14605242:14606710:1 gene:fgenesh1_pm.C_scaffold_4000909 transcript:fgenesh1_pm.C_scaffold_4000909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7LCX6] MILTMKLVHPLHHSRSSSIPFSSRKRQSKLYLCSLPSPGCEKVIGPKTGEGFYLDVSSLPVRLFSNQFFASPLKLAFNQCIKILKRVVLRASKLPESELTTLPSGLKYYDIKSWQWSRGCEYIFWLTLKMKMLTVFTSRQGLGVGGGTPYGFDVGQSERGNVLKGLDLETERLHKPKGCQRLVIIPPELAYGKKGVQEIPLNATIELDIELLSIKQSPFGTPVKIVEG >fgenesh1_pm.C_scaffold_4000918 pep chromosome:v.1.0:4:14696342:14698076:-1 gene:fgenesh1_pm.C_scaffold_4000918 transcript:fgenesh1_pm.C_scaffold_4000918 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDIAKRWKELSGNSKWKDLLDPLDLDLRRYILHYGDMAEEIAATPKKSSLLELVTSKQTLSDEGKKLLGRRDIVVAWRGTIQLYEWANDFDFPLESAVTVFPRANPNDEPRIANGWLSLYTSTDPRSRFDKTSAQEQVQGELKRLLELYKDEDITITLTGHSLGAVMSILSAADFLHNEWPKITPSLQHRLSCVTVFAFGSPRIGDRSFKRLVESLEHLHILRVTNVPDLIPRYPVFRFTDVGEELQINTLKSEYLKRSLNLGHFHNLEAYLHGVAGTQHNQGEFKLEINRDIALVNKGLDALEDKYLVPGHWWVLENKGMVQSDDGTWKLNGDRSKNKQEEEEEENNCKFP >fgenesh1_pm.C_scaffold_4000922 pep chromosome:v.1.0:4:14711757:14713999:1 gene:fgenesh1_pm.C_scaffold_4000922 transcript:fgenesh1_pm.C_scaffold_4000922 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGTLQLTSDYVPGYGASDSRSISKSTVSRRTLAVLPCSSCRINKLCIENGHLKSATNKTSFVCRASSSGHRRNNPDFSRNNKHGFRGRNRRNEDRDGLVDGGLEDDIFSPKFQATSSPGPKEKEIVELFRKVQAQLRARAAAKKEEKKTEEASKGQGGKDSETVDSLLKLLRKHSGEQSKKQVSNFNSEKQLQRDDDASERQDHSSNRFDSQNKDHNATPFTRPASSFRRNSPVPRHKSQASYSSEAIFDQGSSYSVTWTQKKDQVEPRDEPEYEPEHESESAAGYDEPEPEGEYESESEPGLAILDSVSELKPESFYQEEDEDEEEDHDAVVDELSDDDESLDIEEETAKDEDLSALKFVELRAIAKTRGLKVISKIKKADLLNLLGSNNKS >fgenesh1_pm.C_scaffold_4000942 pep chromosome:v.1.0:4:14833568:14835865:1 gene:fgenesh1_pm.C_scaffold_4000942 transcript:fgenesh1_pm.C_scaffold_4000942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase 2-5 [Source:UniProtKB/TrEMBL;Acc:D7LD21] MQTISKASSAISFFRCSRKLSSQPCVRQLNLRKGLVCRVMKLVSSPLRTLRGAGKSIRVSKFCSVSNVSSLQIELVPCLKDNYAYILHDEDTGTVGVVDPSEAEPVIDSLKRSGRNLTYILNTHHHYDHTGGNLELKDRYGAKVIGSAMDKDRIPGIDIALKDGDKWMFAGHEVHVMDTPGHTKGHISLYFPGSRAIFTGDTLFSLSCGKLFEGTPKQMLASLQKIISLPDDTSIYCGHEYTLSNSKFALSLEPNNEILQSYAAHVAELRSKKLPTIPTTLKMEKACNPFLRSSNTDIRRALRIPETADEAEALGIIRKAKDDF >fgenesh1_pm.C_scaffold_4000958 pep chromosome:v.1.0:4:14899276:14903338:1 gene:fgenesh1_pm.C_scaffold_4000958 transcript:fgenesh1_pm.C_scaffold_4000958 gene_biotype:protein_coding transcript_biotype:protein_coding MCHCKQLLRHVFDLDVVFAGDPSLCYQPLQSRKCLTMNLNMSRREGHFIQMNRRHLFIKEKKSFSINYSDKLRDDSMSSEEMHVDALDVEITPPDSQDIHISQNSTVSSTLQEDRPKSFRNRFLDFVRISSVLNTAAERFFKSEIRRRLFVTAVLLVLSRVGYFIPLPGFDRRLIPQDYLSFVSGSVGECSHFGAEIKLSLFQLGLSPQIIASIIMQVLCHVLPSLVKLRKEGLDGHEKIKSYMCMVAIVSLCYSGSISGGLHFASIFSFCSHCPHVMMTASLLVCGAMTMTWLCDTISESGFGHGSSLIICVGILTGYTETLHKMLNQISGSFSNWLPYLLGLLGIFTVVTMFAVVVTEGCRKIKLQYYGFKLASASREGSPITEVEPYIPFNINPAGMQPVLTTTYLLAFPSILASILGSPFLVHVKEILNPESTVGAPPWVYYSIYAFFVFLFNIFDIANLPKEIADYLNKMGARIPNIKPGKATIEYLTKIQASTRFWGGLLLSFLATASTVLDHYLRSINQGFSIGFTSVLIIVGSIIELRRSYHAYNVMPSLSKALKRYGV >fgenesh1_pm.C_scaffold_4000978 pep chromosome:v.1.0:4:14984696:14986620:1 gene:fgenesh1_pm.C_scaffold_4000978 transcript:fgenesh1_pm.C_scaffold_4000978 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSDDDIIDMESGEEEDHYSDGGNEYADHYPLEEDAIIPSEKSYVVLKEEDIHKHQRDDIERVSTALSLSQVEATVLLLHYHWSVSKIYEEWFTDEERIRKTVGILKEPVVDVNGREVDIQCGICFESYTSDEIATVSCGHPYCKTCWTGYISTTINNGPGCLRVKCPEPSCSAAVGQDMIDKVSNKEDKEKYYRYFLRSYVEEVGERTKWCPSPGCECAIDFSVGSGSGSSSYDIYCLCSHSFCWNCTEDAHSPVDCDTVSKWIFKNQDESENKNWMLANSKPCPKCKRPIEKNDGCNRMTCSDPCRHQFCWICLEPHYGHGACNKFVEEKAESKRTLLQNEIKRYTHYYIRWANNQSSRLKAMSDLEKLQSVQLKQLSDKQSKPETDLQFTLDAWIQILECRRVLKWTYAYGYYLHDLAKRQFFEYLQGEAETSLERLHHCAENELKQFINKTEDPSETFSAFRMKLTNLTNVTKTYFENLVKALENGLADVAYNYETTKSREESDDFLETQKLYDTYISEGYFF >fgenesh1_pm.C_scaffold_4001008 pep chromosome:v.1.0:4:15151045:15151610:-1 gene:fgenesh1_pm.C_scaffold_4001008 transcript:fgenesh1_pm.C_scaffold_4001008 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S12 [Source:UniProtKB/TrEMBL;Acc:D7LED2] MDVSTALELTVRKSRAYGGVVRGLHESAKLIEKRVAQLCVLAEDCNQPDYVKLVKALCADHSIKLLTVPSAKTLGEWAGLCKIDSEGNARKVVGCSCLVIKDYGEETTALNIVKKHLESN >fgenesh1_pm.C_scaffold_4001025 pep chromosome:v.1.0:4:15262760:15265285:1 gene:fgenesh1_pm.C_scaffold_4001025 transcript:fgenesh1_pm.C_scaffold_4001025 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSQILSRSCFTNKLLLFFFSISLLLIAVVNAAEGHSHGGPKCECSHKDDHENKAGARKYKIAAIPTVLVAGIIGVLFPLLGKVFPSLRPETSFFFVTKAFAAGVILATGFMHVLPEAYEMLNSPCLTSEAWEFPFTGFIAMIAAILTLSVDTFATSSFYKSHCNASKRVSDGESGETSVDSEKVQVLRTRIIAQVLELGIIVHSVVIGISLGASQSPDAAKALFTALMFHQCFEGLGLGGCIAQGKFKCLSVTIMSTFFAITTPIGIVVGMGIANSYDESSPTALIVQGVLNAASAGILIYMSLVDLLAADFMHPKMQSNTGLQIMAHIALLLGAALMSLLAKWA >fgenesh1_pm.C_scaffold_4001039 pep chromosome:v.1.0:4:15328541:15332558:-1 gene:fgenesh1_pm.C_scaffold_4001039 transcript:fgenesh1_pm.C_scaffold_4001039 gene_biotype:protein_coding transcript_biotype:protein_coding MEVREKAKIVITVASLVAVTVLFVAEYRRRHRKKQTSSSLSSCYLHSELKSQFGFKRVLADNSYSEFKHLKLDDASSSLENSSNGHPYETEITVLLENPRIEFGFLRGEYSLEMSDSYVWVETELQLKELAEILAKEKVFAVDTEQHSLRSFLGFTALIQISTHEKDFLVDTIVLHDAMSILRPVFSEPNICKVFHGADNDVLWLQRDFHIYVVNMFDTAKACEVLSKPQRSLAYLLETVCGVATNKLLQREDWRQRPLSEEMVRYARTDAHYLLYIADSLTAELKQLATEDSSSPDDRFHFLLEASRRSNMTCLQLYTKETEDFPGSAAASSIIYRHLNGHGDKSNISLNAEELVRKLCAWRDLMGRIHDESTRYVLSDQAIVGLACKQPTTNEEIYDTIAHTDLATESSPSLSSSVQSPYPVICSHLDDIYEMILDKLAKLDDILPVVLKKCLGTNGTCPISVFNYSLLVNFKTKLSSHSAPKQNGHKNFKQQFTRKASRELFVKKFSCKAPVYHNCRIYANDGRLLCYCDKRKLEWYLNRGLAKLVEENPPAIMLLFEPKGRPEDEGNDFYIQTKRNICVGCGEGKHYLRYRIIPSCYRVHFPEHLKSHRSHDIVLLCVDCHEVAHAAAERYKKQIATEFGIPLFVRRVLDSKEAQGTSSLVEDESTGNSEDAGVSPLHLRSAAMALLRHGNRMPSSRREELLQTVKMYYGGRDISEEDLEKALLIGLSPHERRKLERKKGVSFKHSAEVAGMDKQEDEYNDGEAPAYSEKIMNVERSTVADDSGDGTSEGDGASELNDTQCNGNTLHQQNSKLSLLGHGPHGKQIVEYILREHGEDGVRDFCQRWRKVFVDAVHPRHLPGGWNVSHSGRRDFGEFSVYNPTKRLSTE >fgenesh1_pm.C_scaffold_4001046 pep chromosome:v.1.0:4:15365290:15367137:-1 gene:fgenesh1_pm.C_scaffold_4001046 transcript:fgenesh1_pm.C_scaffold_4001046 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQALALPRPILTGGFGSNGGGVFLHQCRRKNPRVAFCASDDQIASSKSNKTRKVVEHVCLLKAKEGLSEEVEKDMLDYLYTTQYQMRGIVAISVGCIGDRNIEDYTHALFMRFQRKEDLGKFYENTFFLKVLNEHVTPFCHGLTNVDYESEVEDDILAIFRKGEEYNYGEEFVLLITFAKNASDKNTKDAMDSFAQLTSSLPSLIVQSTQGSNFNDSSKEFTHAAVVRFRSFDAMEILVEGREYKDMWRSQFEPFIEKAVALHFSVDPVGTDVM >fgenesh1_pm.C_scaffold_4001048 pep chromosome:v.1.0:4:15384366:15385614:-1 gene:fgenesh1_pm.C_scaffold_4001048 transcript:fgenesh1_pm.C_scaffold_4001048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dienelactone hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LEZ5] MADSAFKKIQIQRDDTTFDAYVVGKDDAPGIVVIQEWWGVDFEIKNHAIKISQLEPGFKALIPDLYRGKVGLDTAEAQHLMDGLDWPGAIKDIRASVNWLRSNGSKKVGVTGMCMGGALAIASSVLVPEVDAVVGFYGTPSSELADPAQAKAPIQAHFGELDNFVGFSDVSAAKNLEEKLKASGVAHEVHIYPGNGHAFLNRSPEGVSRRKSMGLSDEDEAAVELAWSRFTSWMKQYLA >fgenesh1_pm.C_scaffold_4001055 pep chromosome:v.1.0:4:15417118:15420428:1 gene:fgenesh1_pm.C_scaffold_4001055 transcript:fgenesh1_pm.C_scaffold_4001055 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSLSLPPLCERISYANYFLRAVYLTILGLFFSLLSHRIRHMSEYDTVWRVAFFCETCFSLVCLLITCLKWSPADTKPFPDRLDERVHDLPSVDMFVPTADPVREPPIMVVDTVLSLLAVNYPANRLACYVSDDGCSPLTYFSLKEASKFAKIWVPFCKKYNIRVRAPFRYFLNPISDVTEGSEFSKDWETTKREYQKLSRKVEDATGDSHLLDVEDDFEAFSNTKSNDHSTIVKVVWENKGGVGDEKEVPHIVYISREKRPNYLHHQKCGAMNFLARVSGLMTNAPYILNVDCDMYANDADVVRQAICIFLEKSLNPKHCAFVQFLQEFYDSNTSQIVVLQSYLGRGIAGIQGPIYIGSGCVHTRRVMYGLSPDDLEGDGSLSLVATREFLVEDSLARRFGNSKEMVKSVVGAIQRNPNPQNILTNSIEAAQEVGHCHYEYQTSWGNTIGWLYDSVAEDLNTSIGIHSRGWTSSYISPDTPAFLGSMPQGVPEALLQQRRWATGWIEILFNKQSPLRGMFCKKIRFRQRLAYLCIITSLRSIPELIYCLLPAYCLLHNSALFPKGTYLGITITLVGMHCLYTLWEFVNLGYSVQSWLVSQSVWRIVATSSWLFSIFDITLKLLGISETVFIITKKTVPAGPSEGEDDGPNSDSSKFEFDGSLHFLPGTFIVLVNLAALAVFTVGLQRSSYSHGRGSSGMAEACVCVLVMMLFFPFLKGLFEKGKYGIPLSTLSKAGFLAVLFVVFSV >fgenesh1_pm.C_scaffold_4001068 pep chromosome:v.1.0:4:15501702:15502260:1 gene:fgenesh1_pm.C_scaffold_4001068 transcript:fgenesh1_pm.C_scaffold_4001068 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSGTISASFVSKRSRSPETPHQKITLKVKNQQGAEDLYKIGAHAHLKKLMSAYCMKRNLDYGSVRFVYNGREIKARQTPAQLKMEEEDEICSVMELGGGGPYTP >fgenesh1_pm.C_scaffold_4001083 pep chromosome:v.1.0:4:15571586:15572647:1 gene:fgenesh1_pm.C_scaffold_4001083 transcript:fgenesh1_pm.C_scaffold_4001083 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYDDPRVSESNFEMLLHVAAMVYDQEYGTHEDEKSKTIDEETEIEARIFGDKVPRKNRTHRSSPSFRKTRAIEQQRSSELQNPNTESEPSSSSCVTQFKKRLHMNTMEERSLKKPKIDGPLEVEPIQTTPPDWLLNVMRREENGYNPKLISTRKLFKTDLASLQARLSVPFRQVKNPDFLTEEETRIINEQALKIRKEGVQFFLGNVI >fgenesh1_pm.C_scaffold_4001110 pep chromosome:v.1.0:4:15744640:15754743:-1 gene:fgenesh1_pm.C_scaffold_4001110 transcript:fgenesh1_pm.C_scaffold_4001110 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKHHLIGFSGIFYNVSPAATIKVTVGSHVWVEDSDEAWLDGEVVEANGQEIKVNCQTKTVVAKVNAVHPKDPEFPELGVDDMTKLAYLHEPGVLLNLKARYNANEIYTYTGNILIAVNPFKRLPHLYGNEIMEQYKGTDFGELSPHPFAVADSAYRKMINEGVSQAILVSGESGAGKTESTKMLMQYLAYMGGKAESEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFNHMGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPEQETERYKLGKPSTFHYLNQSNCHALDALDDSKEYLATRKAMDVVGISPEEQDAIFRVVAAILHLGNIEFGKSEESDAAEPKDDKSRFHLKVAAELFMCDEKALENSLCNRVMVTRGESITKPLDPGSAALSRDALAKIVYSKLFDWLVTKINNSIGQDPSSKYIIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFIDNQDVLDLIEKKPGGIIALLDEACMFPRSTHDTLAEKLYQTFGSHKRFTKPKLARTDFTICHYAGDVTYQTELFLDKNKDYVVGEHQSLMNSSDCSFVSSLFPKSREESSKSSKFSSIGSQFKQQLQSLLETLNTTEPHYIRCVKPNNVLKPEIFENVNVLHQLRCGGVMEAIRISCAGYPTRKPFNEFLTRFRILAPEATERSFDEVDACKKLLARVDLKGFQIGKTKVFLRAGQMAELDAHRAEVLGHSARIIQRKVLTYQSRKKYLLLQSASTEIQAFCRGHIARVQFKATRREAASVRIQKQARTYICQTAFKKLCVSAISIQTGLRAMAARVESQIRRCLCRLRYLRTRKAAITTQCGWRAKVAHRELRKLKMAAKETGALQDAKTKLEKEVEELISCLELEKEMRMELEEAKTQEVEELRSALNDMKLQLGETQVTKSEEILKLQSALQDMQLEFEELAKELEMTNDLAAENEQLKDLVSSLQRKIDESETKYEETGKLSEEWVKQEVPAIDQGVIIKLEAENQKLKALVTTLEKKIDALDGKHYVTSSNISDQLKESATSDYEMLSNLAAENERLKALVSSLENENNENDGNESSNEQKEGPHMLEEERLAEEFLIDDEMTNKLAAENKDLYDLVDLLEKKIDETEKKYEEASRLCEERLKQVLDTETKLIELKTSMQRLEEKVSDMETEDKILRKQVLSNSASRKMSPQMSFTRPPKILDLFLFTYLLQPVENGRHESFAPIPSRRFGTMSFRRSQIEQQPHEFVDVLLKCVSKNVGFSHGKPVAAFTIYKCLIHWKLFEAEKTSVFDRIVPVFGSAIENPEDDNNLAYWLTNTSTLLFLLQRSLKSHSTTGASPKKPPQPTSFFGRMTQGFRSTSSASLSGDVVQQVDARYPALLFKQQLTAYIETIYGIFQENVKRELAPVLSSCIQGLKESSHESSAETLSAELSEQNSPENPSEENPPAKLSEQNSSAKPSEDNSPAKLSEDKSPAKPSEDNSPAKPSEENSRAKLSEENPQEKLSENSLAKPSEENSPTKTWQDVIDLLNRLLSTLKKNYVPLFLAQKIFSQTFQDVNVQLFNSLLQRECCTFIMGKKMNVWLNELESWCSQATEEFVGSSWDELKHTRQALVLLVTEQKSTITYDDLTTNLCPALSTQQLYRICILCKIDDHEDQNVSPDVISNLKLLITDEDEDSRSFLLDNDSSIPFAADEISNSMQEKDFTNVKPAVELADNPNFHFLKD >fgenesh1_pm.C_scaffold_4001112 pep chromosome:v.1.0:4:15756724:15758363:1 gene:fgenesh1_pm.C_scaffold_4001112 transcript:fgenesh1_pm.C_scaffold_4001112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase [Source:UniProtKB/TrEMBL;Acc:D7LFR9] MTFLLSRTFISLTLRPSCSISMANLTTSAKARLRGVVFDMDGTLTVPVIDFAAMYRAVLGEDAYKRIKAESPSGIDILHHIESWSPDKQQRAYDIIADYEKQGIDKLQIMPGAAQLCGFLDSKKIKRGLITRNVQKAIDIFHQRFEVIFSPALGREFRPYKPNPDPLLHICSTWDIQPNEVMMVGDSLKDDIACGKRAGAFTCLLDETGRYGPDDFSVSGLQPDFKVDSLSKIQILLETNFDLNP >fgenesh1_pm.C_scaffold_4001114 pep chromosome:v.1.0:4:15765807:15767124:1 gene:fgenesh1_pm.C_scaffold_4001114 transcript:fgenesh1_pm.C_scaffold_4001114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7LFS2] MVAKPLYGVLSDVLYIGGARRVPYISIGVLLQGLAWGSLAIFPAAREVLPSLMAFVLLSNLGASITEVSKDALVAEYGLRYQMNGLQSYALMASAVGGILGNLLGGYCLLKTPPRILFLAFTALLSLQLIVSLSSKEESVNLPPIKEVTPEISSVLGIVKKQFLDLMVIVQADEISQPLTWIVASIAMVPLLSGSVFGYQTQVLNLDPSVIGMSKVIGQLMLLCLTVVYDRYWKTLPMRPLIHIVQLLYAFSLLFDYILVKQINLAFGISNTAFVLCCSSVAEILSQFKILPFSVLLANKCPGGCEGSITSFLASTLCLSSVVSAFAGVGMANMIGITSKNYTNLPAGILIQSLAALVPLWFIHYVPMSEPGFEKEGKRAMSKKSRRNRRVGRVIGQEVFAYRRERET >fgenesh1_pm.C_scaffold_4001131 pep chromosome:v.1.0:4:15859755:15865158:1 gene:fgenesh1_pm.C_scaffold_4001131 transcript:fgenesh1_pm.C_scaffold_4001131 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRDGLGSKKRLRSLVVADVPRDESSIKHDNEDKRKNQNGDHKKNREMTISKRHDSGKVHSVEVSERMERKEQPKAHERDMREKRRRSRSRDHGQDRQKRPSPLPRAEKATASKSELGGYSPRKRREEASTKAVSPPNLSSEKKSAKWDLAPTVTSGMFSGPVFSGLQAATQTAYPTISEASLMLLKPLMEGTFRTPPPRQITSFDSVQLTESTRPMRRLYAENVPDSASEKSLIECFNGYMLSSGSNHIKGSEPCISCIINKEKSQALVEFLTPQDASAALSLDGCSFAGSNLKIRRPKDYVGTTLMEIVSVFGPLKAYRFVSNNDLNQQCAYLEYTDGSVTLKACAGLNGMKLGGSVITAVCAFPDASSVAVNENPPFYGIPGHAKPLLGKPKHILKLKNVVDPEDFTLLSEQEVKEILDDVRLECARWDTDDKMEEERDPDDLFEPGCIFIEYGRPEATCDAAHSLHGRLYDNRIVKAEYVSKELYQIRFLSG >fgenesh1_pm.C_scaffold_4001137 pep chromosome:v.1.0:4:15883850:15885600:-1 gene:fgenesh1_pm.C_scaffold_4001137 transcript:fgenesh1_pm.C_scaffold_4001137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (B-box type) family protein [Source:UniProtKB/TrEMBL;Acc:D7LGB0] MGGSTRESVVACEFCGERTAVLFCRADTAKLCLPCDQHVHSANLLSRKHVRSQICDNCSKEPVAVRCFTDNLVLCQDCDWDVHGSCSSSATHERSAVEGFSGCPSVLELAAVWGIDLEGKKKEDEEDQLTKNFGMALDSWGSGSNSGQDLIVPYDVSIKKQSFSLGRSKKVVFKQLELLKRGFVGGDGGGEIMVPERINGGGSICQPSPMSAESQSHCGNAMQWNATNHSSTLPIIAIWDFNLGQSRNPEEPSPVETKGSTFTFNEVTHVKNDTRATNVKAFKETYQQDDSIRSTSTKGQETSKSNNIPAAIHSHKSSNDSSDLHCMEHIAMTSNRATRLVAATNADLERLAQNRDNAMQRYKEKKKTRRYDKTIRYETRKARAETRLRVKGRFVKATDP >fgenesh1_pm.C_scaffold_4001145 pep chromosome:v.1.0:4:15940019:15940608:-1 gene:fgenesh1_pm.C_scaffold_4001145 transcript:fgenesh1_pm.C_scaffold_4001145 gene_biotype:protein_coding transcript_biotype:protein_coding MRITSNRCFYFSINSISNHHQSQAILREEIQKNPRPVVLSIKSMASSWRRSIGNVRSFIGNSMGGLRGGQSAASWVVAGTIAYFLWIKPAQDLKKEQVARAALAMSDPNQYVEKRKPIADPQVTGLIYGNKNRTDKPQD >fgenesh1_pm.C_scaffold_4001155 pep chromosome:v.1.0:4:15983264:15985600:1 gene:fgenesh1_pm.C_scaffold_4001155 transcript:fgenesh1_pm.C_scaffold_4001155 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQPLPFSASNTQYLFYGKSSENPKKSLVSTTLCLSSCENPKKRKMNSDDDLKVSCIPSDYKLTKVERKIARMRNLTNEERALDEWYGVSTELTLFKDPWIIKKVFHFSSIMDMHPINTLCLLDAVESSENPEESLVSLDLCLYDRTWPHDPNVAYNKPTSGEKMNLAWMRTKSNEARKEEERYNVSTELTLLTVTDPWTLKKVMTKCSLGHLKRLVLKESFVHIHILRYLPVDDQMMVQEGSGLTVDVYDHDTDSTYKLLLKKWAKYPRFVLINGWHTCFVTRRGLKKGDVIGMYWDRSESKIHFCVLSRAPMDSAPLPPST >fgenesh1_pm.C_scaffold_4001189 pep chromosome:v.1.0:4:16160955:16162453:-1 gene:fgenesh1_pm.C_scaffold_4001189 transcript:fgenesh1_pm.C_scaffold_4001189 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIMVLVTIFTILFTTFSISQATSRTVTFHEPSSLEKHEQWMARFSRVYRDELEKQMRRDVFKKNLKFIENFNKKGNKSYKLGVNEFADWTNEEFLAIHTGLKGLSSKVVDETISSRSWNISDMVGVSKDWRAEGAVTPVKYQGQCGCCWAFSAVAAVEGVTKIAGGNLVSLSEQQLLDCDREYDRGCDGGIMSDAFNYIIQNRGIASENDYSYQGSDGRCRSSARPAARISGFQTVPSNNEQALLEAVSRQPVSVSMDANGDGFMHYSGGVYDGPCGTSSNHAVTFVGYGTSQDGTKYWLAKNSWGETWGEKGYIRIRRDVAWPQGMCGVAQYAFYPVA >fgenesh1_pm.C_scaffold_4001191 pep chromosome:v.1.0:4:16173609:16175659:-1 gene:fgenesh1_pm.C_scaffold_4001191 transcript:fgenesh1_pm.C_scaffold_4001191 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDNQWFDKFMDEIGLVFTKVDESIQKSIELWTELTKDIFDEQPNQGLTGQPDNGESGEENSSQYPVAEVKERPIQHWSMCLSEVKEKPSQHWTMRVSSKIPESFIQEQGDDDDAIDDNDYDDDDDDDDDDDYDEEDSESSLKWNGDYQLLKSGYLSFEEEEEDNDDDADVDMFSEEDDDSWNEDFDDEDEEEDTTVSKSSENMLDLNLGASATSYIPTTSYIPSSYHRHNEKDSGSSLPWNGGYQQLKNVDTSSEEDEESWDEEDDEVGEYHTEEVMEMSREQRMSKLNNESLVVHDDEDDVSDTLQGFVDVRQEVIANNTEFGEVEALLPEGDWVYVRRG >fgenesh1_pm.C_scaffold_4001194 pep chromosome:v.1.0:4:16202771:16204539:1 gene:fgenesh1_pm.C_scaffold_4001194 transcript:fgenesh1_pm.C_scaffold_4001194 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKLNFDAPLLSTRRMTKSAMSVRRNMPKQLTDESKTGESLSVSVLVDQVPESASVPLKSPLEGKHVEEHAGESEDDDVFSDALDTLSLKHSISGGVVVEAMKPSMPSEDPQFMLDRFLPAAKSMTVEQPSQYAWKRQPLPLMSETMRQIRDIVPAENRATPTRYESSFTPSYYQDIDDEESEEDSDDDEVSEYLSKRGCGMMSPQICFKNSLGMLSSAHGLKETPYSLRTSSHDQVKSSKVAQLKSRFQSVKKLALDYKQKLGSLAQSPVHPSVGKKFNFGSEQHESNLSSASRPSSPYRQTGCMSPYRSVGNSSPLHPAGFPGTRKEAEIMRANRLNKHIRNISKSQELLYPKSTKQACSTSSAMEKTLYVDTENSPKTSNDQGNSNVKNLPETISEEPEMEGKKPKAAHELKAVETLTISSGIKMVKADELEKNNSGCDLSPPPPKKPSESWLFSNLPSVSSKIPSRRYLFHPQKKNVEENSISITKWETIVKTSYTHRDHIRYSEELVARSSCQSKT >fgenesh1_pm.C_scaffold_4001201 pep chromosome:v.1.0:4:16242428:16247511:-1 gene:fgenesh1_pm.C_scaffold_4001201 transcript:fgenesh1_pm.C_scaffold_4001201 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKIIVGDGRSVGVTIRNGISDIPSGSKKMVQSLKEIVNCPEAEIYAVLKDCNMDPNEAVSRLLSQDPFHEVKSKKEKKKEIRDIPDSRRRGYSNNYNHGIRGGSDRYAGRNGATPFSSNDSGSFQGKTTNKRESGMQTHAGSYSSTSGISSHHQIPHSDSVAKESKTPTVTSGGEISSSHSVTGHQTAWFGGSGQLSMADIVKMGRPHNKTSNSQKNVDMRSEVNPEHENAANQHVLVKDEWPSIEKPVAATTSSVSVAPTESEICNSPADLQSSRRDQYPKGQLEDTHLKENGPFGNLGRDHVQPDTVAGGAVQEDDSGVSSEYDDNPYRYQTQKNPVEHPKDEDEVLSVAANLQDFSIESHDQYSSHDDGRPAVVIPDHLQIHTEDCSQLSFGSFGGFGSRPLSNSLEEASDVAPQIEHTDARNSEFYGDEHLGSMSNGHMVHASTADNYDDSSESQREVLLPENPETAHQENHYSFAQSDPDYAYENTKQQLDNAFDASQTSMTKQMQHLASLTNVMQGYTNSIPNTLLAQTAQSARELELQYSSFPGVQSMPSRSNDTSPGGQSISMPEALRGGGGVATTQSSQQNLLGTNIATGPAIPQQIAMHPYSQPTLPLTHYANMISYPLMPQSYPYIPSTFQQAFAGNNSYHQSLAALLPQYKNNISASNLPQSATSPASSSAYGVGNSSNVEAGNFLLNQQQVAPIGATFSYEDALSLQYKQNNYLLYLQQQQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQNENFPMWLNGPGSQTMSGVPSNTYYNLEAQQQSQQVRQAQQQAQQAQQAQQQYGYPNYYQSQTGMSMEQQQQNPRDSGSQGQPSKQTQQQQQLWQNSY >fgenesh1_pm.C_scaffold_4001208 pep chromosome:v.1.0:4:16283283:16296206:1 gene:fgenesh1_pm.C_scaffold_4001208 transcript:fgenesh1_pm.C_scaffold_4001208 gene_biotype:protein_coding transcript_biotype:protein_coding MEFANTKWVAAAASIWIQSFSGASYTFGIYSSVLKSSQSYDQSTLDTVSVYKDIGANVGILSGLFYTAVASRTSGSGRFFAGPWLVIFVGLLQWFVGYGFIWMAASGVIERPPVAVMCLFMFFAGHCQPFFNTAIVVTAVRNFSDYGGTAVGIMKGYLGLSGAILVQMYHIFCGGDPRNYILLLAVVPSLLIMTLMPFVRTYDTVIAGDKKHLNGLSAISLIIVTYLMVVILVENIIGMSMPMKICSFTFLLILLASPLLVAVRAQREEKQRFLSLDFPVTERTTLLDSPKLNSSSDVKVVMTNDMNVLEAICTTNFWLLFVAMICGMGSGLATINNIRQMGESLRYSTVQLNSLVSLWSIWNFLGRFGSGYISDTYLHSHGWPRPVFMGITLGLMAIGHIVMASGVLGSLYIGSLLVGLAYGSQWSLMPTITSEIFGVRHMATIFYTISIASPVGSYIFSVKVIGYLYDKVASEDDHSCYGNHCFRTSYMIMAAMALLGSLVAFVLFLRTKKFYATLVAKRILKNLIICVRNLTGGLVSPLATRQNCVIRQYLFKYVKDNSYLIDFCMTIKLKLNCQLQYLTMERINTKWVAAAASIWIQSFSGATYTFAIYSSILKSSQSYDQSTLDFVSVFKDIGGTFGIISGFLYTAMTSKSRGGCGGPWVVVFVGLVQWFVGFFFIWASVVGLIAPPPVPVMCLFVFLAGHSLPFFNTANVVTAARNFSRYGGTAVGIMQGFLGLSGAILIQLYHAVCGGEGNPATFILLLAIAPTLVMFVTMPFVRVYETVTTSDKKHLDGLSVISLIIAAYLMVIITVENVLGLSRSMQIFSFILLLLLLASPLFVAVRALREERQTLSSLDLPVLDTSALLDPPSSIIFPDGDHVVAEDSNLLEAMSTVNFWLLFLAMLCGMGSGFATVNNMRQIGESLRYSSVQLNSLVSLWSIWNFLGRFGAGYVSDIFLHKYSWPRPVFMAITLGVMAIGHIIVASGLQGSLYAGSVLIGMAYGSQWSLMPTITSEIFGIRHMGTIYFTISIAGPIGSYILSVKVIGYFYDKVASEDDNSCFGSQCFRTSFMIMTSVALFGSLVASVLFFRTSKFYKNLVAKRNLNLLSEDGEPRLDVVQPHVVILSLVFPKVSAGVLNRDGLALRLVLSVLRLKSATPECLISGLKCLVHLLTTVESIMGNEGSDSYSILLNFVTHSDGKVRKLASLCLRDVLLKSHGTKPWQSVSGAIAELFQNYLELAHKSEVGSTEGARGAKQVLYILSTLKECLALMSRKHIATLIEGFKMLMILRDPYITRPVIDSLYAVCLNPTSEVPVEALLEVLSLAAGLFSGHETSADAMTFTARLLKVGMTRSFSLNRDLCVVKLPSVFNGLNDIVASEHEEAIFAATDALKSLIFSCIDESLIREGVNGIRNSSLNVRKSSPTVIEKLCATVESLLDYKYHAVWDMAFQVVSAMFDKLGEESAYFMRKTLEGLSDMQDLPDEGFPYRKQLHECVGSAVGAMGPETFLSVVRLNLEASDLSEVKVWLFPILKQYTVGGRLSFFTETIFSMVETMSQKAQQLKLQGLPVASRSVDSLVYSLWALLPSFCNYPVDTVESFADLGRILCGVLQTQAETRGIICASLNILIQQNKDVVEGKVPTNDASPAIQRATARYDSQHAAANLKVLRLCAPKLLDVLTRIFHESGKDDGGSLQSAIGNLASIAEKKTVSKLLFKTLRELLEATKTAIAQDESSVSGMDVDNTADKNSSSNLRARLFDLLVSLLPGLDGQEVDTIFSSLKPAMQDPKGLIQKKAYKVLSIILKSSDGFVSKNLEELLELMHNICHVSAKRHKLDCLYFLLAHASRTDDLKERKDIVSSFLPEVILALKEVNKKTRNRAYDVLVQIGHAYADEENGGDNEKLHGYFNMVVGCLAGEKPQMISAAVKGVARLTYEFSDLIASAYNLLPSTFLLLQRKNKEITKVRLLLEMLIKKCGTEAVKSVMPEEHMKLLTNIRKVKERKEKKYAAGSDISKSQHSIDASSKASRWNDTKIFSDFEDEYEDSDGEDMDAESHGRSKASSLLKSKASALRSKKSRNQSHLEVDESDDEPLDLMDRYKTRLALRSSELRKRKADSDEEAEFDVEGRLIIREGERAKRKEISDADLDAKSSKGSRFSGNSSRKNQKRMKTSESGYSYTGKEYASKKASGDLKKKDKLEPYAYWPLDRKMMSRRPEQRAVAVRGMSSVVKMAKKMEGKSAAEALATTKFKKFKRSGQKKSAGKKKNK >fgenesh1_pm.C_scaffold_4001239 pep chromosome:v.1.0:4:16412028:16413669:-1 gene:fgenesh1_pm.C_scaffold_4001239 transcript:fgenesh1_pm.C_scaffold_4001239 gene_biotype:protein_coding transcript_biotype:protein_coding MLRESDGEMSLGTTNSPISSGTESCSSFSRLSFDAPPSTTAIIPEEESFLSLKPHRSSDFAYAEIRRRKKHGLTFRDFRLMRRIGAGDIGTVYLCRLAGDEEESRSSYFAMKVVDKEALALKKKMHRAEMEKTILKMLDHPFLPTLYAEFEASHFSCIVMEYCSGGDLHSLRHRQPTRRFSLSSARFYAAEVLVALEYLHMLGIIYRDLKPENILVRSDGHIMLSDFDLSLCSDSIAAVESTSSSPENHQLRSPRRLTRLARLFHRVMRSKKVQTLEPNRLFVAEPVTARSGSFVGTHEYVAPEVASGGSHGNAVDWWAFGVFLYEMIYGKTPFVAPTNDVILRNIVKRQLSFPTDSPATMFELHARNLISGLLTKDPTKRLGSRRGAAEVKVHPFFKGLNFALIRTLTPPEIPSSVVKKPMKSARFGGRSSNKPAAFDYF >fgenesh1_pm.C_scaffold_4001242 pep chromosome:v.1.0:4:16449635:16461279:-1 gene:fgenesh1_pm.C_scaffold_4001242 transcript:fgenesh1_pm.C_scaffold_4001242 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIR9 protein [Source:UniProtKB/TrEMBL;Acc:D7LH34] MEEVAAKVEEETVETTVEDNVTTANESRSPENVSAVSSRAAATKKKPVISSNLIKPTASSSLRVSGTTPVTIRRNSTGGVTEKLIGASKVLPKQMSTTASRTDPVRRSLPELRKSSVSSLSAKTVSKPSLSESKKSVPVSPGSRSSTKSPGFSLSKPESSARPSMSASVSSKRAPSSSVDSSGSRTSSGRLHSTLNSGRIVSKVSSPSAGSSPSVSSGIRSKSLSTPLDRSSNFSGRKKTATPESRDSRLIILPKVEVKAGDDMRLDLRGHRIRSLTSGGLHLSPNLEFVYLRDNLLSTLEGIEILNRVKVLDLSFNDFKGPGFEPLENCKMLQQLYLAGNQITSLASLPQLPNLEFLSVAQNKLKSLAMASQPRLQVLAASKNKITTLKDFPYLPVLEHLRVEENPLLKISHLEAASILLVGPTLKKFNDRDLSREEVAIAKRYPPQTALCLREGWEFCKSDLAAESTFRFLVERWKDTLPSGYLIKEAHVDRPSEEAPCQCHFGLVQELPTATDQELALKFQWSVADRSLSNFVPIIDATKEVYWPKREDIGKMLKIECTPVMGETAYPSIFAISSPVQRGKGIPKVVSLELNGELVEGNIIKGEAVVAWCGGTPGKCITSWLRRKWNRSPVVIDGAEDEEYMLSLDDVGSSMVFMYTPVTEGGARGEPQYKYTEFVKAAPPSVSNVRITGDAVEGCVLKGVGDYFGGKEGPSKFEWLRKNKETGELSLISAGTSEYTLTQEDVGTHVTFVYIPANFEGLEGEPVSTSSSVIKPAPPKVTDAKIVGDLRENSKVTVTGTVTGGTEGSSRVQWFKSSCSILEGGNSLEELSTSKVAKSFRIPLGAVGYYIVAKYTPMTPDGECGEPVYVLSERAVETLPPSLNFLSITGDNIEGGILTASYGYIGGHEGKSIYKWHYHKAENDLPGTLIPEASGLLQFTITKEAIGKFISFQCMPMRDDGIVGEPRNCMSQERVRPGNPSTVSLQVVGAPVEGTTLSVEKEYWGGEEGASVFRWFRTNSDGTPCEIKGATTSSYLLSVDDIGFFISVSYEPVRNDMARGPTVISELSGPIVAGHPNCQSLEFLGSMIEGQRLSFVASYTGGIKGNCYLEWVRVKSNGVKEILSNDEFLDLSLDDVGESIELIYTPVREDGIEGSPRSIRSDGIAPANPMGLELKIPDCLEKQEVVPHKTYFGGHEGVGEYIWYRTKVKLHGSALTEISYAGEEVVACSRTLKYTPSLEDVGAYLVLYWIPTRVDGRSGKPVVSITNSLVAPADPDVSNVRVKKLFSDAYSGEGEYFGGHEGASIFSWYRDNDGNIDLIAGANSKTYEVTESDYNCRILFGYTPVRSDSVVGELKMSEPTEIILPEVPKVDMLAFTGKAVQGDVLTAVQVIPKTEIQQLVWSKYKGETQYQWFRSPESGDKISYEALSSETSCSYRVRFEDIGRCLKCECVVHDVFGRSSELAYAETDPILPGFPRIEKLEIEGQGFHTNLYSVRGNYFGGKEGKSKIQWLRSMVGSPDLISISGETGRMYEANVDDVGYRLVVVYTPIREDGVQGHPVSASTEPVAVEPDLYKEVKQKLETGLVKFEVLCDKDPYPKKIVGEGNLERRMLEMNRKRLKVVKPGSKTFFATTEVRGSYGPPFHVETFRNDQRRLRIVVDSENEVDIVVHSRHLRDVIVLVIRGFAQRFNSTSLNSLLKIDT >fgenesh1_pm.C_scaffold_4001267 pep chromosome:v.1.0:4:16610445:16613293:1 gene:fgenesh1_pm.C_scaffold_4001267 transcript:fgenesh1_pm.C_scaffold_4001267 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNKGTVLALILALTMVVVNGVSPRFFVEKSSLTVLNSWKMGAKHDAAIANFGIPNFGGFMIGSVVYAGQGAYGCDSFNKNFNPKSPYPTILLIDRGVCNFAVKIWNGQQSGAAAVLLADNIVEPLITMDSPEESQDEDPDFIDKIKVPSALILRSFGDSLKNALKRGEEVILKIDWSESIPNPDERVEYELWANTNDKCGVHCHKQLDFIKNFKGTAQILEKGGYTLFRPHYIAWFCPKELLLSKQCKTQCLNQGRYCAPDPKQEFEDGYNGKDVVYENLRQLCVHRVAKEKNTSWVWWDYVTDFNIRCSMKEKKYSRECAETVVESLGLSLEKIKKCIGDPDADVENEVLKAEQAFQLGQENRGVVTIFPTLMINNAQYRGKLERTAVLKAICSGFKERTEPSICLNADIETNECLIENGGCWQDKRSNVTACKDTFRGRVCECPVFNGVQYKGDGYTSCKPYGPARCSSNNGGCWSETRTGLTFSACSNSETSGCRCPLGFRGDGLKCEDIDECKEKSACQCDDCKCKNNWGGYECKCSNNSVYMKEEDTCIERRSGSRSRWLFTIVVLIAIAGISLGAYIFYKYHLQSYMDSEIVSIMSQYIPLDSQIINQDS >fgenesh1_pm.C_scaffold_4001280 pep chromosome:v.1.0:4:16665350:16666237:1 gene:fgenesh1_pm.C_scaffold_4001280 transcript:fgenesh1_pm.C_scaffold_4001280 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLKRTISIVRTGGGLSYRSLSSGNHVDTLRMVKALEAINVPSTQAEALTGAFTGGLESVMEKVKADNAKSEANLLFQTFEYQSTRVAEEVRKLRADIDKMRADIATMQAEKRLVGFNELKDEFEETKYEVLKYAIGTLVAVSAAEAVMIYLMIS >fgenesh1_pm.C_scaffold_4001294 pep chromosome:v.1.0:4:16723823:16725025:1 gene:fgenesh1_pm.C_scaffold_4001294 transcript:fgenesh1_pm.C_scaffold_4001294 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRRPEKEILPVDVVTEEILTKLPVKSLMRFKCVSKLWSSVISSRYFSNRLRTVPSRPRPRLYMCLRDLTDYQNSVMLSLAPDTTSSCFVVDHDLTIPRLGGYFLQYLPGFICYNFLGKPRIYNPTTRLLVTLPAAMKSNNMMPIPTPPPGESIKIVAYYFGHDHVIDQYKVLCSTGVRLKNFQEIRSEHRVFVRKAGGRGSWKKAAPTSPADFLPHIPLKGGVCIDGVIYYMGWTDSYNSVLYGGKATIIDQTNLREKGRLDLWAVEDAGNNKWSRRTLVLQPSQLHLVNNNIIFNVKGTTQNGKVFLIPQDLLSPFHILWYDLQSNDMRKIEINGVPDHWFSKDKLKVKMMLMDQSESLMYF >fgenesh1_pm.C_scaffold_4001312 pep chromosome:v.1.0:4:16812827:16814253:-1 gene:fgenesh1_pm.C_scaffold_4001312 transcript:fgenesh1_pm.C_scaffold_4001312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7LHW1] MSHRRDSGSDAVHVRIANDPPPDNWFPNSGNNPVWATEDDYNRVCSVNPDDTESPSKKTRSSSSEIGKSFFKTKLCFKFRSGTCPYAANSCHFAHSSEELRRPPPPPNRQETAESGRLESFAISLGPRGYGDASNVAQTLKPPNWKTRICNKWETTGYCPFGTNCHFAHGASELHRFGGGLVEEEGKIGTSTTPDTMQTGQGDTVTSLVSPGVPSQRISSAVTQKPNGVRTQRKWKGPDKISRVYGDWIDDIE >fgenesh1_pm.C_scaffold_4001337 pep chromosome:v.1.0:4:16918472:16918842:-1 gene:fgenesh1_pm.C_scaffold_4001337 transcript:fgenesh1_pm.C_scaffold_4001337 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSASASIVSDQLSANSPSPSPSPPRIKTAADSDDSHLLLPRYDPNSHPGKKNKSRFRSAENAIHFIPIVLILCAVILWLFSNPVAMIKQ >fgenesh1_pm.C_scaffold_4001347 pep chromosome:v.1.0:4:16964841:16967198:-1 gene:fgenesh1_pm.C_scaffold_4001347 transcript:fgenesh1_pm.C_scaffold_4001347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7LIK5] MMITKKLYQCMCLLCMVIAILDVVSSDDAKEQKMKDKIISLPGQPPNLNFSQFSGYVTVDSAAGRNLFYWLTEAPRPSGTKPLVLWLNGGPGCSSIAYGASEEVGPFRVNPDGKTLRLNLYAWNKVANVLFLDSPAGVGFSYTNTSSDELTVGDKRAGEDAYRFLVRWMERFPEYKERPFYIAGESYAGHYIPELAQLIVNRNKGAQNPTINLKGILMGNPLVDDFNDNKGMRDYWWNHGLISDESYKDLTKWCLNDSILFPKPNCNAALNQALSEFGDIDPYNINSPACTTHSSSNEWMQAWRYRGNDECVVGYTRKYMNDLDVHKSFHARLNRSTPWTPCSRVIRKNWKDSPKSMLPVIKNLLQAHLRIWIFSGDSDAVLPLSGTRHSINAMKLKSSKRWYPWYHSHGLVGGWSQVYEDGLLTYATVRAAGHEVPLSQPRLALFLFTHFLANHSLPSSSS >fgenesh1_pm.C_scaffold_4001389 pep chromosome:v.1.0:4:17186571:17188153:-1 gene:fgenesh1_pm.C_scaffold_4001389 transcript:fgenesh1_pm.C_scaffold_4001389 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRETKLMSEREVESSMAQARHNGGGGGENHPFTSLGRQSSIYSLTLDEFQHALCENGKNFGSMNMDEFLVSIWNAEENNNNQQAAAAAAASHSVPANHNGFNNNNNGGEGGVFGGGSRGNEEANNKRGIAKEPSLPRQGSLTLPAPLCRKTVDEVWSEIHRGGGTGDGGESNGRSSSSNGQNNAQNGGETAARQPTFGEMTLEDFLVKAGVVREHPTNPKPNPNPNQNQNPSSVIPAAAQQQLYGVFQGTGDPTFPGQAMGVGDPSGYGKRTGGGGYQQAPPVQAGVCYGGGGGFGAGGQQMGMVGPLSPVSSDGLGHGQVDNIGGQYGVDLGGLRGRKRVVDGPVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENAQLKHALAELERKRKQQYFESLKTRAQPKLPKSNGRLRTLMRNPSCPL >fgenesh1_pm.C_scaffold_4001402 pep chromosome:v.1.0:4:17231274:17235750:-1 gene:fgenesh1_pm.C_scaffold_4001402 transcript:fgenesh1_pm.C_scaffold_4001402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LIR5] MHHWVQASSSDFSGTPPQARSGHTAVNVGKSMVVVFGGLVDKKFLSDIIVYDIENKLWFEPECTGSESEGQVGPTPRAFHVAITIDCHMFIFGGRSGGKRLGDFWVLDTDIWQWSELTSFGDLPTPRDFAAAAAIGNQKIVLCGGWDGKKWLSDVYVMDTMSLEWMELSVSGSLPPPRCGHTATMVEKRLLVFGGRGGGGPIMGDLWALKGLIDEERETPGWTQLKLPGQAPSSRCGHTVTSGGHYLLLFGGHGTGGWLSRYDVYYNDTIILDRVTAQWKRLPMSNEPPPPRAYHTMTGIGARHLLIGGFDGKLTFGDLWWLVPEDDPIAKRSSVPQLINPPEINESERELDKERGQEGCSIVELQKKMGISVSSGLRLQIPEESEDQEFVELGTRLIEGDVVDDRASMIQMAAQALRQHWKESTPRTLQLKDLGSLLRDYQRSFLPENSRDTQSSLTSADFGLPGTKTFTFYHMKSSSELRINDIPKLLEEYKTLLI >fgenesh1_pm.C_scaffold_4001405 pep chromosome:v.1.0:4:17254758:17260147:1 gene:fgenesh1_pm.C_scaffold_4001405 transcript:fgenesh1_pm.C_scaffold_4001405 gene_biotype:protein_coding transcript_biotype:protein_coding description:SBE2.1 [Source:UniProtKB/TrEMBL;Acc:D7LIR8] MVYTISGVRFPHLPSIKKNSSLPSFNEDLRRTNAVSFSLRKDSRSSGKLPLHLRSFVAIRYNLGKLSLTILSFVVLGDVDTQKTEESQETDKTCALSTSGSKSYKEDIAEMSQSLDQEVGQRKIPPPGDGKKIYDIDPMLNSHRTHLDYRYGQYRKLREEIDKYEGGLEAFSRGYEIFGFTRSATGITYREWAPGAKAASLIGDFNNWNAKADVMARNDFGVWEIFLPNNADGSSAIPHGSRVKIRMDTPSGIKDSIPAWIKYSVQPPGEIPYNGVYYDPAVEDKYVFKHPRPRRPTSLRIYESHVGMSSTEPKINTYANFRDDVLPRIKKLGYNAVQIMAIQEHAYYASFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGLVVLMDIVHSHASKNTLDGLDMFDGTDGQYFHSGSRGYHWMWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVEFTGNYNEYFGYSTDVDAVVYLMLVNDLIHGLYPEAIVVGEDVSGMPAFCIPVEDGGVGFDYRLHMAVADKWIELLKKRDEDWQVGDITFTLTNRRWGEKCVVYAESHDQALVGDKTIAFWLMDKDMYDFMAVDRQATPRVDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRTDQHLPDGRVIPGNNGSYDKCRRRFDLGDAEYLRYHGLQEFDRAMQNLEETYGFMTSEHQYISRKDEGDKVIVFERGNLLFVFNFHWTNSYSDYRIGCSVPGKYKIVLDSDNSLFGGFNRLDDSAEFFTSDGRHDDRPCSFMVYAPCRTAVVYAAVDDDDDRSSLVPISLLPEDV >fgenesh1_pm.C_scaffold_4001421 pep chromosome:v.1.0:4:17381364:17383510:1 gene:fgenesh1_pm.C_scaffold_4001421 transcript:fgenesh1_pm.C_scaffold_4001421 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPLLPLLLLLLLHLSISIAQNDTNALTLFRLQTDTHGNLAGNWTGSDACSSSWHGVSCSPSSHRVTELSLPSLSLRGPLTSLSSLDHLRLLDLHDNRLNGTVSPLTNCTNLRLVYLAGNDLSGEIPKEISFLKRMIRLDLSDNNIRGVIPREILGFTRILTIRLQNNELTGRIPDFSQMKSLLELNVSFNELHGNVSDGVVKKFGDLSFSGNEGLCGSDPLPVCSLTNNPESSNTDQIVPSNPTSIPHSPVTVGEPEIHGHRGVKPGIIAAVISGCVAVIVLVSFGFAFCCGRLDRSGGGGSKPGSVESGFVGGEGKRRSSYGEGGESDATSATDRSRLVFFERRKQFELEDLLKASAEMLGKGSLGTVYKAVLDDGSTTVAVKRLKDANPCPRKEFEQYMEIIGRIKHQSVVKLRAYYYAKEEKLLVYEYLPNGSLHSLLHGNRGPGRIPLDWTTRISLMLGAARGLAKIHDEYSISKIPHGNIKSSNVLLDRNGVALIADFGLSLLLNPVHAIARLGGYRAPEQSEIKRLSQKADVYSFGVLLLEVLTGKAPSIFPSPSRPRSAASVAVEEEEEAVVDLPKWVRSVVKEEWTAEVFDPELLRYKNIEEEMVAMLHIGLACVVPQPEKRPTMAEVVKMVEEIRVEQSPVGEDFDESRNSMSPSLATTEDG >fgenesh1_pm.C_scaffold_4001431 pep chromosome:v.1.0:4:17432788:17434874:1 gene:fgenesh1_pm.C_scaffold_4001431 transcript:fgenesh1_pm.C_scaffold_4001431 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSMIAPVMEEENKKYQKGVKHLCDNGLTKVPTKYIWPEPDRPIFTISDKLIKPKQNLKLPLIDFAELLGPNRPHVLRTIAEACKTYGFFQVGSQNPKLHEVISRFLAKQVSYGNGQVVNHGMEGDVSKNMIEVCKRFFELPYEERSKYMSSDMSAPVRYGTSFNQIKDNVFCWRDFLKLYAHPLPEYLPHWPSSPSDFRSLAATYAKETKEMFEMMVRAILESLEIDGGDEAAEELEEGSQVVVVNCYPPCPEPELTLGMPPHSDYGFLTLLLQDDVEGLQILYRDEWVTVDPIPGSFVVNVGDHLEIFSNGRYKSVLHRVLVNSTKPRISVASLHSFPLTSVVKPSPKLVDEHNPPQYMDTDFTTFLRYVSSREPKWKNFLESRKITI >fgenesh1_pm.C_scaffold_4001441 pep chromosome:v.1.0:4:17492724:17495096:-1 gene:fgenesh1_pm.C_scaffold_4001441 transcript:fgenesh1_pm.C_scaffold_4001441 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRDIASLNPLLVLDCCYAVSRGGRRRFGNMAGVFQVMAFSVGVLEKGESDAVFMGKLAKIATAEIISSKELNADWQRQASMLLVSIGTHFPDLMMEEIFLHLSGPATAAPAMVQILADFASSDALQFTPRLKGVLSRVSPILGNVRDLHRPIFANAFKCWSQAAWLYITDLTSDSPLDSDVMSNLNSVFELLLRVWAISRDHKVSP >fgenesh1_pm.C_scaffold_4001451 pep chromosome:v.1.0:4:17555431:17556861:-1 gene:fgenesh1_pm.C_scaffold_4001451 transcript:fgenesh1_pm.C_scaffold_4001451 gene_biotype:protein_coding transcript_biotype:protein_coding MAETCSLLFSTFSSHLTISPFRHSHPSAARFSSLLSRVRPSRFAVKASHYEIEWVPEERITLFTTDGLVQIGGNMSHKSKSPEKHQKFQESAYMDPAQGLCLGALFDIAATNGLDMGRRLCIFGFCRSVEMLSDVVEDTVLEHGGEIVATETESTSGLQEKLTMTVAVPYLWGVPPAAERLHLAVRTGGGIVDKVYWQWHFL >fgenesh1_pm.C_scaffold_4001457 pep chromosome:v.1.0:4:17628040:17629730:1 gene:fgenesh1_pm.C_scaffold_4001457 transcript:fgenesh1_pm.C_scaffold_4001457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LJA0] MERAKSRKPHIMMIPYPLQGHVIPFVHLAIKLASHGFTITFVNTDSIHHHISTAHHGDAGDIFSSARSSGKLDIRYTTVTDGFPLDFDRSLNHDQFFEGILHVFSAHVDDLIATLSHRDDPPVTCLIADTFYVWSSMICDKHNLVNVSFWTEPALVLNLYYHMDLLISNGHFKSLDNRKDVIDYVPGVKAIDPKDLMSYLQVSDKDVDTNTVVYRILFKAFKDVKRADFVLCNTVQELEPESLSALQAKQPVYAIGPVFSTESVVPTSLWAESDCTEWLKGRPTGSVLYVSFGSYAHVGKKEIVEIAHGLLLSGISFIWVLRPDIVGSDEPDFLPVGFVDQAQDRGLVVQWCCQMAVISNPAVGGFFTHCGWNSILESVWCGLPLLCYPLLTDQFTNRKLVVDDWCIGIDLCEKKTITRDQVSENVRRLMMNGETSCELRNNVEKVKRHLKDAVTAVGSSETNFNTFIGEVRDRIENKLCNVNGLEI >fgenesh1_pm.C_scaffold_4001477 pep chromosome:v.1.0:4:17760398:17761107:1 gene:fgenesh1_pm.C_scaffold_4001477 transcript:fgenesh1_pm.C_scaffold_4001477 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPNPLSLSVLDPAFESWLRDSSYLELLDHRTSAAAATASSSASVSSSAAATSAASDDEHPLPVVSSLLFCLDASLSLLFLQLTLSPSSPMIFPEIRHRGPPVSLAIVTLIRFQRLLSKRELEFMSKSNDSLGITLRYSSSSSLVHYIKCIGEICSARWKFDRHPSMRKFSMQSPTALLIS >fgenesh1_pm.C_scaffold_4001488 pep chromosome:v.1.0:4:17806712:17808631:1 gene:fgenesh1_pm.C_scaffold_4001488 transcript:fgenesh1_pm.C_scaffold_4001488 gene_biotype:protein_coding transcript_biotype:protein_coding MVLERDQDIPSSHIPVVDLSTNSGEFEEWGVFQVVNRGIPTKVIQRLQNWRRMPWRNQMIHPPSSVNYKYWPKNPLDYREANEEYTKHGLGLWGEAIKEVMGGEYMMIVNYYPPCPHSDLIEGLDPHTDVSGLTLILPNEIPGLQVFKDDDWFDLENIPSAVIVIIGDQIQRLSNGRTRMSWPVLVRPTNDMVVGPFPEIIGHDNPPKFKHIAYKDYIYRKVRHLSLADLDS >fgenesh1_pm.C_scaffold_4001500 pep chromosome:v.1.0:4:17856646:17860627:1 gene:fgenesh1_pm.C_scaffold_4001500 transcript:fgenesh1_pm.C_scaffold_4001500 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRSAVNKAVEVGGKNNITRTVRNYADSVVLTAGNAVSEGAKLIQDRIGSRNVKSFSLAVKRLEEVSVSSRGGERVQLLRRWLVALKEIERMSYSCFDNNNHKTDDHNQPYSEEAKDSPKNFSTVYYVDPGLPGEPMTFRDVFLHSEALEGMVLSMILEAPNEEEVQLLLELFGLCLSGEKEVHEAVIQNVQDLAMVFSKYKDEVLAKREELLQYVQGAIGGLKISADLARIDIEAHSLMEKLDKTKVKVLEHASNEDASKTAASTEALREILEQVRTFSKLEALLLRKKSLRNGDSLQRHSEKVDKLKVLSESLLNSTSKAEKRIVDHSRSQKEEALSYRVSKTTEVGQLEKDVAAELKKLEILKDDLEAELKRVNTSITSARARLRNAQEEREQFDNASNEILMHLKSKEEELTRSITSCRVEADVVNKWIKFLEDTWILQSKFSQQKENQVSGEMERYSDHFIDLIVQLLSFYKEQLDPYIPKIRGVVESLEPSKGLEAEKITDNKDTKPFDSRKQLEKEYLDLEAKFVTTLSVVDAMKKPFYSQTEGISRKDDKRVKELFEVLDKTKQEFEAIERPLLDIESPSRTSSSSRSPSLKMTHETPLTDTVLKLSGNDDSPDSKKGSSEKEEDPAKKQLELELDVDGEEFLADEINDWEFDALDETLTSKTSS >fgenesh1_pm.C_scaffold_4001519 pep chromosome:v.1.0:4:18029442:18030918:1 gene:fgenesh1_pm.C_scaffold_4001519 transcript:fgenesh1_pm.C_scaffold_4001519 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLCYIALDYEQELETAKTSSAVEKNYELPDGQVITIGSERFRCPEVLYQPSMIGMENAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >fgenesh1_pm.C_scaffold_4001520 pep chromosome:v.1.0:4:18031480:18032586:-1 gene:fgenesh1_pm.C_scaffold_4001520 transcript:fgenesh1_pm.C_scaffold_4001520 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWSGEEDALLRAYVRQFGPREWHLVSERMNKPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVIRLQEKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREEKESNKRVEPIDESKYDRILESFAEKLVKERSNVVPAAAAAAATVVMANSNGGFLHSEQQVQPPNPVIPPWLATSNNGNNVVARPPSVTLTLSPSTVAAAAPQPPIPWLQQQQPERAESGPGGLVLGSMMPSCSGSSESVFLSELVECCRELEEGHRAWADHKKEAAWRLRRLELQLESEKTCRQREKMEEIEAKMKALREEQKSAMEKIEGEYREQLVGLRRDAEAKDQKLADQWTSKHIRLTKFLEQHMGCRLDRP >fgenesh1_pm.C_scaffold_4001521 pep chromosome:v.1.0:4:18044468:18045160:-1 gene:fgenesh1_pm.C_scaffold_4001521 transcript:fgenesh1_pm.C_scaffold_4001521 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L36 [Source:UniProtKB/TrEMBL;Acc:D7LJV2] MTTPAVKTGLFVGLNKGHVVTRRELAPRPNSRKGKTSKRTIFIRNVIKEVAGLAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKRKREEMSGVLRKIRSGGGGAAEKKK >fgenesh1_pm.C_scaffold_4001522 pep chromosome:v.1.0:4:18050885:18052364:1 gene:fgenesh1_pm.C_scaffold_4001522 transcript:fgenesh1_pm.C_scaffold_4001522 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLCYIALDYEQELETAKTSSAVEKNYELPDGQVITIGSERFRCPENAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >fgenesh1_pm.C_scaffold_4001529 pep chromosome:v.1.0:4:18138492:18140458:1 gene:fgenesh1_pm.C_scaffold_4001529 transcript:fgenesh1_pm.C_scaffold_4001529 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPEWNQVFAFSHCKQGRHSVGHCRFGLSESPDIIPSNCTVAPQWIQLYNSRNQRVEAEILLARFSGYQGDEQWNRDASYKGADALPDIRSQLYFTPKLTYLRVNVTQASNLVPKDPFARDPQYYVRVSLGNQTLTTRTSPGRNPMWNQDLMFVAVAPFVEHDLIISVEDRVNSSSFDVVGTGSITCQHYDRRSDDREVTSMGLDLVTCNPQVISRIYMTVCLDEGFSVQHESAFYTSDFRAADSKLWTPKIGVLELGILRASGLMSNAYCVAKYGDKWVRTKKTDGNFNWNEVYRWDVYDPYTVVTLAVFDDRDSMPLGKVRIRLSSLSTGRVYTHSYPLLVIQPNGVKKMGEIDLAVRFTCSSWLKLLRTYSQPLLPKMHYILPLPGSESLRRQAAEIVSMCLARTEPPLKKEVVDYILNLDSHSWSVRRSKVNHSRIVDTLAWSYNFLDEVCTWKSTPKTLFAAFCIFMFIVFPDMVLSFLPLLVFFTGLFFYFYSSDLPPHFDATLSQATRELDPEEFDTYPSSQLRDVVSERYDNLRRLAGEVQTVLGHVSSLVERLFLLFSWRDRRATALFLLFCLVTGAFLIPLWWFTSRYLPLFKVFQLLGTLYVMRPPRFRQRGLSWFFSFFWRLPSRHDDLF >fgenesh1_pm.C_scaffold_4001534 pep chromosome:v.1.0:4:18233098:18237352:1 gene:fgenesh1_pm.C_scaffold_4001534 transcript:fgenesh1_pm.C_scaffold_4001534 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKQSSAAILVVENTTPVLHTSRFTYRVGPFPVSRTQSFQSLTMANLQKLSTSSSGKLNTALAVSCSAHEASPISEKNENKHVHGVSEIIVGVLGGGQLGRMLCQAASQMAIKVMILDPSENCSASALSYGHMVDSFDDSATVEEFAKRCGVLTVEIEHVDVETLEKLEKQGVDCQPKASTIRIIQDKYMQKVHFSQHGIPLPEFVEIGDIEGARKAGELFGYPLMIKSKRLAYDGRGNAVANNQDELSSAVTALGGFSRGLYVEKWARFVKELAVIVARGRDGSMVCYPVVETIHRDNICHIVKAPADVPWKINKLATDVAQKAVGSLEGAGVFAVELFLTEDGQILLNEVAPRPHNSGHQTIECSYTSQFEQHLRAVVGLPLGDPSMRTPASIMYNILGEDDVIDGEAGFKLAHRLIARALCIPGASVHWYDKPEMRKQRKMGHITLVGQSMGVLEQRLHCILSEQSQQVHETPRVGIIMGSDTDLPVMKDAAKILDLFGVTHEVRIVSAHRTPEMMFTYAASAHSRGVQVIIAGAGGAAHLPGMVASLTPLPVIGVPVRATRLDGVDSLLSIVQMPRGVPVATVAINNATNAALLAVRMLGISDTDLVSRMRQYQEDMRDENLDKDEKLETKGWESYLNQ >fgenesh1_pm.C_scaffold_4001535 pep chromosome:v.1.0:4:18237879:18241338:-1 gene:fgenesh1_pm.C_scaffold_4001535 transcript:fgenesh1_pm.C_scaffold_4001535 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSGFLTDWPWTPLGSFKYIVLAPLVIDSIYSYATMRDHEKLMIVALAVWRIVHSQIWISFSRYQTAKGTKRIVNKSIEFDQVDREQTWDDQIIFNSLILYLTKLYVLGTNTISFWRTDGLILVALLHAGPVEFIYYWFHRALHHHFLYSRYHSHHHSSIVTEPITSVVHPFAEHIGYTLILGLPLTMTLLCGTVSAVSILIYATYIDFMNNMGHCNFELIPRSLFSILPPLKFLCYTPSFHSLHHTQFRTNYSLFMPMYDYIYGTTDECSDSLYETSLEKEEDKPDAIHLTHLTSLDSIYHLRLGLASLSLHPLSSQCYLFIMMKPFTHIISFILTSFSFPTFVFERNRFRDLTLHSHLLPKFSSHYMSQQQKECINKMIEAAILEAEKKGVKVMSLGLLNQGEELNGYGEMYVRKHPKLKIRIVDGSSLAAEVVLHSIPVGTNEVLFRGQITKVARAIVFSLCQNAIKVMVLRKEEHSMFAEFLDDNCKENLVLTTNYYPMIWLVGDGLSKKEQKMAKERTLFLPFSQFPPTKLRKDCFYHTTPAMIIPHAAHNIDSCENWLGRRVMSAWRVGGIVHALEGWEEHECGFESIINPPRVWDAALRNGFQPLLLPSLET >fgenesh1_pm.C_scaffold_4001547 pep chromosome:v.1.0:4:18320950:18321392:1 gene:fgenesh1_pm.C_scaffold_4001547 transcript:fgenesh1_pm.C_scaffold_4001547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7LKQ2] MKCCRFVVVALMSLLITLASVEAAGECGRMPINQAAASLSPCLPATKNPRGKVPPVCCAKVGALIRTNPRCLCAVMLSPLAKKAGINPGIAIGVPKRCNIRNRPAGKRCGRYIVP >fgenesh1_pm.C_scaffold_4001549 pep chromosome:v.1.0:4:18329842:18331670:-1 gene:fgenesh1_pm.C_scaffold_4001549 transcript:fgenesh1_pm.C_scaffold_4001549 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDARVGVAVDGAQNALNTATTVHSSVVPQIKPQAKLGTLQNLLAGGIAGAFSKTCTAPLARLTILFQLQGMQSEGAVLSRPSLWHEASRIINEEGYRAFWKGNLVTVVHRIPYTAVNFYAYEKYNQFFNSNPVVQSFIGNTSGNPIVHFVSGGLAGITAATATYPLDLVRTRLAAQRNAMYYQGIEHTFRTICREEGLLGLYKGLGATLLGVGPSLAINFAAYESMKSFWHSHRPNDSNLVVTLVSGGLAGAVSSTDDKLFDSRKFVATYPLDLVRRRMQVEGAGGRARVYNTGLFGTFKHIFKSEGIRGLYRGILPEYYKVVPGVGIVFMTYEALRRLLTSLPN >fgenesh1_pm.C_scaffold_4001552 pep chromosome:v.1.0:4:18340914:18342569:-1 gene:fgenesh1_pm.C_scaffold_4001552 transcript:fgenesh1_pm.C_scaffold_4001552 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSKNVVVVEAWNTTTTTQTQTPHRPSLLHPTFYWGYNCQVLFSGWPGPDRGMYALALIFVFFLAFLAEWLARCSDASSTKPGAHKLAKVAFRTAMYAVKSGFSYLVILAVVSFNGGVFLAAIFGHALGFAVFPPMAATMIGFNLSCFKSTSFFSPDLNSLHSKLSLLSLKPSPQPRKSTVIRMGGGPRTFPGGVSKWQWKRMQAKKQKQLLKARLCRERQIYEMRKRAELKAAVAELERPWEPIQKPPNLFSVCADEQVKVLADRFQKPGGFDLWTDRDGPQLFESVDDLPSARFFPKGVVHSVKPYGRLSSTSVDDGDSDGKESEVKDEEIGKKLRGRRVRKRVGIRGIERGEEGGKRRIENRVNGGRLRNGKSSQVYEMTLQNDGRYEIGS >fgenesh1_pm.C_scaffold_4001563 pep chromosome:v.1.0:4:18384552:18388814:-1 gene:fgenesh1_pm.C_scaffold_4001563 transcript:fgenesh1_pm.C_scaffold_4001563 gene_biotype:protein_coding transcript_biotype:protein_coding MANVSVAAEWQLLYDRYYRKPEIYQMKWKHVDLSRNKVACASFGGPIAVIRDDSKIVQLYAESALRKLRIFNSAGILLSETVWKHPGGRLIGMSWSDDQTLICIVQDGTIYRYNIHAELIEPNMSMGKECFEQNVVECVFWGNGVVCLTEGGQLVCIFDFKTMKPSKLPDVPGLAEDDLLQPICLTVREPKYTMSGIAEVLVAVGDDIFVVEEDMVQTIRFDEPSVEDSEMQNDDSGNLIGVVQKMIVSPNGKFLTLFTHDGRIVVVDMETKQIAIDYCCESALPPQQMAWCGMDSVLLYWDEDLMMVGPVGDPVHYFYDEPVILIPECDGVRILSNTSLEFLQRVPDSTESIFKIGSTSPAALLYDALDHFDRRSAKADENLRLIRSSLSEAVESCIDAAGHEFDVTRQRALLRAASYGQAFCSNFQRERVQETCRTLRVLNAVRDPAIGIPLSIQQYKLLTPMVLISRLINAHCHLLALRISEYLDMNKEVVIMHWACAKITASPSTPDSHLLEILLDKLQLCKGISYAAVATHADNCGRRKLAAMLVEHEPRSTKQVPLLLSIGEEDTALVKATESGDTDLVYLVIFHIWQKRPPLEFFAMIQGRVLARDLFVAYARCHKHEFLKDFFLSTGQIHEVAFLLWKESWDMGKNPMASKGSPLHGPRIKLIEKARNLFSQTKEHTFESKAAEEHAKLLKIQHELEASTKQAIFVDSSINDTIRTCIVLGNNRAAIKVKTEFKVSDKRWYWLKAFALATIKDWAALEKFSKEKRPPMGFRPFVEACIDADEKAEALKYIPKLSDLVERGEAYARIGMAKEAADAAAQANDGGELLERFRKTFVQNAIFDTLKMPFQGAS >fgenesh1_pm.C_scaffold_4001571 pep chromosome:v.1.0:4:18431072:18432849:-1 gene:fgenesh1_pm.C_scaffold_4001571 transcript:fgenesh1_pm.C_scaffold_4001571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7LKT1] MSVLSWAFTVAWFTLWMLMLYLTNEMKLKFTDAAAIVNVFAGVSAIGHLSMQFLVDAFIGHFWMLCLSTLAFSFGFGFLAISASPILSGNGQKGLFYVALTVISVGIFGRSISLGVFTEDQLEEGRNKGNPAKLVSFVIGNVGNLVFLLLAAIAMPQISPWFVRFAIPSGCEVLAMMIFLSGTCSYRRVKPGGSPLTAIFRVFVASASKMSCAYPNNSSQLYEKAECDQDIKPHTSSLRCLDRAAMILQTEPLEQQRKNRWKLCRVTEVEQTKSVIRTVPLFATSLISGIVFSLGNTFFLEQANHMDSKFGSWNLPLPLLLLFSEAARLGSRELCVMAAKRHAIDLPESPKQTKTPYGIPVSIILSIFCCSIAAHVESRRLKVVRTQGLLYETVPMSVFWLLPQYILLGSITGIYENSFALYLEETVPEELSQYMVLFNVGVCGVGIMSNIALVSVVGRVSGGKWFQDTINKSRLDNYYWVITVFCMFNLLLYFSVTYRYTMCNKKDGATQENDRRTIGFV >fgenesh1_pm.C_scaffold_4001574 pep chromosome:v.1.0:4:18469152:18470726:-1 gene:fgenesh1_pm.C_scaffold_4001574 transcript:fgenesh1_pm.C_scaffold_4001574 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNMEDKEEFDEGEIEYTSYAGEHHLPLIMSLVDQELSEPYSIFTYRYFVYLWPQLCFLAFHKGKCVGTVVCKMGDHRQTFRGYIAMLVVIKPYRGRGIASELVTRSIKVMMESGCEEVTLEAEVSNKGALALYGRLGFIRAKRLYHYYLNGMDAFRLKLLFPKPRVPQIPSQDQTQQEYETFPRPRVP >fgenesh1_pm.C_scaffold_4001580 pep chromosome:v.1.0:4:18496104:18502255:1 gene:fgenesh1_pm.C_scaffold_4001580 transcript:fgenesh1_pm.C_scaffold_4001580 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPSPSSPDLESSPPVLVTMNSTSSSSDNNVEGDDDDAANHEIFNWREFSSCWRVSESNLYCFSLALLVWFFASFILIENLYGPKNVWLGPNSSILVEPSSIFVKSIKVVKVLDYSKPGLQLYGFYRSPALDCFVNWSESRVLPVWPYYLNRRTLLNITYTVKPQGSAVQLVVDEGHQGDPQSFLNDPAYRYKVWSWNLIEGNGMIELEIRKSSSYYLAVANLKRKDVEVELNIDVRAVLYDTKQSFYNCNFSNGECAFNVMPLVGNSVVVTSTAASQGVSIEDEWYIRFSYQPREIAYVIGTGVVICFMLVAIQFCNRLQCYGGEGYLTENDSARTRLLENKDDDGSSMGSCNDSFANDDADLEEFMENDGEASNRSRRLCAICFDAPRDCFFLPCGHSVSCYECGTTMQEDDGSCPICRRKMKKVKRIFTVQSPLQHTQPSPMDTFLSLSLDIFLDHHLTPKKISMTSSIVAKLRGGCLACLALLALCVYGSLVASVFLMFGFYGAANVWLGPHSSVLVEPSSIFVKSIKVKELDFTKPGLQLYGFDDESTPSTYFVNWTESRVLSVSQNSYKAGSAARLLMSEGMPGMFRSSSLEDLAFCDRAWSWNLIQGSGMIELEINKSKGYYLTVANSKRKDVEVELDIDVRAVLYDTNQSSYNCTFSNGECAFKTNEVSPVENYAVVTSPALGQGVTIEDEWYIELSYQPRWIAYVSFTGILFSFMLVAIHFCNKLQCSGGEGLLTENDSARTHLLADKDDDDSCNDGEASNRSRCVCAICFDAPRDCFIFPCGHCVSCYQCGTKIKRAKGRCPICRKKMMLVKRIYTV >fgenesh1_pm.C_scaffold_4001583 pep chromosome:v.1.0:4:18525445:18527624:-1 gene:fgenesh1_pm.C_scaffold_4001583 transcript:fgenesh1_pm.C_scaffold_4001583 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGNVDSILQEFDCVDLYKQPAFQHPLLKNHKIQENFSFNESHNIKNKYKENDLSCPKGTVPILKQRNGTESVHLNTIEYPGQHFATIETVLDGSIYRGAEAMISVHNVSVRNNQYSKSQIWLENGPRGELNSIQVGWAVHPRLYGDTLTRFTIYWTADGYKKTGCYNTKCPGFVIINPFPVIGSFINKSSIYGGKETFVIIPQVLQDGFSGNWALKIFDEIIGYWPKELFTHLNKGASLVRFGGNTFTSPNGISPPMGNGHFPVFDFHKSSYYIHVKVKNSNYQLVDIEDRRARQYADSYQCYRLSYWGYSKPNGVAFSFGGPGGNCDI >fgenesh1_pm.C_scaffold_4001594 pep chromosome:v.1.0:4:18644412:18646396:1 gene:fgenesh1_pm.C_scaffold_4001594 transcript:fgenesh1_pm.C_scaffold_4001594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LLJ3] MGFSPLFSCSAMGALILGCLLLQASNSNAQLRPDFYFRTCPSVFRIIGDTIVDELRTDPRIAASILRLHFHDCFVRGCDASILLDNSTSFRTEKDAAPNKNSVRGFNVIDRMKSAIERACPRTVSCADMLTIASQISVLLSGGPWWPVPLGRRDSVEAFFNLANTALPSPFSTLTQLKAAFADVGLNRASDLVALSGGHTFGRAQCQFVTPRLYNFNGTNRPDPSLNPTYLVELRQLCPQNGNGTVLVNFDPVTPNAFDRQYYTNLRNGKGLIQSDQVLFSTPGADTTTLVNQYSSNTFAFFGAFVDAMIRMGNLRPLTGTQGEIRQNCRVVNPRISVVENDDGVVSSI >fgenesh1_pm.C_scaffold_4001604 pep chromosome:v.1.0:4:18694222:18695018:-1 gene:fgenesh1_pm.C_scaffold_4001604 transcript:fgenesh1_pm.C_scaffold_4001604 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 4B1 [Source:UniProtKB/Swiss-Prot;Acc:D7LBN4] MTNPDKQKPVEVTDVETAAEKTSEPTPASGTSTITQRWKREDLIKKASPITRGICLLFSLLAFLIMVSNKHGYGRNFNEYEEYRYVLAISIISTLYTAWQTFAHFSKREFFDRRTSTLVDFSGDQIVAYLLISAASSAIPLTNRFREGQDNIFTDSAASAISMAIFAFVALALSALFSGYKLSTHSFI >fgenesh1_pm.C_scaffold_4001614 pep chromosome:v.1.0:4:18736523:18737480:1 gene:fgenesh1_pm.C_scaffold_4001614 transcript:fgenesh1_pm.C_scaffold_4001614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase class B family protein [Source:UniProtKB/TrEMBL;Acc:D7LBP6] MEKCRRETLLLIFLTISSVASGTSPWMPMDGNYGTSYCLSWRLAVETNNVRAWRIVPLQCLHYVEVYMLAGQYDRDVQLTVDQIRVYLNEITLPGDGMDAWILDVDDTCFSNVFYYRLKRYGCDPYDPTGFRTWAMKGESPAIQPVLELFNKLIETGFKVFLITGRDEETLRQATVENLHNQGFTGYERLIMRTADNKRQSATTYKTRVRKEMMEEGYRIWGNVGDQWSDLQGEYTGDRTFKIPNPMYFVP >fgenesh1_pm.C_scaffold_4001631 pep chromosome:v.1.0:4:18821189:18824959:1 gene:fgenesh1_pm.C_scaffold_4001631 transcript:fgenesh1_pm.C_scaffold_4001631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LCB7] MLQPRVFETLPLQKWLTSSSLHQPMASFNHSWLSSPLTETPTCFLYPSQQPKSLKLSLFRTRSNSSSDRSSEVELAVDPVKLALKKAEAYKKSKSEGKEREQNAGDEELPLSVKAAMQKANDYKKRKGLGTDAVEEAKPSSTEQSFVRSSNKVVEDNDVKKKELKVSSIDFMGLGFADKKSTRGLPAGLVPIVDYLPEGDLPEVEFIVGDKTRFAEKVKEVEQEGDGNSDVYKPKVSTWGVFPRPSNISKTFGGGRTLRPGDSVETAEERIVREEQTKKLLIAYKESIGLNIDPKLKLECEKAIEEGNSLMDSGKLKEALPYYEKVMEKIVFKSELHGLAALQWSICQDSLRKTDKARRMYEKLISHPNSGVSKKARQFMFSFQAMEMLKVKGSSFIDENTGYQDYFEAFVKDKTDYQAQEEKEGEAMGINETLLYVILLASPILMVFIVAAQRGNMH >fgenesh1_pm.C_scaffold_4001645 pep chromosome:v.1.0:4:18865338:18867339:-1 gene:fgenesh1_pm.C_scaffold_4001645 transcript:fgenesh1_pm.C_scaffold_4001645 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGETFTEYLHGEEEWFLEKCRHVEYKKLKKVLKKCKTCNSTRSNDEHIVSSATSLSDSCQCQSCSWCDEMFFAELMKEVSDIAGCFRSRVRHLLHLHVATGMQRYMMSLRRCFTDEKQALLQEGQFLIQYITMNAIAIRKILKKYDKVHSSVNGKKFKLKMRAERIELLHSPWLIELGAFYINTGLDKVGNFKNSFGRVSCDYLNDDQPMMQLMLPNSIELEFDLTCAICLETVFNPYALKCGHIFCKACACSAASVMIFQGIKAAPKNSKCPICREVGVYAEAVHMIELHLLLKIRSKEYWKERMMGERSEMVKQSKMFWNEQTKHMIGY >fgenesh1_pm.C_scaffold_4001648 pep chromosome:v.1.0:4:18900156:18902861:1 gene:fgenesh1_pm.C_scaffold_4001648 transcript:fgenesh1_pm.C_scaffold_4001648 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDVGSVNGKEKGSGKRWILLIGAIAAVLLAVVVAVFLNTQNSSISEFTGKICNCRQAEQHKYIGIVEDCCCDYETVNRLNTEVLNPLLQDLVKTPFYRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPESEFPEIFKKPLSQYNPVCQEGKPQATVDRTLDTRAFRGWTVTDNPWTSDDETDNDDMTYVNLLLNPERYTGYIGPSARRIWEAIYSENCPKHTSEESCREEKILYKLVSGLHSSISVHIASDYLLDEATNLWGQNLTLLYDRVLRYPDRVQNLYFTFLFVLRAVTKAEAYLGEAEYETGNVIEDLKTKSLVKQVVSDPKTKAACPVPFDEAKLWKGQRGPELKQQIQKQFRNISAIMDCVGCEKCRLWGKLQILGLGTALKILFTVNGEDNLLHSLELQRNEVIALMNLLNRLSESVKYVHDMSPAAERIAGGRASSGNSIWQRIVTSLTQTKVKLDAETYFLWSPLVEMQLYLGREARSSMRSGACGIKHSDSGRVYFVVK >fgenesh1_pm.C_scaffold_4001650 pep chromosome:v.1.0:4:18913108:18915418:1 gene:fgenesh1_pm.C_scaffold_4001650 transcript:fgenesh1_pm.C_scaffold_4001650 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEDQETSAVEPLSPISQLFLSPTLYCVIIFTLGFKTRCNPSSIVEGIKNTWIKFPRFSCKLEMKKNGKAVWVPTTYEVEDHVIVPDIDYSNIENPDQFIEDYTSNIANTPMDMSKPLWEFHVLNIKTSNAESLCIGKLHHSLGDGMSLMSLLLAISRKTSDPEALPTTAATRKHVDSNDKDWWLVGRFWFMIRIIFTTVIELFKYCLTLCFMRDTKTPLKGKPGDRVQSRKVIHRIISLDDVKLVKNTMEMKVNDVLLGMTQAGLSRYLSRKYDEDTVAEKKKIRLRGTVIVNLRETTKIEDLANMMAKGSKSRWGNFVGIVIFPLWIRSEDDPLEYVQRAKSTMDIKKLSMESLICYGVIKFAMKMFGEKVVETLVKRIFDHTTLAFSNVMGPDEETSFFGHPMSYVAASALGGSQALIIHFVSYVNKIVINLAVDTTVIPDPYLLCDDLVESLNIIKLAALEKGVHKMEV >fgenesh1_pm.C_scaffold_4001657 pep chromosome:v.1.0:4:18961325:18962665:-1 gene:fgenesh1_pm.C_scaffold_4001657 transcript:fgenesh1_pm.C_scaffold_4001657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:D7LCE7] MFLKVHELAFLFGLLGNIVSFGVFLSPVPTFYGIYKKKSSKGFQSIPYICALASATLLLYYGIMKTHAYLIISINTFGCFIEISYLFLYIIYAPREAKISTLKLIVICNIGGLGLLILLVNLLVPKQHRVSTVGWVCAAYSLAVFASPLSVMRKVIKTKSVEYMPFLLSLSLTLNAVMWFFYGLLIKDKFIAMPNILGFLFGVAQMILYMMYQGSTKTDLPTENQLANKTDVNEVPIVAVELPDVRSDNVEGSARPMK >fgenesh1_pm.C_scaffold_4001668 pep chromosome:v.1.0:4:19025512:19025709:-1 gene:fgenesh1_pm.C_scaffold_4001668 transcript:fgenesh1_pm.C_scaffold_4001668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LCF9] MKALQVLCKTETFCLESHSEKWIARSDLRLWQCDGMYKIQMQQQLHGFVLTNFYRKAIWTAAKRR >fgenesh1_pm.C_scaffold_4001672 pep chromosome:v.1.0:4:19044639:19048060:-1 gene:fgenesh1_pm.C_scaffold_4001672 transcript:fgenesh1_pm.C_scaffold_4001672 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEEVDNVELSDKALVLAIREVLASVQCVSLAQMETPINIMYIKKKSDGHAVAQKEVPEDVDVVAQRETLLKTLSRSVACLDEVHHNRLLVLILGTRIWDHIPNVNVMYALLDLIVSLATTSGKYLISCLNMLVSNLRSQPRILNNKEQEVLSRVHAALHKISYLVPLAPSILLPILAQGMPSVDDKDHLVVPYVENLLKLENSSIIGKVVGNGILRVVMERLRDLDLKIGWDDILQDDSTIAMFDMELDLAVEGTTNEGEEFSVRSLNQNGNVVSKSLDDLMVLSFHHLEFCQDAGRLDEVFENLFVSFENLILNTQKTKISQFLMFYACSLDPKSCGVKFASKLLDIFLSSNKHQVTRTNAVAYLASYLARAKFLPTSFVASMLKRLVDECADYCRTCNDDVRPEEHQDFYSGCQAILYVLCFRMRSILAVPRFLSQLTPLESVFISQTRPVCLPSVVSEFLKQAKAGGMIIVSEAFIFDDLPESELSRAFGGFFPVISRNFIYWSEVKKKTYDEDEFLPEVIVYGDTESEEDCDDDDVVLDNEVNKMSTTPTHTFMRKTERLLKMPSRISPSTSSRESL >fgenesh1_pm.C_scaffold_4001673 pep chromosome:v.1.0:4:19050812:19052652:-1 gene:fgenesh1_pm.C_scaffold_4001673 transcript:fgenesh1_pm.C_scaffold_4001673 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLGILSTHNEDEDCKVPTSIVHQEEDSINPSNDNLSLITFGILKRKEEVEILPPPPPPPESVLSGAGNEWLNLSSMQRNKQEMLVMKKKSRRGPRSRSSHYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAYTAARAYDRAAIRFRGLQADINFIVDDYKQDIEKMKNLSKEEFVQSLRRASASLARGGSRYKNTHMRNDHIHLFQNRGWNAAAAKCNEIRKMEGDIKLGPHNKGNEHNDLELSLGISSSSKKRILEPADYYMGLNRSVMSLHGKPLPVYLPITEMKPLKTVVASSGFPFIAMNNSSSLTTCFDP >fgenesh1_pm.C_scaffold_4001687 pep chromosome:v.1.0:4:19140603:19147351:-1 gene:fgenesh1_pm.C_scaffold_4001687 transcript:fgenesh1_pm.C_scaffold_4001687 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYLMVTLMLALAFYIGLNFIATPPPTSLNTLFDEYSREPGELDAGMEEGEDRPIDPISDIDITRINDLMFNSNLRAAADENSIADLRSQVHQLPCCIRFDGPADVSHYFKPQSSVRLMWRAVEFVDVEIDGVRTEEAHFRGRKLQGATISLPSGYSGFVLGQASNLNANGKRKASMPAEENQCWEVKAKFDNLTYWNHDSLPSKDDTFLRSFHWFSIAEAIKLGSVNLSRSFSDKDYFVSILGVSKEMESKEIRSSSVIERSMRFNGLFQPLHQDKLRVVKKQNQQIQKESISGFTVIPTQELCRVYLRKPNLLASKGIIDALAKPPHRNYGRRYHQNLKSKLRHHHTTSVDTLKHKFRKKENSLRYFTPLGFGSGSCLGDRKKVKDLSFEEKPKEMKKLFDGTTFGQKKERVVREVKSSLMVSTTRDRVAADVKFKDLSSSGFISKDKNDESLDCSNASLECLTNSEEAHQPSPVSVLEPMFYEDILDDSEDILDDSEDLPYPDFQSLEKQLETLKSESESYSDGSGMEVSSDEESVLDSETKESKDSTPIGFLDTQESRDSSYIDDILAEVVLGDKNWVPGKRDSLDLVIIPKIFEKLEKKYYAETSWKRSERKILFDRVNSGLVEILESFSATPTWKKPVFRRLGTTLSTCGLKQELWKVLARQEKRAKKESLAKVPVIDIDEWLELEADDESVVCELESLIVDELLAEVVSFM >fgenesh1_pm.C_scaffold_4001690 pep chromosome:v.1.0:4:19153252:19154142:1 gene:fgenesh1_pm.C_scaffold_4001690 transcript:fgenesh1_pm.C_scaffold_4001690 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L23A [Source:UniProtKB/TrEMBL;Acc:D7LD50] MSPAKVDTTKKVDPKAKALKAAKAVKSGQTFKKKDKKIRTKVTFHRPKTLTKPRDGKYPRISATPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >fgenesh1_pm.C_scaffold_4001705 pep chromosome:v.1.0:4:19222580:19224466:-1 gene:fgenesh1_pm.C_scaffold_4001705 transcript:fgenesh1_pm.C_scaffold_4001705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7LDQ0] MAKTTRFFTLPFLLIVAGVIFQLSAVTSAIGINYGTLGNLQPPQQVVDFIKKNTTFASVKIYDANPDILRSFAGSEINVTIMVPNGNIPAMVNVANARQWVAANVLPFHQQIKFKYLCVGNEILSSNDNNLISNLVPAMQSLNEALKASNLTYIKVTTPHAFTISFNHNTPSESRFTDDQKDFFTKILEFHRQAKSPFMINAYTFFTMDPNNVSYAIFGPSNSVTDTNTRQTYNNMFDAVMDATYSAMNALGYGDLDIAVGETGWPTACDAPWCSPQNAENYNLNIIKRAEVIGTPLMPNRHIDIFIFALFNEDGKPGPTRERNWGIFKPDFSPMYDVGVLKGGISPLPPINNGKWCVAKQEATYMQLQANIDWVCSHGIDCTPISLGGICFDNNNMTTRSSFIMNAYYQSKGCSDDACNFSGSGMVTTTNPSTSTCPIPIGEGGGGTESKSGNWCMAKQEATETQLQANIDWVCSQGIDCKPISPGGLCFDNNNIKTRSTFIMNAYYQSKGYSREACDFKGSGIVTNTNPSTSTCVVRGGGAPASVTP >fgenesh1_pm.C_scaffold_4001716 pep chromosome:v.1.0:4:19287581:19290002:1 gene:fgenesh1_pm.C_scaffold_4001716 transcript:fgenesh1_pm.C_scaffold_4001716 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNPFLDPTLQEILQVIKPTRADWDTRIRVIDQLRDVLQTVECLRGATVQPFGSFVSNLFTRWGDLDLSVDLFSGSSILFTGKKQKQTLLRHLLRALRASGLWYKLQFVIHARVPILKVVSGHQRIACDISIDNLDGLLKSRFLFWISEIDGRFRDLVLLVKEWAKAHNINDSKNGTFNSYSLSLLVIFHLQTCVPAILPPLRVIYPKSAVDDLTGVRKTAEESIAQVTAANIARFKLNTAKSVNRSSLSELLVSFYAKFSDINLKAQELGVCPFTGRWENISSNTTWLPKTYSLFVEDPFEQPVNAARSVSRRNLDRIAQVFQITSRRLVSDCNRNSIIGVLTGQHIQESLHRTISLHSQQHANSMHNVRNLHGQARHQNQQMQQNWSQSYNTQNPPYWPPPTQSRPQQNWPQNNLRNLQGQPPVQGRTWPVITQTQTQQKSQYKNGNRSLKNTSAGSSQNQGHIGKPSGHMNGVNSARPASKIPSQGGQMWRPRHEQ >fgenesh1_pm.C_scaffold_4001729 pep chromosome:v.1.0:4:19361565:19365663:-1 gene:fgenesh1_pm.C_scaffold_4001729 transcript:fgenesh1_pm.C_scaffold_4001729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7LDT1] MDSSSTSSSPSSSYGVAHVSHISNPCIFGEVGSSSSSTYRDKKWKLMKWVSKLFKTGSNGVSGVHTNHHPQFQEDENMVFPLPPSSLDDRSRGARDKEELDRSISLSLADNTKRPHGYGWSMDNNRDFPRPFHGGLNPSSFIPPYEPSYQCRRRQSRICGGCDRDIGSGNYLGCMGTFFHPECFRCHSCGYAITEHEFSLSGTKPYHKLCFKELTHPKCEVCHHFIPTNDAGLIEYRCHPFWNQKYCPSHEYDKTARCCSCERLESWDVRYYMLEDGRSLCLECMETAIIDTGECQPLYHAIRDYYEGMYMKLDQQIPMLLVQREALNDAIVGEKNGYHHMPETRGLCLSEEQTVTSVLRRPRLGAHRLVGMRTQPQRLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLNGFRNLNPEVEEGICQVLSYMWLESEVLSDPSSRNLPSTSSAATPSSSSLSNKKGGKSNVEKKLGEFFKHQIAHDASPAYGGGFRAANAAACKYGLRRTLDHIRLTGTFPL >fgenesh1_pm.C_scaffold_4001733 pep chromosome:v.1.0:4:19380554:19381588:1 gene:fgenesh1_pm.C_scaffold_4001733 transcript:fgenesh1_pm.C_scaffold_4001733 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKKSVSGSSSSSSSSLDHIFGPRVSSSSSSSTTGLFQSIFPLPSTVMQANSASRNEAAKYQTTNFGIPNERGERSKNKERKSYQSEETQPPCNLSSSIYYGGQDNYSSSTTNPDAYKKDGEEGDSESASRGNWWEGSFNY >fgenesh1_pm.C_scaffold_4001762 pep chromosome:v.1.0:4:19548846:19549544:1 gene:fgenesh1_pm.C_scaffold_4001762 transcript:fgenesh1_pm.C_scaffold_4001762 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTPDEAEVSLALLLLGQYPVFFEKEEEEEEESVSEDELEVKISNQTYEKKGKKKRKVAEQLTGSDVKDNQCRLMLGKEQVKKMMVDVLGKAEKLGIHGQKVSVYGPKGEIHEMVFKMWKKDTPILMSVGWKNFVKKYKLEKHVDFLTIWMFRHKETRKICFAIDSTRIPVKGMLSPKILNAAFKNPS >fgenesh1_pm.C_scaffold_4001774 pep chromosome:v.1.0:4:19639769:19641374:1 gene:fgenesh1_pm.C_scaffold_4001774 transcript:fgenesh1_pm.C_scaffold_4001774 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LEH6] MNPNLHIPMFLTFLITLLLFQLLNLTNASPSPPITAVYAFGDSTVDSGNNNYIPTLFQSNHPPYGKSFPAKLSTGRFSDGKLATDFIVSSLGLKPTLPAYLNPSVKPVDLLTGVSFASAGGGLDDRTAKSSLTLTMDKQWSYFEEALGKMKSLVGDSETNRVIKNAVIVISAGTNDMIFNVYDHVLGSLISVSDYQDSLLTKVEVFVQRLYDAGARRITIAGLPPIGCLPVQVTLASVKTPRIFHHRICTENQNDDSRVYNKKLQKLIFRLSQRLRGSKVLYLDIYSPLIDMIKHPRKYGLEETLRGCCGTGLLEAGPLCQPLSRTCDDVSKYLFFDSVHPSQKAYSVIASFALQNLFPLL >fgenesh1_pm.C_scaffold_4001775 pep chromosome:v.1.0:4:19643635:19645311:-1 gene:fgenesh1_pm.C_scaffold_4001775 transcript:fgenesh1_pm.C_scaffold_4001775 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSQNSENSKTCLSNNFKATTKNEEDKDEEDDEEGEEDEEDRSGDQSPSSNSYVEESGSHHHHDQNKKNGGSVRPYNRSKTPRLRWTPELHICFLQAVERLGGPDRATPKLVLQLMNVKGLSIAHVKSHLQMYRSKKTDDPNQGDQGFSFEHGAGYTYNLSQLPMLQSFDQRPSSSLGYGGGSWTDHRRQIYRSPWRGLTTRDSTRTRQTLFSSQPGERFHGVSNSILDDKNKTISFRINSHEGVHENNGVAGAVPRIHRSFLEGMKTFNKSWGQSLSSNPKSSTPTIPQDHIATTLNSYQWENARVAEGSENILKRKRLLLSDDCNKSDQDLDLSLSLKVPRTHDNLGVCLLEDEEKEHDDHQDSKGLSLSLSSSGLSKLGRAIRKEDQSDHKKRKISVLASPLDLTL >fgenesh1_pm.C_scaffold_4001776 pep chromosome:v.1.0:4:19662745:19664826:-1 gene:fgenesh1_pm.C_scaffold_4001776 transcript:fgenesh1_pm.C_scaffold_4001776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LF20] MFKMRSFVAFVILLSYLGSCFSLKDKGVDFLKSEDSLKKDLSSDEDSTNLKAFGFHRKTLVRYPNKDLPSRKDRRNRVVAATVTPSSSPKPSPKHVSTRSSTVPEPQMRSSTQNVSPSPSVSLVNPPTPRNAHSSSVAVPLVIGCVGGAFFLLLVVTGVYCFTSKAGKTVNPWRTGLSGQLQKVFVTGIPVLKRSEIEAACEDFSNVIGSCPIGKLFKGTLSSGVEIAVASFATTSAKDWKDNTEIHFRKKIEMLSKINHKNFANLLGYCEEKEPFTRILIFEYAPNGSLFEHLHFKESEHLDWGMRLRIAMGLAYCLDHMHQLNPPIAHTNLVSSSLQLTEDYAVKVSDFSFGPSETETSINDTVIDTNISVLNPEENVYSFGLLLFEMISGKLPESVNKPDSVDSALVDFLRGETLAKMVDPTLESYDDKIENIGEVIKSCLRTDPKERPTMREVTGWLREITGISPNDATPKLSPLWWAELEVLSTA >fgenesh1_pm.C_scaffold_4001817 pep chromosome:v.1.0:4:19862087:19864279:1 gene:fgenesh1_pm.C_scaffold_4001817 transcript:fgenesh1_pm.C_scaffold_4001817 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 55 [Source:UniProtKB/TrEMBL;Acc:D7LFT8] MEEVMSMIFHGMKLVKTLESSLPEKPPESLSTSLDEIAKTFSDANERLKMLLEIRNSETALNQLKPVVASVANQMLMQMEPSLMQEYWLRCGGSTSSHEGTEAMFQTQLMAVDGGGGRNLTAAAEGSGASGSSTPRQRRRKDEGEQQTVLVAALRTGNTDLPPDDNHTWRKYGQKEILGSRFPRAYYRCTHQKLYNCPAKKQVQRLNDDPFTFRVTYRGSHTCHIYSTAPTASSAAPTAPIPSVTTGHSVDYGLAVVDMADAMFGSGGVGTNMDFMFSINDPSQHHQRFRQLDDGDENK >fgenesh1_pm.C_scaffold_4001818 pep chromosome:v.1.0:4:19866070:19867810:-1 gene:fgenesh1_pm.C_scaffold_4001818 transcript:fgenesh1_pm.C_scaffold_4001818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFT9] MDSNSNNTKSIKRKVVDQLVEGYEFATQLQLLLSHQHSKQYHIDQTRLVSGSGSVSGVPDPVDELMSKILGSFHKTISVLDSFDPVTVSVPISVEGSWNASCGDDSATPASCNGGDSGDSKKKRLGVGKGKRGCYTRKKRSHTRIVEARSSEDRYAWRKYGQKEILNTTFPRSYFRCTHKPTQGCKATKQVQKKEQDPEMFQITYIGYHTCTATDQTHTKTEPFDQEIIMDLEKTLAASTVQNHVNAMVQEQENNISSVIAVGAGMVKEEENNNGDQSKDYCEGSSTGEDLSLVWQETMMFDDHQNNYYCGEISTTSHQFGFIDNDQLSSLFDSYCA >fgenesh1_pm.C_scaffold_4001824 pep chromosome:v.1.0:4:19892763:19893517:-1 gene:fgenesh1_pm.C_scaffold_4001824 transcript:fgenesh1_pm.C_scaffold_4001824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7LFU6] MGNHCTRIPCCRKVCSCICCCSGRNKTQAWSQKGSCFIKGKVHPVSRMEKWEEKITEANRQGKILVVNFKASWCLPCKKIAPIYQELASTYTSMIFVTIDVEELAEFSHEWNVDATPTVVFLKDGRQMDKLVGGDAAELQKKTAAVAALLLRQS >fgenesh1_pm.C_scaffold_4001826 pep chromosome:v.1.0:4:19902576:19904378:1 gene:fgenesh1_pm.C_scaffold_4001826 transcript:fgenesh1_pm.C_scaffold_4001826 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVSNPQGILQQGGYSGHDSRSNITSGSESESINNDEAELVSVCWNQDSSCFAAGTSHGFRIYNCQPFKETFRRELKNGGFKIVEMLFRSNILALVGGGANSQYPSNKVLIWDDHQSRCISEFAFRSEIRAVKLRRDRIVVVLEHKIYVYNFMDLRLLHQIETQANPRGLCCLSHHSNTSVLACPGLHRGEIRVEHFGLNMVQIINAHDSSIACMTLTLDGLLLATASTKGTLIRIFNTMDGTRLQEVRRGVDRADIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVVGEDSYSTENAALLTQQTYSTSLQGLVSPTTGTNPGSSLSFMRGVLPKYFSSEWSYAQFHVSEVTQFFAAFGSNNTVAIIGMDGSFYRCSFDPVNGGEMGQLEYFHFLKTDNRRR >fgenesh1_pm.C_scaffold_4001834 pep chromosome:v.1.0:4:19947141:19949370:-1 gene:fgenesh1_pm.C_scaffold_4001834 transcript:fgenesh1_pm.C_scaffold_4001834 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7LFV6] MGLRMSESAKPYFAMICLQFGYAGMNLVTKAVLDRGMSHYVLVAYRNAFATAAIAPFALLSERKVRSKMTFPIFMRIFLLALLGPVIDQNLYYIGLKLTSPTFSTAVSNIVPALTIILATLFRMEKVEMRKVRCLVKVMGTLVTVVGSILMIFYKGPFINFFRSHLTPASSPPTADYLKAAVFLLIASLSWASFFVLQAATLKKYSAHLSLSTMVCFMGTLQSLALAFVMEHNPSALNIGFDMNLLASAYAGIMSSSIAYYVQGLMMQRKGPVFVTAFNPLVVVIVSIMSFFVLGKGIYLGGYVNNNRVIGVVVLTVGVYAVLWGKHVDDDGAETSYEDNVLEAVKCCSGNNSLRIMPKIDEADEEDVETGKVTAEKESSVPEVVVVVFCSGNVDNVSRPN >fgenesh1_pm.C_scaffold_4001835 pep chromosome:v.1.0:4:19953770:19955407:-1 gene:fgenesh1_pm.C_scaffold_4001835 transcript:fgenesh1_pm.C_scaffold_4001835 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRRDLKQAAESEQEERQSIAHVVSLRDSDQLQIMDVKGCYNSLALFPAQFPIIRERRKRRKYMCEIPSDLLMEIVMRLPAKSMVRFKCISKQWSSFISCRYFCNRLFTTVTRQQQPQVYMCLVDQGGQRVLLSLSSTSPDNTCYVVDQDLSITGMGGVFLNAVRGLLCFSVRKKACIYNPTTRQLLTLPAIKSDIIAQQGERKDIRYYIGHDPVNDQYKLVCTIVTSSAFFKNVKSEHWVFVLEAGGSWRKVVPLENYHPHAPATVGQFINGSVVHYMAWLDMETCAVVSFDMTSEELTTILVPQEAGDVALPAARMKAGLIEYDGIIAVFDHTHLKEKCLVDLWVLKDAGKKEWSKKRLFLKPCQKHLVHDDIELIVKGTTQDGKVILAPMKMHSQFYILCYDMVSNDLRKVKIKGVLDIWFGKECYFDLKYSKDESEAVIYLET >fgenesh1_pm.C_scaffold_4001845 pep chromosome:v.1.0:4:19999966:20006180:1 gene:fgenesh1_pm.C_scaffold_4001845 transcript:fgenesh1_pm.C_scaffold_4001845 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLVAIIFTALLIVSSVHCHMTTISTPGYGIKQEDRRCLQGPEGTKLCSSGSVRDCLKFCIIRGYSMREQSRISISGVCDSDLIVLGVTKNATKKEVKDAFRRLAIKYHPDKHAQSPDHVRRNATVRFKLVSEAYEVLNDDLKRASYNAGSDSDCFRRTSGSYSNPYGNRGGRAYGYGYGYSTRNRQASSFSSGFDSTFRYLTTRAFLLNLALAGGLYLAFSAIDTSGETLWKMRNSGLITLSIYFQKSFEEAMESIEKSKSHKDEG >fgenesh1_pm.C_scaffold_4001859 pep chromosome:v.1.0:4:20051632:20053952:-1 gene:fgenesh1_pm.C_scaffold_4001859 transcript:fgenesh1_pm.C_scaffold_4001859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated /TS-N domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LGJ7] MNGGPSGFNNAPVTKAFVIATALFTVFFGIRGGSSKLGLSYQDIFEKFRIWKLIISAFAFSSTTELFSGLYLLYFFRVFERQIGSNKYSVFILFSGFVSLILETILLSLTKDPTANLLTSGPYALVFASFVPFFLDIPVTKRFGVLGVHFSDKSFIYLAGVQLLLSSWKRSIFTGICGIIAGSLYRLNIFGIRKAKFPEFMASLFSRFSLPSLSSQSQPPRRTSPNLGRQARAYRAPMPSTTEPSEEAIATLVSMGFDQNAARQALVHARNDINAATNILLEAHSH >fgenesh1_pm.C_scaffold_4001860 pep chromosome:v.1.0:4:20054576:20056112:-1 gene:fgenesh1_pm.C_scaffold_4001860 transcript:fgenesh1_pm.C_scaffold_4001860 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LGJ8] MEKQYLTFLSFCFSNTICGLLIVSWLARSIIRNGLIRTLAWRKERKKKKNQEDKNKMSLLDLPDLTLDCILEKLSPSELCAMTCVCSELRDKCVSDHLWEKHMEKKWGRLMGDAATQEWKSHVATIMRCLRSSSSRKSKPNWSSRFVASLKPFAWLSSNHGCENRGSSSTYLAPIDSVMYWYSNLENGKFWFPAQVYNRENGHVGFMMSCYDAKIRYDFKTDTFQARYSAHGRRAAEEKVTWQRLRPSQDDTKSRDLHVSDCLHGLRPGDHFEIQWRRTKEFPYGWWFGIVGHLQNCDGGENCRCHSDENVVMEFRQFRQESPWRRTVINRKEHRETGDEENGFYGGVKKLGTEEEISTWKRLWPSQALE >fgenesh1_pm.C_scaffold_4001873 pep chromosome:v.1.0:4:20124576:20126225:-1 gene:fgenesh1_pm.C_scaffold_4001873 transcript:fgenesh1_pm.C_scaffold_4001873 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRKVQTWAVVVAVMAVLVVFVGPYIIGPESIEGSKNVLTMARTIPLPVDGPESLDWDPRGEGPYVGVTDGRILKWSGEDLGWVQFAYSSPHRENCSRHKVEPACGRPLGLSFEKKSGDLYFCDGYLGIMKVGPKGGLAEKVVDEAEGQKVMFANQMDIDEEEDAIYFNDSSDTYHFGRDVFYAFLCGEKTGRAIRYDKKTKEAKVIMDRLHFPNGLALSKDGSFVLSCEVPTQLVHRYWAKGPKAGTRDIFAKLPGYADNIRRTETGDFWVALHSKKTPFSRLSMIHPWVGKFFIKTLKMELLLFLFEGGKPHAVAVKLSGKTGEIMEILEDSEGKNMKFISEVQERDGRLWFGSVFLPSVWVLDRQ >fgenesh1_pm.C_scaffold_4001910 pep chromosome:v.1.0:4:20385704:20386800:1 gene:fgenesh1_pm.C_scaffold_4001910 transcript:fgenesh1_pm.C_scaffold_4001910 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-HSFB3 [Source:UniProtKB/TrEMBL;Acc:D7LHA4] MEDAGEHSRCSDNINDEERLSLEFMIGKSTSTAELEPPPPPPFLVKTYKVVDDATTDEVISWNEDGTGFVVWQPAEFSRDLLPTLFKHCNFSSFVRQLNTYGFRKVTTIRWEFSNEMFRKGQRELLSNIRRRKSQQWSHNKSHYQVVSTTTTVKQEDHQRIGIDHHHEDQRSSATSSSFVYTALLDENKCLKNENELLSCELGKTKKKCKQLMELVERYRVDDEDETDENDDDEEDDGLKLFGVKLE >fgenesh1_pm.C_scaffold_4001938 pep chromosome:v.1.0:4:20532643:20537349:-1 gene:fgenesh1_pm.C_scaffold_4001938 transcript:fgenesh1_pm.C_scaffold_4001938 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYKCKTMPGLTHMNNEHYSSSGFWSEDEDGVTYDQLEEFWSELSPKARQELLRIDKQALFEQARKNMCCSRCLGLLLEGFVQIVSTGRAAYEKRFPCNKSGASKDQINGKSVGTRKCTVAYQSPAIHRWGGLTTTRDGCLTLLDCFLNAKTFKGLQTVFESARARERERELLYPDACGGGGRVWLSQGIAGFGKGHGTRETCALHTTRLSCDTLVDFWSALEEQSRQSLLRMKEEDFVERLTYRFDCKKFCRDCRRNVIREFKELKELKRMQREPRCTDWFCVADTAFQYEVDIDSVRADWSQYFTENAGYHQFELAIGTGEGESDIREFKYVGNDRSAQVNGLDLRGLHECYITLRAFKKNGRSSEISVKAHALRGQQCVHSRLVVGDGFVSIKRGECIRMFFEHAEEAEEEEDEVMIDKDGNELDGECLRPQKHAKSPELAREFLLDAATVIFKEQVEKAFRDGTARQNAHSIFVCLSSKLLEQRVHIACKEIVTLEKQNKLLEEEEKEKREEEERKERKRIKEREKKLRRKERLKEKEREKEQKNPKFSDEAILPNMSRKEECSPNLDEENNNTIRCEESGIENGNVDLSSPGSPDDQDEECLDGYASPRAETHSCDSTDREIIDHEDENGCFTHENPRPAHQTARLWKEVQTDHALRWSEKRRFTENASSVSSAEAGYCNDRLEMPSRHFNGSEKNLRVKALKTGGSPDSIRSHEEFQCSDDRTGERYDHHSCSFKPMNGFRENVESNLSATRGMREPKSESDLDVSKLNRANRYNQSGYRREIRSKMNNSRNACKMDPVNARKVLDSVELKHPRNSSNSDVSSLTCSTYKAEEIEDVSPTVKSACTLSLCKATDKLGNGNLNNSTEVDKMEVHITLKNDYLYSKDPMMSRTSTSSNNGNIESSSLSDSEDSSQQSEGRENLVDMPDCHEKMVEKVTEMRMDERDVLRIKNMSNLPADNGESKLSGTPFMVPSQNMENMVPGVNTGSYLSQPQNMMFPQMLNQSIPLPVFQAPSTMGYYHQAPVSWSSAPANGLMQFPHPNHYVYTGPLGYSLNGESPLCVQYGTPLSHSAAPFFNSGAVPVFHPYAETTTMNTVDQAQALEPLEHSFLKEANERKLNEMPPMETPRRGGLQHDSDENFSLFHFGGPVALSTGSKSNPARSKDGILGDFSLQFSGDHVFGDPTGNSKKDKENTVGEEYNLFATSNSLRFSIF >fgenesh1_pm.C_scaffold_4001959 pep chromosome:v.1.0:4:20612427:20613411:1 gene:fgenesh1_pm.C_scaffold_4001959 transcript:fgenesh1_pm.C_scaffold_4001959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Atoep16-3 [Source:UniProtKB/TrEMBL;Acc:D7LI30] MFYLCALGRTVEEIMDPAEMRYLEEEDGPLMKTIKGSITGFGAGTIYGTVLATWKDVPRVERNVALPGLIRTLKMMGTHGLTFAAIGGVYIGVEQLVQNFRSKRDFYNGAIGGFVAGASVLGYRARSIPTAIAAGATLAVTSALIDSGGQTTRVDTGREYYPYTVEKRAEADS >fgenesh1_pm.C_scaffold_4001962 pep chromosome:v.1.0:4:20621051:20622685:-1 gene:fgenesh1_pm.C_scaffold_4001962 transcript:fgenesh1_pm.C_scaffold_4001962 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP712A1 [Source:UniProtKB/TrEMBL;Acc:D7LI34] MDLELNTNLIILITSFVSAFMLYALFKWFLREQGSTDAMKLPQSPPALPFIGHLHLIGKVLPVSFQSLAHKYGPLMEIRLGASKCVVVSSSSVAREIFKEQELNFSSRPEFGSAEYFKYRGSRFVLAQYGDYWRFMKKLCMTKLLAVPQLEKFADIREEEKLKLIESVANCCGEGLPCDLSSQFIKYTNNVICRMAMSTRCSGTDNEAEEIRELVKKSLELAGKISVGDVLGPLKVLDFSGNGKKLEAVMEKYDLLVERIMKEREAEAKKKDGTRKDILDILLDTYRDPTAEMKITRNDMKSFLLDVFMAGTDTSAAAMQWAMGQLINHPQAFNKLREEINTVVGSKRLVKESDVPNLPYLRAVLRETLRLHPSAPLIIRECAEDCQVHGCLVKSKTRVLVNVYAIMRDSELWTDADRFIPERFLESSEEKIGEHQMEFKGQNFRYLPFGSGRRGCPGASLAMNVMHIGVGSLVQRFDWKSVDGQKVDLSQGSGFSAEMARPLVCNPVDHFKTF >fgenesh1_pm.C_scaffold_4001963 pep chromosome:v.1.0:4:20623398:20624541:-1 gene:fgenesh1_pm.C_scaffold_4001963 transcript:fgenesh1_pm.C_scaffold_4001963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polychome, UV-B-insensitive 4 [Source:UniProtKB/TrEMBL;Acc:D7LI35] MPEARDRIERPVDYPAAFLNRRSHGILLDEPATHHNLFGSPVQRVPSEATGLGSVGQGSMMGRGGLVRGNFGIRRTGGGRRGQIQFRSPQGRENMSLGVTRRGRARASNSVLPSWYPRTPLRDVSAVVRAVERRRARMGEGVGRDIETPTPQQLGVLDSLVPLSGAQLEHDYSMVTPGPSVGFKRPWPPSTAKVHQILLDITRENTGEEDALTPQKKLLNSIDKVEKVVMEEIQKMKSTPSAKRAEREKRVRTLMSMR >fgenesh1_pm.C_scaffold_4001977 pep chromosome:v.1.0:4:20698608:20699016:-1 gene:fgenesh1_pm.C_scaffold_4001977 transcript:fgenesh1_pm.C_scaffold_4001977 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSESSFKAEKSDGDCVTTTTKPQQQSSRILSKEEEKVRDSYLFCCENFYTFPEMIKYMKENHGVGQTTVTKVFRELLKGRKAEAYLRESQTRMKTRTTTT >fgenesh1_pm.C_scaffold_4001984 pep chromosome:v.1.0:4:20742760:20749818:-1 gene:fgenesh1_pm.C_scaffold_4001984 transcript:fgenesh1_pm.C_scaffold_4001984 gene_biotype:protein_coding transcript_biotype:protein_coding MENHEQTSFRFEIDNFSEKRDVISPNFISGGCEWFVRVWQIEDHLAVSLSVPNLESLLHGCERRAKYSFIVLNQSGKVLGRTFEAEGLFCFELLDWCHPKVMPMTKLQEDCLENNKLIIEVQLKVVEVVHEGGVTTEKKMFNIEGFDVLYTQVSWVSWIFGEHPDIAVDVRIKNQLLRTAYMNVLLGLIETLDRPPRSLSETELRDAHIELSELTEAGFKVDWLKTKLEEVSLVRKDEISDSSQVQELEEHVKNLKLELDNEKTKSSIASDRVLLLEKEVSDLKIELDKERSAKSPISKVAEACNLFCAEVSDPCFSKTLPLSTLEEEGFLENNKLTIEIYIKVKSVSWIFVKHPDIAVGFRPKNKLVKKAYMNILLGLIETLRKPPLSLSETELRNAHSELTKLMEVSFKLDWLKTKLEEVSLERKKAVSDGSQIGSNKSKSNSSVSSSLAQRNTKTLKKNKQMGTQFRKALTLTVTNFSQKSSPINSPPFPSGGCDWYIKVYPKGSVDDNYLSLFLSPDDPKSLGLNWKRRANFYFVLLNQSGKELHRTPEIGDQVFCDDSLSWGFPQTLPRKKLLDKIFLDNDRFNIEIYIKVIEVVEGYHMFPASFTNKLLRSCLEYPDKSEKETVDVNGFHVLSSQVTSVKQIFEEHPDIAEDFRSKNQVVKTEYMNVLLRVIQTMAKPPQSISETELSNVHSELTELMEVGFKVEWLKTKLEEVSVELKKANADGCRIQQLEEHVKNLELTVSDLKAELDKEKAKSTSDTAKFLSLEDTLSDLKTELGKEKAKNASATDKFLLLKETYCDLKVELDKEKAKSTRAAAKVLSLKETLSDLKVELDQKIENSATSTANVLSWEDDDDLFSHTNCLGIQQKTNAYKRIN >fgenesh1_pm.C_scaffold_4001985 pep chromosome:v.1.0:4:20753471:20760241:-1 gene:fgenesh1_pm.C_scaffold_4001985 transcript:fgenesh1_pm.C_scaffold_4001985 gene_biotype:protein_coding transcript_biotype:protein_coding MWNQKPCFRFEIDNFSEKKDTIASQAFVSGGCEWFIYLYSEGDHMSLFLYVANRTSLGSGWKRSANFYFSVLNQSEKELYRSPVGQEPYLFRVEGPGWGFRKILPLSKFEEKGFLEKDRLIIEVYIKVVEAVDGEGGGVSKKKETVDIINSEDYASQASLKGSVTLMRKIFAEHPEIAEEFKPKNQVFKKEYMNILRNAYSKVSELAEVKMDWVKSKIGEVSLERKKGYHETSEVPLDNKIADEDDDDDDDDDDDDDDDDDDESWAQDLEERLKNLEGMEFDFKMDCLKSKLDEISLERKISYDADRSRFQQLEERVKDLELMENGLRLDSLKSKLEESKLEEVSLEKKKTADADGSQVQELEDRVKNLELMVSDLKVELDNEKAKSSADGFLLNHQKTSCFTFEIDNFSEKKYVIASPIFISGQCQWFVKVYTNGYFNKDHVSVYLHVANPQSLRPGWKRRVNYSFILFNQSGKELKRTPESCDLFCTEVSAWGYPKLLPLSKLKEEGFLENDKLIIKVEVKVVEVVHVGEVTGKEMVDFKGFQVLYTQKEDAGWYRVQQSKEAVKNFEVSLKGKKRDDADGSRLQQLEERLKNLELMELDCLKSKLEEVSLDKKKADADLSRVQQLEERLKNLELMELDCLKSKLEEVSLENKKANADWSRVQQLEERNLELMELDCLKSKLEEVFLERKKSDDDDRSRVQQIEQCFKNLELMVLDLKVELDKKKDKSCDDGFLLVDEFA >fgenesh1_pm.C_scaffold_4002002 pep chromosome:v.1.0:4:20871266:20872516:-1 gene:fgenesh1_pm.C_scaffold_4002002 transcript:fgenesh1_pm.C_scaffold_4002002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LIV0] MAGTSSPGKCSMWLDLNREETVEKNNEEESSVEDEEQVTSSNNVRQYVRSNMPRLRWTPDLHLSFVRAVQRLGGPDRATPKLVLEMMNLKGLSIAHVKSHLQMYRSKKLEPSSRHGFGAFMSGQRSYLIDMIDSRCIPYGDLRHAYNSKTVPSRVLNQDAVITNLGGNFLTRPSSWFSGLCRNDQDSTENKTLPLLEIRKTINEKRVRDEEVSSVKRLKSMSGGGIQLPEFGNCRQKPTDDINTMLSLSLFSTSSGDRNIAPSDIVER >fgenesh1_pm.C_scaffold_4002018 pep chromosome:v.1.0:4:20937231:20938067:-1 gene:fgenesh1_pm.C_scaffold_4002018 transcript:fgenesh1_pm.C_scaffold_4002018 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:D7LJD2] MGVIIVIAKRFDALIGPGVMLLYPLYASFRAIESPTMLDDQQWLTYWIIYSLITIFELSVWRILAWLPFWPYLKLLFCMWLVLPMFSGAAYIYSNFVRQYVKIGMNVGGGTNYTDEQRRVLQMMSLDARKSVQDYVDRFGWDSVEKAIKAAERETRKH >fgenesh1_pm.C_scaffold_4002019 pep chromosome:v.1.0:4:20941478:20944850:1 gene:fgenesh1_pm.C_scaffold_4002019 transcript:fgenesh1_pm.C_scaffold_4002019 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGASNEVAESSKKIGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVIFSTRGRLYEYANNSVRGTIERYKKACSDAVNPPTVTEANTQYYQQEASKLRRQIRDIQNLNRHILGESLGSLNFKELKNLESRLEKGIGRVRSKKHEMLVAEIEYMQKRVKEIELQNDNMYLRSKITERSGLQQQESSVIHQGTVYESGVTSSHQSEQYNRNYIPVNLLEPNQNASNQDQPPLQLV >fgenesh1_pm.C_scaffold_4002032 pep chromosome:v.1.0:4:21017862:21018616:1 gene:fgenesh1_pm.C_scaffold_4002032 transcript:fgenesh1_pm.C_scaffold_4002032 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFFSTSLHPISNPSSSSRSKQRHHHLLLQLSSPPATRVRSERSEIGVTDNNDSSSSSSSFSGSSSSSSSVRTQLDLLEQLTSTSDGYLSDAGGSRGITIRNQLAGLVGDRDDDFTIPLGKNLKKVSPKFLTISQKRNIKRQSYLNEVSQRNDSVFFATIGAFVILPPLVILAIAILTGYVQLFP >fgenesh1_pm.C_scaffold_4002057 pep chromosome:v.1.0:4:21146190:21152607:-1 gene:fgenesh1_pm.C_scaffold_4002057 transcript:fgenesh1_pm.C_scaffold_4002057 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSVCRSRLVSPSSKAISRAYDSYNYKIRVSSKQRALNVFLVVGDCMLVGLQPVLVYMSKVDGKFNFSPISVNFLTEIAKVIFAIVMLLFQARHQKVGEKPLLSLSTFVQAARNNVLLAVPAGLYAINNYLKFTMQFHIAILFSAVPAIFQSCYCEDAKQSKSFGDCCTTEDDNEAKVFHHTGISINQLRSLPEGATTMAVPIATGAYICTFIFRLTQHIIMQVTVPSLASVYNEYALKSQFDTSIYLQLAVFDTELFARVVELALFVGLVSSVSNVVVLDHDPLLRSDCKTLISLVQNLFLYGYGAIFNFLGILGTVIYKGPGSFDILQGHSRATMFLILNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHILTMNFLLGISIVFISMHQFFSPLSKAKDEQQNGNLELVDAKDGHRAKDSFINMAAGANEESTSEKHDTMNMTSCSSSSSSSFPRLFAAKSQIYKAPLVSFSTPKRRRPRPRRNRKSNGLSYDHSNGNLLSISTSSPAGADDQSLSLTLDVHRISTLANTRFQLFLDSSKDAFSDLQTLIALDDNRRVVVSCKKSTMQFVGGVVILGFVFGFAIRVLVKLGSALKGNFQSNPKFVVRRDRSLGGKEVVVSVDNIRSSSRDSKSSIASDQVSRSNSIPRNLHLKAQDNLPKWWPTSLPSQNFDVVDKEDYQREANRIVRAPWESSSVEICSEDAREFIAGLAENIGLAKIDAARMVSAAVAARTRSWFLQAWAEMEMVARGLEKLMKLEERQSLLKTFVGICSSEDSQRSAAEALGLVHW >fgenesh1_pm.C_scaffold_4002061 pep chromosome:v.1.0:4:21171375:21172639:-1 gene:fgenesh1_pm.C_scaffold_4002061 transcript:fgenesh1_pm.C_scaffold_4002061 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLVTDLLEEILLGLPLKSVFRFKTVSKQWRSILESRRFVDMRLKKVQKKLKILAVGEGRTKSGFEGDEEIEMIYLHCDDATQPSLTFDGLVCIPKPGWINVLNPSTRQLRRFPCSPDHPVSPFCLNRQLRAWPYLTFFPGNWAMGFGRDKVNGCYKVVRMCFDPVEECEVLDLETGEWRKLNPPGPPFHDIDVGRKSVCVNGSIYWLKLLWDLKLLALNLHTLEFRYVSIPHTWFTLDTQIMNLEDRLAIAKTHGGPEWELEILSMMDSEEELWTKTYSITLASIIIGLPWEHSWFTVVTVSKLGNLVLYDKHKRLFKYNTKTNEICCLSSNISVISLCLENLAPLRSDSGHHPDPDPEDYKIRISSCGLCLKHPEAGISTLVVDR >fgenesh1_pm.C_scaffold_4002066 pep chromosome:v.1.0:4:21184297:21187026:1 gene:fgenesh1_pm.C_scaffold_4002066 transcript:fgenesh1_pm.C_scaffold_4002066 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTIPNAPGSSGYLDMFPERRMSYFGNSYILGLTVTAGIGGLLFGYDTGVISGALLYIKDDFEVVKQSSFLQVNYVSCFTSCKLETIVSMALVGAMIGAAAGGWINDYYGRKKATLFADVVFAAGAIVMAAAPDPYVLISGRLLVGLGVGVASVTAPVYIAEASPSEVRGGLVSTNVLMITGGQFLSYLVNSAFTQVPGTWRWMLGVSGVPAVIQFVLMLFMPESPRWLFMKNRKAEAIQVLARTYDISRLEDEIDHLSAAEEEEKQRKRTVGYLDVFRSKELRLAFLAGAGLQAFQQFTGINTVMYYSPTIVQMAGFHSNQLALFLSLIVAAMNAAGTVVGIYFIDHCGRKKLALSSLFGVIISLLILSVSFFKQSDASSDGGLYGWLAVLGLALYIAFFAPGMGPVPWTVNSEIYPQQYRGICGGMSATVNWISNLIVAQTFLTIAEAAGTGMTFLILAGIAFLAVIFVIVFVPETQGLTFSEVEQIWKERAYGDISGWGSSSDSNNMEGLLEQGSQS >fgenesh1_pm.C_scaffold_4002076 pep chromosome:v.1.0:4:21243114:21246749:-1 gene:fgenesh1_pm.C_scaffold_4002076 transcript:fgenesh1_pm.C_scaffold_4002076 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHKAYSGNLTPPSESSSLKSQSGIAMNWTSEEDERLVRLLDSYSSESSSAVTRYSKIAAGFENKTIRDVAVRSRWIHKKKENAKRRKEDHNGLGRARVDNKEIIDMVVASQVFQPSQHGVENQLLKQNEQWFNQIFANSTSLSLKENLDLFSKIRENINSLVKKLRDCLEEMVKFTLDCRVEFDLELTDDFCFGLLCGESILLDGERIESSSHALLHRFGGVPDYPLYKLLALGLLKSIDSGSVCGTFENISLGKEVIWLKEREDEWSKLINQKGSELVNEVHQYPFFYELLKGESSEKVFPGTKTVEEGMQMFRKLYDMDQENSNGVVAINLSKSVVQPCVALAHILSGLSYTGVQNLLGLSHTTGSIFHALPPPRSMLLSSFMLPYKPKIKGCRLSHGARALAKHVDRSSDGFWGVLQGSDSDKNKCAMKIINRFIGHCCWMNVHIVPPHGEVFEIRVAQGFGARWSRDGTKFIGFLEPYMEDGHSMAWKH >fgenesh1_pm.C_scaffold_4002099 pep chromosome:v.1.0:4:21358438:21360567:1 gene:fgenesh1_pm.C_scaffold_4002099 transcript:fgenesh1_pm.C_scaffold_4002099 gene_biotype:protein_coding transcript_biotype:protein_coding description:YDG/SRA domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LKH6] MSHSQNPSFVFAIRDFPPGCGTHIDVSSSFNLPADKAFKNPKTGDVSGKNPAPKPQGICLKREPAAYQDHIKREPAYQYHVVAAPTVPSATTSSHRQELEIRNSGFAPTPREKVLEVLRLFKQVYKQLDRDKKARRGGDLLDATRRIDIKALNVLESMGKQVNIEKRIGTVPGIEVGDVFQYKTELRVVGLHSKTMCGIDYMKIGEVRLATSIVATEGYGYNDTFNSGVMIYTGEGGNVISKEKKTEDQKLVKGNLALATSMRQKSLVRVIRGEERWDHKGKHYVYDGLYMVEEYWAESDVRGKTVYKFKKNQNYIGYLVQYPKSPHVF >fgenesh1_pm.C_scaffold_4002114 pep chromosome:v.1.0:4:21424613:21429435:-1 gene:fgenesh1_pm.C_scaffold_4002114 transcript:fgenesh1_pm.C_scaffold_4002114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7LKT8] MDINNNHHHRSEEDDNDDDDDALSAMSSVPPPRKIHSYSHQLRATGQKGHHHRQRQHSLDDIPKITEIISGCGISGDSSDDEFYPYATTTNSSSFPFTGDTGDTDDYLHQPEIGEDFQPLPEFVGSGGGVGMFKVPTRSPLHSARPPCLELRPHPLKETQVGRFLRNIACTGTQLWAGQESGVRFWNFDDAFEPGCGLSGRVQRGDEDAAPFHESASTSPTTCLMVDNGNRLVWSGHKDGKIRSWKMDYVVDDGDDSPFKEGLSWQAHKGPVNSVIMSSYGDLWSCSEGGVIKIWTWESMEKSLSLRLEEKHMAALLVERSGIDLRAQVTVNGTCNISSSEVKCLLADNVRSKVWAAQLQTFSLWDGRTKELLKVFNSEGQTENRVEMPLGQDQSAEDEMKAKIASTSKKEKPHGFLQRSRNAIMGAADAVRRVATRGGGAYEDAKRTEAMVLAGDGMIWTGCTNGLLIQWDGNGNRLQDFRHHQCAVLCFCTFGERIYIGYVSGHIQIIDLEGNLIAGWVAHNNAVIKMAAADGYIFSLATHGGIRGWHVISPGPLDGIIRSELAEKEQTYAQTDSVRILIGSWNVGQGKASHDALMSWLGSVASDVGILVVGLQEVEMGAGFLAMSAAKESVGGNEGSTIGQYWIDTIGKTLDEKAVFERMGSRQLAGLLISLWVRKNLRTHVGDIDVAAVPCGFGRAIGNKGGVGLRIRVFDRIMCFINCHLAAHLEAVNRRNADFDHIYKTMSFTRSSNAHNAPAAGVSTGSHTTKSANNANVNTEETKQDLAEADMVVFFGDFNYRLFGISYDEARDFVSQRSFDWLREKDQLRAEMKAGRVFQGMREAIITFPPTYKFERHRPGLGGYDSGEKKRIPAWCDRVIFRDTRTSPESECSLDCPVVASIMLYDACMDVTESDHKPVRCKFHVKIEHVDRSVRRQEFGRIIKTNEKVRALLNDLRYVPETVVSSNSIVLQNQDTFVLRITNKCVKENAVFRILCEGQSTVREDEDTLELHPLGSFGFPRWLEVMPAAGTIKPDSSVEVSVHHEEFHTLEEFVDGIPQNWWCEDTRDKEAILVVNVQGGCSTETVCHRVHVRHCFSAKALRIDSNTSNSKSQSLKKNEGDSKSQSSKKNEADSDSKSHKKSDGDSNSKYSKKSDGDSNSKSSKKSDGDSNSKSSKKSDGDSNSKSSKKSDGDSCSKSQKKSDGDTNSKSQKKGDGDSSSKSHKKSDGDSSSKSHKKSDGDSSSKSQKKNDGDSSSSYKSQSGKKNSNSSTGEESRNGHNKR >fgenesh1_pm.C_scaffold_4002119 pep chromosome:v.1.0:4:21454657:21457108:-1 gene:fgenesh1_pm.C_scaffold_4002119 transcript:fgenesh1_pm.C_scaffold_4002119 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQTLDSSTPPTQSDDLSHSHSTSSTTSASSSSDPSLLRSLSLSRLNAGAPEFVPGRTTPPLPQPPRMIIPPPPPHGMLHMYHHQPPFNTPVLGPVPIQPHLVPVQNHHPHHRFHHHHNRHQNQQYVPVRNHGDYQQRGGEQEPDLVPKKNDRRDHSKRESKTDQATETGASVSIDSKTGLPEDSIQKIVNQVEYYFSDLNLATTDHLMRFICKDPEGYVPIHVVASFKKIKAVINSNSQLAAVLQNSAKLFVSEDGKKVRRINPITESAIEELQSRIIIAENLPEDHCYQNLMKIFSTVGSVKNIRTCQPQNNGSSAPPAARSAAKSDGTLLSNKVHAFVEYEMVELAEKAVAELNEAGNWRSGLKVRLMLKHQIKEPKQGQGRGRKGHDAEVEHEEDDATTSEQQPIEKQSEECSGEWDTHMQEQPIIGEDQGNEKAGGQRKGRNRGRGKGRGRGQPHQNQNQNNNHSHNHYHNGRGNHHHQVGTPPSNNPMNNMEQPGMGKQQPPGPRMPDGTRGFSMGRGKPVMVQAE >fgenesh1_pm.C_scaffold_4002140 pep chromosome:v.1.0:4:21530041:21531303:1 gene:fgenesh1_pm.C_scaffold_4002140 transcript:fgenesh1_pm.C_scaffold_4002140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LKW8] MSQFQPISKEEKVDQIVEANPLAKDDTSVARLPNSKTHKFWETQLVGQFKDIGDTSLPEGPVEPATPLSEVKQEPYNLPSPYEWTTCDLSSDDMCSEVYNFLKVHHPDDGYLFEETYSREFLRWALCPPGYYQSWHIGVRAKTSKKLVAFISGVPERIRVHDEVVKMAKINFLCVHKKLRSKRLAPVMIKEVTRMVHLQNIWQAAYSLPDIRATPVTTCQYWVRMLNPKKLIDVGFSCLRDRMTMNRTVKLYKLPDAPITPGFREMEGRDIPAVTELLRNYLSQFGVATDFDENDVEHWLLPRENVVYSYVVETHDVITDLCSFYTVPLTVVDNPKYKTVECAYSYYNVATKTSLPQLMNDVLIVSKRKGFDVLYALDVMHNESFLKELKFDLADAQMHYYLYNYRLRTALKPSELGIVF >fgenesh1_pm.C_scaffold_4002142 pep chromosome:v.1.0:4:21555880:21557887:1 gene:fgenesh1_pm.C_scaffold_4002142 transcript:fgenesh1_pm.C_scaffold_4002142 gene_biotype:protein_coding transcript_biotype:protein_coding MEARIGRSMEHPSTPAITAPAPVPPPSTRRPRVREVSSRFMSPVSSSSSSSSSSSAGDLHLLTSNSPRHHHQHQNQRSTSAQRMRRQLKMPEGDENRPSETARSLDSPFPLQQVDGGKIPKQQIRSKPLKENGHRLDTPTTAMLPPPSRSRLNQQRLLTASAATRLLRSSGISLSSSTDGDEDNNNNREISKSNGSDLLPTIRNQAKLFNNPTASPLSRSLSSDDSSLFRDVRASLSLKNGVGLSLPPVAPNSKIQADTKKQKKVLGQQADIHSLKLLHNRYLQWRFANANAEVKTQSQKAQAERMFYSLGLKMSELSDSVQRKRIELQHLRRVKAVTEIVESQTPSLEQWSVLEDEFSNSLLETTEALLNASLRLPLDSKIKVETKELAEALVVASKSMEGIVQNIGNLVPKTQEMETLMLELARVSSIEKASIEDCRVAMLKTHSSQIEECYLRSQLIQHQKKCHQQECTTSV >fgenesh1_pm.C_scaffold_4002147 pep chromosome:v.1.0:4:21576746:21579544:1 gene:fgenesh1_pm.C_scaffold_4002147 transcript:fgenesh1_pm.C_scaffold_4002147 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVASFAVALMVTSLIIATCADGKEFSHHREVKVQRFLKQLNKPALKSIKSEDGDIIDCVPITSQPAFDHPLLKNHTIQVKPSFVPEGEGDSTYMKKKTKATQVWHKDGECPEKTIPIRRTKKEDILRAKSLERFGKKNHQYSPEDTSSSPNYHHEYAFMGVRNGKFYGTKASINIWKPNVADPSEFSLSQTWLVSGVGTSRNTIEAGWQVYPGMYGDNNPRLFVYWTSDGYQKTGCYNLLCGGFVQTNNQYSVGGSYNTVSEYDGAQLSLNLLIWKDQKTGNWWLKINDNDIIGYWPGSLFNSLGDGAIKVEWGGEIFTQTSKTHTTTDMGRLYSVADNRNCYNVVAGNGGTPFGTHFFYGGPGQNAMCP >fgenesh1_pm.C_scaffold_4002189 pep chromosome:v.1.0:4:21777540:21778887:1 gene:fgenesh1_pm.C_scaffold_4002189 transcript:fgenesh1_pm.C_scaffold_4002189 gene_biotype:protein_coding transcript_biotype:protein_coding MSASVAAIATPSTTATTFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVLVDGKTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISRPSFENIAKKLRHYAPTVPIVLVGTKLDLRDDKLFPMNYPGACTISKEQGQELRKEIGALAYIECSSKTQLNVKAVFDAAIKVVLQPPSKTKKQKRKIGLCHVL >fgenesh1_pm.C_scaffold_4002198 pep chromosome:v.1.0:4:21823539:21825053:1 gene:fgenesh1_pm.C_scaffold_4002198 transcript:fgenesh1_pm.C_scaffold_4002198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7LBS2] MEETNKSLLDDSFTSAMTLTNSGVPQVPDRYVLPPSQRPALGSSLGTTETTLPVIDLSLLNQPLLRSRAIHEISMACKEFGFFQVRNHGIPSSVVKDAFDAATQFFDLPVEEKMLLVSANVHEPVRYGTSLNHSTDRVHYWRDFIKHYSHPLSKWINMWPSNPPCYKDKVGKYAEATHVLHKQLIEAISESLGLEKNYLQEEIEGGSQVMAVNCYPACPEPEIALGMPPHSDFSSLTILLQSSQGLQIMDCNKNWVCVPYIEGALIVQLGDQVEVMSNGIYKSVIHRVTVNKDVKRLSFASLHSLPLHKKISPAPKLVNANNTPAYGEFSFNDFLDYISSNDFIQERFIDTIKKSSS >fgenesh1_pm.C_scaffold_4002199 pep chromosome:v.1.0:4:21839659:21841005:1 gene:fgenesh1_pm.C_scaffold_4002199 transcript:fgenesh1_pm.C_scaffold_4002199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective IN anther DEHISCENCE1 [Source:UniProtKB/TrEMBL;Acc:D7LBS4] MRLSLSPVRPHSVLVPSLPKHDVVSYINGTTSNRQCRCVLTLPSPSVSTSRPPVLPKPETWESLLLNQDHSSGEFSPSGSNNPVKLGRRWMEYQGLQNWDGLLDPLDENLRREILRYGQFVESAYQAFDFDPSSPTYATCRFPRSTLLDRSGLPNSGYRLTKNLRATSGINLPRWIEKAPSWMATQSSWIGYVAVCQDKEEISRLGRRDVVISFRGTATCLEWLENLRATLTHLPNGPTGPNLNGSNSGPMVESGFLSLYTSGVHSLRDMVREEISRLLQSYGDEPLSVTITGHSLGAAIATLAAYDIKTTFKRAPMVTVISFGGPRVGNRCFRKLLEKQGTKVLRIVNSDDVITKVPGVVLENREQENVKMSTTSIMPSWIQRRVEETPWVYAEVGKELRLSSRDSPHLNSINVATCHELKTYLHLVDGFVSSTCPFRETARRVLHR >fgenesh1_pm.C_scaffold_4002207 pep chromosome:v.1.0:4:21881516:21882351:-1 gene:fgenesh1_pm.C_scaffold_4002207 transcript:fgenesh1_pm.C_scaffold_4002207 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNGESDTVFLRDGWKKIVKDENLTEPTFLVFEFDDSCVIHFCVYEHGSMCKRMRSPMGKEVIEVESDEENEEEVVEDEDSSTKGFYESPRRKNRGDTSRRCWYLNTFDEYLDNKLNPSFPVDMTQKRTRIPAVLINDYNLTFPNLVIVRDKIGKLKRRISIWKNGSVCLNGIDSITRRNHVKRGDKMLLELKTVDGYHGLVREIKVHIIKC >fgenesh1_pm.C_scaffold_4002225 pep chromosome:v.1.0:4:21984688:21988484:1 gene:fgenesh1_pm.C_scaffold_4002225 transcript:fgenesh1_pm.C_scaffold_4002225 gene_biotype:protein_coding transcript_biotype:protein_coding description:F1E22.6 [Source:UniProtKB/TrEMBL;Acc:D7LBV5] MAILFVERYYMIMNLLCALTCLLLNLTHCFSPKKLNISAATTSDSDWSIAGATWYGSPTGYGSDGGACGYGTAVAQPPFSSMVSAGGASLFKSGKGCGACYQIKCTSKSACSKNPVTIVITDECPGCVTESVHFDLSGTAFGAMAISGKDSQLRNAGVLQILYRKVECNYVGKTVTFQVDKGSNAYYFAALVEYENGDGEIGRVELKQALDSDTWLSMTQLWGAVWKLDVSSPLRAPLSLRVTSLDSGETVVASNVIPAGWQPGGACGYGFAVANPPLYAMVSAGGPSLFNNGKGCGACYQIVCSENPACSGRPITVTITDECPGGPCASEPAHFDLGGKAMGALAKPGQADRLRSAGVLRVNYKRYNYLLKEFFAACLYRGTNIAFGMDPGANPYYIAFVVEYEDGDGDLSYVELQPAGGNFIPMQEMRSAVWKVNSGSALKGPFNIRLTSAESHKVVVAYNVIPANWKPSETYRSIVNFK >fgenesh1_pm.C_scaffold_4002256 pep chromosome:v.1.0:4:22135213:22135597:-1 gene:fgenesh1_pm.C_scaffold_4002256 transcript:fgenesh1_pm.C_scaffold_4002256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LCJ4] MCLSSSEPFSDTPTRLVLYLKTQSHVRIPRLSRRRRMWREEKKMEMINLKLYVENQNIIRENEKLRKKALLLHQENKALFSLLQTKKLSSVP >fgenesh1_pm.C_scaffold_4002259 pep chromosome:v.1.0:4:22149909:22151752:1 gene:fgenesh1_pm.C_scaffold_4002259 transcript:fgenesh1_pm.C_scaffold_4002259 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g45480/F4L23.1 [Source:UniProtKB/TrEMBL;Acc:D7LCJ7] MKMQSPKMEQEEVEVERMGKKWPWMKAAQLMEFRMQTLVYRYIEAGLRVPHHLVVPIWNSLALSSSSNYNYHSSSLLSNKGVNHIDTVEPEPTRCRRTDGKKWRCRNRVLLYEKYCERHMHRGRKRSRKLVESSYEVASSSTKHDNTCGLDRNNDSQSVLRGTISGSSNAQVVTIASLPSARACDDVIRPSLVISESTNKSVSYCDRSRRNMEISCDDFISTKESSLCVRVVPLQGDENLPSVQKFFPEVSDNSLEAAKFSSNRKNDIIARSREWKNMNVVNGGLFSSIHFSPDTVLQERGVFRLQRVDTDNEPGRCRRTDGKKWRCSKDALSGQKYCDKHMHRGIKKKHPVDTTNLHERSGFSPLTVKSAARSVSCKDGDDQKLSVSVMGIPLPRVSDEKSSCSYSTDTTITDTALRGEEDDEEYLSLFSSGV >fgenesh1_pm.C_scaffold_4002268 pep chromosome:v.1.0:4:22186558:22188955:-1 gene:fgenesh1_pm.C_scaffold_4002268 transcript:fgenesh1_pm.C_scaffold_4002268 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP76C3 [Source:UniProtKB/TrEMBL;Acc:D7LCK7] MDLSLIQGMSLPLYFLITFFFFFFATAKTRRSSSTGTLPPGPPTLPLVGNIFQLGFNPHRSLAAFSKTYGPLMSLKLGRLTAVVISSPEAAKEALRTHDHVMSARTFNDPIRAFDHHKHSIVWIPPSARWRFLKKTLTKYLLSPKNQDAIQSLRMRKVEELVGLVNQFRERGEAIDLARASFVTSFNIISNALFSVDLATYDSNSSSYEFHNTVVRLMEISGKPNVGDYFRYMRFLDLQGIRKEAVLCIERLFRVIQEFIDARLAKRLSQKETEPKEASSMDMLDSLLDLTQQNEAELTMNDIKHLLLDVFVAGTDTNSSTMEWAMTELFRSPEKMVKAQSEIRQVIGENGVVQESDIPSLPYLQAIVKETLRLHPAAPLIPRKSESDVQILGFLVPENTQVLVNVWAIGRDSSVWENPMKFEPERFLLRETDLKGKDFELIPFGSGRRMCPGISMALKTMHMVLASLLYSFDWKLQNGVVPENIDMSEAFGLTLHKAKPLCAVPVKNPTISS >fgenesh1_pm.C_scaffold_4002281 pep chromosome:v.1.0:4:22245968:22246620:1 gene:fgenesh1_pm.C_scaffold_4002281 transcript:fgenesh1_pm.C_scaffold_4002281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-related modifier 1 homolog [Source:UniProtKB/TrEMBL;Acc:D7LD74] MQLTLEFGGGLELLCDSEKIHKVNVDLPNGAESDDFTMKHLLSWVRTNLIKERPEMFMKGDTVRPGVLLSGQLDTRIEEKDVIVFISTLDENI >fgenesh1_pm.C_scaffold_4002289 pep chromosome:v.1.0:4:22272636:22274115:1 gene:fgenesh1_pm.C_scaffold_4002289 transcript:fgenesh1_pm.C_scaffold_4002289 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPPIHVSSSSSSPATDVSSPLLNRNRRALSRSSQPLRGAASRLLRRASSRRMMLRESSVRVRENAAEQIEERQSEWAYSKPVIVLDVLWNLAFVFVTIAILGFSSDENPHVPLRFWIIGYNLQCLFHVGCVIAEYRRRHRRQSDDFPNEDSVLGSSSSHGSSEDESDDYAIVDDSQIEPGTSLAKHLESANAIFSFVWWIIGFYWVTADSEELSQSSPQLYWLCVAFLAFDVIFVVLCVAVACLIGIAVCCCLPCIIAVLYALADRGGASDEEIERLPKFKFLTVRNSEKVNGEIRETHGGIMTQLGVDSPTERVLSSDEAECCICLCDYEDGTELREMSCRHHFHEACIDKWLRINATCPLCKFNILKTGERSGNDAV >fgenesh1_pm.C_scaffold_4002318 pep chromosome:v.1.0:4:22392263:22394024:-1 gene:fgenesh1_pm.C_scaffold_4002318 transcript:fgenesh1_pm.C_scaffold_4002318 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSSRDALGDPSTSTKALLLGLSRSRSDHCIIMSGESSSGSTDHCIKVVPTHGGRYVQYNVYGQLFEVSRKYVPPIRPIGRGACGIVCAAVNSVTGEKVAIKKIGNAFDNIIDAKRTLREIKLLRHMDHENVITIKDIVRPPQRDIFNDVYIVYELMDTDLQRILRSNQTLTSDQCRFLVYQLLRGLKYVHSANILHRDLRPSNVLLNSKNELKIGDFGLARTTSDTDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTGQPLFPGKDYVHQLRLITELVGSPDNSSLGFLRSDNARRYVRQLPRYPKQQFAARFPKMPSTAIDLLERMLVFDPNRRISVDEALGHAYLSPHHDVSKEPVCSTPFSFDFEHPSCTEEHIKELIYKESVKFNPDH >fgenesh1_pm.C_scaffold_4002323 pep chromosome:v.1.0:4:22403749:22404189:1 gene:fgenesh1_pm.C_scaffold_4002323 transcript:fgenesh1_pm.C_scaffold_4002323 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 43 [Source:UniProtKB/TrEMBL;Acc:D7LDW5] MNGLLDSSRDISHKKMKNPRFAFRTKSDSDLLDDGYRWRKYGQKSVKNSLYPRSYYRCTQHMCNVKKQVQRLSKETNMVETTYEGIHNHPCEEHMQTLTPLLHQMQFLSKLIT >fgenesh1_pm.C_scaffold_4002329 pep chromosome:v.1.0:4:22422358:22423310:1 gene:fgenesh1_pm.C_scaffold_4002329 transcript:fgenesh1_pm.C_scaffold_4002329 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAWCGSASKFASFRLWSSPIYLRRREPLESSSQLISAASLGINPYTIEDNGGKTVKLTRDYQGEHIIVVAGMPYDYEDFGFVFPLTVNYTKKISGLRLKNKDYRHSYLCAIFDYSAKFSLYNYMTTDTRKYVLWLKDVKKFLEE >fgenesh1_pm.C_scaffold_4002334 pep chromosome:v.1.0:4:22436394:22437592:-1 gene:fgenesh1_pm.C_scaffold_4002334 transcript:fgenesh1_pm.C_scaffold_4002334 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKKAQKFAVIKKIISHKALKHYKEEVLNPNKKDLTELPRNVPSVPAGLFFSYNSTLVPPYRVLVDTNFINFSIQNKIDLEKGMRDCLYANCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLPCVHKGTYADDCLVDRVTQHKCFIVATCDRDLKRKVKIVCCINCFAYIHG >fgenesh1_pm.C_scaffold_4002338 pep chromosome:v.1.0:4:22452940:22454993:1 gene:fgenesh1_pm.C_scaffold_4002338 transcript:fgenesh1_pm.C_scaffold_4002338 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-box binding factor 3 [Source:UniProtKB/TrEMBL;Acc:D7LDY1] MGNSSEEPKPTKSDKPSSPPVDQTNVHVYPDWAAMQAYYGPRVAMPPYYNSALAASGHPPPPYMWNPQHMMSPYGAPYAAVYPHGGGVYAHPGIPMGSQPQGQKTPPLATPGTHLSIDTPTKSTGNTDNGLMKKLKEFDGLAMSLGNGNPENGADEHKRSRNSSETDGSTDGSDGNTTGADEPKLKRSREGTPTKDVKQLVQSSSFHSVSPSSGDTGVKLIQGSAILSPGVSANSNPFMSQSLAMVPPETWPQNERELKRERRKQSNRESARRSRLRKQAETEELARKVEALTAENMALRSELNQLNEKSDKLRGANATLLDKLKCSEPEKRVSGKMLSRVKNSGAGDKNKNQGDNDSKSTSKLYQLLDTKPRANAVAAG >fgenesh1_pm.C_scaffold_4002341 pep chromosome:v.1.0:4:22463493:22465405:-1 gene:fgenesh1_pm.C_scaffold_4002341 transcript:fgenesh1_pm.C_scaffold_4002341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit I [Source:UniProtKB/TrEMBL;Acc:D7LDY5] MTMECVNPEGLRLDVRQIQNSSHQTLETRMTPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPTLWLAHNGERLGTYRGHNGAVSCCDVSRDSSRLITGSADQTAKLWDVKSGKQLFTFNFGSSARSVDFSVGDHLAVITTDHFMGVSSAIHVKRIAEDPQDQVGDSVLVLQSPDGKKKINRAVWGPLNQTIVSGGEDTVIRIWDTETGKLLKQSDVEVGHKEAITSLCKAADDSHFLTGSHDKTAKACLWDMRSLTLIKSYTTVVPVNAVAMSPLLNHVVLGGGQDASAVTTTDHRAGKFEAKFYDTILEEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDSNYFNIKI >fgenesh1_pm.C_scaffold_4002354 pep chromosome:v.1.0:4:22546247:22548257:-1 gene:fgenesh1_pm.C_scaffold_4002354 transcript:fgenesh1_pm.C_scaffold_4002354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LEI9] MTDACCLKGNEDKMVPGFGHGTWIGKGSNALNDTPEMLHERSVRQEKRLERANELMNDDTIQKFEKAAMARSRSVDSAPLGNYTIWKNEYRRGKNFEDMLRLMQDQIIMARVYSGLAKMTNNLALHEEIETQLMKLAWEGESTDIDQQQSRVLDSIRDMGQILARAHEQLYECKLVTNKLRAMLQTAEEELENTQTYITFLTQLASKALPDAIHCLTMRLTLEYHLLPLPMRNFPRRENLENPKLYHYALFSDNVLAASVVVNSTVMNAKDPSRHVFHLVTDKLNFGAMSMWFLLNPPGDATIHVQRFEDFTWLNSSYSPVLRQLESAAMKKFYFKTARSESVESGSENLKYRYPKYMSMLNHLRFYIPRIFPKLEKILFLDDDVVVQKDLTPLWSIDLKGKVNDNFDSKFCGWAYGMNIFDLKEWKKNNITETYHFWQNLNENRTLWKLGTLPPGLITFYNLTQPLQSKWHLLGLGYDKGIDAKKIERSAVIHYNGHMKPWTEMGISKYQPYWTKYINFDHPYIFTCRLFE >fgenesh1_pm.C_scaffold_4002383 pep chromosome:v.1.0:4:22714179:22716354:-1 gene:fgenesh1_pm.C_scaffold_4002383 transcript:fgenesh1_pm.C_scaffold_4002383 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LF83] MAFGYSPSYGSNQTAFWRTPLGLYCLFTLVNTAISTPPEDPVKCVSGNTNCTVTNSLGAFPDRSTCRAANVAYPTSEAELVSIVAAATKAGRKMRVTTRYSHSSPKLVCTDGKDGLFISTKFLNHTVRADAKAMTLTVESGMTLRQLIAEAAKVGLALPYAPYWWGVTVGGMMGTGAHGSSLWGKGSAVHDYVTEIRMVSPSSVNDEFSKIRVLSETTTPNEFNAAKVSLGVLGVISQVTFALQPMFKRSIKYVMRNDLDFNDQVLTFGKKHEFADFVWLPSQGKVVYRMDDRVAINTSGNGLYDFLPFRSQLSPIVATTRSSEETQEKLRDAYGKCVAATTITSTLFATSYGLTNNGIIFTGYPVIGSQNRMMSSGSCLDSLEDKLISTCAWDSRIKGVFIYSTGFSVPLTQVNSFINDIKSLVKIDSKSLCGLELYYGILMRYVTSSPAYLGKETEAIDFDITYYRANDPLTPRLYEDFIEEIEQIALLKYNALPHWGKNRNLAFDGVIRKYKNAPAFLKVKESYDPKGLFSSEWTDQILGIKGNPTIVKDGCALEGLCICSEDAHCAPTKGYLCRPGKVYKEARVCTHVTK >fgenesh1_pm.C_scaffold_4002384 pep chromosome:v.1.0:4:22733898:22736059:-1 gene:fgenesh1_pm.C_scaffold_4002384 transcript:fgenesh1_pm.C_scaffold_4002384 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LF85] MASTSSPSYGSQNAAFWRTIFVLHCISTLVFTTISTPPEDPVKCVSGNTNCTVTNSYGVFPDRSTCRAANVAYPTTEAELISVVAAATKAGRKMRVTTRYSHSITKLVCTDGTDGLLISTKFLNHTVRADAKAMTLTVESGMTLRQLIAEAAEIGMALPYAPYWWGLTVGGMMGTGAHGSSLWGKGSAVHDYVTEIRMVSPGSVIDGFAKVRVLSETETPNEFNAAKVSLGVLGVISQVTLKLQPMFKRSLRYVMKNDSDFGDQAVTFGKKHEFADFIWLPSQGKVVYRMDDRVAVNTSGNGLLDFMSFRSQLSAALAIIRSSEETQERFRDANGKCAGATLISSTLFATSYGLTNNGMIFTGYPVIGSQNRMMSSGSCLDSLHDGLITACPWDSRIKSEFFHQTTFSIPLTQVKSFINDIKSLVKIESKSLCVLELYDGILMRYVTSSPAYLGKETEALDFDLTYYRAKNPLAPRLYEDFIEEIEQIALFKYNALPHWGKNRNLAFDGVIKKYKNVPAFFKVKESYDPMGLFSSEWTNQILGIKGNVTIIKDGCALEGLCICSEDAHCAPTKGYLCRPGKVYIEARVCTRVDDISVIQSLSY >fgenesh1_pm.C_scaffold_4002407 pep chromosome:v.1.0:4:22867509:22869334:1 gene:fgenesh1_pm.C_scaffold_4002407 transcript:fgenesh1_pm.C_scaffold_4002407 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g47010/F14M4.16 [Source:UniProtKB/TrEMBL;Acc:D7LFX9] MKQLAPIVAVINLLLLVYGDDGSAVGDPGMKRDGLRVAFEAWNFCNEVGFEAPHMGSPRAADCFDVSSKCIKAYRKNQSNNTKIGYSLMHKVSDSDNELGIGKPKPGIISESALHNPDLYAVEKELYLGSLCQVSDKPNPWSFWMVMLKNGNYDTKSGLCPKNGKKIPPFNQPGLFPCFGSGCMNQPTLNHGKTELLRDGQTMKGWFNGTYDLDAELGNGFNGISYYEVVWEKRVGVGGWVFKHKLKTSAKYPWLMLYLRADATKGFSGGYHYDTRGMLKTLPESPNFKVRLTLNIKQGGGPKSQFYLLDIGSCWKNNGEPCDGDVTTDVTRYSEMIINPETSLWCNPKSLHNCPPYHTFRNGTRVHRTDTLSFPYEAYHVYCAPGNAEHVELPVGTCDAFSNPQAQEILQLLPHPVWGEYGYPTRLGDGWVGDPRTWELDVGGLSSRLYFYQDPGTIPARRIWTSVDVGTEIYKEDDAIAEWDLSDFDVLIT >fgenesh1_pm.C_scaffold_4002415 pep chromosome:v.1.0:4:22905549:22908340:-1 gene:fgenesh1_pm.C_scaffold_4002415 transcript:fgenesh1_pm.C_scaffold_4002415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7LFZ0] MDDSCAVCAENLEWVGYGSCGHREVCSTCVVRLRFILDDRRCCICKTECPVVFVTKALGDYTKTISDFSTTFPSVLKEGRVGSFWYHEETNVFFDDLNHYTRIKAMCRLSCNSCKETNKSHPKKGPNHCLRFKSVEHLKDHLSHQHKLHMCSLCLVGRKVFICEQKLFTKAQLNQHISSGDSEVDGSESERGGFTGHPMCEFCKRPFYGDNELYTHMSREHYTCHICQRLKPGQYEYYGNYDDLEVHFRSDHFLCEDETCLAKKFIVFQIEAELKRHNSIDHGGRMSRSQQTSFQYQSSRRGRRRSSLREPNLAVLESQASYAINDGNNLLQHVGRYGGSRLGESSFPPLSVPANQGQSRFGHNSESLLSNTTTTRLRHQTNRSATSGSSRAWPALNRGPTETSITSSVQSSGASAQSQSGHHGRVEITRTLASAVPQDARNEHTTVGGCSSGSSLSSANTSKRNNHHSSSTPKMSDTRSLEQPSHSDSPPISAVKNRRSSSTSASAANIQVAQGVSDVQSDNKSLVEKIHASLGHDEELFMAFKNTSGKYRHGSIDARTYLEYVKGYGLSHLVLDMARLCPDPQRQKELIDTHNACLKGGNKGKAVKVESSSDSKGDRFVDTVRKLQFSDKSQDKDKDKDAYRSDKGKTKVTTLVNSSSAGVGLGDTGKQPKKTSKFLRTRLGEKSMAAVLDLKNSNPEPEAEPKNDNSKRSQNSLGGLPLRGAWRRGSAKLFL >fgenesh1_pm.C_scaffold_4002417 pep chromosome:v.1.0:4:22909463:22910920:-1 gene:fgenesh1_pm.C_scaffold_4002417 transcript:fgenesh1_pm.C_scaffold_4002417 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIVYWYDFICFATVAAAIVASLWFLLRRERGCLGIDDTGHVSLLPLYRSKSLGSAQLWTSCWTRLHPGWLLFTRSTSFLSMAALLAWDILKWDASIFVYYTEWTFMLVIIYFAMGIAASVYGCLIHSKELALETGEDVVVEKVGDEFRQRLEVYGCFMQTIFQTSAGAVVLTDIVFWLVIVPFLSNTRFGLNKLMICMHTANAGFLLLETVLNSLKFFCSDFSRSLGFEWDISCYGVVSILSWWPYPFLELDKPWAPIWYLSMAIVHIPCYGAYAAVVKAKNSCFPYLFPNAFVNP >fgenesh1_pm.C_scaffold_4002424 pep chromosome:v.1.0:4:22951559:22951828:-1 gene:fgenesh1_pm.C_scaffold_4002424 transcript:fgenesh1_pm.C_scaffold_4002424 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVMLLRALMSCRLTPKDEPDTADSSSSAVKIYRNIVGDENMTRKRISVVDTSHRGDNHEFIIETTCGSNDMDEGFYWIIVRNHLLM >fgenesh1_pm.C_scaffold_4002428 pep chromosome:v.1.0:4:22969274:22973367:1 gene:fgenesh1_pm.C_scaffold_4002428 transcript:fgenesh1_pm.C_scaffold_4002428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-fatty-acid--CoA ligase family protein [Source:UniProtKB/TrEMBL;Acc:D7LGL2] MKCFAAKVEEGVKGEDGKPSVGPVYRNLLSEKGFPPRDSDITTTWDIFSKSVEKFPDNKMLGWRQIVDEKVGPYMWKTYKDIYEEVLLIGSALRAVGAEPGCRVGIYGANCPQWIIAMEACAAHTLICVPLYDTLGPGAVDYIVDHAEIDFVFVQNTKIRGLLEPDCKGAKRLKAIVSFTNVGEEQSYKALEIGVKAYSWLDFLHMGQEKPKETNPPKPSDVCTIMYTSGTSGEPKGVLLTHEAAATCVIGVDLCLDQFEDKMTHEDVYLSFLPLAHILDRANEEYFFRKGASVGYYHGDLNALHDDIQELKPTFLAGVPRVFERIYDGIQKALQELNPRRRLIFYALYKYKLAWMNRGYSHSKASPMADFIAFKKIKNQLGGRIRLLVSGGAPLSLEIEEFLRVTSCCFVVQGYGLTETLGGTTLGYPDEMCMLGTVGVPAVYNEIRLEEVPEMEYDPLGENPAGEICIRGICLFSGYYKNPELTEEVMKDGWFHTGDIGEILPNGLLKIIDRKKNLIKLSQGEYVALEHLESIYGQNPIVQDIWVYGDSFKSMLVAVIVPNPETLNRWAKDLGFTKPFEELCSLPELKEHIISELKSTAEKSKLSRFEYIKAVTVETKPFDVERDLVTATLKNRRTNLLKYYQVSIDEMYRKLAAKRS >fgenesh1_pm.C_scaffold_4002439 pep chromosome:v.1.0:4:23014003:23015160:-1 gene:fgenesh1_pm.C_scaffold_4002439 transcript:fgenesh1_pm.C_scaffold_4002439 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSCCSGKCSVALFFLILSAVPIAYLISLERAVPSTHVISYHSSGFLRECAKWDDVGRRFLVSYMDGGGGIGELVPTKYSDDVLEEVTLVKDVDLAGNSSNGFVIDRQRNRLLLAVGDLIGNRYSALVAYDLSTWRRLFLTVLSSHSKEISYADDVAVDTQGNAYVSDAKGGKIWIVDVNGKLVYTIRSPLFAPPRWYNNFVSLNGIVYHPDGFLIVIHTFSGFLFKIDVTNGDISSNVTLIDVSGGSLRFGDGLEFFLSPTKIVVAGSPSSKLVESSDGWRTASVTGCFSSGMVHRLVSSATVKEGRVYLNHIVGFGSKKRHILVEAVF >fgenesh1_pm.C_scaffold_4002441 pep chromosome:v.1.0:4:23017205:23021141:-1 gene:fgenesh1_pm.C_scaffold_4002441 transcript:fgenesh1_pm.C_scaffold_4002441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-type peptidase [Source:UniProtKB/TrEMBL;Acc:D7LGM5] MMRFHKACHRFSLSPLCHLSPPSPSPAASLLLLPKLSGFSTLCTRRCARVRRFSDNPLTTVMSSRAAARLRCLASVCPGGAEDGGGTSNGSVSASATATEDDELALGTGYRLPPPEIRDIVDAPPVPALSFSPHRDKILFLKRRALPPLADLARPEEKLAGVRIDGHCNTRSRMSFYTGLGIHQLLPDGTLSPEKEITGIPDGGKINFVTWSNDGKHLAFSIRVDENGNSSKPVVWVADVETGLARPLFKSQDIYLNAIFESFVWIDNSTLLVSTIPSSRGDPPKKPLVPSGPKTLSNETKTVVQVRTFQDLLKDEYDADLFDYYATSQLVLASLDGTVKEVGVPAVYTSLDPSTDHKYLLVSSLHRPYSFIVPCGRLPKKVEVWTTDGRFVRQLCDLPLAEDIPIASNSVRKGMRSINWRADKPSTIYWAETQDGGDAKIEVSPRDIVYMQSAEPLAGEEPEVLHKLDLRYGGISWCDDTLALVYESWYKTRRTRTWVISPGSNDVSPRILFDRSSEDVYSDPGSTMLRRTAAGTYVIAKIKKENDEGTYVLLNGSGATPQGNVPFLDLFDINTGNKERIWESDKEKYFETVVALMSDQKEGDLKMEELKILTSKESKTENTQYSLQLWPDRKVQQITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYDPSKDGPLPCLFWSYPGEFKSKDAAGQVRGSPNEFAGIGSTSALLWLARRFAILSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVVRRGVAHPSKIAVGGHSYGAFMTANLLAHAPHLFSCGIARSGAYNRTLTPFGFQNEDRTLWEATNVYVEMSPFMSANKIKKPILLIHGEEDNNPGTLTMQSDRFFNALKGHGALCRLVVLPHESHGYSARESIMHVLWETDRWLQKYCVPNTADADTNPDQFKEGSDSSDKVATGTGGGNPEFGEHEVHSKLRRSLLW >fgenesh1_pm.C_scaffold_4002481 pep chromosome:v.1.0:4:23213091:23215959:-1 gene:fgenesh1_pm.C_scaffold_4002481 transcript:fgenesh1_pm.C_scaffold_4002481 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFQMVNPRICCRSRLLSCRDLPSSPLRLSSSSSQNPSFDFDFSRRWHFGHLPGEEGEKIFRLGLTADIGLSVAKALTGYLCGSTAIIADAAHSVSDVVLSGVALVSYRAANVPKDKEHPYGHGKFETLGALGISAMLLATGSGIAWHALDLLSIALSAAPEVLHHHGGHHHGIDMNHPILALTVTIASISIKEGLYWITKRAGEKQGSGLMIANAWHHRSDAISSLVALVGVGGSMFGVHFLDPLAGLVVSTMIFNAGLKTGHQSILELVDAAIPAQQLEPIRQTILQVEGVKGCHRLRGRRAGSSLYLDVHIVVDPFSSVSVAHEVGEYVRRQINMNHPEVSEVFIHIDPAFLQFSCSTMDHDSITKSNQESNICQEIKHVEATVSDIFSSQFSEKMTIKRITTHLLHSKILLQIVVAMPSTMTIQDVMRAAEHAEKEILKAAPNVARVSIQLSLNSE >fgenesh1_pm.C_scaffold_4002488 pep chromosome:v.1.0:4:23234630:23236205:-1 gene:fgenesh1_pm.C_scaffold_4002488 transcript:fgenesh1_pm.C_scaffold_4002488 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSLIQDMRGELGSISRKGFDVRFGYGRSRSQRVVQDTSVPVDAFKQSCWANMPPELLRDVLMRIELSEDTWPSRKNVVSCAGVCRNWREIVKEIVKVPEVSSKLTFPISLKQPGPRGSLVQCYIMRNRSNQTYYLYLGLNQAAASNDDGKFLLAAKRFRRPTCTDYIISLNCDDVSRGSNTYIGKLRSNFLGTKFTVFDAQPTNPGTQVTRTRSSRLLSLKQVSPRIPSGNYPVAHISYELNVLGSRGPRRMQCVMDAIPASAVEPGGTAPTQTELIHSNLENFPSFSFFRSKSIRAESLSSGPASAAQKEGLLVLKNKAPRWHEQLQCWCLNFNGRVTVASVKNFQLVAAPENGPAGPEHENVILQFGKVGKDVFTMDYQYPISAFQAFTICLSSFDTKIACE >fgenesh1_pm.C_scaffold_4002494 pep chromosome:v.1.0:4:23249221:23249574:1 gene:fgenesh1_pm.C_scaffold_4002494 transcript:fgenesh1_pm.C_scaffold_4002494 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVFDVSPFLLLEASADSEAGHDSVDDDKCVKDCDIDESCSASSCETSCQRPINDDGEGEVNSYIRCGRSQRENLAVDSGAVVSEMDQNRMFWEACLAS >fgenesh1_pm.C_scaffold_4002500 pep chromosome:v.1.0:4:23274532:23276659:-1 gene:fgenesh1_pm.C_scaffold_4002500 transcript:fgenesh1_pm.C_scaffold_4002500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7LHF0] MLNLIAFLRRRLRRPRKARISVNHHRSGDTSPETHHQHQSGTNGFPSAAAIHPNPEKTITVATFNAAMFSMAPAVPNNKGLPFRSKSTVDRPKSILKPMNAAATPTHDSRKQERFAKSRPRVSINLPDNEISRQLSFREDPQHSPLRPALSFSGEVGLRSTRTALEVLRELDADVLALQDVKADEADQMRPLSDLAAALGMNYVFAESWAPEYGNAILSKWPIKSSDVLRIFDDTDFRNVLKASIEVPGSGEVEFHCTHLDHLDEKWRMKQVDAIIRSTNVPHILAGALNSLDESDYSPERWTDIVKYYEEMGKPIPKAQVMRFLKSKEYTDAKDFAGECESVVVVAKGQSVQGTCKYGTRVDYILASSDSPYRFVPGSYSVLSSKGTSDHHIVKVDVVKARAINVDEQRPIRHKLQRVTAATTTYNNNSSLTKASWKTHYYKA >fgenesh1_pm.C_scaffold_4002501 pep chromosome:v.1.0:4:23282088:23286704:-1 gene:fgenesh1_pm.C_scaffold_4002501 transcript:fgenesh1_pm.C_scaffold_4002501 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSCGTYIAFITILISVYLVKPNYVSFGYIFLLLLWITGRQLFEETKRRLWFPLKAYAVLVFMFIYCLSSFVSLQLWLSGFIDLYFYLGYNSKAPLLDNVWESLAVLIVMQLYSYERRQSGHYVPGQSSLLHPGVFGFFERFLVWHGQKILFVALFYASLSPISVFGFVYLLGLVICTTFPKSSSIPSKSFLIYTGFLLSAEYLFQLWGMQAQMFPGQKYAELSFYLGLRVYEPGFWGIESGLRGKVLVVAACTLQYNVFRWLERTPGLTVIKGKYEEPCPLFVSAEDTTASVSSSNGENPSSTDHASISMKQGEATSNSWPFFSPRDNQGAGFLHPKTGGSESGSSRKFSFGHFWGSIKESHRWNRRRILALKKERFETQKNLLKIYLKFWIENMFNLYGLEINMIALLLASFALLNAISMVYIALLAACVLLRRRLIQKLWPVVVFLFASILAIEYVATWNSFLPSDQAPSETSVHCHDCWSIAALYFKFCRECWLGVRVDDPRTLISYFVVFMLACFKLRADHISSFSESSTYHQMKSQRKNSFVWRDLSFETKSMWTVLDYLRLYCYVHLLDVVLILILITGTLEYDILHLGYLAFALVFARMRLEILKKKNKIFRFLRVYNFVLIIFSLAYQSPFVGNFNDGKCETIDYIYEVIGFYKYDYGFRITARSALVEIIIFMLVSLQSYMFSSQEFDYVSRYLEAEQIGAIVREQEKKAARKTEQLQQIREAEEKKRQRNLQVEKMKSEMLNLRVQLHRMNSDSNFGVASPRTEGLRRRKSPYLIPDSGAASPEIDGVVHRKEEQPIDEESQYPFEAHEFPVSTTPEALDSPEYLFGASPCEITEVQQDLDVMSMGRERKEKSEGKENPLISAVQLIGDGVSQVQFIGNQAVNNLVNFLNISPENSDTNEQSSVDDDVYDEMESQKRKHTPFERSTSLQSDRSSDGTSFQIGRIFRHIWSRMQSNNDIVCYCCFIIAFLWNFSLLSMVYLAALFLYALCVHTGPTHIFWVIMLMYTEIYILLQYLYQIIIQHCGLSIDAPLLHELGFPTQRIKSSFVVSSLPLFLIYIFTLIQTAITVKDGDWVPSADFTSRRNARGSQKDLTRISLSQRILDVFKKLRDSGKLVIRSICRYWISLTRGAESPPYFVQVTMDVHMWPEDGIQPERVECRMNQLLRLVHNERCEKGNPDLCPYSSRVHVQSIERSTETPNEALVVLEVEYASPTNGCSSAEWYKSLTPASDVAKEIRKAQHSGLVEGTGFPYPILSVIGGGKRDTDLYAYIFGADLIVFFLVAIFYQSVIKNKSEFIDVYQLEDQFPFDFVIILMVIFFLIVVDRVIYLCSFATGKVVYYLFSLILFTYAVTEYAWSIYPTQQHAAGLALRIIFLAKAMSLALQAIQIRYGLPHKSTLYRQFLTSEVSRINYYGYRLYRALPFLYELRCVLDWSCTATSLTMYDWLKVYRLIPCICFLEPLL >fgenesh1_pm.C_scaffold_4002502 pep chromosome:v.1.0:4:23286970:23291230:-1 gene:fgenesh1_pm.C_scaffold_4002502 transcript:fgenesh1_pm.C_scaffold_4002502 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLVGFLLPSLLLAAALINWSVISLVDLIAFLLVHYIAPEIGYRFQRRHWLLWPIFIFSFAVFLAQVVYLVIWATLGQDWDTADTGWMTVFGFMILKSWRNPTVMYFLALQLLTSLVALADIYSSRFGFARWRDTWWSHFSGLFEHLGSHLRVASCLLLPAVQLAVGICNPSWVSLPFFIGSCAGLVDWSLTSNVSGLFRWWRVLYIYAGFNIVLLYLYQLPINFSDMIRWIASFIGLFRISMETEGPDICSGVFLVLFYIMLSYVRSDLEDMDFIMSTSENNLAERLLPPKYSFFIRESRAGVRHTNVLLRGAVFKTFSINFFTYGFPVSLFALSFWSFHFASLCAFGLLAYVGYIIYAFPSLFQLHRLNGLLLVFILLWAISTYIFNVAFSFLNTKVGKFGLGMLVALGNLVNNSVFLYLSEESSRSSNERSYAEADEETKVLVVATIAWGLRKCSRAIMLALIFLIAMKPGFFHAVYVIFFLMYLLSHNINRKIRKSLILLCEVHFALLYILEIDLVSNSLKQEGSVSREVLFQLGLLRSESSWDFLEIALLACFCAIHNHGFEVLFSFSAIVRHTPSPPIGFSILKAGLNKSVLLSVYSSPSSSYSQDNTTYGTTLPSCL >fgenesh1_pm.C_scaffold_4002505 pep chromosome:v.1.0:4:23293835:23295768:-1 gene:fgenesh1_pm.C_scaffold_4002505 transcript:fgenesh1_pm.C_scaffold_4002505 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPASPPLHPPVLLSDSAAKDAMLTWFRGEFAAANAMIDALCAHLMQGSGGSAQYESVMAALHRRRLNWIPVLQMQKYHSISQVTLQLQQLAKGFHHVVKETCSIPDHHHDDDDDDDDDSPSSDITDGGSREEDQTLSICCKHEDECESRGASLLKHSKRFSAKEHANVVKGLKLYEDVFTGTQLSKLLDSINQLREAGRNHQLSGETFVLFNKNTKGTKRELLQLGVPIFGNTTDEHSVEPIPTLVQSVIDHLLQWRVIPEYKRPNGCVINFFDEDEHSQPFQKPPHVDQPISTLVLSESTMVFGHRLGVDNDGNFRGSLTLPLKEGSLLVMRGNSADMARHVMCPSPNKRVAITFFKLKPDSGKVQPPPTLWRPGTPAPPACGMVIAPLVMLAPAPKRIDAGTGVFLPWTPPVTRKPTKHLPPRVQRLRLLSSAKPVADREASSPEIGVS >fgenesh1_pm.C_scaffold_4002513 pep chromosome:v.1.0:4:23326272:23326981:1 gene:fgenesh1_pm.C_scaffold_4002513 transcript:fgenesh1_pm.C_scaffold_4002513 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRRNYAITFVALVVALWGVTKAQPSGSCVSTLTTLSPCLSYITGNSTTPSQPCCSQLDSVIKSSPQCICSAVNSPIPNIGLNINRTQALQLPNACNIQTPPLTQCNAATGPAAPPPAPSPTENTSDVTLTPTSSPGARSGVGGGSKTVPSAGSGSSSGNVDHVPLHFLMFAVFLVCTSSFL >fgenesh1_pm.C_scaffold_43000001 pep scaffold:JGI8X:scaffold_43:6386:8065:-1 gene:fgenesh1_pm.C_scaffold_43000001 transcript:fgenesh1_pm.C_scaffold_43000001 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFMGCKFQDKYKEKKHKKDKEKKEGKEKKSKDRSKDKQKERKEKKDKHKDRKEKEKDKEKSKPLEEKKAEVLTNIGHRENLVTDTVQNNSNGESKYVQDLARRIRYDEEATGSQSEQKIDHPNQKNVGITGKAFVNSPIEETSHRVDANKRINTQKNFTAAKSSENAVSRVSFGADQKRAEVMGKPMETRDQMRQTESAEKIHCKESVTKSDKPREEGVKKSEAKDKDRNKEKKEEKTESIKKTRQEKPKLIGGPKLEEREKDSLDIRNCKLPEVSRASVKNLITEGNLGKRKDHMTNGFLYENGTTPHKLQKLSASVPSVENGRIIGAPRTPPMPTSELQGMTCKPQVKEVRINGFAVSGEKHKVCPPSPLAATMKVKVKENGEASAKPPHPDLKYLNQILNVPTRELLLEVDDDQEWLLGQSGIKLKKARTDPPDSGESLQVWNQAFRIESADIAALPYVVPF >fgenesh1_pm.C_scaffold_43000003 pep scaffold:JGI8X:scaffold_43:45781:46144:-1 gene:fgenesh1_pm.C_scaffold_43000003 transcript:fgenesh1_pm.C_scaffold_43000003 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIFFFLTLAVLVSLCASNIMTKSISEGKAQFSSPALSPDIDSADEHIAHSLNDMKIMFCQRCAYHCIEKKKNISHCENSICRCTLEDIL >fgenesh1_pm.C_scaffold_462000001 pep scaffold:JGI8X:scaffold_462:3712:5730:1 gene:fgenesh1_pm.C_scaffold_462000001 transcript:fgenesh1_pm.C_scaffold_462000001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNEWLMVLVIIALFNLENSLGRLVFEASTGIINGFPMLTNTKKHVYGQAFDDEPFPFKNSTNDNGKSSNHVIAVELDIHKDDEFGDINDNHVGININGMRSNISAPAGYFDQKGQFKSLSLISGNLLRLSVTLSSPEEAYYPNQPLLLLNQDLSPYLLEKMYLGYTASTGSVGALHYIWTLHVYDIAVDPDLDFPIPTFPPYPKPKSQVRRTVLVTCLTLVLFVAVAASALSLFFYRRHKKVKEVLEEWEIQCGPHRFAYKELFKATKGFKQLVGKGGFGQVFKGTLPGSDAEIAVKRISHDSRQGMQEFLAEISTICRLRHPNLVRLQGYCRYKEELYLVYDFMPNGTTHLGQRFKIIKDVASGLCYLHHEWVQVVIHRDIKPANVLIDHQMNARLGDFGLAKLYYQGYDPQTSRVAGTFGYIAPELIRSGRATTGTDVYAFRLFILEVSCGRRLIEPRAATNEVVLAEWTLECWENGDILKAANERLHQEHNREQLEIVLKLGVLCSHQVATVRPDMSKVVRILNGDSKLPSNLLDIVKAERVRMWSETSDSIPSQESIGTLTFTEPFTSHGR >fgenesh1_pm.C_scaffold_47000002 pep scaffold:JGI8X:scaffold_47:9234:11525:-1 gene:fgenesh1_pm.C_scaffold_47000002 transcript:fgenesh1_pm.C_scaffold_47000002 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVHDWSKLCDDLLRSILEGLNTTKDFHRASSVCSNWYTISRTCKRPINLFPWQILFKENSTLLFVPEENKNHEIQHPGIDFSDRYVLTSCSNWLLMIDYNVDFFLINVFTRERINLPSIESSIIGLGQAHFVEPTDIISAKKQACLWIDETTKDYVVAWSYNQYHLFINKKGDDSWSSLEDTKCVYIACNKDYKLYVYTLDSCIKIFDISGDSPEEIVEENPYRNHPFCFRLLVAVTSFGEVLMIVSLKGLENKRLFYIYKMNLEKSNWERVDSLGGEMLIFGHGVTIRAPMKDISSLSDSIFFSGEDLWPGSYPYNPNTTLLRCVRSYDKYNHMISWFVPA >fgenesh1_pm.C_scaffold_5000003 pep chromosome:v.1.0:5:93284:94036:1 gene:fgenesh1_pm.C_scaffold_5000003 transcript:fgenesh1_pm.C_scaffold_5000003 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKKFIQMVEEKKRRVLEKQEAPLKWEQKLEAAANAKADTETKVKKSKGTKKKQRGASESSSESDSSSEVRRKSRRSHNRHRRHAHFDSDDSDRRKEKKSKRQKRSDDSTDDYESGSEDELRHHRRHKWHSSRKTCDDDSTEDVRRRHLKRHRRSELVTSSDSEEESGRRRRGKYHRHKRGSASSSGSEEDSGKSRKRRQHKRHHLAESSSEEDGAMRRMRHHKHDRDSASESDGRRLSDEKREQNLDK >fgenesh1_pm.C_scaffold_5000005 pep chromosome:v.1.0:5:96444:99191:1 gene:fgenesh1_pm.C_scaffold_5000005 transcript:fgenesh1_pm.C_scaffold_5000005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:UniProtKB/TrEMBL;Acc:D7LR01] MGKEIPAEKSLNLIRGRLCDPSFIFGPLSASSDSNYSKLKFIVSTSITEGCNNSILLLGPRGSGKAAVLDLVVGDLLEQFPDSVSVIRLNGLLHSDDNCAFKEIARQLCMEHHLLFSKMASFDENSHFIIAMLRECGLAHKTIIFVLDEFDMFAQARILISFNLLIGKQRLLYSLLDAMQSVTSQAVVIGISSRLDADQLLEKRVRSRFSHRKILFLPPSRDELDGLLEHLLSLPADSSFPSGYVSRFNDKIKNITSDRRFKDILKTFFNAHSTVNSFLKFIFCAVSLMNLESGLLSLENFKAALSRMQRQPKLEAVRDCSVLELYLLVCMRRLEVKEQNSYNFISVMKEYKAIHDSFQTSDYYAQNVCIRGFEHLRERQVICYAENRGQSQTGEYRPMKLLISASELHQGMRSHACCPVSHPSQVIGPLISLFLSLGNKTVSQGPVICMFISW >fgenesh1_pm.C_scaffold_5000015 pep chromosome:v.1.0:5:138917:140199:-1 gene:fgenesh1_pm.C_scaffold_5000015 transcript:fgenesh1_pm.C_scaffold_5000015 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L7 [Source:UniProtKB/TrEMBL;Acc:D7LR12] MVESKVVVPESVLKKRKREEEWALEKKQNAEAAKKKNAENRKLIFKRAEQYSKEYAEKEKELISLKREAKLKGGFYVDPEAKLLFIIRIRGINAIDPKTKKILQLLRLRQIFNGVFLKVNKATMNMLRRVEPYVTYGFPNLKSVKELIYKRGYGKLNHQRVALTDNSIVEQALGKHGIICTEDLIHEILTVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNRENFINELIRRMN >fgenesh1_pm.C_scaffold_5000024 pep chromosome:v.1.0:5:172305:173373:1 gene:fgenesh1_pm.C_scaffold_5000024 transcript:fgenesh1_pm.C_scaffold_5000024 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGGKKTTKVMKIDGETFKLKTPVTAEEVLQDFPGHVLLDSESVKHYGARAKPLEARQRLEAKRLYFVVEPVKECPPRRVRSGIHVSAKERLESLMLARRSSSDLSILKQAGGWTTEEEEGAVRRVKVRIPKAELERLVKEGATEAEATQKIAALFMAKQRQEEAHQNTREDVPATTVTAAATRGVKSRLKRVSFMAERGGSEITVA >fgenesh1_pm.C_scaffold_5000026 pep chromosome:v.1.0:5:189763:191677:1 gene:fgenesh1_pm.C_scaffold_5000026 transcript:fgenesh1_pm.C_scaffold_5000026 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSTIFRNIIPIQFSNRRSSVKLLHALPRPKPRNPTNSKRFFQKPKLVKTQSPPDLKVYTRDIVSNIYNILKYSTWESAQEQLPHLGVRWDSHVINRVLKAHPPMQKAWLFFNWASQIKGFKHDHFTYTTMLDIFGEAGRIQSMYSVFHLMKEKGVLIDTVTYTSLIHWVSSSGDVDGAMRLWEEMRDDGCEPTVVSYTAYMKILFADGRVEEATEVYKDMLRSRVSPNCHTYTVLMEYLVGTGKCEEALDIFFKMQEIGVQPDKPACNILIVKACKFGETSFMARILVYMKENGVVLRYPIFLEASETLKAAGESDDLLRQVNSHISAESLLCSKDIDETPTTEVDDTNSSDDSRVISSVLLMKQNLVSVDLILNQMIDRNIKLDSSVVSAIVETNCDRCRTEGASLALEYSSKMGIHLEKSAHLALIGHFLRVNELPKVIEVVKEMLKAQHSLGCYQGAMLIHRLGFGKRPRLAAEVFDLLPDDQKGVAAYTALMDVYISAGSPEKAMKILGEMREREIMPSLGTYNVLLSGLEKISEFQKEAALLRKEKKILVATARFREIVHVEDKICDLLFATNLL >fgenesh1_pm.C_scaffold_5000027 pep chromosome:v.1.0:5:191838:192656:1 gene:fgenesh1_pm.C_scaffold_5000027 transcript:fgenesh1_pm.C_scaffold_5000027 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCSIWTPVLISLSPITGESPKFSRRRVILATSVGSHQPLLDANIKEPQKLQVLDSKDVSRRNTMLYLAAGLLGGINILHGESAEARVGRKENRKKALEKLRAKAKESEPNNKSGNQKIEREEVFPLLPPPLVVEANLLQ >fgenesh1_pm.C_scaffold_5000033 pep chromosome:v.1.0:5:231412:237055:1 gene:fgenesh1_pm.C_scaffold_5000033 transcript:fgenesh1_pm.C_scaffold_5000033 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVVQRVFQEGGRDFFQQQPSTSSSSSSILQSLPLHVAFDHGYYLLVKSIQELREKKDGIVTVGIGGPSGSGKSSLAEKVASVIGCTVIAMEDYRDSLDDGNELETLDFDALVQNLEDLIKGKDTLAPVFDFQQKKRVDSKMVKTSSGVVIVDGTYALHARLRSLLDIRVAVVGGVHFSLLSKVRYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCKTEVCSFFQLLCFPLVTYVYHLTKIHMYLRPPSASEEARINDWIKVRQAGIRYYLSLGDQRIVDKHFIIRPKAEFEVTVNRMTLGGELSTAVSYGNLSLSRETIDTLGETFLVLRGTDRKSVGAEALRMGITGPWITKSYLELILESKGVPRLNTPPLLQPSPVITNQEKQIVAPKPIRTTPNIVTRLEDLSQPWTRSPTKSQMEPMVATWHFTSYDPPHSVSSVVATDSSFRDNMRLVPMPDSYDLDRGLLLSVQAIQALLENKGPPVIVGIGGPSGSGKTSLAHKMANIVGCEVVSLESYFKSEQVKDFKHDDFSSLDLPLLAKNISDITNGRRTKLPVFDLETGTRCGFKELEVPEECGVIIFEGVYALHPEIRQSLDLWVAVVGGVHSHLISRVQRDKSRIGCFMSQNEIMMTVFPMFQQHIEPHLVHAHVKIRNDFDPVLSPESSLFVLKSNKQVPYQDILSILDSTKFCSSVQNFIDIYFRLSGLPANGQLSDSDCIRVRICEGRFAVLIREPIREGNFIIQPKVDFDISVSTVAGLLNLGYQAVAYIEASAFIYQDGKILIEVDHLQDVPSPYIQIKGANKEAVTAAGSALKLDGSYTTKSYLQIVLERLPPVQRSSSGIHTQQAARLQELVEFIQSQGSSNSVSESSPRRDGSSIDNVLEDMQSRIKRLERWHTINTVLWTFLMSALVGYSLYQRKRQ >fgenesh1_pm.C_scaffold_5000035 pep chromosome:v.1.0:5:239910:240449:1 gene:fgenesh1_pm.C_scaffold_5000035 transcript:fgenesh1_pm.C_scaffold_5000035 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLIPTFNRILVQKVLQPAKSETGILLPEKSTKLNSGKVIAVGPGSRDRDGKLVPVSVKEGDTVLLPEYGGTEVKLGEKEYHLFRDEDILDTLHE >fgenesh1_pm.C_scaffold_5000043 pep chromosome:v.1.0:5:275625:276115:1 gene:fgenesh1_pm.C_scaffold_5000043 transcript:fgenesh1_pm.C_scaffold_5000043 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNNKHKKNQKSKTTNRFLVSITVLGSAGPIRFVVKEDETVANVIDYALKCYAREGRLPLLGSDSSFFLLYCPYSASEAFDPWGKIGSTGSRNFVLSKKLETQKIEDSVMTTTIRKTSGRWKAWLNKSLGLMVPSH >fgenesh1_pm.C_scaffold_5000044 pep chromosome:v.1.0:5:276371:277803:-1 gene:fgenesh1_pm.C_scaffold_5000044 transcript:fgenesh1_pm.C_scaffold_5000044 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHVLDLAKGSEISNDITDFEETANFIFDTFGVPHKFTRLIWYLLEKANPHFFSCFKRHCENIRNAPSEEVTSHAQTRQSNVEIETSLEDLAEASTSFTKSDNKPRAKRLKARKAEEKQQQLTETAPNDASSQVSQESATTNAARRSKARKKVKQEKPQQAPCSPSTVQNTILKQISTRIRLLESIIEQVHKILSNVLGPPRSAAKQRSWKQVRGDYEQAEMLQDLNQQVQQEMTRMDIPRNQASEEDTLHD >fgenesh1_pm.C_scaffold_5000060 pep chromosome:v.1.0:5:404488:409832:1 gene:fgenesh1_pm.C_scaffold_5000060 transcript:fgenesh1_pm.C_scaffold_5000060 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDCLVLGAGQEIGKSCVVVTINGKRIMFDCGMHMGCDDHNRYPDFSLVSKSGDFDNAISCIIITHFHMDHVGALPYFTEVCGYNGPIYMSYPTKALSPLMLEDYRRVMVDRRGEDELFTTAHIANCMKKVIAIDLKQTIQVDEDLQIRAYYAGHVLGAVMVYAKVGDAAIVYTGDYNMTTDRHLGAAKIDRLQLDLLISESTYATTIRGSKYPREREFLQAVHKCVAGGGKALIPSFALGRAQELCMLLDDYWERMNIKVPIYFSSGLTIQANMYYKMLISWTSQNVKEKHNTHNPFDFKNVKDFDRSLIHAPGPCVLFATPGMLCAGFSLEVFKHWAPSPLNLVALPGYSVAGTVGHKLMSGKPTTVDLYNGTKVDVRCKIHQVAFSPHTDAKGIMDLTKFLSPKNVVLVHGEKPSMMILKDKITSELDIPCFVPANGETVSVASTTYIKANASDMFLKSCSSPNFKFSNSTQLRVTDQRTADGVLVIEKSKKAKIVHQDEVSEVLHEKNHVVSLAYCCPVKVKGESDNDADLIKQLSEKILKTVSGAQIHESENFVKKKLMSSSDSTAARDQHAPLLRPRHDGSSSSSSSSSSARPTALAVLLGRITGHRAPSMLVRETAARALEERRIDWGYSKPVVAADILWNAALVLASAVMLVGTVEERPNEPIRVWICGYGLQCLIHVVLVWSEYWRRNTTRRARDLESGDHEDYSVYDYEQDSDNSTTYRLSVIFLAIDVFFAIFCVVLACLVGIALCCCLPCIIALLYAVAGTEGVSEAELGVLPLYKFKAFHSNEKNITGPGLLHMSELIRGWCRAS >fgenesh1_pm.C_scaffold_5000075 pep chromosome:v.1.0:5:541091:544161:1 gene:fgenesh1_pm.C_scaffold_5000075 transcript:fgenesh1_pm.C_scaffold_5000075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin J18 [Source:UniProtKB/TrEMBL;Acc:D7LPW9] MSTEIGHLRRRLVEFLIQSTTQLELPPIVKYSALSLFFDRFRPNVVRFLQKKKAEHWLLQPLTESNLQLFVLISIWISCKMHCTRGLSVHSLKSFGDIVITEQLFMVRDFLDAELIFLKVLKFEIGTLNIAYTLLEDLFIQFKEVAKVGDHLNFEACMDMMDLLYEKEETSLLYRYSKSLAASILVSSYIITVPKQQYEFPILPWVKMVTNMEEKEVVELVGYILSHVLYSNSP >fgenesh1_pm.C_scaffold_5000083 pep chromosome:v.1.0:5:591047:592408:1 gene:fgenesh1_pm.C_scaffold_5000083 transcript:fgenesh1_pm.C_scaffold_5000083 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVVFVAASYKAWWLLSLLSGSISCRLSSSFFSRRSGFLRTLLFFIVIGGTAVRLVGSSLSPTEWLVVKLFFSFVW >fgenesh1_pm.C_scaffold_5000097 pep chromosome:v.1.0:5:757146:757515:1 gene:fgenesh1_pm.C_scaffold_5000097 transcript:fgenesh1_pm.C_scaffold_5000097 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLRFLSVLLLISFMVLATTAEVSPVDNKICKTRSDRFSGVCLSTNNCAIICQQFEHFDGGHCEFDGALRRCMCTKQCNN >fgenesh1_pm.C_scaffold_5000100 pep chromosome:v.1.0:5:768008:770057:-1 gene:fgenesh1_pm.C_scaffold_5000100 transcript:fgenesh1_pm.C_scaffold_5000100 gene_biotype:protein_coding transcript_biotype:protein_coding MDYERIGKTQVTTTSGGGFSPGKLRSMLLLGVDRKKNEEESTPTMRSGSNQIDDPRVYVASGLDDCKDVDVVSEITDCSTSGIARSISLGLQEYPSLDYDNVNEIKSVSASSVFEFQKTEKEKANQRMPIRSFSKPAPSKWDDAQKWIASPTANRPKTGQVQVPGSKKGPSFGRQSSMKIVEVADQRAGVFAVEESDTKRIDVSQVKKDMGNKFVSWEVDSYTTADSYVKPVLMVENSIVESATEVNLSRHDSSVATAFAQPPSTARSVSMRDMGTEMTPIASQEPSRNGTPIRATTPIRSPISSEPSSPGRQASASPMTNKELSEKELQMKTRREIMVLGTQLGKLNIAAWASKEDEDKDASTSLKTKASLQTSKSVSESRATAWEEAEKAKHMARFRREEMKIQAWENHQKAKSEAEMKKTEVEVERIKGRAQDRLMKKLAAIERKAEKKRAAAEAKKDRQAAKTEKQAEQIRRTGKVPSLLSSCFSFCS >fgenesh1_pm.C_scaffold_5000105 pep chromosome:v.1.0:5:794138:795389:-1 gene:fgenesh1_pm.C_scaffold_5000105 transcript:fgenesh1_pm.C_scaffold_5000105 gene_biotype:protein_coding transcript_biotype:protein_coding MCGQHYTNHLSIIFLYYKRIDFPKYTRFDQRHRSMMGQNHGIIESSGGEIVVPGPSPFDVLPEDCISNIISFTSPREACVAASVSKTFESAVNSDSVWDKFLPPDYSSLVSQSRVFASKKELYFALCHNHVLIEDGKKSFWLEKASGKRCIMLSSKELWITWGSSPEYWQWISVPESRFEKVAELLNVCWFEIRGKTSTRLLSPGTRYSAYIVFKTNDGCPGLGHLPVEVGLGLVGQESSKRFIYFVGPRDRRRGRETRDVTKPEQREDGWMEAELGEFFNEESCDEIEFSVIEIKSPSWKSGLIIQGIEFRPTKSP >fgenesh1_pm.C_scaffold_5000106 pep chromosome:v.1.0:5:796243:797469:-1 gene:fgenesh1_pm.C_scaffold_5000106 transcript:fgenesh1_pm.C_scaffold_5000106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-B2 [Source:UniProtKB/TrEMBL;Acc:D7LQ14] MGHKQSVDSTGKGKEVAGSSSMMLKHGVESSGPSLFDNLPEDCISNIISFTSPRDACVAASVSKTFESAVSSDSVWDKFLPPDYSSLIPPLRVFSSKKELYFAICDNPVLIDDGKKSFWLEKGNGKKCIMLSPKKDMWITWVSTPQYWRWISIPEARFEEVPELLNVCWFEVRGGMSTKYLSPRTRYSAYIVFKTKDRCPNLGDVPAEATVGLVGQASSQRFIYFVGPTNRGRERETRVVTKPTERKDGWMEAELGEFFNESSCDEVATSILETKSPYWKRGLIIQGIEFRPTKSQ >fgenesh1_pm.C_scaffold_5000107 pep chromosome:v.1.0:5:812681:813842:-1 gene:fgenesh1_pm.C_scaffold_5000107 transcript:fgenesh1_pm.C_scaffold_5000107 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTKFMHEHFRKLVQRLKKTLTLSASDKSHGVAATLSLDDLPEECISIIISFTSPLDACVLASVSKTFESAVKSDIVWEKFIPPEYESLISQSRDFSSKKELYFALCDESVLIDDGKKFLWIEKANAKRCIMLSEMYLSITWGNYPQSWQWIPDPQARFETVAELLGVCLFEIRGRINSCNLSPRTRYSAYIVFRKKDICYGFENVAVEVVVGLVGQDLEESCPRYVCFDEATDEQFRWRDRGKNLVKPERRKDGWMEIKLGEFFNEGGLLNYDEIEMVALENKQRHWKRGLIIGGIEIRLANIQ >fgenesh1_pm.C_scaffold_5000108 pep chromosome:v.1.0:5:817116:818330:-1 gene:fgenesh1_pm.C_scaffold_5000108 transcript:fgenesh1_pm.C_scaffold_5000108 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLRTQIEKNRSESRRKIDSSSFDSLPEGCISNIISFTSPEDACVAATVSKIFESAMKSDIVWEKFLPADYESLIPPSRVFSSKKELYFSLCDDPLLIHDGKLSVWLEKASGKRCVMYSATAMMNFSSMGDIFQRFEWIPIPEARFETVAQLREACKFKFNCRMNTRVLSPRTRYSVYIVFKKADTCCGFKAVSLEAVVGVVGQDSFRRFICFDTHAKGKFRKGKVVVKPELREDGWMEIELGEFFNEGGLDSDEIEMTTLERKCPQQKRGLVILGIEIRPTKILEEFSGRRLLGQKKALVLEIENL >fgenesh1_pm.C_scaffold_5000120 pep chromosome:v.1.0:5:922225:924836:-1 gene:fgenesh1_pm.C_scaffold_5000120 transcript:fgenesh1_pm.C_scaffold_5000120 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEVTPVSQLSFDLSTCKVKVRIARVWAYHKKDRLKDITGIDLLLVDDKGDRIQASIRSQLLTKFQGKLEEGDCYMIMNFEILYNGGSYRASSHPYKINFMSMTHIIGIHELKEVNVSGRQTKLLNLQLRDLGESVIDVILWGKWAEDLYSYVKGYKDGSIVLVGSLMKTKPYNGIVTCLSKNISVQNASFSTKLFINSPIAEITEFKESLAKSDHLALTTISTITSSSSSKNSKASFSLANQKTIADIHSILSNFLFFWIRGGACNIIASIFSIDTKVPWFYIGCTKCFKKVSPYFNPETEEIEAGKYECEKCDTFVTTTSTRYKVQATVIDHTGSASFLLFDQDVIKLIHKSAYELLEQQVQFNRSDQIPQELLDLQGLQFVFKIQGSDSTKFNSQSTFRVFELTDNPEIVQKFQDNILQVNTTDPSTHMVSSFSNPTMSEIEPDSDTITIDSTSSEAANYENSNTSIQTSKNTSKITKLTPTSKRSLTSSEDNAAQQSSTKPKLLSKAEIKKEKK >fgenesh1_pm.C_scaffold_5000133 pep chromosome:v.1.0:5:1003472:1006219:-1 gene:fgenesh1_pm.C_scaffold_5000133 transcript:fgenesh1_pm.C_scaffold_5000133 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSLQIHVFSFTFLLLLLLLPLLTDSQLTSSESQTLLEIQKQLQYPQVLQSWNDTTNFCHIRPSSSLTIICFNGHVTELTVTGNRTSKLSGSFHKLFTLLTQLSSLNTLSLTSLGISGSLSPKIITKLSPSLVSLNLSSNFISGKIPEEIVSLKNLKSLVLTDNMFWGFVSDDLRGLSNLQELDLGGNKLGPKVPSLPSNLITVSLKNNSFRSRIPEHIKKWNKLQSLDLSSNEFTGSIPEFLFSLPSLQILSLDQNLLSGSLPNSSCSSSKIITLDVSHNLLTGKLPSCYTSKSFRNQTVLFSFNCLSLVGTPNAKYQRPLSFCQNQASKAIAVEPVHKVKEKDSARIKLGLVILIIIGVIILAAILVLLVLIVLKRRRSRSEDDPFEVNNNNNNERHASDKVSVCSTTTASSKSLPDSTKTINRILLSVGRVPQTMRSAVIGLPPYRVFSLEELEEATNDFDAASLFCEQLYKGCLREGIPVTVRCIKLKQKSLPQSLTQQMEVLSKLRHMHLVSVLGHCIASNQDHNQHAGNTIFIVQEYISSGSLRDFLTNSRKKEVLKWPQRMAIAIGVARGIQFLHMGVAPGIFGNNLKIENIMLDETLTVKISGYTIPLPTKVGEESPQAKHPWSNEDREKEDVYQFGVILLQIITGKVVDAGSSEMGSLKLQLENGLRDEPSELSSLADPSVNGSYAYESLRTTVEFAINCLCEDQSKRPSIEDVVWNLQYTIQVQQGWRPSSGNHESSMKAIYE >fgenesh1_pm.C_scaffold_5000140 pep chromosome:v.1.0:5:1205590:1213354:1 gene:fgenesh1_pm.C_scaffold_5000140 transcript:fgenesh1_pm.C_scaffold_5000140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7LQ90] MKEQGLTFVTEEDVKNLPYFRALVKETLRIEPVIPLLIPRACIQDTKIAGYDIPAGTTVNVNAWAVSRDEKEWGPNPDEFRPERFLEKEVDFKGTDYEFIPFGSGRRMYVPYANLLGETGVTLLLNFNFKLPNGMKADEINMDVMTGLAMHKSQHLKLVPEKVNK >fgenesh1_pm.C_scaffold_5000175 pep chromosome:v.1.0:5:1714818:1715878:1 gene:fgenesh1_pm.C_scaffold_5000175 transcript:fgenesh1_pm.C_scaffold_5000175 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRSSILLLIIALLSPRTLSMRYELKSSTTKCIGEDIHENSMSVGKYFIVNPNEDHPLPASHKIIVKVMPPQGKNLHEADNVEAGQFSFTAYENGSYVACITAVDYKPETTLTIDFDWKTGVHSKEWTNVAKKSQVVMMEYQVKTLMDTVNSIHEEMYYLREREEEMQELNRSTNSKMAWLSFGSLVVCLSVAGLQFWHLKTFFEKKKLI >fgenesh1_pm.C_scaffold_5000183 pep chromosome:v.1.0:5:1796008:1799533:-1 gene:fgenesh1_pm.C_scaffold_5000183 transcript:fgenesh1_pm.C_scaffold_5000183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7LQG8] MVRKTTSKRYSVALVIVMVIYVAFSSVFDRQLFLSLSFSQGTLQQTWESRRIKQPTQPQETTSATITCDRSHSDYDLCSINGSCILDPKTGTFTLMDPTFATSLVEKIRPYPRKAENWTMPRIKELTLSSGPLGLTRACDITHDSPAIVFSAGGYTGSIYHDFLDGFIPLFITANSVYPDRDFILVVVNSKEWWMPKYIDILGAFSKHKTILLDKENASFTHCFPSVTVGLVSHGPKIIDPTQIPNSKSLPRLILVSRYGNIGRVILNEKEIKEMLEDVGFEVVTFRSSKTTSVREAYKLIKSSHVMVGVHGAALTHLLFLRPGSMLVQVVPLGLGWASKTCYESPAKAMKLEYIEYRVNVEESSLVEKYNRDDLVLKDPIAYRGMDWNVTKMKVYLKEQDVRLDVNRFRKHMNEAYEKAKLFMDLNG >fgenesh1_pm.C_scaffold_5000187 pep chromosome:v.1.0:5:1829522:1830857:-1 gene:fgenesh1_pm.C_scaffold_5000187 transcript:fgenesh1_pm.C_scaffold_5000187 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEQYDIAQQLREKLTEVEEESIRLQEGKRGSSAKSEAQDKGISIIRLRADLQNAIDSEDYGLAAKLRDEISKLEAESLAVSAKALAFENAEYAFRLGQKLRHKTFGYRAVVCGMDPICCESSSWMEAAEVEKLPRGSNQPFYQVLVDARTHPDLVVAYVAEDNLLAPEKPDKERFDHPYISFLYYGADTAGDFIPVKQLREKYNRPRHEVPFDSQEED >fgenesh1_pm.C_scaffold_5000188 pep chromosome:v.1.0:5:1834365:1835312:1 gene:fgenesh1_pm.C_scaffold_5000188 transcript:fgenesh1_pm.C_scaffold_5000188 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGTYVTEVPLKGSAEKHYKRWRSENHLFPDAIGHHIQGVTIHDGEWDSHGAIKIWNYTCDGKAEVFKERREIDDENMAVTFRGLEGHVMEQLKVYDVIFQFIQKSPDDIICKITMIWEKQNDDMPEPSNYMKFVKSLAADMDDHVLKA >fgenesh1_pm.C_scaffold_5000205 pep chromosome:v.1.0:5:1939851:1940624:1 gene:fgenesh1_pm.C_scaffold_5000205 transcript:fgenesh1_pm.C_scaffold_5000205 gene_biotype:protein_coding transcript_biotype:protein_coding MESKHEEDVLSKQKHDEDGKKQGSLDGENGDVEHKMMHSEETETVIINSESHSRLSSSSSSSSSSSSSYLSPPKDLPEDVKESLNDPEISSPPVQVMDRDDNNGKYDPNRIPSSVFERSKSNIPAEWSCTSNESLFSIHLGHNSFTGYGGDLMKSGELYKSGELLAYSPGLPMPPPPGSEPKPVEKPKVVDSDKKEEVVLVEETQTSSSDEEEEKRESHEKEQHPAVSWKTPTTSYRSNRSSNSTHSFSFPMYILHP >fgenesh1_pm.C_scaffold_5000208 pep chromosome:v.1.0:5:1948631:1951474:1 gene:fgenesh1_pm.C_scaffold_5000208 transcript:fgenesh1_pm.C_scaffold_5000208 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDC48B [Source:UniProtKB/TrEMBL;Acc:D7LQK1] METESSVCDNIVSDEKWRAEAEIGGNERALQALRELIIFPFRYPLEARTLGLKWPRGLLLYGPPGTGKTSLVRAVVQECDAHLIVLSPHSVHRAHAGESEKVLREAFAEASSHAVSDKPSVIFIDEIDVLCPRRDARREQDVRIASQLFTLMDSNKPSSSAPKVVVVASTNRVDAIDPAIRRAGRFDALVEVSTPNEDDRLKILQLYTKKVNLDSSVDLQAIAISCNGYVGADLEALCREATISASKRSSDPLILISQDFKIAKSVVGPSINRGITVEIPKVTWDDVGGLKDLKKKLQQAVEWPIKHSAAFVKMGISPMRGILLHGPPGCSKTTLAKAAANAAQASFFSLSCAELFSMYVGEGEALLRNTFQRARLASPSIIFFDEADVVACKRGDESSSNSSTVGERLLSTLLTEMDGLEEAKGILVLAATNRPYAIDAALMRPGRFDLVLYVPPPDLEARFEILQVHTRNMRLGDDVDLRKIAEETDLFTGAELEGLCRESGTVSLRENIEATAVFNRHFQTAKSSLKPALTIEEVETYSSFRKAKRSDSKPIPINKKKSTSTVFGFSWQFGVLSLILLATGNYYFNHTKHDLRVASAT >fgenesh1_pm.C_scaffold_5000209 pep chromosome:v.1.0:5:1954830:1955290:1 gene:fgenesh1_pm.C_scaffold_5000209 transcript:fgenesh1_pm.C_scaffold_5000209 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGNSCGGGQSSLDYLFGGGDAPAPKPVPAPRPAPTEANNGTAPPVTAATATALTTATTSVEPAELNKQIPAGIKTPVNNYARAEGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFTGSK >fgenesh1_pm.C_scaffold_5000219 pep chromosome:v.1.0:5:2028518:2030563:1 gene:fgenesh1_pm.C_scaffold_5000219 transcript:fgenesh1_pm.C_scaffold_5000219 gene_biotype:protein_coding transcript_biotype:protein_coding MILKKNSRNAIRFLHTHTNRFKANATPIKSLQNADFRVTVTTRKMVTLIVATTSDPASINPAAALLAMPGWIAGPILPPDIKSFSNKQTRVIQHDRSIVKEDDLDLRWEEATGEVIDEVIFLSRHTAVSNRPALTVHPIGVLHLKDGESPPQGGKPGWAALPNPRIGPWFRLLKKMAEAHGLVPEFEITLEATHHGPITNKPTMFLEIGSTEEYWKRDDAAQVMALLMWEGLGLGGGEAVGNWNSETGKRKVLLGIGGGHYAPRHMDIVLKDDIWVGHLLSGYSLPMEDPTQTKTTPVENYIGGNWRQSIKAAFEATKAAFPGGEILAHLDHKSFKGWQKKAITEFLGEESINVGKPNDFT >fgenesh1_pm.C_scaffold_5000220 pep chromosome:v.1.0:5:2039240:2040790:-1 gene:fgenesh1_pm.C_scaffold_5000220 transcript:fgenesh1_pm.C_scaffold_5000220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonsense-mediated mRNA decay NMD3 family protein [Source:UniProtKB/TrEMBL;Acc:D7LQL6] MSVMDESGMFNVQQTIGSVLCCKCGVPMAPNAANMCVNCLRSEVDITEGLQKSIQIFYCPECTCYLQPPKTWIKCQWESKELLTFCIKRLKNLNKVKLKNAEFVWTEPHSKRIKVKLTVQAEVLNGAVLEQSYPVEYTVRDNLCESCSRFQANPDQWVALVQLRQHVSHRRTFFYLEQLILRHDAASRAIRIQQVDQGIDFFFGNKSHANSFVEFLRKVVPIEYRQDQQLVSHDVKSSLYNYKYTYSVKICPVCREDLVCLPSKVASGLGNLGPLVICTKVSDNITLLDPRTLRCAFLDARQYWRSGFRSALTSRQLVKYFVFDVEPPVSEATVGGQKYALSYVQIARESDIGKMFYVQTHLGHILKPGDQALGYDIYGANVNDNEMEKYRLSVKNGLPEAILIKKCYEEQRERKQKKSRNWKLKSLPMEMDDSRGRVDPEKTDKEYEEFLRDLEENPELRFNISLYKDKDYQASETASMTDGEGAPSVPIEELLADLDLSEEEEDDDDDEEDMAE >fgenesh1_pm.C_scaffold_5000226 pep chromosome:v.1.0:5:2083977:2084306:1 gene:fgenesh1_pm.C_scaffold_5000226 transcript:fgenesh1_pm.C_scaffold_5000226 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTYVIFFLVVILINSLFNSNVLASSVIETSNNDVCYAPCTQRYKLYECWHDCLHKRYNDGACVDGRCCCKK >fgenesh1_pm.C_scaffold_5000227 pep chromosome:v.1.0:5:2095739:2096368:1 gene:fgenesh1_pm.C_scaffold_5000227 transcript:fgenesh1_pm.C_scaffold_5000227 gene_biotype:protein_coding transcript_biotype:protein_coding MNITKAYVIFFLVVILTNSLSNSGVLASSVMETSKNDVCSTPCTIRYGTFECFHDCILEHYRDGNCINGRCCCKNDRNRSITNLKD >fgenesh1_pm.C_scaffold_5000234 pep chromosome:v.1.0:5:2178808:2179280:-1 gene:fgenesh1_pm.C_scaffold_5000234 transcript:fgenesh1_pm.C_scaffold_5000234 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSFLMVMLVSIVMFSMARPIYSQKIDPYSQGIPEEADISPTPLEIELAHHLHKDYILACPKKPSPKCEDEIFNNMLDETTPVTDECCRDVLNTGKDCHLAMVKIIFSTYDYKNIASKAIPKSKQAWNDCIRRVGNTIGAPVSFEP >fgenesh1_pm.C_scaffold_5000237 pep chromosome:v.1.0:5:2184370:2184839:1 gene:fgenesh1_pm.C_scaffold_5000237 transcript:fgenesh1_pm.C_scaffold_5000237 gene_biotype:protein_coding transcript_biotype:protein_coding MASKITIFFLLALVVACTMMMRIPTVDAEVVLPCKTTDDCENLPCSGDECEYLPCSGRPPLCIKGQCKCTASLTHQEKLDNLRKMNDAKTCKQTSDCDPRMRYSCVSGSFICLNGFCTCT >fgenesh1_pm.C_scaffold_5000240 pep chromosome:v.1.0:5:2220238:2221007:1 gene:fgenesh1_pm.C_scaffold_5000240 transcript:fgenesh1_pm.C_scaffold_5000240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LQQ8] MSRNESTAVVSVVTPEKTIVSKCGDSRDVLCRDGVATSRWAGYYWDVAKVLGVLAMSRAIVMDYGTLYQMRRRYGMARVCPRGTGRADGDDSVAAATHNACSDASLLLTKLALARPTFDNVSGVVLWLTGGRGGIINHRHNI >fgenesh1_pm.C_scaffold_5000250 pep chromosome:v.1.0:5:2287866:2292491:-1 gene:fgenesh1_pm.C_scaffold_5000250 transcript:fgenesh1_pm.C_scaffold_5000250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7LQS3] MAGPSRRRRRLHLSKIYSYTCGKSSFQEDHSNIGGPGFSRVVYCNEPGSPAAERRNYPGNYVRSTKYTLASFFPKSLFEQFRRVANFYFLVTGILSLTDLSPYGAVSALLPLALVISATMVKEGIEDWRRKQQDIEVNNRKVKVHDGNGIFRQEEWRNLRVGDIVRVEKDEFFPADLLLLSSSYEDSICYVETMNLDGETNLKVKQGLEATSLLLNQDSDFKDFSAVVRCEDPNVNLYVFVGTLALEEERFPLSIQQILLRDSKLRNTEYVYGAVVFTGHDTKVIQNSTDPPSKRSRIERKMDKIIYLMFGIVFLMSFVGSIIFGVETREDKVKNGRTERWYLKPDEADIFFDPERAPVAAILHFFTATMLYSYFIPISLYVSIEIVKVLQSIFINRDIHMYYEETDKPAQARTSNLNEELGMVDTILSDKTGTLTCNSMEFIKCSIAGTAYGRGITEVERAMAVRSGGSPLVNEDLDVVVDRSAPKVKGFNFEDERIMNGNWVRQPEAAVLQKFFRLLAVCHTAIPETDEESGNVSYEAESPDEAAFVVAAREFGFEFFNRTQNGISFRELDLVSGEKVERVYKLLNVLEFNSTRKRMSVIVRDDDGKLLLLSKGADNVMFERLAKNGRQFEAKTQEHVNQYADAGLRTLILAYREVDENEYIEFNKNFNEAKASVSEDREALIDEITDRMERDLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFASSLLRQEMKQIIINLETPHIKSLEKSGGKDEIELASRESVVMQLQEGKALLAASGASSEAFALIIDGKSLTYALEDEIKKTFLDLATGCASVICCRSSPKQKALVTRLVKSGTGKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYSRIASMICYFFYKNITFGVTVFLYEAYTSFSGQPAYNDWFLSLFNVFFSSLPVIALGVFDQDVSARFCYKFPLLYQEGVQNILFSWKRIIGWMFNGFISALAIFFLCKESLKHQLFDPDGKTAGREILGGTMYTCVVWVVNLQMALSISYFTWVQHIVIWGSIAFWYIFLMIYGAMAPSFSTDAYMVFLEALAPAPSYWLTTLFVMIFALIPYFVYKSVQMRFFPKYHQMIQWIRYEGHSNDPEFVEMVRQRSIRPTTVGYTARRAASVRRSARFHDQIYKDLVGI >fgenesh1_pm.C_scaffold_5000253 pep chromosome:v.1.0:5:2340387:2340951:-1 gene:fgenesh1_pm.C_scaffold_5000253 transcript:fgenesh1_pm.C_scaffold_5000253 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTFVMIKPDGVQRRLMPEIIRRFEEKRFTLKVLKHYEELSGEHVFGRLVDYIVSSGPVVAMIWEGENVVETVREMIGPTRPSDCEYATIRGEFGIDKIRNVIHGSYSAEIANKEIASGTKKINNHWYKFDC >fgenesh1_pm.C_scaffold_5000262 pep chromosome:v.1.0:5:2422560:2424366:1 gene:fgenesh1_pm.C_scaffold_5000262 transcript:fgenesh1_pm.C_scaffold_5000262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:D7LQT9] MLQKISQSRSLFNGDKLTPSRYFAGAPTNLFSFPLSVNKKSSSLRIKRIQQLQSTLEDRINPPLVCGRVSPRLRVPDHIPKPQYLESSKVPMISSELQIPDSNGIVKMKKACKLAARVLDYAGTLVRPFVTTDEIDKAVHQMIIESGAYPSPLGYGGFPKSVCTSVNECMFHGIPDSRPLQNGDIINIDVAVYLDGYHGDTSKTFICGDVNESLKQLVKVTEECLEKGISVCKDGASFKQIGKIISEHAAKYGYNMERFIGHGVGTVLHSEPLIYLHSNYDYELEYMIEGQTFTLEPILTIGTTEFVMWPDKWTIVTADGGPAAQFEHTILITTTSAEILTIS >fgenesh1_pm.C_scaffold_5000265 pep chromosome:v.1.0:5:2449388:2451839:1 gene:fgenesh1_pm.C_scaffold_5000265 transcript:fgenesh1_pm.C_scaffold_5000265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LQU6] MIKNLSTMKNYNQKRERCCEFIEALEEERRKINVFQREFPLCLELVTQAIEAYKREISGTTTNNLYGQSECSEQTTGECGRILDLFIPIKHSSTSIEEVDDKDHDDEEHESHETDIDFDDKNMKSEWLKSVQLWNQSDIVVSNRQDCSQEKTETLVELININDEAAEKNNNIESPATTSGGSGRGSGRRGQRKHRRCWSQELHTHFLSALKQLGGPHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHARRPSQTTPNNKNSQTQHFVVVGGIWIPQTNHSTANAVNALASGETTTGIYGPMVSPLPSEWPSHSNFGGTILDDRSRCSNKGIFRCSSPAMSSSTRTKTKDAKVS >fgenesh1_pm.C_scaffold_5000266 pep chromosome:v.1.0:5:2483007:2483276:1 gene:fgenesh1_pm.C_scaffold_5000266 transcript:fgenesh1_pm.C_scaffold_5000266 gene_biotype:protein_coding transcript_biotype:protein_coding MHETGVSEEEARAHVQQMISLTWDEMNYEARTAARSSSLLSRRFVETAMNLARMSQCMYQHGDGHGCPDKAKIVDRVQTLLVDPIPLGH >fgenesh1_pm.C_scaffold_5000278 pep chromosome:v.1.0:5:2584322:2585089:-1 gene:fgenesh1_pm.C_scaffold_5000278 transcript:fgenesh1_pm.C_scaffold_5000278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein family protein [Source:UniProtKB/TrEMBL;Acc:D7LQW9] MKNVMVIIDESNSSYDLLIWALENQKDTIESSKVFLFATQPQNSFTPPTVLSSSVGFAQIFYPFSPNSELIRLAQEKNMKIALGILEKAKEICLNHGIKAETFTDVGDPKDLIRKIIQDQNIHLIVTNDQQTQNLKKCTRNTDCSLLVVTKTLSKD >fgenesh1_pm.C_scaffold_5000297 pep chromosome:v.1.0:5:2666863:2669577:1 gene:fgenesh1_pm.C_scaffold_5000297 transcript:fgenesh1_pm.C_scaffold_5000297 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSRLFPFAGNLDPRAQEFIPLNPTSSGFYFPFTPPSPLPPPLHPSSEPRVFTFFNIPPHPVMFSPPPSQPPPSPPPRPCFNGVSAAQRLPPPSNSPTRSLSLISVPRDVTESTVRRDLEVYGDVRGVQMERISEGIVTVHFYDIRDAKRAVREVCGRHMQQQARGGSVWSSPSTSSARGFVSGRPVWAQFVVPATSAVPGGCNQGTLVIFNLDPEVSSITLRQFFQVYGPIKELRETPYKKHQRFIEFYDVRDAARAFDRMNGEEIGGKQVVIEFSRPGGIKNKFRSSRQPQLPFQPLQQPPILFPPLRRPVTLMKDKNKNVSPKNGIIAVGASMRSLCIIGDDDNKTRGTESECAETKSKNVAKWGKKRQMKNMELSQFLISEETMEDPSCRDPRTTLMIKNIPNKYSQKLLLDMLDNHCIHINKAITEEHDEHESHHQPYSSYDFVYLPMDFNNKCNVGYGFVNMTSPEAAWRFYKAFHHQRWEVFNSRKICQITYARVQGLEDLKEHFKSSKFPYEAELYLPVVFSPPRDGKRLTEPVSININGCTRLNHLERMDGQDHSLSRSYCDSDHDNSHEDGFSGSSSIDCGRCITLEGETSF >fgenesh1_pm.C_scaffold_5000300 pep chromosome:v.1.0:5:2676579:2680542:-1 gene:fgenesh1_pm.C_scaffold_5000300 transcript:fgenesh1_pm.C_scaffold_5000300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 5 protein [Source:UniProtKB/TrEMBL;Acc:D7LR21] MAYPLSTNSRWIIDEKGQRVKLACVNWPSHLQPVVAEGLSKQGVDDLAKKIVAMGFNCVRLTWPLDLATNETLANNVTVRQSFQSLGLNDDISGFETKNPSMIDLPLIEAYKKVVAKLGNKNVMVILDNHVTKPGWCCGYNDGNGFFGDTFFDPATWISGLIKIATTFKGASNVVGMSLRNELRGPKQNVDDWFKYMQQGAEAVHEANPNVLVILSGLSYDTDLSFVRSRPVNLTFSRKLVFELHRYSFTNTKTWSSKNPNEACGEILQSIENGGGFNLRDFPVFLSEFGIDLRGKNVNDNRYIGCILGWAAENDVDWSIWTLQGSYYLREGVVGMSEYYGILDSDWVRVRSQNFLQRLSLIQSPLQGPGSQSKVYNLVFHPLTGLCMLQSILDPTKVTLGLCNESQPWSYTPENTLLTLKDKSLCLETTGPNAPVKLSEASCSSPNLSKWETISASNMLLAAKSTNNSLCLDVDESNNLIASNCKCVKGEDSSCDPISQWFKIVKVRK >fgenesh1_pm.C_scaffold_5000301 pep chromosome:v.1.0:5:2682259:2683843:-1 gene:fgenesh1_pm.C_scaffold_5000301 transcript:fgenesh1_pm.C_scaffold_5000301 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B16 [Source:UniProtKB/TrEMBL;Acc:D7LR22] MAISLLCLFLITFVSLIFVFKKIKRFKWNLPPSPPTFPVIGNLHQVGELPHRSFQRLAERTGHVMLLHFGFVPVTVISSREAAEEVLRTHDLKCCSRPKLVGTRLISRGFKDISFTPYGEEWRERRKFLVRELFCFKKVQSFREFIEEECNFLVKKLSESAVGRAPVDLSKTLFWLAASILFRIAFGQSFHDNEFNDKDKIDELIFETETAQASFTYSDFFPMAGLGWLVDWISGKHKWLNNVFFKLDALFQLVIDDHSNPRRSKDHKDIVDVMLDVVHKQGKDDSLRLTTDHIKGLLTNIIIAGIDTGALTMIWAMTELARNPELMKKVQGEIRDRLGNDKERITKEDLNKVPFLNLVIKETFRLHPVAPLLLPRETMAHIKVQGYDIPPKRRILVNTWAIGRDPKLWKNPEEFNPERFINNPVDYRGQHFELLPFGSGRRICPGMGLGITIVELGLLNLLYFFDWRAPDGMTHKDIDTEEVGTLTVVKKVPLKLVPVQVQ >fgenesh1_pm.C_scaffold_5000322 pep chromosome:v.1.0:5:2819244:2820769:1 gene:fgenesh1_pm.C_scaffold_5000322 transcript:fgenesh1_pm.C_scaffold_5000322 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LR52] METNHLLIKLVLLASCLIHQRVCSPCNFPAIFNFGDSNSDTGGLSAAFGQAPYPNGQTFFHSPSGRFADGRLIIDFIAEELGLPYLNAFLDSIGSNFSHGANFATAGSTIRPPNSTISQGGSSPISLDVQLVQFSDFITRSQLIRNQGGVFKKLLPKKEYFSQALYTFDIGQNDLTSGLKLNMTTDQIKAYIPDVLDQFSNAIRKVYSKGGRRFWIHNTAPLGCLPYVLDRFPVPASQIDNHGCAIPRNEIARYYNSELKRRVIGLRKELSDAAFTYVDIYSIKLTLITHPKKLGFRYPLVACCGHGGKYNYNKLIKCGAKVMVEGKEIVLAKSCNDVSFRVSWDGIHFTETANSWIFQKINGGAFSDPPIPLKFACAR >fgenesh1_pm.C_scaffold_5000323 pep chromosome:v.1.0:5:2856784:2859050:1 gene:fgenesh1_pm.C_scaffold_5000323 transcript:fgenesh1_pm.C_scaffold_5000323 gene_biotype:protein_coding transcript_biotype:protein_coding MANRADNSIRCSNSKKPNDGMMLVISIFAGIVIGFLLGISFPTLSLTKMNFPSSILPSVATIYIENEKPEKSSKTSSQNEFGDAAHHHKACFCFSLIWVPSNPRGAEMLPPSFVATESDFYLRRLWGFPKDDLPVVKPKYLVAFTVSYEQRKNIDACIKKFSDNFTIVLFHYDGKTSEYDEFEWSKRAIHVSVPKQTKWWYAKRFLHPDIIAPYEYIFIWDEDLGVENFDAEEYIKIVKKHGLEISQPAVESRKSITWKITKRIPGIEVHKEVEEKPGRCNDPHLPPCAGFIEIMAPVFSRDSWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIIHQKIPSLGSQGTAQEGKTAFQGVRERCKREWTMFQKRMTRSEQKYRKEIASASSNATLT >fgenesh1_pm.C_scaffold_5000374 pep chromosome:v.1.0:5:3366731:3368874:-1 gene:fgenesh1_pm.C_scaffold_5000374 transcript:fgenesh1_pm.C_scaffold_5000374 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWGPVFVAVTLFVLLTPGLLIQVPGRGRVVEFGTFQTSGLSVIVHTLIYFTLVCILLLALQIHICNLFSTSMADWAPVLVGVVLFVILSPGLLFSLPGNNRTVDFGGLKTNGKAIAVHTLIFFAIYSILILALNLHIYTG >fgenesh1_pm.C_scaffold_5000375 pep chromosome:v.1.0:5:3369582:3380322:-1 gene:fgenesh1_pm.C_scaffold_5000375 transcript:fgenesh1_pm.C_scaffold_5000375 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHQADKKFTWVIKNFNSLDSDRVYSDTFQAGRCKCHLVAYPKRFDECSYSKCYSLYLCVSDSKSLHSGWRRHAKFSLTMESSSSRSMGNHQADKKFTWVIKNFNSLDSDRVYSDTFQAGRCKWGLLAYPKGYNNINIYDYFSLYIYVPNSKSLPSGWRRHAKFSFTMVTQIPGELSLQREAEYWFDQKNTTRGFQSMFLLSEIQSSHKGFLVNGEVKIVAEVDVLEVIGIVDVPEKPESFDINGFQVPASQVDSVNSLFKKYPGFASKVCPKNPHLKKTYLNVVLSLNEIMCKSPDKLSNGDLADAYSALRYVTKAGFKLDWLEMKLKETGKTRLQEIEEDLKDLKVKCADMNALLEFLR >fgenesh1_pm.C_scaffold_5000398 pep chromosome:v.1.0:5:3613538:3616802:-1 gene:fgenesh1_pm.C_scaffold_5000398 transcript:fgenesh1_pm.C_scaffold_5000398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7LLZ3] MGSGPSGEWHMEKRSTLKNDSFVKEYNPVSETGSLSIIVLGASGDLAKKKTFPALFHLYHQGFLNPDEVHIFGYARSKISDEDLRDKIRGYLVDERNASDKAEALSKFLKLIKYVSGPYDSEEGFKRLDEAISEHEISKRTSEGSSRRLFYLALPPSVYPPVSKMIKAWCTNKSDLGGWTRIVVEKPFGKDLESAEQLSSQIGALFDEPQIYRIDHYLGKELVQNMLVLRFANRLFLPLWNRDNIANVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQASVLCLVAMEKPISLKPEHIRDEKVKVLQSVIPIKDEEVVLGQYEGYRDDPTVPNDSNTPTFATTILRIDNERWEGVPFILKAGKAMSSKKADIRIQFKDVPGDIFKCQNQGRNEFVIRLQPSEAMYMKLTVKQPGLEMQTVQSELDLSYKQRYQDVSIPEAYERLILDTIKGDQQHFVRRDELKAAWEIFTPLLHRIDKGEVKSIPYKQGSRGPAEADQLLKKAGYTQTHGYIWIPPTL >fgenesh1_pm.C_scaffold_5000402 pep chromosome:v.1.0:5:3648997:3654929:1 gene:fgenesh1_pm.C_scaffold_5000402 transcript:fgenesh1_pm.C_scaffold_5000402 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVRFAMSIRPRLRIVGVVILAAWIGFAALFGLLKPIKNGCTMTYMYPTYIPISVTDDTTPGRYGLYLYHEGWRKIDFKEHLKKLSGVPVLFIPGNAGSYKQVRSVAAESDRAFQGGPFERTFYQEASLFREGGADTEFVDYDLPSQYSNRLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRVGILIILDQYKESHDTREREGAAASSNLPHNVILVGHSMGGFVARAAAVHPRLRKSAVQTIITLSSPHQSPPLALQPSLGHYFAQVNREWKKGYEVQTSPGGSYVSDPLLSGVIVVSISGGYNDYQVRSKLESLDGIVPSSHGFMISSTSMKNVWLSMEHQAILWCNQLVVQVSHTLLSLVDSKTNQPFSDTDKRLWVLARMLQSALAQSFNGMTPMEVSHELPMLASKGSTCSLDWRDDALDRDLYIQTSTVTILAMDGRRRWLDIDLLGSNGKNHFIFVTNLAPCSGVRLHLWPEKEKSNSNLPVCERIVEVTSKMVLIPAGPAPKQSEPGSQTEQAPPSAVLKLGPEDMRGFRFLTISVAPREAVSGKPPVAVSMAVGQFFNPGNGAVEVSSQSMLLSTYWAKEIFLKEDHPLAYNLSFATSLGLLPTTLSLKTAGCGIKTFGLPDGETGDLDKDIRKLKDCHSPIYVINLIMVQFSELCKLRCFPPVALAWDSASGLHVFANLCSETIVIDSSPALWSSQSSEKTTVMLLVDPHCSYTASVHVSAPAISSRFVLLYGPQIVGISFAVVMFALMRQANQWDNKLSVPPLLSAVEYNLEMPSPFLLLAILPLISSLFYSFLMAQPIPPLTSFTAIRILKLNTKIVMTLVAVTLVSFVHPALGLFVLLASHALCCHNSMCCIVTSSRRKESVDQKNEAERKTRHPSSREDPVSGDLSEKSFVETQADIFNHRHGLLILHLLAALMFVPSLAAWFQRIGTGQSFPWFADSALCVGVIFHGILNSRPESSILRSFPFLSGHQLRPHHIYLLAGYYCFFSGLELAPYKVFYAIASLGYISLTLKISQVNKNDLRFRTKSRIHRN >fgenesh1_pm.C_scaffold_5000409 pep chromosome:v.1.0:5:3717884:3718215:-1 gene:fgenesh1_pm.C_scaffold_5000409 transcript:fgenesh1_pm.C_scaffold_5000409 gene_biotype:protein_coding transcript_biotype:protein_coding MTPATLAIFFVSLVIIGTATDVAPATKQPIEFFPSLQEHDKSLFVTVEGCNKHCLTACFDCNIEKQPPVCVQCCPI >fgenesh1_pm.C_scaffold_5000415 pep chromosome:v.1.0:5:3745964:3747207:1 gene:fgenesh1_pm.C_scaffold_5000415 transcript:fgenesh1_pm.C_scaffold_5000415 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTAEASPSSEIQRNKPDTFGNYRSQVAELLSQGERISHHDQQQANERHSESVIGDGMSNLEKENLNVLLRQCVRNLTPEVDEMQECVCSLYLISQLGNKCQSSSPSNLVPEESGGAREDDIQLLLRSDPDMVKNITSQYSNVLLSKLDNMQQELEKLLDDVVATCRPMTRGEIRELQKSIKELPERNLNRVAEIVGNHYITSDKGFNDKVIVNLDQADNIMLWRLHFYVRAVKSAQKLAP >fgenesh1_pm.C_scaffold_5000418 pep chromosome:v.1.0:5:3813741:3816222:-1 gene:fgenesh1_pm.C_scaffold_5000418 transcript:fgenesh1_pm.C_scaffold_5000418 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPDIAGILDNTKELDRLRKEQEEVLVEINKMHKKLQATPEIVEKPGDTSLSKLKNLYIQAKELSESEVTVSNILLTQLDALLPSGPTGQQRRKLEGNEQKRKRMKVDSDVTRVSPSMRNQIEAYASLKGEQVAARVTAEDAEKDEWFVVKVIHFDRETKEVEVLDEEPGDDEEGGGQRTYKLSMSCILPFPKRNDPSSTQEFLPGKHVLAVYPGTTALYKATVISTPRKVSFLLHHFKLISTMYLLEFDDDEEDGALPQRTVPFHKVVALPEGHRQ >fgenesh1_pm.C_scaffold_5000445 pep chromosome:v.1.0:5:4083178:4085527:1 gene:fgenesh1_pm.C_scaffold_5000445 transcript:fgenesh1_pm.C_scaffold_5000445 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMYB21 [Source:UniProtKB/TrEMBL;Acc:D7LPK4] MEKRGGGSSGGSGSSAEAEVRKGPWTMEEDLILINYIANHGDGVWNSLAKSAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLIIMELHAKWGNRWSKIAKHLPGRTDNEIKNFWRTRIQKYIKQADVTTTSSVGSHHSSEINDQAASTSSHNVFCTQDQAMETYSPTPTSYQHTNMEFNYGNYSAAAPTATVDYPIPMTVDDQTGENYWGMDDIWSSMHLLNGN >fgenesh1_pm.C_scaffold_5000454 pep chromosome:v.1.0:5:4209673:4210077:1 gene:fgenesh1_pm.C_scaffold_5000454 transcript:fgenesh1_pm.C_scaffold_5000454 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIPCRFCRLQNKQCVNDCMFSPLFPSNNLRKFTIMNFVFGHKTLTFFLKDLSPMDRKYTTRTLYFEAKSWFFGPSKDPSDFLNAVINYANQTLAELSKTKKLLASYSRPTVVLALPAPRFLRSKTKSSDGHR >fgenesh1_pm.C_scaffold_5000468 pep chromosome:v.1.0:5:4366134:4366989:1 gene:fgenesh1_pm.C_scaffold_5000468 transcript:fgenesh1_pm.C_scaffold_5000468 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWSYMGKTSVFIFGLGFYTHAALFSNSDNKMLMTQLESFKETVKVQMESFKEPSKAQMAKYREMDQKFEAKYKEMENKLDNLVKLSMTQISELKVTREKCCNDLWKARKYIEEFHEGMDQKKQSN >fgenesh1_pm.C_scaffold_5000497 pep chromosome:v.1.0:5:4936660:4938821:-1 gene:fgenesh1_pm.C_scaffold_5000497 transcript:fgenesh1_pm.C_scaffold_5000497 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLVSSVSYIKSSYQFHCCFSLRKERLELDRLLLWSSHKKNHKLIRFSKRTFSQKLAVKMSASTLPMNLGKAKKVWIWTECKEAMTVAVERGWNTFIFSSDNRELSNEWSSIALMDTLFIEEDQVVDSMGNVVASVFEVSTPEELRNLKIENDQAENIVLDFLDWKSIPAENLVAALQGSEKTVLAISNTPSEAKLFLEALEHGLSGIILKSEDVKAVLDLKEYFDKRNEESDTLSLTEATITRVQMVGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIESRPFRVNAGPVHAYVAVPGGKTCYLSELRTGREVIVVDQKGKQRTAVVGRVKIEKRPLILVEVKLSAKEEETVFSIILQNAETVALVTPHQVNSSGKTAVPVTSLKPGDQVLIRLQGGARHTGIEIQEFIVEN >fgenesh1_pm.C_scaffold_5000501 pep chromosome:v.1.0:5:4966906:4968430:-1 gene:fgenesh1_pm.C_scaffold_5000501 transcript:fgenesh1_pm.C_scaffold_5000501 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQLFLCFTILFASVTLLDVVSAHLKLKPTLPQIEPPQTVKDVEPYTVKVVMVFVSDLEKECPKTNKFKAFFEKLRAYAKYVCPIKRKDQVDYDRDMKAKAGGLFQTISSFAIGKIKKEIQEEKMEVINTFKFMRFLAAKIMGSRKKDESEESMKLTAEQQKEINEGILRWETIIARITNTMVMSTKNSSSSEDSTTGKEASSGSSKKGGSSEDTKGANTNKGGSPSSSPSGSSSSAKGESETSSSKTTGGSSTSATQKESSASGNVGASQSSGVTVAQVEEETSKDVSTFIMNLEKKCPQKEEFKVFFEQLKGTMTAPAKERKGLFSRIKSAAGKLSGAMAVMRSRIGSKSAEVKKSMETYQEQVMKTLQELDTIHSQIVSQNKGKKEGSLTCTPAQQTQIKQTITKWEQVTTQFVETAIQSETKSSSTTSSSVGKMNTN >fgenesh1_pm.C_scaffold_5000525 pep chromosome:v.1.0:5:5392118:5393132:-1 gene:fgenesh1_pm.C_scaffold_5000525 transcript:fgenesh1_pm.C_scaffold_5000525 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSFSVLKRLILVPILVMVAQVLLMRSVSSLNMNNAYVDHKCSANQGEYKPGSHYKKVLDSGIQELSKDKEAFRGGFVYMDHTDIKEVRLEPERVYITFQCRGDIYGPQCRSCFATARPELFKRCPRDKGAIIWYDHCLLEFSSISTSGKINYDDNFCIDTARARPNAKTHTRDEVLEFLTLIDNLTNIAVTKRNNFVKDVDKPALYAAGEKRFGNKKIYVMVQCTKDLTPKACVECVSHNVIKFQNCYENKPVGLKIGGRVFGRSCNFRFERYPFVNAKTSPNYLKF >fgenesh1_pm.C_scaffold_5000549 pep chromosome:v.1.0:5:5704821:5706184:-1 gene:fgenesh1_pm.C_scaffold_5000549 transcript:fgenesh1_pm.C_scaffold_5000549 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQFSVAVAATFLSLVHIVVSVPFIMLHGISAECSDHTNSNFPRLLSNLSGSRGSCVEIGNGKSDSWLMPLTKQVEIVCDKVKQMKELRLGYNIVARSQGNLVARGLIEFCDDGPPVYNYVSLAGPHAGESSVPFCGCYEWCDIADKLMEKNIYSDFVQESLFLVSQDISEYMKSSKYLPKLNNEIPNERNSTYKERFTRLKNLVLIMFQNDTVIVPKESSWFGFYQDGNYTSQPPLLSAQQTKLYTEDWIGLKTLDVAGKVKFVSLPGGHLQIATTDVIKYVVPYLQNQPS >fgenesh1_pm.C_scaffold_5000550 pep chromosome:v.1.0:5:5711064:5715794:1 gene:fgenesh1_pm.C_scaffold_5000550 transcript:fgenesh1_pm.C_scaffold_5000550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4 glucan phosphorylase [Source:UniProtKB/TrEMBL;Acc:D7LNX4] MDTMRISGVSTGAEILVQCNSLSSLVSRRCDDGRLRTRMFPARSRNWRPSPTRRSSLSVKSVSSEPKAKVTDAVLDSEQEVFSSMNPFAPDAASVASSIKYHAEFTPLFSPEKFELPKAFFATAQSVRDALIMNWNATYEYYNRVNVKQAYYLSMEFLQGRALSNAVGNLGLNSTYGDALKRLGFDLESVVSQEPDPALGNGGLGRLASCFLDSMATLNYPAWGYGLRYKYGLFKQRITKDGQEEAAEDWLELSNPWEIVRNDVSYPIKFYGKVVFGSDGKKRWIGGEDIVAVAYDVPIPGYKTKTTINLRLWSTKAPSEDFDLSSYNSGKHTEAAEALFNAEKICFVLYPGDESNEGKALRLKQQYTLCSASLQDICQLGRIPEKVAVQMNDTHPTLCIPELMRILMDLKGLSWEDAWKITQRTVAYTNHTVLPEALEKWSLELMEKLLPRHVEIIEKIDEELVRTIVSEYGTADPDLLEEKLKAMRILENVELPSAFADVIVKPENKPVTAKDAQNGVKTEQEEEKIAGEEEEEEVIPEPIKPPKMVRMANLAVVGGHAVNGVAEIHSEIVKQDVFNDFVQLWPEKFQNKTNGVTPRRWIRFCNPYLSDIITNWIGTEDWVLNTEKLAELRKFADNEDLQSEWRAAKKKNKLKVVALIKERTGYTVSPDAMFDIQIKRIHEYKRQLLNILGIVYRYKKMKEMSASEREKAYVPRVCIFGGKAFATYVQAKRIVKFITDVASTINHDPEIGDLLKVIFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFSMNGCVLIGTLDGANVEIREEVGEENFFLFGAKADEIVNFRKERAEGKFVPDPTFEGVKKFVRSGVFGSNSYDELIGSLEGNEGFGRADYFLVGKDFPSYIECQEKVDEAYRDQKRWTRMSILNTAGSFKFSSDRTIHEYAKDIWNIKQVELP >fgenesh1_pm.C_scaffold_5000551 pep chromosome:v.1.0:5:5715917:5717216:-1 gene:fgenesh1_pm.C_scaffold_5000551 transcript:fgenesh1_pm.C_scaffold_5000551 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNSQWQQPPAPAMQPPEMNQSAAVDAYYASYCAHYANPDPNFKFDLQTHESLAIAIPPAPGVVVTTPYYTLDANAQNLAWQESVRPSHSLYPPGAGFSWTGHIVQAQLPGLKKVSKKGKVVRSAYCEVYSSLPGPTVAIPLIGPQENPSKSKARKKGVESITEDLETKRRRVVECGVSNESIRLCRICNVVCNSDTVYNDHLAGQKHAAKAAKTPVNT >fgenesh1_pm.C_scaffold_5000552 pep chromosome:v.1.0:5:5719969:5721330:-1 gene:fgenesh1_pm.C_scaffold_5000552 transcript:fgenesh1_pm.C_scaffold_5000552 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRLHVCKKCGKKFATLKGVYGHQRIHSGNYNRIEDDNGLQKIWGLKKSRVCSVSASDRFKGSSFMTEIKKHEVIEAAMNLVMLSRRVYDFASIRNLPLGVDFMDLELKPSPLRSKLQKKTQSTYKCRICDKSFVCSQALGSHQRLHRSMKGHLVRKREYIEDDKAKNIVTQRSSFELSQKEKILQRVEPKLEFHERLTHSGFDKSSTCSKANFSAFPSPLKAKKIVSQPSSFEASVDEKFLHRFEQKLDFSKQLAHSGFDNSSSCRKTNYRFKICGKSFVCSQAQGGHQKLHRSEDGNSFSGVTDSEASKIVSQPSSYKSQGI >fgenesh1_pm.C_scaffold_5000558 pep chromosome:v.1.0:5:5765680:5766815:-1 gene:fgenesh1_pm.C_scaffold_5000558 transcript:fgenesh1_pm.C_scaffold_5000558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIB family protein [Source:UniProtKB/TrEMBL;Acc:D7LNY7] MEEETCLDCKRPTITVIDHSSGDTICSECGLVLEAHIIEYSEEWRTFASDDNRSDRDPNRVGAPTNPLLKSGNLVTVIEKPKENVSSVVSKDDISTLCRTQNQVKNHEEDLIKKAFEEIQRMTDALYLNIAVNSRACEIVSKFGGHANTKLRRGKKLYAVCAASVSMACRELKLSRTLKEIAMVANGVELKDIRKSSMVIKRVLESDQASVSAAQAIINTGELVRRFCSKLDISQREIMAIREAVEKAENFDIRRNPKSVLAAIIFMISHISQTNRRPIREIGIVAEVVENTIKNSVKDMYPYALKIIPNWYACEAEIIKRLDGVITSWDSAKNSV >fgenesh1_pm.C_scaffold_5000560 pep chromosome:v.1.0:5:5835531:5836666:-1 gene:fgenesh1_pm.C_scaffold_5000560 transcript:fgenesh1_pm.C_scaffold_5000560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIB family protein [Source:UniProtKB/TrEMBL;Acc:D7LNZ6] MEEETCLDCKRPTITVIDHSSGDTICSECGLVLEAHIIEYSEEWRTFASDDNRSDRDPNRVGAPTNPLLKSGDLVTVIEKPKENISSVVSKDDLSTLCRAQNQLKNHEEDLIKKAFEEIQRITDALYLDIVVHSRACEIVSKFGGHANTKLRRGKTLYAVCAASVSMACRELKLSRTLKEIAMVANGVELKDIRKSSMVIKRVLESDQASVSAAQAIINTGELVRRFCSKLDISQREIMAIREAVEKAENFDIRRNPKSVLAAIIFMISHISQTNRRPIREIGIVAEVVENTIKNSVKDMYPYALKIIPNWYACESDIIKRLDGVITSWDSAKITV >fgenesh1_pm.C_scaffold_5000570 pep chromosome:v.1.0:5:6218387:6220362:1 gene:fgenesh1_pm.C_scaffold_5000570 transcript:fgenesh1_pm.C_scaffold_5000570 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWVRPEVYPLLGAMGFVTTMVVFQLTRNALLNPDCRINKEHRKMGILENEDEGEKYAQHNFRKYLRTRQPQVMPSLNRFFSQEDN >fgenesh1_pm.C_scaffold_5000571 pep chromosome:v.1.0:5:6221616:6226246:-1 gene:fgenesh1_pm.C_scaffold_5000571 transcript:fgenesh1_pm.C_scaffold_5000571 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNTNWKPNEQGGDSAANNAIDWRSQHEPELRKKVLSKIVEKLKEYCPTHEEYKIIDIASKFEEKFYSIANDKTPTSQQWLPQNTQSNLNIPGSSGLPSQAPITVSGAQNQQVQPPQQQHHPQSLLKQPIQQSIPQSCLSSSSQQTSMQQNSQSLPRHQFPTQRVSSSHQQQMVLPSQEQKRQEQEQLISQLMNVQQNHPTSRQNNGAQQGSFRVSSSQQNNNLQNMHPQRLNNASALPLQQQQNMPRGQQVGQSQPMMSQQYRAQHPMQQLPQNRSSQQHFDSVQNNTNRFQEGSSLRQTQNLTDQQNQQHQLQRAPLANPSTSQDSTGKTVNANAGDWQEETYQKIKHLKEMYLPVLSLMLQRVKEKLRQVESLPPQKLQSQSIEKLKAGKSSIEQLIFFLNVHKSTVSEKHRDKFSLFENHILKFTKSHTMVPRPTQQQQGQFPPSQSHQTALQSQSPQVHVSQSLDNDQMSSRLMPISQTAASSSIMPHSLQTRPKLEPRDENNIMASSGSVMLPSGKQNQQAVQSNISLVQSLQQQKQFHHRQMQPQQQLQQPQLANQQHQIPTSQQTNEMNDVRMRQRVNIKAGLLQQQVSSSPRQAPKPQSNVSSPQIHHHSSPQLVDQQILPAVNKTETPLMSGGSPFVAPSPIPGDPEMPISVESPVSGVEIKSTLDSSSKLGTEEHPPLSVPPEPITERPIDRLIKAFQAASPKSLAESVSEISAVISMVDRFAGSFHSGGGSRAGLGEDLSERTRNFSTHEETNPSKRMKRSVSIVPRDMSSQIDSYEQFSSLESEVDSTASSGSKVNNIAHGCALLQEIKETNGRLVETVVEICDEDASGTIVTCTYSPVALSATFKDHYKSGKIVSLSKLLLFLPMFSFLMSPMFHAVTDSTVTLTSSYGLSINVHKYEDLSARTRSRFSLSMTELSEPGSLKEIAKTWNDCARATMVEYAERHGGGTFSSKYGAWETVLRAS >fgenesh1_pm.C_scaffold_5000576 pep chromosome:v.1.0:5:6480013:6482082:-1 gene:fgenesh1_pm.C_scaffold_5000576 transcript:fgenesh1_pm.C_scaffold_5000576 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVYNLWIVIVSLIVVKLCHLIYQWSNPKCNGKLPPGTMGYPIIGETIEFMKHHDALQFSTFLKKRVLRHGPVFRTSLFGGKVIISMDNELNMEMAKTNRTPGITKSIARLFGEDNNLFLQSTESHKHVRNLTIQMLGSQSLKLRIMENIDLLARTHMEEGSRNGSLDVKETTSKILIECLANKVMGEMEPEAAKKLAICWRYFPSGWFRLPFNFPGSGVYNMMKARKRMKKLLKEEVLKKREAGEEFGEFFKTIFGEIEGEKETMSMENLIEYIYTFFVIANETTPRILAATVKLISENPKVKQELQREHAIIFGNKSENESGLTWEDYKSMTFTNMVINESLRISTTVPVILRKPDHDIEVGDYTIPAGWNFMGYPSAHFDPKKYEDPLVFNPWRWKGKDLDAIVSKNYIPFGAGPRLCVGAYFAKLLMAIFLHHLCRYRWSMKAEVTVTRSYMLMFPRGCDVQFSKDTHVHDSDGY >fgenesh1_pm.C_scaffold_5000579 pep chromosome:v.1.0:5:6620152:6621371:-1 gene:fgenesh1_pm.C_scaffold_5000579 transcript:fgenesh1_pm.C_scaffold_5000579 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFTIIVIAFLLIIQSLEEEHVLVYAHEGGEAGHKSLDYLGDQDSLTLHPKEFYDAPRKLRSGRTTRAEKEQVTAMNNDNWGFKISGASEHLTLRLPKLEPSTPTNDMKKLARLLRDDYPIYSKPRRKPPINNRAPDKF >fgenesh1_pm.C_scaffold_5000580 pep chromosome:v.1.0:5:6692863:6695076:1 gene:fgenesh1_pm.C_scaffold_5000580 transcript:fgenesh1_pm.C_scaffold_5000580 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSSMAAKFAFFPPNPPSYGVEVVEGKLKLIGVENVKDNVESIEAEDEERESGFTNASFILTVTPPILVRCLSSSPSSAFIFGYDYSGYGRSSGKPSEQNTYSDIEAVYRCLEEKYGVKEQDVILYGQSVGSGPTLELASRLPNLRAVVLHSAIASGLRVMYPVKRTYWFDIYKNIEKISFVKCPVLVVHGTSDDVVNWSHGKQLFDLCKEKYEPLWIKGGNHCDLELYPQYIKHLRKFVSAIEKSPHLRNGPVPQTEKARSSTDIREPARPSTDQREKSRTSTDQREMPKLSTDKARASVDKRERTRKSVDGTDKPSNSTEQQLLQPEKGRNSIDRFGEMIRSVGFCNIDCFKPTATAK >fgenesh1_pm.C_scaffold_5000585 pep chromosome:v.1.0:5:6797728:6799563:1 gene:fgenesh1_pm.C_scaffold_5000585 transcript:fgenesh1_pm.C_scaffold_5000585 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LP54] MDSIYQRDRISSLPDVVLVMILSFLSFKDNVKTSALSKRWRNLCYETRNISFKESEYVDHYVSDYISKRVSFVRYMLNWVSRVPIQVIESFEICLSNPVGFEADIKSLIEFSISKQVKNLVLDFSSPFWSHSWDGLRYDDFVIVLPALIYGLQTLESLKIYACLFDPSKFANVGLRKLSIGWLRLKKIESLLSKFPLLESLSIISCYLDEIMLAGQIRELIIENCIIPYMSYSFNLPNIEIFKYSGDLIFFDFAKVDMILLKEVYLEFGVEYNNDEPSFSTEEAGNMLSHLLNDLRPSRTLIVCSYLLEVIPECNDPVDMLCDLKTQHLVLETHLHPMEFRGIKLLLDHCPNLETLTFKILSPRPFPMASNGGISSQIFWLENISSRCLRRTLKTLVVKGYCSSWNEFYLLNYFVQPAHGYALERVELYMPTWLKETERQWAHYGAAMLQSTSNRVQVILHNGFWCIR >fgenesh1_pm.C_scaffold_5000593 pep chromosome:v.1.0:5:6886752:6887714:-1 gene:fgenesh1_pm.C_scaffold_5000593 transcript:fgenesh1_pm.C_scaffold_5000593 gene_biotype:protein_coding transcript_biotype:protein_coding MHDHNMFYNMIRVLESSMEREECNSSESGWTTYISSPLEEEEEEVIDEVYYEGHSIEKDRRKFANEYENNKDSDDSMASDASSGPGYQQYPQTNDRGKRREGLAVRNGKGESNNTSNDAYLHHIDDKNSGNHISRKKEKKKIENKSRSHKKK >fgenesh1_pm.C_scaffold_5000598 pep chromosome:v.1.0:5:7060372:7061547:-1 gene:fgenesh1_pm.C_scaffold_5000598 transcript:fgenesh1_pm.C_scaffold_5000598 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSEEFSEVPDLSKWFSSYVYESPMLDTSDGLEFLEVKAKDMSQSQVEFSEDIMNLVVEDSDIDEDCIFFENLRERKRLQQPMKLN >fgenesh1_pm.C_scaffold_5000600 pep chromosome:v.1.0:5:7212135:7214983:-1 gene:fgenesh1_pm.C_scaffold_5000600 transcript:fgenesh1_pm.C_scaffold_5000600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LP94] MNFSYSSLSTLTTLITLGCLLLHSSNSSAQLTPTFYDNTCPNVFTIVRDTIVNELRSDPRIAASILRLHFHDCFVNGCDASILLDNTTSFQTEKDAAPNANSARGFPVIDRMKAAVETACPRTVSCADILTIAAQQSVNLAGGPSWRVPLGRRDSLQAFFALANANLPAPFFTLPQLKASFQNVGLNRPSDLVALSGGHTFGKNQCQFIMDRLYNFSNTGLPDPTLNTTYLQTLRGQCPRNGNQTVLVDFDFRTPTVFDNKYYVNLKELKGLIQTDQELFSSPNATDTVPLVREYADGTQKFFNAFVEAMNRMGNITPLTGTQGQIRQNCRVVNSNSLLHDVVEIVDFVSSI >fgenesh1_pm.C_scaffold_5000603 pep chromosome:v.1.0:5:9455127:9457091:1 gene:fgenesh1_pm.C_scaffold_5000603 transcript:fgenesh1_pm.C_scaffold_5000603 gene_biotype:protein_coding transcript_biotype:protein_coding METALEVYNDTETRSPETQPIKRRKKKSMVWEHFTIEAVEPNCRRAFCKGCNQSFAYSNGNKVAGTSHLKRHIAKGTCPALIHTQDNDNNQLMTTPYTPKNDTPRRRYRTQTPSPFVAFNQDKCRQEIAKMIIMHDYPLHMVQHPGFISFVQSIQPHFDAVSFNNVQGDCVATYLAEKQNVMKSLEGIPGRFCLTLDFWTSKLTLGYVFITAHFIDSDWKIQKKLLNVMMESYPEADEALSLVVANCVSEWGLEGKLFSVTFNHPASNSAVENIRPQLCIKNPGILDGQLVIGNCVARTFSSLAKDVLEKGKDVIKNIRDSVKHVKTSESHEERFIELKEQLQVPSEKVLSLDDQTQWNTTYKMLVAASELKEVFSCLDTADPDYKKPPSAEDWRHVEALCTFLKPLFEAASTLQSTGNPSAVTFFHEVWKTQSDLSRAIAGEDPYVAGIAKTMKEKVDKYWRDCSLVLAMAVVMDPRFKMKLVEFSFSKIFGEDAGKNIKTVDDGIHELFSEYMALPSPLKPTSEGGKADGLSDFDTYIMETTGQNLKSELDQYLDETLLPRVQEFDVLDWWKQNKLKYPTLSKMARDILSIPVSAAAFDYVFDMEPREMDEYKTSLRPETVEALICAREWLLENASSSAAAQMASAIIKSEA >fgenesh1_pm.C_scaffold_5000604 pep chromosome:v.1.0:5:9457512:9461098:-1 gene:fgenesh1_pm.C_scaffold_5000604 transcript:fgenesh1_pm.C_scaffold_5000604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7LPB7] MSSLLDHTNALQSSSSSLYSPPNTIKRRSNLEKREEELRKARAEIRRAVRFKNYTSNEEVTYIPTGQIYRNSFAFHQSHIEMMKRFKVWSYREGEQPLVHDGPVNDIYGIEGQFIDELSNVIGGPSGRFRASRPEEAHAFFLPFSVANIVHYVYQPITSPADFNRARLHRIFNDYVDVVARKHPFWNQSNGADHFMVSCHDWAPDVADSKPEFFKDFMRGLCNANTTEGFRPNIDISIPEINIPKRKLKPPFMGQTPENRTILAFFAGRAHGYIREVLFTHWKGKDKDVQVYDHLTKGQNYHELIGHSKFCLCPSGYEVASPREVEAIYSGCVPVVISDNYSLPFNDVLDWSKFSVEIPVDKIPDIKKILQEIPHDKYIRMYQNVMKVRRHFVVNRPAQPFDVIHMILHSVWLRRLNIRLPS >fgenesh1_pm.C_scaffold_5000605 pep chromosome:v.1.0:5:9464771:9466848:1 gene:fgenesh1_pm.C_scaffold_5000605 transcript:fgenesh1_pm.C_scaffold_5000605 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPITRIGYIYIQKARKEDHERFRQVYRMYPELFLKYNYTMDTFRRSKFAVSTNFHKVLKALNNIAPSLMAKPRLTVPKKIRERTRYYCNAPTDCVGAIDGTHIHATVPASDAPSYQFIYVLSGWEGTAHDSEVLNDALTKSTSKLPVPEGKYFLVDCGFVNRRNFLAPYRGTKYHLQDFRGQGCDPSNQNELFNLRHASLRNVIERIFGIFKSRFLIFKSAPPFSFKVQVELILAFAGLHNFLRRECRSDEFLPEEYSEKSEENNKNNEENTYEENGDTGIVQSQQREYAYNWRDTIAANMWAKATGTGSHP >fgenesh1_pm.C_scaffold_5000607 pep chromosome:v.1.0:5:9482047:9485852:1 gene:fgenesh1_pm.C_scaffold_5000607 transcript:fgenesh1_pm.C_scaffold_5000607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:D7LPC2] MATDISWDEIKKENVDLERIPVEEVFEQLKCSKEGLSSDEGKKRLEIFGANKLEEKSENKFLKFLGFMWNPLSWVMESAAIMAIVLANGGGKPPDWQDFIGIMVLLIINSTISFIEENNAGNAAAALMANLAPKTKVLRDGKWGEQEASILVPGDLISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKHPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTSIGNFCICSIGLGMLIEILIMYPIQHRTYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKSLIEVFPKNMDTDSVVLMAARASRIENQDAIDASIVGMLGDPKEARTGITEVHFLPFNPVDKRTAITYIDESGDWHRSSKGAPEQIIELCNLQGETKRKAHEVIDGFAERGLRSLGVAQQTVPEKTKESDGSPWEFVGLLPLFDPPRHDSAETIRRALELGVNVKMITGDQLAIGIETGRRLGMGTNMYPSTSLLGNSKDESLVGIPIDELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALIWRFDFAPFMVLIIAILNDGTIMTISKDRVKPSPVPDSWKLNEIFATGVVLGTYMALTTVLFFWLAHDTNFFSKTFGVRSIQGNEEELMAALYLQVSIISQALIFVTRSRSWSFVERPGFLLLIAFVIAQLVATLIAVYANWGFARIVGCGWGWAGVIWVYSIITYIPLDILKFIIRYALTGKAWDNMINQKTAFTTKKDYGKGEREAQWALAQRTLHGLPPPEAMFHDNKNELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >fgenesh1_pm.C_scaffold_5000608 pep chromosome:v.1.0:5:9535255:9540635:1 gene:fgenesh1_pm.C_scaffold_5000608 transcript:fgenesh1_pm.C_scaffold_5000608 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRRNTNPSTTTSSTHPNETSTPPTETTVWLDEFKKCYKRWKFLMHKTGITVYPDTSMIYASDTWWKEQELGCKLTKSLNQRPPEFWNVMQRCFVLYDVQSQSQHSARQRREQLINEHPIDEDERKKRAKMTQTLIVVTCLKHKFLRRKKKKKCNVLQLMMMKRRLNLQSTARRGSSSQRSGRSARVSIGSGSRGNRRRQSFETTIQDTIAGYREFQRQSFQQLRPGGFDQDDYDEFKKAEAIFIALDLPKHTRFWACINALKELVFWRKYFIDISGSSNEDKLQLLEAMTGWGTPPNAPQWGTPPNAPQWGTPPNAPQWDTPPNFQQSGSSGTTPANVQYGFSLGGQGEHMRNTQQASPSGLGFTNYFETGKMPQTPRPGGFFNIWGTRQEPNASHQSDVGDED >fgenesh1_pm.C_scaffold_5000609 pep chromosome:v.1.0:5:9541012:9542025:1 gene:fgenesh1_pm.C_scaffold_5000609 transcript:fgenesh1_pm.C_scaffold_5000609 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPCFTTLCNMLQTNYGLQPTLNISIEESVAMFLRICGHNEVQRDVGLRFGRNQETVQRKFREVLTATELLACDYIRTPTRQELYRIPERLQVDRRYWPYFSGFVEAMDGTHKYYLVDSGYPNKQEFLAPYRSSRNRVVRYHMSQFYSGPPPRNKHELFNQCHASLRSVIERTFGVWKKKWRIISDFPRYNVHIQKRVVMATVGLHNFIRISNFSDADFADVMTETNINNGDFEHDVCDMDATELADGEYMTQIRDNIANMLWGNQNNR >fgenesh1_pm.C_scaffold_5000617 pep chromosome:v.1.0:5:9861253:9862812:1 gene:fgenesh1_pm.C_scaffold_5000617 transcript:fgenesh1_pm.C_scaffold_5000617 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:D7LPE8] MERNTIEVESLDGEDDFLLELAAIEAEAAAKRPRVSSIPEGPYMAALKGSKSEQWQQSPLNPASKSRSVAVTTGGFQRSDGGGVAGEQDFPEKSCPCGVGLCLVLTSTTPKNPGRKFYKCPNREENGGCGFFQWCDAVQSSGTTTHSYGNTNDSKFPDHQCPCGAGLCRVLTAKTGENVGRQFYRCPVFEGSCGFFKWCNDNAVSSPTSYSVTKNSNLGDSDTRGYQTAKTGAPCYKCGKEGHWARDCTAQSGNPTYEAGQVKSSSSSGECYKCGKQGHWAKDCTGQSGDPQFQSRQAKSTTSGGDCYKCGKPGHWARDCTSAAQTTNTPGKRQRQY >fgenesh1_pm.C_scaffold_5000621 pep chromosome:v.1.0:5:10005887:10006811:1 gene:fgenesh1_pm.C_scaffold_5000621 transcript:fgenesh1_pm.C_scaffold_5000621 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEGILVQGDGSYHIYIREQMTGNHVYERAMETVVSEISIHMPASCHICFDDDFKAEQMFSCVKRHIEARLLEGRVPRCPDYQCESKLTFRSCANLLTPKLKAMCSALMSVTELSISTQEAEVRRCCVKCSEPFCINCKVPWHSDLSCGDYKRLGVDIRFVTHVVPNGSEEVALTEQTCIHAILLSYLLLPF >fgenesh1_pm.C_scaffold_5000623 pep chromosome:v.1.0:5:10017642:10022235:1 gene:fgenesh1_pm.C_scaffold_5000623 transcript:fgenesh1_pm.C_scaffold_5000623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7LM83] MMGPPRTPLSKVDRVNNPYTPGGSKVTEEKILVTVRMRPLNWREHAKYDLIAWECPDDQTIVFKNPNPERAATKFSFDKVFEPTCATQEVYEGGSRDVALSALAGTNATIFAYGQTSSGKTFTMRGVTESVVKDIYEHIRKTQERSFVLKVSALEIYNETVVDLLNCDTGPLRLLDDPEKGTIVENLVEEVVESRQHLQHLISICEDQRQVGETALNDKSSRSHQIIRLTIQSSLREIAGCVQSFMATLNLVDLAGSERACQTNADGLRLKEGSHINRSLLTLTTVIRKLSSGRKSDHVPYRDSKLTRILQNSLGGNARTAIICTISPALSHVEQTKKTLSFAMSAKEVTNCAKVNMVVSEKKLLKHLQQKVAKLESELRSPESSSSTCLKSLLIEKEMKIQQMESEMKELKRQRDIAQSELDLERKAKELKGSSECEPFSQVARCLSYQTEEESIPSKSVPSSRRTTRGRRKDNVRQSLTSADPTALVQEIRLLEKHQKKLGEEANQALELIHKEVTSHKLGDQQAAEKVAKMLSEIRDMQKSNLLTEEIVVGDKANLKEEITRLNSQEIAALEKKLECVQNTIDMLVSSFQTDEQQTPDFRTQVKKKRVLPFGLSNSPNIQHMIRAPCSPLSSSGTENKAPESNVVSTNSAPVSFGATPPKRDDNRSRTPSREGTPVSRQANSVDVKRMKRMFKNAAEENIRNIKAYVTGLKERVAKLQYQKQLLVCQVLELEANETGAASESDATDESQMDWPLCFEEQRKQIIMLWHLCHISIIHRTQFYMLFKGDPADQIYMEVELRRLTWLEQHLAELGNASPALLGDEPASYVASSIRALKQEREYLAKRVNTKLGAEEREMLYLKWDVPPVGKQRRQQFINKLWTDPHNMQHVRESAEIVAKLVGFCDSGENIRKEMFELNFASPSDKKTWMMGWNFISNLLHL >fgenesh1_pm.C_scaffold_5000625 pep chromosome:v.1.0:5:10051166:10051987:1 gene:fgenesh1_pm.C_scaffold_5000625 transcript:fgenesh1_pm.C_scaffold_5000625 gene_biotype:protein_coding transcript_biotype:protein_coding MELDPKKFVALLDKIEAEADEFLLARNQMVENDKERNANREALTALRKRARTTKTSVLTPFDSMMKDIHVSSTKPLVQEVCSTCGSHDSSEPTWMMLPGADLFAAIPFHALHTMLEKDEEKMEFESKKLQSLVKEKALFISELGALADNISPGVIRSLVALKDKPLVM >fgenesh1_pm.C_scaffold_5000635 pep chromosome:v.1.0:5:10259721:10262211:1 gene:fgenesh1_pm.C_scaffold_5000635 transcript:fgenesh1_pm.C_scaffold_5000635 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHNKDEKFVFDDGEDEDREDPVAIKVVDGEDEDEDDDEANEDLSLKILEKALSRRDVGNKLDSDLSDSGVVNTVMVNGGKSKVKKSESNKKMKRNKLEAAHEFPIVWRDQDEEKVVEEVVKGEGEDNEVERSDEPKTEETSSNLVLKKLLRGARYFDPPDAGWVSCYSCGEQGHTSFNCPTPTKRRKPCFICGSLEHGAKQCSKGHDCYICKKGGHRAKDCPDKYKNGSKGAVCLRCGDFGHDMILCKYEYSQEDLKDIQCYVCKSFGHLCCVEPGNSPSWAVSCYRCGQLGHTGLACGRHYEERNENDSSSLSFPENNREASECYRCGEEGHFARECPNSSSISTSQGRESQSLCYRCNGAGHFARECPNSSQVSKRDRDTSTPSHKSRKKNKENLEHDSTPHESNGKTKKKKKKTHKEEQPQTSPRKRKHRGGWITEDPEEESFQRGKMRRPKSPITPSGYNKSPSTHMGHNYRSPKFNSGGHFPGSQSSRHHSGPSPSRWQPSYPPSHHHQHHHHHHHQHHHHQNQSYGTAPPRYGRAHHYGEFAGNYERW >fgenesh1_pm.C_scaffold_5000636 pep chromosome:v.1.0:5:10262677:10267598:-1 gene:fgenesh1_pm.C_scaffold_5000636 transcript:fgenesh1_pm.C_scaffold_5000636 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVFAINGQRFELELSSVDPSTTLLEFLRYQTTCKSVKLSCGEGGCGACVVLLSKFDPVLQKVEDFTVSSCLTLLCSVNHCSITTSEGLGNSRDGFHPIHKRLSGFHASQCGFCTPGMCVSLFSALLDADKSQSSELTVVEAEKAVSGNLCRCTGYRPIVDACKSFASDVDIEDLGLNSFCRKGDKDSSSLTRFDSEKHICTFPEFLKDEIKSVDSGMYRWCSPGSVEELSSLLEACKANSDRVSMKLVAGNTSMGYYKDEKEQNYEKYIDITRIPQLKEIKENQNGVEIGSVVTISKVIAALKEIRVSPGVEKMFGKLATHMEKIAARFIRNFGSIGGNLVMAQRKQFPSDMATILLAAGTFVNIMSLPRGLEKLTLEEFLERSPLEAHDLVLSIEIPFWQSEASSELLFDTYRAAPRPNGSALAYLNAAFLAEVKDTMVVNCRLAFGAYGTKHAIRCKEIEEFLSGKVITDKVLYEAITLLGNVVVPEDGTSNLAYRSSLAPGFLFKFLKTIMTHPITDKPSNGYHLDPPKPLPMLSSSQHVPINNEYNPVGEPVTKAGASLQASGEAVYVDDIPSPTNCLYGAFIYSKKPFARIKGIHFKENLVPTGVVAVISRKDVPKGGKNIGMKTGLGSDQLFAEDFTITVGECIAFVVADTQRHADAAANLAVVEYETEDLESPILSVEDAVKKSSLFDIIPFFYPQQVGDTSKGMAEADHQILSSEIRLGSQYFFYMETQTALAVPDEDNCIVIYSSTQTPQYVHSSVAACLGIPENNVRVITRRVGGAFGGKAVKSMPVATACALAANTLQRPVRTYVNRKTDMIMTGGRHPMKITYSVGFKSTGKITALELEILIDAGASLGFSTFIPSNIIGALKKYNWGALSFDIKLCKTNLLSRAIMRSPGEVQGTYIAEAIIENVASSLSLEVDTIRKINLHTYESLALFYKDSAGEPHEYTLSSMWDKVGVSSNFEERVSVVREFNESNMWRKRGISRVPIIYQVSLFSTPGRVSVLSDGTIVVEVGGIELGQGLWTKVKQMTSYALGMLQCDGTEELLEKIRVVQSDSLSMVQGNFTGGSTTSEGSCAAVRLCCETLVKRLRPLMERSGGPITWNKLISQAYAQSVNLSASDLYTPKDTPMRYLNYGTAVEVDLVTGQTTVLQTDILYDCGKSLNPAVDLGQIEGSFVQGLGFFMLEEYITDPEGLVVTDSTWTYKIPTVDTIPRQFNVEILNSGCHEKRVLSSKASGEPPLLLAASVHCATRQAVKEARKQLHMWKGEDGSSDSTFQLPVPATMPVVKELCGVDIIESYLEWKLRSNSKL >fgenesh1_pm.C_scaffold_5000657 pep chromosome:v.1.0:5:10641551:10643013:-1 gene:fgenesh1_pm.C_scaffold_5000657 transcript:fgenesh1_pm.C_scaffold_5000657 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLPDDLLVHILSFLPTKQAVSTSLLSKRWRTLFTLSDNLDFDDPISERPEDIRKSFNDFVDSSLAFQGGKHIKKFSLKHTEIYEEEEHNVDRWICKALEHGVSELHLHLHVKSKLWWEFSIPSKVFTSTTLVKLSLGTGLDCPRLPPDTSLPALKVLLLDSIFWFRHDQLSNVLLAACPALEDLTIHYEYYPGHSYVISSKSIKKLSVTINSSYYVDQSSILTLDTPNVADLYYSDYPRRKSPRCQLDSVAKATLNLHFLKDYRQVKSDADVTDLISGIRNVKTLHLTIPTVKVILVCCKDELPVFNNLVDLVFSSKRQGWKVLLPLLLERSPNLKNLILSFVGIPIPLNNQIKILRIMQYQGSETELKHISHFLLNMECLEVVEVNVAPTLYDPKKVRLTEDLLKLPTASSSSKLKIQVL >fgenesh1_pm.C_scaffold_5000671 pep chromosome:v.1.0:5:10803492:10805127:-1 gene:fgenesh1_pm.C_scaffold_5000671 transcript:fgenesh1_pm.C_scaffold_5000671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 71B38 [Source:UniProtKB/TrEMBL;Acc:D7LNQ1] MSVFLCFLLLFLLSLVFFKRLLPSKGKLPPGPTGLPIIGNLHQLGKVLHQSFHKISQEYGPVVLLHFGVVPVIVVSSKEGAEEVLKTHDLETCSRPKTAAVGLFTYNFKDIGFAPFGEDWREMRKITMLELFSLKKLKSFRYIREEESELLVKKLSKSADESETSLVNLRKVFFSFTASIICRLAFGQNFHQRDFVDMERVEELVVESETTLGTLAFADFFPGGWLIDRISGHHSTVHKAFSKLANFFKHVIDDHLKTGPQDHSDIVSVMLDMINKPTKVDSFKVTDDHLKGVMSDMFLAGVDGGAITLLWTMTELSRHPRVMKKLQEEIRATLGPNKERITEEVLEKVEYLKLVIMETFRLHPPAPLLLPRLTMADIKIQGYNIPKNTMIHINTYTIGRDPKYWKNPSEFIPERFLDNLIEYNGQHFELLPFGSGRRICPGMTTGITIVELGLLNLLYFFDWSLPNGMTTADIDMEEDGGFAMAKKVPLVLIPTSHRW >fgenesh1_pm.C_scaffold_5000676 pep chromosome:v.1.0:5:10842552:10844493:1 gene:fgenesh1_pm.C_scaffold_5000676 transcript:fgenesh1_pm.C_scaffold_5000676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase 2 [Source:UniProtKB/TrEMBL;Acc:D7LNQ6] MSTFENTTPFNGVASSTIVRATIVQASTVYNDTPATLAKAKKYIVEAANNGSKLVVFPEAFIGGYPRGFRFGLAVGVFNEEGRDEFRKYHASAIHVPGPEVEKLAELAGKNNVYLVMGAIEKDGYTLYCTALFFSPQGQFLGKHRKLMPTTLERCIWGQGDGSTIPVYDTPIGKLGAAICWENRMPLYRTALYAKGIELYCAPTADGSKEWQSSMLHIAIEGGCFVLSACQFCQRKDFPDHPDYLFTDLDDNKEHDAIVSQGGSVIISPMGQVLAGPNFESEGLITADLDLGEVARAKLYFDVVGHYSKPDVLSLTVNEHPKKPVTFVSKVEKAEDESNK >fgenesh1_pm.C_scaffold_5000687 pep chromosome:v.1.0:5:10997252:10998389:1 gene:fgenesh1_pm.C_scaffold_5000687 transcript:fgenesh1_pm.C_scaffold_5000687 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNNGRRNVEMKSEHIPDWTKLNLDCLFDIFSRLSIQQRCVAPMLVCKTWMNVCKDPFLNSVFDLEGWFLSSTETSNRWTSKFSEKVDSILMSVAEWSEGGLKVIRVRHCTDQSLLYVADRCPNLEVLWVKHCPKVTDESMGKIALKCPKIMELDISSSYALTRECMGVFGKNCKNLQIVKKNYVYPTEVSRYMQHVKHLELRFSTMTDKGFASICKHCVNLEYLDLSGSPNLTMDGVINGISILKNLKEIKKPNSTAFFFIDLL >fgenesh1_pm.C_scaffold_5000694 pep chromosome:v.1.0:5:11227797:11231695:-1 gene:fgenesh1_pm.C_scaffold_5000694 transcript:fgenesh1_pm.C_scaffold_5000694 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWIDELADDLQSVSFTSAGTTVNRSTSSGSRSSSSAAALTPAPSAHGSFSSSKLPPSLRSSLSLSDLRFRLRLGSGDIGSVFLAEFKSLAAVTETTAVKLPLLAAKVMDKKELASRSKEGRAKTEREILESLDHPFLPTLYAAIDSPKWLCLLTEFCPGGDLHVLRQKQNYKRFHESAVRFYVSEVIVAIEYLHMLGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDESTSTPQIVLNRNNLPNGSSDQNENQGMDHHQTTSSSCMIPNCIVPAVSCFHPRIRRRKKKTDHRNNGPELVAEPVDVRSMSFVGTHEYLAPEIVSAFSCNFPMWYPNMERELWIAGEGHGSAVDWWTLGIFMFELFYGTTPFKGMDHELTLANIVARALEFPKEPTIPSAAKDLISQLLAKDPSRRLGSSLGATAVKRHPFFQGVNWALLMCTRPPFLPPPFRKELLSDDICPETHVDYY >fgenesh1_pm.C_scaffold_5000703 pep chromosome:v.1.0:5:11371311:11374394:-1 gene:fgenesh1_pm.C_scaffold_5000703 transcript:fgenesh1_pm.C_scaffold_5000703 gene_biotype:protein_coding transcript_biotype:protein_coding METLRESLSEKSSSVEAQGNVVRALKASRAAKPEIDAAIELLNKLKLEKSAVEKQLQASIGNGLHNREAFRQNVVNTLERRLFYIPSFKIYGGVAGLFDYGPPGCAVKSNVLSFWRQHFILEENMLEVDCPCVTPEIVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDYCNEKLEKDLTISGEKAAELKDVLAVMEDFSTEQLGAKIKEYGITAPDTKNPLSDPYPFNLMFQTSIGPSGSSPGYMRPETAQGIFVNFKDLYYYNGRKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPENKSHLKFSEVAKLEFLMFPREEQMSGQSAKKFCLGEAVAKGTVNNETLGYFIGRVYLFLVRLGIDKERLRFRQHLANEMAHYAADCWDAEIESSYGWIECVGIADRSAFDLCAHSEKSGVPLVAEEKLAEPKEVEKLVITPVKKELGLAFKGNQKNVVESLEAMNEDEAMQMKATLESKGEVEFYVCTLEKNVSIKKNMVSISQKKKKEHQRVFTPSVIEPSFGIGRIIYCFKTGDEQLNVFRFPPLVAPIKCTVFPLVQNQQFEEAAKVISKELASVGISHKIDITGTSIGKRYARTDELGVPLAITVDSDTSVTIRERDSKDQVRVSLEEAASVVSSVAEGKMTWQDVWASFPHHSSAAVDE >fgenesh1_pm.C_scaffold_5000707 pep chromosome:v.1.0:5:11431338:11432647:-1 gene:fgenesh1_pm.C_scaffold_5000707 transcript:fgenesh1_pm.C_scaffold_5000707 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRANCHFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGVDVNGNRIDPRKMQAHFEDFYEDLFEELNKYGEIESLNVCDNLSDHMVGNVYVQFREEEQAGNALHNLQGRFYAGRPIIVDFSPVTDFREATCRQYEEETCKRGGYCNFMHLKSISSGLRRQLYGRYKNRHIHSRSRSPYRHRSHDDRSHERHSRSRRYDDDDDDGESRSRSRRYRSRSPSGRRQKSPVRDGSEERRAKIEQWNREKEEQENANNR >fgenesh1_pm.C_scaffold_5000712 pep chromosome:v.1.0:5:11546541:11550088:1 gene:fgenesh1_pm.C_scaffold_5000712 transcript:fgenesh1_pm.C_scaffold_5000712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol acyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LNI3] MPPLLRFRKLSSFSEETISPKAKQSATVEKPKRRRSGRCSCVDSCCWLIGYLCTAWWLLLFLYHSVPVPAMLQAPESPGTRLSRDGVKALHPVILVPGIVTGGLELWEGRPCAEGLFRKRLWGASFTEILRRPLCWLEHLSLDSETGLDPPGIRVRAVPGLVAADHFAPCYFAWAVLIENLAKIGYEGKNLHMASYDWRLSFHNTEVRDQSLSRLKSKIELMYATNGYKKVVVVPHSMGAIYFLHFLKWVETPLHDGGGGGGPGWCAKHIKAVVNIGPAFLGVPKAVSNLLSAEGKDIAYARSLAPGLLDSELLKLQTLEHLMRMSHSWDSIVSLLPKGGEAIWGDLDSHAEEGHNCIYSKRKSSQLLLSNLHRQNYSVKPESWVKEPAKYGRIIAFGKRASELPSSQLSTLNIKELSRVDCTSNDSTSCGEFWSEYNEMSRESIVKVAENTAYTATTVLDLLRFIAPKMMRRAEAHFSHGIADDLDDPKYGHYKYWSNPLETKLPYAPEMEMYCLYGVGIPTERSYIYKLATSSNKCKSSIPFTIDGSVNGDDVCLKGGTRFADGDESVPVISAGYMCAKGWRGKTRFNPSGMETFVREYKHKPPGSLLESRGTESGAHVDIMGNVGLIDDVLRIAAGASGQEIGGDRIYSDVMRMSERISIKL >fgenesh1_pm.C_scaffold_5000718 pep chromosome:v.1.0:5:11583375:11585935:-1 gene:fgenesh1_pm.C_scaffold_5000718 transcript:fgenesh1_pm.C_scaffold_5000718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger [Source:UniProtKB/TrEMBL;Acc:D7LNJ1] MNRTTYIGDCRISFFNISSKGFWENLKSPDVVFGYSLPLLEIQIIVIFFCIVMSHMFLRCIGISQIASYMILFDILEKSSGKLSVDPALDGTAALRCISVFGTLMFTFLMTVRTSRRVAFHSGKLPVVIGIVSFFAPLFGLGFQNLFSDNIDPHYMPLDKALGERTAIVVTQSSILLPSTTYILLELKIINSELGRLALSACVINDILGIFSMIAASRQATYIHVSHATAYRDIVAVIIFFLVVFLVFKPMVQWVIDRTPEDKPVEDIYIHALILTAFASAVYFVSFNMKYILGPLMIGIIIPEGPPLGSALEAKFERLTMNVFLPISVTFSAMRCDGVRILNHFTDIFFNIFLTFLILVIKLVACLAPCLYYKLPLSESMAYISQATYAFLILYSLLNAGVVRVPMVLRSMYDPKRKYLNYQKRDILHLGPNSDLRILTCLHKYENLVGQINPIIVSNNKMLKRLNKNSYIHTANLAFKQFMLESLDSVTVTTFTAFSHENLMHEDICTLALDGMTSMIVVPSGRKWTTDGMFESDDNAIRHLNQSLLDRAPCSIGILVDRGQFSQKSNVISKRRYNIDIGKRMKHNPRVRVTVIRLVFDHIIESEWDYILDNEGLKDLKSTEDNKDIIYIERIVTSGVEVVTAVQLLAEEYDLMVVGRDHDMTSQNLTGLMEWVELPELGVIGDLLAARDLNSKVSVLVVQQQQQT >fgenesh1_pm.C_scaffold_5000731 pep chromosome:v.1.0:5:11712471:11716036:-1 gene:fgenesh1_pm.C_scaffold_5000731 transcript:fgenesh1_pm.C_scaffold_5000731 gene_biotype:protein_coding transcript_biotype:protein_coding MMTETTTKVLYIVVREEGDDVDNNGDDSFRYTRPVLQSTLQLMGCKARHACKISRRVFELIRSEGSCNSSPENGKETEFTKEVGGSTCVEKLNCLVADDVDVDKNKSKPFEMYKRRTTVVVSREIFLDVVCDALAEYKYVGRDQRADLILACRIRERKESVTVLLCGTSGCGKSTLSALLGSRLGITTVVSTDSIRHMMRSFADEKQNPLLWASTYHAGEYLDPVAVAESKAKRKAKKLKGSRGVNSNTQKTDAGSNSGTTELLSHKQMAIEGYKAQSEMVIDSLDRLITTWEERKESVVVEGVHLSLNFVMGLMKKHPSIVPFMVYIANEEKHLERFAVRAKYMTLDPTKNKYVKYIRNIRTIQDYLCKRADKHLVPKINNTNVDKSVATIHATVFGCLRRRETGEKLYDTTTNTVAVIDDEHRNQCTANSLSSKGMFQLIQRQGSSRRYMALCNTDGTVARTWPVGSVDKIRKPVVDTEMDNGTEHQLHKAEPVNLQFGHFGISAWPNDGATSHAGSVDESRADIIETGSRHYSSCCSSPRTSDGPSKELMEEQSVDGSDEDEEGDDDFPEPDSDEDLSDNNDERNRDEVGSVDEESTKSDEEYDDLAMEDKSYWTDNEEEESRDTISMVSENNHNEATKPNKDDKYSQNLDLFLRTANQPLIESLELSSEYGNRMGVAASDKAKMRKRSLSIPAVGKHRSIIDDQILANQTDPVL >fgenesh1_pm.C_scaffold_5000732 pep chromosome:v.1.0:5:11724405:11726777:1 gene:fgenesh1_pm.C_scaffold_5000732 transcript:fgenesh1_pm.C_scaffold_5000732 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPKLRVLMVSDFFFPNFGGVENHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYMTGGLKVYYVPWRPFVMQTTFPTVYGTLPIVRTILRREKITVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFSLADIDQAICVSHTSKENTVLRSGLSPAKVFMIPNAVDTAMFKPASVRPSTDIITIVVISRLVYRKGADLLVEVIPEVCRLYPNVRFVVGGDGPKHVRLEEMREKHSLQDRVEMLGAVPHSRVRSVLVTGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEAFFFHGLQVLPDDMVVLAEPDPNDMVRAIEKAISILPSINPEDMHNRMKKLYSWQDVAKRTEIVYDRALKCSNRSLLERLSRFLSCGAWAGKLFCMVMILDYLLWRLLQLLQPDEDIEEAPDIRLCDQRDVEVSEGLKEEDKVRNG >fgenesh1_pm.C_scaffold_5000753 pep chromosome:v.1.0:5:11940468:11941988:-1 gene:fgenesh1_pm.C_scaffold_5000753 transcript:fgenesh1_pm.C_scaffold_5000753 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKLILSSSILLIFFAAAATKEIRFDESNPIKMVSDNLHELEDNVVQILGQSRHVLSFSRFAHRYGKKYQSVEEMKLRFSVFKENLDLIRSTNKKGLSYKLSLNQFADLTWQEFQRYKLLLLLLLVNTTGALEAAYHQAFGKGISLSEQQLVDCAGTFNNFGCHGGLPSQAFEYIKYNGGLDTEEAYPYTGKDGGCKFSAKNIGVQVLDSVNITLGAEDELKHAVGLVRPVSVAFEVVHEFRFYKKGVFTSNTCGNTPMDVNHAVLAVGYGVEDDVPYWLIKNSWGGDWGDNGYFKMEMGKNMCGVATCSSYPVVA >fgenesh1_pm.C_scaffold_5000767 pep chromosome:v.1.0:5:12069132:12070248:-1 gene:fgenesh1_pm.C_scaffold_5000767 transcript:fgenesh1_pm.C_scaffold_5000767 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTERRSIIYLPEDLLVEILSRVPAKSLVRLRSTSKRWNALVKDGRFAKKHYANTPKHSSLVLMVTNFRVYLVSVDYLHGKVTASAKITSQFNLQDHLSKQIDVCNAYHSDGLLVCITKDNSLVVWNPCLGQTRWIQARNSYNKNDYYALGYDDKSSCYKILRMHRVVDDITVETESEVYDFASNSWRDIGSTTEWFIQQHRSRGMYVKGTTYWLALMSEEPPFDHFLLSFDFSTERFQSLSLPLDINHQTLLGAVLSVTKEEQKLCMLATYGSDERFKSDVWIATKMESTGAMSWSNVSVDHENKVLLCCNNLRVSNYNNILHIAGEDKYVHLDHDEESKRPLLLTYVPSLVQIQQGI >fgenesh1_pm.C_scaffold_5000775 pep chromosome:v.1.0:5:12107774:12109798:1 gene:fgenesh1_pm.C_scaffold_5000775 transcript:fgenesh1_pm.C_scaffold_5000775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7LMP9] MASSVTGDAETVISADSSTKRSGGGWITFPFMIVTLLGLTIAAWGWLLNLIVYLIEEFNVKSIAAAQIANIVSGCICMVPAMAAIASDSFFGTIPVISVSAFISLMGVALLTLTASLDSLRPRACETASSLCQSPSKTQLGVLYTAITLASIGTGGTRFTLATAGANQYEKTKDQGSFFNWFFFTTYLAGAISATAIVYTEDNISWTLGFGLSVAANLFSFLVFISGKRFYKHDKPLGSPFTSLLCVIFAAVRKRKAVVSTNEKDYHNESITMPTKSFRFFNRAALKQEDEVKPDGSIRNPWRLCSVQQVEDFKAVIRIIPLALATIFLSTPIAMQLSLTVLQGLVMDRRLGPSFKIPAGSLQVITLLSTCLFIIVNDRFLYPFYQKLTGKFLTPLQRVGIGHAFNILSMAVTAIVEAKRLKIVQKGHFLGSSSVADMSVLWLFPPLVIVGIGEAFHFPGNVALCYQEFPESMRSTATSITSVVIGICFYTSTALIDLIQRTTAWLPDDINHGRVDNVYWVLVIGGVLNLGYFLACSLVYRYRNLKDDDHEQSANVSH >fgenesh1_pm.C_scaffold_5000785 pep chromosome:v.1.0:5:12191539:12194258:-1 gene:fgenesh1_pm.C_scaffold_5000785 transcript:fgenesh1_pm.C_scaffold_5000785 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKKVAEEKNVSSKGIQKKVKNTMSIASKRYNIDSYVLLDLDNVLDDVYCSFRPVSADYDTRKELVKNLNAMAIDIFEESRPVLEAYGSFVMDTFSPQRDLDVSINFGSGTSELSRVKKLEILERFATKLRSLEGQVFVRNVVPIFNARVPIVKFCDQRTGIECDLAVESKDGILVSKIIRIISQIDDRFQKLCLLTQSPPILPPFSTLFKDGIDPPNVEKRTQNFLNWGQCNQESLGKLFATFFIKVEDFTDVSKIFARVVSDKGAEKIYSSINKTVDDIFEFLNGKFAGEHLTQKLFSQQAVVEPSPPVSPQQPHNKRVCLENGYKAVRGTGNGREEIYENPRGKRKTYAGRNGSCRFSGGEEPMPVGLWHDYSRSLDMPTPPPHYDRLLYKKVPLKTVDHM >fgenesh1_pm.C_scaffold_5000797 pep chromosome:v.1.0:5:12304473:12306150:1 gene:fgenesh1_pm.C_scaffold_5000797 transcript:fgenesh1_pm.C_scaffold_5000797 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKIGDAHVITVNCPDRTGLGCDICRIILDFGLYITKGDVTTDGVWCYIVLWVVPHYDTLRLRWSHLKNQLVSVCPSCSTYFVLNLMSPCPASTPVYLLKFFCLDRNGLLHDVTQVLTELELSIQTVKVTTTPDGRVLDLFFITDNMDLLHTEKRQEETRGKFRSVLGESCISCELQLAGPEYECHQNVLSDDMTKLKNVSVTFDNSLSPANTLLQIQCVDHRGLLYDVLRTLKDFDIKISYGRFSPQTQGHWDLDLFIQLKDGNKIVDLDKQNSLCSRLKAEMLHPLRVIITNRGPDAELLVANPVELSGKGRPRVFYDVTLSLKVLGICIFSAEIRRYTASGREWEVYRFLLDENCLFQLGSASARNEIVNKVRRTLMGW >fgenesh1_pm.C_scaffold_5000802 pep chromosome:v.1.0:5:12342969:12343417:-1 gene:fgenesh1_pm.C_scaffold_5000802 transcript:fgenesh1_pm.C_scaffold_5000802 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHDDCILKFLELKESRTFRSIVYKIEDNMQVIIEKLGEREQSYEDFVNSLPADECRYAIFDFEFIPWERKICFIAWSPETARMRKKMIYASSKDRFKRELDGIQVEFHATKK >fgenesh1_pm.C_scaffold_5000807 pep chromosome:v.1.0:5:12360481:12361147:1 gene:fgenesh1_pm.C_scaffold_5000807 transcript:fgenesh1_pm.C_scaffold_5000807 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPS15A [Source:UniProtKB/TrEMBL;Acc:D7KHV6] MVRISVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >fgenesh1_pm.C_scaffold_5000817 pep chromosome:v.1.0:5:12464141:12469068:1 gene:fgenesh1_pm.C_scaffold_5000817 transcript:fgenesh1_pm.C_scaffold_5000817 gene_biotype:protein_coding transcript_biotype:protein_coding MDDELLELQRQFEFAQQVKSSVRLSDRNVVELVQKLQELGVIDFDLLHTVTGKEYITQEQLRNEITREISKLGRVSVIDLSDTIGVDLYHVEKQAQDVVLNDPGLMLVQGEIISQNYWDSIAEEINERLQECSQIAVAELAGQLQVGSELVQSVLEPRLGTLVKARLEGGQLYTPAYVERVTAMVRGASRGIFVPSNLSALWAPLQQLVQEMNGASGVAVENSFFQSIFNRLLKEEEMLGSLRAGTHWTPSAFAIAQKECVDSSFSQNSYISYESMQKLGISQAVQFLQSRYPDGTPLAAVFIHSSMIEMLDSATEDVIEQNSWIDSLSVLPSSFTSQDAKKMLLLCPSVQSALKAEKALILGESYILSSGFIKGIYDQIEKEAEAFSIQASTASLIDPSSKSSESTESIPANTEKGSKKKKGKSVSMKAATVETVPDDEEDARPKSKRNQKKGRDSSSSQKLDSKAGGKKESVKAQENNNFIPPDEWVMKKIVDSVPEFEDDGTENPDSILKHLADHMKPMLINSLKERRKKIFSENADRMRRLIDDLQKKLDESFLNMQLYEKALELFEDDQSNSVVLHRHLLRTTAATIADTLLHGLDIHNKLKNGTEVGESKTQDQVLLDSSERTALAKNLNGSLSKKALALVEALEGKRVDTFMITFRDLAEESGLVLKKLDKKLERTLLHSYRKDLISQVSTESDPVALLAKVVSLLFIKVHNKALQAPGRAIAAAISHLKDKLDESAYKTLTDYQTATVTLLALMSASSGEEHDCSADRILTKREFLESQMPLLRTLVLGDSQPQQS >fgenesh1_pm.C_scaffold_5000845 pep chromosome:v.1.0:5:12786866:12794517:-1 gene:fgenesh1_pm.C_scaffold_5000845 transcript:fgenesh1_pm.C_scaffold_5000845 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAITGFVLKKIGGYLINEVSMLIGVKDDLEELKTELTCIHGYLKDVEAREREEEVSKEWTKLVLDIAYDIEDVLDTYFLKVEERSQRRGLSRMTNKIGKTKDAYSIGCDIRNLKRRILDITRKRETYGIGGFKEPQGGGNISSLRVRQLGRARSVDHEELVIGLEDDAKILLVKLLGDNKEDKRHIISIFGMGGLGKTALARKLYNSGDVKRRFDCRAWTYVSQEYKTGDILMRIIRSLGMTSGEELEKIRKFAEEELEVYLHGLLEGKKYLVVVDDIWEQEAWESLKRALPYNHGGSRVIITTRIRALAEGVDGTVYAHKLRFLTFEESWTLFEQKAFRNIEKIDEDLRRTGKEMVQKCGGLPLAIVVLSGILSRKRTNEWHEVCASLWRRLKDDSIHVSTVFDLSFKDLRHESKLCFLYLSIFPEDHEIDIEKLIHLLVAEGFIQEDEEILMEDMARDYIDELIDRSLVKAERIERGKVMSCKIHDLLRDVIIKKAKELNFVNIYNEKHHSSAICRREVFHLINNSFPYDRSVNKRMRSFLIIGEGGGIVKTTNLKLKLLRVLDIGRLSFDSEFYTHTTLPDVIGDLIHLRYLGIGDIYVSILPASISNLRSLQTLNASGHKLFQYTTDLSNITTLRHVIGKFVGECLIGDGVNLQTLRSISSYSWSKLNHELLINLRDLEIYDDSNWVDQRTVSLDLVSFSKLKNLRVLKLEMRNFKLSSKSKTTIGLVDVDFPSLESLTLVGITFEEDLMSALQILPRLEDLVLKDCSYPGAKIISISAQGFGRLRNLELFMEILDELRIEEEAMPSLIKLILMIPDRLRAFV >fgenesh1_pm.C_scaffold_5000849 pep chromosome:v.1.0:5:12808863:12810070:1 gene:fgenesh1_pm.C_scaffold_5000849 transcript:fgenesh1_pm.C_scaffold_5000849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein [Source:UniProtKB/TrEMBL;Acc:D7LN48] MEENSSQNELLDTVQKFFRVYIPNQTADDMNLPLVLDKISGKPLPRKVTVKSVSSGNIWRMEMKANGDTVFLRDGWKRIVKDENVTETIFLELEFDGSGVFHFCVYEYGSMCKRMRSPMEKEVIKVDSTEEEIEEDVLVDDEESTKGLEESPRRGGTSRRRENLKTDSHKFYEHLGNKLNPSFPVDMTQSRTRIPSLLIKDYNLTFPNMVIVRDKIGILKRRIAVWKNKSVYLNGISSIIRRNHVKPGDEMVFELKMVNGYHGLVHEIKVHIIKA >fgenesh1_pm.C_scaffold_5000863 pep chromosome:v.1.0:5:12952533:12958575:1 gene:fgenesh1_pm.C_scaffold_5000863 transcript:fgenesh1_pm.C_scaffold_5000863 gene_biotype:protein_coding transcript_biotype:protein_coding MYLWFWGLRKPTAVTHSCYGHFTGPKEQNLVICKVSLVSIYLMTENGLEHLREFPMYGRVVFMELSHLNNLRKDVLFIATETEKLCMFDWDVQTSNVFSLDTIQYVPNLEMYQIEDESYEYYDFCQGGTIFDGKTIVLLSSTNIVDVKFLKSYLRPGLALLYRTIMPTLLIPNLFSYLIIGKKGVVYYSDNEIKTKLMGSLDIQTYGRVEETRHMCRYILGGHDGHISVLEIKINTAERLSGLTFKPLGKISVPSSITYLRDDIVFVGSRYADSQLVKMHQLHGPSLNTNTPALQVLTTYTNSGPVIDFSLCNKPIGVRKLVMCCGTHEDSSLEGVSTGVGISVKFFAEIPNIQRIWSLKSSSLNDNHPFLVLQPIAVAPALVFTIDDTGAFMSHTMVGFAYDSVTICCHDAADRLFIQVTEKSVRLIDSKTGVLRSEWLASSKILFASATIKQVLLATLDKQLVYLDIRNGILVQAKVQKGYDVSCLDIGPVGSNTTYSKLAAVGLWEKSILVLKLPELEVLTVEHVHAHVPRCILLCAFEQVSYLLCALADGSLLTFKLNEDDGQLQEKNTKELEGYPKTLRTFISNGSVRACFSTSKVPTLIFTMKQKLQYNRLNLTDIEDMCPFQRADISEGLAIVTKDGVVICTMGDMETNIKIPMFSYGRRLCHQEESQTYAVLVEEKDGRHSLQLLDKELNTLFSFELNEHEHGLSIVSCSFAGDDQAYYCVGTSVNVLDEENTQGRILVFLVRDGELNIIFQYPTNGAVYYLKPLNGGLLVTVSVHTIHYFEWMLHDDARELHSINPEVVGIEDGGWEQRFAVTSSRIQKSYFYADGLYSIHSADGVNDHGYPNTVGQWYLGQSITHMKAGTLSRLSDEDSAIVPSVMFSTVSGAVGMIVSLPPVIYEFLHKLEQYMREQIRGIGCPNEDFPRMCVELQSLSESTEFLDGDFIESFQILDIDDQEEVAEEMEVTVEDIRKIVEYLKLLH >fgenesh1_pm.C_scaffold_5000868 pep chromosome:v.1.0:5:12989223:12996244:-1 gene:fgenesh1_pm.C_scaffold_5000868 transcript:fgenesh1_pm.C_scaffold_5000868 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVQAGNELGFRVGFSGHGGHLRVEPLYTAERDDAVNSLPDFVSPPAFAKETKESIKKHIEEKYLLPRLEPDQFSAEKAENQWDFDWFSRVKVPLQPSLPRSVVVPTWELPFRRQKVDTENGAWEPKSVEVDLSEQMYGDQDSGFFPRMVGPPKDFLRGSVNNRPFRPGGLEDSQSSERVLPEGVSSGQWVQELLNGGPAQTVPPSFKQSLDLGDLMPYPQTWSVYEDQSSHGNASDENSVCRSMSLVKLSIQFDDLFKKAWEEDTFSELERDGVFNHSYHTAGSESPKAEAEPEAKASISNEVSKGLETDITVLDEILSSAKTAILTDEAVTGNSDKQLLKEGWATKGDSQDIADRFYELVPDMAIEFPFELDNFQKEAICCLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSIRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPRHINFVLLSATVPNTFEFADWIGRTKQKEIRVTGTTKRPVPLEHCLFYSGELYKVCENEVFIPKGIKDAKDSQKKKTSNAVSVAPKQYTGSSAHQDGNKSQKHEAHSRGKQNKHSSAKDVGKSSYSGNSQNNGAFRRSAASNWLLLINKLSKKSLLPVVVFCFSKNYCDRCADALTGTDLTSSSEKSEIRLFCDKAFSRLKGSDRNLPQVLRVQSLLHRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDALRKFDGKEFRQLLPGEYTQMAGRAGRRGLDKTGTVVVMCRDEVPDESDLRRVIVGSATRLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHAQKKLPEKQQLLMRKRSLPIKNIEADLLIYSSRCIKGEPAIEDYYDMYMEANEYNNKMSEAVMQSPYAQNFLVPGRVVVMKSGTGIDNLLGVVLKGPSNTNRQYVVLVTKSEIPPPEKNMVSIGKKSSEPSQGYFIAPKSKRGFEEEFYTKPSSRKGSVVIKIELPYHGVAAGVGYEAKGFDNKEFLCICDSKIKIDQVRLLEDGNKSAFSQTVQQLLDLKSDGNKYPPPLDPIKDLKLKDAESVETYYKWTSLLQKMSMNKCHGCVKLEEHMKLAREIKKHKTDLKDLEFQMSDEALLQMPAFQGRIDVLKNIGCIDDDLVVQIKGRVACEMNSGEELICTVCLFENQFEELEPEEAVAIMSAFVFQQKNTSAPSLTSKLAKAKQRLYDTAIRLGELQAQYNLQIDPEEYAQENLKFGLVEVVYEWAKGTPFAEICELTDVPEGLIVRTIVRLDETCREFKNAAAIMGNSALHKKMDAASNAIKRDIVFAASLYVTGV >fgenesh1_pm.C_scaffold_5000873 pep chromosome:v.1.0:5:13014838:13017600:-1 gene:fgenesh1_pm.C_scaffold_5000873 transcript:fgenesh1_pm.C_scaffold_5000873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, hydrolyzing O-glycosyl compounds [Source:UniProtKB/TrEMBL;Acc:D7LN95] MPETDDSSCVYKNRDAPVEARVKDLLSRMTLPEKIGQMTQIERSVASPQVITHSFIGSVQSGAGSWPFEDAKSSDWADMIDGFQRSALASRLGIPIIYGTDAVHGNNNVYGATVFPHNIGLGATRDADLVRRIGAATALEVRASGVHWTFAPCVAVLGDPRWGRCYESYGEAAKIVCEMSSLISGLQGEPPEEHPNGYPFLAGRNNVVACAKHFVGDGGTDKGLSEGNTIASYEDLEKIHVAPYLNCISQGVCTVMASFSSWNESRLHSDYFLLTEVLKQKLGFKGFLVSDWDGLETISKPEGSNYRNCVKLGINAGIDMVMVPFKYEQFIQDMTDLVESGEIPMARVNDAVERILRVKFVAGLFEHPFSDRSLLGTVGCKRVAREAVRKSLVLLKNGKNANTPFLPLDRNAKRILVVGMHANDLGNQCGGWTKTKSGQSGRITIGTTLLDAIKAAVGDKTEVIYEKTPTKETLASSEGFSYAIVAVGEPPYAEMKGDNSELTIPFKSNNIITAVAEKMPTLVILFSGRPMVLEPTVLEKTEALVAAWFPGTEGQGITDVIFGNYDFKGKLPVSWFKRVDQLPLNADADSYDPLFPIGFGLTSNSG >fgenesh1_pm.C_scaffold_5000875 pep chromosome:v.1.0:5:13028483:13037948:1 gene:fgenesh1_pm.C_scaffold_5000875 transcript:fgenesh1_pm.C_scaffold_5000875 gene_biotype:protein_coding transcript_biotype:protein_coding MFGILGFSAAMGKRQKQQVTGRPSNSPRENSKEVPIDLLIDIFTRLPVEDVARCRCVSKLWSSILRRRDFTQLFLKISSTRPRILFTFLYNGRRIFYSIPQDLDPDRNNYSSPIIPYYQMHFPKDPDRNNYSSRISAYYQMHFPKDLGSSDQVCPPILGLICCKSKKPMICNPSTGESKYISLPSAKTKRMLTVNTSFVYDPIDKLFKVLCMSDDCVSRVSTLGTEEATWRTVECLIPHLPLRKEMFMDGVLYYLALRLDHETSTPYMVVAFDVRLERFKCLPVNRSYINIACSALIKYQGKLAVVWLDGNAIQQHQQLELCVLNDGDEVAWSGIIYELPYFWYNLAADTYVYIVGTTTAGEIVMATSHPREPFYIIYFSTVTHTMIRVEIEFGIVALKPHCSMISTILNHVEDVELMD >fgenesh1_pm.C_scaffold_5000885 pep chromosome:v.1.0:5:13087272:13088456:1 gene:fgenesh1_pm.C_scaffold_5000885 transcript:fgenesh1_pm.C_scaffold_5000885 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LNB1] MKFGPNTTPIYIPLDLQINILLRLHVKSLLRFQCVSKLWYSIITSHDFGNRHFDITSSSAAPRLLIAFQDFDRNELMLVSSPNPNTSSSSPSSCCVPYKDLRLLNINGRKVYNAVRGLICFESRLKVGICNPSTRELHIFPRVKLKRDPDTFPCIMYFLGYDPIGDKYKVLAIDNLPWRLEYKIVDKGSPNNSIIVSFDVRSETYKISNVPSKLLQIDDSDNFWTANCNWFIGDKTLINYSGKIGVVEKPRHGIFRMWVVEDAEKEEWSMNTFYLPQSAAGLDFKVMDTFYTGEICLVTEKISDPFCLFYYNLKTNSMRSVAIKGLPISEFKQGLQFHSLSVTVSDHYESLVSLET >fgenesh1_pm.C_scaffold_5000893 pep chromosome:v.1.0:5:13135867:13137921:1 gene:fgenesh1_pm.C_scaffold_5000893 transcript:fgenesh1_pm.C_scaffold_5000893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LNC3] MDMLTTILNLLLPPLTISFLVFFYPFYVLIKLVLCLHKYLHFENVARNVVLITGASSGIGEHVAYEYAKKGAYLALVARRRDRLEIVAETSRQLGSGNVITIPGDVSNVEDCKKFIDETIRHFGKLDHLINNAGIFQTVLFEDFTQIQDANPIMDINFWGTTYITYFAIPHLRKSKGKIVAITSGSANIPLPLASIYAASKAALLRFFETLRIELSPDIKITIVLPGVVSTDMTTPHCMEKYGSDFILSESVSKCAKAIFKGIGRGDAYIEEPSWMKWLFIMKNVCPEIVDYGLNFLFVSYLKPYFKRD >fgenesh1_pm.C_scaffold_5000906 pep chromosome:v.1.0:5:13226082:13228194:1 gene:fgenesh1_pm.C_scaffold_5000906 transcript:fgenesh1_pm.C_scaffold_5000906 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LNE2] MDWPTTLISRRICNLLIFFVKLNHKNLSIDSDHPQHSAMKPDPARRRNRALSPSSSPSKTRPGSGEARGGKVKTSATLLDREEMGLFPGSGYDDPNPEPRSFPYSVKQQCWEKAEKIKGRDPERWRRDPLGNIVFRKLVGCPGCLCHDYDHIVPYSKGGKSILENCQVLQAKVNRSKGNKTDISRAELIQRSSYCRVAGRDMDLIELTAYGNVQRAPESSGCRIQ >fgenesh1_pm.C_scaffold_5000911 pep chromosome:v.1.0:5:13281843:13283896:1 gene:fgenesh1_pm.C_scaffold_5000911 transcript:fgenesh1_pm.C_scaffold_5000911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LNE8] MADHLSLCTDRLITSESLNSEKDSESSGESSYRPQGTDLASSSVDEAEEPREYYAVAEEEEPLLQSVECRICQEEDTTKNLEAPCACNGSLKYAHRKCVQRWCNEKGDITCEICHQPYQPGYTAPPPPPPDETIIHIGDDWENGVPLDLSDPRILAMAAAERHFLEADYDEYSESNSSGAAFCRSAALILMALLLLRDALNLTTNSDDEDDPTAFFSLFLLRAAGFLLPCYIMAWAIGILQRRRQRQEAAALAAAEVAFMIHGGGPQRRGLHFAVASQPPISNVPTPDPTPV >fgenesh1_pm.C_scaffold_5000914 pep chromosome:v.1.0:5:13308001:13311081:1 gene:fgenesh1_pm.C_scaffold_5000914 transcript:fgenesh1_pm.C_scaffold_5000914 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKAYGITDETDRQALLEIKSQVSEEKRVVLSSWNHSFPLCNWIGVTCGRKHKRVTSLDLRGLQLGGVISPSIGNLSFLISLNLSGNSFGGTIPQEVGNLFRLEYLDMSLNFLGGGIPTSLSNCSRLLYLYLFSNHLGGSVPSELGSLTKLVSLNFGRNNLQGTLPATLGNMTSLVYFNLGINNIEGGIPDGFARMTQLVGIELSGNNFSGVFPPAIYNVSSLELLYIFSNGFWGNLRPDFGNLLPNLKALTIGDNYFTGTIPTTLPNISNLQDFGIEANKFTGNLEFIGALTNFTRLQVLDVGDNRFGGDLPTSIANLSTNLIYLSFQKNRISGNIPHDIGNLISLQSLGLNENLLTGPLPTSLGKLLGLGELSVHSNRMSGEIPSSIGNITMLQRLYLNNNSFEGTVPPSLGNSRQLLDLRMGYNKLNGTIPKEIMQISTLVNLGLSANSLTGSLPNNVERLQNLVVLSLGNNKLFGRLPKTLGKCISLEQLYLQGNSFDGDIPDIRGLMGVKRVDFSNNNLSGSIPRYLANFSKLQYLNLSFNNFEGKMPTEGIYKNMTIVSVFGNKDLCGGIRELQLKPCLVEAPPMERKHSSHLKRVVIGVTVGIALLLILLIASFAIWFRKRKNNQQTNNQTPSTLGAFHEKISYGDLRNATDGFSSSNMVGSGSFGTVFKALLPAEKYVVGVKVLNMQKHGAMKSFMAECESLKDVRHRNLVKLLTACSSIDFQGNEFRALIYEFMPNGSLDMWLHPEEVEEIRRPSRTLTLLERLNIAIDVASVLDYLHVHCHEPIAHCDLKPSNVLLDDDLTAHVSDFGLARLLLKFDQESFLNQLSSAGVRGTIGYCAPEYGMGGQPSIQGDVYSFGVLLLEMFTGKRPTNELFGGNFTLHSYTKSALPERVLDVADESILHIGLRVGFPIVECLKFFFEVGLMCCEEVPSNRLAMSEVLKELISIRERFFRARRTAGR >fgenesh1_pm.C_scaffold_5000924 pep chromosome:v.1.0:5:13415849:13417142:1 gene:fgenesh1_pm.C_scaffold_5000924 transcript:fgenesh1_pm.C_scaffold_5000924 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTKSEETPINRLHFPATELNHYEEQQGSTNSSESRSNEEVSDCDQQHSSIANELGLMELPKDDKAYKLIYGHCQSKLTSHLGNQFQIVSILKNGFKTPLGQAKLKAFQIYTESVAKKSGSYCECGGNKAAAGEAARVKYGCCGVEKEELKAILMYGFSQFRNNNGLCLSPDNASLQCMIDPSSSCNEDGTRFLLFSRIIMGKSEVMGSTAQSYPSSPEFDSGVDSLISPKKYMIWSTHMNTHVLPEFVVCIKTPSILRSKNPKSPWILFPILIKSISKFLNPSQIRLIQKHYKEYQESRILRFELIQRLRTIAGDRLLVQIIKSFGQKVHCIVDTFSKR >fgenesh1_pm.C_scaffold_5000937 pep chromosome:v.1.0:5:13501050:13501418:-1 gene:fgenesh1_pm.C_scaffold_5000937 transcript:fgenesh1_pm.C_scaffold_5000937 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLWCLVFSQTFVLSHVREVKSKTKWGCDCGTNGKSTCISDLKKTLGAPKSLVVRCECSDCFVWKGSPPERLCKCQYNC >fgenesh1_pm.C_scaffold_5000938 pep chromosome:v.1.0:5:13503320:13506080:-1 gene:fgenesh1_pm.C_scaffold_5000938 transcript:fgenesh1_pm.C_scaffold_5000938 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTKGGKAMNPTDSFRKKELRRNKLERKKIREVGILNKDPEQIKEQIRKLDISKAEGALDKARKHKKRQLEDTLQMSKEQGEPTTSVMFSHLPPQRRPGEEDERSKDLTPEDSVYYHPTLNPTGSPPPGKPPIFGYIAGPGIPLDGASSSGVASSSNYELEDAVITSPPPLSDGSISGSLDGNAFPGLPFPPPLPPNPPAPTTGLAFPPPPPGPPPNERVTVRPPLPPPPPFPQSSQLPSPGLNGSETDSKILPSSDLTFSDQNVSTVTSIPPPPPGLPPTPVSNGGPSNSNNSSSNLQDANFSMMPDLMHSGMLRFPPPPPDMRPPPGLPHGMLGHLGIPRPPNGPPPGPPPMMRPPLPPGPPPNFQDGQAMSRPYVPQKLSYVKSAAPTVVKRPLAQHTPELTSMIPASVRVRRESAPTTKPKPKISTANSLGFTPRSITTHAAPVKPVSATNTSAPSKPQSIDDSYSAFLEDMKALGALDG >fgenesh1_pm.C_scaffold_5000944 pep chromosome:v.1.0:5:13536391:13539063:-1 gene:fgenesh1_pm.C_scaffold_5000944 transcript:fgenesh1_pm.C_scaffold_5000944 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRVILNHRDRRDKNNNNNNNYTQIDTMERKPLEVEPSTTAVNTYSAVDGGGSDGVTSKVDDEQRKIVYRGWKVMPFIIGNETFEKLGIIGTLSNLLVYLTSVFNLKSYTAATIINAFSGTINFGTFIAAFLCDTYFGRYKTLSVAVIACFLGSFVILLTAAVPSLHPVACGNKSSCEGPSVGQILFLLMGLGFLVVGAGGIRPCNLAFGADQFNPKTESGKKGINSFFNWYFFTFTFAQIISLTAVVYIQSNVSWTIGLIIPVSLMFLACVIFFAGDKLYVKVKASGSPLAGIARVIAAAIKKRGLKPVKQPWVNLYNHIPPNYANTTLKYTDQFRFLDKAAIMTPEEKLNSDGAASDPWKLCTLQQVEEVKCIVRVIPIWFASTIYYLAITIQMTYPVFQAIQSDRRLGSGGFRIPAATYVVFLMTGMTVFIIFYDRVLVPSLRRVTGIETGISLLQRIGAGFTFAILSLLVSGFIEERRRTFALTKPTLGMAPRTGEISSMSALWLIPQLTLAGIAEAFAAIGQMEFYYKQFPENMKSFAGSIFYVGAGVSSYLASFLISTVHRSTAHSPTGNWLAENLNEAKLDYFYFMLTGLMVVNMAYFLLMAKWYRYKGGNDEIITEIETNEEETKQQQLQDKNSV >fgenesh1_pm.C_scaffold_5000964 pep chromosome:v.1.0:5:13651784:13681744:1 gene:fgenesh1_pm.C_scaffold_5000964 transcript:fgenesh1_pm.C_scaffold_5000964 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQNPDKKTLREGFSKESSVVALDSGALAMSGFKCDGKFPVKEVLMEEEDEGGDKVRKIEVSGGNISLVVDFSGTRTSSNNFFESNGSCVNENLVKGNGCREDETQEFLVGNLVWVMTKYKKWWPGEVVDFKADAKESFMVRYIGQSHLVSWFAPLKLKPFKESFEQVLNQRNDKGFFDAVEKAMSLLRNSLKLDMTCSCIADGNGIVPAQNVTTRKNKPLILREFSVDRLEPKEFVTQLKNIAKCVLNAGVLESTVMQSRLSAFYTLFGHKQIPMGQLHENEGRKSFTAKMNDSQFIGSPSIAAGNSRKRFRKEWFRKFVSEVDNVSARDDLVNVPPSDLISKLKLLAVDSNCSEETENIGLFEWFFSKFRISVYHDENAYKMQLANMAGFKDLMLATNANRGTVQKTSKSKKIGKSKIEPLNSVSVVDTEQKTFELQISEKLKIESLNGVSTPNIEHEASKSNNSWKTKINHIIGHSNFSNSVANEQLGIDFQDKLLVQAPDGKATTADTLNRPAVTLVPDLNSGGDALGTAEFDQMQRPETLIQHNVCPQEEKTPRSTMLNFQVTAPCSTYGVSGTQFVSSQPTSFKHFTSADLFTSSGKKKRGRKRKNAEELPIVAQATSGIPDLNGINTEPTLVLPQVEPTQRRKHRKKEESPNGLTRGITILFLKFSSQVSMPSRDDLTSTFSAFGPLDSSETHVSEEFSGAQVAFVSSADAIEAVKSLEKANPFGETLVNFRLQQKLITVQRNIAPRRPVISHVSPIPKPNNIPTSVDSMRQNLLMMTAMLEKSGDSLSRETKAKLKSEITGLLEKDGVKLLNTWLEGERSSTFCRFLSQNTAKLKLDEIPNAETWPFLVKLLLQCVSMEVSGSKRRMPKPTFAKTLRVVIQRTEETKFPGVQFPLLSMAKTLFTHVHDILSNTPSFQSEYGTILRHLLEIREYRFQMRKRTYSSLVLLYMERAEAGFSEKKSGQHSQKEEAFRYILTLQSLLENSPGDFPDDLREEIVNGLIHIFSSVRDEGKLSRKLIECVNTFLLKDGPNLGSLSFEIHNAVEQFVFRCWLTTHDKNLKEILVSYGRLQLNLTRGSSESSSLVEQLLDVVTRELDLGSSSTSASWGDTTKDEKLGALNSYQNSLVELAAHVFYRACVNTSRPSMSEKRARRQHIAMRMVDALTEGKWLWCAAFGCLVRNYCARINMDLLIYWFEAICTNFQRLLEDASMRRSYDGLLWTLRSLQGLSSGLLLPDTTMDISKSPASSSELDRGWQSIWTSLIRGLATFSSMSVIVDAVLVLLGSIISSNHINVGILPQEVWDHQLFRHIPSEPALYFIACYFSRLGCQGNLQDDLHLRRNLLRAVCAPLSWKVRLTLNGRMVQLLPAAAFSLCAGFKTSLPLPKEHLPTPSEWDVCEQIDDVDLERNFGLFECSVEALTRVCSNSRKISGCQVPDVVQLPLVLRDPLLHDMDIYFLSITPEVKEKGPLSDIFMGCALLCHFMHGSYIARKGKGSSSFFLMACQYLLEGLDHAVEAVSKSLNDLQRLASLGFGSDFNEKGSIIVSLRSLTQSPVFSNGRDQNLLGASYDAVIHSLENLLRSFAKVYGEYTEHAWNTHSDTVPSKSFALDSPEVGRIVDMDLDLAEDTKERDIITAGGKAVPGLPVSTGNWKLGMVSLISCFSPVLQFPTWDVLYNLLEKESDPKVLENILYHLCKLSCLTSMPKVDDLVIFLDGMLSTQVKMKRNCLNIVTALHVLLHTLSSSRMDSSGVGKNCGLSLKGESFQVFVQLGAMVNKVSEFGLLGWFGRVKLINCICDLVLLNPQTGQTMIERLLLMLNDSDYRVRFVLARQIGILFQTWDGHEALFQDICSSFGITLVTSSKEKLVTAKDVLAAGPQPRQKMETVIITLMHLAYHSENIELQAVFMMCAVSAIDPCQRELIIAALDNLSAQLHYPSRFKYLEELLGPILFFWIACGVSLAGLIETSQLFIPNAEPKYFIHFCSHWLLPALLLHEDHTNLDWVAKMAAQPVVVLVKENFVPIFSICMGLHCSKTSECDKGAMVLQNSILYVGEISESERDKLIKQNMVSIVSFILSCASSSPEPPVPAFSRDTISLAVQTVVDGFLETTDYPKNAAITDRINIFRPDRVFMFITEMHYRMSAACHHRHTRHHLAALEELTILLGHRASVPSSLNYIFNLVGQFIGYPSLQDQCCSIASCLLDLFKSNPAKEIVSVLGDQLQFLVSKLVTCCIDAEADTKISGSKSLQLVNLLHKLVVSSDSSLDEDIRDLEPLPDLKIFQVIRESHIRICEAYSPRNHLLKVEHSTFLIYIFLEILSLSNFLFFPVPLSNSVLEDLVIFHQDSFPGALHNKLIASEVSQEDTNGETAETFWQSDDEIVNAVWTLVRVSASDEADSMRLLASDFLSRVGIRDPHTVVFHLPGKLISMHDLQVFGHNTGTKVRSLTENGISDDTLITLLNFLKKYLLDDSVKIIDVTSQTLRGILSTERGQQALSSFDSCERSSIEVHGRGVNLDIVEKILLDSQKQFKAENFSLETPEVWSTDNKTFDRWICQLVYCMIALCEDVPIRLCQNIALLKAEISELLFPSVIVSLAGRIGTDINLHDLITSQVKEHIFIDSNKLTKSKQIMLNTLNELRMCYVLERSIFSGQTKREKNSRSCSTAAKIRDVETAPNGMAASKTTNWEKVYWLSIDYLVVARSAVVCGAYLTASMYVEYWCEEKFGNLSLGDPDFSYHDKLPDHVEILVSAITRINEPDSLYGVIHSNKLSAQIITFEHEGNWTRALEYYDLQARSQKLVVPGSLSENLEVEQFQPKTSTWNSVFGEGEVQRQPFKGLIRSLQQTGCMHVLDLYCRGLTSREGCFQYDPEFIELQYEAAWRAGKWDFSLLYPQTHCQPLQHAKNNNYHESLHCCLRALQEGDYDGFYGKLKDTKKELVLSISRASEESTEFIYSTVVKLQILHHLGLVWDLRWTTSSHQSVHGYPVKQMACVDPMTPTMNQLSWLNKDWNSIITQTQLHMNLLEPFIAFRRVLLQILGCEECTMQHLLQSASLLRKGTRFSHAAASLHEFKFLCARSDGQQPVPDWLGKLEEAKLLHAQGRHEVSISLANYILHNYQLKEEASDIYRVIGKWLAETRSSNSRTILEKYLRPAVSLAEEQSSKICKRLVDRQSQAWFHLAHYADALFKSYEERLSSSEWQAAMRLRKHKTKELEVLIKRFKSSKKASISLLPFAEQSDYSLKIQDLQKQLTMDKEEAEKLQVDRDNFLKLALEGYKRCLEIGDKYDVRVVFRQVSMWFDLASQKNVIDNMLSTIKEVQSYKFVPLVYQIASRLGSSKDESGSNSFQSALVSLIRKMAIDHPYHTILQLLALANGDRIKDNQRSRNSFVVDMDKKLAAEHLLQDISHYHGPMLRQMKQLVDIYIKLAELETRREDTNRRVALPREIRSVKQLELVPVVTATIPVDRSCQYNEGSFPFFRGLSDSVTVMNGINAPKVVECFGSDGQTYKQLAKSGNDDLRQDAVMEQFFGLVNTFLHNNRDTWKRRLAVRTYKVIPFTPSAGVLEWVDGTIPLGDYLIGSSRSEGAHGRYGIGNWKYPKCREHMSSAKDKRNAFVDVCTNFRPVMHYFFLEKFLQPADWFVKRLAYTRSVGYIVGLGDRHAMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGITGVEGVFRRCCEETLSVMRTNKEALLTIVEVFIHDPLYKWALSPLKALQRQKETEDYDGMNLEGLQEEFEGNKDATRALMRVKQKLDGYEGGEMRSIHGQAQQLIQDAIDTDRLSHMFPGWGAWM >fgenesh1_pm.C_scaffold_5000965 pep chromosome:v.1.0:5:13682091:13691935:-1 gene:fgenesh1_pm.C_scaffold_5000965 transcript:fgenesh1_pm.C_scaffold_5000965 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLLLFWVVSSSLCFRFHYCYGSELSVKFVKAPPATSRFTSAKFSFQAFEDGNRTCSSCKFRCKLDDRISLDCHERKVSYSKLLDGDHTLEVCANRMHGFGCNHYNWTVDTVSPTAFVTASMPFTSAQNVSVNITFTEACVGGGFGCSSVNSCDLLVYGAGQVIPSSFTVLDQYLRYSLLVGLSPDAQYGRIVLVMNKNVCSDRAGNNFKRALGSRFFVHFDRRNVFVNLRTHVPEKLLKLNNQTRTVQATNDNDKLNVYLYFSEPVLNSSAEILRRLNTNQGDLLPIDGNTNGNRRFAFMVTNTSRRAIVTVTLDSNSIRSRHGTPASPTAPLTFLYANTSTISVNIPENVTQDVAGNKNVASNILKVKHYSVPMLSSVISWVTTYIFLVTSFVAGLLTLSTTSLYSLGAFPRPSPYLISDPTRNLFRTACHIQFFALTRWLPVTLPVDYYELGRGIQWIIPYFPLPWETKNKEQIMVATSPYIGPHSFISKTHNNMINLQTSTNAEWRDFNRIMFWIAIIGGSLVLLHIVLSLILKFKKSQTEKKRSFGAFVFPRFELFLLILALPSICKAARSLIQGYFKHQGAAEASVIVGILVLCMVAILLLALFLFLSVGITFGKLLQYKEIHQEGQTFHWYQELIRVTLGPGKRGQWTWKTENSVYLTRLGPVLEDLRGPPKYMLTQISGSNPLKQRDDRIIASDDENEDAEAPCIQKLFGILRIYYTFLETVKRVCLGIIAGAYLENQTAKTPIVVLLSITSFQLFFLLLKKPFIKKKVQLVEIISIACQVGVFASCLMLLVKDFPDASGKKLGIFMVTLFLIGFITQMCNEWYSLYKQTKRLDQINRSFLSGLKIFIIGLAAVILPQKMIKNKIPAAQLEGRSSSNGGTTPEFRYRNSSGSRSSGSLDKPWLKQIREMAKSSFTRDRSNSKVPSDPSCSKSGWSSSIWGTKTSGSSSKESSSDYKSRPKGLYKDLEAIFASKKFSISTIGDFGVLRSAPIGVRIRLQEESSRVDSLDCSSLHYSSCGESEFERYCSANSALGTPSMCSSTGPFQDSLESELENFSLGPSPIKLSSLDNTRLGNRGIRFSDGGGSCNGTSSSAPGLNTGNGNTDMIQDTGYGEGLCGDLVSGEATIEKDSYHTSGIDREGGSSIDDEHSDGDDDSLSDSGDHSRKYVPRNLQFQKEPKDENDNPFLINSSTAFGTNDWDEFELEATELVDTQFDFSGFEKRDKGCTESEGTSTKAFSVSLQKLPDIAQAGNRGEHTNVTMSTRLAPDVGDCRANIEDIRSRDLTVLTQREQDFGDLSAGVKTLVVRQSLVTDESLRDSCLSNSQNEDRPVVMNYLQYCSTDDVLDITPTELGIEDPSGGFCDLDGDVSSGLLHESSENGKQSKPFGECTSEPLLASQNSDMPSSRDSHPVTNALQVTCTQPKKENTELNNFYDDFVHDMEEILLDSGESSGVRFSKNAKMFQLQLSLPNRDGGQTATTSGLDDSSPTVSQRFRIDRVEVVGVKQKKGDVSLSERLVGVKEYTVYIIRVWSGKDKWEIERRYRDFYSLYRRLTSLFADQGWTLPTPWASVERESRKIFGTSPNAVAERTVLIQDCLNSVLQSRFFPTLPNALLRFLSPQDAYANASGFSIASPTDSAGITAAASSSSYGNTISFIVDIRPQKSVKQLLEAQHYICAGCHRYFDDGATLVRDFVKALGWGKPRLCEYTGQLFCSSCHANDMAVLPARVLHHWDFNRYPVSQLAKSYLDSIHEQPMLCVSAVNPFLSSKVPALNRIMSIRKRITIMLPYVRCPFRKTLNKGLSSRRYLLESTDFFALRDLIDLSKGPFAALPAIVETVRRKILEHITEQCLVCCDVGVPCNARQACDDTSSLIFPFQEKDEVNKCRSCGSVFHKRCLARLSSCHCGAQLKPKKSPGELQVSEKKSDSTSVLPLRFLSSLFGKTKQDKETTILMGSLPTNDL >fgenesh1_pm.C_scaffold_5000966 pep chromosome:v.1.0:5:13694314:13695307:1 gene:fgenesh1_pm.C_scaffold_5000966 transcript:fgenesh1_pm.C_scaffold_5000966 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVEGVSCEIKCMLKCGGLLALCAHTWHASSHVCTHNATNHLKRRRNIKEVEGLSCMDKCMFLCGLTLTPQKTCTDRCLKKCHKSYVFPSQLS >fgenesh1_pm.C_scaffold_5000967 pep chromosome:v.1.0:5:13698232:13698932:1 gene:fgenesh1_pm.C_scaffold_5000967 transcript:fgenesh1_pm.C_scaffold_5000967 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKKYIVLMLSVVLVIATMGGKVEGVSCEVKCMLKCGGLLVPENTCIEPCMHAQCHKPPSLPSPLSQRNVREVEGIACWNKCTFLCDRTMTPIRTCSKRCLKKCHISYVFPAQLS >fgenesh1_pm.C_scaffold_5000980 pep chromosome:v.1.0:5:13768016:13770054:-1 gene:fgenesh1_pm.C_scaffold_5000980 transcript:fgenesh1_pm.C_scaffold_5000980 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLVAMSVPATTEDDGFSLITDKLSYNLTTTSDVEIVTSSNRRIPAHSGVLASASPVLMNIMKKPVRRYRGCGSKRVIKILGVPCDAVSVLIKFLYSSRLVCLTEDEMERHGIHLLALSHVYMVTQLKQRCSKGVVQRLTTENVVDVLQLARLCDAPDVCLRSMRLIHLKFKTVEQTEGWKFIQEHDPFLELDILQFIDDAESRKKRRRRHRKEQDLYMQLSEAMECIEHICTQGCTLVGPSNVVDNNKSMIAEKSKPCKAFSTCYGLQLLIRHFAVCKRRNNDKGCLRCKRMLQLFRLHSLICDQPDSCRVPLCRQFKKRGEQDKKMGEDTKWKLLVTRVVSAKAMSSLCQSKKNKREEAHEQEGAC >fgenesh1_pm.C_scaffold_5000982 pep chromosome:v.1.0:5:13784331:13786524:1 gene:fgenesh1_pm.C_scaffold_5000982 transcript:fgenesh1_pm.C_scaffold_5000982 gene_biotype:protein_coding transcript_biotype:protein_coding description:MA3 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LRW2] MASREWFFTNGQQKKLELANQNLTSSPKSPPPFAVKKDEKDPNCDTGEEPYALVGSPVLDPLDDYKREVVSIIEEYFSSGDGEVAASDLMDLGLSEYHPYFVKRLVSMAMDRGDKEKEKASVLLSRLYADVVSPDQIRVGFIRLLESIGDLALDIPDAVNVLALFIARAIVDEILPPVFLARAKKTLPDSSEGFQAILTAEKSYLSAPHHAELVEKKWGGSTHITVEETKRKISDILKEYVENGDTREACRCIRELGVPFFHHEVVKRGLVLVMESRTSEPLILKLLKEASEEGLISSSQMAKGFSRIAESLDDLSLDIPSAKTLFESIVPKAITEGWLDEDTFKERSDQNGGLPIEDEKLRSYKKDVVTIVQEYFLSDDIPEVIRSLVDIGSPEYNPVFLKKLITLAMDRKNKEKEMVSVLLSALHMEMFSTKDFINGFIMLLESADDTALDILEASDELALFLARAVIDDVLAPLNLDEISNSLPPKSTGCETIRSARSLISARHAGERLLRSWGGGTGWAVEDAKDKIWKLLEEYESGGVISEACRCIHDLGMPFFNHEVVKKALVMAMEKKNDRMLNLLQECFAEGIITTNQMTKGFGRTKESLDDLSLDIPNAKEKFNSYVADAEENGWLHRDFGVLQILD >fgenesh1_pm.C_scaffold_5000989 pep chromosome:v.1.0:5:13830174:13831917:-1 gene:fgenesh1_pm.C_scaffold_5000989 transcript:fgenesh1_pm.C_scaffold_5000989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-type peptidase [Source:UniProtKB/TrEMBL;Acc:D7LRX1] MSRRRSNRVRNTNSKYVTSPVRVTIIDDCEEDEFLHHRTCWKHIAAALNKCGSKPKRAKELEIFKLSAPCFYDECTRRGRFVLDIYRAEGRTEDPRLVDEIPFHVPVVPQQTNDVECGSFVLYYIQRFIEDAPENFSVDDMPYFMKEDWFSHKELEEFCETLHSLGTIH >fgenesh1_pm.C_scaffold_5001003 pep chromosome:v.1.0:5:13899990:13900535:1 gene:fgenesh1_pm.C_scaffold_5001003 transcript:fgenesh1_pm.C_scaffold_5001003 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVVAVILFVLLTPGLLFQIPARGRVVEFGNMQTSGASILVHTIIFFGLITIFTIAIRLHIYTALVWFIGIRIQIGIEY >fgenesh1_pm.C_scaffold_5001029 pep chromosome:v.1.0:5:14075086:14076250:-1 gene:fgenesh1_pm.C_scaffold_5001029 transcript:fgenesh1_pm.C_scaffold_5001029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein [Source:UniProtKB/TrEMBL;Acc:D7LS25] MTLEEQKKVIEPETVASDPSPPSKEEKSDDSKAIVLVVAAKEPAEEKKEGSVHRDAVLVRLEQDKRISLIKAWEEAEKSKVENKAQKKLSSVGAWENSKKACVEAELKMIEEQLLKKKARYTEQMKNKIAQIHKKAEEKRAMTEAKRGEDVLKAEEMAAKYRATGTAPTKLFGLF >fgenesh1_pm.C_scaffold_5001046 pep chromosome:v.1.0:5:14331426:14336471:-1 gene:fgenesh1_pm.C_scaffold_5001046 transcript:fgenesh1_pm.C_scaffold_5001046 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISFSFPSPAKLPIKSPPSISNRINVADRLILRHLNAGDLRGAVSALDLMARDGIRPMDSVTFSSLLKSCIRARHFRLGKLVHARLIEFEIEPDSVLYNSLISLYSKSGDLTKAKDVFETMGRFGKRDVVSWSAMMACFGNNGREFDAIKLFVEFLEMGLVPNDYCYTAVIRACSNSDFVGVGRVILGFLMKTGHFESDVCVGCSLIDMFVKGENSFENAYKVFDKMSELNVVTWTLMITRCMQMGFPREAIRFFLDMVLSGFESDKFTLSSVFSACAELENLSLGRQLHSWAIRSGLADDVECSLVDMYAKCSADGSVDDCRKVFDRMQDHSVMSWTALITGYMQNCNLATEAINLFSEMITQGHVEPNHFTFSSAFKACGNVSDPRVGKQVLGHAFKRGLASNSSVSNSVISMFVKCDRMEDARTAFESLSEKNLVSYNTFLDGTCRNLDFEHAFELLSEIAERELGVSAFTFASLLSGVANVGSLRKGEQIHSQVLKLGLSCNQPVCNALISMYSKCGSIDTASRVFSLMDNRNVISWTSMITGFAKHGFAERVLETFNQMTKEGVKPNEVTYVAILSACSHVGLVSEGWRHFNSMYEDHKIKPKMEHYACMVDLLCRAGLLTDAFEFINTMPFQADVLVWRTFLGACRVHSNTELGKLAARKILEFDPNEPAAYIQLSNIYASAGKWEESTEMRRKMKERNLVKEGGCSWIEVGDKVHKFYVGDTSHPNAHQIYDELDWLITEIKRCGYVPDTDLVLHKLEEEDDEAKKEMLLYQHSEKIAVAFGLISTAKSRPMIQTSFWIFVLLAISGFSIMESRIQNNVGSTSIESNKGIEYLALNCRKHRAVLTDFGAIGDGKTSNTKAFREAITKLTTKAVDGGVQLIVPPGNWLTGSFNLTSHFTLFIQQGATILASQDESEYPMIPPLPSYGEARFTSLIYGSNLTDVVITDVTLINTQSAIRIKTAVGRGGYVKNIFARRFTMKTMKYVFWMTGSYKLHPVGGFDPKALPEISNINYRDMTAENVTISAKLEGIKNDPFTGLCMSNVTIALSPDPKKLQWNCTDVSGVTSRVKPEPCSLLPDKGTTMDCDFPTDKIPIESVVLNKCYA >fgenesh1_pm.C_scaffold_5001065 pep chromosome:v.1.0:5:14451477:14453106:-1 gene:fgenesh1_pm.C_scaffold_5001065 transcript:fgenesh1_pm.C_scaffold_5001065 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 15 [Source:UniProtKB/TrEMBL;Acc:D7LSH8] MGKTGGSSWFTAVKNVFRSPEKKIPRRINRRQDNDLVEEEEDEQHQRPKRRKRRWLFKKDSSDFSAIDVGIHIRNSGNINSTDVDAIAAEETEKTASPAAKETVFFGRISVYLKRHLAAILIQTAFRGCLARTAFRALQGVVKLQALVRGHIVRRRASITLLRVQALVQIQARALEYRKTLTTNLGDETALSHAFSKQMWKTTAREVHSESELEDKRPSRLNRYGYRETGRRMSTDQAVVEPVKIVEIDTYKTYSHHQQLNDQTPRGHSCVTRQVHSIPNYMSTTASTMSRFRRPQSVPKQRSNRTGLDNNEPRLTLVRKRLSFHNGNPQSHGYIAGDGYFLYDIDKRTNAHEDFQY >fgenesh1_pm.C_scaffold_5001071 pep chromosome:v.1.0:5:14494165:14497803:-1 gene:fgenesh1_pm.C_scaffold_5001071 transcript:fgenesh1_pm.C_scaffold_5001071 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRQKLVSEKDPLTISRNSIPIDLFISILSRLPVKSIARCRCVSKQWASILRRPDFTDLFLKVSSTRPCLLFTFNLNGKWLFFSAPQHQNSCILLAADRHMSFSTENVLSLGTGELSWRTIECSISHCSASDGICINGVVYYLAACNGTRLHTPIKLVCFDVRFEKFKFLDVNSMIWMSTLVNFNGKLGAILPDELTESIELRVLEDPEEGKWSRHIYIFPPIWKNLVAQEYFLCVVGMTHSGEIVFSSYFPSDPFYVLRYNVERNTIIKVEIQRLGSRKGSEIFTFIDHVENVKHI >fgenesh1_pm.C_scaffold_5001073 pep chromosome:v.1.0:5:14502364:14503078:-1 gene:fgenesh1_pm.C_scaffold_5001073 transcript:fgenesh1_pm.C_scaffold_5001073 gene_biotype:protein_coding transcript_biotype:protein_coding MATGELACTYAALILHDDGIDVTVNLNTGVKIANLNIESYWPSLFAKLCQNKNMDYLIMNAGAGGSAAAPVAVSSSASSSGSATQAAPVAEEIKKEDEKEESDDDFVSFFFD >fgenesh1_pm.C_scaffold_5001075 pep chromosome:v.1.0:5:14517781:14521795:-1 gene:fgenesh1_pm.C_scaffold_5001075 transcript:fgenesh1_pm.C_scaffold_5001075 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:UniProtKB/TrEMBL;Acc:D7LSJ5] MGSEGSMKNSVVTQVSIGGFGESTTAKQLTDYLEDELGLVWRCRLKTSWTPPGSYPNFEIADTSSIPKFDDYKKVEPHAFVHFAVPESAARAMDAAGQCNLILDGQPLKVSLGPKNPYTLNQRRRTTTPYKLAGISLEIGTLVSRDEFLVSWRADGVDFLVDPFDNTCKFCFRKSTAFSFKDAVMHAVINCDYKLELLVRDIQTVRQYKNSHGYVLILQLASSPRVWYRTADDDIHDTIPVDLLDDDDPWIRTTDFTQVGAIGRCHSYRVLISPRYEKKLTTALEYLRMRRVQEERVRWPPRIRNEPCFGEPVSDHFFCIHHKEGISFEIMFLVNSVLHRGVFNQFQLTERFFDLLRNQPKDVNIASLKHLCTYKRPVFDAYKRLKLVQEWILKNPKLLGGHEQSEDISEIRRLVITPTRAYCLPPEVELSNRVLRKYRAVAERFLRVTFMDESMQTINSNVLSYFVAPIVKDLTSSSFSQKTYVFKRVKSILTDGFKLCGRKYSFLAFSANQLRDSSAWFFAEDGKTRVSDIKAWMGKFKDKNVAKCAARMGLCFSSTYATVDVMPNEVNTELPDIERNGYVFSDGIGTITPDLADEVMEKLKLDLHCTPCAYQIRYAGFKGVVARWPSKGDGIRLALRDSMKKFNSKHTILEICSWTRFQPGFLNRQIITLLSVLGVPDEIFWDMQESMLYKLNRILDDTDVAFEVLTASCAEQGNTAAIMLSAGFKPKTEPHLRGMLSSVRIAQLWGLREKSRIFVTSGRWLMGCLDEAGKLEHGQCFIQVSKPSIENCFSKHGSRFKETKTDLEVVKGYVAIAKNPCLHPGDVRILEAVDVPQLHHMYDCLIFPKKGDRPHTNEASGSDLDGDLYFVAWDQKLIPPNRKSYPAMHYDAAEEKTLGRAVNHQDIIDFFARNMANEHLGTICNAHVVHADRSEYGAMDEECVLLAELAATAVDSPKTGKIVSMPFHLKPKLYPDFMGKEDYQTYKSSKILGRLYRRVKEVYDEDAEASSEESSDPSDIPYDIDLEIPGFEDLIPEAWGHKCSYDRQLIGLLGQYKVQKEEEIVTGHIWSMPKYTSKKQCDLKERLKHSYNSLKKEFRKVFEETILDHEELSEEEKNILYEKKASAWYHVTYHPKWVKKSLELQDPDEPSSHAVMLSFAWIAADYLAKIKIRSGEMGNIDSAKPVDSLAKFLAQRL >fgenesh1_pm.C_scaffold_5001089 pep chromosome:v.1.0:5:14609535:14614338:-1 gene:fgenesh1_pm.C_scaffold_5001089 transcript:fgenesh1_pm.C_scaffold_5001089 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD binding protein [Source:UniProtKB/TrEMBL;Acc:D7LSL3] METRIKQKKKISEIFKDFMTGITQLEELGNAANNFLLRFQQGLSFLQRPPMVTSSKLMENIIKKNETRRLKSYMEAGCINIHDAAQSTRAYVNVAAKSLLIELEHLTDEAALAIESATQLDKDSSNELRQVTCDEENGTAQLPQGPEVTEYAALIAVIYNMMKQNYAMQEKIVRSLSLKSSSGELESYSLMWSLRPGVFEFFVVAFDVATKKTKMDYQNKHVLAPMVRVGTLSFRMLAAEYGADITYSEEIIDHKLVKCERRINVAYGTTEFVEKGTDNVVFSTCKEERNRVVFQMGTSDAVRALKAAEIVCNDVATVDINMGCPKAFSIQGGMGAALLSKPELIHDILATLKRNLDVPVTCKIRLLKSPADTVELARRIEKLGVPALAVHGRKIADRPRDPAKWDEIADVVAALSIPVIANGDVLEYDDFSRIKTATGAASVMVARGAMWNASIFSPKGKSHWEDVKKKYLRKSILWNNDVKSTKYTIKEMIAHHSCLELPEGKSINKADTLEDLARLYDLEDYFWTVKNIGPLTHDLNHVL >fgenesh1_pm.C_scaffold_5001093 pep chromosome:v.1.0:5:14650781:14653249:1 gene:fgenesh1_pm.C_scaffold_5001093 transcript:fgenesh1_pm.C_scaffold_5001093 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRRPSCKKNENFSKWYFEVCKRDMIEYFNISGCYFPRPLTMAIWEIMQIFFDAEMKKMKVVKNWDFPLFVPPGVLEKENEHIEGFAPEVGWVTKSIKSDLEVPIATRPTSETVLYTYFSKWVKGYRDLPWECNNPTPFIRSREFLWQEGHSAFATKAEADEELLQILELFRRIYEEFLAVPVVKGWKSEHEKFAGGLYTTSVEIFIPNTGRGVQGATSNCLGQNFAKTYEINYVDEKGETATVWQNSWTYSTRTIGVMIMIHGDDKGLILPPKVAPLQVVVIAVPCKNANIQRIYDACTATVSALCEAGIRAEEDLGDEYTPGWKYSDWEMKGVPLRIEIGPRDLENDQVMKGLVFTEKTVMFGLNMELVRTVRRDNGVKEDIPRGSLVENVKELLEKIQQNMYEVAKQKREACVQEVKTWDEFIKSFNEKNFILAPWCDEVEVERDVQARTKGETGAAKTLCSPLDQPELPEGTLCFASGKPAKKWTYWGRSY >fgenesh1_pm.C_scaffold_5001099 pep chromosome:v.1.0:5:14711775:14716972:-1 gene:fgenesh1_pm.C_scaffold_5001099 transcript:fgenesh1_pm.C_scaffold_5001099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LSX2] MRRFSTIVDLLLISKKPSSQSQANSRIDLICKRFHISRVLNNDFVESTERKNGLGLVFPEKHEDEFAGDVEKIYRILRNYHSRVPKLELSLNESGIDLRPGLIVRVLSRCGDAGNLGYRFFLWATKQPGYCHSYEVCKSMVKILSKMRQFGAVWGLIEEMRKENPELIEPELFVVLIRRFASANMVKKAVEVLDEMPKYGFEPDEYVFGCLLDALCKNGSVKDASKVFEDMREKIPPNLRYFTSLLYGWCREGKLMEAKEVLVQMKEAGLEPDIVVFTNLLSGYAHAGKMADAYDLLNDMRKRGYEPNANCYTVLIQALCRTEKRMDEAMRVFVEMERYGCEADIVTYTALISGFCKWGMIDKGYSVLDDMRKKGVMPSQVTYMQILVAHEKKEQFEECLELIEKMKQIGCHPDLLIYNVVIRLACNFREVKEAVRLWNEMEANGLSPGADMFVIMINGFTSQGYLIEACSHFKEMVSRGIFSAPQYGTLKSLLNTLLRDDKLEMAKDVWSCISNKTSSCELNVSAWTIWIHALFAKGHVKEACSYCLDMMEMDLMPQPNTYVKLMKGLNKLYNRTIAAEITEKVMKMASEREMSFKMYKKRGEEDLIEKAKPKGNNKEGKKKGTDHHRHKGGVSLARNRLRSETPSSFLARNHLRSKTPSSSPFSSKRHAPKTSEVEEESTPKDSVLLNPKDPSSPPKLFLVQPRLAPPKLLQAKLNEALCLANSLEEQRYGYFESDFFDKELPSHVVVQNPVRRSSKPREEVDAVFVNAILTAIQQRNLERIWAKPVLDRVGLIIEIFNAHAHTKEAKLQAELAALMYNKSRLVRVRGTDGRHTFGQFGEAEVVSARGRAGSKGTGGGFVGGAGETELQLQRRRISDRRLRLLSQIKEAQRTRLLQRAGRNKRVGLEGESSGTIAVVGYTNAGKSTLISALTKTALYCNERLFATLDPTLKSAHLPSGKFVLLSDTVGFISDLPIQLVKAFQSTLEEVVEADILLHVVDSTAPNIEEHRSTVFHILKQIGVSEEKLQNMIEVWNKIDYEEEEEVGDAKYLDDGEGEEEEADLKAEETVDASIAAVNEDQIQNQDNDSDGWLLSEDENADDSELWKVPEVAKVDAAQKKGPDVRVSALTGVGLKELLYLIDDKMKVEEEKKLKSQTVVERSDLHKRKWRPPRNDDDEEVRVIPLDQR >fgenesh1_pm.C_scaffold_5001114 pep chromosome:v.1.0:5:14836023:14837734:-1 gene:fgenesh1_pm.C_scaffold_5001114 transcript:fgenesh1_pm.C_scaffold_5001114 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGPGLFADIGKSAKDLLTRDYSTDQKFSISTNSISGVALTSTALKNGVLHAANVATQYKYRNALFDVKIDTDSNILTTITFTEILPSTKAIASFKVPDYNSSKLEVQYFHDHATVTAAAALQQNPLIDVTATLGSPTISFGAEAGYDTTSRTFTKYNVGISVTKPDRCASIILGDKGDSIKASYLYHLDESKRSAAVGEVIRKISTNENTVTVGGLYAVDHLTNVKAKLNSNGKLGALLQHEVLPKSLVTISGEIDTKTLDKYPRFGLSLALKP >fgenesh1_pm.C_scaffold_5001123 pep chromosome:v.1.0:5:14913491:14914072:-1 gene:fgenesh1_pm.C_scaffold_5001123 transcript:fgenesh1_pm.C_scaffold_5001123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease inhibitor, potato inhibitor I-type family protein [Source:UniProtKB/TrEMBL;Acc:D7LT19] MNRSCPIFGPPCQRCSCAGISCQPLFPGMKVEWPELTGVSGLEAKRRIEHDNPKVVAVIIPDDVAVVAINCCNRVILRVPVNNCPNGPVLNIPHVG >fgenesh1_pm.C_scaffold_5001124 pep chromosome:v.1.0:5:14919820:14921460:-1 gene:fgenesh1_pm.C_scaffold_5001124 transcript:fgenesh1_pm.C_scaffold_5001124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LT20] MLKFDEPPCTNPSCFFCSMKETNPFRRRSKLAAIFKEIPRTESKDHVLVLSGLWNIAMSEPDDPEFPSLGLFECMSKLIHKSIKNSAWLLKDQNIFIPYYAAHIIGSYVMNKEDLATIAVDSKVFVVPALLELLRGKISWVEQRAAARALGHLASHEKSFEAVSLFEEEIVKLSMEIATNCLKNVYKSFLGVEDSERLKYQSDLLTRGLGGLETENQKAEEWGIQLQCWSLFLLNCFASRVNRKSPGGIGLIKTLCKTELGRKRVSEVREVIERLCDLSRSSDDWKETALDTLLLLLKDSNVRVAQIVLQDYHKIKYSGLKMTTEEAHKSIENLWEIKVERKKKEKLMSETELEERRKMVKSLKKQGKKKFLKGFVKEAMEIYTVGIDLCPLDMLRDRVVLFSNRAQCYLLLKKAESAISDATRALCLSGVGDPHGKSLWRRSQAFDLKGSARESLMDCLAFVDQRVKHSNTQRIPYYAVQMIRKQMSATWVFSGVVWKI >fgenesh1_pm.C_scaffold_5001126 pep chromosome:v.1.0:5:14927037:14930040:-1 gene:fgenesh1_pm.C_scaffold_5001126 transcript:fgenesh1_pm.C_scaffold_5001126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7LT22] MALPSISSIGATFSILIYFFSLPYSITAGENNLHHSPSARSRRPLVFPLFLSQPNSSSSRSISIPHRKLHKSDSKSLPHSRMRLYDDLLINGYYTTRLWIGTPPQMFALIVDSGSTVTYVPCSDCEQCGKHQDPKFQPELSSTYQPVKCNMDCNCDDDKEQCVYEREYAEHSSSKGVLGEDLISFGNESQLTPQRAVFGCETVETGDLYSQRADGIIGLGQGDLSLVDQLVDKGLISNSFGLCYGGMDVGGGSMILGGFDYPSDMIFTDSDPDRSPYYNIDLTGIRVAGKKLSLNSRVFDGEHGAVLDSGTTYAYLPDAAFAAFEEAVMREVSPLKQIDGPDPNFKDTCFLVAASNDVSELSKIFPSVEMIFKSGQSWLLSPENYMFRHSKVHGAYCLGVFPNGKDHTTLLGGIVVRNTLVVYDRENSKVGFWRTNCSELSDRLHIDGAPPPATLPSNGSNPSRNSSSDIQGEIQIGQINLDLQLTVNSSYLKPRIEELSKIFSKELDVKSSQVSLSNLTSKGNESLIRMVVVPPEPSTWFSNVTARNIVSRFTNHQIKLPEIFGNYQLVNYKLEPPRKWTNNNITVIAIGIIPVIIGLSAYGAWLIWKRKQTSIPYKPVDEAIVAEQELQPI >fgenesh1_pm.C_scaffold_5001130 pep chromosome:v.1.0:5:14953203:14955439:1 gene:fgenesh1_pm.C_scaffold_5001130 transcript:fgenesh1_pm.C_scaffold_5001130 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g50100 [Source:UniProtKB/TrEMBL;Acc:D7LT27] MELKLATAEKQVLEELVKLLQSRDLRGENGNWKEFLHVHDKNAESPSDPSRRSHEDLVQFLTTFKKKEDLQVLKCHANHLLLEKLKQESEDEDTPERRLVRLTIEHPNYSVDYSFKPYSEDWFVSDVGMKMSKVMKSTNMVAVDCEMVLCEDGTEGLVRVGVVDRDLKVILYEFVKPDKHIVDYRTDITGITAEDIENATLSVADIQETLQPFLSTGTILVGHSLNRDLEVLKIDHPKVIDTALVFRYSNTRKLRRPSLNNLCKSILGYEVRKTGVPHNCVHDAEAAMKLALAVVEKRVDTTIKPSKEMLEVEKAKLFLHKIPNNVPSEELDQVLSGKFTLDVKQAKTQGRYYCAFVVFGSSEDADQAFENVDGIQMTDSLGLLQKVVIVKLRSGSRASIFVRKMVQDE >fgenesh1_pm.C_scaffold_5001137 pep chromosome:v.1.0:5:14997811:14999843:-1 gene:fgenesh1_pm.C_scaffold_5001137 transcript:fgenesh1_pm.C_scaffold_5001137 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFGTIPPPPPPPLRLRLGLLSQPPPPPPLPRLELRRQPPPPPPPRQQLLRLRKQHPLLPQSLPLRPRAIPWLTGHDVKKGKSYDKLREYVENVRKQNEESELVLLNKSISYQDQNLENHQDTRSEQSGMNEVVEGSRSGSKRLEWVISMEGKLKEAYRDDDRTSWGKLCIYKVPHYLHGNDKKSYFPQTVSLGPYHHGRQQTQCMECHKWRAVSMVLKRTNQGIEVFLDAMTKLEEKARACYEGPIVLDSNGFTEMLVLDGCFILELLQGVAEGFLKLGYDHNDPVFAVRGSMHSIQRDMIMLENQLPLFVLNRLLELQPDSQNKTGLVELVVRFFVPLMPTAETLAENSPPRGVSNGELHCLDVFHRSLLFPRSAGKANCSRVADKHLQRVIPTVTELRDAGFKFKLNKTDRFWDIIFSNGYLEIPSLLIHDGTKSLFLNLIAFEQCHLESSNDITSYIIFMDNLIDSPEDISYLHHRGIIEHSLGSNSEVADMFNQLCQEVVFDTKDIYLSQLLSEVHRCYEQNYSRKLNSLTATLKHKYLDNPWAYLSFIAAVILLILTLSQSYFAAYAYFNPSS >fgenesh1_pm.C_scaffold_5001176 pep chromosome:v.1.0:5:15275181:15277108:-1 gene:fgenesh1_pm.C_scaffold_5001176 transcript:fgenesh1_pm.C_scaffold_5001176 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPTMMLYPNYPFFMSAFGSDDNDSGDHFDFNISKELLLNPKNIMLGEMIGEGGNSIVYKGLFKGTMPVAVKIVQPSKTSAVSIQHKQQFQKEVLLLSSMKHLNIVRFLGACIEPQLMIVTELVRGGTLQRFMLNSRPSPLDLKTSLTFALDISRAMEFLHSKGIIHRDLNPRNVLVTGDMHHVKLADFGLAREKTVGGMTCEAGTYRWMAPEVCSREPLLIGEKKHYDHKIDVYSFALIFWSLLTNQTPFYGMDGISIPYFVNQGMRPSLINIPDEVVPILESCWAEDSKNRLEFKEITIFLESLLKRLCPESSNDDITVTDDEAYDDEIEELETTWLLGKRYIKLNKPKKKKEKVMKRILPFFKKFFSSKW >fgenesh1_pm.C_scaffold_5001183 pep chromosome:v.1.0:5:15335009:15336677:-1 gene:fgenesh1_pm.C_scaffold_5001183 transcript:fgenesh1_pm.C_scaffold_5001183 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIKIAKVEQRQTKIRNVPVAVTPEGFWCCPSPVAFQRTLKSHNSLTKHKQASPPQPPKPEKKPSSTTIRSVIASDETQQNLGGSDTVHSIAVPATVQERPQRQKVETLPRKVAIEFGEPGSSDAKVILVGKQGFCVKLSVHKKVLVDHSCFFAKKLAEKDSVFACLEIESCEDAEIYVETIGLMYCKDMKQRLMKQNVSRVLHVLKVAELLGFSSCIQSCLDYLEAVPWVGEEEEEKVISSILRLKTEGVGVTPILKRVTSSAVDPPKETLSRIIELVLRSKEEKSRREMKSIVLKLLREQNGANVADNFNETIYSSCQNCLDSVLSLFKQASEGEKPETDTKQIAVEADNLTWLLDVLAERQAAEEFSITWANQKELALLHEKLPLMSRYHISRVTSRLFIGIGRGELLPSKDTRLLLLTTWLQPLFNDYNWLQHGCRSFDGKLVEEGIGRTILTLPLEDQQSILLSWLGSFLNRGDGCPNLQRAFEVWWRRSFIRPYSDRQANGSCQTDSTSKE >fgenesh1_pm.C_scaffold_5001198 pep chromosome:v.1.0:5:15425696:15426589:1 gene:fgenesh1_pm.C_scaffold_5001198 transcript:fgenesh1_pm.C_scaffold_5001198 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTPSYLRTLPLESSRITSHVLNCLKKNGIMIPHPRSGDYRSFFRPIYPLPLFSETIYVQISYFREDFHPFKSLILSSGFFYFLWQTDYKLCVEFLNLHGRQGLHVREDPPVLLRSWTYLLRFLVVKASFRFLNLSIDHSPSCFYDNFKQHALHTTNNLKHHSTFILARNLVKALPRVVLLVPARTSTLAHSPTLLRLLTVATLSSVDSLLEDSSIIFDLTCTKKLQSFWLKALKKLLSINLIYLFTYFMLALGKCPIFCNLNFGISDSFNLCTWVLP >fgenesh1_pm.C_scaffold_5001199 pep chromosome:v.1.0:5:15436555:15440077:1 gene:fgenesh1_pm.C_scaffold_5001199 transcript:fgenesh1_pm.C_scaffold_5001199 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSNYGYNTFLIFLVFLEVSSSSSTYSSVSTSEKRTVSFNETIVSPGNVYELGLLPTDLNWYLGIWHKEDIFKQFIWVANRDKPFSISTGTLKFSENNLVLSDKDNSHVWSANMNRGGVRSPMVAELLDNGNFVVKDSNNDEVLWQTFDYPTDTLLPEMKLGRDKKTGINKVLTSWHPDDPSRIGYSLQVKNQAGLFELSVCGQDTSKCFYRSDPWDGRRFGDIPLDFSLNYVSPNWTRNVEDSNFTFLMTGQNNNSILTMEGRLPQILTWEPERMMWSLSWHPLDFYSKYQICGPNSYSSRTTTFSVCTCIKGFDPAFHENWSLRDWRGGCERTTRLNCTGDHFLQLKNMKLPDTKDVTVDMVIGKKNCEKRCLRDCDCTAYAYVTILKGHAGCVMWTGALNDFQNYSVGGRDLYVKVAAAIDHVIIIIGVVVVALATFATYYYWKQHNRRTIITHGGPSKTMIMNEIARQTRCEFMNLVHVAEATNDFSEANKLGEGGFGVVYKGTLPNGNTVAVKRLAITSSQGFNEFKNEVQTISSVLHINLVRLHGYCWEDREQLLIYEYMENSSLNYYIFDETQSSLLNWEKRFCIIKGIVQGLSYLHNYATPSIIHRDLKPSNILLGKDMIPKISDFGMAKLLENDEIQSTTGKAVGTRGYMSEEYALHGKLSERSDIFSFGVTLLEIVTGKRNIEYCNYYRGDSLLDYVWRHFDEGNILHVVDPNFVDSSLVEEELWRTIQVGLLCVQNDEDDRPSTESVALMLSTSKMEIPLPKKPNYFYARLIRGEIASSSSVTESTSINQITLSAIKSR >fgenesh1_pm.C_scaffold_5001201 pep chromosome:v.1.0:5:15452016:15453448:-1 gene:fgenesh1_pm.C_scaffold_5001201 transcript:fgenesh1_pm.C_scaffold_5001201 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARNYQKEVLANEKAQGSNPVNEEVDLDELMDDPELERLHADRIAALKREVEKREAFKRQGHGEYREVSEGDFLGEVTRSEKVICHFYHKEFYRCKIMDEHLKTLAPRHVDTKFIKVDAENAPFFVTKLAIKTLPCVVLFSKGITMDRLVGFQDLGTKDDFTTNKLENVLLREFLLKECKSLTGMLSKKKKEEDDEDAEYQESIRRSVRSSENLDSDSD >fgenesh1_pm.C_scaffold_5001213 pep chromosome:v.1.0:5:15498565:15499580:1 gene:fgenesh1_pm.C_scaffold_5001213 transcript:fgenesh1_pm.C_scaffold_5001213 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LTM8] MESVELALKNSNRKDKSLTVTGGAQNGEDFSVDDLLDFSNEDDDDVFVEDETELKVQRKRGVSDEITLHRTNDFSTADFPTSELAVPMDDLAELEWLSNFVEDSSFTPYLAPTKKPVWLTGNRRHPVPSVKEETCFKAPPPPVKTRPKRVRTGVTGWSHGSDSSSSSTTSSSSSSGPSSPLWLAGAEFLDESVAKTQKKKKKKKKVCKNAGQTQTQTQTQTQTQGRRCGHCGVQKTPQWRAGPLGAKTLCNACGVRYKSGRLLPEYRPACSPTFSSELHSNHHRKVIEMRRKKEASDEADQTGLNQPVQVVPNF >fgenesh1_pm.C_scaffold_5001216 pep chromosome:v.1.0:5:15520607:15525481:1 gene:fgenesh1_pm.C_scaffold_5001216 transcript:fgenesh1_pm.C_scaffold_5001216 gene_biotype:protein_coding transcript_biotype:protein_coding MILRIKNKTPAPLQITSEQILREAREREDTRPRPPKQKITDSAELTDYRLRLEELVLRRRKEFEDKIRGAKTNNQVWVRYADWEESQKDHRRARSVWERALRDESYRNHTLWLKYADLFTWRRYLGISPELERYLNGGWFGHRINKLGSLLSNSNLGITKSNAQDRFTRDLCFAIQKLLPLFDMPRLCTNAPLRDVEEEAEMIFVAFAEFEEGCKEVERARFLYKFALDHIPKGRAEDLYKKFVAFEKQYGDKEGIDDAIVGERKLLYEGEVRKNPLNYDSWFDYIRLEETLGNIDRIRDLYERAIANVPPAQEKRYWQRYIYLWIDYALFEEIVAEDVERTRAVYRECLVLIPHSKFSFAKIWLLAAQFEIRQLNLSGARQILGNAIGKAPKHKIFKKYIEIELHLGNIDRCRKLYTRYLEWSPESCYGILNGLLRVAMLGSKRARAIFELAISQPVLDTPELLWKAYIDFEISLGELERTRALYERLLDRTKHYKVWLSFAKFEASAAQDTDVILEHARAIFDRANTYYKESKPELKEERAKLLEDWLNMEASFGIPGDVSAVQSKLPKKLKKRKPITREDGETEYEEYIDYLFPEESQTMNLKILEAAHKWKKQKVAAF >fgenesh1_pm.C_scaffold_5001223 pep chromosome:v.1.0:5:15553590:15554533:-1 gene:fgenesh1_pm.C_scaffold_5001223 transcript:fgenesh1_pm.C_scaffold_5001223 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L8 [Source:UniProtKB/TrEMBL;Acc:D7LTN8] MGRVIRAQRKGAGSVFKSHTHHRKGPAKFRSLDYGERNGYLKGLVTEIIHDPGRGAPLARVAFRHPFRYMKQKELFVAAEGMYTGQYLYCGKKANLMVGNVLPLGSIPEGAVVCNVELHVGDRGALARASGDYAIVIAHNPDSNTTRVKLPSGSKKILPSACRAMIGQVAGGGRTEKPLLKAGNAYHKFKVKRNCWPVVRGVAMNPVEHPHGGGNHQHIGHASTVRRDKSAGAKVGQVAARRTGRRRGTAALAAKADY >fgenesh1_pm.C_scaffold_5001229 pep chromosome:v.1.0:5:15567936:15570834:1 gene:fgenesh1_pm.C_scaffold_5001229 transcript:fgenesh1_pm.C_scaffold_5001229 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNVLRYLSKDDFRVLTAVEMGMRNHEIVPSELVERIASLKHGGTYKVLKNLLKIGSLVKLQNVMAISFFLFCLDDGFRLTYLGYDFLAIKTLVNRGVFTGVGRQIGVGKESDIFEVAQEDGTILAMKLHRLGRTSFRAVKSKRDYLRHRSSFSWLYLSRLAALKEFAFMKALEEHDFPVPKAIDCNRHCVIMSLVQGYPMVQVKQLQNPETIFEKIIGIVVRLAEHGLIHCDFNEFNIMIDDEEKITMIDFPQMVSVSHRNAQMYFDRDIECIFKFFRKRFNMSFHEDKGESEETEVDENSRPSFFDITKDANALDRDLEASGFTRKEQTDLDKFIEGGVEKSEDSDEDEESDDEEQTCESNEEGNLNELKSLQLQDEEQKSSDGVEAEVELDNTENGESNEEEEEKDAELEKNLGKVRRRAMTAARGRRKSQSSRNTYKDKGRGSQNSKIHNNMSGF >fgenesh1_pm.C_scaffold_5001240 pep chromosome:v.1.0:5:15611745:15612145:-1 gene:fgenesh1_pm.C_scaffold_5001240 transcript:fgenesh1_pm.C_scaffold_5001240 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 20 [Source:UniProtKB/TrEMBL;Acc:D7LTU4] MANSKRLFGVVRRKLLRRSQSRITIIRSSAPETTQEEIAAVKIQSFFRGHLARRAFKALKSLVKLQAVARGVLVRRQARIALHCMHALARLQVRVRARQLLSH >fgenesh1_pm.C_scaffold_5001244 pep chromosome:v.1.0:5:15625725:15627111:1 gene:fgenesh1_pm.C_scaffold_5001244 transcript:fgenesh1_pm.C_scaffold_5001244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yellow-leaf-specific gene 2 [Source:UniProtKB/TrEMBL;Acc:D7LTU9] MPIFFSSRFLFFSTIVPFLVSIALYKLDTFDPAPVPSDAYASSTTSIPPLVNEKSLTGAEFIGVGLLDKPEDIAYHQDSNLIYTGCIDGWVKRVTVHDSANDSVVEDWVNTGGRPLGIAFGIHGEVIVADAYKGLLNISGDGKKTELLTDEAEGVRFKLTDVVAVSDNGVLYFTDASYKYTLHQVKLDILEGKPHGRLMSFDPTTKVTRVLLRDLYFANGVSMSPDQTHLIFCETPMRRCSKYYISEERVEVFIQGLPGYPDNIRYDGDGHYWIAMVSGATNLWRLSMKYPFLRKLTGMAAKYGVELMFMKNAGVLQVDLDGNPIAYYYDQRLSHITTGVKIGNYLYCGNILHSHIIRLDLLKYPAQQKKKL >fgenesh1_pm.C_scaffold_5001254 pep chromosome:v.1.0:5:15663348:15667448:-1 gene:fgenesh1_pm.C_scaffold_5001254 transcript:fgenesh1_pm.C_scaffold_5001254 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCSDVYISFDRREDTVRYSFVSHLSAAFHRRGVSSFTGEHGSDSETNGFSKLEKSRASVVVFSEKYPSSKSCMEELLKVSEHRRKNCLAVVPVFYPVTKSFVKKQICNLADVRSDWRTALLETVDLPGHELYDTQSDSDFVVEIVADVREKLNMTDNIGIYSKLGKIETLIYKQPWGVRSIGIWGMPGIGKTTLAKAAFDQLSGDYEASCFIRDFHKAFHEKGLYGLLEVHFGKILREELGINSSITRPILLTNVLRHKRVLVVLDDVCKPLDAESFLGGFDWFCPGSLIIITSRDKQVFSLCRVNQIYEVPGLNEEEALQLFSRCAFGKDIRNETLQKLSMKVINYANGNPLVLTFFGCMSRENPRLREMTFLKLKKYLAHEIHDAVKSTYDSLSSNEKNIFLDIACLFRGENVDCVMHLLEGCGFFSRVEINVLVEKCLVSIAEGRVVMHNLIQSIGHEIINGGKRRSRLWKPSRIKYFLEDTQVLGSEDIEAIYLDPSALSFDVNPLAFENMYNLRYLKIFSSNPGNHSALHLPKGVKSLPEELRLLHWEQFPLLSLPQDFNTRNLVILNMCYSKIQRLWEGTKELGMLKRIMLCHSQQLVDIQELQNARNIEVIDLQGCARLQRFIATGHFQHLRVINLSGCIKIKSFPEVPPNIEELYLKQTGLRSIPTVIFSPQDNSFIYDHQDHKFLNREVSSESQSLSIMVYLKYLKVLDLSHCLGLEDIHGIPKNLRKLYLGGTAIQELPSLMHLSELVVLDLENCKRLEKLPMGIGNLSSLAVLNLSGCSELEDIQGIPRNLEELYLAGTAIQEVPSSIKHLSELVVLDLQNCKRLRHLPMEIGNLKSLVTLKLTDPSGMSIREVSTSIIQNGISEINISNLNYLLFTVNENADQRREHLPQPRLPSSSLHGLVPRFYALVSLSLFNASLMHIPEEICSLPSVVLLDLGRNGFSKIPESIKQLSKLHSLRLRHCRNLISLPVLPQSLKLLNVHGCVSLESVSWGFEQFPSHYTFSDCFNRSPKVARKRVVKGLAKVASIGNERQQELIKALAFSICGAGADQTSSYNLRAGPFATIEITPSLRKTLLGFAIFIVVTFSDDSHNNAGLGVRCVSRWKTKKRVSHRAEKVFRCWAPREAPEVQRDHMFVFYEDAETHRGGGEGNKPNLSSNHVEFEFQAVNGRNKVLGSNCMVTECDVCVITAATGATSLSVTNASKDMSLSKNHSPKLSSVLAKLQFKRPGRFGGCVCLE >fgenesh1_pm.C_scaffold_5001260 pep chromosome:v.1.0:5:15700777:15703608:1 gene:fgenesh1_pm.C_scaffold_5001260 transcript:fgenesh1_pm.C_scaffold_5001260 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWFVIPLIGLWALSQLLPPAFRFEITSPRLACVFVLLVTLFWYEVLMPQLSTWRVRRNAQLRERERLEAIELQKLKKNATRRCRNCSTPYRDQNPCGGKFMCSYCGHVSKRPVLDMALSSGLEISGSGILKDLVGKSGKMLNGKGWSENGYLHRQEWSDSNTWNSGSSYWRNNSGGTFEGDENCLVEKSYSGGVVFACRLLTSFFMTILWLWKKIFRFSSSADDSSLDPDQRRLLARQGENGTSYHESRVEKARRKAEEKRQARLEKELSEEEERKQREEVARLVEERRRLRDEILEAEKCSKLSVAAKEAEKKRQQRRKERDRASSKSNSDGEEVDKRTRKETEQKRGLNKSDHLEHAPDNLRGLNMERRHGHGLENNVTSNGTKSGGRYFDRMKGTFLSSSKAFTDSRLFGRGVYTSATVAKENKPIGSTDNSHTYAHSHINPPEFVAMKSVPNEEERNTNNPVVSEPTPSREPRKSWHQLFARSTPVPVSSNVNTISRPSTNPQPIVQSSQVTSQVSSIRTFDNPISFGLPSPFTIPVYSSGSTTSSLGFSHPTEIVFHQPGEDERFEDPCYVPDPISLLGPVSESLDLRAAGYETRIGQVKYHAMKNTPSCEASKPSPIESPLSRSRAADEKQANDGSWQMWKSPLGQNSLGLVGGSANWIIPSETSRSNEEIAMHHVPQHRTESLFSKEDCQLHQGAYSQRKDCLEHDQRDGVFSPISGPTTTDPWSQKMFFPALSGIESPFSFTTQTESILNNVAAYRSPTGSAPDNPFEHPSPNHWLKKVKSSGDGIGKQFVAGGEVENHQKDVESFW >fgenesh1_pm.C_scaffold_5001263 pep chromosome:v.1.0:5:15721776:15722803:-1 gene:fgenesh1_pm.C_scaffold_5001263 transcript:fgenesh1_pm.C_scaffold_5001263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LTX2] MSAQVISEQTFHSIHDTRMEETNTTLYHKRLEGKVAIITGGAHGIGKATVMLFARHGATVVIADVDNVAGSSLAKSLSSHLTAFTVTFISCDVSVESDVENLVNATVARYGRLDILFNNAGVLGDQKKHKSILDFDADEFDRVMRVNVRGIGLGMKHAARAMIKRGFKGCIISTASVAGVMGGMGPHAYTASKHAIVGLTKNAACELGKYGIRVNCISPFGVATSMLVNAWRKTSGGDVEDDEVEEMEEFVRSLANLKGESLRANDIAEAALYLASDESKYVNGHNLVVDGGVTTARNCVGL >fgenesh1_pm.C_scaffold_5001264 pep chromosome:v.1.0:5:15735318:15736826:1 gene:fgenesh1_pm.C_scaffold_5001264 transcript:fgenesh1_pm.C_scaffold_5001264 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKFYTFTFLICLFSKLQGHCKSDISLGNSLTLTSPLEYTPGFMGKAYIMETESSSTREPGFKAALTMESSDKDDGRYLCSLQIFLGDVRVWSSGHYSKMYVSSKCIIELTKDGDLRLKSSNKHVGWRSGTSGQGVERLEIQSTGNLVLVDAKNLIKWQSFNFPTDVMLSGQKLDVATQLTSFPNDSTLFYSFEILRDKIALFLNLNKLKYSYWEYEPIEKNTTVNFVRLGLKGLDLFDDNSHIIGRIEQPLIRFLALGNRTGNLGLYSYKPEKGKFEATFQAVSDTCDLPVACKPYGICTFSKSCSCIKVVSNGDCSSINVEEAVSVKRLCDHEMVELKGVTTVLRNGTQVRNISKERCEELCKKDCECGAASYSVSDESCVMYGIVMGVKQIERVSGLSYMVKIPKGVRLSDEKPNVRKWVVGLVGGIDGFVILLLLSGFAIYFIRKRRKSLSLPPPPPLPQQPANTDS >fgenesh1_pm.C_scaffold_5001281 pep chromosome:v.1.0:5:15834097:15835362:-1 gene:fgenesh1_pm.C_scaffold_5001281 transcript:fgenesh1_pm.C_scaffold_5001281 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAKGKDKVKTTKEALKPVDDRKVGKRKAPAEKATKRETRKEKKAKKDPNKPKRAPSAFFVFLEDFRVTFKKENPNVKAVSAVGKAGGQKWKSMSQAEKAPYEEKAAKRKAEYEKQMDAYNKNMEEGSDESEKSRSEVNDEDEASGEVTIPLNKIREELLEKEAAGDDEEEEEDDDDDDDEEED >fgenesh1_pm.C_scaffold_5001292 pep chromosome:v.1.0:5:15914956:15916725:1 gene:fgenesh1_pm.C_scaffold_5001292 transcript:fgenesh1_pm.C_scaffold_5001292 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQDWSVTACVFLFLSLASQIHCRSQILFPSHKRGVGSSGDTSHFNVIRRESVPSPKEKDLIKQLPGQPSDVTFKQYGGYVAVNEPAGRFLYYYFVEAIKPSKSTPLVIWFNGGPACSSLGGAFKELGPFRVHSDGKTLFRNPYSWNNEANVLFLETPVGTGFSYSNSPIYGKQGDKPTAEDNYMFLVNWLERFPEYKGREIYITGQSYAGHYVPQLAQIIIHRNKQTFINLRGILIGNPSLNREIQEEFGNKFMFSHGLISQQQMDNYNKFCTYDLYDWDKCKLASQKIEDQKTRLDIYNIYAPVCLNSTLSSEPKNCTTIMEVDPCSGNYLKAYLNTKEVQEAIHANTTKLPYEWTSCNKKLGWEWNKNDKYVSLTPILQELMGEGVRVMLYNGDVDLVIPFTSVVAVLKSMNLTVVKEWRPWFTGGQLGGFTEDYKGNLTFVTVKGSGHSVPTDQPIHALNIFTSFIRNTPLPQTP >fgenesh1_pm.C_scaffold_5001305 pep chromosome:v.1.0:5:15950207:15955790:1 gene:fgenesh1_pm.C_scaffold_5001305 transcript:fgenesh1_pm.C_scaffold_5001305 gene_biotype:protein_coding transcript_biotype:protein_coding MGDETFDGIEAKYISGLSTIMVATIQEAKDRISQIEYIFCSQLFPNFQSKFKAFEKVNSEARLAACDAWKEREKNLVSQIEELKLENQQIKSENVELIKNKEKLAEQLDRTASMPLRLTSLQDYIAHLKKKLKSRSKMVSDARELYYRLVQLLQVKGSDELSEDGINMILSEVKSLKVKSEFLQEELSKKTLVTENLLKKLEYLSTEAADGERKLSSVEEEKQKLKTRLQVFEENVGRLEEMLRQKNDELEEGQTALEVLQGTLNLTEREMLECKQKIADYEKEKTVVMGKAKDDMPMMQGRHGSYLAEFEALRRQSEEKSFELAMEIKKRKELYSTCKKLKSQYTFLCKRFGFTPDSVLHQSSLEDYLEKPAISSFLEKKHSETAEGADKVRIGDGSSGNNCEKERIIKTVQTPITSISPIVRLPGVRSDPSAAKSPQLSGSKRPASIWRDTRSRQSPGGHDPHDDFLDTPIENVKRVAGEEKHVHNVATKLDSDDETQDMNPKPSPSRQRIQVVETSKKSFKHVESVRKKAERENLKGIECKQCKKFYDAVHPENEGNGNKSLRCEHHEGVSRHRYRYAPPMTPEGFWNIGFESEMGLLHVYILDAQQSSFLIYDSIPPIVGLLFPFCLISFRRLFTELICSTVTSIQLLHLVCSVMDNKGAPPSIFVNDGSFMERFRQLQQEKDKDKDKVVQVEDSKPVKIISNPKPSANKISIGLKTNDAQKKGGKLAFSLKQKSKLLAPPVKLGTEEDEDEEDARNEQGFGSVKRQKLEQRDTPVKSARVSDVAPLPPSDPTVKKVADKLASFVAKHGRPFEHITRQKNPGDTPFKFLFDENCADYKYYVFRLSEEEKSISQTKDSGVLHSGDAGPRTSTAAITLQKPAYQQTGYQIPASALYDAPEEPGASSRSAQASITRPSNSDSFSGPKGADPISMMEFYMKKAAQEEKMRRPRQSKDEMPPPASLQGPSESSSTDPGKRGHHMGDYIPLEELDKFLSKCNDAAAQKATKEAAEKAKIQADNVGHKLLSKMGWKEDKELRKLEEWITGEGIGSSRKGMADPIMAGDVKTNNLGVGASAPGEVKPEDDIYEQYKKRMMLGYKHRPNPLGNPRKAYY >fgenesh1_pm.C_scaffold_5001318 pep chromosome:v.1.0:5:15999590:16002586:-1 gene:fgenesh1_pm.C_scaffold_5001318 transcript:fgenesh1_pm.C_scaffold_5001318 gene_biotype:protein_coding transcript_biotype:protein_coding MANQDKLDHHRCVDTEAQDPTSMTIEFLRARLLAERAVSKSARAKLDGLADKVAELEEQLKIVSLQRKKAEQATADVLAILEENGYTDDVSDDYDSNSEHECYSQTNSVLGKSLSWKGRRTEPGSSDKIKEIRNRRHHRGFECAYFSSPRHRQGRSCRQIRRGESRNVSDDYKRDGNPVDFQENGVRTEMLPQANEDASSTVVDVAVVKGDESLNKLSNSNGLEKQNSTDINLERALENRAQVIGSFEDMEETQREWEKNFRENKSSALDLCDVGNHSDVTDESNGEKAQSPLQGSTVVPSLRDTRSIANEVDFRESFETLSHGSPDNSVTSPDKCCKSCGSRSLEQDASSSRDKRKHVSESPKSEYSHPQSCKGINEHSSSTIQSPLVTQPNSRGGSFDSTTTAIQKVDYPLVPLKEGKSDTCETVLTALKQAKLSLQEKVNSLHIRKPDCHSESSYPSTPGSYMNTYALPIEPAFSTKPSLPASSIGSMVEFPVGCAGLFRVPTDFSSDASNRNCFLASSSQNAMVTHMPERDIPLVPGDQLFTKTASNNLLNTGFQSQLETSPPLSVDDRLLTTPYIGGPKLWSSFRADGPPMIDVPGFRLNKGTPSVSGSAISGGTSGFEGNQVSSTSFNLDRRVSTYTPVTPTRSLHPDSVLSSRELYSTPYYTRPIGLPPTSGSDDGLFRRV >fgenesh1_pm.C_scaffold_5001361 pep chromosome:v.1.0:5:16258016:16258412:1 gene:fgenesh1_pm.C_scaffold_5001361 transcript:fgenesh1_pm.C_scaffold_5001361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LUA5] MKKTTTQAFLLLCLLHIFLCLSFQARVHQGKPEICVRPSPPCGDSPKGGEDTPARDYKKPCKPIPRPPPPRDC >fgenesh1_pm.C_scaffold_5001365 pep chromosome:v.1.0:5:16274726:16277440:-1 gene:fgenesh1_pm.C_scaffold_5001365 transcript:fgenesh1_pm.C_scaffold_5001365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LUA9] MVKVLGLVAILLIVLAGNVLSYDRPGTRKNLVIHPSNEDDPTSPDQVHISLVGPDKMRISWITQGSIMPSVVYGTVSGKYEGSANGTSSTYHYLLIYRSGQINDVVIGPLKPNTVYYYKCGGPNSTQEFSFRTPPSKFPIKFAVSGDLGTSEWTKSTLEHVSKWDHDVFILPGDLSYANSYQPLWDTFGRLVQPLASKRPWMVTHGNHELEKIPILHHHTFTAYNQRWRMPFEESGSTSNLYYSFNVYGVHIIMLGSYTDFEPGSEQYQWLENNLKKIDRKTTPWVVAVVHAPWYNSNEAHQGEKESVKMKESMETLLYKARVDLVFAGHVHAYERFSRVYQDKFDKCGPVYINIGDGGNLEGLARKYKDPNHEISMFREANFGHGQLVVENATHAHWEWQRNDDEVSVQKDSVWLTSLLADSSCKI >fgenesh1_pm.C_scaffold_5001377 pep chromosome:v.1.0:5:16343733:16344808:1 gene:fgenesh1_pm.C_scaffold_5001377 transcript:fgenesh1_pm.C_scaffold_5001377 gene_biotype:protein_coding transcript_biotype:protein_coding MADCWLPFEIVEEIISRAPIESVIRCKPTSKQCYALCNDKRFIYNHLNLSQERFMRIYSDNFNCVPCTRLLSVIHCDGLLLCKWITGLRNVNVAVWNPVLGQVKFVDTSSHSALNIYGFGYDDKDSYKILRISVRHNEFEIYDDFKSKLWRAFSATMDWYVYTPEQEVSMNGIMYWLALTKVLIFPFKPICLFPLEWSTIDDSVAFSAFRGDRLSLFHQLFGDETREIEVWVTNKVTDGVVTLSKYFNVARPDLPILNPHFMRVPTFFIHKTNSIMLWCDKVVGEGYACTSLYEIGRGEIKKQVETGQPFSGEDERNHFVISFVYVPSLVPVPE >fgenesh1_pm.C_scaffold_5001379 pep chromosome:v.1.0:5:16348498:16352699:-1 gene:fgenesh1_pm.C_scaffold_5001379 transcript:fgenesh1_pm.C_scaffold_5001379 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLKQWRSQQQNESEDQGSAATKISNFFFDQIQSQTATSAAAAPLPLFVPEPTSSSSFSCFSPDSSNSSSSRFLKMGNFFSWAQWQELELQALIYRYMLAGASVPQELLLPIKKSLIHQSPMHFLHHPLQHSFPLHQPSWYWGRGAMDPEPGRCKRTDGKKWRCSRDVVAGHKYCDRHIHRGRNRSRKPVETATTTTTTTATTTASSFVLGEELGHGPNNTSGSSQHLHLSHQQSCSSEMKQESNNNKRPYEANSGFSNGRSDDGHILRHFFDDWPRSSDSTSSPMSSSTCHLSISMPGNRNASSDVSLKLSTGNEEEEENMRNNNEREQLNWWSNGGNHHNMGGPLAEALRSASSTSSVLHQMGISTQEMKYVKPLSLLGNALKTKVSVPGRFLGLDVGDKYVGLAISDPSNMVASPLSVLLRKKTNIDLMATDFQNLVKAFSVSGLVVGYPFGKLNNVEDVVTVNLFIEELRKTEKLKDVKYTYWDERLSSKTVELMLKPLNLHPVQEKTMLDKLAAVVILQEYLDYANRYLNTEPAE >fgenesh1_pm.C_scaffold_5001380 pep chromosome:v.1.0:5:16360495:16361854:1 gene:fgenesh1_pm.C_scaffold_5001380 transcript:fgenesh1_pm.C_scaffold_5001380 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLSFSSQMSKEDEEMARSALSAFRAKEDEIEKRKMEVRERVKAQLGRVEEETRRLASIREELETMADPMRKEVNWVRKKIDSVNKELKPLGSTVQKKEREYKEALDTFNEKNREKVQLITKLMEMGQLVGESEKLRLKKLDELSKSIDTE >fgenesh1_pm.C_scaffold_5001394 pep chromosome:v.1.0:5:16419924:16420470:1 gene:fgenesh1_pm.C_scaffold_5001394 transcript:fgenesh1_pm.C_scaffold_5001394 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQHCRCLHGFILLVVMFLISVFSLASKMDVSSHGDQKRSLSSSINSSLSESVEYTMCANHREAKDGINQSHWILIVKEDMLFLRSLTPTMANPLVVVGNLNAVTAKCLRKEKCKLFVPDKIFGPSHCKGALSLVIDATCRKT >fgenesh1_pm.C_scaffold_5001400 pep chromosome:v.1.0:5:16438582:16441089:1 gene:fgenesh1_pm.C_scaffold_5001400 transcript:fgenesh1_pm.C_scaffold_5001400 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVETVPTVESSSSSSVEASISTEKTEPTTEKKKWGDVEDDDDEEEAVSELNSLSINEEEKRDSVLEEPEDSNIKAVTSGDTPYTSASRFEDLNLSPELMKGLYVEMKFEKPSKIQAISLPMIMTPPHKHLIAQAHNGSGKTTCFVLGMLSRVDPTLREPQALCICPTRELANQNMEVLQKMGKYTGITAELAVPESTRGASPAPRRAPVSAHVVIGTPGTLKKWMAFKKLGLNHLKILVFDEADHMLATDGFRDDSLKIMKDIGRVNPNFQVLLFSATFNETVKDFVARTVKDPNQLFVKREDLALDSVKQYKVVCPKEKNKIEVIKDQIMELGDIGQTIIFVKTKASAHKVHKALAEMGYDVTSVHGNLSESDRDKIVKEFKDCLTQVLIATDVIARGFDQQRVNLVVNYNLPTKYDTGEPDYEVYLHRVGRAGRFGRKGAVFNLLLDDGWDKEVMEKIEKYFEANVKEIKSWNSEEEYKSALKEAGLLDQ >fgenesh1_pm.C_scaffold_5001416 pep chromosome:v.1.0:5:16512211:16514009:-1 gene:fgenesh1_pm.C_scaffold_5001416 transcript:fgenesh1_pm.C_scaffold_5001416 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSPFKRDIDELIDEFVEGDLTTYADMKSVWLSRNFSYIYDASPNSNLAFFMQSLYVHTIGNMVSIDSFSRRLGGLYCLYCLHEIQPFKPKFRIYISLQELGKFRDLVVEAKDKGVEIAAAVAKQMLDKNMFIFGAVEEASATRKVNQLTELQNARVRFAYDRLISDTSIEQFIHLDMGKEVNLNSLDKMSIEYAEAKKRAIEGAGQIMEIEDIKHISEEKELMGERMEKLKEEWDSQRLSFYEQTKLDGLTTTPELLKDVEHDEDDGFDELDRLLSQS >fgenesh1_pm.C_scaffold_5001425 pep chromosome:v.1.0:5:16547026:16547717:-1 gene:fgenesh1_pm.C_scaffold_5001425 transcript:fgenesh1_pm.C_scaffold_5001425 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDFAGKAAAEAKGLNPGLIVLLVVGGPLLVFLIANYVLYVYAQKNLPPRKKKPVSKKKLKREKLKQGVPVPGE >fgenesh1_pm.C_scaffold_5001437 pep chromosome:v.1.0:5:16611070:16612895:-1 gene:fgenesh1_pm.C_scaffold_5001437 transcript:fgenesh1_pm.C_scaffold_5001437 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGNTRLYVGRLSSRTRARDLERLFSRYGRVRDVDMKRDYAFVEFSDPRDADDARYYLDGRDFDGSRITVEASRGAPRGSRDNGSRGPPPGSGRCFNCGVDGHWARDCTAGDWKNKCYRCGERGHIERNCKNSPSPKKARQGGSYSRSPVKSRSPRRRRSPSRSRSYSRGRSYSRSRSPVRREKSVEDRSRSPKAMERSLSPKGRDQSLSPDQKVTDASPKRGSEYDGSPKENGNGRNSVSPIVRGDESPVGLNGQDRSPIDDEAELNRPSPKGSESP >fgenesh1_pm.C_scaffold_5001458 pep chromosome:v.1.0:5:16725011:16726675:1 gene:fgenesh1_pm.C_scaffold_5001458 transcript:fgenesh1_pm.C_scaffold_5001458 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLCYIALDYEQELETAKTSSAVEKNYELPDGQVITIGSERFRCPEVLYQPSMIGMENAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQNMMSQARRLFTGNAFEFEVKSASGEALWVFQFILTGFLSLFSAVLCFCSSIFSDSGLRHFEELTLSVCSCG >fgenesh1_pm.C_scaffold_5001460 pep chromosome:v.1.0:5:16732973:16733453:1 gene:fgenesh1_pm.C_scaffold_5001460 transcript:fgenesh1_pm.C_scaffold_5001460 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSLSNLKSFSRSINNTIIMRRYIVITKASQRGYTTGSSREKPSWTSDPDTGYFRPKTATKELDPYITKTSQIQGKMMRGEELWWMPDPHTGYYRPDNFARELDAVELRSLHLNKNHKT >fgenesh1_pm.C_scaffold_5001461 pep chromosome:v.1.0:5:16734316:16736385:-1 gene:fgenesh1_pm.C_scaffold_5001461 transcript:fgenesh1_pm.C_scaffold_5001461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:D7LUM3] MGEKDSETAPIWEKTRQRESSNNNNIHPMDLESSSLSGQQRSLNRSRSSYEERGRGVKEFRSWFSWLIPCFVVANVVVFVITMYVNNCPKKSGDCFADFLGRFSFQNTRENPLLGPSSLTLQTMGGLDVKKVVKGDEGWRLLSCNWLHGGVVHLLVNMLTLLFIGIRMEREFGFIRIGLLYLISGFGGSILSALFLRSNISVGASGAVFGLLGGMLSEIFINWTIYSNKIVTIITLVIIVAVNLGLGVLPGVDNFAHIGGFSTGFLLGFVLLIRPHYGWINQRNAPGAKPHRFKMYQGILWTISLLLLVAGFITGLISLFNNVDGNKHCSWCHYLSCIPTSKWSCNREPASCTTTQLGNQLSMTCLRNGKSASYILANPSDSRINSLCVQLCR >fgenesh1_pm.C_scaffold_5001471 pep chromosome:v.1.0:5:16765438:16765883:-1 gene:fgenesh1_pm.C_scaffold_5001471 transcript:fgenesh1_pm.C_scaffold_5001471 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S21 [Source:UniProtKB/TrEMBL;Acc:D7LUN3] MENEEGKITELYIPRKCSATNRLITSKDHASVQLNIGHLDANGVYTGQFTTFALCGFVRAQGDADSGVDRLWQKKKVEAKQQ >fgenesh1_pm.C_scaffold_5001472 pep chromosome:v.1.0:5:16766705:16768883:-1 gene:fgenesh1_pm.C_scaffold_5001472 transcript:fgenesh1_pm.C_scaffold_5001472 gene_biotype:protein_coding transcript_biotype:protein_coding MECSIGNTFRCSSDTLRFGPRQQCSRLNPNPSSFLSFNSSPILAQNLGASSSSVSRRTIRVRAKMAASEGSINGSNRMLVFVPPHPLIKHWISVLRNDQTPCPIFRNAIAELGRLLMYEAAREWLPTVVGEIMSPMGPASVEFIDPREPIAVVPILRAGLALAEHASSVLPANKIYHLGVSRDEKTLLPSVYLNKLPDEFPKNSRVFLVDPVLATGGTIMAAMDLLKERGLSVQQIKVICAIAAPPALSKLNEKFPGLHVYAGIIDPEVNEKGYIIPGLGDAGDRSFGTDTHWEK >fgenesh1_pm.C_scaffold_5001474 pep chromosome:v.1.0:5:16776628:16780586:1 gene:fgenesh1_pm.C_scaffold_5001474 transcript:fgenesh1_pm.C_scaffold_5001474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LUN6] MAQSSLAAAGRSGRVIGDYAVGRQIGSGSFSVVWEGRHLVHGTVVAIKEIAMARLNKKLQESLMSEIIILRKINHPNIIRFIDMIEAPGKINLVLEYCKGGDLSMYIHSHGSVPEATAKHFMLQLAAGLQVLRDNNIIHRDLKPQNLLLSTDDNDAALKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGVILFQLVTGRTPFTGNSQIQLLQNIIRSTELHFPADCRDLSTNCRDLCQKLLRRNPVERLTFEEFFHHPFLSDKQSYDFTRSRLDSRTMSDFHSSGSSPSRNMEEMSQEDCLPFFLDDDSSGPEGSPSSFKHTSPIKSSYGFNVERREAASSPLKNMELTSRYSRVSHRAETNNSKLEGHRLSDRNQFKPSSLPDSRSLITQGRVGDSPDSMDQDYVLISGPPVDIPSSSSGSPKPFNYPFKSHSPPVEFIKRNVTTLTAPMPIAGATGNNISRFGSLESQSYIPGTSHGSLDLVDAFEQPSTNSLTRIRSLQKCAAAIAELVHERGENGKHLEAFSIQLVILAIWNQALHICHTQAVSGIEGSLRQDINRVKRNISHEGSEKLLSQIQKEFVQEVERAEELAKFVESDNTKMPDAMEIILQAALALGISGGVDEVMGDAENAGNLYSKAVRLLVFLVVEAQTLILNPPLTLTNSVRYRLRTYIDSLIARLKHLQSHRRTSHPQKQ >fgenesh1_pm.C_scaffold_5001496 pep chromosome:v.1.0:5:16890881:16892433:1 gene:fgenesh1_pm.C_scaffold_5001496 transcript:fgenesh1_pm.C_scaffold_5001496 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVRVQEIISKLWNLKIASKNCLNLVTIISTVAYDPSFETNGSFKDFVSRLLARRDSYRIRRFSLKLRSMEFDSAKYNLVNDCLRNVLIRGVLDLELDINVDEDYTLPCEVFTCKKVVKLKLGSAFVIDNIPKNALLPALKTLFLDKVRFNDKSGGCAFARLVSACPVLEELFIYRNNREDWEWSRIVSSQILKRLTIRRQDWGDLDGSSYESISFDTPSLEYFEYFDVLRDEYPVVNLKSLVEAKLELPLFMVGDTYDVRNLIKGLKNVQILSFGALDTMQLFYIFREAVPVFENLFHLSLTTEAAFCWDVLPILLEKSPNLETLTIGALHYSTQEDTVCECLKGYSFLSSCHIEVLRITQFEGDIGEMVQIKHILEKLPSLELLEIHGQARRDDEKLQIMLDLLMLERASSECKVQVKFPVCFASA >fgenesh1_pm.C_scaffold_5001510 pep chromosome:v.1.0:5:16951019:16952110:-1 gene:fgenesh1_pm.C_scaffold_5001510 transcript:fgenesh1_pm.C_scaffold_5001510 gene_biotype:protein_coding transcript_biotype:protein_coding MADEIWHDLQHMVLGRDDPELLVPHQVYTSVVASNRLSLIGRPLNTHAQNLRRILSALPRSWGRGMAARVHGRILDGQCVQFRFRSESDLVSVIRRAPWLYNHWFVALQRWVDFPGPDFLTFIDLWVQVRGIPPPYVSELSVRFIAHTLGPVIEVDFNEITSTQIAFIRVKVRISITDRLRFFRRVRFESREEAMIENEDNNDADVLVVPVWNEGAGSNNPTPPPPLENQSSSSSSEISSYSPISQPPNPASPLLDLNQMVEEHRPIRFDTSSSSSSRGVHTKARYEIGESSKRKKDKQVDLNFERNTRRCRQDHGIRFYPVSGQPP >fgenesh1_pm.C_scaffold_5001530 pep chromosome:v.1.0:5:17054582:17055994:-1 gene:fgenesh1_pm.C_scaffold_5001530 transcript:fgenesh1_pm.C_scaffold_5001530 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTQLNDVNKISNSIGIVGNYNEKGLGKLYRDKMSPDADPVVHMSVSKAEKRLKKLERDYQHTYENYIETRSNRYRFYIEAKHSTYRSQQVGNCIKYYKNLREQLQNNLDKLNKVEATQRGRERPDHWKQVSMIRGCKSLAQEKRILQQIGERQQHLENNDLGQETIHFTKISWEFDYSQSKIPKSSNSKAVHNLLRKFKDTEKLKDKAIANGDLVNNPTSQDILTISIEMELKLLTKVIKTLEKERGIETTRTDNGHEEKMRHLEKKCEWICGKWDEEKKYIVGLKNIHVS >fgenesh1_pm.C_scaffold_5001536 pep chromosome:v.1.0:5:17079623:17080777:1 gene:fgenesh1_pm.C_scaffold_5001536 transcript:fgenesh1_pm.C_scaffold_5001536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LUV7] MVAAYEPETYSSPPPLYSSPLPKVEYETPPLPSVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPTYSPSPVEYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKVYYKSPPPPLAVYSSPPPPYYSPSPKVYYKSPPPPYVYSSPPPPYYSPSPKVYYKSPPPPYYSPSPKVYYKSPPPPYVYNSPPPPYYSPSPKVYYKSPPSYYSPSPKVYYKSPPHPHVCVCPPPPPCYSPSPKVVYKSPPPPYVYSSPPPPYYSPSPKVYYKSPPPPYVEYKSLPPPYVYKSPPPPSYSPSPKTEY >fgenesh1_pm.C_scaffold_5001543 pep chromosome:v.1.0:5:17107011:17115031:1 gene:fgenesh1_pm.C_scaffold_5001543 transcript:fgenesh1_pm.C_scaffold_5001543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:UniProtKB/TrEMBL;Acc:D7LUW5] MPAIQTPSGKILQLEMENFKSYKGHQLVGPFKDFTAIIGPNGAGKSNLMDAISFVLGVRTGQLRGSQLKDLIYAFDDRDKEQRGRRAFVRLVYQMDDGVELHFTRTITSAGGSEYRIDNRVVNLDEYNGKLRSLGILVKARNFLVFQGDVESIASKNPKELTGLLEEISGSEELKKEYEELEEKKASAEEKAALIYQKKKTIGNEKKLKKAQKEEAEKHLRLQEELKALKRERFLWQLYNIENDIEKATEDVDAEKSNRKDVMRELEKFEREAGKRKVEQAKYLKEIAQREKKIAEKSSKLGKIVSIPWKSVQPELLRFKEEIARIKAKIESNRKDVDKRKKEKGKHSKEIEQMQDSIKELNKKMELYNEKRQDSSGKLPMLDSQLQDYFRLKEEAGMKTIKLRDEHEVLERQRRTDLEALRNLEENYQQLINRKNDLDEQIKRFKDRQEEIETSSSKYKNETTSLKKELRALQEKHVNARNASEKLKTRIAELEDQLSDLTAERYENERDSRLTQAVESLKRLFQGVHGRMTDLCRPNRKKYNLAVTVAMGRFMDAVVVEDENTGKDCIKYLKEQRLPPMTFIPLQSVRVKQVSERLRNLGGTAKLVFDVSKVYVLVLNYSTFDPELEKAVLFAVGNTLVCDELEEAKVLSWTGERHKVVTVDGILLTKAGTMTGGTSGGMEAKSNKWDDKKIEGLKKKKEEHELELENIGSIREMQMKESEISGKISGLEKKIQYAEIEKKSIKDKLPQLEQEKRNIIEEIDRIKPELSKAIAKTEVDKRKTEMNKLEKRMNEIVDRIYKDFSQSVGVPNIRVYEETQLKTAEKEAEERLELSNQLAKLKYQLEYEQNRDVGSRIRKLESSISSLETDLEGIQKTMSERKETAVKITNEINNWKKEMEAKICTGIFFLKDYLMLLLAECKKKSEEYEKEILDWKKQASQATTSITKLNRQIHSKETQIEQLISQKQEITEKCELEHITLPVLSDAMEEDDSDGPQFDFSELDRAYLQERRPSAREKVEAEFRQKIESKTSEIERTAPNLRALDQYEAIQEKEKQVSQEFEAARKEEKQVADAFNTVKQKRYELFMEAFNHIASNIDKIYKQLTKSNTHPLGGTAYLNLENEDDPFLHGIKYTTMPPTKRFRDMEQLSGGEKTVAALALLFSIHSYRPSPFFILDEVDAALDNLNVAKVAKFIRSKSCQAARDNQDTEDGNGFQSIVISLKDSFYDKAEALVGVYRDTERSCSSTMSFDLRNYQES >fgenesh1_pm.C_scaffold_5001549 pep chromosome:v.1.0:5:17135437:17136960:1 gene:fgenesh1_pm.C_scaffold_5001549 transcript:fgenesh1_pm.C_scaffold_5001549 gene_biotype:protein_coding transcript_biotype:protein_coding MDSELRFPSRDVVNCCEFGCDYSLGACSSDPWARTVKRKFNEFKEGNIMLLRGSSDSSSNAKILVENECAALLEALSSQRKTVKDLHLELEEERNAAASAANETMSMILRLQREKAEIQMEARQFKVFAEEKMTHDQEKLSVLENLLYEKEQAIEALTYEVEAYKHRLLSYGVSEAEIHDQILGFGRDSSTVGFDVYPCEYTSLQCSVDENPCEPDGNVDIEEKVIVGQSPRWPYYDPNSPLGTAKDIKGTSFADSPMSSSSDRVYTIDSIHVGVSEVKIDDEPNKMSKGKLNGDLWNSPRYQEPFTTQQGVNEPDIEKLYTRLQALEADRESLRQIIVSMRTDKAQLVLLKEIAQHLTKETGTTGRRHPVSKMSSFKGFSVVTVFKWIVSFVSWKRKARQNKYVYELSANNMGMLMILGEGSGTRRWRCLTSSHV >fgenesh1_pm.C_scaffold_5001552 pep chromosome:v.1.0:5:17157343:17159854:1 gene:fgenesh1_pm.C_scaffold_5001552 transcript:fgenesh1_pm.C_scaffold_5001552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LUX5] MVSKWKKMKLALSLNLCTYLPRTLEEEPPSSALNSTESLSDAALLSPLNWPMTPTPSYRTRLSRSISKSSKQTCSICLTKMKEGCGHAIFTAECSHMFHFHCIASNVKHGNQVCPVCRAQWKEIPIQKPSLDLPYYPFDRFNNDAAIRLIRCLPPSQRAMNQGHPEPAIFDDDERLEAQIVFLGETDALEKENCDSVRMMNMKMYPEVSAVPQSKSCENFDILVHLKAVTGDQISQYRRAPVDLVTVLDVSGSMGGTKLALLKRAMGFVIQNLGSSDRLSVIAFSSTARRLFPLTRMSDAGRQQALQAVNSLVANGGTNIFDGLRKGAKVMEDRRERNSVASIILLSDGRDTYTTNHPDPSYKAMLPQIPVHSFGFGSDHDASVMHSVSEFSGGTFSFIESESVIQDALAQCIGGLLSVAVQELRVEIEGVCPNVRLSSIKAGSYSSLVNGDGHSGLVDLGDLYADEERDFLVSINIPVEEDGHTPLLKLRCLYIDPLTKEITTVESPVLQIRRPEYVAEEEVVPIEVVRQRNRFLAAEAMAQARTLAEHGDLEAAVKAIENFRLLLAETVAAKSRDRFCVALDLELKEMQGRMRSSHVYEASGRAYILSGLSSHSWQRATARGNSRDFVQAYQTPSMAEMLRRSQAMLLVSPSHQRLIQPLLSFASQPKPR >fgenesh1_pm.C_scaffold_5001553 pep chromosome:v.1.0:5:17160252:17162785:-1 gene:fgenesh1_pm.C_scaffold_5001553 transcript:fgenesh1_pm.C_scaffold_5001553 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7LUX6] MDPVPFRCLLNSISRYLHLVACQTIRFIPIQTCIGNMVLLLKLLKPLLDEVVDCKIPSDDCLYKGCEDLDSVINQAREFLEDWSPKLSKLFGVFQCEVLLGKVQTCSLEISRILLQLSQSSPETSSVQSVERCVQEIESFKQEGTLTEHMENALRNQKDDIASLDNHHLQSIIQMLGLISNQDLLKESIAVEKERIRSQASKSKEDMEQTEQLIELVLCIREHMLKTEFLEVAKGISIPPYFRCPLSTELMLDPVIVASGQTFDRTSIKKWLDNGLAVCPRTRQVLTHQELIPNYTVKAMIASWLEANRINLATNSCHQYDGGDASSMANNMGSQDFNRTESFRFSLRSSSFTSRSSLETGNGFEKLKINVPVSLCGESQSKDLEIFELLSPGQSYTHSRSESVCSVVSSVDYVPSVTHETESILGNHQSSSEMSPKKNSESSSNVNHEHSAAKTYECSVQDLDDSGTMMTSHTIKLVEDLKNGSNKVKTAAAAEIRHLTINSIENRVHIGRCGAITPLLSLLYSEEKLTQEHAVTALLNLSISELNKAMIVEAGAIEPLVHVLNTGNDRAKENSAATLFSLSVLQVNRERIGQSNAAIQALVNLLGKGTFRGKKDAASALFNLSITHDNKARIVQAKAIKYLVELLDPDLEMVDKAVALLANLSAVGEGRQAIVREGGIPLLVETVDLGSQRGKENAASVLLQLCLNSPKFCTLVLQEGAIPPLVALSQSGTQRAKEKAQQLLSHFRNQRDARMKKGRS >fgenesh1_pm.C_scaffold_5001558 pep chromosome:v.1.0:5:17189782:17193618:-1 gene:fgenesh1_pm.C_scaffold_5001558 transcript:fgenesh1_pm.C_scaffold_5001558 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSASDQSLYIESDEGDDERKHLSDDEDDDGTLSDSSDAYNQNQHLSKASPYSTAWPKSYRQSIDMFGSVPIPSPNLGFLANSSMSRVGSSFLSSTLTRRHTPESLPCVTKPLLVDEEAPKHKHSSHSLLPLKPSSMVSHEMAISNDSSFGQAVLNGVNVLCGVGILSTPYAVKEGGWLGLIILFAFGILCFYTGLLLRYCLDSHPDVQTYPDIGHAAFGSTGRILVSVILYMELYAMSVEYIILEGDNLSSMFPNASLSIGGFHLDAPRLFALLTTLAVLPTVWLRDLSVLSYISAGGVIASVLVVLCLFWVGLVDDVGIHSKGTPLNLATLPVSVGLYGYCYSGHGVFPNIYTSMAKPSQFPAVLLASFGICTLMYAGVAVMGYSMFGESTESQFTLNLPQDLVASKIALWTTKESTYALTLSPVAMSLEELIPSNHGKSRFYSIAIRSALAFSTLLVGLAIPFFDADTTASLFLKHLKAKSNPYSDLVSQTLQVKTIMKSCRGFIFNWDLYFTIISSEAVAGEGTLAVSVAEFEDLQTLVTGASFASRITDNCL >fgenesh1_pm.C_scaffold_5001562 pep chromosome:v.1.0:5:17221413:17227453:1 gene:fgenesh1_pm.C_scaffold_5001562 transcript:fgenesh1_pm.C_scaffold_5001562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Morphogenesis of root hair 2 [Source:UniProtKB/TrEMBL;Acc:D7LUY6] MSSSNSSSAVRSSAKHAAERIQQHLPPNSNHAVSLSSSSLNLPSRTSIIAPGVAHSSRPKDRPSASSISNASSVSASSPSTRRSGTPVRRSQSKDFDDDNDPGRVRVSVRVRPRNGEELISDADFADLVELQPEIKRLKLRKNNWNSESYKFDEVFTDTASQKRVYEGVAKPVVEGVLSGYNGTIMAYGQTGTGKTYTVGKIGKDDAAERGIMVRALEDILLNASSASISVEISYLQLYMETIQDLLAPEKNNISINEDAKTGEVSVPGATVVNIQDLDHFLQVLQVGETNRHAANTKMNTESSRSHAILTVYVRRAMNEKSEKAKPESLGDKAIPRVRKSKLLIVDLAGSERINKSGTDGHMIEEAKFINLSLTSLGKCINALAEGSSHIPTRDSKLTRLLRDSFGGSARTSLIITIGPSARYHAETTSTIMFGQRAMKIVNMVKLKEEFDYESLCRKLETQVDHLTAEVERQNKLRNSEKLELEKRLRECENSFAEAEKNAVTRSKFLEKENTRLELSMKELLKELQLQKDQCDLMHDKAIQLEMKLKNTKQQQLENSAYEAKLADASQVYEKKIAELVQRVEDEQARSTNAEHQLNEMKNILSKQQKSIHEQEMGNYQYQRELAETTYTYESKIAELQKKLEDENARSNAAEEQLRQMKRLISDRQVISQENEEANELKIKLEELSQMYESTVDELQTMKLDYDDLLHQKEKLGEEVRDVKERLLLEEKQRKQMESELSKLKKNLRESENVVEEKRYMKDDLSKGSSESGAQTGSQRSQGLKKSLSGQRATMARLCEEVGIQKILQLIKSEDLEVQIQAVKVVANLAAEEANQVKIVEEGGVEALLMLVQSSQNSTILRVASGAIANLAMNEKSQDLIMNKGGAQLLAKMVTKTDDPQTLRMVAGALANLCGNEKFLKLLKEEEGIKGLLTMAQSGNIDIIAQVARGMANFAKCETREIMQGRRKGRSLLLEEGALEWLTSNSHIDSASTQRHIELALCHLAQNEENANDFRRTGSVTEIVRISVESSRDDIRSLAKKILKTNPYFSS >fgenesh1_pm.C_scaffold_5001571 pep chromosome:v.1.0:5:17259135:17260918:-1 gene:fgenesh1_pm.C_scaffold_5001571 transcript:fgenesh1_pm.C_scaffold_5001571 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCRFLYHNGVVLEAPPVTTFLESLTGAYTTTRTINNGTSFLFWERHMKRLSSSIRILLNSKPELLFSSGSSPRVWMNQPVPHSSIYDLINGSMSEALKSVVVKESDRIYGEELAVTVLVTGNVGKLNRLDDGNKCDEERKVLDFLDVWLHLGAYSPFPLDVRENAASLALVGRGRDVAAAKYSDWVRLRKPLEKFRSPSTTELLLSNDGDHLLEGCITNFFVVCRRVKSSENLYGGSLSEFEVQTAPITDGVLAGVIRDLVIEVCLSKGIPYRERAPSWSERELWEEAFITSSLRILQHVGTIKVPIGSLEALACNKPEEIQWKEKRFKERPGMITELIQKAVMERGIEEGFPLKAF >fgenesh1_pm.C_scaffold_5001575 pep chromosome:v.1.0:5:17282747:17284500:1 gene:fgenesh1_pm.C_scaffold_5001575 transcript:fgenesh1_pm.C_scaffold_5001575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast phosphoribosylformylglycinamidine cyclo-ligase [Source:UniProtKB/TrEMBL;Acc:D7LV00] MESRILHSSSSSCCYSSLGTVNRYRFSSVSSLKPVSVSFTQTARIRTKVARVLSMSKKDDATDSLTYKDSGVDIDAGTELVKRIAKMAPGIGGFGGLFPLGDDYLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIITSGAKPLFFLDYFATSRLDVDLAEKVIKGIVEGCRQSECALLGGETAEMPGFYAEGEYDLSGFAVGIVKKNAVINGKNIVAGDVLIGLPSSGVHSNGFSLVRRVLARSNLSLNDALPGGLTTLGEALMAPTVIYVKQVLDIISRGGVKGIAHITGGGFTDNIPRVFPDGLGAVIHTDAWELPPLFKWIQQSGRIEDMEMRRTFNLGIGMVMVVSPEAASRILQEAKNGDYVAYRVGEVINGEGVSYQ >fgenesh1_pm.C_scaffold_5001576 pep chromosome:v.1.0:5:17285131:17290693:-1 gene:fgenesh1_pm.C_scaffold_5001576 transcript:fgenesh1_pm.C_scaffold_5001576 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVSAAAAAAAASKPLNPLVAFEHKRDAYGFPVRPQHVQRYREYADIYKEEEEERSDRWNSFLVDHVESTELPANGSSENSHAPSSESEKEKEKELNKGPGEDLHTDKLGSDVTPDNASEEEGHPDAEKNVHRVQLWTEIRPSLRSIEDLMSIRVKKKGDLSKIEQEALKVKSSPSFDDAKSAKGASENDSEDEFYDVERSDVQDGSSSDGTSVSGIPVTPDASPLSTCPWKEELEVLIRGGVPMALRGELWQAFVGVRKRRCKDYYQNLLAADSSVNTIEQEDMQHVDDKGSITESIAVVEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWALTGLIDDYFNGYYSEEMIESQVDQLVLEELVRERFPKLVHHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGTRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLTGSTFDSSQLVLTACMGYQNVHEIRLQELRSKHRPAVIAALEERSKGLQAWRDSKGLASKLYNFKQDPKSVLVDGKVSLSNGSLSRSESGSSNADEVLVSLTGDGEVDSVQDLQAQAELCKLLEEKRSALLRAEELEIALMEIVKEDNRRQLSAKVEQLEQEMAEVQRLLSDKQEQEGAMLQVLMRVEQEQKVTEDARRFAEQDAEAQRYAAQVLQEKYEEAVAALAEMEKRAVMAESMLEATLQYQSGQLKAQPSPQPSPRQVNQDSSVKNINDHIPEPPPSRISLLARPFGLGWRDKNKNTTPEKTTEHVNDEKPSSTEGKETNSEPTDGKVQDIS >fgenesh1_pm.C_scaffold_5001582 pep chromosome:v.1.0:5:17308495:17312897:-1 gene:fgenesh1_pm.C_scaffold_5001582 transcript:fgenesh1_pm.C_scaffold_5001582 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LV07] MLLCIPTVKLFGFQQRRNFSSLAKCFSLTGKSPLEPQTQASLDKDFIPWLERIAGAKITNTLSIGKSTYGRSLFASKVIHAGDCMLKVPFNVQITPDELSPDIRVSLTDEVGNIGKLAAVLIREKKKGQKSRWVPYISRLPQPAEMHSTIFWGEDEFSMIRCSAVHKETVKQKAQIEKEFSFVAQAFKQHYPMVIERPYLEDFMYAYALVGSRAWETSKGISLIPFADFMNHDGLSASIVLSDEDNQLSEVTADRNYSPGDEVFIKYGEFSNATLMLDFGFTVPYNIHDEVQIQMDVPNDDPLRDMKLGLLQTHHTRIVKDINIFHSSCDTFTIKEVKSTSGKGRGIPQSLRAFARVLCCTIPQELNDLSKEAAKNDGRLARLPFKDRSRELEAHKILLSHINRLIEDHSACIKELEESKCYFVSQRFAVRRKMAKDLLYGELRVLRSAAEWLNHYCTTLFSVTSKPILQSLQ >fgenesh1_pm.C_scaffold_5001586 pep chromosome:v.1.0:5:17344468:17351365:-1 gene:fgenesh1_pm.C_scaffold_5001586 transcript:fgenesh1_pm.C_scaffold_5001586 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRALQHRHRYTYSAVLFPSSFTASLSKSSLSQSCPKFYSDIGELVSLNSIYAQVNHAKKFVASFGEVLAKTHENEGGEREAVFVREAIRFYLEVLFMENSLPLHKTLVSALAKTSKFHSVISSCFKELCDEYGGLEDGGNRFCVSRVALSVMGMPKLGYLVDIIEDCALLVGHDIVSGLNGIILDTEACDRPPPTVMEQCQEALSCSYYLFQRFPLKFKGLIGEDACFMESVLAVQVSILKSPAFSRDCYVAAGVSFCAALQVCLKDEELGLFIAQGIFCWSSVVRLTDIISKIPFAGDICSEICSFSSLSRLCLIRGILTTVSRGILVSSFARLSNSDCDHKTILYDGILLELCDLCENPIDSHLNFHALTVMQICMQQIKTSMLTDLSEDCDPMPDSMAARVLRIIWNNLEDPLSQTVKQVHLMFDLLLDIQTTVHQTDDKVGIRESLLKIVNYLLRLGSRCKGRYVPLASLTRRLGAKTLMDMSPNLLFEMANAYIDDDVCYAVTSFIKCFLEMLRDESWGSEGVAQGYARYREHCLPPFLYGLASGISKLRSNLNTYAVQVLLELDVDSIFLLLAYISIGPSEEETKLNYTELSNMSMELTVEQKVVVLVSLLKVCRTLAFLEGDIEQKRSTDAFAVVQIKGIELKVPIEWLKMALTHVDESVRVDAAETLFLNPKTSSLPSPLELYLMKEAVPLNMRSSSTGFQMKWTSLFRKFFLRVRTSLEKQYKLGSLQPLKSDKSAVLRAESLFKFMRWLSSFLYLSCYPSAPYRRKIMATELIQIMIEVWPVVASKDLTSHQGHLYPYCDIVTSHDSTLLLIGSIVDSWDRLRENSFRILLHFPTPFTGISSEDMVQIIIPWAKQLVCSPRVRESDAECESINGLYQNAKPKYPVVEYIKSLIHWLDTSVTEGERDLSEACKNSFVHGVLLALRYTFEELDWNSNAVLSISEMRKELEKLLKLVTRITTLALWVVSADALCLPEDMDDIIDDDSFFSNVQDDAAAVLSEEHTSTHPKPVHETVQSEQVVMVGCWLAMKEVSLLLGTIIRKIPLPTSSLRPLENGDTASAVPNDLVIGNSESLLDLKQLEKIGDHFLEVLLKMKHNGAIDKTRAGFTALCHRLLCSNDPRLCKLTESWMEQLMERTVARGQTVDDLLRRSAGIPAAFIALFLSEPEGSPKKLLPQALRWLIGLAEKPLMEPLEQKVSENMVEEINSSDMHTSEKLSKVRDEGVVPTVHAFNVLKATFNDTNLSTDTSGFSAEAMIVSIRSFSSPYWEVRNSATLAYTALVRRMIGFLNVQKRGSTRRALTGLEFFHRYPLLHPFIYSELKAATDLLDTSGPSDSNLANLVHPSLWPILILLSRLKPSPIASETGDDLDPFVFMPFIMKCSTQSNLRVRVLASRALVGLVSNEKLQSVLLRIASTLPSNGVQGGSFNYLHGILLQLGNLLDTNCRDLVDDSKKDQIIGKLITVLAKCSWLASPLTCPCPILCTSFLRVLDHMRVIEWTCSESKNLRDIYKLHLDLSTNCLDADASYGFSYYDPSIAELREQAAVSYFGCVFQPSDEAAEVFQITQRSNLRSQKVPEALSFPDLNERLLRCISDQSYEVRLATLKWFLRFLKSEDSSFSESSSIWNWAKNGLQVILLELLDKEKNHKCENYILRILFQWNLLMFKKSCNGESVEGIYVGSLNYDSVFHLWGRLTSLYENTRRAKIRGTLMCCLAICVKHLTGLFFHKNESEKEEEPRWGCITDCVSYFVNLIKQKSLPSEQVNVRHASAEAIIASGILEQAKLIGPLVSNHQISSETTPSKFQKACDVYTYQILEMWFTCVKLLEDEDDLIRSKLAMDVQKCFFTAMGVPTQVDKVLELSFNHLSSVFGHWNEYSQYLSRWVFNTADYTSPPKGGDLVRRVFDKEIDNHHEEKLLILQFCCYHLQKLPNRDCSLAQLLDWRSKFHNQLLSFAKDHVSKQRESWVGGVGNHKDVFLPLYGNLLGLYVFSNCIFRFSTDSNDKKTLFSDIVELGEALKPFLRNPLVSNMFRVVVRLHEKFLDDPLLDLSTVLSGEIWEAEPALLRFAKVTGGAPNKLSKMYVLSILYYGNIRCIAYFLLMLHLGFWVFNISSCLKLGIQ >fgenesh1_pm.C_scaffold_5001589 pep chromosome:v.1.0:5:17360359:17362326:1 gene:fgenesh1_pm.C_scaffold_5001589 transcript:fgenesh1_pm.C_scaffold_5001589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7LV18] MAHVDGQVGYSEEFIDNSRGMQLLTCKWFPVNQEPRALIFFCHGYAIDCSTTFKDIAPKFAKEGFAVYGIEYEGHGRSGGLSVYIDNFDLLIDDVSSHFTKISEMGDNTKKKKFLMGESMGGAVVLLLHRKKPEFWDGGILIAPMCKIAEEMKPSRMVISMINMVTNLIPSWKSIVHGPDILNNAIKLPEKRQEIRANPNCYNGRPRMKTMSELYRTSLDLENRLNEVTMPFIVLHGEDDKVTDKGGSKLLYEVALSNDKTLKLYPEMWHSLLFGEPPENSEIVFNDIVQWMQTRITTLQVKAKNHEAKPQI >fgenesh1_pm.C_scaffold_5001620 pep chromosome:v.1.0:5:17557453:17559295:-1 gene:fgenesh1_pm.C_scaffold_5001620 transcript:fgenesh1_pm.C_scaffold_5001620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LV53] MSFVFRGSRGDLESGFSGFIPERRAMRVHGARPVNSNSLAFLVTVLLLFMILNSHQMPPNFLLWLVLGVFLMATTLRMYATCQQLQAQAQAHAAAASGLFSHTELRLHVPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVSTTSMSEEEINALPVHKYKTLDPENGCSLAKQASTSSSAEKKQDSVTESKKGTEDELTCSVCLEQVTVGEIVRTLPCLHQFHAGCIDPWLRQQGTCPVCKFRAHSGWQEQDEIDDDASDMV >fgenesh1_pm.C_scaffold_5001621 pep chromosome:v.1.0:5:17562369:17563815:1 gene:fgenesh1_pm.C_scaffold_5001621 transcript:fgenesh1_pm.C_scaffold_5001621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein [Source:UniProtKB/TrEMBL;Acc:D7LV54] MDSMMKDVDPSVLLANDFIQSHFTNLNTSEALANCFLQSYFLNLGVYPEVVYMMWYADDSAMTRPGPDGTMMSFTSPEAIQEQIVSCDYEGASFDVMSFAAQSCNTSSEDGAFIMVTGFVTCKDKQLRRRFVQSLYLARRQDRSYAIVNDILRYIDSIPALPSVPESAGFVKVYYELPMREEVGLMYVTESVMSRPTSTSGRTMVEMPGLDAINKRVSNEHKRASNFILNSVDYQICRSFKDRMFIMVCGFVTLDDKTERKFLQFFYVARCQNGSYVIYNDILRYVDVTPQDTLESLSQSAAKTSTDVELPYFMVKKGKLLSYFNNIDPQNYSH >fgenesh1_pm.C_scaffold_5001626 pep chromosome:v.1.0:5:17587294:17590567:1 gene:fgenesh1_pm.C_scaffold_5001626 transcript:fgenesh1_pm.C_scaffold_5001626 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKTEINLRRLLAAAPNQQNQSKLMHYVATLREQLEQLSEEKTPQGLPRVTKAKYNEYYEKIEAVASKIASQEPDTEISDEPFAKDSTSGSSPKIEDEPRSPTSPQLRRRIVPASSKEQSFDAADADSSKPIKLDTAAQAHIDKHRKLQEDLTDEMVVLARQLKERSQAISQSVQNTEKLSEHRTCDNKSDEDIFTKLEDKLLPVAIDLCHDLCVHNGCLVDPASTLASVCGRVARSQAVSVTVNRSSLVPKTSLLRPFVSRGFPYSTATEPLKSDQKLLQVIDSEINDSFDADDHDADEETIDSSDFPFKIEDNPGHRTVKLTREYNGEQIKVEVSMPGLAMDENEDDVDDDEDGDGRLEKANESSIPLVVTVTKKSGLSLEFSCTAFPDEIVIDGLSVNRPEDSSQEQLTYDGPDFQELDENMRKSFHKFLETRGIKASATDFLYEYMMKKDSREYLLWLKKLKTFVQE >fgenesh1_pm.C_scaffold_5001629 pep chromosome:v.1.0:5:17610184:17611049:1 gene:fgenesh1_pm.C_scaffold_5001629 transcript:fgenesh1_pm.C_scaffold_5001629 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNGSKPSLSSLMSSLYDSLNELTLSIDGDVSITGLLAIVASFQSLELQLQAMKDLLRQRKEAIYSQGQDLFMTISEIETLQRPISLLTEVYTNMAMRLHPELFSEDTNPRRWLLSQAQPRKCNRITKFKMNR >fgenesh1_pm.C_scaffold_5001634 pep chromosome:v.1.0:5:17627125:17627965:-1 gene:fgenesh1_pm.C_scaffold_5001634 transcript:fgenesh1_pm.C_scaffold_5001634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7LV76] MATMLIKHLTFSLSLLVFVFFAFTVVDAMPIKDMYAFCKDTDDVNFCLKYIGTDIRILAARDLHDVLVIAISQCQIQLTNATKQINKVRQKFSGPIGTRRLYFCGKYYKLACALFQKAYEEAQEEGLESIALFSAVDGSHYMIKCEDEWKNNGPIQKSPFTFYNTNVVKLLSIIHVIIEKLYG >fgenesh1_pm.C_scaffold_5001674 pep chromosome:v.1.0:5:17810200:17812635:-1 gene:fgenesh1_pm.C_scaffold_5001674 transcript:fgenesh1_pm.C_scaffold_5001674 gene_biotype:protein_coding transcript_biotype:protein_coding MEFITQNQAITSLRMINPTIDQPRASLRSRFLLHLIIVSLLFFVPPCSSQTWDGVVITQADYQGLQAVKQELIDPKGFLRSWNGSGLSACSGGWSGIKCAQGQVIVIQLPWKSLGGRISEKIGQLQALRKLSLHDNNLGGSIPMSLGLIPNLRGVQLFNNRLTGSIPASLGVSRFLQTLDLSNNLLSEIIPPNLAASSRLLRLNLSFNSLSGQIPVSLSRSSSLQFLALDHNNLSGPILDTWGSKIRGTLPSELSKLTKLRTLDISRNSVSGHIPETLGNISSLTHLDLSQNKLTGEIPISISDLDSLSFFNVSYNNLSGPVPTLLSQKFNSSSFVGNLLLCGYSVSTPCPTLPSPSPEKERKSSHRNLSTKDIILIASGALLIVMLILVCVLCCLLRKKVNETKSKGGEAGPGAAAAKTEKGAEAEAGGETGGKLVHFDGPMAFTADDLLCATAEIMGKSTYGTVYKATLEDGSQVAVKRLREKITKSQKEAYYLGPKGEKLVVFDYMSRGSLATFLHARGPDVHINWPTRMSLIKGMARGLFYLHTHANIIHGNLTSSNVLLDENINAKISDYGLSRLMTAAAGSSVIATAGALGYRAPELSKLKKANTKTDVYSLGVIILELLTGKSPSEALNGVDLPQWVATAVKEEWTNEVFDLELLNDVNTMGDEILNTLKLALHCVDPTPSTRPEAQQVMTQLGEIRPEETATTSEPLIDVPEASASTSQ >fgenesh1_pm.C_scaffold_5001684 pep chromosome:v.1.0:5:17852482:17853815:-1 gene:fgenesh1_pm.C_scaffold_5001684 transcript:fgenesh1_pm.C_scaffold_5001684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LVD5] MRILAARIANHFCRARNAYQPRSRNFSSLNKKDDLTLEEEAERKIGWCLKIFFAGTATYIGYQFFPYLGDNLIHQSISLLHVKDPLFKRMGASRLSRFAIDDERRMKVVEMGGAQELLLMLGSAKDDKTRKEALKALAALSKSGQSYEAANFLGSNGALSIVKSTPDSLEDSDISTYKSNILEKLHEKNLAVSSN >fgenesh1_pm.C_scaffold_5001696 pep chromosome:v.1.0:5:17899852:17901327:-1 gene:fgenesh1_pm.C_scaffold_5001696 transcript:fgenesh1_pm.C_scaffold_5001696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Source:UniProtKB/TrEMBL;Acc:D7LVF0] MTTTVIISIFLAIFATSLQNARGATMEPCLESMKTASLPDLPYAYDALEPAISEEIMRLHHQKHHQTYVTQYNKALNSLRSAMADGDHSSVVKLQSLIKFNGGGHVNHAIFWKNLAPVHEGGGKPPHDPLSSAIDAHFASLEGLIQKMNAEGAAVQGSGWVWFGLDRELKRLVVETTANQDPLVTKGSHLVPLIGIDVWEHAYYPQYKNARAEYLKNIWTVINWKYASDVFEKHTRDLDIN >fgenesh1_pm.C_scaffold_5001705 pep chromosome:v.1.0:5:17951556:17954093:-1 gene:fgenesh1_pm.C_scaffold_5001705 transcript:fgenesh1_pm.C_scaffold_5001705 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVCPEIEEGTLSLSVVPVSVDVSFASNHFPTYKLGPDNQIVEEPKEDEKGPSVKETVDKESELLSDQHKRLSVRDLASKFDKNLAAAVNLADEAKLREVASLEGHVMLKKLRDALEYMRGRTDGQNKEDVETAISMVEALAVKLTQNEGELIQEKFEVKKLGNFLKQTSEDAKKLVNQEKSFACAEIETARAVVLRLGEAFEEQERISEASRAQGPNVEKLVEEVQEARQIKRMHHPTKVMGMQHELHGLRNRIQEKYMNSVKLHKEIAIIKRVEESKSCPFVLEGKQSLGSCLRIRVNAADNAPDLSNCSIQWYRAACETSRREAISGAIQSMYAPEPFDVGRILQADILSNGQKFTVTTDDPVDPDSSLQSRVESLMRKSNSEFSVVISQMNGQDYASRSHVFTVGKTRIKLSRGWITKARELYSTSMQLCGVRGNIKAPTKAVFWQPRKSLTFILTFESEQERNAAIALARKYAFDCNVTLLGPDD >fgenesh1_pm.C_scaffold_5001708 pep chromosome:v.1.0:5:17964765:17965361:-1 gene:fgenesh1_pm.C_scaffold_5001708 transcript:fgenesh1_pm.C_scaffold_5001708 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTHKALPVGFRFRPTNCEIANYFLKKKALGQPMKARTVPEECHDVFSRHPRDFPGYPKEEHWYYFCRKRNNQVTSNSPNLWTPIGEETNVLDPKNNGALVGIKRRLTLIAQEEESDNICLSDEEEAPKYNWFMDEISLPQTVADTDWVLCHIFGKTIKPIFTESE >fgenesh1_pm.C_scaffold_5001709 pep chromosome:v.1.0:5:17966170:17967301:-1 gene:fgenesh1_pm.C_scaffold_5001709 transcript:fgenesh1_pm.C_scaffold_5001709 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGREEKSDETSPSPTQLGHGGISTRDINIPIDLTVEILKKLPAKSLVKFQCVSKQWSAIISRRRDFIDSIVTRSLTQPPPIYNPTTRRSFHLPKSIKTSSTGTCFFGYDPLENQYKILFLPLYYLEQGCQMSLSVGWCNLHQWDDSTSIYMLMSFDVRTEKFCHVDAPNTLMDHFSFLINYQGKLGFVCCGKSVEIWVREDGHQKTQIWSKLFFYEMEGFEKWRVSGVTRGGEIVFVNTVYFSDDKLCVLYYDPKRNSIIYVDFEGIYSKERRRHNSVLIWTLPDHVENTMRLY >fgenesh1_pm.C_scaffold_5001714 pep chromosome:v.1.0:5:17985169:17991400:1 gene:fgenesh1_pm.C_scaffold_5001714 transcript:fgenesh1_pm.C_scaffold_5001714 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRNTHWCHRCQRAVWLRARDAVCSYCGGGFVEEIDLGPSSPFDLLRAHRDVDRDPTFDLMEAFSAFMRSRLAERSYDREISGRIGSAGSESFSNLAPLLIFGGQAPFRLAGGDNNSVEAFVNGAAPGIGITRGTNAGDYFFGPGLEELIEQLSSGTHHRGPPPAPKSSIDALPTIKITQKHLKSSDSHCPVCKDDITHAQSVAKSYHQEDLLQAHRVVRTEAPMEEKTAEEGIFSLTSGHSARLAQARPKTAETQTTQPLQKKATTIILITSSNSNNINITNNNPIWVTVDGLLTIKVFSVNESVFVMGKNTDAEQNLPVSDGAASARNNGGGRSRCYCCDWISSYFSLRCVLILAFSAAVFLSALFWLPPFLGFADREDLDLDPRFKDHRIVASFDVGKPVSFMEDNLLQLENDITDEISFPMTKVVVLALERLGDLNRTMVIFAIDPEKENSKIPAEIESLIKAAFETLVQKQLSLRLTESLFGEPFFFEVLKFPGGITVIPPQPIFPLQKAQLLFNFTLNFSIYQIQSNFEELASQLKKGINLAPYERFNSVAPYYSSFFCSAYSRFVFEVEATCSNYH >fgenesh1_pm.C_scaffold_5001719 pep chromosome:v.1.0:5:18003838:18005406:1 gene:fgenesh1_pm.C_scaffold_5001719 transcript:fgenesh1_pm.C_scaffold_5001719 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP94D2 [Source:UniProtKB/TrEMBL;Acc:D7LVI0] MELFIFIFLLCFPIFIFFIFFPKNSSSEFGFKSYPIVGSLPGLVNNRHRFLDWTVETLSRCPTQTAIFRRPGKQQFVMTANPANVEYMLKTKFESFPKGERFISILEDFLGRGIFNSDGEMWWKQRKTASYEFSTKSLRDFVMSNVTVEINTRLVPVLAEAATTGKLIDLQDILERFAFDNICKLAFNVDSACLGDDGAAGVNFMQAFETAATIISQRFQSVVSYSWKIKKKLNIGSEKVLRESILTVHKFADEIVRNRIDQGRLSDQKEDLLSRFISKEEMNSPEILRDIVISFILAGRDTTSSALSWFFWLLSMHPEVENKILQELNSIRAQTGKRIGEFYGFEDLKLMNYLHAAITESLRLYPPVPVDTMSCAEDNVLPDGTFIGKSWGISYNAYAMGRMESIWGKDCDRFDPERWIDETNGGFRGENPYKFPAFHAGPRMCLGKEMAYIQMKSIVAAVLERFVVEVPGKKERPEILLSVTLRIRGGLFARVQERS >fgenesh1_pm.C_scaffold_5001722 pep chromosome:v.1.0:5:18011525:18013556:-1 gene:fgenesh1_pm.C_scaffold_5001722 transcript:fgenesh1_pm.C_scaffold_5001722 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVLEDTYLTFSSDFDSITIPPSSFDNFCNSSSDQVGNSISDLRFLIDDDDGDGDFQFTSEDDLYFPPKNESFAIPVDAREMSGDFTPASELSGDCVKEETEKNTNGVLISTPIYCDRESPGDSDRSGTTQSLSLSAQESAKRKKEIQEDSREESRNNKYRTLDQDGFASVVTGGDEEDDEKKKVRLIRNRESAHLSRQRKKHYVEELEDKVKNMHSTISELSSKMSYFMAENVTLRQQMGPRNGMCQPSMYPPLAPMAYPWIPYPAYMVKPQGSQVPLLPIPRLKPQHSVAKVKKFKKVASVSVLGLLFCLFLFCALAPIVNISYGEYKSNYGVYDQSRGRVLVVDSNRAHCGGDSDQGVRRNVSETGNLGPPRNSSEPLVASLFVPRNEKLVKIDGNLIIHSVLASEKARDSETKNKEGKSGVATTTKGIISPAMPLPDSTRTMDMSKHLYSETPKALSSSGSDDALKEQLKLTTANGQMQQWFREGVAGPMFSSGMCTEVFQFDVSSTSGSIMPASPPTEQSKNTDTHKGKKNRRILHGGLSASDFNLTKDHKCSSKENFQDTKPAPSMVVSVLVDPREGGDGDIDEMMGGTKSLSPVFIVLLVDDVKYVTYSCILPRPEVPHLVST >fgenesh1_pm.C_scaffold_5001725 pep chromosome:v.1.0:5:18030860:18033107:-1 gene:fgenesh1_pm.C_scaffold_5001725 transcript:fgenesh1_pm.C_scaffold_5001725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:D7LVI8] MAITNLLASSNPVKLDAFSYFSSLSGKQNHYLTCRLTQTTRRVQTSCCNHETSLKAVTSLEMHEADTRRNCDGVGILRFLKAKSYLVTGATGFLAKALIEKLLRASPEIGKIFLLMRSKDQESANKRPYDEIISSDLFKLLKQTHGSSYEAFMKSKLIPGIGDIGEDNLGIESEIAEMISEETDVIINCCGRTTFDDRYDSAINVNALGPAYVTGKKEGIVLETPLCIGENITSDLNIDSELKLASEAVRKFRGSEETKKLKELGFERAQHYGWENTYTFTKAMGESIIHSKRGDLPVVIIRPSIIESSYNEPSPGWIQGIRMVDPIIIAYGKGLISGFCADSTSLMDITPVDMVANAAIAVMAKHGSGGVQELKVYNVTSSSHSNPLRFGELMDLSYQHLRNSPLGETVIDLAPMKFHSSLECFSSSVYNDIRKQERDSHISTLSKKGNRRLDYFVSLARIYKPYMFFQARFDDTNTTTLIQEMSMEERKMFEFDARGIDWEHYIVNVHIPGLKRQLFQGRSS >fgenesh1_pm.C_scaffold_5001738 pep chromosome:v.1.0:5:18101346:18103804:1 gene:fgenesh1_pm.C_scaffold_5001738 transcript:fgenesh1_pm.C_scaffold_5001738 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLRSSTHLNSHMHYIRTIQDGSIKILMNMDARRKSKLKFRQLVDIYNLEHSQDDESVPRVVRDLDNVSDITQGSESEDFSMTTLEKIRKQCKEKKRKLRIRGDTTESASNVEVKKEYVTQDEGCDIEEPLSSWDTKFSKRRKKKQERKAKCVSSTSSPSVAKVDLPVVLFHVKPEAWDDSYSVSEAMDCSKESESPTNTVLVEEIMLDSSRDMRLVPYCSAEPIFPGVVAIEEPITTKPLEEAFEDASEEFDDARKVQCCLADNIALQDKQIVLYGSVPREEMELDVNPQHSEYENFGCVENLISSYTSSGCEEAKEDEESNDSKASLDMSVTGLEIVKIEAPEILAIDYSGCLPIINFCIEDSDILWETEDITKDDFPEAADILQLTNCCNSLDNLQPVPDDSTISLEEYHLPERLQQSLYSKHEDEARDHKLSQLYKEPDEFQKVAETDSIQQQQPHHQPENLLSGRKALSPTSQEKLRKAMEHPDSPEKRSKKSRGKLYFSSQNSHRILKAQGLDTIDRVEIIPGSKQAIQKASNKTRQRATHKFPRRGTQAAKAQPFSTGCASIQGCSQKAIAFSQGQLCDFQCVAARLTKELKSMRQITKRCLQAESNTSNISDCNLDEVKTVIGNAEKTEESCKKWLSIIERDCNRFCKLMGMVREDSPATEDVVHKKKKIKFADDAGGDLCHVKVFEIDLESEHKRSL >fgenesh1_pm.C_scaffold_5001740 pep chromosome:v.1.0:5:18121657:18122407:-1 gene:fgenesh1_pm.C_scaffold_5001740 transcript:fgenesh1_pm.C_scaffold_5001740 gene_biotype:protein_coding transcript_biotype:protein_coding MFDWIHGNSRLPIALSIVELLVDMDCQGCEKKVRRAISKLDGVDTIEIDVDRQKVTVTGYVDREEVLKMVKQTGRTAEFWPFPYNGYYGDYYTYPSQHLEQSNQKIYQAENTFSYNGKYDFYDVDDFQNTNNSSINGYYLRPSQKVQPNTIDENALHLFSDDNAHACIIM >fgenesh1_pm.C_scaffold_5001751 pep chromosome:v.1.0:5:18182806:18183698:-1 gene:fgenesh1_pm.C_scaffold_5001751 transcript:fgenesh1_pm.C_scaffold_5001751 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive gene 3 [Source:UniProtKB/TrEMBL;Acc:D7LVM1] MCALVPPLFPNFGWPSTGEYDSYYLAGDILNNGAFLDFPVPEETYGAVTAVTHHQSFGVSASSEGNEIDNNPVVVKKLNHNASERDRRKKINSLFSSLRSCLPASDQSKKLSIPATVSRSLKYIPELQEQVKKLIKKKEELLVQVSGQRNIEHYVKPQPKAVASYISTVSATRLGDNEVMVQISSSKIHNFSISNVLSGLEEDGFVLVDISSSRSQGERLFYTFHLQMDKIENYKLNCEELSQRMLYLYEECGNSFRL >fgenesh1_pm.C_scaffold_5001766 pep chromosome:v.1.0:5:18265024:18267270:1 gene:fgenesh1_pm.C_scaffold_5001766 transcript:fgenesh1_pm.C_scaffold_5001766 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFEESLKSMSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCESDPSQTGAEQANQTGSGARAAAVGGVIPVNSVGYEVFLLLLQFLYSGQVSIVPHKHEPRSNCGDRGCWHTHCTAAVDLSLDILAAARYFGVEQLALLTQKHLTSMVEKASVEDVMKVLIASRKQDMHQLWTTCSYLIAKSGLPQEILAKHLPIELVAKVEELRLKSSMPLRSLMPHHHDLTSSLDLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDESLALIYAVENCSREVVKALLELGAADVNYPAGPTGKTALHIAAEMVSPDMVAVLLDHHADPNVQTVDGITPLDILRTLTSDFLFKGAIPGLTHIEPNKLRLCLELVQSAALVISREEGNNTSNDNNAMIYPRMKDEHTSGSSLDSRLVYLNLGATNRDLGDENSNQREGMNLHHHHHDPSTMYHHHHHHHF >fgenesh1_pm.C_scaffold_5001770 pep chromosome:v.1.0:5:18275112:18275860:-1 gene:fgenesh1_pm.C_scaffold_5001770 transcript:fgenesh1_pm.C_scaffold_5001770 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPPAVGVPPSQGYPAEGPPKDAYPPKVDAYPPPGQPYPQQGYPPQGYPQQGYPPPQQQQQQHHSPGMLEGCLAALCCCCVLDACF >fgenesh1_pm.C_scaffold_5001771 pep chromosome:v.1.0:5:18276431:18279585:-1 gene:fgenesh1_pm.C_scaffold_5001771 transcript:fgenesh1_pm.C_scaffold_5001771 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKCRIWLPKQLATTDDLSHSLLFGWFLCQSSSCLDVVVAFISDESSLSNAGSKLQDVLRETNEKMPSTLRDKAAFTLLGRCDISRNANGNVSKIITDKDMCSKAGAYCKYSGLSCGCQRSIELWNSIQVLDCIIYTGMGRLYLNAMSTYVIVYDTPVFGSHHFSLSFWNSSPQTKAPLKKPKWVDDLHNRKPLNEMETVILSINCASAAKIAYKKISTQLETSSQNFSISYLISSLTWRLLATILGSISSLYYSLAQFFYLLSSFPIFSWVHIASRRVLKNTWVNFRIRSCQILYWPIFLEENGMMSISCVEHAEKAALQRHSTWSAMAVDLVLGNLIGLGLLFNTESVCSFVFDFAKEFTNGILRSGSVWLMGVPAGFKLNTELAGVLGMVSLNVIQIWSTLWVFMASFIFYLIRVIAILGITFGATVSAAFVIDVITFATLHIMALHWGITLVYSHQIQALAALWRLFRGRKLNPLRQRLDSYGYTVKQHVVGSLLFTPLLLLLPTTSVFYIFFTITSTTINSICMLIEFAISVIHATPYAEVLIWLVRRKRFPCGVWFEMEHYREHILEDADSKSLLEEHDTPDLIVSNLRSNFLTLGQILLPHYTTIFSGISASSLTTSARGVLSGKRMPSKLGLDLPPPRPWIHMPLRQYWILCHNSIISSMANGEFSN >fgenesh1_pm.C_scaffold_5001773 pep chromosome:v.1.0:5:18283379:18285098:1 gene:fgenesh1_pm.C_scaffold_5001773 transcript:fgenesh1_pm.C_scaffold_5001773 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKITGGGCSWRRFDRKRTPSRFLIFSVRASSSMDDMDTVYKQLGLFSLKKKIKDVVLKAEMFAPDALELEEEQWIKQEETMRYYDLWDDPAKSDEILLKLADRAKTVDSLKDLKYKAEEAKLIIQLGEMDAIDYSLFEQAYDSSLDVSRSLHHYEMSKLLTDQYDAEGACMIIKSGSQDAKSQDLQIWTEQVVSMYIKWAEKLGQNARVAEKCSFLSNKSGVNSATIEFEFDFAYGYLLGERGVHRLIISSTSSEECSATVDIIPLFLRASPDFEVKEDDLIVSYPAKENHKIAENMVSIQHIPSGITVESSGERNRFANRIKALNRLKAKLLVIAKEQKISDVNKINSKNILKPWEETRRYVSKGQKMVVDTKTGLEILDLKSVLDGNIGPLLGAHISMRRSIDAI >fgenesh1_pm.C_scaffold_5001780 pep chromosome:v.1.0:5:18314083:18315770:1 gene:fgenesh1_pm.C_scaffold_5001780 transcript:fgenesh1_pm.C_scaffold_5001780 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISQLSCFSSTNPQFHFQSRSFPCPRIRPQSFVVRSVDGNSSETPASLSYTAEVSKPIVEKTSKPYSSVDETATDKDIITEPVEEHVATQPIRAAKIHDFCFGIPYGGLVISGGLLGFAFSRNLTSLSTGVLYGGGLLALSTLSLKIWRQGKSSFPYILGQAAFVLTHFSKPEIAVLSAVVFWKNFTAYSMTKKLFPAGLFTVISACMLCFYTYVVLSGGNPPPKKLKPSATSPSY >fgenesh1_pm.C_scaffold_5001813 pep chromosome:v.1.0:5:18547300:18549895:1 gene:fgenesh1_pm.C_scaffold_5001813 transcript:fgenesh1_pm.C_scaffold_5001813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein [Source:UniProtKB/TrEMBL;Acc:D7LVV5] MEKIVTLNHDLPLLHFPNSRKPFSIPSPILRFSSFNLRKKRLCLASSSETETKTVTVSESHGVIVDNSTVGRRLLGLAAAVAVAVSSSIFCDSSALAESLTIAFPVSRAREVTTVQRTLVEAWGLIRETFVDPTFNHQDWDSKLQQTMVEMLPLRSADAAYGKLKAMLSTLGDPFTRLISPKEYQSFRIGSDGNFQGVGLFINSEPRTGHLVVMSCIEGSPAARAGIHEGEELVEINGEKLDGVDSEAAAQKLRGRVGTFVTIKLKSVNGSRTDSGIREVKLPRDYIKLSPISSAIIPHTTPDGRFAKTGYVKLTAFSQTAASDMENAVHEMENQDVQSYILDLRNNPGGLVKAGLDVAQLWLDGDETLVYTIDREGVTSPINMINGHAVTHDPLVVLVNEGSASASEILAGALHDNGRAILVGNRTFGKGKIQSVTELNDGSALFVTVAKYLSPSLHEIDQVGIAPDVQCTTDMIDSLTGETVKKMNSSVPLLETDSCVMVAEHELETRRSNGTAS >fgenesh1_pm.C_scaffold_5001816 pep chromosome:v.1.0:5:18591035:18593329:-1 gene:fgenesh1_pm.C_scaffold_5001816 transcript:fgenesh1_pm.C_scaffold_5001816 gene_biotype:protein_coding transcript_biotype:protein_coding MGSILLILLFFSLVQSRSYTSYSKIQLPGDSLALSVTDFGATGDGINYDTSAVQSTIDACNRHYTSSSSICRVTFPSGNYLTAKLHLRSGVVLDVTENAVLLGGPRIEDYYPAETSSDWYVVVANNATDVGITGGGAIDGQGSKFVVRFDEKKNVMVSWNQTGACLGDECRPRLVGFVDSRNVEIWNITLREPAYWCLHIVRCENTSVHDVSILGDFNTPNNDGIDIEDSNNTVITRCHIDTGDDAICPKTYTGPLYNLTATDCWIRTKSSAIKLGSASWFDFKGLVFDNITIFESHRGLGMQIRDGGNVSDITFSNINISTRYYDPSWWGRAEPIYITTCPRDSSAKEGSISNLLFVNITINSENGVFLSGSPNGLLSDIKFKNMNLTVRRWSNYSAGLVDYRPGCRGLVNHSATAGIIMEHVNGFSIENVDLKWSDDLNSGWNVPLEFRPSTVNNVSLFEFDYYVLSEIGITEMASTKPLIVAAKTLRNRIHFRSGSTSAGPSRWATPGHEERPKGYFMNRTPPPPGQSRKWEDWELPCYITSFLTIVILGVGLNAKPDLSIETWAHQKALERLEMEKLASVGDSSD >fgenesh1_pm.C_scaffold_5001821 pep chromosome:v.1.0:5:18614197:18615237:1 gene:fgenesh1_pm.C_scaffold_5001821 transcript:fgenesh1_pm.C_scaffold_5001821 gene_biotype:protein_coding transcript_biotype:protein_coding MPEARDRIERPVDYPAIFVNRRSNGVLLDEPDSRLSLIESPVNPETGSMGRGSLVGTGGLVRGNFSTWRPGNGRGGHSPFRLSQGRENNMPMVSARRGRGPSLLPSWYPRTPLRDITHIMRTIERRRGAGIGGDDGRDIEIPTHQQVGVLESPVPLSGEHKCSIVTPGPSVGFKRSCPPSTAKVHKMLLDITKEIAEEEAGFITPEKKLLNSIDKVEKIVMAEIQKLKSTPHAKREEREKRVRTLMSMR >fgenesh1_pm.C_scaffold_5001840 pep chromosome:v.1.0:5:18718860:18719192:-1 gene:fgenesh1_pm.C_scaffold_5001840 transcript:fgenesh1_pm.C_scaffold_5001840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7LVZ9] MVAVVDDILTVGPEISSEEVGRAQGIFAATDQNKFSLLMAFNLVFTKGEFSGSTGSMYGRNPIMSKVREMPIIGGTGAFRFGRGYAQAKTFTFNTTSGNAVVEYNVYIWH >fgenesh1_pm.C_scaffold_5001854 pep chromosome:v.1.0:5:18775213:18777103:-1 gene:fgenesh1_pm.C_scaffold_5001854 transcript:fgenesh1_pm.C_scaffold_5001854 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRSPFDKNKFTWVIKDFSSLRSEMIYSDEFVLGGCKWRLMAYPDGDRIKKYMSLYVEVADSKHLPSGWSIHTELRMEVVNHHLYKPSQQKYRKNFWFDKKTPAWGYKTMIPHSKLCGEEGFLVNGEVTIVVQIDVYRVIGKVAAIEISEEGSKGGYEYESEEESKKKSVEGSKWGYEYESEEESKKESVEGYNGGYEYESEEESKKKSVEGSKWGYEYESEEESKKDSEEGYKGRYESKKDSENDCGEESEKDSEEGSRPLKKIKRNDDGVKSNDSVNKTQQLKETMDVNGFQVLPSQEKFVSRIFEKYPDIAVGFHAKNQHLRTACMNVLLSLIETLCQSPQELSNEDMVEADNALTYVKDAGFKVDWLEKKLEEVKEKKVVGHTGETRIQELEEEMLNIMCKWSDMKAVLEKEKTKVLAARAPLTLDDFV >fgenesh1_pm.C_scaffold_5001855 pep chromosome:v.1.0:5:18777540:18778091:-1 gene:fgenesh1_pm.C_scaffold_5001855 transcript:fgenesh1_pm.C_scaffold_5001855 gene_biotype:protein_coding transcript_biotype:protein_coding MWNGDGTVEVYGFRVFYSEVDCVRRIFEKYPETATNIRPKNQMVKNAYMNNLLDLIDIICLAPQELTEEELRNAESQLLELVEVGFKLDWLKNKLEELCVKKKKMEARGARMRELDGLIMEQRRVLWELETELKNEENEAVSDSARLGFEDVV >fgenesh1_pm.C_scaffold_5001860 pep chromosome:v.1.0:5:18831203:18832430:-1 gene:fgenesh1_pm.C_scaffold_5001860 transcript:fgenesh1_pm.C_scaffold_5001860 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIMNPCDKKFVWVIKNFSSLQLQDCYVSVPVLIRDVKWRLFAYPEENNGDHLSLYLEVDFESMPCGWRQYTQFRFTVVNQISEHLSVKREGRKWFDKKAPEWGWEDMISLTKLNDINSGFLVNGELMIVAEVETFEAISTSQVAGTSVDSEWTLLDYYASSEEDKDDVTVVIKGFHVLDSQVYQVKETFEKHPDLASNLILKNQNLKNIYMGFLLDLIKTLSKSPKELTEDDLNFADSTLSDLTKAGFMLDWLRQKLDRALEKQIACDAG >fgenesh1_pm.C_scaffold_5001870 pep chromosome:v.1.0:5:18896430:18899321:-1 gene:fgenesh1_pm.C_scaffold_5001870 transcript:fgenesh1_pm.C_scaffold_5001870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid family protein [Source:UniProtKB/TrEMBL;Acc:D7LW43] MRPNIVTEAGVQTRVGQWWNAIPFLTSSVVVVCGVIYLICLLTGYDTFYEVCFLPSAIISRFQVYRFYTAIIFHGSLLHVLFNMMALVPMGSELERIMGSVRLLYLTILLATTNAILHLIIASLAGYNPFYQYDHLMNECAIGFSGILFSMIVIETSLSGVTSRSVFGLFNVPAKLYPWILLIVFQLLMTNVSLLGHLCGILSGFSYSYGLFNFLMPGSSFFTTIESASWMSSFVRRPKFIMCTGGNPSSYIPTYSAQNTTSSGFSTGNAWRSLSSWLPQREASNQSSEDSRFPGRGRTLSTARDPAAPAGETDPNLHARLLEDSSSPDRLSDATVNTMADSRQVPIANAAVLPQTQGRVTAPEEQIQKLVAMGFDRTQVEVALAAADDDLTVAVEILMSQQV >fgenesh1_pm.C_scaffold_5001887 pep chromosome:v.1.0:5:18978795:18981682:-1 gene:fgenesh1_pm.C_scaffold_5001887 transcript:fgenesh1_pm.C_scaffold_5001887 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVERKRPRGAFLNLFDWHGKSRKKLFSSNISQLSEESKQAKENVQNPSITRHSVFEVDQSVKNPTYNPRSDSSCCASSVTSDDGNVVRASVVARLMGLEGLPLPNVLEQRVNPDLDPYFLRSSRQANTWDANVDRQSDFDGVSWDHLDSRTSKGPRKRMIERFQTETLPPRSAKPISVTHNKLLSPIRNPGFVPSRNPAYVMEAASRMIEQSPRMIARTRVVSSSDSSSPVPLRIRDLKEKLEAAQKASTSVLPQLSNDTRNSRYLRGDQNEKKTTVLGKSSCGGLKSEVKPPSFSAQAKASSNQKQDSLTTSSSGNKRMSSGQKEKMEAKNRAVKSQNSIKGSSSSTGKNVLKQNHQKQNCRDNQQSRRVINKVVNKNLVESGSISKSPGFTMTSAEKPTSLPLSRKKNLPRNKKPRNGVQESGIYEDKRIKRGEKSIKCNISIDGDSSTSKDDKKRDMDVISFTFSSSIKGLSSHPQGTKQDAESAIRFNVIGGDSLNALLEQKLRELTSKIESSSSSLIQEESLSSLSKDRANGMISSPSKYSELTQSSLDRVLTESESVSDCTSFYNNQKVQKKKTMQGEEQEVSSITTLTEADDFALSCSKSISDCRHDREYGMKQSSSDQELTWVSSNESQHTLDETESATLDWELEYITEILNSGQLMFQDFASGTTTNESLLPSSLFDEMERSRGAAMSMKTERKALFDCVNQCLAVKFERMLIGSCKGMMMSGGILLEHRDLLAEEVNREVKGLKKMREMMIDELVDHDMSCLEGRWIGYEREMFEEGIDMEGEIVSDLVDDLVSDLFSISVLKRSL >fgenesh1_pm.C_scaffold_5001945 pep chromosome:v.1.0:5:19266712:19268082:1 gene:fgenesh1_pm.C_scaffold_5001945 transcript:fgenesh1_pm.C_scaffold_5001945 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRKVIKKIYADFENEGDGAVVRRGITKSDQELLDPFVSLVEFSVSPPGGFKDHPHRGFESVTYMLQGGIIHQDFNGNKGTIHEGDVQWMTAGRGIIHSEMPEEQVNKGLQLWINLPSSDKMMEPKNLDMSSSEIPRADEYGVEVKVIAGESMGVKSPFYTKTPVMFLDFTLDPRVQTHQTVPESWTAFAYIIEGDEGVFSSSDSSTVQAHNVVVFGTGDEVSVWNTSSSRSLRFLLIAGEPIGEPVVERGPFVMNSQAEIDMTIRDYRNAQNGFEMAKHWRSE >fgenesh1_pm.C_scaffold_5001957 pep chromosome:v.1.0:5:19342980:19345029:-1 gene:fgenesh1_pm.C_scaffold_5001957 transcript:fgenesh1_pm.C_scaffold_5001957 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKEDVDFYCGFSRKELQSLCKKYNLPANRSSSDMAESLASFFEKHSLNSVGFGVPVNQDSSATTSRAPLIRTWNVKRGFDSYGNKLDIPREDYAQGAVAREPGFILGNNTPYQERNGNDGLVDSTSVPPYMRKLNEKGPTANSKRTDSRLENRMRDVDSGDSPSSSSFEFHVSLEEGISLSVDLNFNPSDWIKSMRDEVNVCDSMRRRKSPHSDLGINNATECKKQKISGQDTDIHVRRESSISPSVKDNAQLPSDHHPNGERSLASSAIEPCSRIKESSDTCKEKNGLNLSVPDSSGPGQIVSSCVESYSKSCCVNPVDLDCIIPPGKKLASESVMVAAEQNHSAGDLLVEIPENPSMESFQKVGNSSTVICPWGAGSELSSSEAEAYHSNQPCSPRKTSRSSTISSPEFIIDRESTNYSESFKFRCNGGKNILPPNTEEQEKSEVLSEQARSE >fgenesh1_pm.C_scaffold_5001977 pep chromosome:v.1.0:5:19495144:19497953:-1 gene:fgenesh1_pm.C_scaffold_5001977 transcript:fgenesh1_pm.C_scaffold_5001977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:D7LWG7] MAAMIMASRFNREAKLASQILSTLLGKRSCNTSMAATSSSALLLNPLTSSSSSSSSLRRFRCSPEISSLSFSSARVTPSDFSLAMKRQSRSFADGSERDPSVVCEAVKRESGADGLNIADNVSQLIGKTPMVYLNSIAKGCVANIAAKLEIMEPCCSVKDRIGYSMVTDAEQNGLISPGKSVLVEPTSGNTGIGLAFIAASRGYRLILTMPSSMSMERRVLLKAFGAELVLTDPAKGMTGAVHKAEEILKNTPDAYMLQQFDNPANPKIHYETTGPEIWEDTKGKVDIFVAGIGTGGTITGVGRFIKEKNPKTQVIGVEPTESDILSGGKPGPHKIQGIGAGFIPKNLDQKIMDEVIAISSEEAIETAKQLALKEGLMVGISSGAAAAAAIRVAKRPENAGKLIAVSLFASGSDIYQPRCSSLSGKRWRKCSLRYEH >fgenesh1_pm.C_scaffold_5001985 pep chromosome:v.1.0:5:19544593:19545484:-1 gene:fgenesh1_pm.C_scaffold_5001985 transcript:fgenesh1_pm.C_scaffold_5001985 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFLSATILESSVSMIGLTAYAGFYETYSPKKGETVFVSAASGAVGQLVGQFAKLMGCYVVGSAGSKQKVDLLLNKFGYDEAFNYKEEPDLEEVLIWLLIIQCFPKGIDIYFENVGGKMLDAVLLNMKPYIWQNRCMWDDLSNLPDIIFKKIRMQGFAVYDFIVIDRFPKFLEFVIPYIKEEKLTYVEDIVEGLENGPAALVGLLHGQNVGKQVLKVA >fgenesh1_pm.C_scaffold_5001988 pep chromosome:v.1.0:5:19564280:19565927:1 gene:fgenesh1_pm.C_scaffold_5001988 transcript:fgenesh1_pm.C_scaffold_5001988 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKAMRKNRTRRRYECLSSSAGTTKDSNDDYDFFPFAAKSENAVVSRPSSSFVHMEMNNVGVQQRHRRGWSVGDFSSMSYREGRRSGADGGETGFSPSRRGQLLRNRSHRLFSCVSAMHTQLDRSKGVIMGTLDRSKGSHSPNGLEEILNTNKS >fgenesh1_pm.C_scaffold_5002004 pep chromosome:v.1.0:5:19642521:19647585:-1 gene:fgenesh1_pm.C_scaffold_5002004 transcript:fgenesh1_pm.C_scaffold_5002004 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNFEKPCSGVTISCLPDEVLGKILSFLPTKQAVSTSLLSKKWTFMYRLADCLDFDDSLHLHAEEGEHVFPESFKNCVDRTLALQCDYSIKKFSLKCHIGAHSDCQRACVGRWISNVVGRGVVELDLQIIDWGLHFMPPQLFASKTLVKLTLGTALNLGKLPSDVLLPSLKFLFIDTLFCPVLEELSVRHEDYIGTPFCISNHSIKKLSVHYDAESEIDFMSGLSFDTPSLVFLDYFDYALNEYTPINLEALVEARLDIRYPKKSTRPDIYRTLHLSPDSVDCVCLVICRCIIHGLLLPVFNNLVNLSFGSKSTKQGRGWKLLPKLLELSPKLETLIIQGLNGYTGDVFMPLNHLKVLHILGYGGTSQELKHLRSFLGKTECLVNVKEAVVDNDIIILKTKKELMMLLDTSVSPKCQIKVT >fgenesh1_pm.C_scaffold_5002027 pep chromosome:v.1.0:5:19780381:19782030:1 gene:fgenesh1_pm.C_scaffold_5002027 transcript:fgenesh1_pm.C_scaffold_5002027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7LRN6] MEETIKSVVDDCFTSAMALTDSGVLHVPTRYILPPSQRPMLGSSIGSDTITLPVIDLSLLHDPLLRRCVIHEIEMACKGFGFFQIINHGISSSVVKDALDAATRFFDLPVDEKMLLGSDNVHTPVRYGTSLNHSTDKVHYWRDFIKHYSHPLSKWIDLWPSNPPCYKEKVGKYAEATHVLHKQLIEAISESLGLEKNYLQEEIEEDSQVMAVNCYPACPEPEFALGMPPHSDFGSLTILLQSSQGLQIMDCNKNWVCVPYIEGALIVQLGDQIEVMSNGIYKSVVHRVTVNKDYKRLSFASLHSLPLHKKISPALELVNENKPAAYGEFSFNDFLDYISSNDITQQRFIDTLKKNNLCRWC >fgenesh1_pm.C_scaffold_5002040 pep chromosome:v.1.0:5:19861491:19862743:1 gene:fgenesh1_pm.C_scaffold_5002040 transcript:fgenesh1_pm.C_scaffold_5002040 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKSNPDGYQNILMMRHGDRIDQIDPLWLDTAARPWDPPLVQDGMVRAFRTGQRIRSQIQFPIHRVFVSPFIRCIQTASEVIAALSAVDFDPNATSSKDVASIDKSKLKVSIEFGLSEMLNSISIKPEIAPKDGKFDFMISDLEAMFPHEMVDHSVDPVYKEMPQWEETVEGCTDRFLNLIKTLADKYPSENLLLVTHGEGVRTTFATFKGVDVRQVLSQDGSTKAGGFEVITSLGQCGIKYHSLSTSDQTPV >fgenesh1_pm.C_scaffold_5002050 pep chromosome:v.1.0:5:19947166:19948364:1 gene:fgenesh1_pm.C_scaffold_5002050 transcript:fgenesh1_pm.C_scaffold_5002050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-b5 [Source:UniProtKB/TrEMBL;Acc:D7LRS2] MASSSLKCLSSIVVLTTFFAISLKPCSGHNKTHWNTAGITWYGDREGPGSTGGACGYGDAVAKHPYRCMVSAGGPSLFKDGKGCGACYRLKCDHPLCTKKPIKVMISDECPGCTKEAVHFDLSGKAFGALAKRGKGDQLRNLGELKVSYKRACCKHPKAKIAIHVDSGANPYYMSFAVKFANGDGNFACIEVQPAGGKYLKMEEMRSAVWRLSPGVPLKGPFNIRLTSAVSGKKIVAKGVIPEKWSPGAIYHSKVNFPVQRKQK >fgenesh1_pm.C_scaffold_5002052 pep chromosome:v.1.0:5:19955174:19955896:-1 gene:fgenesh1_pm.C_scaffold_5002052 transcript:fgenesh1_pm.C_scaffold_5002052 gene_biotype:protein_coding transcript_biotype:protein_coding MANKIAMFLSEAMNNNVVISTCLGVSFVVLGLRSDKQQKYVEALAEQKDSLFKSNKEMKVTMWEWKQQLFADAASAGNAAVVPLSKLKAIYGEATTTTQSGDTTKEESKVSTPKIMI >fgenesh1_pm.C_scaffold_5002054 pep chromosome:v.1.0:5:19974753:19976697:1 gene:fgenesh1_pm.C_scaffold_5002054 transcript:fgenesh1_pm.C_scaffold_5002054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LRS8] MAPFVEVCRYKPLPLSLSSLCTCPCRSSPRKFLNQIYIEEEHNRDQESQNVEDDSSLDDQKQKSRSQFKKRVIFGLGIGLSVGGIVLAGGWVFTVAVAAAVLLSAREYFELVRSKGIAQGMTPPPRYLSRVCSVICALMPILTLYFGHIDISVTSAAFVVAMALLLQRGNPRFSQLSSTMFGLFYCGYLPCFWIKLRCGLTAPVLNTGKHSIIIIIPSFGIGRSWPTILGGQAHWTVGLVAILISFCGIIASDTFAFLGGKAFGKTPLISISPKKTWEGALAGLVGCISITILLSKSLSWPHSLVSTIAFGVLNFFGSVFGDLTESMIKRDAGVKDFGSLIPGHGGILDRVDSYIFTGALAYSFVRLHGV >fgenesh1_pm.C_scaffold_5002079 pep chromosome:v.1.0:5:20086546:20099427:-1 gene:fgenesh1_pm.C_scaffold_5002079 transcript:fgenesh1_pm.C_scaffold_5002079 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDENKFPKASDGHLHVAEKPNVVENRIDAFDLGNSNLQGISSVDAVLKDDTFEQVSLGDQDSSATSLDSFYHQESGYPVVHSPQRPKPKATMPNVSPELLHLVDSAIMGKPESLDKLKNIVSGVESFGSGEDSESIAFLVIDSLLATMGGVESFEEDEDSNPPSVMLNSRAAIVAGELIPCLPCSGDSVSFMSPRTRMVRGLLAILRSCTRNRAMCSMAGLLCVLLRSVEEIVSKDATMMWNGTVLFHCIQHLAGHSLSVDDLYRWLHVIKKSLPTVWSSPLIDALEKAMNGKESRGPACSFEFVGESSGLLGPGESRWPFTNGYAFATWIYIESFADTLDASTAAAAIAAASAAKSGKTSNAAPANVHTGEGTAHMPRLFSFLTPDNQGIEAYFYAQFLVVESGSGKGSKTSLHFTHAFKPQCWYFIGLEHTCNQGLLGNSDSELRLYIDGSLYETRPFEYPRISKPLSFCCIGSNSPSTTAGLQRRRRPCALFAEMGPVYIFKEPIGPERMTRLATRGGDVLPCFGNGAGLPWLATNDHVRNVAEESSLSDAELGGYIHLLYHPCLLSGRFCPDASLSGAAGTQRRPAEVIGKVHVATRMKSVESFWALAYGGPMSLLPLTVSSVHKDSVEPCSRNVPSSLTTYSLAAPIFRMISVAIQHPGNNEELSRTRGPEILATILGYLLHSLASLDLQHDRVGDEELVAAIVSLCQSQKINHALKVQLFRTLLLDLKIWSVCNYRLQKKLLSSLQDMVFTEATAMRNADAIQVLLDGCRRYFWTIQEKDSVNTFSLHGDARQVGEVNALVDELLVIIELLMGAASSSFAADDLHRLLGFIIDSPQPNQVSRVLHLMFRLVVQPNAAKAQTFAEAFITSGGIETLLVLLQREAKTGEDDVADSVAKGETNVPTYHSEQSYHNGPGLLKQLDSVPQDNESDPHTHDDNVGSLKETESVQQEKAHGSATVICDSDSVTISNSMKAGRISSVSETPFNNNARNNVDDRDRVMVGIIRLIGALTSKGHLKFSVGAKSDVMSNLMGSDFHENGGTMFDDKVALLLFALLKAFQAAPNRLMTDNVYTTLLGASVNASSTEDGLNFCDLGHRFEHPQLLLILLRSLPFASKALQNRALQDILFLACSHPENRSSLTKMEEWPEWILEILISNYEKDAGKQSSSPGFAEVEDLIHNFLIIMLEHSMRQKDGWKDIEATIHCAEWLSIVGGSSTGEKRIRREESLPIFKRRLLGGLLDFAASELQAQTQVIAAASAGFAAESLTPKDAKAGVENAALLSVFLVENTVVILMLVEDHLRLQSKQNCAASAVDVSPSPLSLVYPPNYRSHTLPTVGESSEVSSSRASVSSDSGGVHLDILASMADASGQISTAVMERLAAAAAAEPYESVSCAFVSYGSCTMDLADAWKYRSRLWYGVGLPSKTSCFGGGGSGWDSWKHALQKDAQGNWIELPLVKKSVSMLQALLLDESGLGGGLGICGGSGTGMGGMSGLYQLLDSDQPFLCMLRMVLLSLREEDHGEDSLLMKNLSSEDGITGGIQCPLGNSASLDISSQLSMRQSPSALLWSVLSPILNMPISDSKRQRVLVTTCVLYSEVWNAVSKDKRPLRKQYLEAILPPFVAILRRWRPLLAGIHELATGDGLNPLVVDTRALAADALPIEAALSMISPEWAAAFASPPSAMALAMIAAGAAGWEAPAPPAAPAPPPLRRDTSLLERKSTKLQTFSSFQKPLEAPNVDTPGRPRDKAAAKAAALAAARDLEWNAKIGSGRGLSAVAMATSAARRNISDMERLQRWNISEAMGVAWIECLQPVDTKSVYGKDFNALSYKFIALLVASFAFSRNMQRSEIDRRLQNDKIVRNRLCMGIRGWRKLVHHLIEMRCFFGPFGDHLCSPKHVFWKLDSMESSSRMRQCLRRNYSGTDHLETTRNYDDQTDLKNKQDAPVLAVEAISKEIMYEDNEHGDANDLEIEGNVGHKGENEERRSGSLEDAITLSTGINDHRPLSEQNMVQNSPEVDLSELKERIILEISSTMVRPLGVVKGTFQITTRRINFIVDIREDQHLDGKSDGLKLRDKERDRSWLMSSLHQIYSRRYLLRKSALELFMVDRSNYFFDFGNTEGRRNACRAIVQARPPHLKNIYLATQKPEQVSRRTQLMESWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILCDYVSEILDLSNPSNYRDLSKPIGALNPERLKKFQEQYSSFEDPVIPKYHYGSHYSSAGAVLHYLARVEPFTTLLIQLQGRKFDRADQIFSDIAATWKGVLQDMNNVKELVPELFYLPEVLSNENLVENLDSVKLPPWAKSPIDFVHKQRMALESEHVSAHLHEWIDLIFGYKQRGKEATLANNVFFYTTYEGTVDIDKITDSVQQQATQDQIVNFGQTPSQLWTVPHIKRMPLKDVLHMQTIFRNPKAIKPYPVPAPEHCNLPAAAIKASSDTVVIVDMNVPAAHIAQHKWQPNTPDGQNAPFIFHHGKPSSTGGTLIRMFKSDWEYPQAQAFASLGIRSSSVTAITNDGEIITGGHVDNSIKIVSSDGAKTLETAFGHSAPVTCLALSPDNNFLVTGSRDTTVLLWRFHKGFTSQTSESEQTTSSVTSSSASSNNLANKAKKHRIEGPIQVLRGHQREISCCCVSSDQGIVVSCSESSDVLLHSTRKGRLIRRLVGVEAHALCISSDGVIVVWSRSESCISTFTINGVLISKARLPSSCTISCMELSMDGQNVVIGVNSFFDIDGSRSFNILTGEEDSSNRSKEVERLNIPSPSICFLNLYTLQVFHVLKLGEGQGITTMALNTDNTNLLVSTEDKQLIIFTNPALSSKLVDQTLKPGSE >fgenesh1_pm.C_scaffold_5002090 pep chromosome:v.1.0:5:20142379:20146471:1 gene:fgenesh1_pm.C_scaffold_5002090 transcript:fgenesh1_pm.C_scaffold_5002090 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNGFLDDGSPGASKLLSDIPYNNNHFSFSAVDTTMLGTTAIAPPHSRPFSSSGLSLGLQTNGEMSRNGEIFESNVTRKSSRGEDVESRSESDNAEAVSGDDLDTSDRPLKKKKRYHRHTPKQIQDLESVFKECAHPDEKQRLDLSRRLNLDPRQVKFWFQNRRTQMKTQIERHENALLRQENDKLRAENMSVREAMRNPMCGNCGGPAVIGEISMEEQHLRIENSRLKDELDRVCALTGKFLGRSNGSHHIPDSALVLGVGVGCNVGGGFTLSSPVLPQASPRFEISNATGSGLVATVNRQQPVSVSDFDQRSRYLDLALASMDELVKMAQTRDPLWVRSSDTGFEMLNQEEYDTSFTRCVGPKPDGYVSEASKEAGTVIINSLALVETLMDSERWAEMFPSMISRTSTTEIISSGMGGSRNGALHLMHAELQLLSPLVPVRQVSFLRFCKQHAEGVWAVVDVSIDSIREGSSSSCRRLPSGCLVQDMANGCSKVTWIEHTEYDENRIHRLYRPLLSCGLAFGAHRWMAALQRQCECLTILMSSTVSPSPNPTPINCNGRKSMLKLAKRMTDNFCGGVCASSLQKWSKLNVGNVDEDVRIMTRKSVNNPGEPPGIILNAATSVWMPISPRRLFDFLGNERLRSEWDILSNGGPMKEMAHIAKGHDHSNSVSLLRASAINANQSSMLILQETSIDAAGALVVYAPVDIPAMQAVMNGGDSAYVALLPSGFAILPNAQAGTQRCAAEERNANGNGNGGCMEEGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALHCDST >fgenesh1_pm.C_scaffold_5002102 pep chromosome:v.1.0:5:20184672:20185836:1 gene:fgenesh1_pm.C_scaffold_5002102 transcript:fgenesh1_pm.C_scaffold_5002102 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB17 [Source:UniProtKB/TrEMBL;Acc:D7LS77] MGRTPCCDKKGLKKGPWTPEEDEVLVAHIKKNGHGSWRTLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFTAEEEKLVIQLHAILGNRWAAIAAQLPGRTDNEIKNLWNTHLKKRLLCMGLDPRTHEPLPSYGLAKQAPSSPTTRHMAQWESARVEAEARLSRESMLFSPSSYSGVVKTDCDHFLRIWNSEIGEAFRNLAPLDESTTSQSTCSRTTSTSSALLKSSTISCGGKEVTVAIHGSESSPCSNVLEDDSTDSALQLLLDFPISDDDMSFLEENIDSYSHTPVGLVSMVSKF >fgenesh1_pm.C_scaffold_5002106 pep chromosome:v.1.0:5:20202313:20203980:-1 gene:fgenesh1_pm.C_scaffold_5002106 transcript:fgenesh1_pm.C_scaffold_5002106 gene_biotype:protein_coding transcript_biotype:protein_coding MDRREAMALSGSGSYYIQRGIPGSGPPPAPQTQPTFHGSQGFHHFTNSNSPFGSNPGGVSTGFVPPPLPVESSPADSSAAAGAVVVPPSGDTSLKRKRGRPRKYGQDGSVSLALSPSVSNVSPNSNKRGRGRPPGSGKKQRLSSIGEMMPSSSGMSFTPHVIVVSIGEDIASKVISFSHQGPRAICVLSASGAVSTATLLQPAPSHGTITYEGLFELISLSTSYLNTTDNDYPNRTGSLAVSLASSDGRVIGGGIGGPLIAASQVQVIVGSFIWAIPKGKIKKREETSEDVQDTAALDNNDNTAATSPPVPQQSQNLVQTPVGIWSTGSRSMDMHHPHMDIDLMRG >fgenesh1_pm.C_scaffold_5002122 pep chromosome:v.1.0:5:20266363:20267930:1 gene:fgenesh1_pm.C_scaffold_5002122 transcript:fgenesh1_pm.C_scaffold_5002122 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVDLITRVDSICKKYEKYDIDKQREANVSGDDAFSRLYSAVESALETVLQKTEDLSSETNKAKAVAMNAEIRRTKARLLEGIPKLQRLALKKVKGLSKEELDVRNDLVLSLRDKIEAIPETSAPFVGGWEASTSYSNIRFDTNVSDHRIGSGYFEPTGESDQFKQKYEIKRIKQASDQGLDYIAEGLDTLKNMAQDINEELDRQEPLMDEIDTKIDKAATDLKSTNVRLKDTVTKPQLLHRHHPLMHTPGNRCLHIQLGEVKAGDA >fgenesh1_pm.C_scaffold_5002145 pep chromosome:v.1.0:5:20404546:20405556:-1 gene:fgenesh1_pm.C_scaffold_5002145 transcript:fgenesh1_pm.C_scaffold_5002145 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEEKLVINELEQGKELANQLMNNLECPSSKELDMSLISGILRSYENAIVMLSLDQKTLKRSSPGRLDQSNKKRRTSEKKMSEKVKICVGTGQEGTSLDDGYCWRKYGQKDIHGSKNPRGYYRCTHRFTRGCLAVKQVQKSDADPSCYEVKYLESHTCNANLSTTKFSVSVPKEEPKSVHVTEKDIFDDLENKKDIFRTFSFSYPETENISEWKNLMDDLSPTTTSESEITNELLYASSSPVSVANSPTADSCFSSLESILDLSHVWSLM >fgenesh1_pm.C_scaffold_5002159 pep chromosome:v.1.0:5:20475973:20479669:-1 gene:fgenesh1_pm.C_scaffold_5002159 transcript:fgenesh1_pm.C_scaffold_5002159 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIALVNKIQRACTALGDHGEGSSLPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGAGIVTRRPLVLQLHRIDEGKEYAEFMHLPKKKFTDFGIFLVLEELMLLIFPETACTAVRQEISDETDRETGRSSKVISTVPIHLSIFSPNVVNLTLVDLPGLTKVAVDGQPESIVQDIENMVRSFIEKPNCIILAISPANQDLATSDAIKISREVDPKGDRTFGVLTKIDLMDQGTNAVDILEGRGYKLRYPWVGVVNRSQADINKSVDMIAARRRERDYFQTSPEYRHLTDRMGSEYLGKMLSKHLEVVIKSRIPGLQSLITKTISELETELSRLGKPVAADAGGKLYMIMEICRAFDQTFKEHLDGTRSGGEKINSVFDIQFPAAIKRLQFDKHLSMDNVRKLITEADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDAVHSILKDLIHKSMGETSELKQYPTLRVEVSGAAVDSLDRMREESRKATLLLVDMESGYLTVEFFRKLPQDSEKGGNPTHSIFDRYNDAYLRRIGSNVLSYVNMVCAGLRNSIPKSIVYCQVREAKRSLLDFFFTELGQKEMSKLSKLLDEDPAVQQRRTSIAKRLELYRSAQTDIEAVAWSK >fgenesh1_pm.C_scaffold_5002161 pep chromosome:v.1.0:5:20482251:20485937:-1 gene:fgenesh1_pm.C_scaffold_5002161 transcript:fgenesh1_pm.C_scaffold_5002161 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1703 [Source:UniProtKB/TrEMBL;Acc:D7LSP2] MEVLNSALPNNGFFNLSSFLSNSNRRLTNKRNQHRFNLPISKFHYYRVSILRVSARFGETSRRRNSLRKKIIGDENWRSTPKSSDPGTKPLNESHNCDHSDDLVELSSTEGLKDSVAQDSNLLNELEDWVARYQKEAEYWGIGSNPIFTVYQDSVGNVEKVEVDEDEILSRRPGLEDLELVSSRVLYAKKLAQQMENGENVIHKDSSLVKFVSSSSSEEEFRFVSSIQNAILHLDLLPKLPVIGRAVLCGYIGLWLLKTVLVYRKSNEVECTELEKEMMRRKMKAWKEKEMSEKGTVEVLHKEGLEKPLVSFEKPKFDRKELMTSISKVKGSEKKLELLNSSHVESGDSLDFDDKIHEIKAMARRAREIEAGIELNEKEKREVNKETSDNDEDMRSQSSLPHEGLTPSKGDDDKQETLGISTEINQENTEMFDLAIPMVNGAMVDSGSPIHEMAASDKEKVSNVVPLVPTDGIIQSSDVSKDKLGMMKNSTGRKSRVIRSVKEAKEFLSRRSGEKELTQEPSQMIAQDSDEIFPKQSNEERGVARKHELVDKNKILGAAVNGTLKSALESTSSEPLGKDVDSQPQKNDYQKLSEPGNAIKGSSKQRDSLNEIEEGKTSFFRSAKSSSGDTEQIEKAEPSGKENWIEKNYHEFEPVVEKMRAGFRDNYMAARERETQEPGTVAEIAELYRSEYNDELDWMKDEKLRDIVFLVRDNELAGRDPFHLIDAEDKAMFLKGLEKKVEKENEKLSHLHQWIHSNVENLDYGVDGISVYDPPEKIIPRWKGPSLDKNPEFLNNYHEQREALFSGKAASVSPMKYEEQSSHQELSESASSENTLTPSSEITSSQPKIVVEGSDGSVRPGKKSGKEYWQHTKKWSRGFLELYNAETDPEVKAVMRDMGKDLDRWITEEEIKDAADIMEKLPERNKKFMEKKLNKIKREMELFGPQAVMSKYREYGEDKEEDYLWWLDLPHVLCLELYTIDDNGEQQVGFYTLEMAKDLELEPKPHHVIAFEHAADCRNLCYIIQAHLDMLRTGNVFIVPRPPKDAYREAKANGFGVTVIRKGELKLNIDEPLEEVEEEICELGSKMYHDKIMGDRSVDISSLMKGVFNLKTKPTGRRRKRSKQALKDSNKKSS >fgenesh1_pm.C_scaffold_5002163 pep chromosome:v.1.0:5:20489199:20491348:-1 gene:fgenesh1_pm.C_scaffold_5002163 transcript:fgenesh1_pm.C_scaffold_5002163 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRDDGGGKVMGLIEKATKSTAQEVDPRLLKAIKSIVRYSDSEVRLSAQTLMELMRHNHSQVRYLTLFIIDELFMRSKLFRTLIIENLDQLLSLSVGFRSNLPLPSPPAVATMLRSKAIEFLEKWNLSFGFHYKELRLGFDYLKNTLKLKFPDLQANAARIQRERQEREMKTKEILRNKFDSLRDSFGLFKYEIEVTIKEIKECMEIVQWRGDDGVPLAILDEEDFEEIRCSHLRQIRLDSLKQSEKVEETSENRVVFDVLREQCKLLVTKHLISVQEGISLLIRVDVSDNRTRDSMLKDLIDIRNNILAAKKKWEEAGFTISMMTGTHENEETNEEEEDIWEEDDGKVGTDSVKNVAPVTRTQQSDNSSLLSSSEAKKSNKASNTKKVGSTGGSLRDKLISEAPVMNWGSQLSNWDSTTEVRANYRGLELESHWGRVDQDAVIPAEKIAELNLQATVYREERTETPPCRAPLKKGGLCQRRDLRVCPFHGPIVPRDDEGKPIIQESPLDESINQTSSTTGTNQDMPMDETTSDSDPNQLARQIAKQALKNIREKDKEVMRKRAKLVKVKVKEHNQEVLRDAAIASTSRSNVIDEEFDRVFAARKNKKQTFSSMRRKKTTAKDRISQRLLSNRVKSTNPQQLGQGNDEKCRETSANQW >fgenesh1_pm.C_scaffold_5002164 pep chromosome:v.1.0:5:20491702:20493017:1 gene:fgenesh1_pm.C_scaffold_5002164 transcript:fgenesh1_pm.C_scaffold_5002164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7LSP5] MKMIHMTTMKYAFWILLVFSISMASCSMIPSLGGPQIGINYGLYSSNLEDSPVIGINYGRYGSNLPQPEAIPSLVNSLSIKHVKTFDLDPRITKSFANTGITLSLCIPNDKIPSLATNLSQAESIIRNFILPYHKNTIITTISVGNEVSLLPQFSNHLVSAMVNVHKAIKRYRLHKKIKVSTTHSLAILSRRFPPSTAIFHQSIGDSVLKPLIRFLQRTNSPLMVNVYPYLAYKQSFPSIPLDFALFQPMNSPKRRRYIDPYTGVAYTNLFDIMLDSVDSAVKSLGLPKIPVVVSEIGWPSSGDPGETAANLENARVFNQRLVEHLRRRWNKVPVYIFALFDEDQKTGAAVEKHWGLLHGNGSRKYDLNFSPPI >fgenesh1_pm.C_scaffold_5002174 pep chromosome:v.1.0:5:20559915:20560460:1 gene:fgenesh1_pm.C_scaffold_5002174 transcript:fgenesh1_pm.C_scaffold_5002174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7LSQ6] MGSGEKIFKSVHSNRSNNVKSNSKQGIKDVPKGCLAIKVGSKEEEKQRFVVPVFYVNHPLFMQLLREAEEEYGFEQKGTITIPCHVEVFRYVQDMINRERSLDDDDDASKQKGIKICLCGAKHGLTHKIRSRSSSGVWK >fgenesh1_pm.C_scaffold_5002201 pep chromosome:v.1.0:5:20735017:20736637:-1 gene:fgenesh1_pm.C_scaffold_5002201 transcript:fgenesh1_pm.C_scaffold_5002201 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGCCREDDLPGAADYGAHNMTKQSGGNDGRRNGSETAQKGAQSVKVQPIEVAAILAAELIEATNDFGTNSLIGEGSYARVYHGVLKNGQRASVKKLDSNKQPDEEFLAQVSMVSRLKHANFIELLGYSVDGNTRILVFEFAQNGSLHDILHGRKGVKGAKPGPLLSWHQRVKIAVGAARGLEYLHEKANPHVIHRDIKSSNVLIFDNDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKQCVDSRLGGDYPPKAVAKLAAVAALCVQYEADFRPNMSIVVKALQPLLNARTGPAGEGAP >fgenesh1_pm.C_scaffold_5002211 pep chromosome:v.1.0:5:20773562:20775653:-1 gene:fgenesh1_pm.C_scaffold_5002211 transcript:fgenesh1_pm.C_scaffold_5002211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle (CCHC-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7LSW2] MESTRSDPELDDDFSEIYKEYTGPASTVTNNTIQDKDKPIKQRSEERCDEEEQLPDPNSVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQEFFERVPARDNNVRVLFTEQVIESIERETGCKIKMDEKFIIVSGKDRLILRKGVDAVHKVKEDGEMKSSSVSHRSRSRSPRRTSVGPPRARNSEPQRQHPPSHGSSSFPERSGRQDKFVDNRVREENRIRENQRNVSRGSPQAYGSDRARSRSTHSKSPGRPRYSGWDKPYDRQKSEVSGYRSERWDQERMGGSSDIQVRHQFERPPFPQTLEELELEYTRDAMELEKKCDKEEDEEKIKHRETIRELRESYMKKLAGLRGMNAKQWDEFLQLDAQRRQQQARQQNSGLNYGNYRQFPPYAEFDDGYSSNPPPYGGNNVPMDSKRRYPNHGDNYSSRHQDNNYGGFQRQRREDYGKAYNRY >fgenesh1_pm.C_scaffold_5002237 pep chromosome:v.1.0:5:20882595:20883810:1 gene:fgenesh1_pm.C_scaffold_5002237 transcript:fgenesh1_pm.C_scaffold_5002237 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRASLAICLILSLVTIATADYYSPSSPPVYKSPEHKPTLPSPVYTPPAYNPTLPPPVYTPPPTIPPPVYTPPVYKPTLSPPVYTKPTIPPPVYTPPVYKPTLSPPVYTKPTIPPPVYTPPVYKPTLSPPVYKKSPSYSSPPPPYVPEPTYTPPTKPYVPEILKAVDGIILCKNGYETYPILGAKVEIVCSYPASYGKSKNEVVIYSDPTDSKGYFHVSLTNIKDLAYCRVKLYFSPVETCKNPTNVNKGLTGVPLSLYAYRFYSDKNLKLFSVGPFYYTGPKAAPATPKY >fgenesh1_pm.C_scaffold_5002266 pep chromosome:v.1.0:5:21004687:21005061:1 gene:fgenesh1_pm.C_scaffold_5002266 transcript:fgenesh1_pm.C_scaffold_5002266 gene_biotype:protein_coding transcript_biotype:protein_coding MNMTKNNVVEVSPFLFMEASADSETTHQERGHDGDDVKGREECGEDAESCRCETSTSQRTSRSTDFDPVEEEADVAGENEDDDEEDGEVNSYGRDGEKLTVDSSSRLMSEIEKSRMFWEACLAS >fgenesh1_pm.C_scaffold_5002269 pep chromosome:v.1.0:5:21020203:21020835:1 gene:fgenesh1_pm.C_scaffold_5002269 transcript:fgenesh1_pm.C_scaffold_5002269 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMTSSSTILTGEDDEEHRTPSSAEDDTPTHSHRRRWSTPVNSGGESLQSFSVSRSLSDHENPDDECSREHRGVSGVNLDEYFSCSSENNSYSFYLGGKISPWGPYGPPLLTESSRSNAPSPRGEERRGRSVTKKRDLPPFLTTLDCNGRPRFHHRRVRSEGRLEIASVAVDSPEIVSVRGREGLRIGTVRISQQNDEGEDDDDEKQNH >fgenesh1_pm.C_scaffold_5002277 pep chromosome:v.1.0:5:21039575:21040618:1 gene:fgenesh1_pm.C_scaffold_5002277 transcript:fgenesh1_pm.C_scaffold_5002277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LTD1] MGASISSSVSEKSIHQFTVKDSSGKEVDLSVYQGKVLLVVNVASKCGFTESNYTQLTELYRKYKDQGFVILAFPCNQFLYQEPGTSQDAHQFACTRFKAEYPVFQKVRVNGQNAAPVYKFLKSKKPSFLGSRIKWNFTKFLVGKDGQVIDRYGTTVPPLSIQKDIEKALAQVL >fgenesh1_pm.C_scaffold_5002289 pep chromosome:v.1.0:5:21098701:21099593:-1 gene:fgenesh1_pm.C_scaffold_5002289 transcript:fgenesh1_pm.C_scaffold_5002289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of aba-regulated dormancy 1 [Source:UniProtKB/TrEMBL;Acc:D7LTE7] MLRNKPRAAVTKKQTSLLMADQPPSSKPSTCHCSSSLFCSPKFRFFTSKMMSTPFDSDFSLVSPTSILEANPSIFSSKIPKPVSYFEPTIPNPQRFHTADVFGLADLVKYADSNRDHSRKPVNKMVLFGSKLRVQIPSADFGTKTGMRYPGHGQGQLSPCVQTKVLAVSEIDHTEDYTRVISHGPNPTITHIFDNSVFVEATPFCSVPLHPPPAMETKRTDSFLSYCYTCKKNLDQKQDIYIYRGEKGFCSSECRYQEMLLDQMET >fgenesh1_pm.C_scaffold_5002304 pep chromosome:v.1.0:5:21153475:21153827:-1 gene:fgenesh1_pm.C_scaffold_5002304 transcript:fgenesh1_pm.C_scaffold_5002304 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTISFSAIILVFLLVSTGLMEQGDAQAQRCQWECKLLPNFPCWLKGAGEGLCDNLCKYEGAISGVCVSDPHRCLCRNPKPGCS >fgenesh1_pm.C_scaffold_5002305 pep chromosome:v.1.0:5:21154273:21158242:1 gene:fgenesh1_pm.C_scaffold_5002305 transcript:fgenesh1_pm.C_scaffold_5002305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LTQ4] MGFCERKVCGNLRLLSKNSPGSSNTMYSASAISLPTFRLHCSIPTELSSCRPKLSRIQTNPSIGKPVQVPSPNLASFDGGLNEAFQRLDVNGNNSPIEAYAYLLELCGKSRALSQEKVFDEMRDRTAFAWNALIGAYVSNGEPASALFIYRNMRVEGVPLDLYSFPVLLKACGKLRDIRSGTELHCMLVKLGFNSTGFIVNALVSMYAKTDHLSAAKRLFDASQEKGDAVLWNSILSSYSTSGKSLETLQLFREMQMTGPASNSYTIVSALTACEGFSYAKLGKEIHAAVLKSTHSFEVYVCNALIAMYARCGKMLEAGRILRLMNNADVVTWNSLIKGYVQNLMYKEALQFFCDMIAAGHKPDEVSLTSVIAASGRLSNLLAGMELHAYVIKHGWDSNLLVGNTLIDMYSKCNLTCYMGRAFLMMHEKDLISWTTIIAGYALNDCHVEALQLFRDVAKKRMEIDEMMLGSILRACSVLKSMLIVKEIHCHILRKGLIDTVIQNELVDVYGKCRNMGYASRVFESIKGKDVVSWTSMISSSALNGNENEAVELFRRMAETGLLADSVALLCILSAAASLSALKKGREIHGYLLRKGFCLEGSIAVAVVDMYACCGDLQSAKAVFDRIERKGLLQYTSMINAYGMHGCGKASVELFNKMRHENVSPDHISFLALLYACSHAGLLDEGRRFLKIMELEYKLEPWPEHYVCLVDMLGRANCVVEAFEFVKMMKTEPTTEVWCALLAACRSHSEKEIGEIAAQRLLELEPKNPGNLVLVSNVFAEQGRWNDVEKVRAKMKASGMEKHPGCSWIEMDGKVHKFTARDKSHPETKEIYEKLSEVTRKLERESGYLADTKFILHNVDEGEKVQMLHGHSERLAIAYEGKERDSKGIQNTHFSFLFVIISAFKRTPTKPVDESFIDTPLSLCIQTQTTNCGPLDTWSQTKNGPWRKLLHGQDLKSGGGDIGMQGRGSNLFIALLIFCFFNSWCLSPFYPTISLNFQHLIYHAS >fgenesh1_pm.C_scaffold_5002307 pep chromosome:v.1.0:5:21163489:21164010:-1 gene:fgenesh1_pm.C_scaffold_5002307 transcript:fgenesh1_pm.C_scaffold_5002307 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDDDYSAAATTVVFERPIPLLRGPVPSGGSYVLAFRSLDSWSAAFKRCETLIKDQCQEGARIGCAVSASNNCKPPWWRGSGDMRERDKCEEREFQACVAASKGKCAAFAKDKCSGAFLDARISKEVEGMVCLASMPEHSRWRDLMGIGSLHLHTNNCCTARDLLLNHHHKP >fgenesh1_pm.C_scaffold_5002308 pep chromosome:v.1.0:5:21164587:21167936:1 gene:fgenesh1_pm.C_scaffold_5002308 transcript:fgenesh1_pm.C_scaffold_5002308 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKNPNVFLDVSIGGDPVQRIVIELFADVVPKTAENFRALCTGEAGVGKTTRKPLHFKGSSFHRVIKGFMAQGGDFSNGNGTGGESIYGGKFSDENFRLDHDGAGILSMANCGPNTNGSQFFILFKRQPHLDGKHVVFGKVVEGMAVIKNMELVGTSDGKPTSPVKIIDCGETSQIRSHDAAEREKGKSKKSNKGLSSGDISDRKKESKDKRIKRKRRYSSADSYSSSSDSDSDSDSETYSSSSYESSSSSDGKHRKRKSTKRHKGGRGERKIKGRNGKKKARRDRQPRRRSTYSSSDTESSSSSDDEKATKADNADQRGDLDDSLKSRSRSPIRRSNQNSRSKSPSKSPVRDLGNGSRSPREKPTEGTVGKSSRSPSPSGVPKRIRKGRGFTERYSFARKYHTPSPERSPPRHWHDRRSFQDRNMDRYPSNRSYSESSPRGRFRSPPRRRSPPRYNRRRRSTSRSPDGYHRRLRDGSRSQSPRHHSQSPRKRQPISQDLKSRLGPQKSPIRGGRTSPAGSLSPSHSSSPPGQRGLVSYAD >fgenesh1_pm.C_scaffold_5002321 pep chromosome:v.1.0:5:21210071:21211424:-1 gene:fgenesh1_pm.C_scaffold_5002321 transcript:fgenesh1_pm.C_scaffold_5002321 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDRPVEDAHYTETGFPYAATGSYIDFYGGASQGPLTYAHSGTMHPQDNLYWTMNSNAYKFGFSGSDNASFYGSYDMNDHLSRMSIGRTNWDYHPMVNVVDDPEITVTRSVQIGDTDEHSEAEECITNEHDPDSPQVSWQDDIDPDTMTYEELVELGEAVGTESRGLSQELIETLPTRKYKFGSIFSRKRAGERCVICQLKYKIGDRQMNLPCKHVYHSECISKWLSINKVCPVCNSEVFGEPSIH >fgenesh1_pm.C_scaffold_5002324 pep chromosome:v.1.0:5:21217681:21219165:-1 gene:fgenesh1_pm.C_scaffold_5002324 transcript:fgenesh1_pm.C_scaffold_5002324 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LTS3] MESCGRSSLSVIASRTFRLPSSGRLFPSLSLTHLKRKLSLSITSFSSKIHSPLCESDKGNPLPRGAGEGVKEDARSKLLQVVLVSPQIPGNTGCIARTCAASAVGLHLVGPLGFQVDDARVKRAGLDYWPFVVVRAHSSWAEFQEYFRQQEGEKRMIAFTKRGTRIHSDFSYRPGDYLLFGSETCGLPPEALSDCKHEPYGGGTLRIPMVETYVRCLNLSVSVGIALYEASRQLNYEQIECAPEGCVNGEEPLLTEDIFA >fgenesh1_pm.C_scaffold_558000002 pep scaffold:JGI8X:scaffold_558:3813:6061:-1 gene:fgenesh1_pm.C_scaffold_558000002 transcript:fgenesh1_pm.C_scaffold_558000002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione-s-transferase [Source:UniProtKB/TrEMBL;Acc:D7MXS7] MAERSESEEVKLLGMWASPFSRRIEIALTLKGVSYEFSEEDITNKSSLLLQLNPVYKMIPVLVHNGKPISESLVILEYIDETWRDNPILPQDPYEKAMARFWAKFVDEQIYVTAMKVVGKIGEERDAVVEATRDLLMFLEKELVGKDFFGGRSLGFVDIVATLVAFWLMRTEEIVGVKVVPVEKFPEIHRWVKNLLGNDVIKKCIPPEDEHLQYIRARSCVLSLGFV >fgenesh1_pm.C_scaffold_60000002 pep scaffold:JGI8X:scaffold_60:38479:40432:1 gene:fgenesh1_pm.C_scaffold_60000002 transcript:fgenesh1_pm.C_scaffold_60000002 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNVNEYEAIAKEKLPKMVFDYYASGAEDQWTLQENRNAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMVAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRRESDIKNRFTLPPYLTLKNFEGLDLGKMDEANDSGLASYVAGQIDRTLSWKDVQWLQTITKLPILVKGVLTAEDARMAVQAGAAGIIVSNHGARQLDYVPATIIALEEVVKAAQGKIPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMMREEFELTMALSGCTSLKEITRNHIITDWDAPQARLVPKL >fgenesh1_pm.C_scaffold_6000014 pep chromosome:v.1.0:6:54324:54701:-1 gene:fgenesh1_pm.C_scaffold_6000014 transcript:fgenesh1_pm.C_scaffold_6000014 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKLKVSVRANGFSLKLHLRNRISFQRVSILLRFRKQQHHHLKSKHSDCGRCLGSLLALFRRRKRKKKLMELVWNRAVAKKARGVGVVVTLILVIIINYLVPSHSWISSESFRLDCYELFGSIK >fgenesh1_pm.C_scaffold_6000018 pep chromosome:v.1.0:6:61931:63378:-1 gene:fgenesh1_pm.C_scaffold_6000018 transcript:fgenesh1_pm.C_scaffold_6000018 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGDETRSSGNGSAIDESLLVDPKLLFIGSKIGEGAHGKVYQGRYGSQIVAIKVLHRGTKPDEKSSLESRFIREVNMMSRVQHDNLVKFIGACKDPLMVIVTELLPGMSLRKYLTSIRPHMLHLRIALSFALDIARALDCLHANGIIHRDLKPDNLLLTENHKSVKLADFGLAREETVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQQRPGMPEGISPSLAFIVQSCWVEDPNMRPSFSQIIRLLNEFLLTLTPPPETDTNRTNGRAITEFSSRAKGKFAFIRQLFAAKRNINS >fgenesh1_pm.C_scaffold_6000081 pep chromosome:v.1.0:6:388283:390275:1 gene:fgenesh1_pm.C_scaffold_6000081 transcript:fgenesh1_pm.C_scaffold_6000081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7M753] MEEDKDIYTQDGTLDIHKKPANKNKTGTWKACRFILGTECCERLAYYGMSTNLVNYLEKQMNMETVTASNSVTNWSGTCYATPLIGAFIADAYLGRYWTIASFVVIYISGMTLLTISASVPGLTPTCSGNSCHATASQTAVTFIALYLIALGTGGIKPCVSSFGADQFDDTDEKEKESKSSFFNWFYFVINVGAMIASSVLVWIQMNVGWGWGLGVPTVAMGVAVVFFFAGSKFYRLQKPGGSPLTRMLQVIVASCRKSKVKVPEDESLLYENQDAESSIIGSRKLEHTKILTFFDKAAVQTESDNKGAAKSSLWKLCTVTQVEELKALIRLLPIWATGIVFASVYSQMGTVFVLQGNTLDQHMGPNFKIPSASLSLFDTLSVLFWAPVYDKLIVPFARKYTGHERGFTQLQRIGIGLVISIFAMVSAGILEVARLNYVQTHNLYNEETIPMTIFWQVPQYFFVGCAEVFTFVGQLEFFYDQAPDAMRSLCSALSLTTVAFGNYLSTFLVTLITNITRSGGRPGWIAKNLNNGHLDYFFWLLAGLSFLNFLIFLWIAKWYTYKKTTGHAL >fgenesh1_pm.C_scaffold_6000091 pep chromosome:v.1.0:6:434045:434373:1 gene:fgenesh1_pm.C_scaffold_6000091 transcript:fgenesh1_pm.C_scaffold_6000091 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSFVFLLVVIILSFSLASSEMKLRLEDYNFPVDPTPTTKATIKPGPIEHGTPLNPYIPKPPSSSSPPPQQQPQDGG >fgenesh1_pm.C_scaffold_6000101 pep chromosome:v.1.0:6:484660:486348:1 gene:fgenesh1_pm.C_scaffold_6000101 transcript:fgenesh1_pm.C_scaffold_6000101 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-phospho-panto-thenoylcysteine synthetase [Source:UniProtKB/TrEMBL;Acc:D7M777] MEDEISSFFESSPPLKNMKETTENLNHFIELNSSGFSGGRRIVCVTSGGTTVPLEQRCVRYIDNFSSGNRGAASTENFVKAGYAVIFLYRRGTFQPYCRSLPDDPFLECFEFPDKTNIQVHKSHLEAVKMAVMDQQAAVAEGRLLKLPFSTIYEYLQMLRLIAMVLKDVGPYSMFYLAAAVSDFYVPWDSMTEHKIESGSGPLDIRLAQVPKMLSILRSNGFLHIIQDSKILIEKATKALRKYKVHAVVANELSTRKEEVVVVSSSGNVVVRREWDKPESIVEDNLIRLIVDRHSTYIKEYHS >fgenesh1_pm.C_scaffold_6000103 pep chromosome:v.1.0:6:490066:492291:1 gene:fgenesh1_pm.C_scaffold_6000103 transcript:fgenesh1_pm.C_scaffold_6000103 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDPKNGGGFFASLASSITNFGSAMSKSVNGLMGYEGLEVINPEGGTEDAEEEAGRGRWKQEERDGYWKMMQKYIGSDVTSMVTLPVIIFEPMTMLQKMAELMEYSHLLDMADKTEDPYMRMVYASSWAISVYYAYQRTWKPFNPILGETYEMTNHNGINFIAEQVCHHPPMSAGHAENEHFAYDCTSKLKTKFLGNSIDVYPVGRTRVTLKRDGVVLDLVPPLTKVHNLIFGRTWVDSPGEMVMTNLTTGDKVVLYFQPCGWFGSGRYEVDGYVYNSAEEPKMLMTGKWNESLSYQPCDGEGEPLPGTELKEVWKVAEAPKNDKFQYTHFAHKINSFDTAPKKLLSSDSRLRPDRYALEMGDMSKSGFEKSSLEDRQRAEKRSREEKGQRFAPKWFDETEEVTPTPWGDLEVYQFNGKYSVHRATADNPEDTTDVKVTQFNPWQFQDLSA >fgenesh1_pm.C_scaffold_6000114 pep chromosome:v.1.0:6:524918:525526:-1 gene:fgenesh1_pm.C_scaffold_6000114 transcript:fgenesh1_pm.C_scaffold_6000114 gene_biotype:protein_coding transcript_biotype:protein_coding MGIISSTVLKLVHISQNYNQVVDSSSGWQNQFEVFMKGDESLVKTFLPSTTVSLNEINEDEDEKFVEFMKGGGCKESYTAWHDDCNFTEEEAEKNKDLVTKCAGLFGKLSKCLDVHSDYYHPILAVRKTTEEHLEKELAAFFAEGS >fgenesh1_pm.C_scaffold_6000124 pep chromosome:v.1.0:6:584079:587064:-1 gene:fgenesh1_pm.C_scaffold_6000124 transcript:fgenesh1_pm.C_scaffold_6000124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 3R-5 [Source:UniProtKB/TrEMBL;Acc:D7M7S2] MSSSSNPAACSPEKEERSELKIEIQCMENKQPLAASCSSASEGSSCFFLKSPEIATPATVSSTPRRTSGPMRRAKGGWTPEEDETLRRAVDKYKGKRWKKIAEFFPERTEVQCLHRWQKVLNPELVKGPWTQEEDDKIVELVKKYGPAKWSVIAKSLPGRIGKQCRERWHNHLNPGIRKDAWTIEEESALMNSHRMYGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLEFYLATGNLPPPATKFSVLNDIGDGDRDSKQSSATKPFKDSDSVTQTSSGNTDSNEVGRDHFDSSSALLEEVAASRRIGVNEYACSPVEYKPQLPNLEPISEEVRINSKAYGERSIQRKEENGFGTPKHGSLYYKSPLDYYFPSEADLQHMYGYECGCSPGAATPVSLMTPPCNKDSGLAATRSPESFLREAARTFPNTPSIFRKRRKVVLAAKADDDVVNVGVKEVDQKEDSKDSSDISPAGRESLLLETSDDCRDDDKLEPNGNAFNVSPPYRLRAKRTAVIKSRQLEFTFAKEKHETETSELASEEDKPV >fgenesh1_pm.C_scaffold_6000163 pep chromosome:v.1.0:6:767139:769730:1 gene:fgenesh1_pm.C_scaffold_6000163 transcript:fgenesh1_pm.C_scaffold_6000163 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSNPVVAEVLPSETSTDATETTLTTTEAGEAPEKKVRKAYTITKSRESWTEGEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTLAHVPPPRPKRKAAHPYPQKASKNAQMSLHVSMSFPTQINNLPGYTSWDDDTSALLNIAVTGVIPPEDELELDTLCGAEGMRSHLYSVFVFCFVVVGSNGMISETSPSASGIGSSSRTLSDSKGLRVVKQAPSMHGLPDFAEVYNFIGSVFDPDSKGRMKKLKEMDPINFETVLLLMRNLTVNLSNPDFEPASEYVDAAEEGHEHLSS >fgenesh1_pm.C_scaffold_6000168 pep chromosome:v.1.0:6:788906:790201:-1 gene:fgenesh1_pm.C_scaffold_6000168 transcript:fgenesh1_pm.C_scaffold_6000168 gene_biotype:protein_coding transcript_biotype:protein_coding METKIPKSMIAGVQSVMPVEVTRHREIRSISVVDPVGVGIFRRTLNIVTYYKEACDSGDERGWLAAGWIKESLGRALTEQPMLSGRLRRRTKSAEDGLELVANDSGIRMVEATFPASLPDFLEMVKRDKSRAEAETVFWKDIDEDEPQYSPLFYVQVTNFESGGYSIGISCSILIADLLLGTDFLTKWAQIQSSLAHSQTTLKPIFHLPSLKQDFGNFLIEFSRSASVLDRGDPVAFQAKTCLNISPACIGTRKRTRGDVFLFIKEQDGGENSTGCDGMKVQIHSSDEVINGCDCGSDLEETNDGVLDKSLTFGERLEVTSCWVGCVSKGVVFVFPSIFEDAKSLAKFIVALPKE >fgenesh1_pm.C_scaffold_6000172 pep chromosome:v.1.0:6:804252:809174:-1 gene:fgenesh1_pm.C_scaffold_6000172 transcript:fgenesh1_pm.C_scaffold_6000172 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVQLITWKPLILPAQAFRRDRFASFNRSLSLHTLPLGGIKSFSIRGTFKVKSQKTGDTEPPNQNFKDFNSKSLPYKLVIGCIPLYAVLRIAQKIFQELPNLFQNSVKAGLPFACASNSIDKHPLLKAIPSSHDIKWGLARSSYLFNTQLEKNLGTVFVVLLITCFSFVIIGGFFFFKFRKDTSLEDCLWEAWACLVNSDTHLEQKTRFERLIGFVLAIWGIVFYSRLLSTMTEQFRYHMKKVREGAHMQVLESDHIIICGINSHLPFILKQLNSYQQHAVRLGTATARKQTLLLMSDTPRKEMDKLAEAYAKDFDQLDILTKSCSLTMTKSFERAAACMARAIIILPTKGDRYEVDTDAFLSVLALEPIQKMESIPTIVEVSSSNTYDLLKSISGLKVEPVENSTSKLFVQCSRQKDLIKIYKHLLNYSKNVFNLCSFPNLTGIKYRQLRLGFQEVVVCGLLRDGKVNFHPNDDEKLMETDKLLFLAPLKKEFLYTDMKTENMTVDETDDTRKQVFEEKKSRLEKIVTRPSKSLSKVSDSFKGPKESILLLGWRGDVVNMIKEFDNYLGPGSSLEILSDVPLEDRRGVDKSIGSGKIKNIQVSHSVGNHMDYDTLKESIMNMQSKHEKGEEDIRLTIIVISDRDLLLGDPSKADKQSAYSLLLAETICNKLGVKVHNLASEIVDTKLGKQITRLKPSLTFIAAEEVMSLVTAQVAENSELNEVWKDILDAEGDEIYVKDIELYMKEGENPSFTELSERAWLRREVAIGYIKSGKKVINPIPKTDPLSLEVGDSLIVISELEGDQIITL >fgenesh1_pm.C_scaffold_6000173 pep chromosome:v.1.0:6:810538:812496:1 gene:fgenesh1_pm.C_scaffold_6000173 transcript:fgenesh1_pm.C_scaffold_6000173 gene_biotype:protein_coding transcript_biotype:protein_coding description:PWWP domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M7X9] MSTEPEGVESDSNTDFSVNASRFDNGVAHTSETLADPMSFQAQDLVVKLTEVERKGFVSARGDKDSLCNGVDYDAESGDFAGSDLLKSKDKNGFADENLKLFDSDLVWAKIRSYPWWPGQVIDASVASKAAKKHFKKKGNLLVAYFGDCTFAWNNASQVKPFHQNFSQMQEQSNLAEFRDAIDCALDEVSRRVEFGLSCSCVSVEAYNKLKTQNIINAGIREDSRVRYGGDKLSDAISFEPAKLMDYMKRLACFPSYDATAKLQFVINRAQVLAFQQWKDYSPFIDYETFLRSVESAATLASLPEANMHEGISFKKRKTYYKDNAEQTKEKALSDLIVKKRCGSRSTEKLDGKSHSEKKRKVESSESGKSEKKIKNNQQKEDSVCDANKLQKVAEPSHGIGVENDMNSLTPTLKPCSDSKSTEVENEKTKKPRPEELAERKISSPDEMLSSLHAATPTGVPDSISIDPLNYIDFEQFINELSCSKLNDDSKKASITETSEPCVQKDSAEEILPANKEITGSGSKEQTGLKDCSADSSPYALVLNFADSGLVPSEEKLNEIFNRYGPLHESKTKVTKKGKRAKVVFKRGEDAKTAFSSAGKYSIFGPSLLSYRLEYVCPKAKKSNNMTD >fgenesh1_pm.C_scaffold_6000177 pep chromosome:v.1.0:6:820710:821873:1 gene:fgenesh1_pm.C_scaffold_6000177 transcript:fgenesh1_pm.C_scaffold_6000177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M7Y3] MFASCLEIVFFLAGRHNSQFSSSPDENETKKSSDTPPTVFLSLPDEIILNCLARVSRFYRPCLSLVNKDFQSLIASPDLEATRSRIGVTEKYLYVCLESNKNNPNPRWFTLAPIPKQQKLKPIPLFPYRHPTSSTVVSIGSEIYIIGGFVKGRRSQRVLVLDCRSHQCRRLPNMHQPRVSAAVDVIDGKIYVIGGYKSNNIDNWGEVYDPKTHTWEPILPTTLDLTTQKSVVPGSLVMGGKVYGMVGLKVNLNPNICLVEIDNMMCQISVCKGILLWYDSEEDLEWSRSVVFGRLGLNWTKECKTEIWCAEISLERRGFGELWGFVEWSNNVFTYDGCDSPSDFFLHSAFVTY >fgenesh1_pm.C_scaffold_6000180 pep chromosome:v.1.0:6:836823:837499:-1 gene:fgenesh1_pm.C_scaffold_6000180 transcript:fgenesh1_pm.C_scaffold_6000180 gene_biotype:protein_coding transcript_biotype:protein_coding MNTEMEEDAGNGGFRKRMRASDEDGKGDSRDGISLDNTIENEETDTKLVASDEMELNIAQILDKIESFTQTVSNLLETGKTMFKELSNEFEERLIMIHKEHVEKWQEEIKELRLLDASNEETTSLLHNARYLIQNPSIEQ >fgenesh1_pm.C_scaffold_6000248 pep chromosome:v.1.0:6:1174648:1176988:1 gene:fgenesh1_pm.C_scaffold_6000248 transcript:fgenesh1_pm.C_scaffold_6000248 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGVFVYRLYRVLTYGVSPLINLHIRWRRLRGLEPCRRWPERFGHPSAVRPPGSLVWFHAVSLGEGMAAIPVIRHCNERRPDLTILMTTTTVSAFEVIKDQLPVGVLHQFAPLDTPVAIDRFLGHWKPNAIIIMENELWPNLIMAASGLLIPLAMLNARMSTKSFKRWSSPLLLPLVSLLLSKFSLIAPLSTLQGIHFQLLHAPPFVINYSGDLKYVVNKFNASSGTSESIRDLKVELSEMKVWIASSLHRGEEEVILGVHNMLLESHPDSVVIIVPRHPHHGQQIAHKLRKDGQSVALRSQNEKLTSRKTNIYVVDTLGELRELYSVAPIAVIGGSFIPGLTGHNLSEAAAAGCAVITGCHVGHFSHMVKAMQQSNPLSVTQVSTKLELKEAVDLLLSNPEILETQQRASKEVYESLSSCIITNIWNLLNLHIFRGK >fgenesh1_pm.C_scaffold_6000249 pep chromosome:v.1.0:6:1184591:1185884:1 gene:fgenesh1_pm.C_scaffold_6000249 transcript:fgenesh1_pm.C_scaffold_6000249 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSTNSNVENVRVAFMPPPWPESSSFNSLHSFNFDPYAAGNSYTPGDTQTGPVISVPESEKIMNAYRFPNNNNNEMIKKKRLTSGQLASLERSFQEDIKLDSDRKVKLSQELGLQPRQIAVWFQNRRARWKAKQLEQLYDSLRQEYDVVFREKQMLHEEVKKLRAILRDQGLIKKQISAGTIKVSGEEDTTEVSSVVVAHPRTENLNTNQITGGNQVYGQYNNPMLVASSGWLSYP >fgenesh1_pm.C_scaffold_6000255 pep chromosome:v.1.0:6:1218659:1218853:-1 gene:fgenesh1_pm.C_scaffold_6000255 transcript:fgenesh1_pm.C_scaffold_6000255 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQIKHAVVVKVMGRTGSRGQVTQVRVKFTDSDRYIMRNVKGPVREGDILTLLESEREARRLR >fgenesh1_pm.C_scaffold_6000269 pep chromosome:v.1.0:6:1276527:1277180:-1 gene:fgenesh1_pm.C_scaffold_6000269 transcript:fgenesh1_pm.C_scaffold_6000269 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSVADESNHSEIGAGKVKESRVISPGGSKIEERQIHGVTNENDMSQGKRDQPRGEVNMEASISAEDVIRAGGFGAKDDIGSFLPVASDSTDFEESLRSARDYEETQPEVQRPGLGYPKE >fgenesh1_pm.C_scaffold_6000274 pep chromosome:v.1.0:6:1295916:1296493:-1 gene:fgenesh1_pm.C_scaffold_6000274 transcript:fgenesh1_pm.C_scaffold_6000274 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILICFLFLFSISIQKIDSILTFRHYNIEISNHLASNKLLMINCRSGKDKGTKVEFLPVNDVWTIKFTVYPRTLIWCNLWMGPNYVHHVRFNAFLGKESFIHDICGGRKPNVCFWQAQEDGIYVRNNAAGTFKLMYKWDTINKLETSFQ >fgenesh1_pm.C_scaffold_6000289 pep chromosome:v.1.0:6:1365481:1368288:-1 gene:fgenesh1_pm.C_scaffold_6000289 transcript:fgenesh1_pm.C_scaffold_6000289 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFTSVLGIIGFVIGIPIGLILGFFVLIYSQPTHQEYPPARPLVETSISVLLDLLPEIPLWMKNPDYERVDWFNKFISYMWPYLDNAVCGIIRSSAQLIFADFVGTFCIESIEFENLSLGPLPPTVHGKSLSHLALVISYRCFQCVKFYETNEKELLFEPSIKWAGNPNIVLVLKVLSLRIRVQLVDLQFFAIVRVALKPLLPTFPCFGMVVVSLMEKPHVDFGLKVLGGDVMSIPGLYRYVQETIKRQVSSMYHWPQVLEIPILDASTASVKKPVGLLHVNIIRARNLLKKDLLGTSDPYVKLSLTGEKLPAKKTTIKKRNLNPEWNEHFKLIVKDPKSQVLQLEVFDWDKVGGHDRLGMQLIPLQKINPGEKKAFNLDLIKNSNVVMDSGDKKKRGRLELDLRYVPFREESLKSRNKSQDEYQRKESRDEKSSEDDDFLSQAGLLSVAVQSAKDVEGKKKHSNPYAVVLFRGEKKKTKMLKKTRDPRWNEEFQFTLEEPPVKESIRVEVMSKGTGFHFRSKEELGHVDINLGDVVDNGRINQKYHLINSRNGIIHIEIRWTTS >fgenesh1_pm.C_scaffold_6000312 pep chromosome:v.1.0:6:1507338:1509275:1 gene:fgenesh1_pm.C_scaffold_6000312 transcript:fgenesh1_pm.C_scaffold_6000312 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPLSPISHQLCRLGNNALTTHRFCSPSFLISSPCFIGLTGMGSAIQLRARRSLISSAVATNSMLHDVGATVAVLGGAYALVLSFESLTKRNVIQQSLSRKLVHILSGLLFVLAWPIFSGSTEARYFAAFVPLVNGLRLVINGLSVSPNSTLIKSVTREGRPEELLKGPLFYVLALLISAIFFWRESPIGMISLAMMCGGDGIADIMGRKFGSAKIPYNPRKSWAGSISMFIFGFFISIALLYYYSSLGYLHMNWETTFQRVAMISMVATVVESLPITDQLDDNVSVPLATILAAYLSFGY >fgenesh1_pm.C_scaffold_6000327 pep chromosome:v.1.0:6:1609141:1611702:-1 gene:fgenesh1_pm.C_scaffold_6000327 transcript:fgenesh1_pm.C_scaffold_6000327 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSNYLCATLKETFRRSPFKFANTKVPHAGLGDSISPIKEVPEEEEVPNDPVNIQIPFIESALSASRLRGKNTNVYYEYIQLSQGISQGRLEVVKDFLNHHPDSVDEWINLYETPLLKACACGKPEIVKELLRRMTPEQMLPKMSQNASYHTPLTVVAVSGNMEIAEALVAKNPKLLEIPGINGQIPVVVAVENTQMEMARYLYSRTPVQVLLDQDGYHGSLLFLNAIFYKMLDIALDLFNMSRRLAVTKHLQIESIPIIVLASKPDLFPGGCYHGPLTRFIYSWIQVKLPTLPQPSRLNRDHQNTLMGRLLKGLSKWTGIDEVYRLKVMHLQAKKLLRGISEETLALGLKERSETVDEALLFAVRYGNVDFLVEMIKNNSELLWSTRTSSSSTLFLLAVEFRQEKVFSLLYGLDDRKYLLLADKDCDGNGVLHLAGFPSPPSKLSSVVGAPLQLQRELQWFKEVERIAPEIEKERVNTEEQTPIEIFTKEHQGLRQEAEKWMKDTAMSCSLVAALIVTVTFAAVFTVPGGTDDNSKGKPFHLDDRRFIIFIVSDLISCFASCTSVLIFLGILTARYSFDDFLVFLPTKMIAGLSILFVSIAAMLIAFSSALFTMMGKEGKWIVAPTILFACLPALLFVLLQYPLLKEMIFSTYGKGIFNRNMKCWA >fgenesh1_pm.C_scaffold_6000341 pep chromosome:v.1.0:6:1669760:1671302:-1 gene:fgenesh1_pm.C_scaffold_6000341 transcript:fgenesh1_pm.C_scaffold_6000341 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:UniProtKB/TrEMBL;Acc:D7LY65] MKRIFGAKNNKEPPPSIQDASDRINKRGDSVEDKVKRLDAELCKYKDQIKRTRPGPAQEAIKARAIRVLKQKKMYEGQRDMLYNQTFNLDQVSFAAESLKDAQQTMTALKSANKELKGMMKTVKIQDIDNLQDDMMDLMDESSEIHETLGRSYNVPDDIDEDDLLGELDALEADMENETEADGVPSYLQPDKEPDLNDELNLPPAPMGHTGAPPGRAQAEDEWGLPAVPRASLRG >fgenesh1_pm.C_scaffold_6000361 pep chromosome:v.1.0:6:1814429:1816020:1 gene:fgenesh1_pm.C_scaffold_6000361 transcript:fgenesh1_pm.C_scaffold_6000361 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LY99] MQRERMSKKKISQVHCIPSGDHILMTASSSKHISHIRFYKAWKGNNRFCCGGRLIFGPDVSSLFLTSFLIGAPALTFCIRMLLWIKNGDPFFNYTVLASGFILTILDFTFLLLTSARDPGIIPRNKTSMNLEDDSDSSLTQSMEWVNNKTPNLKIPRTKDVFVNGYTIKVKFCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIARRNYPFFICFISSSTLLCIYVFVFSWINLIRQPGKLWRTMSYDIVSVILIVYSFVAVWFVGGLTIFHFYLMSTNQTTYENFRYRYDKKENPYKRGLLKNVKEVLFAKIPPSQLDLRAMVPEEDDMTIASNGSEYESEYSSSVRYDTEMGGKLTKRESPRKLPLPTRNLDDIKEISDNYDRSTTTRDDASERDPSFFSSQLDLPK >fgenesh1_pm.C_scaffold_6000366 pep chromosome:v.1.0:6:1849341:1849955:1 gene:fgenesh1_pm.C_scaffold_6000366 transcript:fgenesh1_pm.C_scaffold_6000366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LYA9] MLSSDSNYASDISDNASATGSIENPMYKCKYCPRKFDKTQALGGHQNAHKKERGVKKQHEAFLAHLNQPKPDLYLYSYLHPHSFPNQYALSPGFEQRRYKVDRIDNMSMVYNQYMGSSSSGFLGLRSDPSQRMDRGSTFNGISSQTQPQPQPLSSASSPVCLDLCLGVGSSQTQPQPEEPNDATEEMDAKKENDGSSLSLSLKL >fgenesh1_pm.C_scaffold_6000370 pep chromosome:v.1.0:6:1866551:1868562:1 gene:fgenesh1_pm.C_scaffold_6000370 transcript:fgenesh1_pm.C_scaffold_6000370 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHTTLAAASFFFLLLAATAVLVSADLASDEEALLNFAASVPHPPKLNWNKNFSLCSSWIGITCDDSNPTSRVVAVRLPGVGLYGSIPPATLGKLDALKVLSLRSNSLFGTLPSDILSLPSLQYLYLQHNNFSGELTNSLPSISKHLVVLDLSYNSLSGNIPSGIRNLSQITVLYLQNNSFDGPIDSLDLPSVKVVNFSYNNLSGPIPEHFKGSPENSFIGNSLLRGLPLNPCSGKAISPSSNLPRPLTENLHPVRRRQSKAYIIAIIVGCSVAVLFLGIVFLVCLVKRTKKEEGGEGRRTQIGGVNSKKPQDFGSGVQDPEKNKLFFFERCNYNFDLEDLLKASAEVLGKGSFGTAYKAVLEDTTAVVVKRLREVVASKKEFEQQMEVVGKINQHSNFVPLLAYYYSKDEKLLVYKYMTKGSLFGIMHGNRGDRGVDWETRMKIATGTSKAISYLHSLKFVHGDIKSSNILLTEDLEPCLSDTSLVTLFNLPTHTPRTIGYNAPEVIETRRVSQRSDVYSFGVVILEMLTGKTPLTQPGLEDERVVIDLPRWVRSVVREEWTAEVFDVELLKFQNIEEEMVQMLQLALACVARNPESRPKMEEVARMIEDVRRCDQSPQLQQNITSSEATSNVSE >fgenesh1_pm.C_scaffold_6000377 pep chromosome:v.1.0:6:1895719:1897959:-1 gene:fgenesh1_pm.C_scaffold_6000377 transcript:fgenesh1_pm.C_scaffold_6000377 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHDNVSGGFSPIPRSDLKIGGAELDERLKLSKIQPVTPTLNSGGTASKDIEKANEMSQVGKVSESFVKEGMTNKTQLLGQKVKSSSSKLVLNADVDCDKRALLKRCDNADAVSSCLNDDLTSVCSSRRSQKTSSMDVYSECGSSNGPVAKKDPMKVWTEMKQNGYLSNPHGGISTTSSSCLVSSSHGGIPAPRKRGRKKNNIDAAMAKKRKIEIARKEEVDRFARLAAPSGLLNELNPGIINHVRNKKQVLSIIENIVKSERDAGNFYHSTLRHSNSAVGSPRKNLGDVNTDAFGSDFNQGFKYAMPKGKYSMRYYDEKCADDELSEENNTVRSRFHVAGKFSENASSLSSEDASDLNSASVLTVNAATVASQWLELLHQDLKGRVSALRRSKKRVRAVVTTELPFLITKEFPPDQENDPTLLFDGASRASTVDVHKTRWMTLFKQLEHKLSEEESQLFVNFENIQESWLNQVRYLQSHCDQGLQHLSLSSGQNFLHLGMPLDSRYGHFTTYSSHYHFLLFKLCCRAVNALMSDKDLVIKAAAASIFSTCSFLEENITCS >fgenesh1_pm.C_scaffold_6000379 pep chromosome:v.1.0:6:1901830:1903887:-1 gene:fgenesh1_pm.C_scaffold_6000379 transcript:fgenesh1_pm.C_scaffold_6000379 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP79A2 [Source:UniProtKB/TrEMBL;Acc:D7LYC4] MLDSTPLLAFILGLLLLALTMKHKEKKKTMLMTRHTRNLSLPPGPKSWPLIGNLPDILGRNRPVFRWIHSLMKELNTDIACIRLANTHVIPVTSPRIAREILKKQDSVFATRPLTMGTEYCSRGYLTVAVEPQGEQWKMMRRVVASHVTSKKSFQLTLQKRTEEADNFVRYINNRSVKNRGNGNGFVVIDLRLVVRQYSGNVARKMMFGIRHFGNGSEDGSGPGFEEIEHVESLFTVLTHLYAFALSDYVPWLRFLDLEGHEKIVSDAMRKVSKYNDPFVDERLMQWRNGNMKEPQDFLDMFIMAKDTDGKPTLSDEEIKAQVTELMLATVDNPSNAAEWAMAEMINEPSIMQKAVEEIDRVVGKDRLVLESDLPNLNYVKACVKEAFRLHPVAPFNLPHMSTADAVVDGYFIPKGSHVLISRMGIGRNPSVWDKPLKFDPERHLFNNIGVDPNEPDLNIISFSAGRRGCMGVDIGSAMTYMLLARLIQGFTWSPVPGESKIDISESKSDLFMAKPLHAVATPRLAPHVYPT >fgenesh1_pm.C_scaffold_6000389 pep chromosome:v.1.0:6:1947679:1952190:1 gene:fgenesh1_pm.C_scaffold_6000389 transcript:fgenesh1_pm.C_scaffold_6000389 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRGLTEAVSLGITHISIYCDHYHIFKLVTGSSGPEKDSIALLMNDVQRTRQQLTSSTPLLVTGDQTNKVAYKLASETLVSEISIRDMRQII >fgenesh1_pm.C_scaffold_6000390 pep chromosome:v.1.0:6:1955579:1956512:1 gene:fgenesh1_pm.C_scaffold_6000390 transcript:fgenesh1_pm.C_scaffold_6000390 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSMVRFAEFAIRLSSENPTRPHRPSPPLRNKVFVKKTTDTTHLDYSNLVKLEKAGSHSGSNPAPASGSDPINRVPLAQVVEDCVRRWFQDTLKEAKSGDVGMQVLVGQMYCSGYGIPKDENKGRAWINKASRTRSSAWQVIMQAIQIPMIRKIDDHLSNVCIASDFDLLVSISFV >fgenesh1_pm.C_scaffold_6000391 pep chromosome:v.1.0:6:1957442:1958012:1 gene:fgenesh1_pm.C_scaffold_6000391 transcript:fgenesh1_pm.C_scaffold_6000391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7LYD7] MANVVELKVNLHCDECIRKILKAIKKIEDIETYDVDTQLNKVTVTGNVTEEQVIRVLQKVRKAAVKWDQDNQTLFAN >fgenesh1_pm.C_scaffold_6000395 pep chromosome:v.1.0:6:1973352:1973963:1 gene:fgenesh1_pm.C_scaffold_6000395 transcript:fgenesh1_pm.C_scaffold_6000395 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPVQLQHGSDATNGFHTLQPRDQNDGPIRRVCLTRGMHVPEHVAMHHTHDVGPDQCCSSVVQMIHAPPESVWALVRRFDNPKVYKNFIRQCRIVQGDGLHVGDLREVMVVSGLPAVSSTERLEILDEERHVISFSVVGGDHRLKNYRSVTTLHAADDEGTVVVESYIVDVPPGNTEEETLSFVDTIVRCNLQSLARSTNRQ >fgenesh1_pm.C_scaffold_6000400 pep chromosome:v.1.0:6:2001440:2005979:1 gene:fgenesh1_pm.C_scaffold_6000400 transcript:fgenesh1_pm.C_scaffold_6000400 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIF1/SYN1 [Source:UniProtKB/TrEMBL;Acc:D7LYX7] MFYSHQLLARKAPLGQIWMAATLHAKINRKKLDKLDIIQICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLFDDVNRLLVEINGAWRTKAVPDPTLLPKGRTHARKEAVTLPENEEADFGDFEQTRNIPKYGNYMDFQQTFISMRLDESHVNNNPEPEDLGQQFHQADAENITLFEYHGSFQTNNETYDRFERFDIEGDDETQMNFNPREGAEIPTTLIPSPPRHHDIPEGVNPTSPQLHEQQERRRDGFAEQMEEQNIPDKEEHDRPQPAKKRARKTATSAMDYEQTIIAGHVYQSWLQDTSDILCRGEKRKVRGTIRPEMKIFKRANMPPTQLFEKHVESSYPPQLYELWSKNTQVLQTSSSETRRPDLCAEQSPGFVQERMQNHHQTDHHERSDTSSQNLGSPAEILRTVRTGKGASVESMMAGSRASPETINRQAADINVTPFYSGDDVRSMPSTPSARGAASVNNIEISSKSRMFDRKRPTSSPRRGLEPVAEERPWEHREYDFEFSILPEKRFTADKEILFETASTQTQKPVCNQSDEKITDSIKSHLKTHFETPGAPQVESLNKLAVGMDRNAAAKLFFQSCVLATRGVIKVNQTQPYGDILIARGPNM >fgenesh1_pm.C_scaffold_6000427 pep chromosome:v.1.0:6:2151367:2151816:-1 gene:fgenesh1_pm.C_scaffold_6000427 transcript:fgenesh1_pm.C_scaffold_6000427 gene_biotype:protein_coding transcript_biotype:protein_coding MINSSTFLTIFYSMFIIISPVLISLIGSETLQHDGKVIPMKGPLTMVVIHNNNDYLLGVHCKSRDDDHGFHILKKGGLYGWIFYVNFMNSTLYFCGFSQGQVKKGVFDIYKAVRDSSRCRNCTWETKKDGIYGYGEIPHKTPLFYKWLM >fgenesh1_pm.C_scaffold_6000432 pep chromosome:v.1.0:6:2168850:2170362:1 gene:fgenesh1_pm.C_scaffold_6000432 transcript:fgenesh1_pm.C_scaffold_6000432 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 76C1 [Source:UniProtKB/TrEMBL;Acc:D7LZ16] MEKRNERQVILFPLPLQGCINPMLQLAKILYSRGFSITIIHTRFNAPKSSDHPLFTFLQISDGLSESQTQSRDVLLQLTLLNNNCENPFRECLAKVIKPSSDSGTEERKISCLIDDSGWVFTQSVSESFNLPRFVLCAYKFSFFLGHLLVPQIRREGFLPVPDSEAEDLVLEFPPLRKKDLSRIMGTSAQSEPLDSYLHKIIEATKPASGLIVMSCEELDLDSLTESNKVFSFPIFPIGPFHIHDVPASSSSLLEPDQSCIPWLDKHETRSVIYVSLGSIASLNESDFLEIACGLRNTNQSFLWVVRPGSVHGRDWIESLPSGFMESLEGKGKIVKWAPQLDVLAHRATGGFLTHNGWNSTLESICEGVPMICLPFVWDQFVNARYISEVWRVGIHLEGRIERREIERAVIRLMVESEGEEIRDRIKVLRDEVRRSVKQGGSASRSLDELVDRISIRAA >fgenesh1_pm.C_scaffold_6000438 pep chromosome:v.1.0:6:2195855:2198211:1 gene:fgenesh1_pm.C_scaffold_6000438 transcript:fgenesh1_pm.C_scaffold_6000438 gene_biotype:protein_coding transcript_biotype:protein_coding MENLVKSCAGIEKKRSNLTHSEEDMVTESKERSSTSVSSYEGSSGTTVASSSPPSQPSQILGWPIRKASFRKNSKENVNLDHKKSTLHDDSGFQGKEMNFADVDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPLSSEKKEMWRREMEWILSVSDHIVELTPSTQTYPDGNKFEVMTCRPRFDLFINLPALRKLDNMLLDILASFKKTEFWYVDQGIVASENDGSASFRRKIQRQEEKWWLPVPRLAPNGLTEEARTELNHKRECATQILKAAMAINSLALTEMDVPETYLETLPKNGRSCLGDVIYRYITSDKFSAECLLDCLDLSSEHIALDIANRVEASIYVWRRRVQTKLGVNNNNTSSTTPKLTWEMVKELMAAGDKRGLLVERSETLLRCLKQRFPSLTQTSLDISKIQWNKDIGKSILESYSRALESLASNIIARIDDLLYVDDLTKQSDDNNLLSSPAVSSIIAHKKVVPLPYIISASGTPYRTSFSTTPGFSPSMISPKKGERRTPYSSRDTNKIIEKGLPSRGFGVRRVLNNYLGMESKLKICVNPSDNADTAVICKEGGEEKKRNSISVHQKGPPKYTVS >fgenesh1_pm.C_scaffold_6000444 pep chromosome:v.1.0:6:2217304:2218314:-1 gene:fgenesh1_pm.C_scaffold_6000444 transcript:fgenesh1_pm.C_scaffold_6000444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7LZ30] MATLVRRAASRFVGNYCKNRFFVYSLLDQSAYGRRQHLTPSVDRNVPFTTSTKKRASPTDPLLRIIETEIGYAEKADDYDRVEETPSGFPFKMEDKPGGKIVTLTREYEGETVKVEVHMTNLVTGDKEDDEDDEEEAENEEVEDDDKPEKPKQSNVPLLVTLSKKTGSSLEFRCTAFPDKIVIKDMWVTFPDDPSKDELAYEGPSFRVLDEKLRKAFHRYIEIRGIKPSMINFLHEYMINKDSREHLLWLKSLKNFVKP >fgenesh1_pm.C_scaffold_6000453 pep chromosome:v.1.0:6:2268238:2268994:-1 gene:fgenesh1_pm.C_scaffold_6000453 transcript:fgenesh1_pm.C_scaffold_6000453 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDHLFVRESKFLELFMSLLPSMTLSFLHVTDLSGRETLVRITVVKADRDESSPYAAMLAAQDVAQRCKELGITAIHVKLRATGGNKTKTPRSWCSIGRIEDVTPIPTDSTRRKGGRRGRRL >fgenesh1_pm.C_scaffold_6000466 pep chromosome:v.1.0:6:2343935:2344957:1 gene:fgenesh1_pm.C_scaffold_6000466 transcript:fgenesh1_pm.C_scaffold_6000466 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSWVLLFVLMFIVLTSQFEWNEQVESEAETSRPLVLSDKDQPHIPQGKDSVQEKKILSQEKKIQKLNELVRDLRRQLLQCRNENQVELTELETELDQLLVTGV >fgenesh1_pm.C_scaffold_6000475 pep chromosome:v.1.0:6:2387670:2390762:-1 gene:fgenesh1_pm.C_scaffold_6000475 transcript:fgenesh1_pm.C_scaffold_6000475 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNQNRPKTTPVMAHLTKDLDKHTTTYSYGPNSFKLLGIPAPRPGELLGLLGTHILKPNLGRFNNPPELEEILNHCREKDRHLQSYFTHFLEKQLKVAMKSQHFKDMRGSAEPQRVRKLLEKHNERGMMKEICDDELELNEFSDRSVRRLSGQVLQRRMCTYLKFDEPSSLLDVRQKFKAAQVIRSLLTLDSYVIVADNDISPMPFTVCMGNQKHMVFLAGFDHSRNLRIRDESLIFEVMFFSRYKYPNMSITLGDFKLEVMEGEFTDCQVSVVLGESGSGKTTFLQLLGDMVPPDYEEGLQLWQPKFPVSYKQQIIGWRGYLHLEDMHPAFVRDVMKPLQIEQLLNRKVESLSPGETQRVALTICLGSPASVYLIDEPGAYLDSELRINAAMAIRRHVLRMGKAAIVVEHDLTMATYMADQVIVVDGNVPTNCTTNPPYPLVSGMNRFLPHLDIIYRRNRMSVKHTPRVNKLGSIEDTRQKDAGNYYDVV >fgenesh1_pm.C_scaffold_6000514 pep chromosome:v.1.0:6:2566139:2566710:-1 gene:fgenesh1_pm.C_scaffold_6000514 transcript:fgenesh1_pm.C_scaffold_6000514 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMKETASNIAASAKSGMDKTKATLEEKAEKMKTRDPVQKQMATQVKEDKINQAEMQKRETREYNAAMKEAAGAGTGLGLGTATHSTTGQVGHGTGTHQMSALPGHGTGQLTDRVVEGTAVTDPIGRNTGTGLTTTAHNTHVGPGPYGTGGGYSG >fgenesh1_pm.C_scaffold_6000515 pep chromosome:v.1.0:6:2567257:2569149:-1 gene:fgenesh1_pm.C_scaffold_6000515 transcript:fgenesh1_pm.C_scaffold_6000515 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LZT8] MDARKRGRPEAAASHNSNGGFKRSKQEMESISTGLGSKSKPCTKFFSTSGCPFGDNCHFLHYVPGGYNAAAQMTNLRPPVAQVSRNMQGFGGPGGRFSGRGDQGPGPVSIFGASATSKISVDASLAGAIIGKGGIHSKQICRQTGAKLSIKDHERDPNLKIIELEGTFEQINVASGMVRELIGRLGSAKKPQGIGGPEGKPHPGSNYKTKICDRYSKGNCTYGDRCHFAHGEAELRRSGIA >fgenesh1_pm.C_scaffold_6000542 pep chromosome:v.1.0:6:2699436:2701213:-1 gene:fgenesh1_pm.C_scaffold_6000542 transcript:fgenesh1_pm.C_scaffold_6000542 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDHTYEITLQRGAKTVSSLHLKNCVERYNVFDFLREVVSKVPDYGHGHGHGHGQANADVTMEDRSISKRRKPTGDEVNDSDEELKKTKVQEMGHAGPSGRGRGRGRGKGRGRSAKTAERELLNREMEIEPTILVASPLLTLDEIKIPAPPQQLTLQDSEKKDVDERSNHTKQELQSPKKEGNDTNSGLGRGFDLNTHSLDIELKPPLITASIELKPAEEYQCWSTPNMANVDLMQLANMSKRLDEDEEDYDEEES >fgenesh1_pm.C_scaffold_6000545 pep chromosome:v.1.0:6:2713980:2715390:1 gene:fgenesh1_pm.C_scaffold_6000545 transcript:fgenesh1_pm.C_scaffold_6000545 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 26 [Source:UniProtKB/TrEMBL;Acc:D7LZX6] MGSIDRQRAVPKFKTATPSPLPLSPSPYFTMPPGLTPADFLDSPLLFTSSNILPSPTTGTFPAQSLNWKNNGLLIEQNEIKYDVKEQLDFSFNNNHTSPPLFLPSMVTQSLPQLDVSKSEIMSRNKTSDDGYNWRKYGQKQVKGSENPRSYFKCTYPNCLTKKKVETSLVKGQITEIVYKGSHNHPKPQSTKRSSSTTAAAHQNSSHGDGKDIGEDEADAKRWKREENVKEPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTFTGCGVRKHVERAFQDPKSVITTYEGKHKHQIPTPKRGHTSGF >fgenesh1_pm.C_scaffold_6000548 pep chromosome:v.1.0:6:2720833:2722806:1 gene:fgenesh1_pm.C_scaffold_6000548 transcript:fgenesh1_pm.C_scaffold_6000548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:D7LZX9] MEQIRSFFVFLAVLLASLVNAEVHFHEFVVQETPVKRLCRVHNSITVNGQFPGPTLEVRNGDSLVITAINKARYNITLHWHGIRQMRNPWADGPEYITQCPIQPGGSYTYRFTIEDQEGTLWWHAHSRWLRATVYGALIIRPPLSSPHYPFPVIPKREITLLLGEWWDRNPMDVLNLAQFTGAAPNISDAFTINGQPGDLYRCSSQETLRFLVGSGEIVLLRVINSALNQELFFGVANHKLTVVAADASYTKPFSTNVIMLGPGQTTDVLLTADQPPAHYYMAAHAYNSANAAFDNTTTTAILKYKDASCVTLQGKSQAQAIPAQLPGFNDTATAAAFTAQMKSPSKVKVPLEIDENLFFTVGLGLFNCPTPNTQRCQGPNGTRFTASINNVSFVFPKQNSIMQAYYQGTPAGVFTTDFPPTPPVTFDYTGNVSRGLWQPTRGTKAYKLKFNSKVQIILQDTSIVTTENHPMHLHGYEFYVVGTGVGNFNPNTDTASFNLIDPPRRNTIGTPPGGWVAIRFVANNPGAWLMHCHLDSHIFWGLAMVFLVENGEGHLQSVQSPPLDLPQC >fgenesh1_pm.C_scaffold_6000552 pep chromosome:v.1.0:6:2731671:2734903:1 gene:fgenesh1_pm.C_scaffold_6000552 transcript:fgenesh1_pm.C_scaffold_6000552 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIAFELIGEATSNSLLMFCFCNLIIVMIFTGSSKPGLKDSHDSTFLTSVSYDTRDIASDHHDCGDDDDDNDEEMTNTAAIPSAQDELLIDDSSSDEEEDRESSQCYADDDDDDDDEEEEEEEEEEEEEDDDESKESEVEEEEEGDDDLRMRTMDPETMDIFAEDFSLEEFDIDFEFDAPRFYDFSKPEIDSETELWFESAGNYPPSPFSLNLRYEDKHLEIPKPISDQYNAPKDVPNATHKSKTKPFLRKNSTLTRPTASLLARHNKPLDIYSVRLLTSFPLSYTLCLKHKITKGKNWKPATCARCSQTSSNLTATQFVLELIFISFSKKGSKVTVPKEPNLKTAQRAARNRFKAQSAPEQIAKFISTMDKPVQETSSPSLPKKNTPRHQDLQAFHLRTSLRARERSSSAKIAPTDDPIHSLTSKSVGTRKGRKVKASRSSKSNCQVYESKICPVDSKVMQTSSKCGEAIDIKHEKNLLREFEAPMDTNFRDEPFIESLRKLCLTSDTDPVGVLID >fgenesh1_pm.C_scaffold_6000557 pep chromosome:v.1.0:6:2776234:2780098:1 gene:fgenesh1_pm.C_scaffold_6000557 transcript:fgenesh1_pm.C_scaffold_6000557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:D7M0G7] MAFAQSFHNQSSILRINVMVVDDDRVFLNILSRMLERSKYIDPSVMKITVVAVDDPKKALSTLKIQRDHIDLIITDYYMPSMNGLQLKKQITQEFGNLPVLVMSSDPNKEQESLSCGAMGFIPKPIEPADLTKIYQFALTYKMNGKSTLLTEQNHKDANVSVPQQITLIPEQANVLKTKKKNCSSKSDSRTVNSTNGSCVSTDGSRKNRKRKPNGGPSDDGESLLQPAKKKKITWTDSLHDLFLQAIRHIGLDKAVPKKILAFMNVSYLTRENVASHLQKYRIFLRRVADQGFSSMLSDRGIDSMFRQTHIKEPYFNCYTPFTSWYDTSLNNRSFYSKPGHGLGQSRLLSKTREPVRFNLMPYNYMNRSSTYEPHHIGSGSNLTLPIQNNLSFPNQPSQNEDIRSFFEPPAVMANKIVQTSQVLGFGQLGPSTISGNNFNNNMMSSYGSLTPNQPGSSHFSYGMQSFLNNENAACNPQPPANATTQPNLAEFPQLENLDLYNDLGHTSDLPYNISNFQFDDNKQQQGEADSTKFDLPANSSTELNQILSLEDNGDWTFANVNQAQSNGETSNTIAAPETNPPIFNMNPNQNQGQDVPEFTDWSFLDPQELVDDDFMNSLFNNDMN >fgenesh1_pm.C_scaffold_6000574 pep chromosome:v.1.0:6:2889897:2893582:-1 gene:fgenesh1_pm.C_scaffold_6000574 transcript:fgenesh1_pm.C_scaffold_6000574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Respiratory burst oxidase protein A [Source:UniProtKB/TrEMBL;Acc:D7M0I4] MNRSEFQKLGFENVRYYTETPYGGQSSASTSRYYGEDEPYVEITLDIHEDSVSVYGMKSPDHRGAGSIYEDQSLLRQGRSGRSNSVLKRLASSVSTELKRVASSVSSSSARKPPRPQIARLRRSKSRAEQALKGLKFITKTDGVTGWPEVEKRFYVITMTTNGLLHRSKFGECIGMKSTEFALALFDALARRENVSGDLINMNELKEFWKQITDQDFDSRLRTFFAMVDKDSDGRLNEAEVREIITLSASANELDNIRRQAEEYAALIMEELDPYHYGYIMIENLEILLLQAPMPDVRDGESKKLSKMLSQNLMVPQSRNLGARFCRGMKYFLFDNWKRVWVMALWIGAMAGLFTWKFMEYRKRSAYQVMGVCVCIAKGAAETLKLNMAMILLPVCRNTITWLRTKTKLSAIVPFDDSLNFHKVIAIGISIGVGIHATSHLACDFPRLIAADEDEYKPMEMYFGPQTKRYLDFVQSVEGVTGIGMVILMTIAFTLATTWFRRNKLNLPGPLKKITGFNAFWYSHHLFVIVYSLLVVHGFYVYLIIKPWYKKTTWMYLMVPVVLYLFERLIRAFRSSVEAVSVLKVAVLPGNVLSLHLSRPTNFRYKSGQYMYLNCSAVSTLEWHPFSITSAPGDDYLSVHIRVLGDWTKELRSLFSEVCKPRPPDENRLNRADSRDWDNIPNFPRILIDGPYGAPAQDYKKFEVLLLVGLGIGATPMISIVKDIINHLKGVEEGSNRRQSPIHNMVTPPVSPSRKSETFITKRAYFYWVTREQGSFDWFKNVMDEVTETDRNKVIELHNYCTSVYEEGDARSALITMLQSLNHAKHGVDVVSGTRVMSHFARPNWRSVFKRIAVNHPKTKVGVFYCGAAGLVKELRHLSLDFSHKTSTKFTFHKENF >fgenesh1_pm.C_scaffold_6000576 pep chromosome:v.1.0:6:2899666:2901149:1 gene:fgenesh1_pm.C_scaffold_6000576 transcript:fgenesh1_pm.C_scaffold_6000576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7M0I6] MGYTNVSILLGLLVVFVSPVVFADDVTPIPEAKPQVAQWFKANVAPLAQRKGLDPALVAAEAAPRIINVNPKGGEFKTLTDAIKSVPAGNTKRVIIKMAPGEYREKVTIDRNKPFITLMGQPGAMPVITYDGTAAKYGTVDSASLIILSDYFMAVNIVVKNTAPAPDGKTKGAQALSMRISGNFAAFYNCKFYGFQDTICDDTGNHFFKDCYVEGTFDFIFGSGTSMYLGTQLHVVGDGIRVIAAHAGKSAEEKSGYSFVHCKVTGTGGGIYLGRAWMSHPKVVYAYTEMTSVVNPTGWQENKTPAHDKTVFYGEYKCSGPGSHKAKRVPFTQDIDDKEANRFLSLGYIQGSKWLLPPPAL >fgenesh1_pm.C_scaffold_6000598 pep chromosome:v.1.0:6:2965469:2968259:1 gene:fgenesh1_pm.C_scaffold_6000598 transcript:fgenesh1_pm.C_scaffold_6000598 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYAVQFHLFVTCVLFLSREGFRRACLRANIKRDGPGSEENVTRLLKVAWVTVPLGIAITIAACIFVLWWQNLSYSDTYAQAILIHGFACVLELMAEPLYILSQTLVKLELRLFVEIAATFARCVTLWSLIVNQTNMEKGIVFALSQVAYGGSLFLGYWAYFLICGVLRSSDLFPFRPGNFMDFDNKLSNMCMLFTFQSFRKLILQEGEKLVLVWLDTPYNQAVYGIVDKLGNLVVRMVFFPFEETSYTIFARFASGDYQERKKKLGIGLTEALKLVILIGLIFMAFGPSYSYSLIRLLYGEKWSDGEASLALQFYCLYIIVLAMNGTSEAFLHAVGTENELKRSNDMLLVFSLIYVALNILLIRSAGAIGLIMANSLNMIFRIIYSGKFIQHYFQGTSSFSFRKCFPLGWQILILSSIITLISEKTILDRKNFWETFPLHFAIGFICFCLSAIVIYRRERVFIKRIIHFRDYNHDD >fgenesh1_pm.C_scaffold_6000600 pep chromosome:v.1.0:6:2985203:2986861:1 gene:fgenesh1_pm.C_scaffold_6000600 transcript:fgenesh1_pm.C_scaffold_6000600 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M0L3] MSYNTGKKESSPVSPLKKRRASWSELWVNHHQLLSSSPLDLAAKFQSLTPPISKSKTLLPDFTLLLPDLILIRVIEKIPKSQRKNLSLVCKRWFKLHGRLVRSFKVSDWEFLASGRLISRFPNLDTVDLVSGCLISPPNSGILVNHRIVSFTVGVGSYQSWSFFEENLLSVDIVERGLKALAGGCSNLRKLVVTNTSELGLLNVAEECSRLQELELHKCSDSVLLGIGAFENLQILRLVGNVDGLYNSLVSDIGLMILAQGCKRLVKLELVGCEGGFDGIKEIGECCQMLEELSVCDHKMESGWLGGLRYCENLKTLKLVSCKKIDNDPDECLSCCCCPALERLHLDKCQLRDKNTVKALFKMCEAAREIVFQDCWGLDNDIFSLAMAFGRVKLLYLEGCSLLTTSGLESVILHWHELEHLKVVSCKNIKDSEVSPSLSALFSALVELQWRPDTRSHLSSSLTGTGIGGKGGKFFKKT >fgenesh1_pm.C_scaffold_6000609 pep chromosome:v.1.0:6:3037862:3040410:-1 gene:fgenesh1_pm.C_scaffold_6000609 transcript:fgenesh1_pm.C_scaffold_6000609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7M0M4] MVSVTSEASRSRSKKVCVIGAGPAGLVSARELRKEGHKVVVLEQNDDVGGQWLYQPNVEEEDPLGRSSGSITGELKVHSSIYSSLRLTSPREIMGYSDFPFLAKKGRDMRRFPGHKELWLYLKDFSETFGLRDMIRFKVRVEFVGEKEEEDDVKKWIVRSREKLSGKVMEEIFDAVVVATGHYSHPRLPSIKGMDSWKRKQIHSHVYRVPDPFSNEVVVVVGNSMSGQDISMELVEVAKEVHLSAKTLDISSGLSKVISKHSNLLIHPQIESLEDDGRVIFVDGSWVVADTILYCTGYSYKFPFLESKGRIEVDDDRVGPLFEHTFPPCLSPSLSFVGIPRKLIGFPFFEAQAKWIAQVLSGKSFLPSSDQMLQSVAEFYRSRDLAGVPKHNTHDIADFTYCDKYADYVGFPHLEEWRKLLCLSALNNSQENLETYRDSWDDHELLQEALQSSHFTNLNS >fgenesh1_pm.C_scaffold_6000619 pep chromosome:v.1.0:6:3087923:3089727:1 gene:fgenesh1_pm.C_scaffold_6000619 transcript:fgenesh1_pm.C_scaffold_6000619 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNDSAPENNNVSVVVRQPLHPPIPFFFPHTLSPRTHYFSAPPQIYFISDINLPPPSIWVYYPLWYINSNPNRYESTQELPQPYSPTPSQELMTLPPTSSRRVFGRRSHGRCEKVTWRRSIKPEVESKGDHITTNRVPNRYTREMMIEYMDKHCEEANISGKNEEFTISAYDFIYLPIDFRTTMNKGYAFVNFTKAEAVTKFKAACNHKPWCHFYSKKEGKDELVKRFQQMTYPAEAYSALCFSPARDGGDNTVQTTMVGKCTEPVSSV >fgenesh1_pm.C_scaffold_6000620 pep chromosome:v.1.0:6:3099234:3100821:-1 gene:fgenesh1_pm.C_scaffold_6000620 transcript:fgenesh1_pm.C_scaffold_6000620 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSQFPPPASPASLSSSMRLWRPAAQRNLRNQWSKLSNCRQQWIVACSAGRSHATSLVNSYLSQKYMPMMELGVLSDMFDIKKKALKKLFKQQSSYRIKLLSSYKEMVAVVVEMVYASRSLRCYTKLGTGSLVQFSGSKEDSSDAGDCGGIPVFNFWNVSAFEKMAGELVEMFKREVMVKRLLIMELISLSTEVPQPVNNSWSDELYHGEFDHLTKCSLYSMEVAEPVLPRVKENNLGISSISQTNQPTAEILQIYLTTWLAEVNIDTHRVDEILALVGEETRVTF >fgenesh1_pm.C_scaffold_6000625 pep chromosome:v.1.0:6:3132006:3134797:1 gene:fgenesh1_pm.C_scaffold_6000625 transcript:fgenesh1_pm.C_scaffold_6000625 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVTQDGIATVLSNQSLDSSSVRPEIVVQVVDLKPVGNRYTFNANDGNMKMKAMLPATLTCEIISGKIQNLGLVRLLDYTVNDIPGKSEEKYMLITKCEAVASALDSEIKAEIKASTGITLKPKQEFVAKSASQIINEQRGNAAPAARMAMTRRVHPLVSLNPYQGSWTIRVRVTNKGVMRTYNNARGEGCVFNVELTDEEGTQIQATMFNAAARKFYDRFEMGKVYYISRGSLKLANKQFKTVQNDYEMTLNENSEVEEASNEEMFTPEIKFNFVPIDELGTYVNQKDLIDVIGVVQCVSPTMSIRRKNDNEMIPKRDITLADESKNTVVVSLWNDLATGIGQELLDMADNHPVIAIKSLKVGDFQGVSLSTISRSNVVINPDSPEAKKLKSWYDAEGKETSMSAIGSGMSSSANNGSRSMYSDRVFLSHITSNPSLGEEKPVFFSIRAYISFIKPDQTMWYRACKTCNKKVTEAMDSGYWCEGCQKKDQECSLRYIMAVKVSDSTGETWFSAFNDEAEKIIGCTADELNNLKSEEGEVNEFQTKLKETTWSSHLFRISVSQQEYNSEKRQRITVRGVSPIDFAAETRLLLQDISKKKTSQ >fgenesh1_pm.C_scaffold_6000658 pep chromosome:v.1.0:6:3308115:3311188:-1 gene:fgenesh1_pm.C_scaffold_6000658 transcript:fgenesh1_pm.C_scaffold_6000658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7M1C1] MEESSSLKKNLMELEGKKSEPYPGGMPKMGPFTGRDPNVKKPAWLRQKAPQGERFQEVKESLSRLNLNTVCEEAQCPNIGECWNGGGDGVATATIMVLGDTCTRGCRFCAVKTSRNPPPPDPMEPENTAKAIASWGVDYIVITSVDRDDIPDGGSGHFAQTVKAMKAVDTLVHSGLDVFAHNVETVKRLQRLVRDPRAGYEQSMSVLKHAKISKPGMITKTSIMLGLGETDEELKEAMADLRAIDVDILTLGQYLQPTPLHLTVKEYVTPEKFDFWKTYGESIGFRYVASGPLMTNGYALSPAIIAAVRPPASQDCLASASLNGRKSITSSSSSIFVPISLSTSYGRSKCAFSIPRKNPRSTIRCDIAVKSAASVDADADLSSSTSLETEEDEKAKEKIGARVRVTVPLKVYHVVRVPEVELMGMEGFIKDYVVLWKGKKISANLPFKVQFVKEIEGRGPVKFFTHLKEDEFELIDP >fgenesh1_pm.C_scaffold_6000661 pep chromosome:v.1.0:6:3319223:3325115:1 gene:fgenesh1_pm.C_scaffold_6000661 transcript:fgenesh1_pm.C_scaffold_6000661 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGHEERLAERFSGVELGESSGSHENDVKNDSLFQVIKAVEAAEATIKQQVEENNLLKAELQRRYLELAKYKSGESLPQTSDLGNHSNTTTGGSSPLHQSADGINLVDRRKGKINGSGTDSSGMLVVHQHVYPNGEEATVSNRSEDHSERIMTNGIVRGTVGGEGTSQLSSSPSTISLSPMRPLLEGDHDSHINSSSHELMPVGEVNNSGTAWKQELVHKVQEQEQEILRLRKYLADYSAKEAQIRNEKYVLERRIAHMRSAFDQQQQDLVDAASKALSYRQEIIEENIRLTYALQAAEQERSMFVSNLLPLLTEYSLHPQISDSQSIVSNVKVLFRHLQEKLNVTETKLKETEYQLAPWQSDVNHSNASPLSPYQPVGVGLRYSTDPEHHHQDRRGGSAASIYHLDGPESRSPAFQMPVQPALNQDESHGPNNRVQFREPLSNTFMDDAYADVQADTNTTLENSTYVAVDDPSPSNYPILAPVLEEPSSSFSEAADDDPLPGIADLQISGEPFPGRELQVSGHSINGTTKCNFEWVRHLEDGSVNYIDGAKRPDYLVTADDVDLYLAIEVHPLDDKNRKGELVRVFANENCKITCHPEMQSHIEKSLYNGHALFKVLYSIGYMDIWEAATLSIKKEGYSIKPTNDPVITEKFSSSTNIVIPFDQPADFVIIGSDGVEHLCRVGNDATDLSCSRDTIVLTLRLFLKKALQRKKGKKKGFLFNK >fgenesh1_pm.C_scaffold_6000667 pep chromosome:v.1.0:6:3453782:3454120:-1 gene:fgenesh1_pm.C_scaffold_6000667 transcript:fgenesh1_pm.C_scaffold_6000667 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTKKILLVFAFIIMLGIYSVHCRPSFKPIPLFEEKLTQCFDTRPCLQGMLKCIEFCSSMGTADGQCNNENLCCCTHE >fgenesh1_pm.C_scaffold_6000676 pep chromosome:v.1.0:6:3574038:3575926:-1 gene:fgenesh1_pm.C_scaffold_6000676 transcript:fgenesh1_pm.C_scaffold_6000676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7M1F9] MGKASVILYITVGILVLFLVSYSPKKKGDHDHHHGGHNQHHRLKLRSSFNFKPTRHDPVPFDPLVADMERRREDKEWERQYIDHSHPELVSHSQKETTGGGHEHAPGHESQPEWEDFMDAEDYLNDEEKFNVTDRLMLLFPKIDVSPTDGFVTESELTEWTMQSSAKEVVHRTQRDLDVHDRNKDGFISFSEYEPPSWVRKSDNNSFGYDMGWWKEEHFNASDANGDGLLNLTEFNDFLHPADTKNPKLLLWLCKEEVRERDSDKDGKISFEEFFHGLFDTVRNYEEDNHNSTHPYHDLPEGPAKQLFAQLDKNDDGYLSDVELLPIISKIHPTEHYYAKQQADYIISQADSDKDRRLTLAEMIEHPYVFYSAIFDEDDTDDDYGYHDEFR >fgenesh1_pm.C_scaffold_6000691 pep chromosome:v.1.0:6:3646676:3647193:1 gene:fgenesh1_pm.C_scaffold_6000691 transcript:fgenesh1_pm.C_scaffold_6000691 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKSYIIALLLSLLLCLSSQVGVSEANYNAVTTRYSDSRCANESSASPPPPRHYPRGRPRPVPVRSAVHSNSTKGKGP >fgenesh1_pm.C_scaffold_6000700 pep chromosome:v.1.0:6:3689237:3690794:1 gene:fgenesh1_pm.C_scaffold_6000700 transcript:fgenesh1_pm.C_scaffold_6000700 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:UniProtKB/TrEMBL;Acc:D7M220] MGNLFVKKPKITEVDRAILSLKTQRRKLGQYQQQLEKVIEAEKQAARDLIREKRKDRALLALKKKRTQEELLKQVDQWLINVEQQLADIELTSKQKAVFESLKQGNSAIKAIQSEVNLDDVQKLMDDTAEAKAYQDELSAILGDKLSAEDEEDILAEFDNLESQLIVEDMPEVPTTELVPEEPEKMDLPDVPTKAPVASNAEITPTKRKVLEEPLEA >fgenesh1_pm.C_scaffold_6000710 pep chromosome:v.1.0:6:3723120:3724920:-1 gene:fgenesh1_pm.C_scaffold_6000710 transcript:fgenesh1_pm.C_scaffold_6000710 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III RPC4 family protein [Source:UniProtKB/TrEMBL;Acc:D7M231] MEQKPPVRKMKFAPKAPPKRVPKPEVKPEVVENDSNSAQALELLRRVNERSLRKPKADKKVPASRVAWMGGVVNSTTSNKYLSGSNGAYGSTSTQEIEYKEPWDYYSYYPITLPMRRPYAGDPEVLDVEEFMQAAGNHEDSLNTAANLGLMEDSGEQKMLFMRLPSVPLASTPTENRETKPNIRGPVQKTVDLKALPEGFMGKLLVYKSGAVKMKLGEVLYDVSPGLKSEFAQDVMVVNTEQKNCCLVGDVYKHAVLTPDIDSILKNIENI >fgenesh1_pm.C_scaffold_6000712 pep chromosome:v.1.0:6:3728299:3732465:1 gene:fgenesh1_pm.C_scaffold_6000712 transcript:fgenesh1_pm.C_scaffold_6000712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:D7M233] MAEESSLEGSEKEEIDSSGGGLGDMASMDSIESRWVIQDDDDSEIGVDDDNDGFDGTGLESDEDEIPEHRLIRTGPRVDSFDVEALEVPGAPRNDYEDLTVGRKVLLAFQTLGVVFGDVGTSPLYTFSVMFSKSPVQGKEDVIGALSLVLYTLLLVPLIKYVLVVLWANDDGEGGTFALYSLISRHAKISLIPNQLRSDTRISSFRLKVPCPELERSLKLKEKLENSLILKKILLVLVLAGTSMVIADGVVTPAMSGGFYYHMDLSELLDLHNAVMSAVGGLKVGVDVVEQAFSCFFFADQVVMISVAFLVILFSLQKYGTSKMGLVVGPALLIWFCCLAGIGIYNLIKYDSSVFRAFNPVHIYYFFKRNSINAWYALGGCILCATGSEALFADLCYFSVRSVQLTFVCLVLPCLMLGYMGQAAYLMENHADASQAFFSSVPGTAFWPVLFIANIAALIASRTMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGQIYIPVLNWFLLAVCLVVVCSISSIDEIGNAYGMAELGVMMTTTILVTLIMLLIWQINIVIVIAFLVVFLGVELVFFSSVIASVGDGSWIILVFAVIMFGIMYIWNYGSKLRYETEVEQKLSMDLMRELGCNLGTIRAPGIGLLYNELVKGVPAIFGHFLTTLPAIHSMVIFVCIKYVPVPVVPQNERFLFRRVCTKSYHLFRCIARYGYKDARKENHQAFEQLLIESLEKFIRREAQERSLESDGNDDSDSEEDFAGSRVVIGPNGSMYSMGVPLLSEYRDLNKPIMEMNASSGHTNHHPFDASSDSSVSEAEQSLERELSFIHKAKESGVVYLLGHGDIRARKDSWFIKKLVINYFYTFLRKNCRRGIANLSVPQSHLMQVGMTYMV >fgenesh1_pm.C_scaffold_6000714 pep chromosome:v.1.0:6:3740592:3744065:1 gene:fgenesh1_pm.C_scaffold_6000714 transcript:fgenesh1_pm.C_scaffold_6000714 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLTLIQSNASNPKVWVVIGVTVAGIVILAETRKRRIKALREEDFGAFLDRFELLPFPPPPPPAAKQSLSALTFSISDAFDVKDYITGFGSPQWKKTHEAAEKTAVVVTTLLKNGATCVGKTIMDELGFGIIGENKHYGTPINPLMPSNVPGGCSSGSAVSVGAELVDFSLGIDTTGGVRIPAAFCGILGFRPSQGTVSSVGVLPNSQSLETVGWFASDPSVLCQVGHALLNLSAVAHRRQRSLIFADDLFELSDIPKQKSVHVVRKAIENLSGYQTPKHVNVGQYVASNVPSLAEFCEQSGKSQNSASTLKALSSVMLAIQRHEFKTNHEEWSQTCKSFLGPRFSNDVVTALKSRNESIKSLYRVKTEMRATIQSLLKEDGILVIPTVADPPPKLNTKNKNSLNEFLDRTYALSSIASMSGCCQVTIPLGQHGDRPISVSFLTYYGGDKFLLDTILDVYASLQDQAKLASNLAPVSDTNGNMEASEVMKEKGNAAYKGRQWNKAVNFYTEAIKLNGANATYFCNRAAAFLELGCFQQAEQDCTEAMLIDKKNVKAYLRRGTARESLVRYKEAAAESTLSGTVLERNIWFIISADFRHALVLEPQNKTAKAAEKRLRNS >fgenesh1_pm.C_scaffold_6000727 pep chromosome:v.1.0:6:3787360:3789472:1 gene:fgenesh1_pm.C_scaffold_6000727 transcript:fgenesh1_pm.C_scaffold_6000727 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKFGAQSTARVPRQSPPEGYVLFRILGHVSQVGDVIGKDGRVIRQLKESTNSQIWVEKAPLDSLYRVITIIADVGSTSRVKLGVIVNNASNRKKEEVQEQEVEVSRAQGALIRVFEALNVRFGTSSTVSSRLLMEACHVVTVIGKGGELMEMIRKETGCNVEICQYNLPSCADPDDVMVKIEGNVFAVKKVLVSISSRLQACQSIFKKKMVGNPHNMQTNVVPREALYRASNVFQGDISVSRLKHRELDPLESLHRNLSQPRKDSEDNKQQVVLKILCSKERIGRVIGNGRATIRDLQSETGAFITLGSNRLDCDEGLFTITASEDPNAKNSPSQRALVLVFSKMYENTTAKVLDSGLTSSITARLVVRSNQINCLMGEEGHIKSTIQQRTGAFITVLNVEQNPKCVSENNQIVQISGAFPNVKEAINQVTSMLREDLINQSFQMGSHFPVNYFNPCIRPEDSFPNWFSPTTGYAPNFGQVSFPLWASPVCLCLLLFPSLCLVSLADIHSINTATCCSKKCK >fgenesh1_pm.C_scaffold_6000728 pep chromosome:v.1.0:6:3795437:3797183:1 gene:fgenesh1_pm.C_scaffold_6000728 transcript:fgenesh1_pm.C_scaffold_6000728 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRRFSGRILPAISDSCKLQFEAKRSLRSDAALEAITNALEEKVPNLVLYNYPSFSGAFSALFAHLYHSRLRLPCLILPFSSVIPFRIEDLCLEGFERCYLLDFVVPKDFACQKTACEIICFDHRNSALIRIGSIKEEHKKRLKIIVDTETSSSKAVYKYFSSKLTDKTSSEVEALSLLSVEDKSRVESVLDYIEDIDLRRWMLPDIKAFSFGLKDWRSRINCITNPYMYEQLLKISSADLIAYGNSYFSSRLLDAKKLLKLNKAFKIRLGRGLYGECLGMRADGNHQLSDELGKLLSLQSSAAGLRPIGAITFMQRNNLKMCLRSTDAITDTSEVAKAYGGGGTSSSSSFIIRMDEYNQWISTL >fgenesh1_pm.C_scaffold_6000738 pep chromosome:v.1.0:6:3829551:3832471:-1 gene:fgenesh1_pm.C_scaffold_6000738 transcript:fgenesh1_pm.C_scaffold_6000738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-xylosidase 3 [Source:UniProtKB/TrEMBL;Acc:D7M267] MASSSLTERNRALFSVSTLLLCFLLCISEQSNAQSSPVFACDVTGNPSLAGLRFCNTGLNIKSRVTDLVGRLTLEEKIGFLGSNAIGVSRLGIPAYKWWSEALHGVSNVGGGSSFSGQVPGATSFPQVILTAASFNVSLFQAIGKVVSTEARAMYNVGSAGLTFWSPNVNIFRDPRWGRGQETPGEDPELSSKYAVAYVRGLQETDGGDPNRLKVAACCKHYTAYDVDNWKDVHRFTFNAVVNQQDMADTFQPPFKSCVVDGNVASVMCSYNQVNGKPTCADPDLLSGVIRGQWKLNGYIVSDCDSVDVLYTKQHYTKTPEEAVAKSILAGLDLNCDHFTGQYAMKAVKVGLVNETAIDKAISNNFATLMRLGFFDGDPKKQQLYGGLGPNDVCTANNQELARDAARQGIVLLKNSAGSLPLSPSAIKTLAVIGPNANATETMIGNYNGIPCKYTTPLQGLAETVSSTYQLGCNVACAEPDLGSAAALAASADAVVLVMGADQSIEQENLDRLDLYLPGKQQELVTQVAKVAKGPVVLVIMSGGAFDITFAKNEEKITGIMWVGYPGEAGGLAIADVIFGRHNPSGNLPMTWYPQSYVEKVPMTNMNMRPDKSNGYPGRTYRFYTGETVYAFGDGLSYTNFNHQILKAPKLVSLDLDENHACRSSECQSVDAIGPHCDNAVGGGLNFEVQLKVRNVGDREGSHTVFLFTTPPEVHGSPRKHLLGFEKIRLGEKEETVIRFNVDVCKDLSVVDEIGKRKIALGHYLLHVGSFKHSLTISVS >fgenesh1_pm.C_scaffold_6000741 pep chromosome:v.1.0:6:3846802:3848813:1 gene:fgenesh1_pm.C_scaffold_6000741 transcript:fgenesh1_pm.C_scaffold_6000741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7M270] MASSKLHHHPHRRKSPIRCFCLLIITMSSILILLFSLFLFSSPSSSSRHHHHHNSRQSPSGDTSPVNPSPSQIRLACNATRYPDQCVSSLSEPGRVPPDPKPIQIIHSAISISFQNLKTAQSKIKSIVDSSVGNLNRTNAANTCLQLLTYSQHRTQSTDQALTRGKIKDARAWMSAALVYQYDSWSALKYVNDTSQVGETMSFLDGLIHVSSNALSMMVSYDNFGDNVASWTYPETERDGFWEKTGPGLGSDPSTGLNLGFPSGLKEDVTVCKDGKCGYKTVQDAVNAAPEDNGMRKFVIRISEGVYEENVIVPFEKKNVVFIGDGMGKTVITGSLNAGMPGITTYNTATVGVVGDGFMAHDLTFQNTAGPDAHQAVAFRSDSDFSLLENCEFLGNQDTLYAHGLRQFYKNCRIQGNVDFIFGNSAAVFQDCQILIAPRQLNPEKGEKNAVTAQGRIDPSQSTGFVFLNCLINGTEEYMKLFKANPKVHKNFLGRPWKDYSRTVFIGCNLEAMITPDGWLPWSGDFALKTLYYGESKNTGPGSDRSQRVSWSSEIPDKHVHVYSLANFIQADEWASMSA >fgenesh1_pm.C_scaffold_6000758 pep chromosome:v.1.0:6:3915973:3917671:1 gene:fgenesh1_pm.C_scaffold_6000758 transcript:fgenesh1_pm.C_scaffold_6000758 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7M287] MRFWRERERENKEHVVAPLCGQIRVLVVGDSGVGKSSLLHLIVKGSSIVRPSQTIGCTVGVKHLAYASPASSSSSIKGDSERDFFVELWDVSGHERYKDCRSLFYSQVNGVIFVHDLSQRRTKTNLQKWAGEVSVNGAFSAPLSSGGPGGLPVPYIVIGNKADIAAKEGTSGSSGNLVDAARQWVEKQGLLPHSDELPLSESFPSNGGLIMAAKEARYDKEALNKFFHMLIRRRYFSDELPSPSSAWSLSPGPSQRLDEGTSDEDQFYKRTSLREGDPYKYNTLPQHNLTQPPTLYPQQPPDRYSYAIPRFSLSSVEETNNGNGRSKPMDINV >fgenesh1_pm.C_scaffold_6000765 pep chromosome:v.1.0:6:3951797:3952861:1 gene:fgenesh1_pm.C_scaffold_6000765 transcript:fgenesh1_pm.C_scaffold_6000765 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGVSYYLWIPFRFIHQTFRSLLLKLLGLRSPSDHSFPKEEEEKVEAVEVSSRGLPAKKNVLKKSRESSGKPGGTNKKPF >fgenesh1_pm.C_scaffold_6000800 pep chromosome:v.1.0:6:4125189:4126025:1 gene:fgenesh1_pm.C_scaffold_6000800 transcript:fgenesh1_pm.C_scaffold_6000800 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGYGDPSQKIDYVFKLVLIGDSGVGKSQILSRYARNEFSLDSKATIGVEFQTRTLVIDHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDVTRRQTFDHIPRWLEELRNNADKNIVIILVGNKSDLEDQRAISMEDAKDFAEKEGLFFLETSALNAVNVESAFSTVLTEIFNIVNKKSLVAGEGEGNGDPGSLAGKKIDIVPGPGQVIPTKNKLCCNT >fgenesh1_pm.C_scaffold_6000823 pep chromosome:v.1.0:6:4241963:4247414:1 gene:fgenesh1_pm.C_scaffold_6000823 transcript:fgenesh1_pm.C_scaffold_6000823 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFNNGVIRLVENPNQSEGVATQTNGRRYVLVYLPTGEAVSSHSSLEQILRSLGWERYFSGDSDLIQYHKRSSIDLISLPRDFSKFNSVYMYDIVVKNPNSFHKLTMPRKGLSNFDDYDDGFDDEDDAYDYDYDVDIEEHEEEEAAEPKEEIAKQGLWRCAICTYDNDESMFVCDICGVLRHPVAGNQSINKTTIGSLQLCCSPFKFDAPSPDDLVSNGLTSSKTGPKASGDASMRQKEKQDSAEQKPFKKGGDSSETSSRGRHDKLDNSVSKGGAGGIKSGKSLPKAKADMSNETSSSSKYLETSESLTGTMNKMSLIGETENSSDIKIRGPRSQSKHKPEEWMLLDKESDALSQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKQMHKYEKEAKLQGKGSFAYAWALDESAEERERGITMTVAVAYFNSKRHHVVLLDSPGHKDFVPNMIAGATQADAAILVIDASVGAFEAGFDNLKGQTREHARVLRGFGVEQVIVAVNKMDIVGYSKERFDLIKQHVGSFLQSCRFKDSSLTWIPLSAMENQNLVVAPSDNRLSSWYQGPCLLDVVDSVKSPDRDVSKPLLMPICDAVRSTSQGQVSACGKLEAGAVRPGSKIMIMPSGDQGTVRSLERDSQACTIARAGDNVAIALQGIDANQVMAGDVLCHPDFPVSVATHLELMVLVLEGATPILLGSQLEFHVHHAKEAATVVKLVAMLDPKTGQPTKKSPRCLTAKQSAMLEVSLQNPVCVETFSESRALGRVFLRSSGRTVAMGKVTRIIEDS >fgenesh1_pm.C_scaffold_6000835 pep chromosome:v.1.0:6:4298366:4300276:-1 gene:fgenesh1_pm.C_scaffold_6000835 transcript:fgenesh1_pm.C_scaffold_6000835 gene_biotype:protein_coding transcript_biotype:protein_coding MSINRNLLNIIIILCVCLNLGCNEGAQEREIDDSHTIQVSSLFPASSSSCVLSPRASTTKSSLHVTHRHGTCSRLNNGKATSPDHVEILRLDQARVNSIHSKLSKKLTTNHVSQSQSTDLPAKDGSTLGSGNYIVTVGLGTPKNDLSLIFDTGSDLTWTQCQPCVRTCYDQKEPIFNPSKSTSYYNVSCSSAACGSLSSATGNAGSCSASNCIYGIQYGDQSFSVGFLAKDKFTLTSSDVFDGVYFGCGENNQGLFTGVAGLLGLGRDKLSFPSQTATAYNKIFSYCLPSSASYTGHLTFGSAGISRSVKFTPISTITDGTSFYGLNIVAITVGGQKLPIPSTVFSTPGALIDSGTVITRLPPKAYAALRSSFKAKMSKYPTTSGVSILDTCFDLSGFKTVTIPKVAFSFSGGAVVELGSKGIFYAFKISQVCLAFAGNSDDSNAAIFGNVQQQTLEVVYDGAGGRVGFAPNGCS >fgenesh1_pm.C_scaffold_6000836 pep chromosome:v.1.0:6:4303428:4304725:1 gene:fgenesh1_pm.C_scaffold_6000836 transcript:fgenesh1_pm.C_scaffold_6000836 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKAVMGTGRRWAVEFSDQSTVPSSRDILDPPGFSRASQEQDDSANSRQKKDAEATWKLQKAWEVAQSPFKNLMMMGFMMWMAGNTVHLFSIGITFSALWQPISALQSVGKIFEPFKDNKVELLMPKLVFLALNLGGLALGVWKLNTLGLLPTHASDWVSSLPPPQVGNFCLKLNLCLSMCFRIIRVSKNLAF >fgenesh1_pm.C_scaffold_6000838 pep chromosome:v.1.0:6:4308032:4313537:-1 gene:fgenesh1_pm.C_scaffold_6000838 transcript:fgenesh1_pm.C_scaffold_6000838 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTHTEKKKRAEDRQLVCIKNSLSRFKEIMQLRLLFEVGQSIPVIISSSLIPKIGMFPPFSPHHWHLRVKNQRIREEERSKEREKVKTRNIDHFMEDLKREQEMRERRNKDHENSRDHNSDNTSSSRFDELPDDFDPSGRLGSLEDGDPQTTNLYVGNLSAKVDENFILRTFGRFGRIASVKIMWPITEEEKRRERHWGFVAFMNRVDGQAAKDEMQAENWMLLQALPAPPPGHMAIRSKEGCNLIFSGTSGPPITSVPNQNSELVLTPNVPDITVVSPEDEHLKHIIDTMALNVLDGGCAFEQAIMERGRGNPLFNFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPYIMIAGSGRWIPPPLPATRSPEHGKESTCTYAAGKSRSMEAEQTLTDSQRDEFENMLRALTLERSQIKEAMGFALDNADAAGEVVEVLTESLTLKETSIPTKVARLMLVSDILHNSSARVKNASAYRTKFEATLPDIMESFNDLYRSVTGRITAEALKVIPESKFLLQSHYYFVQERVLKVLQVWADWFLFSDAYINGLRATFLRSGNSGVTSFHSICGDAPDIEKKGLIGNMNNADKFNQDTALAMGQGDARQELMNLPISELERRCRHNGLSLVGGIEMMVARLLSLEVAEKQRGYEVVDENAKYRQGHSTWEEVNIEPKQMKTSYYKVETKEPVNLASRIPIPQPELKAFVKKEKNDLILPTSRWAREDDEQKKSYSSGSDNAGVLTFKTDEEDLKADPSIRVQPENEIEEEQRQKLKRIEVALIEYRESLEEQGVKYSEEIERKVAIHRKRLEADALKGNQRVLPEKREKREDSRDSSRKRYRSESQNRSQSPPQKLLTRERVRDHDLDKDRHRDRDRQQHDLDKDRERRAKSSSHERDDHDISRERDRDWRRRGMR >fgenesh1_pm.C_scaffold_6000857 pep chromosome:v.1.0:6:4392643:4396309:-1 gene:fgenesh1_pm.C_scaffold_6000857 transcript:fgenesh1_pm.C_scaffold_6000857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase [Source:UniProtKB/TrEMBL;Acc:D7M3L0] MRQFVITALVLLQVYVLHQSTCVVSLTSHESLSPQPSAFTPDLSPGLQQGEKELHKQDSNNMRLVISLAAAFSLVGIILVCSLLYWFCHRRRNPKSSGCGCSEITFSRFLNGFSRSKTLDKRATKQGIVSLIDYNILEKGTSGFKESNILGQGGFGCVYLATLENNISAAVKKLDCANEEAAKEFKSEVEILSKLQHPNIISLLGYSTNDTARFIVYELMPNVSLESHLHGSSRGSAITWPMRMKIALDVTRGLEYLHEHCHPAIIHRDLKSSNILLDSNFNAKISDFGLAVVDGPKNKNHKLSGTVGYVAPEYLLNGQLTEKSDVYAFGVVLLELLLGKKPVEKLAPGECQSIITWAMPYLTDRTKLPSVIDPAIKDTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPMELGGTLKTIKYASMDHC >fgenesh1_pm.C_scaffold_6000863 pep chromosome:v.1.0:6:4445835:4448673:1 gene:fgenesh1_pm.C_scaffold_6000863 transcript:fgenesh1_pm.C_scaffold_6000863 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLFGLFIGIAVSFGLVVAFARYSNVRSTRRADLAKTIAAFARMTVQDSRKLLPGDFYPSWIVFSQRQKLSYILSLFHFHHFLNWLNLELEKIWPYVNEAASELIKSSVEPVLEQYTPAMLASLKFSKFTLGTVAPQFTGVSILESESGPNGITMELEMQWDGNPKIVLDIKTLLGVALPIEVKNIGFTGVFRLIFKPLIDEFPCFGALSYSLREKKGLDFTLKVIGGELTSIPGISDAIEETIRDAIEDSITWPVRKIIPILPGDYSDLELKPVGKLDVKLVQAKDLANKDMIGKSDPYAVVFIRPLRDKTKRTKTISNSLNPIWNEHFEFIVEDVSTQHLTVRVFDDEGVGSSQLIGAAQVPLNELVPGKVKDIWLKLVKDLEIQRDTKNRGQLELLYCPLGKEGGLKNPFNPDYSLTILEKVLKPESEDSDATDVKKPATSKKKDVIVRGVLSVTVVAAEDLPAVDFMGKADPFVVITLKKSESKSKTRVVPDSLNPVWNQTFDFVVEDALHDLLMLEVWDHDKFGKDKIGRVIMTLTRVMLEGEFQEWFELDGAKSGKLCVHLKWTPRLKLRDAS >fgenesh1_pm.C_scaffold_6000865 pep chromosome:v.1.0:6:4457763:4458865:1 gene:fgenesh1_pm.C_scaffold_6000865 transcript:fgenesh1_pm.C_scaffold_6000865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7M3M4] MEKRFKIWTYREGEAPLFHKGPLNNIYAIEGQFMDEIENGNSRYKAASPEEATVFYIPVGIVNIIRFVYRPYTSYARDRLQNIVKDYISLISNRYPYWNRSRGADHFFLSCHDWAPDVSAVDPELYRHFIRALCNANASEGFTPMRDVSLPEINIPHSQLGFVHTGEAPQNRKLLAFFAGGSHGEVRKILFEQWKEKDKDVLVYEYLPKTMNYTKMMDKAKFCLCPSGWEVASPRIVESLYSGCVPVIIADSYVLPFSDVLNWKTFSVHIPISKMPDIKKILEAISEEEYLEMQRRVLEVRKHFVINRPSKPYDMLHMIMHSIWLRRLNVRIPLSE >fgenesh1_pm.C_scaffold_6000870 pep chromosome:v.1.0:6:4481206:4485109:-1 gene:fgenesh1_pm.C_scaffold_6000870 transcript:fgenesh1_pm.C_scaffold_6000870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7M3N1] MSFFHHLVSRFLPLWLLIFLIFLSLGNSQKEALQVKVGIVLGSNVTFADLSLRAIEMSLSEFYNTHNGFKTRIVLNIRDSKQTVVGAAASALYLIKKREVVAIIGPGNSMQAPFLINLGNQTKVPIISFSATSPLLDSLRSPYFIRATHDDSSQVQAISAIIESFRWREVVPIYVDNEFGEGILPYLVDAFQEINVHIRYRSSISVHSSDDQIKKELYKLMTMPTRVFIVHMLPDLGSRLFSIAKEIGMMSKGYVWIVTNGIADLMSVMGEPGLENMHGVLGVKTYFARTKELMYLEARWRKRFGGEELNNFACWAYDAATALAMSVEEIRHVNMSFNTTQENTSRDDIGTDLDDLGFALSGPKLLQALSTVSFKGVSGRFQLKNGNLEATTFKIINIEESGERTVGFWKSKVGLVESLRVHQVSHRSRRLRPIIWPGDTIFVPKGWEFPTNAKKLRIAVPKKDGFNNFVEVTKDENANVPRVTGFCIDVFNTVMSQMPYAVSYEYVPFETPDGKPSGSYDEMVYNVFLGEFDGAVGDTTILANRSHYVDFALPYSETGIVFVVPVKDGKEKGEWVFLKPLTKELWLITAASFLYIGIMVWIFEYQADEEFREQMIIDKISSVFYFSFSTLFFAHRRPSESFFTRVLVVVWCFVLLILTQSYTATLTSMLTVQELRPTVRHMDDLRKSGVNIGYQTGSFTFERLKQMRFDESRLKTYNSPEEMRELFLKKSSNGGIDAAFDEVAYIKLFMAKYCSQYSIIEPTFKADGFGFVSIPLGSPLVSDISRQILNITEGDTMKAIENKWFLGEKHCLDSTTSDSPIQLDHHSFEALFLIVFVVSIILLLLMLASRRYQERQRNASLNLPNDQATAAQEESNEEGNFGDHIVEVDTALLRRKKLTSKTIPIRRVAQLSRLKSA >fgenesh1_pm.C_scaffold_6000874 pep chromosome:v.1.0:6:4497207:4501034:1 gene:fgenesh1_pm.C_scaffold_6000874 transcript:fgenesh1_pm.C_scaffold_6000874 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVNIKDVLTSFSPALDYLALSTGDGRIKIWDTVKGQVQTEFADIASTEETNIYTKVGKGHLSVDYTCMKWLSLEKKKKRKLGTSVLVLGTGGGDVLALDVASGQLKWRISDCHPGGVNAVSSSAKASCIYSGGADGMVCQIDPHSGNLIRKFKASTKTVSSLCVSPDGKILVTASAQLKTFNCSDLKKIQKFTGHPGGVRCVAFTEDGKYVLSSAVGERYIAVWKTNGAKKQSASCVLALEHPPVFVDSWGETNEKGLYVLAISEIGVCYFWYGSNVEELCNATPTKVALATADSSLKPHKGSLPLIFAAKLQGILKPGSAHAFIASGLLVKPSFQKMVLQFGTDLVLNASKDGILLPITQSVSKSSKGKGVQNKVTTLDRAHAEDALLPIARVADLHEKKSVQLHSSDKDTDMVDQSQADHVETFSMEDKLRSLGILGGTDEQKNVSYASIIDGIDLEAYLPPKKLKSAVSSMEPSSAFKTLEALVAMWQTRACGGRHLLPWIYSIMVNHSHYIMSQEPKNQLLNTLVKITKSRGTALQQLLQLSGRLQLVTAQINKAAVNQTQTVAHDQEIDESEDEEEDVEEHFYGENNDIESDLSSDDGKDKDDSLMEM >fgenesh1_pm.C_scaffold_6000885 pep chromosome:v.1.0:6:4541303:4542785:-1 gene:fgenesh1_pm.C_scaffold_6000885 transcript:fgenesh1_pm.C_scaffold_6000885 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7M3P9] MGNIVKPFKQQPSSFAYQPLTVPLISEVEAQNENLRVFSFKEWMKATKKYRQDRVELCDNPYIRFRTFYKGYIDNTTFAPSRTKTGTPVSVVEYLHKSSQALQEWVADVYIFGVILLEILAGSKARSRNMKNQSSYDWTGSFLPDNYKIEEIIDPRLGSDYPVDAATKMFTLIQSCTKRDKKNRPLMQQVLDVLNNIAEIEY >fgenesh1_pm.C_scaffold_6000889 pep chromosome:v.1.0:6:4554345:4555784:-1 gene:fgenesh1_pm.C_scaffold_6000889 transcript:fgenesh1_pm.C_scaffold_6000889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M3Q2] MGNCLKPLKPSSASPKPLINPPISGESKNEYLREFNFAKLSKATKKFRQYMIIEGNDNGCTRTFYQGYINETTFAPSRTGIAVSVLECYQDNSQTLQDWKEEVKSLGRISHPNLVKLLGYCCEENKSFLVFEYLHKGSLDRHIFGKEEEALPWEIRVKIAIGAAQSIAYLHSVKNSALYRELRMYNILLDENYNTKLFYLGSKKLCLLEESVTTAFIGRTVYVPPEYIISGHLGTKSDVYTFGVILFEILTGLKASDGKKNENMQSLHVWTKPFLSDQSKIREIIDPRLGNDYPVNAATQMGKLIKRCIKLDTRKRPSMQQVFDGLNDIAEIKD >fgenesh1_pm.C_scaffold_6000916 pep chromosome:v.1.0:6:4744536:4747951:1 gene:fgenesh1_pm.C_scaffold_6000916 transcript:fgenesh1_pm.C_scaffold_6000916 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKVFDQTVREIKREVNLKVLKVPEMEQKVLDATDNEPWGPHGTALAEIAQATKKFSECQMVMSVLWTRLSETGKDWRYVYKALAVVDYLISNGSERAVDEIIEHTYQLSSLTSFEYVEPNGKDVGINVRKKAENIVALLNNKEKISEIRDKAVANRNKYVGLSSTGITYKSGSSASFGGSFQSGSSNYDSYKDKDSREEKNDYESFQKSRRGVKSEEQSYTSKKSFSRYGSTDHDNLSSGKKSPDSAKHSSYVSAAPSNNDDDFDDFDPRGTSSNSMFLSTTAEFADCLSFIGEKVASAKQVDLFGGDLIGDLLDSGPTETSSTNNNENFQEADLFADAAFVSASAQGTEFGSQTQKEVDLFSASEPSFTVSSAPPTVDLFASSESVASPEAKISKPESMTNPNIVDPFAAVPMDNFDGSDPFGAFTSHSASVSTGPQAPSVHGSATNTTSPLSLAGLKPQHLQKKDPFQVKSGVWADSLSRGLIDLNITAPKKASLADVGVVGDLSNDEGNKASAASYYSGWSMGAGSGLGKTGLYSAQQQQQQQAPDISDDFFSSLSNQRYQSGGFKQ >fgenesh1_pm.C_scaffold_6000920 pep chromosome:v.1.0:6:4759148:4760287:1 gene:fgenesh1_pm.C_scaffold_6000920 transcript:fgenesh1_pm.C_scaffold_6000920 gene_biotype:protein_coding transcript_biotype:protein_coding MENNPKIRSSDYLPEDLIAQILVNLPSKSVVKLIVVSKLWSSIIRSKSFIDLYLERSLTRPCLLFSFHRINRRFFHSISQEAISEEATPDYNVCTPVRGLICCQDLDDVVVSNPSTGQFLVLPKLNTKRKQISRFFGYDPIEDEYKVLCMTVLQVSYDYGPVVSEEHQVFTLGGTEKKKEATWRMITCKAPHCPATEGICIDGVVYYGAWPNSKNRGSLIVGFDVRLEEFTLVKLPDGVEIYYSLDSELVNYQRKLAVANLSYRGKFELWVLDKQEWSKISVMVPSWKYLFGRDLFRCRGAISSGEFIFTPLLSAWASVIISYDRKEEIARRVEIEGVEDRAHYMKVFLDHVECPILL >fgenesh1_pm.C_scaffold_6000950 pep chromosome:v.1.0:6:4903429:4903884:-1 gene:fgenesh1_pm.C_scaffold_6000950 transcript:fgenesh1_pm.C_scaffold_6000950 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILKTQVHVVVISLLIQIAFSQVKTDFDVNWSTIKTMVRITNRLGDGSTLNLHCKSSDDDLGLKILAPNSSWSFKFRPTIIVGSTIFSCHFTWPGQSKRFNIYDDDRDGVRRGIPCIYCIWDITKDGPCRFNESDHAFDICYDWNGNPR >fgenesh1_pm.C_scaffold_6000986 pep chromosome:v.1.0:6:5058304:5061020:-1 gene:fgenesh1_pm.C_scaffold_6000986 transcript:fgenesh1_pm.C_scaffold_6000986 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDATALLLTKIRSLEPDYAPKIIGYLLLQDFGDRDLMHLARGPESILQSIISKVKSHLGIFSNNSPSSTPTSPSPLNPICRPPINGRGSSHSNGFMDFRRNSPSSPSSTSPWSLNNSMNPINGNNPHISPKHTPIPKPFSSHQSNGVSATDSGSADAGGNADLLDDQQLNDYLSFLDDSCSKTEDLVDPRIPLDYSVDDGETHLHRRSFSADASFGSGDDGFGSGCKPCVYFSRGLCKNGESCKFIHGGYPENMDCNGIVADSPRKMENFVRQHEEMMRLKLAYQQQRLASQILGRAPLLPYEKRMDFLLQQHAQRDGGLPFGDERFWSSSPGRLERMELMAMQLGDQSNSVSRQIYLTFPADSTFKDEDVATYFSLFGTVQDVRIPYQQKRMFGFVSFAHPETVKVVLARGNPHFICDSRVLVKPYKEKGKVLDKKQQQLLQQQIERGNYSPCSSPSGVDPREQSDFHLVGSKMLYERREMMRRKMEQADLLRAIELERRRFINLQLPEFKNSVMQNHHRSFSVGSPGYFSSASNQSPDFQSELTVADALEVVDDTSELHPYPVINPMSVNNNYSKGAKEWTDKSELLEPDTGSTIELVLPSNLFPSASSTDDHKTDDSAATNAKVGVSSTNGNDNEPPVTTNNLMQ >fgenesh1_pm.C_scaffold_6000998 pep chromosome:v.1.0:6:5147014:5151159:-1 gene:fgenesh1_pm.C_scaffold_6000998 transcript:fgenesh1_pm.C_scaffold_6000998 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYLVSPESSPAKSTTTVRRPWKRSLIEVTGRLESAYRHELYSLVAHSYLEIGKFSHFYHLNENPCITHMREIQRLYHCPTMRGVAGLDFDSRGIFIVSVTRSGCLMVHDFESLYCQSKVGPGSLEDESKHVVHFSYPPGREFDVARWNPSNQNEVACTSRKHDQVLIFDISYMSPKPTEVLQTRQKLSIIGRKVSRGLSDVAMTSDEDSRIFSPDTLGMVHVWDRRAGVSPCIELSTDRYDSIKSIQIYVDNQTIFGAGKEGIIHIWDLRGGRNSSAFQSRKDVSLTRGELELAMAMSQLPLASLHLALMLQKIASLKAQSKILPKEIHSINVNPSSPHQLAFHLDDGWSGVLDIYKSEVTHVHCPPPAWLDGSDSSADLILRKPSWLPASSIYVVGSMSEKGIHVLDFHPSSRSPCHVDYDEDTQRNEKRDRCNHSNKFVSLSETVTGCAAHPLNGMIVAGTQNSSLLVIAQRHCSSSSETAEGEL >fgenesh1_pm.C_scaffold_6001006 pep chromosome:v.1.0:6:5194191:5205177:-1 gene:fgenesh1_pm.C_scaffold_6001006 transcript:fgenesh1_pm.C_scaffold_6001006 gene_biotype:protein_coding transcript_biotype:protein_coding MSATRGGPEQGPSQPQQRRIVRTQTAGNLGESFDSEVVPSSLVEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLEREHDPTLMGRVKKSDAREMQSFYQHYYKKYIQALHNAADKADRAQLTKAYQTANVLFEVLKAVNLTQSIEVDREILEAQDKVAEKTQLYVPYNILPLDPDSANQAIMRYPEIQAAVLALRNTRGLPWPEGHKKKKDEDMLDWLQEMFGFQKDNVANQREHLILLLANVHIRQFPKPDQQPKLDDQALTEVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEMQQRKLLYMALYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEDAFLRKVVTPIYEVIQMEAQRSKKGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFCLPVAVPNTEKDGDNSKPTVARDRWVGKVNFVEIRSFWHVFRSFDRMWSFYILCLQAMIIMAWDGGQPSSVFGADVFKKVLSVFITAAIMKLGQAVLDVILNFKAHQSMTLHVKLRYILKVLSAAAWVIILPVTYAYSWKDPPAFARTIKSWFGNAMHSPSLFIIAVVFYLSPNMLAETNEKHPMCFFADATIISYIFYTLQPRLYVGRGMHESAFSLFKYTMFWVLLIATKLAFSYYIEIKPLVAPTQAIMKARVTNFQWHEFFPRAKNNIGVVIALWAPIILVYFMDSQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNDRLIPDGKNQQKKKGIRATLSHNFTEDKVPVNKEKEAARFAQLWNTIISSFREEDLISDREMDLLLVPYWADRDLDLIQWPPFLLASKIPIALDMAKDSNGKDRELKKRIESDTYMKCAVRECYASFKNIIKFVVQGNREKEVIEIIFAEVDKHIDTGDLIQEYKMSALPSLYDHFVKLIKYLVNVLLVLDNKEEDRDHVVILFQDMLEVVTRDIMMEDYNISRLATFYRNLGAIRFPIEPVTEAWKEKIKRIYLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPMAPKVRNMLSFSVLTPYYTEEVLFSLRDLETPNEDGVSILFYLQKIFPDEWNNFLERVKCLSEEELKESDELEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAMHEDLMEGYKAVELNSENNSRGERSLWAQCQAVADMKFTYVVSCQQYGIHKRSGDPRAQDILRLMTRYPSLRVAYIDEVEEPVKDKSKKGNQKVYYSVLVKVPKSTDHSSLAQNLDQVIYRIRLPGPAILGEGKPENQNHAIIFSRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTKHDGVRHPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLRVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMMSCYFTTVGFYFSTLITVLTVYIFLYGRLYLVLSGLEQGLSTQKGIRDNTPLQIALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFVLMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRSTGRGFVVFHAKFADNYRLYSRSHFVKGLEMMLLLVVYQIFGSAYRGVLAYLLITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWINNIGGIGVPAEKSWESWWEEEQEHLRYSGKRGLWSIMAGDFLDIVCDEDCFGWKAEIQREFSADVPVDKGANIHDVYRNHCDIDHTGSHDDTRHNCVYPCLYAHRLGDALACKPVVHRAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRSSRNKE >fgenesh1_pm.C_scaffold_6001077 pep chromosome:v.1.0:6:5592829:5594084:1 gene:fgenesh1_pm.C_scaffold_6001077 transcript:fgenesh1_pm.C_scaffold_6001077 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGVPDFFYREAQRLGYVARSAFKLLQIQKQYKLIKPGSSVLDLGCAPGAWLQVACQSLGPLKSGGIVVGMDIKKVKVPPQCDSRVQTIAADVLNFPRQTIRELSPQQLGFSVILSDMCHSVSGITTRDAALSAELGMRALDLAVGQAAISQSPDDDNDGGDEVPSNESRRGVLRHGGHLVIKLLESEDAQDFARICKPIFNKASWLRPKATRSSSREIYLICQGFR >fgenesh1_pm.C_scaffold_6001086 pep chromosome:v.1.0:6:5618434:5620622:-1 gene:fgenesh1_pm.C_scaffold_6001086 transcript:fgenesh1_pm.C_scaffold_6001086 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNFKVITNEEIQVALSAQYRLNLPIVVNEAKLDTKLLTRFFTKFPRDDLPRFADKTWVWDRSYESLLLPSQNRYNPCPYLELSSHYHMIDISIETEKDSLYIERIRIEKLKLSLSNLMKKITIQEPTFERIIVVYRRVSGKKEAERNIYVKHFKTIPMADMEIVLPEKKNPGLTPLDWVKFLVSAAIGLVTVVSSVSLKKTDIRVIAAILSTVVAYCVKTYFTFQRNLVDYQSLITRSVYDKQLDSGRGTLLHLCDEVIQQEVKEVIISFFMLIKKGCPTSKEELDMQSEAFIKKEFNESCNFDVDDAITKLEKLGLVSRDSEDKYRCVEMKEANEIMGTTTEEMVLKARKGGEYEDEETTENEPQLNPQDELTAKEERFQSRYDEFESLWM >fgenesh1_pm.C_scaffold_6001091 pep chromosome:v.1.0:6:5653750:5654639:1 gene:fgenesh1_pm.C_scaffold_6001091 transcript:fgenesh1_pm.C_scaffold_6001091 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC084 [Source:UniProtKB/TrEMBL;Acc:D7M5Z0] MEVEKRIVVNGGMRLPIGYRFHPTEQELILHYLLPKAFASPLPSSIIPVFDLFFSHPLTFPGDQKEKQRYFFCKKREVSSNEHRIKIPSGDGYWKPIGKERPIIACGKTFGIRRTLAFYETNKSSSNCNKTKWSMTEYCLAGFASAKVFGEWAVYNVYERKGSKGRKQRKSREGDDEELSCVHHFTVGSNHETGPPPPSPPTSADE >fgenesh1_pm.C_scaffold_6001110 pep chromosome:v.1.0:6:5724710:5728207:-1 gene:fgenesh1_pm.C_scaffold_6001110 transcript:fgenesh1_pm.C_scaffold_6001110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase [Source:UniProtKB/TrEMBL;Acc:D7M611] MAGSVMVPSVSIGLALCVLIFFALSLKPLEARGTFGRLAGKPPQRTASGGICASSVHIYGYKCEEHDVVTQDGYILNMQRIPEGRTGTGAGDGGKRQPVLIQHGILVDGMSWLLNPADQNLPLILADQGFEVWMGNTRGTRFSRRHKYLDPSQRAFWNWSWDELVSYDLPAMFDHIHGLTGQKIHYLGHSLGTLIGFASFSEKGLVDKVRSAAMLSPVAYLSHMTTVIGDIAAKSFLAEATSILGWAEFNPKSSGLVGGFIKAICLKAGIDCYDLLSVITGKNCCLNASTIDLFLANEPQSTSTKNMIHLAQTVRVKELRKYNYGSSDHNIKYYGQAIPPAYNISAIPHDLPLFFSYGGLDSLADVKDVEFLLDQFKYHDIDKMNVQFVNDYAHADFIMGVTAKDVVYNQVATFFKRQA >fgenesh1_pm.C_scaffold_6001137 pep chromosome:v.1.0:6:5838236:5839889:-1 gene:fgenesh1_pm.C_scaffold_6001137 transcript:fgenesh1_pm.C_scaffold_6001137 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPKPAISGNDKAVFEHRSFARIGFLGNPSDVYFGRTISFTIGNFWAWAKLEPSDHLLIKPHPFHDLVQFDSLDNLVYRLENDGYYGGVRLLMAICKVFRNYCKDNGIQLHEKNFTLSYDTNIPRQTGLSGSSAIVSAALSCLLDFYNVRQSIRIEVRPNLILNAEKELGIVAGLQDRVAQVYGGGLVHMDFSKEHMDKVGYGIYTIMDINLLPPLHLIYAENPSDSGKVHSTVRRRWLDGDEFIISSMAEIAKLAEEGRTALLKKDYSKLKELMNRNFDLRRSMFGDECLGAMNIEMVEVARKIGAAAKFTGSGGAVVVFCPDGPSQVKLLEEECRKSGFIVEPVKLVPTRLNNSDLKTLSKP >fgenesh1_pm.C_scaffold_6001142 pep chromosome:v.1.0:6:5866176:5869857:-1 gene:fgenesh1_pm.C_scaffold_6001142 transcript:fgenesh1_pm.C_scaffold_6001142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pescadillo homolog [Source:UniProtKB/TrEMBL;Acc:D7M6K4] MPKHYRPAGKKKEGNAARYMTRSQALKHLQVNLNLFRRLCIVKGIFPREPKKKVKGNHHTYYHVKDIAFLMHEPLLEKFREIKTYQKKVKKAKSKKNEELARLLLTRQPTYKLDRLIRERYPTFIDALRDLDDCLTMVHLFAVLPASDRENLEVKRVHNCRRLTHEWQAYISRCHALRKVFVSVKGIYYQAELEGQKITWLTPHAIQQVFTNDVDFGVLLTFLEFYETLLAFINFKLYHSLNVKYPPILDSRLEALAADLYALSRYIDASSRGMAVEPKVDASSSSQSNDREESELRLAQLQHQLPSSEPGALMHLVADNNKEVEEDEETRVCKSLFKDLKFFLSREVPRESLLFVLPAFGGMVSWEGEGAPFKEDDESITHHIIDKPSAGHVYLSRVYVQPQWIYDCVNARIILPTEKYLVGSRIPPPHLSPFVDNEAEGYVPDYAETIKRLQAAARNEVLPLPGVGKEDLEDPQNLLYAGVMSRAEEAEAAKNKKKMAAQEKQYHEELKMEITASKDVVAPVVTEDEESVPDAMQIAQENADMSTVLMSRKKRKLYEAMKIGQKKKKASVELIEQRKKKLNDAQS >fgenesh1_pm.C_scaffold_6001149 pep chromosome:v.1.0:6:5893252:5895423:1 gene:fgenesh1_pm.C_scaffold_6001149 transcript:fgenesh1_pm.C_scaffold_6001149 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (adenine(58)-N(1))-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M6L1] MLPTESKKALSFKRYIEDGDLVIVYERHDVMKPVKVSKDAVLQNRFGVYKHSDWIGKPLGTKVFSNKGKFVYLLAPTPELWTLVLSHRTQILYIADISFVVMYLEVVPGCVVLESGTGSGSLSTSLARAVAPTGHVYSFDFHEQRAVSAREDFEKTGISSLVTVEVRDIQGEGFPEKLSGLADSVFLDLPQPWLAVPSAAKMLKQDGVLCSFSPCIEQVQRTCEVLRSDFIGKFSEIRTFEVLLRTYEVKEVKMDTSSMVGESHEEDNEGMRPCKRKHRSNEDVTVSQDNSSNATSVVMARPCSEARAVLAGYIAWRTVNSTPALFSDESLPAEAKDKQGLDYKRKMDDGLWTFVDMASGRYLWRNLKEMRENSQ >fgenesh1_pm.C_scaffold_6001155 pep chromosome:v.1.0:6:5919481:5920596:1 gene:fgenesh1_pm.C_scaffold_6001155 transcript:fgenesh1_pm.C_scaffold_6001155 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor A1B [Source:UniProtKB/TrEMBL;Acc:D7M6L8] MGLNFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKVNN >fgenesh1_pm.C_scaffold_6001159 pep chromosome:v.1.0:6:5946575:5947909:1 gene:fgenesh1_pm.C_scaffold_6001159 transcript:fgenesh1_pm.C_scaffold_6001159 gene_biotype:protein_coding transcript_biotype:protein_coding MESLDTNFPVRHRNISFESKGNKTEIVICSYEDHILVIATQIGAMGTILHARKEEGMSVEPTFSVSVIFGKRDEPMLTATARRLIEHISSIVPSKPLVLSLGLKDHSSETLKEIVATVIENRLW >fgenesh1_pm.C_scaffold_6001171 pep chromosome:v.1.0:6:6044443:6047815:1 gene:fgenesh1_pm.C_scaffold_6001171 transcript:fgenesh1_pm.C_scaffold_6001171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:D7M6N8] MDLERLSPRNPIKKESWWTVLTLAYQSLGVVYGDLATSPLYVYKSTFAEDITHSETNEEIFGVLSLIFWTLTLIPLVKYVFIVLRADDNGEGGTFALYSLLCRHARISSLPNFQLADEDLSEYKKTSGENLRSLKVPGWSLKNTLEKHKFLQNMLLVLALIGTCMVIGDGVLTPAISVFSAVSGLELSMSKQQHQYVEVPVVCAILILLFSLQHYGTHRLGFVFAPIVLAWLLCISTIGVYNIFHWNPQVYKALSPYYIYKFLKKTRKRGWMSLGGILLCITGSEAMFADLGHFTQLSIQIAFTFAVYPSLILAYMGQAAYLSKHHVLESDYRIGFYVSVPEQIRWPVLAIAILAAVVGSQAIITGTFSIIKQCTSLGCFPKVKIVHTSSRMHGQIYIPEINWTLMLLCLAVTVGFRDTKHISNASGLAVITVMLVTTCLMSLVIVLCWRKSSLYALAFIFFFGTIEVLYFSASLIKFLEGAWVPVALSFIFLLIMYVWHYGTLKRYEFDVQNKVSINWLLTLFGSSNLGIVRVQGIGVINTELVSGIPAIFSHFITNLPAFHQVVVFLCVKSVPVPHVKPEERFLVGRVGPKEYRLYRCIARYGYRDVHKDDVEFENDLICSIAEFIRSDKPLNYSPDPENESGTSERLTVVAASSSNLEGVQIFEDDGSDKQEPSSSSEVIVVAPSPRIKKRVRFVLPESARIDRSAEEELTELTEAREAGMAFIMGHSYVRAKSGSSVMKKIAINFGYDFLRRNSRGPCYGLSTPHASTLEVGMVYIV >fgenesh1_pm.C_scaffold_6001172 pep chromosome:v.1.0:6:6048222:6052237:1 gene:fgenesh1_pm.C_scaffold_6001172 transcript:fgenesh1_pm.C_scaffold_6001172 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M6N9] MRKYANLLILSVIFMFFFCGLSSVSAKPPPVKIVSGLVTNVASILWKWLWSLQTSTTTTTTTKSGVSSRSMVKYESGYNIETVFDGSKLGIEPYAIEVSPNGGELIVLDSENSNIHKISMPLSRYAKPKLVSGSQEGYTGHVDGKLKEAKMNRPRGLAIDDSGNIYVADTNNMAIRKISDDGVSTITAGGRWSGGSKEESMRFSDDFDLIYVSSSCSLLVIDRGNQMIKEIQLHDHDCSHQEPDTDNLHLGTALLVAAAFFGYMFALLVRRVRSLFSSSGHDNKRHVAKPSMTMAPYQRYPRPVRQPLIPPQHEPEKEEGFLGSLGKLVVKTGSSVSEMMSGSRNVIPPNFHQYHHQQEPNQWPVQESYAIPEEDGPPALEPRSGTNPDKPYLRAQGTNQNRSYYQDYDQYQNQQKRNVNDTASFEDNREKSEIVFGAVQEQDGRREAMVIKAVDFNEAINDQRNLRPRINYMGYSSQLPGLTAFCTDLTKRKREEEERDFLQEKEKIRDSSLYSSLTLSLNLLDLFSNQFHCFMGLQSFPISEMDATDEMHEAMFAKRGCCFILPCLGSSQPSGPNGSVWWQRIRTVDKLEPDERWWVSGWMKMREWSELVAGPKWKTFIRRFGRNHCCGGVDGGCNRPEHVSFRYDSWSYSLNFDDGKQTGHFEDEFPYRDYSMRFAAPSLPVSTKCSIDFDNDNHAPSLFK >fgenesh1_pm.C_scaffold_6001176 pep chromosome:v.1.0:6:6064767:6065917:-1 gene:fgenesh1_pm.C_scaffold_6001176 transcript:fgenesh1_pm.C_scaffold_6001176 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNPRETEATTYSNSIPIDLLFEILLRLPAKSVTRFVSVSKLFAKIIRSQDFIRSFSFRSSKQKQRVLLAFSGVDKEEGRENWFFLSSSLEDSSSSVFLSTKACHAPELRYTRPSCVHGLISFVYGQEHIICNPATEEVFSKGFLDIYDHVDDIYKVLCVTEPMFGTGRKLVAASQCQVLTLESSKHQKYSWRKIECSIPHRPQSEGICTIGVLYYYALMGREIMEPSLVRFDPVEVRNCLKSSNLINYKGNVALSHSNFDFKKHQRLKVSLYISPGLIRFRHVDFRGIAHTGAVIYATYDLEDYHLLLHDRKTDTLKDVEIDVNSYEFGRLSGVVSLVDYVESLMFS >fgenesh1_pm.C_scaffold_6001187 pep chromosome:v.1.0:6:6131817:6134115:-1 gene:fgenesh1_pm.C_scaffold_6001187 transcript:fgenesh1_pm.C_scaffold_6001187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:D7M6R4] MISWLDIYHVVSATVPLYVSMTLGFLSAKHIKLFSPEQCAGINKFVAKFSIPLLSFQIISQNNPYKMSPKLILSDILQKILAVVVLAAVLRFWHPPGGRGGKLGWLITGLSISVLPNTLILGIPILSAIYGDEAASILEQIVVLQSLIWYSILLFLFELNAARALPSSGPSLEHTGNDHEEANIEEEPKEENEEEVAIVRTRSIGTMKILLKAWRKLIINPNTYATLIGIIWATLHFRLGWNLPEMIDKSIHLLSDGGLGMAMFSLGLFMASQSSIIACGTKMAIITMLLKFVLGPALMIASAFCIRLRSTLFKVAILQAALPQGVVPFVFAKEYNLHPEIISTPGDLWNANCLTNNFGILFSIGPMNMTC >fgenesh1_pm.C_scaffold_6001192 pep chromosome:v.1.0:6:6162384:6164087:-1 gene:fgenesh1_pm.C_scaffold_6001192 transcript:fgenesh1_pm.C_scaffold_6001192 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHB-3 [Source:UniProtKB/TrEMBL;Acc:D7M6R9] MYMYEEERNNINNNQEGLRLEMAFPQHGFMFQQLHEDNAHHLPSPTSLPSCPPHLFYGGGGGNYMMNRSMSFTGVSDHHHHLTQKSPTTTNNMNDQDQVGEEDNLSDDGSHMMLGEKKKRLNLEQVRALEKSFELGNKLEPERKMQLAKALGLQPRQIAIWFQNRRARWKTKQLERDYDSLKKQFDVLKSDNDSLLAHNKKLHAELVALKKHDRKESAKIKRELAEASWSNNGSTENNHNNNSSDVNHVSMIKDLFPSSIPSATTTTTSTNIDHQIVQEQDPGFCNMFNGIDETTSASYWAWPDQQQQHHNHHQFN >fgenesh1_pm.C_scaffold_6001195 pep chromosome:v.1.0:6:6180237:6181985:1 gene:fgenesh1_pm.C_scaffold_6001195 transcript:fgenesh1_pm.C_scaffold_6001195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7M6S2] MTALKMTISCFFFLQVISCLLSSFAPTNVQGLQVGFYDKACPKAELIVKKSVFEAINKDPTLGAPLLRMFFHDCFVRGCEGSLLLELKNKKDEKNAIPNLTLRGFEIIDNAKAALEKECPGIVSCSDVLALVARDAMLALNGPSWEVETGRRDGLVTNITEVLLNLPSPFNNISSLITQFQSKGLDKKDLVVLSGGHTIGHGHCPQITNRLYNFTGKGDSDPNLDTKYAANLRRKCKPTDTTTALEMDPGSFKTFDESYFKLVSQRRGLFQSDAALLDNQETKSYLLKHMNSDKSTFFKDFGVSMVKMGRIGVLTGQAGEVRKKCRMVN >fgenesh1_pm.C_scaffold_6001221 pep chromosome:v.1.0:6:6299010:6301995:-1 gene:fgenesh1_pm.C_scaffold_6001221 transcript:fgenesh1_pm.C_scaffold_6001221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M7C9] MRSGRRPQGRRKASRNETETELRSRIGEKSRSEKRNLIGSDVGRMQLHISPSMRSITISSSNEFIDLMKIKVAARHISYRTLFHTILILAFLLPFVFILTALVTLEGVNKCSSIGRRIGPRLLGRVDDSERLARDFYKILNEVSTQEIPDGLKLPNSFSQLVSDMKNNHYDAKTFALVLRAMMDKFERDMRESKFAELMNKHFAASSIPKGIHCLSLRLTDEYSSNAHARRQLPSPEFLPVLSDNAYHHFILSTDNILAASVVVSSAVQSSSKPEKIVFHIITDKKTYAGMHSWFALNSVAPAIVEVKGVHQFDWLTRENVPVLEAVESHNGVRNYYHGNHVAGANLTETTPRTFASKLQSRSPKYISLLNHLRIYIPELFPNLDKVVFLDDDIVVQGDLTPLWDVDLGGKVNGAVETCRGEDEWVMSKRLRNYFNFSHPLIAKHLDPEECAWAYGMNVFDLQAWRKTNIRETYHSWLRENLKSNLTMWKLGTLPPALIAFKGHVHIIDSSWHMLGLGYQSKTNIEHVKKAAVIHYNGQSKPWLEIGFEHLRPFWTKYVNHSNDFIKNCHILE >fgenesh1_pm.C_scaffold_6001236 pep chromosome:v.1.0:6:6389592:6392175:1 gene:fgenesh1_pm.C_scaffold_6001236 transcript:fgenesh1_pm.C_scaffold_6001236 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M7F0] MRRRPSKKSKTENNKDLHTSEERIKFDEIPHDLVIEILRRLPAKSVARFLTVSKLWATTIRSPDFIKSYPFGSSSKPRTLIVCDLNYKEPNPNVHFFRPSSSSSTSTSLLSRVTCPFTYPRHMEYYYHHVNGLISVGYGTEQIVTNPITGKFITLPKPRTRRKLVRSLFGYDSVSDQYKVLCMTERLRGHPEEASSQHQVYTLGAKKKSWKMINCSIPHRPWSWNGVCINGVVYYIAKTGAGMLQLSLMRFDLKSDDLDLFTSLPEEIETSLYDCLLINYEGKVAIPTRPTDNTCDVWVMNQEGGKLEWLKKISFSTKPWKGSCYLVVTGTTPTGEFILAPTHYYDSFYVFHCNPDLNCFRKITIQAPGVPKYNYHQKLAFVFSDYIYVFHYNPDTNFFRRTKVEASSAFMCRSRRAMVFPDYVESVRLL >fgenesh1_pm.C_scaffold_6001250 pep chromosome:v.1.0:6:6449522:6450457:-1 gene:fgenesh1_pm.C_scaffold_6001250 transcript:fgenesh1_pm.C_scaffold_6001250 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLTSNEVAGLAVGALLLGATIAAPKVDAFIAASQRRSLGMCRKCGDLKNVACGRCKGTGTIKSGGFFGFSDSSNTRSVACDNCQAKGCFPCPECSKS >fgenesh1_pm.C_scaffold_6001261 pep chromosome:v.1.0:6:6493470:6496797:1 gene:fgenesh1_pm.C_scaffold_6001261 transcript:fgenesh1_pm.C_scaffold_6001261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes family protein [Source:UniProtKB/TrEMBL;Acc:D7M7H7] MSERRAKRPKISRGGDDFLPGNIIDIELHNFMTFNHLVCKPGSRLNLVIGPNGSGKSSLVCAIALCLGGEPQLLGRATSVGAYVKRGEDSGYVKISLRGNTREEILTIFRKIDTRNKSEWMFNGNTVCKKDIVEIIQKFNIQVNNLTQFLPQDRVCEFAKLTPVQLLEETEKAVGDPQLPVHHRALVDKSRDLKQLERAVAKNGETLNQLKALVDEQEKDVERVRQRELFLTKVDSMKKKLPWLKYDMKKAEYMDAKKRMKEAQKKLDDAAGILNSMKEPIEKQKKEKAETDSKCKKVKNLMDANGRNRCNLLEKEDEAEARVVATYKELEELKKQEEHRQERILKATEDLVAAEQELKNLPVYERPVAKLEELSFQITELHQSMNRKKNQKVDNERLLSQKRHTLRQCVDKLKDMENANNKLLNALRNSGAERIFDAYQWVQQNRHEFKREVYGPVLVEVNVPNRENACFLEGHVPYYAWKSFVTQDPEDRDLLVRNLKRFDVPVLNYVSAGGSQKAPFHISDQMRSLGIHARLDQIFDAPDAIKEVLTSQFGLDDSYIGSKITDQRAEEVSKLGITDFWTPDNHYRWSSSRYGGHSSASVDSVYQSRLLLCGVDVGELENLRSRKEELEDSISFMEETHKSLQTEQRLLEEEAAKLHKEREEIVNVSHLEKKKRRELESRYQQRKTKLESLEQEEDMDASVAKLIDQVSRANADRYTYAINLKKLLVEAVAHKWSYAEKHMASIELERKIRQSEFNIKQYEKTAQQLSLAVEYCKQEVEGKQLRLASAKRDAESVAIITPELKKEFMEMPTTVEELEAAIQDNLSQANSILFVNENILQEYEHRQSQIYTISTKLEADKIDLSICMKEIDSLKEKWLPTLRQLVGQINETFSHNFQEMAVAGEVSLDERDTDFDQYGIHIKVKFRESGQLQVLSSHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQPNTPQCFLLTPKLLPELEYSEACSILNIMNGPWIEQPSKVWSLGDSWGNLMRRTEASQCS >fgenesh1_pm.C_scaffold_6001276 pep chromosome:v.1.0:6:6557955:6560574:-1 gene:fgenesh1_pm.C_scaffold_6001276 transcript:fgenesh1_pm.C_scaffold_6001276 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIVKTLKGTRFEIEVKPNDSKNIETVLGASEYPAAQQILIHKGKKLRDEATMEEFLRKGCLEEMGKQPPSYEDLVDREAAMILCSILHEPTEEIEGDSGNELAQPEEDLLQLQVTAVDNEAIKRVCFIHKSF >fgenesh1_pm.C_scaffold_6001277 pep chromosome:v.1.0:6:6561866:6563003:-1 gene:fgenesh1_pm.C_scaffold_6001277 transcript:fgenesh1_pm.C_scaffold_6001277 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDPKPSAPKDNGYEDLYNDPFDGFGGFTDEDLINVMSDLNPNLMDMSFTEHTSPPVFPTLPLDVDDTQLLHELDLSITNYDIKDEFDSLSCVDDGFTISLDMYDQPNDDLNDNNDVPGEIGNDNGKSIVDESTRLEVSTRVFGGANGPDFEIGGSSTIPVPVTPTISDGVYVCGCCKMLRELVHLKKEVERNTLNIFGGIGFFCHAVLLTELLFPDSMECQPQTIHLKELTMEEVKKFIEDYCSHRVASGLSLVRDTNAAFYQAMSANFISNQSTSMLTIPSPTDVPMSLVSPEEARPVPPAPPHVGLREQSTTKLPKQREKRKTPLAAQVISLISQFITTYNNT >fgenesh1_pm.C_scaffold_6001283 pep chromosome:v.1.0:6:6597151:6598889:1 gene:fgenesh1_pm.C_scaffold_6001283 transcript:fgenesh1_pm.C_scaffold_6001283 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIDHKSKKEEEQYPMISKPLRFILLQKGSYVLSSLFFVFGFSVGLFLCLQLKAVHMSTTTTQRQPLWSTLLFNHTTTMDIKQELQLKTLQHNMSDQELFTKVSSLSSPTSSSSSWLGRRHNNDGKMVVKVAFMFMTGGRLPLAGLWEKFFEGHEGFYSIYVHTNPSFQDSFPETSIFYSRRIPSQPVYWGTSSMVDAEKRLLANALLDESNQRFVLLSDSCIPLYNFTTIYDYLTGTNLSFIGSFDDPRKSGRGRYNHKMYPQINITHWRKGSQWFETTRELALHIIADTVYYRVFDEHCKPPCYMDEHYIPTLVHMLHGEMSANRTLTWVDWSKAGPHPGRFIWPDITDEFLNRIRFKEECVYYGRGGENVTTSKCFLFARKFTAETLEPLLRISPIVLGFGP >fgenesh1_pm.C_scaffold_6001312 pep chromosome:v.1.0:6:6715563:6716232:-1 gene:fgenesh1_pm.C_scaffold_6001312 transcript:fgenesh1_pm.C_scaffold_6001312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop-interactive crib motif-containing protein 4 [Source:UniProtKB/TrEMBL;Acc:D7M853] MRDRMERLVVLPFSVGCISDSSVAVLSPLSKPHHHHSRQESRDQEEEDNMKSVFKFLALSKPEISTGINRLFKSFKTISQLFADKEEENEEVETSGMEIGVPTNVKHVSHIGWESGLTAATGPGKGWEDLIPPELLAAASTKKDVNPHLHPTL >fgenesh1_pm.C_scaffold_6001317 pep chromosome:v.1.0:6:6734357:6735949:1 gene:fgenesh1_pm.C_scaffold_6001317 transcript:fgenesh1_pm.C_scaffold_6001317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:D7M857] MISCGDVYKVIEAMVPLYVALILGYGSVKWWNIFTRDQCDAINRLVCYFTLPLFTIEFTAHVDPFNMNYRFIAADVLSKVIIVTVLAFWAKYSNKGSYCWSITSFSLCTLTNSLVVGVPLAKAMYGQQAVDLVVQSSVFQAIVWLTLLLFVLELRKAGSSSNYSSDVKVDSINIENGKREIVVVGEEKSFLEVMSLVWLKLATNPNCYSCILGIAWALISNRWHLEMPGILEGSILIMSKAGTGTAMFNMGIFMALQEKLIVCGTSLTVMGMVLKFIAGPAAMAIGSIALGLHGDVLRVAIIQAALPQSITSFIFAKEYGLHADVLSTAVIFGMLVSLPVLVAYYAALEFIH >fgenesh1_pm.C_scaffold_6001321 pep chromosome:v.1.0:6:6765565:6768131:-1 gene:fgenesh1_pm.C_scaffold_6001321 transcript:fgenesh1_pm.C_scaffold_6001321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7M864] MRVILSVIYIFLLLALSGRCSDVYSRRDFPEGFTFGSATSAYQWEGAVDEDGKKPSVWDTFLHSRNLANGDIACDGYHKYKEDVKLMVETGLDAFRFSISWSRLIPNGRGPVNPKGLQFYKNFIQQLVSHGIEPHVTLHHYDLPQYLEDDYGGWINRRIIKDFTAYADVCFREFGNHVKFWTTINEANVFTIGGYNDGTSPPGRCSNCSSGNSSTETYIVGHNLLLAHASVSRLYKQKYKDKQGGSVGFSLYAFEFVPYTSSSKDDEIAIQRAKDFFYGWILGPLTFGDYPVEMKRAVGSRLPIFSKEESELVKGSSDFIGIMHYFPASVKNIKIEPSLPRNPDFYSDMGVSLIYLGNFSGFGYDIVPWAMESVLEHIKQAYGNPPVYILENGTPMKPDLQLQQKDTRRIEYLRAYIGAVLKAVRNGSDTRGYFVWSFMDLYELLSGYELSFGLYSVNFSDPHRKRSPKLSAHWYSDFLKGKTTFFGSQGITQLHRTFSSSSL >fgenesh1_pm.C_scaffold_6001325 pep chromosome:v.1.0:6:6810555:6814693:1 gene:fgenesh1_pm.C_scaffold_6001325 transcript:fgenesh1_pm.C_scaffold_6001325 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein Rad4 family [Source:UniProtKB/TrEMBL;Acc:D7M868] MKSTSESKNGRLAAASREAVNKVLDKSSARGSRGKKKKDDNCDSAKRDKGVNGKGKQAVEARLTDNVLEDRECGTVDDEDEMNDSDWEDCPIPSLDSTVDVTNVDDTRELTIEFDDDVPDAKKQKIAYRATAEDKERAELVHKVHLLCLLARGRIVDDACNDPLIQAALLSLLPSYLTKVSNLEKVIVKDIAPLLRWVRENFSVRCSPSSEKSFRTSLAFALESRKGTAEELAALAVALLRALNLTTRFVSILDVASLKPGADRDESSGQNRAKMKHGIFRTSTLMVPKQQAISSHPKKSSSHVKNKSIFDTSEPQRGNPLGSDQLQDNAVNSSCEAGMSRKSDGTRRKGDVEFERQIAMALSATANNQQSSQVNNKKKIREITKTSDSSSVSDQVISTAIGSKKVDSPLCWAEVYCNGENIDGRWVHVDAVNGMIDAEKNVEAAAAACKTVLRYVVAFAGGGAKDVTRRYCTKWHTISPKRVCSVWWDMVLAPLIHLESAATHNEDIALRNFSSLNPVANRASSSSSSFGIRSALEDMELATRALTEPLPTNQQAYKTHEIYAIEKWLHKNQILHPKGPVLGFCSGHPVYPRTCVQTLKTKERWLRDGLQLKANEVPSKILKRNSKFKKSKDLGDGDNNINGGSYCMELYGKWQMEPLCLPHAVNGIVPKNERGQVDVWSEKCLPPGTVHLRFPRIFSVAKRFGIDYAPAMVGFEYRSGGATPIFEGIVVCTEFKDTILEAYAEEQEKREEEERRRNEAQAASRWYQLLSSILTRERLKSRYANNSKDVETKSLEVNSDTVVKAKNVKAPEKQRVAKKGEKSRARKSRNEDESHEHVFLDEQETFDEETSVKTKRCKCGFSVEVEQM >fgenesh1_pm.C_scaffold_6001329 pep chromosome:v.1.0:6:6853892:6856757:1 gene:fgenesh1_pm.C_scaffold_6001329 transcript:fgenesh1_pm.C_scaffold_6001329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 5 protein [Source:UniProtKB/TrEMBL;Acc:D7M873] MTRSFYFCLFFLFISWISKLTTSYHLSTKSRWIVDEKGQRVKLACVNWPAHLQPTVAEGLSKQPLDSISKKIVSMGFNCVRLTWPLDLMTNDTLALNVTVKQSFESLKLFEDVLGIQTHNPKILHLPLFNAFQEVVSNLGQNGVMVILDNHLTTPGWCCGENDLDAFFGYPKFDPTVWAKGLGKMATLFRNFTNVIGMSLRNEPRGARDYPDLWFRHMPQGAEVVHAANPKLLVILSGIDFDTNLSFLRDRSVNVSFTNKLVFELHWYSFSDGRDSWRKHNSNDFCVKIIEKVTHNGGFLIGRGFPLILSEFGTDQRGGDISGNRYMNCLVAWAAENDLDWAVWALTGDYYLRTGPGLRPNNNILFHPSTGLCVTNNPSDNLPTLGLGPCPKSDPWTFNPQEGILWVNKMCVEAPNVAGQKVKLGFGTKCSKLGQISATKMHLSFKTSNGLLLCLDADERDNSVVANPCKCLTMDASCDPASQWFKVL >fgenesh1_pm.C_scaffold_6001330 pep chromosome:v.1.0:6:6857046:6866990:1 gene:fgenesh1_pm.C_scaffold_6001330 transcript:fgenesh1_pm.C_scaffold_6001330 gene_biotype:protein_coding transcript_biotype:protein_coding MISLRFQPSTTAGVLSASVNCAGFIKRCGSTKPGRVGRFVTMAMAASPLEICVKASITTPNKLGDCPFCQRVLLTLEEKHVPYDMKMVDLSNKPEWFLKISPEGKVPVVKFDEKWVPDSDVITQALEEKYPEPPLATPPEKASVGSKIFSTFIGFLKSKDSGDGTEQVLLDELSTFNDYIKENGPFINGEKISAADLSLAPKLYHMKIASGHYKNWSVPVSLPFVKSYMEGIIHEHTGGNRGCNCWLETKGDGNCIFVFTFEFLFCISVAASGNNVFTSPETSKTFEFSSEEKIYKWWESQGYFKPNFDQGGSPFVIPMPPPNVTGSLHMGHAMFVTLEDIMVRYNRMKGRPTLWLPGTDHAGIATQLVVEKMLASEGIKRVDLGRDEFTKRVWEWKEKYGGTITNQIKRLGASCDWSRERFTLDEQLSRAVVEAFVKLHDKGLIYQGSYMVNWSPNLQTAVSDLEVEYSEEPGFLYHIKYRVAGSPDFLTIATTRPETLFGDVALAVHPEDDRYSKYVGQTAIVPMTYGRHVPIIADKYVDKDFGTGVLKISPGHDHNDYLLARKLGLPILNVMNKDATLNDVAGLFCGLDRFEVREKLWVDLEETGLAVKKEPHTLRVPRSQRGGEVIEPLVSKQWFVHMDPLAEKALLAVENKELTIIPERFEKDCEEDYIVAKNAEEALEKAHEKYGKDVEIYQDPDVLDTWFSSSLWPFSTLGWPDVSAKDFNNFYPTNMLETGMVMMGIEFTGTVPFSHVYLHGLIRDSQGRKMSKSLGNVIDPLDTIKDFGTDALRFTIALGTAGQDLNLSTERLTANKAFTNKLWNAGKFVLHSLPSLSDTSAWENLLALKLDKEETLLSLPLPECWAVSKLHILIDSVTASYERLFFGDAVLLYVFENILKLLHPFMPFVTEDLWQALPYRKEALIVSPWPQNSLPRNVESIKRFENLQALTRAIRNARAEYSVEPVKRISASVVGSAEKEKEVLALLSRLDLNNVHFTNAPPGDANLSVHLVASEGLEAYLPLAAMVDISSEVQRISKRLSKMQTEYDALVTRLSSPKFVEKAPEEVVRGVKEQVEELEEKIKLTKARLGFLKSTTSLVSQ >fgenesh1_pm.C_scaffold_6001332 pep chromosome:v.1.0:6:6876054:6877764:-1 gene:fgenesh1_pm.C_scaffold_6001332 transcript:fgenesh1_pm.C_scaffold_6001332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7M877] MICVACVEENKGCECEHEKPVKELALEASENSSFLHSVINMVGMLIGLGQLSMPYAVESGGWMSIFLLIFFGILTTYTSHILGNCIRRNPKSKSYSDIGYSAFGRHGRLITSLFIYLEIFMALVSYTISLHDNISAAFPATFSNHGHFPAAKLTAVAVAIALPSLWIRDLSSISFLSSGGILMSAIIFGSVVYTAVFGGVIDDGRIPVLRLGNIPTVSGIYLFSFGGHIVFPNLYTSMKDPSKFTKVSIVSFATVTALYTALAMTGAKMFGPSVNSQITLSLPKHLLVTKIALWATVLTPMTKYALEFAPLAIQLERSLPSTMSDRTKLLARGLTGSSLLLVILALALTVPYFGYVLSLSGSLVSVTIAVTLPAAFYLKICWDGMSKFTRVANLGFVVLGCVLGVLGSFESSKLLVKELIRVHGG >fgenesh1_pm.C_scaffold_6001351 pep chromosome:v.1.0:6:6954355:6957148:-1 gene:fgenesh1_pm.C_scaffold_6001351 transcript:fgenesh1_pm.C_scaffold_6001351 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAAPKILLAKPSVSPITGKFGRGNSDDDNASHRSRLPPVSSLNMLSDSWDFHIDRFLPFLTENTDFTVVGVIGPPGVGKSTILNAIYAFDGSSPGMLPPFSVQSDEIKAAAKHCTIGIEPRVSAERFILLDVQPVFSPSILAEIIRPDSSSSVPVLGSDSLSAELAHEIMCIQLGVLLASICHVLLVVSEGVHEDNMWHLMSTVDLLKHAIPDPSSPALSRLQISEIGPEMEFKDKLSEGNEYMATPIFVHSKLQDQDLSPKNILQLRKALAQYFESSSFTAGRNGSELVEPVSSVIPSKSSRNQHESYQLAMWKLRDQVLSSKSTSFSRPVSEREWLKNSAKIWEMVKNSPIIAEYSRALQSSGMFRR >fgenesh1_pm.C_scaffold_6001359 pep chromosome:v.1.0:6:6993105:6994654:-1 gene:fgenesh1_pm.C_scaffold_6001359 transcript:fgenesh1_pm.C_scaffold_6001359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LWW6] MTKPSEPTRDSHVAVLAFPFGTHAAPLLTVTRRLAFAAPSTVFSFFNTAQSNSSLFSPGDEADRLENIRVYDVPDGVPEGYVFTGRPQEAIELFLESAPENFRREIAAAETEVGRDVKCLLTDAFFWFAADMATEINASWIAFWTAGANSLTAHLYTDLIRETIGVKEVGGRMEETLGFISGMEKIRVKDTPEGVVFGNLDSVFSKMLHQMGLALPRATAVLINSFEELDPTLTDNLRSTFKRYLNIGPLGLLSSTLQLVHDPHGCLAWIGKRSPASVAYISFGTVMTPPPGELAAIAEGLESSKVPFVWSLKEKNLVHLPKGFLDRTREQGIVVPWAPQVELLKQKATGVFVTHCGWNSVLESVSGGVPMICRPFFGDQRLNGRAVEVVWEIGTTIINGVFTKDGFEKCLDRVLVQDDGKKMKCNAKKLKELGHEAVSSKGSSSKNFGGLLDAVASIVHM >fgenesh1_pm.C_scaffold_6001363 pep chromosome:v.1.0:6:7030037:7030854:-1 gene:fgenesh1_pm.C_scaffold_6001363 transcript:fgenesh1_pm.C_scaffold_6001363 gene_biotype:protein_coding transcript_biotype:protein_coding MTSANNELTCSTTGTSAKEEEVVSKVDKEDDESSSDSDGSDSEWAGYNWLPETEPEWDVDSFDGHEFKINPRVRKMYSSQELYDKYYNNRLKAFESKGFLPDHLNGIYNVYLDEKMDGYNTTRDFMVKLANVCVKKHNETKGTTLELVNVVRATERGAATWRFYITFMAQEYRDGPLVEYQAKVIKFLGDKVPFPVLCRPSPKPEI >fgenesh1_pm.C_scaffold_6001370 pep chromosome:v.1.0:6:7052406:7054208:-1 gene:fgenesh1_pm.C_scaffold_6001370 transcript:fgenesh1_pm.C_scaffold_6001370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7LWY1] MKSSLLFFLLCLFQINKLGFCLEEEAQKSKNGVGSNGFISVTSFGAIGDGETDDTKAFLKAWEAVCKGGRNTKILVPQGKTFMLKPLAFIGPCKSSSISFSIRGNLVAPGYTWYAGRYPTWISFDSINGLVVTGGGTIDARGSLWWGNVNTRPSAMHFNNCNGLRISNLRHLNSPRNHVGLSCSQNIEVTGIRMTAPGDSPNTDGIDISNCKGVHIHDSVIATGDDCIAINSGSSHINITGIFCGPGHGISVGSLGVTGDFATVEEVRVKNCTFTNTQNGVRIKTYQNGSGYARKISFEDIIMVASENPIIIDQTYHNGGTNGGRSKSSNSYQNCHLAAKQRTQSGNGKGVRVSDVRYSSIRGSSASDQAITLNCDADLGCSDIVMNNVNMVSATFGHKVFATCKNAHGSFFASKVNCLKKY >fgenesh1_pm.C_scaffold_6001406 pep chromosome:v.1.0:6:7253994:7255708:1 gene:fgenesh1_pm.C_scaffold_6001406 transcript:fgenesh1_pm.C_scaffold_6001406 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFDAHCHLQDPRIITKAPQLISSAVASGVSAFAVNGVSEKDWNLVKEMGAKYPSVVPCFGIHPWYVAERSPQWFETLKSFFETTPTAAVGEIGLDKGSKGREIDFSDQVTVFRQQLELAKELNRPASVHCVRAFGDLLEILKSVGPFPSGVMLHSYLGSAEIVPEFAKLGAYFSFSGFLMSMSEKKAKKMLKAVPSDRILLETDSPDALPKAESGSLYFVDGDPSLPEEGNSAQDLDSASNDKSNVSSDSTKLPKETLNHPANIHMVLGYVARLLDMKNEELAELSYQNAVRLFSYEGSKMLLERGTGDVSGHNQNHSTTHVS >fgenesh1_pm.C_scaffold_6001420 pep chromosome:v.1.0:6:7316861:7318481:1 gene:fgenesh1_pm.C_scaffold_6001420 transcript:fgenesh1_pm.C_scaffold_6001420 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSFSEKCISVINGAPSWAVFFFFYLLDAFLCIVFRFLDEVMEEKLESCHCKNPQEKTDFAGYEFLSETLYRRRNVFRQAGFLRFAKKLPEITKKIGIVTFLRKFLFSQTMKKVSHEVANRWSDCGCKTCVSWTNSDKLNVIVKQPSISDLLISNKPVENVILIFIITEKTNYRFFAVDLLGFGDSPKPRASQYSFNEHVEMIEKSVILPNNLTFFSCCSTFHGMYHCNSLNCKILRQRQKEQVVMLLINVIAEKKLWPPTSFFTSMMAWYEHIGRGDGIYSMHNVICGGAKFTDKHLETLIKSGVKINVVQGDKDVVVPIDCLWNMKGKFPAVEVEVIAGTDHSTVIMSRREVFVASLVSLWASSQKKQKLVASAQNMKTY >fgenesh1_pm.C_scaffold_6001424 pep chromosome:v.1.0:6:7350547:7352074:-1 gene:fgenesh1_pm.C_scaffold_6001424 transcript:fgenesh1_pm.C_scaffold_6001424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7LX46] MSFQRKSGTAIHVALSSIVFFFLDLIDAILCVVYKFVDEILEGKSRDCYCTAAAASQIQTTCENELSETLFRRRNIFQEMWFLGFARKFKSKLSREMKISEIHESVNRWSDCGCQSCNSWTKNEDGNLHVVVKDSTSPETEYSVQEPSENVIFIHGFMGSSHFWTETVFELIKKDDYRLFAIDLLGFGDSPKPRDSFYTLRDHVETIERSVIKPCQLDSFHVVAHSMGCLIALALAAKHSNIVKSVTLVAPPYFPSSVEGSVLNRIAKKRLWPPLAFGTAVMSWYEHIGRCVCFIICKHHKIWEWLIKLYMGKREIPWKIKDITRHTHHSAWHSMHNVICGGSKFADEHLETLINSGVKIHLMQGDRDKIVPSHCSSNMKRRFPSVEVDIIAGADHDSMISGRGEEFAEKLESIWCSCRTVSVT >fgenesh1_pm.C_scaffold_6001431 pep chromosome:v.1.0:6:7401828:7402714:-1 gene:fgenesh1_pm.C_scaffold_6001431 transcript:fgenesh1_pm.C_scaffold_6001431 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLGLFFAILTALGLAGYKWLAPSIMDKGRMLLDINFREGSLETKVKFKFITITQGYK >fgenesh1_pm.C_scaffold_6001444 pep chromosome:v.1.0:6:7517816:7519343:1 gene:fgenesh1_pm.C_scaffold_6001444 transcript:fgenesh1_pm.C_scaffold_6001444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:D7LXR5] MEAPRGNLRAVALIAGDNNVRGCLQFVQDTFGTTHVTGKISGLSPGFHGFHIHSFGDTTNGCNSTGPHFNPLNRVHGPPNEEERHAGDLGNILAGSDGVAEISIKDKQIPLSGQYSILGRAVVVHADPDDLGKGGHKLSKSTGNAGSRVGCGIIGLQSSADAKL >fgenesh1_pm.C_scaffold_6001449 pep chromosome:v.1.0:6:7531738:7532053:-1 gene:fgenesh1_pm.C_scaffold_6001449 transcript:fgenesh1_pm.C_scaffold_6001449 gene_biotype:protein_coding transcript_biotype:protein_coding MCPMRFLLVFFSAVLAGYFAWKTVSSSPEFDSPDELNEKQELNLKQKMENGFWVFVDMASGRYLWRNLKEMREKTQ >fgenesh1_pm.C_scaffold_6001462 pep chromosome:v.1.0:6:7585178:7586689:-1 gene:fgenesh1_pm.C_scaffold_6001462 transcript:fgenesh1_pm.C_scaffold_6001462 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC087 [Source:UniProtKB/TrEMBL;Acc:D7LXT4] MAVVVEEGVVLNHGGEELVDLPPGFRFHPTDEEIITYYLKEKVLNSRFTAVAMGEADLNKCEPWDLPKRAKMGEKEFYFFCQRDRKYPTGMRTNRATESGYWKATGKDKEIFKGKGCLVGMKKTLVFYRGRAPKGEKTNWVMHEYRLEGKYSYHNLPKSARDEWVVCRVFHKNNPSITTQPLTRIPIEDLTRMDSLENIDHLLDFSSLPPLIDPSFMGQTEQPNFKPINPPTYNISSPIQPHHFNTYQSIFNHQGFGSASGSTYNNNEMIKMEQSLVSVSQETCLSSDVNANTTTTTEVSSGPVMKQEMGMMGMVNGSKSYEDLCDLRGVLWDF >fgenesh1_pm.C_scaffold_6001464 pep chromosome:v.1.0:6:7601458:7602756:-1 gene:fgenesh1_pm.C_scaffold_6001464 transcript:fgenesh1_pm.C_scaffold_6001464 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIP1_2 [Source:UniProtKB/TrEMBL;Acc:D7LXT6] MSAVKSALGDMVITFLWVILSATFGIQTAAIVSAVGFHGITWAPLVISTLVVFVSISIFTVIGNVLGGASFNPCGNAAFYTAGVSRDSLFSLAIRSPAQAVGAAGGAITIMEMIPEKYKTKIGGKPSLQVGAHNGAIAEVILSFSVTFLVLLIILRGPRKLLAKTFLLALATVSVFVVGSKFTRPFMNPAIAFGWAYIYKSHNTWDHFYVYWISSYTGAILSAMLFRILFPAPPLVQKKQKKA >fgenesh1_pm.C_scaffold_6001480 pep chromosome:v.1.0:6:7702056:7706864:-1 gene:fgenesh1_pm.C_scaffold_6001480 transcript:fgenesh1_pm.C_scaffold_6001480 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAEKLEKVRRDSVGKAKRVSQRSWTSSFMGRGGQMEHEAFLVLWLSLFVFPGDCCRSISRNVIPIAVRLASGERIALAPTVLAFLYKDLGRIARGKCAGKVSLKSLFKLVQVWTWERFRNIGPKPKDIPVGEPRIAQWDSLIQRSKNVRLYFDDFDWRPYTKPLKNWNPLRFYVEEAMWVTVDDNVDDEFASFARCVKVSYLAGKGFVEAYFPHRVARQFGLAQDLPGLVTRRRKFTEKEAWDDYSKSVDGLKLYMPSRLDRGSVTARYQDWWLKSVSDFLGSEEMQKESTEASDARNTFDHLGKDGDDGDIHVSPKVLPLSQVVQKLEKGFPAKRRRSRKHRLPKRYKISESLQMKRAREDDYESSTDKEDDCMTVAQLIRSRNKFSDVEKTGEKTGGDASESLRKRTRRYMVVDSDDDSGSCEKHAWMEVDQRSVEDDGTANKTEITRQTCDYVNGSYGERKAMIDDAIKEAESWLREDREMKKRCIGKFSSEAKKEEDVDERLRQRKLAIEKIALELETRIIKMETSLAENRKWKTRGNQSKHRFLLS >fgenesh1_pm.C_scaffold_6001505 pep chromosome:v.1.0:6:7899606:7901854:1 gene:fgenesh1_pm.C_scaffold_6001505 transcript:fgenesh1_pm.C_scaffold_6001505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine-uridine preferring nucleoside hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LYI5] MRNSGNSNKNNNKGENDFAEMEYMNITIVTSNEPYGIPDSSNPFFYKKRTPKFNLTLGGVHSGHVQRGLRDPICISRSGKGNCKDGYTKETFGPDSVRVLVATRAKPSKNFNSELDREFYDDFLEVLNRPGETGRFNFSTQFLYYREELFIANLSNTQLGGKPVIFDMDMSAGDFLSLFYLLKVPVEIIDLKAVIVSPTGWANAATIDVVYDLLHMMGRDDIPIGLGDMFALNQSEPGFPSAGDCKYAKAVPQGCGGFLDSDTLYGLARDLPRSPRRNTAENSVANGAPRDTDRTEIRQPLALEVWQNLTKSVNEVSKITVLTNGPLTSLAKIISSEVYIVGGHISREKSDKGNVFTVPSNAYAEFNMFLDPLAAKTILESGLNIILIPLATQRKFSFQPMLNKLYSSAKTPEARFVKRLLARLQALHQNHRRYTHIDMFLGEILGAVFLGGDHASLKPKLRVEHIKVIAEGDESKDGQILIDKLRGKQIKILERVDLRGCYVSFASRLDDKKQSAVIGSFEEQGKKWNTPPSSETSMLD >fgenesh1_pm.C_scaffold_6001534 pep chromosome:v.1.0:6:8075282:8075696:-1 gene:fgenesh1_pm.C_scaffold_6001534 transcript:fgenesh1_pm.C_scaffold_6001534 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAKNLVIFFHIVILAVLLSNEIILASGAEIKKFSYDHCYHLCEDGGYGSRECFVDCTEKGFRTGDCANRTPKDPIRCCCNN >fgenesh1_pm.C_scaffold_6001536 pep chromosome:v.1.0:6:8086577:8088572:1 gene:fgenesh1_pm.C_scaffold_6001536 transcript:fgenesh1_pm.C_scaffold_6001536 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFNSLMMLLIMGQFFIQLGVSVIPVSTIYSPDGDMIDCINRADQPAFNHPLLQNHIIQEYPTGMPQIERDVQKNWQIWHETGENCPAGTIPIRRDLDPKVPHKKQPKVHEVTNKATTGHKYAIAYMQNRQKVYGTRVTLNVWTPIVESSFDFSLAQIWLASGSYETADLNTVEAGWQVFRSRYNDSQPRLFTYWTADGYNNTGCYGLDRPGFVQTSSTIAIGAAIGCTSTFVGTPFDMTLQIWKDPFSGHWWLALGPNIVPIGYWPATIFTTLSDHATTVEWGGEVLYRNLSGANTVAQMGSGEYADKGYGKAAYFCNLKVAENNHTLLPVQDFSLRDDYPKYYTVKKSSNRNCGNHFYFGGPGPQRSGAVRGTVVSAFCLVFVFYFI >fgenesh1_pm.C_scaffold_6001539 pep chromosome:v.1.0:6:8106738:8108600:1 gene:fgenesh1_pm.C_scaffold_6001539 transcript:fgenesh1_pm.C_scaffold_6001539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LYM8] MAAISSLFLFFILFLVSLLIILAFIVRPRSVKIPIKSRHVFITGGSSGIGLALAHSAVSEGAKVSILARSTENLAEAKRSIQLATGVEVATFSADVRDYDAVSKAVDESGPIDVLIVNQGVFIGKELEKQSPEEVKFMIDVNLVGSFNVIKAALPAMKAREGRGPASISLVSSQAGQAGIYGYTAYSASKFGLQGLAQALQQEVISDDIHVTLLFPPDTDTPGFEEELKKRPELTSIIAASSGSMKTNEVAKICFDGIKAGKFTVTCHFIGFLLSIASTGMSPQGSFWLALIEVMFGGLIRLVSLVFQWQWYKTIEKWSKKKKQVNSKLD >fgenesh1_pm.C_scaffold_6001549 pep chromosome:v.1.0:6:8160793:8161129:1 gene:fgenesh1_pm.C_scaffold_6001549 transcript:fgenesh1_pm.C_scaffold_6001549 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTVKIMFFAMLIVLLFSIDVVEGSGNSLCCNTHAKFGACKTYQDRKRCNKWCRDGCDNKKGGFCKRVAGGAKCHCYC >fgenesh1_pm.C_scaffold_6001554 pep chromosome:v.1.0:6:8183660:8185711:-1 gene:fgenesh1_pm.C_scaffold_6001554 transcript:fgenesh1_pm.C_scaffold_6001554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 34 [Source:UniProtKB/TrEMBL;Acc:D7LZ72] MGNCCSHGRDSGNNKEDPRPENGGGGGGAGAAEASVRASRHPPASPPPATKQGPIGPVLGRPMEDVKSSYTLGKELGRGQFGVTHLCTQKATGLQFACKTIAKRKLVNKEDIEDVRREVQIMHHLTGQPNIVELKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYSERAAASLLRTIVQIIHTCHSMGVIHRDLKPENFLLLNKDENSPLKATDFGLSVFYKPGEVFKDIVGSAYYIAPEVLRRKYGPEADIWSIGVMLYILLCGVPPFWAESENGIFNAILSGQVDFSSDPWPVISPQAKDLVRKMLNSDPKQRLTAAQVLNHPWIKEDGEAPDVPLDNAVMSRLKQFKAMNNFKKVALRVIAGCLSEEEIMGLKEMFKGMDTDNSGTITLEELRQGLAKQGTRLSEYEVQQLMEAADADGNGTIDYGEFIAATMHINRLDREEHLYSAFQHFDKDNSGYITMEELEQALREFGMNDGRDIKEIISEVDGDNDGRINYEEFVAMMRKGNPDPNPKKRRELSFK >fgenesh1_pm.C_scaffold_6001598 pep chromosome:v.1.0:6:8375155:8376962:1 gene:fgenesh1_pm.C_scaffold_6001598 transcript:fgenesh1_pm.C_scaffold_6001598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA hydrolase [Source:UniProtKB/TrEMBL;Acc:D7LZC1] MLSMLSRRCYCTSVHRPWLFLGLGNPGDKYKGTRHNIGFEMIDVFAESVGIQMNLVNFKAIMGQGFVDDLPVILAKPQTYMNLSGESSGPLAAYYKLPLNRVLVVHDDMQLPCGVLRLQEKGGHGCHNGLKSVMNHFRGNREFARLRIGIGNPPGQMDPKAFLLQKFSVPARERMDKALAEGVDALKLVLSKDFGESWRLFNVEQKYKHLRQHTIIAA >fgenesh1_pm.C_scaffold_6001602 pep chromosome:v.1.0:6:8386608:8388086:-1 gene:fgenesh1_pm.C_scaffold_6001602 transcript:fgenesh1_pm.C_scaffold_6001602 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRFFISIVFFSLTLFTTTTHSLYEPDPDSISTVYELLPKYGLPSGLLPDSVTDFTLSDDGRFVVHLPSSCEIEFDYLVHYDKTISGRIGYGSITELKGIQVKKFFIWLDVDEIKVDLPPSDSIYFKVGFINKKLDIDQFKTVHSCHDNGVSGSCGDSWKSFLEKGQGMMDEAEMLITE >fgenesh1_pm.C_scaffold_6001641 pep chromosome:v.1.0:6:8571406:8577580:-1 gene:fgenesh1_pm.C_scaffold_6001641 transcript:fgenesh1_pm.C_scaffold_6001641 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNEYILNYYRADWSIRDAFFSVFSFHNESLNVWTHLIGFILFVALTVANIIHHDGFFPVDAKSPGNVTRWPFFVFLGGSMFCLLASSICHLFCCHSKDLNVFLLRIDYAGITAMIITSFFPPIFYIFQCTPRWYFIYLAGITSMGIFTIITLFTPSLSAPKYRAFRALLFASMGLFGIVPAVHALVVNWGNPQRNVTLVYELLMAVFYLVGTGFYVGRVPERLKPGWFDRVGHSHQIFHVFVLLGALSHYAAALLFLDWRDHKEKKRNRIEEGLAKSRAAIREAVRLKKFASDKEETSVPRGAVYRNAFAFHQSHMEMEKKFKVWVYREGDTPLVHMGPVNNIYSIEGQFMDEIETGMSPFAASGPEEAHAFLLPVSIANVVHYLYRPLVTYSREQLHKVFLDYVNVVAHKYPYWNRSLGADHFFVSCHDWAPDVSGSNPEMMKNLIRVLCNANTSEGFMPQRDVSIPEINIPRGQLGPPQLSRSSGHDRPILAFFSGGSHGYIRKILLQHWKDKDEEVQVHEYLTNNKDYFKLMATARFCLCPSGYEVASPRVVAAINLGCVPVIISDHYALPFSDVLDWTKFTIHVPSEKIPEIKTILKSISWRRYKVLQRRVLQVQRHFVINRPSQPFDMLRMLLHSVWLRRLNLRLPL >fgenesh1_pm.C_scaffold_6001652 pep chromosome:v.1.0:6:8647191:8648173:-1 gene:fgenesh1_pm.C_scaffold_6001652 transcript:fgenesh1_pm.C_scaffold_6001652 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPKKSFLLMISCMSFLLNYNNVGFVDAATNIGLNYGLLGDNLPPPSEVINLYKSLSVTNIRIFDTNTDVLNAFRGNLDIGVMVGVKNQDLQALSVNEDAVNTNVEPYLADVKITFITVGNEIIHGEIGSYVLPVMQSLTNVVKSKSLPILISTTVANGILASHIHLPPEISRLRLLDYAIFNTDAVVVQDGPLGYSNMFDVIFDAFIWAMEKEGSGWPSAGNENLKTPDIASIYNGNFVKHVESGKGTPKRPNSGINGFLFATFNENQKPVGTEKNFG >fgenesh1_pm.C_scaffold_6001687 pep chromosome:v.1.0:6:8853076:8855248:-1 gene:fgenesh1_pm.C_scaffold_6001687 transcript:fgenesh1_pm.C_scaffold_6001687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7M053] MGIIDMVFFWVLLVNALLIVNASSRNLPFAYQNELQRHCSSTKYTSLCVQNLREFRHGSLDGLDFVSVLVNKTISDSNLLIPPLSSSMGSSELVSLEDSTYTLPSPSVSDSCERLMKMSTRRLRQAMEALNGSSRKRHTKHDVQTWLSAAITFQQACKDSILDYRETSPSAAISHIKQKMDHLSRLVSNSLALVDTIMQNPKPKTKSTGLPRWVTAGERRLLAGRARAHVVVAKDGSGDYRTVMEAVTAAHANGRIHKHEITLIGEGKDETVIVGDDSATGGTSVPDTATMTVTGDGFIARDIGIKNTAGPGGHQAIALSITSDQSVLYRCSISGYQDTLYAAALRQFYRECDIYGTIDFIFGNAAAVFQSCNIFLRRPHGVKAYNVILANGRTDQLQNTGFALHSCRIRTDSDLSPVKHMYSSYLGRPWRKYSRSIVMESYIDDAIAEEGWAGWLDSGDEVLKTLYFGEFKNYGPKARVSKRVTWEGFHLIGFEDASYFSVVKKRKGEDVTNGFKYKIKIKIQYRD >fgenesh1_pm.C_scaffold_6001704 pep chromosome:v.1.0:6:8921712:8926120:-1 gene:fgenesh1_pm.C_scaffold_6001704 transcript:fgenesh1_pm.C_scaffold_6001704 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAZ domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M068] MERESVKNKSILLPMARRGNGSKGKKIHLLTNHFRVNFSQPNNHDFFHYSVAITYEDGSPVEAKGIGRKILEKVQQTYQTDLGFKHFAYDGDKNLFTAGPLPGSNLEFSVVLEDMSSRRNAGKRLRLSHQSKKFNVAISFAAKIPMKAIANALQGKETNHFQDAIRVMDVILCQNAARKGCLLVRQSFFHNDAKYFTNIGEGVDCCKGFHSSFRTTQGGLSLNIDVSTTMIVKPGPVVGFLIGNQGVKDPFSINWKKAKSTLKNLRVKVIPSNQEYKITGLSGLHCKDQMFTWKKKNQNGEVEEVEITVFDYFTKIRDIKLHYSGGLPCINVGKPNRPTYFPIELCELVSLQRYTKALTSFQRSNLVKESRQNPHQRMEVLTRALKNSNYSDDPMLQECGVRIGSDFTQVEGRLLPTPKLKAGNEQDIYPKNGRWNFNNKIVFESATVTRWAVVNFSARCDPRKIVRDLIRCGNMKGINVDPPYKVVFEEDPQFKDAPGSVRVEKMFERLESTLGDVPPNFLLCILEKKNSDVYGPWKKKNLVQVGIVNQCIAPPQNVNDHYLTNVLLKINAKLGGLNSVLDMERSRAMPLVMKVPTIIIGMDVSHGSPGQSDVPSIAAVVSSREWPLISKYRACVRTQSRKVEMIDNLFKPVSDKDDEGIMRELLLDFYSSSAVKPNHIIIFRDGVSESQFNQVLNIELDQMKQKNHHTKFFQTRSPDNVPPGTIIDSNICHQHNNDFYLCAHAGMIGTTRPTHYHVLYDEIGFDTDQLQELVHSLSYVYQRSTTAISLVAPICYAHLAAAQMGTAMKFEDISETSSSHGGITIAGAVSVPPMPKLNTKVASSMFFC >fgenesh1_pm.C_scaffold_6001734 pep chromosome:v.1.0:6:9157328:9163588:-1 gene:fgenesh1_pm.C_scaffold_6001734 transcript:fgenesh1_pm.C_scaffold_6001734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 8 [Source:UniProtKB/TrEMBL;Acc:D7M0S9] MNGTSSEESSDSTQRIDSFNGEQRVYFVPLRWWKDAQDSMPSESVDKREILYTATTGSSYGGPMKLINNIFNSDILFDLRREGDALQTGETGEASVSGRDFALVSISNQTDSSMEFFLLSVSFHSLEIEFLKKRLRHHDDKNTERGVKSFTAGGVDRGDVYPVQLRLSVLQETNSLAVKICKKDNSVECFRRACKIFSLDSEQLRIWDISGQTTLFFESDVSNSKDCQQQADQEMLLELQIYGLSDSIKLKESKKEDGSTQQTNGITNGINGGTVFRFGRSNSLSFLVKAGEAGTLGLTGLQNLGNTCFMNSSLQCLAHTPKLVDFFLGEYSKEINLDNPLGMKGEIALAFGDLLRSLWAPGSSTVAPRTFKAKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVRNKPYVEAKDGDGRPDAEVADEYWRNHVARNDSIIVDLCQGQYKSTLVCPICKKVSVMFDPFMYLSLPLPCTSMRTMDLTVMSADGSSLPIPLTVNVPKFGKFEDLHKALVTACSLPEEETLLVTEVYNNRIIRFLEEPTDSLTLIRDGDKLVVYRLKKDANNSPLIVYMHQKLEEQFISGKSSPTWKAFGIPLVSRLCDVENGSDLENLYLKLLSSFKMPTEFFTENLENRTEEEATDKADTDGTTSVEDINSTDVKETTESLPDPVLRLYLTDDRGNSIESEILKEKPVNKSKRVNVLARWPVKDLDVYDTCLMSSLPEVSKFGTKRPQESVSLFKCLEAFLTEEPLGPDDMWYCPGCKEHRQAIKKLDLWRLPEILVIHLKRFSYSRFMKNKLEAYVDFPLDDLDLSSYISYKNGQTTYRYMLYAISNHYGSMGGGHYTAYVHHGGDRWYDFDDSHVHPISQEKIKTSAAYVLFYKRLVD >fgenesh1_pm.C_scaffold_6001741 pep chromosome:v.1.0:6:9200394:9201587:-1 gene:fgenesh1_pm.C_scaffold_6001741 transcript:fgenesh1_pm.C_scaffold_6001741 gene_biotype:protein_coding transcript_biotype:protein_coding MANQNYQTHTFCLFATVVIQGLSPSIANQTPATPLEPFLIWIIYQFVWLSLKDLTDSYRIHWRPFFVMSIPWNCDVLLGRRFVSGAFAHYRWIFRLSTGESPLTEWYGDVTWVFDPGINEGIILLDGTGIIYQIGYGTWYSCVECDNGFLVPLKLSDDGDGFPLPWLEFFVSWIDCMGLGLYGSKVKVMTFFYDDDGDYFPWSEAFLLSERVHRYIQLTCLWYNPNQVTSVMRRKMVKSDCDGDVFSFPWSENHISMKWKIMGTNRQRCVRRFEYIVLSFKRQELESFQATLQFFLLIRVMRKAFWSFIYKMIANYEYVKGGRNRFYLLACKASDWKAGSFPLLHRNCVQFPLFVSMVVFEWFIYHVKLSLLYNII >fgenesh1_pm.C_scaffold_6001748 pep chromosome:v.1.0:6:9247671:9248306:-1 gene:fgenesh1_pm.C_scaffold_6001748 transcript:fgenesh1_pm.C_scaffold_6001748 gene_biotype:protein_coding transcript_biotype:protein_coding MANRQMEIETGFPTPPSSATDPPPPSRWWTQLHSLFLRRNELTKEEKSAIFLKPYFFSVESISVSPSSSATWHVDFLVNPSSWCPIDYNGDDVYAKLGSLNAAEGNQRVFELDMKLSAKKKSPAYGYEYGHLDIRCQNLTIGYQKTKCHSSFKALENTIPKLLD >fgenesh1_pm.C_scaffold_6001749 pep chromosome:v.1.0:6:9252049:9254007:1 gene:fgenesh1_pm.C_scaffold_6001749 transcript:fgenesh1_pm.C_scaffold_6001749 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSDTPPPRGWWSRPIVTLPALHDREATCTDFTAFFTPCCGGIFTVIAVLVFITHVIDNTHCDAKFSIQSIAVSPSSATWHVDFLVKNPSPRYTIYYGGDETAARLGPLNAAVLNASHERKSRSHTAFSVDFAAEGNPNNEFSKQLDIKLSAKLTSYGEDDPRAGHVDVRCYNLTRNHENVEKIQCYTTFTKLPEALFANSISVSNANANANVSVADWTIGFAARSPITNCKMSFQTLKSRLLRGDQVISNSLPPMSEYIGQFVAGDMANVVFEKVVMPEVIGDVIWDFRVEVKYAMHINAGDVTVLVMAVCPDIPVKFTTDSAGNMMGSLLGNMRRCDYIIQDQLLNPLRS >fgenesh1_pm.C_scaffold_6001765 pep chromosome:v.1.0:6:9328322:9330122:1 gene:fgenesh1_pm.C_scaffold_6001765 transcript:fgenesh1_pm.C_scaffold_6001765 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVIGPPGSGKTTYCNGMSQFLSLMGRKVAIVNLDPANDALPYECAVNIEELIKLEDVMPEHSLGPNGGLVYCMEYLEKNIDWLESKLKPLLKDHYILFDFPGQVELFFIHDSTKNVLTKLIKSLNLRLTAVQLIDSHLCCDPGNYVSALLLSLSTMLHMELPHVNVLSKIDLIGSYGKLAFNLDFYTDVQDLSYLEHHLSQDPRAAKYRKLTKELCSVIEDYSLVNFTTLDIQDKESVGDLVKLIDKSNGYIFSGIDASVVEYSKIAIGQTDWDYNRYPFFRIMIS >fgenesh1_pm.C_scaffold_6001793 pep chromosome:v.1.0:6:9553962:9556147:-1 gene:fgenesh1_pm.C_scaffold_6001793 transcript:fgenesh1_pm.C_scaffold_6001793 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLKISHISTFSNDGFNSVELRKQCRSGQRFEIGSICFRRSSGFESRRIHLNSDLSSRNLRNRCVGSDVVAGEISGRSVPDWAYSGLKDETLSDLEPELDDGDGGDENGNNDGGGNGGNGGGGGGGGEGDDGEDEADKAEEKEFGPILKFEEVMKETERRGITLPEDMLEAAKSVGLRKLFLLRYLDLQGSVWPLGFLMRSCAMLRNRMLADPSFLFKVGTEIAIDSCCATFAEVQKRGEDFWSEFELYAADLLVGLVVDVALVGLLAPYARIGKPSVASTGLFKDLKRACASLPSSVFEAERPGCKFSVNQRIATFFYKGLLYGSVGFGCGLIGQGIANLIMTAKRSVKKSEEDVPIPPLFESAALWGVFLGISSNARYQIINGLERVVEGSTAAKRIPVVAMAFTVGVRFANNVYGGMQFVDWAKLSGVQ >fgenesh1_pm.C_scaffold_6001801 pep chromosome:v.1.0:6:9626200:9629270:-1 gene:fgenesh1_pm.C_scaffold_6001801 transcript:fgenesh1_pm.C_scaffold_6001801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase S28 family protein [Source:UniProtKB/TrEMBL;Acc:D7M1K0] MSLAYSILLLLISSTSTSYFISFAHSKIARLGISSKMLKNAPDGSTQKIDESDLKMYYFNQTLDHFTFTPKSYMTFQQRYAINSSHWGGAKANAPILAFLGEESSLDSDLSGIGFLRDNGPHLKALLVYIEHRYYGKTMPFGSAEEALKNASTLGYLNAAQALADYAAILLHVKEKYSTKHSPIIVIGGSYGGMLAAWFRLKYPHIALGALASSAPLLYFEDTRPKIGYYYIVTKVFKETSERCYTRIRKSWKEIDRVAVKPNGLSILSKTFKTCAPLNGSFNIKDFLDTIYAEAVQYNRGPTYWVANVCNAINANTPNRKDTLLDRIFAGVVALIGNRTCYDTNMFSQPTNNHIAWRWQSCSEIVIPVGYDKQDTMFPTAPFNMTSYIDGCESYYGVPPRPHWITTYFGIQDVKLILRRFGSNIIFSNGLSDPYSVGGVLEDISDTVVAITTNGSHCQDISLKNKEDPQWLVMQREKEIKVIDSWISTYQNDLRDLNMSI >fgenesh1_pm.C_scaffold_6001805 pep chromosome:v.1.0:6:9661680:9662786:-1 gene:fgenesh1_pm.C_scaffold_6001805 transcript:fgenesh1_pm.C_scaffold_6001805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M1K6] MNRDEHITNKGEGSMSSFSGTTSTSSKQISLNPVTAAGAKSLEEDDVSLSLLYNLSTLQDKVHQIQSLVSFYMISSNNINQYSGSTSLAVANIGSLVQEIITAASSMLYTCQQLHIGSNNSNDIDNDHTVDAMVLEFSRQETDPGHDFVQESTNLFGVQERGHVSFPNQNHDWYDTETLNPKKDKHRSKPKPGNYEILELDVADLLAKYTHYCQICGKGFKRDANLRMHMRAHGDEYKTREALISPTSQEKKGEYTLKKHYYSCPHQGCRWNQRHEKFQPLKSVICAKNHYKRSHCPKMYMCRRCSVKHFSVLSDLRTHEKHCGDIKWVCSCGTKFSRKDKLMSHVSLFLGHVPAHGSSQPPTTITLK >fgenesh1_pm.C_scaffold_6001807 pep chromosome:v.1.0:6:9668987:9671868:-1 gene:fgenesh1_pm.C_scaffold_6001807 transcript:fgenesh1_pm.C_scaffold_6001807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger [Source:UniProtKB/TrEMBL;Acc:D7M1K8] MTDSQALAPAPSPPHSKAEICYGATFYNISSYGILEKYETPTIIFGYALPLLELQIILIFVSIVLSHMFLRRIGIPQFVSNMLAGLILGPQLIDLLEYSSDRLSLDVPGNVMLEGVARLGLVMFTFLMGVKTNKRAVYKIGKRPVAIAVSSFLVTMISGLAFRNFRLDKIDPLYMPLRLAPTERSVIVSIQAVTLLPVITHLVYELKMPNSELGRIAISTAAVSDFLGFITLVCISYVGTYRYASPRIANRDIVALIILVLVIIFIVKPMAQRIVDMTPEGKPVRKIYLYVTILTAIFASIYTSVFNQMYVLGPLLVGLAIPDGPPLGSALEARFESLITNIFFPISIALMTMKGDVVRALYSFDDISLNIFLLGLTVVVKWTASFVPCLIFCELPTRESVIIATIMNYKGFVDLCFFDVALKRRNLSRATYTVMIIYVLLNAGILPTIVKALYDPKRKYIGYVKRDIMHLKTNSDLKILTCLHKPDNISGAISLLQLLSSPLNNDNKDRGVIAVTALHLVKLAGRTFPILIPHDKRSKARLLQNSYIQTMMLAFTEFQQENWEYTTVSSFTAYSREDLMDQDICNLALDHLTSMIIVPSGRKWSPDGVYESDDIMIRQVNDSLLDRAPCSIGVLNYRGYSKGKKRKNSTINVGVIFIGGKDDREALSLAKWMGQNSRVCLTVIRFLSGQELDKSKNWDYLVDDEVLNDLKATYSLANNFNYMEKIVNGGPAVATTVRLVAGDNDLMIVGRDHEDYSLDVTGLAQWMELPELGVIGDLLASKDLKTRVSVLVVQQQQQHE >fgenesh1_pm.C_scaffold_6001819 pep chromosome:v.1.0:6:9781856:9785333:1 gene:fgenesh1_pm.C_scaffold_6001819 transcript:fgenesh1_pm.C_scaffold_6001819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthioalkylmalate synthase c [Source:UniProtKB/TrEMBL;Acc:D7M1L9] MASLLLTSFGMITTTGPTLVVRSVLPIGSSQPSIRLTRPCNKPTLFMSCCSSESKKVVTSATDLKPIVERWPEYLPNKLPDKNYVRVLDTTLREGEQAPGGSLTPPQKLEIARQLAKLRVDIMEVGFPGSSDEEFETVKTIAKTVGNEVDVETGYVPVISTLARCINRDIEAAWKAVKYAKRPRICIFTSTSDIHMNYKLKKTKEEVIEMSLSSIRFAKSLGFHDIQFGCEDGCRSEKEFVCKILGEAIKAGATSVCIADTVGITMPHEFGELVTYVKANTPGIDDVVFSVHCHNDLGLATANTIAAVCAGVRQFEVTVNGIGERSGNAPLEEVVMALKCRGAYLMDGAYTRIDTRQIMATSKMVQEHTGLYVQPHKPIVGDNCFVHESGIHQDGILKNRSTYEILSPEDVGIVKSQNSGIVLGKLSGRHAVKGRLKELGYEINDEKFKEIFSRFRDLTSRITDADLKALVVNGDKITSEKSSSKGNNNLMSSPHISSVV >fgenesh1_pm.C_scaffold_6001821 pep chromosome:v.1.0:6:9795703:9796143:-1 gene:fgenesh1_pm.C_scaffold_6001821 transcript:fgenesh1_pm.C_scaffold_6001821 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKVVLLALLLSLSCLWVAKASERRTRVSQEVRDAKKQRGGPCTDENTCHQICPDCIIAQCIFKQCVCSKCFLPPSQAAFSVKTLM >fgenesh1_pm.C_scaffold_6001886 pep chromosome:v.1.0:6:10171251:10172282:1 gene:fgenesh1_pm.C_scaffold_6001886 transcript:fgenesh1_pm.C_scaffold_6001886 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEVCENVVKASKGWEKLDTDILMRIFQNYFSIGVLTSGLAHVCRGWRAVCCDPVLWYTLDLSRMKSIFIKTKNEPYYLTRILKLSMNLSKGNTRSLIFHFNLFLTNDMLTYTTKRSPNLRRLVLPAMNRMKDMGICNALSFCKNLESLTMPSILESHIVFSSIVKRKTFRELKVISHIDLFFAQNVVQCLPNLKVLSLRCNEINRDALLEILDKLESLEVLNISHSYLVITQQHPEKKKIIVRELDQAIMEKASKLKRFVTCMEHKTCVMCQWTDKDEGIMRWYMYEEGLWLADEVSSLYL >fgenesh1_pm.C_scaffold_6001912 pep chromosome:v.1.0:6:10330568:10331860:-1 gene:fgenesh1_pm.C_scaffold_6001912 transcript:fgenesh1_pm.C_scaffold_6001912 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M2G1] MVKWSDLPPEILHSISLKIDNPFDLIHFRSVCSFWRSSSLLKFRHMTSLRCPLPLDPGGCGDDCHILSSRVYLLKSPNRDRPQYWLFKLQAKENGEVVLHSLFLRRHSSAYGCLYPSLSLDLLNCQIFELAKEHVACYSEWSELFECISKCEERIGFMGLSTENNEYMILGKLSFNGLAMFRSVDKRWTELGIIYDSFFEGIVPFKGKFYAIDRTGKTTVVEPTLEVNTFQRSRPCDKTRKRWLVMSGDKLILVEMCTKSRYDFHIPNIREKKIWFEISELNEERNDWDQVEDMDGRVLFLEHYCSFSCLATEIPGFRANSIIFMDLWGGSNSYEHESILVYEFDEQGIRTLIDKQEYIELFPSPPGWVISNR >fgenesh1_pm.C_scaffold_6001921 pep chromosome:v.1.0:6:10393615:10396692:-1 gene:fgenesh1_pm.C_scaffold_6001921 transcript:fgenesh1_pm.C_scaffold_6001921 gene_biotype:protein_coding transcript_biotype:protein_coding MTYAWLWTLLAFVLTWMVLHLIKMKKAATEDVEAEAEERSDGATDVIIVGAGVAGASLAYALAKDGRRVHVIERDLKEPQRFMGELMQAGGRFMLAQLGLEDCLEEIDAQEAKSLAIYKDGKHATLPFPDDKKFPHEPVGRLLRNGRLVQRLRQKAASLSNVQLEEGMAKSLIEENGVVKGVTYKNSAGEETTAFAPLTVVCDGCYSNLRRSLVDNSEEVLSYMVGYVTKNSRLEDPHSLHLIFSKPLVCVIYQITSDEVRCVAEVPADSIPSIANGEMSTFLKKSMAPQIPATGNLREIFLKGIEEGLPEIKSTATKSMSARLCDKRGVIVLGDAFNMRHPIIASGMMVALSDICILRNLLRPLPNLGNTKKVSDIVKSFYIIRKPMSATVNTLASIFSQVLVATTDEAREGMRQGCFNYLARGDFKTAGLMTILGGMNPHPLTLVLHLVAITLTSMGHLLSPFPSPVRFWHSLRLFAWALQMLGAHLVTNAARFRRTYIAK >fgenesh1_pm.C_scaffold_6001928 pep chromosome:v.1.0:6:10463331:10464186:-1 gene:fgenesh1_pm.C_scaffold_6001928 transcript:fgenesh1_pm.C_scaffold_6001928 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGNKSINAKLVLLGDVGAGKSSLVLRFVKDQFVEFQESTIGAAFFSQTLAINDATERYHSLAPMYYRGVAAAIIVFDVTNQASFERAKKWVQELQAQGIV >fgenesh1_pm.C_scaffold_6001933 pep chromosome:v.1.0:6:10500312:10501765:-1 gene:fgenesh1_pm.C_scaffold_6001933 transcript:fgenesh1_pm.C_scaffold_6001933 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSVSKKKNKNAMRPPGYEDPELLASITPFTVAEVEALYELFKKLSSSIIDDGLIHKEEFQLALFRNRNRRNLFADRIFDVFDVKRNGVIEFGEFVRSLGVFHPNAPVHEKIKFAFKLYDLRQTGFIEREELKEMVIALLHESELVLSEDMIEVMVDKAFVEADRKNDGKIDIDEWKDFVSLNPSLIKNMTLPYLKDIKGTFPSFVSSCEDEELEL >fgenesh1_pm.C_scaffold_6001949 pep chromosome:v.1.0:6:10629551:10632545:-1 gene:fgenesh1_pm.C_scaffold_6001949 transcript:fgenesh1_pm.C_scaffold_6001949 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKYLDTADNDASPSQREEQDVAKRFRKRCLANEDLLEILFSGAHIGVEDGCKRKRGASNIDNQSDLSKAFRERSDAIKLAAAEMSSALTSDVTMAARRMHQISEIEFGSTFYWDANKLLSNDEVARRWFLGIPENKFALLYLRTRSTTILE >fgenesh1_pm.C_scaffold_6001954 pep chromosome:v.1.0:6:10658996:10660173:-1 gene:fgenesh1_pm.C_scaffold_6001954 transcript:fgenesh1_pm.C_scaffold_6001954 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLAKTKRKKIIFPTKNELSEAMAEYTANLSAKFCKVRGYFTVVLSGGDLISWLSELVEPMYQESIEWSKWHIFWVDERVVPLDHKDSNYKLAFDGFLSKVPIPVANIHAIDKDCAALGDAKGAALLYEECLKRLVNRDIIRTYKASGFPQFDLQLLGMGPDGHMASLFPGHYQIKEKADLVTYITDSPKPPPKRITFTLPVINCASYNLMAVCDEAQADAVAKVFSDNFDLPAAWLTADKEAIWFLDQAAASKIPKGH >fgenesh1_pm.C_scaffold_6001957 pep chromosome:v.1.0:6:10673630:10675749:-1 gene:fgenesh1_pm.C_scaffold_6001957 transcript:fgenesh1_pm.C_scaffold_6001957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ctc-interacting domain 13 [Source:UniProtKB/TrEMBL;Acc:D7M350] MAVAENVGVKVDSSDDQNLDNNTTSLAETKPSCPDDQTPKSNSSVLTNELIQRTSEVDLMSEISRLNPMAKEFVPSFLAQTHSEFLRSRLWFTNNFPVQAISTMRRSFGQGRRWINKKTNLVQNEDVIKRTVYVSDIDQQVTEEQLASLFLSCGQVVDCRICGDHKSILRFAFIEFTDAEGARSALRKSGTVFGSHPIRVHISKTAIAPVNPSFLPRSEEELEKCGKTVYCTNIDKQVTKMELENFFKTVCGEVHHLRLLGDFYHQTRIAFVEFKLAESAISALNYSGVVLGELPIRISPSKTPVRPHHSDLN >fgenesh1_pm.C_scaffold_6001958 pep chromosome:v.1.0:6:10679289:10683878:1 gene:fgenesh1_pm.C_scaffold_6001958 transcript:fgenesh1_pm.C_scaffold_6001958 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIENGSISGNLPSKEAFVVHYPGYPSSISRALDTLGGIQGITTARESTSNKLELHFRPEDPYAHPVLGEQRPCHGFLLKISKEVVKKDSLPESQPVLATSNACLPEDFPALCADIVARVSESYCFDGMADYQHVVPIHADIAQQKKRKWMEVKSLAGKNDLMDMADEDVMMLLPQFFSPKDMPDNLVLRLPVTSSPKKKDEELTQNLYEIDIGPVFAIDFSIPKILKWEDFIVPSSNQWKWQVAVSALFEERPVWTRDSIVQRLLDKGLKCTHHMLNRFLLRAAYYFSGGPFLRFWIKRGYDPRKDPESRVFQRMEFRVPPELKGYCDSNATNKSKPSWDDICAFKVFPFKCQTFLQLFELDDEYIQQEIRKPPKQTTCNYKTGWFSEALLDNLRLRVAVRYVSVFPEPGFEDVFKSIQEEFERSEKTRIQKDALNPYQRNHQETAKDMKKRKNTNKEKDSNVNADDDTEDVDDEDEELNVAANDDEISISSHEYGDMENNSRTYLQGLFNSFPSSAPALYGNANDGSDGEYPIYEEVSNDDEDDNDEDDDDE >fgenesh1_pm.C_scaffold_6001962 pep chromosome:v.1.0:6:10704366:10705419:-1 gene:fgenesh1_pm.C_scaffold_6001962 transcript:fgenesh1_pm.C_scaffold_6001962 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSIIDDKPVASTWLNRLRLNRGLSTTEDDDASGNPLTLDDFLRRNHHTEITATSSASDSPPSAPVPSDPELAESPSEEPVPGEWYGVMSDVLSELFNFGGSSKSSTIPGKKKLPRKQSNPRHCSLDTPNDVVPLVNQKSNDANCVPSVREFATSSSRSSYNKKTPAPEIRGRRRSVAEDEDVDEEEEKGEKDLVGFSRSEVTVIDTSFKIWKSEKLVFRRRNVWKVREKKGKSRVVSKTKKMMKKKKKKKKRKCDVGDDDGEIARKSKKMKISTSVSDNNPRYHVEEIHDEPESSNVSRRQVH >fgenesh1_pm.C_scaffold_6001966 pep chromosome:v.1.0:6:10749892:10750922:1 gene:fgenesh1_pm.C_scaffold_6001966 transcript:fgenesh1_pm.C_scaffold_6001966 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLDLAEECISAVISFTSPTTPAVSLLSPNCSASPWILIPFGRDFFPQILVCISINLYLDFPITSCSSVSANLLFSSTMEERFWMDKRSAKKCWMLSARKLDIIWVDSLEFWIWISIPDSRSINFISIVRGSCRASNGMLVRNPRKNKHIPSLQSNHSLLLEVSFFSTRTEVYNDRRVFLKQGMQRCREDGWLEIGVGEYYVGSDDEELEMSVLETIEGGWKGGIIVQGIEIRPKEVLGLGNTFSK >fgenesh1_pm.C_scaffold_6001968 pep chromosome:v.1.0:6:10781890:10783793:-1 gene:fgenesh1_pm.C_scaffold_6001968 transcript:fgenesh1_pm.C_scaffold_6001968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tip [Source:UniProtKB/TrEMBL;Acc:D7M367] MKEDMEVLSLASLPVGFRFSPTDEELVRYYLRLKINGHDDDVRVIREIDICKWEPWDLPDFSVVKTTDAEWLFFCPLDRKYPSGSRMNRATVAGYWKATGKDRKIKSGKTKIIGVKRTLVFYTGRAPKGTRTCWIMHEYRATEKDLDGTKSGQNPFVVCKLFKKQDIVNGAALEESKSCEVEPAVSSPTVVDEMKSEVEMSEVSPVIEDTKPCDVAESSLVVSSECRSENSAPEVTTTGLDDIDWLSFMEFDSVNQNLFSPLPSQVQSELCSSFNGFQSGTSQLFNEDHIQTQYGTNDADEYMSKFLDSLLEIPYELPGRKEFVQQTPEQIPYEPQNLTTCNKINDVTETGIKIRARRAQASGCAEQFVMQGDASRRLRLQVNRNSHKSETDSTQLLCIKKEVKDTTTETIAKGCGNFTRSKSRTSVIFRKIAAMGCSYRGLFRAGVVAAVFVMSVCSLVA >fgenesh1_pm.C_scaffold_6002019 pep chromosome:v.1.0:6:11321139:11323307:-1 gene:fgenesh1_pm.C_scaffold_6002019 transcript:fgenesh1_pm.C_scaffold_6002019 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M3X3] MQKVCWPYFDPDFDNLGERIYGPPCRVYIDNDSIIDCTVVKVNSENKQGLLLEVVQILTDMNLIITKSYISSDGGWFMDVFHVKDEYGNKLTDKSVINHIKHAIGTSRRESDFIKASEAHNNPNNNSLESPLSDHGEHTAIEMTGTDRPGLFSEIFAAFADLHCNVMEAHAWSHNARLACIAYVSDDNTHTPIDDPSRLASIEDHLSTVIRATSDPASNSTHVGHKENETDGFLAGQGKGCMNSNVERRLHQLMLSVRDFDEPFCEPSSLSLLSSKLEYCDQKERKTTIVSIGNCEERGYSIVTVKSKDRRRLMFDTICTLVDMQYVIFHAALRSDGADAFQEYFIRHIDGRALNTEGEKERVIKCLEAAIERRVCEGVKLELCAENRVGLLSDITRVLRENGLTVVRADVETQGQKSLNAFYVRDISGNKIDMEFVESVKKEMRPIHLEVKNEDTTTDIVGSDDPPASAAPQPQPQPHRFSLGDILRSQIERLSLNFVPTK >fgenesh1_pm.C_scaffold_6002026 pep chromosome:v.1.0:6:11356862:11358478:-1 gene:fgenesh1_pm.C_scaffold_6002026 transcript:fgenesh1_pm.C_scaffold_6002026 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSKKFRGVRQRQWGSWVSEIRHPLLKRRVWLGTFDTAETAARAYDQAAILMNGQNAKTNFPIIKSNGSDSLGVNSTLRSPKSLSELLNAKLRKNCKDQTPYLTCLRLDNDSSHIGVWQNRAGSKTSPNWVKLVELDDSVNARPGGDIGTNKMKKRNDDVQEDDQMAMQMIEELLNWTGPASGSIAQV >fgenesh1_pm.C_scaffold_6002031 pep chromosome:v.1.0:6:11410956:11411387:1 gene:fgenesh1_pm.C_scaffold_6002031 transcript:fgenesh1_pm.C_scaffold_6002031 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDTSHVSTISKPEGVIGYGEKTSKLAVFIRKGGGGKGGGGRGGGKVGGGRGGGYDRLRQSRGGLGGYPFFSGMSHHHRSSGSRNLGRPRCGFGWLGLLSASAGLLLVS >fgenesh1_pm.C_scaffold_6002032 pep chromosome:v.1.0:6:11412823:11415823:1 gene:fgenesh1_pm.C_scaffold_6002032 transcript:fgenesh1_pm.C_scaffold_6002032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anion exchange protein family [Source:UniProtKB/TrEMBL;Acc:D7M3Y9] MESEGEGGPFQGILRDIEGRRKCYKQDWIRGIKTGGALSAVETLASTSICGIIHAIFGGQPLLILGVAEPTIIMYTYLYSFCISRPDIGRELYLAWVAWVCVWTSVLLILLSIFNAGTIITRFTRIAGELFGMLIAVLFLQEAIKGLISEFNAPEIKNQETGKSHFLLLYANGLLAVIFSLGLVFTALKSRRAKSWKYGFGWLRSFIGDYGVPLMVLLWTALSYTIPSEVLPSVPRRLFCPLPWEPASLYHWTVIKDMGKVPVMYIFAAFIPGVMIAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDIFLLGIMTLICGLLGLPPSNGVLPQAPMHTKSLAVLNRQLTRKKMVKKAKECMKMKASKSEIYGRMQSVFIEMETCPPQDNSVATDLKELKEVVMRPDQGADTKGKFDPDVHIEANLPVRVNEQRVSNLLQSVLVGLTLLAVPVIKMIPSSILWGYFAYMAIDSLPGNQFWERLLLLFIPPSRLFKVLEGVHASFVELVPYRVIVTFTLFQLVYFLLCYGMTWIHMAGIFFPALFFLLISIREHLLPKLFHPQHLQVLDASDYEEIVAAPIQQHSSFAYRKLGSSHHLSEGEDEFYDAEILDELTTSRGEIRIRTISFKEVHPEPEEKRVTFEPH >fgenesh1_pm.C_scaffold_6002073 pep chromosome:v.1.0:6:11768041:11768852:1 gene:fgenesh1_pm.C_scaffold_6002073 transcript:fgenesh1_pm.C_scaffold_6002073 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLLANLTSFDVNGQPPDSYYHPRGMLNVMEHFKTKYGDPLIYVTENGIISTAGGDIPFTDYVLQSSLSKRVNVKGYFAWALGDNYKFCNGFTVRFGLSYVDFNNVTADRDLKASGLWYQSFLRDTTKNQDLLRSRFSFKNRDRKSLA >fgenesh1_pm.C_scaffold_6002075 pep chromosome:v.1.0:6:11810906:11812933:-1 gene:fgenesh1_pm.C_scaffold_6002075 transcript:fgenesh1_pm.C_scaffold_6002075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C-like protein [Source:UniProtKB/TrEMBL;Acc:D7M4N3] MGHCFSLPSSSSEIHEDNEHGDGNAVVFNGLEFGLDHDLPVHRLGSVCSIQGTKVLNQDHAVLYLGYGTRDSELCGVFDGHGKNGHMVSKMVRNRLPSVLLALKEEQNQESNVCEEEANKWENACFTAFRLIDRELNLQVFDCSFSGSTGVVAITQGDDLVIANLGDSRAVLGTTTEDGEIRAVQLTSDLTPNVPTSSSVAGEAERIRMCKGRVFAMKAEPCNQRVWLPNQDIPGLAMSRAFGNFRLKEHGVIAVPEISQHRITSNDRFLVLATDGVWDMLSNDEVVSLIWSSGKKQAEAAKLVAEAAEAAWKKKLKSTKIDDITVICLFLQNKEQPSCTMHT >fgenesh1_pm.C_scaffold_6002081 pep chromosome:v.1.0:6:11839142:11839585:1 gene:fgenesh1_pm.C_scaffold_6002081 transcript:fgenesh1_pm.C_scaffold_6002081 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGLSYTLVFLLAILFTVGEANNNRKLLQTPTNYQPLYSPPPPPVYSRPVALSPPPPIYSPPPTPIYPPPVYSPPPTPIYPPPVYSPPPTPIYSPPVYSPPSTPIYPPPVAHHPSPKAQPSPKAQAFYYRQSPPPPSGKPWWWLL >fgenesh1_pm.C_scaffold_6002106 pep chromosome:v.1.0:6:12050378:12053733:-1 gene:fgenesh1_pm.C_scaffold_6002106 transcript:fgenesh1_pm.C_scaffold_6002106 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase [Source:UniProtKB/TrEMBL;Acc:D7M4S5] MASTVGVPSLYQVPHLEISKPNSKKRSNCFSLSLDKPFFTPPISLARRTRLIHSSSLLVPSAVATPNSVLSEEAFKSLGLSDHDEYDLDGDNNVVADDGEELAISKLSLPQRLEESLEKRGITHLFPIQRAVLVPALQGRDIIARAKTGTGKTLAFGIPIIKRLTEEAGDYSAFRRSGRLPKFLVLAPTRELAKQVEKEIKESAPYLSTVCVYGGVSYTIQQSALTRGVDVVVGTPGRIIDLIEGRSLKLGEVEYLVLDEADQMLAVGFEEAVESILENLPTKRQSMLFSATMPTWVKKLARKYLDNPLNIDLVGDQDEKLAEGIKLYAIATTSTSKRTILSDLITVYAKGGKTIVFTQTKRDADEVSLALSNSIATEALHGDISQHQRERTLNAFRQGKFTVLVATDVASRGLDIPNVDLVIHYELPNDPETFVHRSGRTGRAGKEGSAILMHTSSQKRTVRSLERDVGCHFEFISPPTVGDLLESSADQVVATLNAVHPDSIKFFSATAQKLYEEKGTDALAAALAHLSGFSQPPSSRSLLSHEKGWVTLQLIRDPTNARGFLSARSVTGFLSDVYRTAADEVGKIFLIADDRVQGAVFDLPEEIAKELLEKEVPEGNSLSMITKLPPLQDDGPSSDNYGRFSSRDRMPRGGGGSRGSRGGRGGSSRGRDSWGGDDDRGSRRSSGGGSSWSRGGSSSRGSSDDWLIGGRSSSSSRAPSRERSFGGSCFICGKSGHRATDCPDKRGF >fgenesh1_pm.C_scaffold_6002122 pep chromosome:v.1.0:6:12253199:12260317:-1 gene:fgenesh1_pm.C_scaffold_6002122 transcript:fgenesh1_pm.C_scaffold_6002122 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSVKTTVDPLLKDLDGKKESFRRNVVSMAAELKQVRGRLVPQEQFFVKESFCRKEAEKKAKNMEMEICKLQKKLEDRNCELEASTSAAEKFLEEVDDLRSQLALTKEIAETSTASAQSAQLQCSVLTEQLDDKTRSLREHEDRVTQLGHQLDNLQRDLRTRECSQKQLREEVMRIEREITEAVAKSGKGTECELRKLLEEVSPKNFERMNKLLAVKDEEIAKLKDDVKLMSAHWKLKTKELESQLERQRRADQELKKKVLKLEFCLQEARSQTRKLQRAGERRDKAIKELRDQITEKELNESVSREKPNFWDTSGFKIVVSMSIQFRFPFDGKMAKDDEAIAINDAVHKLQLAMLDGINDQNQLFAAGKLISRLDYEDVVTERTIAKLCGYPLCRRFLPSDVSRRGKYRISLKEHKVYDLQETRKFCSAGCLIDSKSFSGTLQEARTSEFDSVKLNEILGLFGDSEVKGSLDVNKDLDLSKLMIRENFELRGEELSLEQWMGPSNAVEGYVPFDRSHCKSRTGKAGGKFHDELWNSKATQSNQEKHEMDFTSTVIMPDEYSVSKLPPQTKQASPVGESDGGKGKTVLKEQTVVPPTKKVSRFRREKEKEKKTSGVDGIDLASFGFDAMDWESEDGKAKPVMTDFGQTTVLPKKKLSKHLGSCKDSFCNDPEIFKDIKNFGFDEMGLESSAIMSDGYGVEYSVSKQPQCSMEDSLSCNLKGGLQTLDGKNTLSGSSSGSNTRGLKTKPEKSGKKIISVEYHANSYEDGEEILAAESYERHKAQDVCSSSKTVTKSCLKISGSKKLSRSVTWADQNDGRGDLCEVKNHDITAAPSLPSTDTEDVNSLSRLALAEACATALSQAAEAVSSGDSDASDASKFIGGFNYAMILWMSISAEAGIVLLPSTHQLDEEVTEEHSEEEMTEEEHSEEEMTEEEPTLLKWPNKPGIPDSDLFDRDQSWFDGPPEGFNLTLSNFAVMWDSLFGWVSSSSLAYIYGKEESAHEEFISVNGKEYPRRIILGDGLSSEIKETMAGCLARALPRVTTYLRLPIAISELEKGLGSLLETMSLTGAVPSFKIKEWLVIVLLFLDALSVSQARLVTVNFNIIKGESLSEFNLLIMLVKNIRFWKEDILLPLGRVPQFATRSGA >fgenesh1_pm.C_scaffold_6002124 pep chromosome:v.1.0:6:12271416:12272636:1 gene:fgenesh1_pm.C_scaffold_6002124 transcript:fgenesh1_pm.C_scaffold_6002124 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g26800 [Source:UniProtKB/TrEMBL;Acc:D7M5D4] MAWMRLIQSARSVLRAEPTPSTLNFPRFYSKSAAPYPVKVGIPEFLSGIGGGVETHIAKLETELGDLPKLLVTRTLRLKKFGIPCKHRKLILKYSHKYRLGLWKPRADAIKA >fgenesh1_pm.C_scaffold_6002138 pep chromosome:v.1.0:6:12466271:12470889:-1 gene:fgenesh1_pm.C_scaffold_6002138 transcript:fgenesh1_pm.C_scaffold_6002138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7M5F6] MARSKGLAKKQQKKGIDFKKIKRKLGRKLPPPNNATNTEIKSKAIILHEQSVAAEKDGLATSKKGLTLKELQLRTSHHNAKVLKDTLHGFQDLFKHHPAELQSNKYTVIQCLRKLISHDDGLVREAFYELFRTQIFRACKEDNKGLMVSLLMPYIFTAMTNSSIDVRLMAFNFFHLVLEYYPPTFSLYAEKILENYKDVIQKNHFYVQDKNKLEVVLSGLAHCLSLLPCDESNTDSQRKNETLLAYEEDAAKECVRFAHVSGILKEIVGVLINCFQDFIPLIQTPRGFNKHSFDCILHILRSIDYAIKFSIRRHSQRQATWLPASEENTLMILDPYIASLLSKKLLGSFPLNCENNLSGKNDELNSVLTEIFLEVSEWSSLPSNLSNRFLEFLENALQGKITRSNKLSKSLLSLLPFVPKLILRVDHDQRDNLMQAFTITFNDCKPDSPLKLACISVVKDVIIHNGDILYHNDPTVNNYQRAWVNKLPSLLNQLGDKHPVSTKVVLQLLLDLARVGCLNASPTFEEEISNFFIPCQGEGDEPGGTFASLPREVQEVALCFLYYFTVDNFSSRMLKAIVSCCLYQQLEPAVLFRIVEILHSAYKAGYIQITDHFSFFITLIARFKVVPEKLESAIECDEQETYCGTFKELTNLVCSCLSEMGDSSLVLQILEKVFVEQIISKPALDNGCAILRMICTLDSKPTILSESSVTISEFLPGYLIDIVKCKPEDKENSYLYIQTCLYYLVPCYFLFDRSSKLTEQVLKRMRLMVSENTRALESVQDRKSSQDSLNLIQCIVSVILLMHNDVKVRKIISSSKSEIDLILQNVITLQPSRSTSLTVEGKHMMKIAGERLRIASNSLLA >fgenesh1_pm.C_scaffold_6002153 pep chromosome:v.1.0:6:12660482:12661789:-1 gene:fgenesh1_pm.C_scaffold_6002153 transcript:fgenesh1_pm.C_scaffold_6002153 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEEKSRTDRKPPGVGRGRGRGVDDGGARGRGRGTSMGKMGGNRGKNTQPKFVMTISVVVCHNWI >fgenesh1_pm.C_scaffold_6002168 pep chromosome:v.1.0:6:12784816:12785022:1 gene:fgenesh1_pm.C_scaffold_6002168 transcript:fgenesh1_pm.C_scaffold_6002168 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSRFMKSPLGGGGSSWKPSHPTVIALQKKSVVWSPLKFKNRRPSLMAIRPSASSSSSASDMLRREQ >fgenesh1_pm.C_scaffold_6002170 pep chromosome:v.1.0:6:12791807:12796517:-1 gene:fgenesh1_pm.C_scaffold_6002170 transcript:fgenesh1_pm.C_scaffold_6002170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7M636] MVTVQKKRNRPVIRPRYHSIGWSRETILRISILINFIYFGLVNGQNYNVLKFGAKGDGETDDSNAFIQAWNATCGGEDNINTLLIPSGKTYLLQPIEFKGPCKSTSIKIQLDGIIVAPSNIKTWSNPKSQMWISFSSVFSLMIDGSGKIDGRGSSFWEALHIYKCDNLTINGITSIDSPKTHISIKGCNYATISKINILAPEKSPNTDGIDISYSTNIKISDSTIQTGDDCIAIDNGSSGINITQINCGPGHGISVGSLGADGTNAEVSDVHVTHCTFNETMNGARIKTWQGGQGYARNISFENITLINTRNPIIIDQQYIDKGHIDATKDSAVAIGNVKYIGFRGTTVNEYAITLNCSAITHCKDVVMDDIQVTMENGEKAKAECQNVEGESSDSDLMRDCFKNSSTL >fgenesh1_pm.C_scaffold_6002173 pep chromosome:v.1.0:6:12812825:12813189:-1 gene:fgenesh1_pm.C_scaffold_6002173 transcript:fgenesh1_pm.C_scaffold_6002173 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKKMLIVFVLTILFVISSVHCSDSTLGLGIKKKQCYSPDLCKGGDGECDICCVLTSGVYYGKCIQSKCHCLIKTE >fgenesh1_pm.C_scaffold_6002188 pep chromosome:v.1.0:6:12887140:12892046:-1 gene:fgenesh1_pm.C_scaffold_6002188 transcript:fgenesh1_pm.C_scaffold_6002188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar-porter family protein 1 [Source:UniProtKB/TrEMBL;Acc:D7M656] MVVEEERSIEEGLLQLKNQNDDSECRITACVIFSTFVAVCGSFSFGVATGYTSGAETGVVKDLDLSIAQFSAFGSFATLGAAIGALFSGKMAMVIGRRGTMWVSDILCITGWLSIAFAKEVVLLNFGRITSGIGFGLTSYVVPVYIAEITPKHVRGTFTFSNQLLQNAGLAMIYFCGNFINWRTLALLGALPCFIQVIGLFFVPESPRWLAKVGTDKELENSLLRLRGRDADMSREASEIQVMTKLVENDSKSSISDLFQRKYRYTLVVGIGLMLIQQFSGSAAVISYASTIFRKAGFPVAIGTTMLGIFVIPKAMIGLILVDKWGRRPLLLTSAFGMSMTCMLLGVAFTLQKMQLLSEVTPVLSFICVMLYIATYAIGLGGLPWVIMSEIFPINIKVTAGSIVTLVSFSSSSIVTYAFNFLFEWSTQGTFFIFGGIGGAALLFIWLLVPETKGLSLEEIQVSLIHQPDERNQT >fgenesh1_pm.C_scaffold_6002192 pep chromosome:v.1.0:6:13084976:13087165:-1 gene:fgenesh1_pm.C_scaffold_6002192 transcript:fgenesh1_pm.C_scaffold_6002192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7M668] MKFSASSSSTSLTWTTLITLGCLMLYASLSDAQLTPTFYDNSCPNVTNIVRDTIVNELRSDPRIAASILRLHFHDCFVNGCDASILLDNTTSFRTEKDAFGNANSARGFPVIDRMKAAIETACPRTVSCADMLTIAAQQSVTLAGGPSWRVPLGRRDSLQAFLQLANANLPGPSSTLPQLKDRFKNVGLNRPSDLVALSGGHTFGKNQCRFIMNRLYNFSNTGLPDPSLNTTYLQTLRGLCPRNGNLSALVDFDLRTPTVFDNKYYVNLGERKGLIQSDQELFSSPNATDTIPLVRSYANSTQTFFNAFVEAMNRMGNITPLTGTQGQIRLNCRVVNSNSLLHDVVDIVDFVSSM >fgenesh1_pm.C_scaffold_6002199 pep chromosome:v.1.0:6:13176887:13178188:-1 gene:fgenesh1_pm.C_scaffold_6002199 transcript:fgenesh1_pm.C_scaffold_6002199 gene_biotype:protein_coding transcript_biotype:protein_coding MDESTATSSSSTHYFSIFTNYPLISAVTSFTIAQFIKLFTSWYVLSLYLCIGNGDGISNSLLGPEECLLHPVAIALQEGFGGSHFAIALVLPSVVMYDATGVRLHAGRQAEVLNQILYQLPAEHPLAESRPLRELLGHTPPQVYSVFHLLRIQVVAGGMLGSATAVTGYLFFRIATS >fgenesh1_pm.C_scaffold_6002201 pep chromosome:v.1.0:6:13217245:13217928:1 gene:fgenesh1_pm.C_scaffold_6002201 transcript:fgenesh1_pm.C_scaffold_6002201 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLGNLRLYKFDVPSYSNAGVVLVTQEDRQSGCGFRLKRYTRQRQRDSKAGLSKSFVELLGRVFLFAEKDSNITNQILTYLVTNFSFKDLDIVPPPYAIGDTFVRVLPIAPTTTEIVDCYRGRDLCFLCEKGYPKEKEIVYKTVCNNIFHATCISSHLWRTPQCPVCSRELLPVGIRTLLFKA >fgenesh1_pm.C_scaffold_6002205 pep chromosome:v.1.0:6:13269193:13271161:-1 gene:fgenesh1_pm.C_scaffold_6002205 transcript:fgenesh1_pm.C_scaffold_6002205 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETSGGFALFKVLDEGKLSNVEDLGDEFSSAESARRGTSSKGLRKFLKNNCGDGETLAVADSNIGNIITEKLDVQCVHNNAVMELLRGVRSQLTELLSGLDDNDLAPMSLELSHILARYKLKITSDKVETMIIQVIGLLDDLDKERNTYAMRVRELYGLHFPELAKIVQDNILYAKAVKLMGNRINAANLDFSEILADEVEAELKEVAVISMGTEVTDLDLIHIRQLCDQILSLAEYRAQLNDYLKSRMNKIAPNLTALVGELVGARLISHCGSLLNLAKLPGSTIQILGAEKALYRARRMNHATPKHGLIYHAPVVSQAAPEHKGKISRSLAAKAALAIRCDAFGDGQDNTMGVETRLKLEARLRNLEGGDLGAFEEEEVYYKDKKKKADDEEEPKTEEPSKKKKTEAELETAKEPVKKSKHEGVTGLLILIFLIPLIYFVYVNQLAC >fgenesh1_pm.C_scaffold_6002208 pep chromosome:v.1.0:6:13288170:13289748:1 gene:fgenesh1_pm.C_scaffold_6002208 transcript:fgenesh1_pm.C_scaffold_6002208 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIADRINTTVSTPQPESESSKKADQTKKPALVTKEAKSGGILFSVWPPSQKSRDSILNSLIKVLSKDSFLSYKYGTIKPKNIKQRFPANSQNCVIESAEVRFKASGTKSTNKDDDLEGKTQP >fgenesh1_pm.C_scaffold_6002211 pep chromosome:v.1.0:6:13338190:13349179:1 gene:fgenesh1_pm.C_scaffold_6002211 transcript:fgenesh1_pm.C_scaffold_6002211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7M6A5] MALVAALEADLRALSAEARRRYPAVKDGAEHAILKLRSSSSASDLSSNEDILRIFLMACGVRNTKLSVIGLSCLQKLISHDAVEPSSLKEILYTLKDVRLPNAVFPYLQHSEMAEENIQLKTLQTILIIFQSRLHPETEDNMVLGLSICLRLLDNNRPPSVYNTAAATFRQAVALIFDQVVSAESLPMPKFGSSSQTARTGSVTGDLSQNINNSEPLEKDVIGGQLTMRETLSETGKLGLRLLEDLTASAAGGSVLRHQICSLLMTSLRTSSEFVTAQLEGEMVEPYFRRLVLRSVAHIIRLYSSSLITECEVHYAKLYLFIVDFVLLTTKEQAHETCSSDDYDICKFILLIMLVKATFLDLPLWHRILVLEILRGFCVEARTLRILFQNFDMHPKNTNVVESMVKALARVVSSIQETSEESLAAVAGMFSSKAKGIEWILDNDASSAAVLVASEAHAITLAIEGLLGVVFTVATLTDEAVDVGELESPRYEHLPSSDYTGKTSHLCISMVDSLWLTILDAFSLILSRSQGEAIVLEILKGYQAFTQACGVLHAVEPLNSFLASLCKFTIVLPTDVERKSSVVQSPVSKRSEVQVDQRDVIVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLAALDRAIHSPHATTQEVATAVPKLTREPSRQYADFSILSSLNSQLFESSALMQVSSVKSLLSALHMLSHQSMTETSGSVSSASRVEPLWDQVVGHFLELAEHSNQNLRNMALDALDQSICAVLGSEQFGEDPARSRDATLDVDSKSTEVKSVECAVLSSLRVLYFSAQKADVRVGSLKILLHVLERCGEKLYYSWSSILEMLRSVADASEKDVATLGFQSLRVIMSDGLPTLPEDCLHVCIDVTGAYSAQKTDLNISLTAIGLLWTLTDFVAKGLHHGSLVDKGSGFNIADSTPQQTNGEDGEKNRVSNSDKSDYEARIQVVNHEKLLFLVFSLIQKLVDDERPEVRNSAVRTFFQILGSHGNKLSKSMWEDCLWNYIFPMLDGASHKAATSSKDEWQGKEIGTRGGKAVHMLIHHSRNSAQKQWDETFVLVLGGIARLFRSYFPLLESLPNFWSGWESLLAFVKNSIFNGSKEVSLAAINCLQTAVVSHCVKGNLQLRYLHSVLDVYELVFQKSSSYTGDTAAKVKQEILHGLGELYVQSSKMFDDKMYMQLLGIVDLAIKQAITNSENFETEYGHVPPVLRHVLEILPSLGPPEHLSSLWLILLREFFHYLPRVDSVLPNEEGEIQQNKTGSEVVEHKADASSETMPTTRITTNMFAEKLIPALIELLLQAPAVEKYILFPEVIQNLRRCMMTRRDNPDGSLWKVAAEGFNRLLVEDVKLCSVGGETELKISKTARIRIWKEIGDVYDIFLVGYCGRALFSNSLPAAALKANETLEMALLNGLGDIILKSTVDAPREVLERLVSTLDRCASRTCSLPVETVELMPAHCSRFSLTCLQKLFSLSSSETENWHSTRAEVSKISNTTLMARCEFILSRFLIDENNLGNRPIPTARLEEIIFTLQELDRLSIHPEVASVLPLQPYLKTVLREDNRDTRAHLLVLFPSLCEIVLSRETRVRELVQVLLRAVATELGLEKVSLSS >fgenesh1_pm.C_scaffold_6002225 pep chromosome:v.1.0:6:13680354:13681535:1 gene:fgenesh1_pm.C_scaffold_6002225 transcript:fgenesh1_pm.C_scaffold_6002225 gene_biotype:protein_coding transcript_biotype:protein_coding MINCSWIFVWLFVVLVPFSKRAWFKNLVALLYKTFSRKEKKLTFLSLPDEIILNCLARISRSHYPKLSLVSKTFRSLLMSHKLNVERFHLKTTETFFHVCLKLPDRPSPSMFTLWIKPGQILMNQLEKKERSTGDTRLVQIPSSYYNKVPLYVITVGSELYGLSQRNDPSSNMLVRNKEFLFCRNSPNMIVARAKARAVVFYGKIYVMGGCAANESANWGEVFDPKTQIWEALPDPGPELRFSSIRGIEVIEGKLYIRSNEEKDSVYDPKEGKWDVAKKSHLQCMIGCEMIEIANYGGKLLILWDKIGPSQEKDIWCAVIALEKRDGIDEVWGKIEWASIVLTVPCSYVFLYSLLNRW >fgenesh1_pm.C_scaffold_6002228 pep chromosome:v.1.0:6:13696459:13696846:-1 gene:fgenesh1_pm.C_scaffold_6002228 transcript:fgenesh1_pm.C_scaffold_6002228 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIKSIMTFFLVVMFSISFYNNNVLAFPAGDQSIYARCIGPCPRYAVSHWCEYQCLDRKYMAGGECAFIEGEGPAPRCCCINL >fgenesh1_pm.C_scaffold_6002245 pep chromosome:v.1.0:6:14226878:14230204:1 gene:fgenesh1_pm.C_scaffold_6002245 transcript:fgenesh1_pm.C_scaffold_6002245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7M6Y9] MSNSAGLTIFDGDLLRSIDLNLPELQYGVTGAQLLEISESKVSQSLSGLSLPPHLKNAAISRVSDGDDVNFRRTEFNQQQASEKLGVFFSAVADALKETPIVVSILDGTMLKMFLEDEDDFAMLAENLFTDLDEEDKGKLCKSEIRKALVHMGVEMGVPPLSEFPILDDIVKKHDADGDEELGQAQFAQLLQPVLRDIADVLHEKPITIVQNVEIFTGSRIHKILGDEKKLKCLVEKTILEESNGKGWVKTLIIKNGKELGLPPLSSDNEQVALLYETIFSQLNNKEKETADASTKEEFMDALKDILKKFEDLLETTPVYSATNL >fgenesh1_pm.C_scaffold_6002255 pep chromosome:v.1.0:6:17209322:17226375:1 gene:fgenesh1_pm.C_scaffold_6002255 transcript:fgenesh1_pm.C_scaffold_6002255 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:D7M7L0] MSAENSGSEASSRKEALERLKATRKGGLRNSGGFKIRLQKPIFDTVGDEEYESLVSRRREEARGFVVDDGNLGYVDEGEEEDWSKPSGGADSDDGGGRLQRRKTEKKKKKKNKANLSLKATATITGKGRLSSMFTCSSFSKTDKVKCESILDEVLAEVTPDDIDRERHHKRRKQPAALNKKNLRMEMQDSEPTPSIVSMEKEQATSTMEMQDSPEFEVIPPHDSRQSDANQVFKLNACQKEFDLGADGSLLFYILDAYEEPFGASKGIIYLFGKVKTGDTYKSCCAVVKYIQRCVYAIPNDTIFPSHEIMTLEQEVKESRLSPESFRGKLHEMASKLKKEISQQLLQLNVSNYSMALVKRNYAFDRPDVPAREQYVLKINYPFKDPPLPEDLKGESFCAVLGSHTSALELFILKRKIMGPSWLKISIFSATLKSQRVSWCKSEVTVESPKVITVSIPEEKTVHPPAVITAINLKTIVNEKQNISEIVSASVLCFHNAKIDVPMPGPERKRSGVLSHFTVVRNPEGTGYPIGWKKEVADRNSKNGCSVLSFENSERALLNRLFLELNELDSDILVGHNISGFDLDVLLQRAQACIVKSSMWSKIGRLKRSFKPKLKGNTNFGSGATPGLMFCIAGRLLCDIDLCSRDLLKQDRKEISPNDIPKMFQSSKTLVELIERGETDAWLSMELMFHLSVLPLTLQLTNISGNLWGNTLQGARAQRNEYYLLHTFHSRKYILPDKISQHMKERKSSKRRINHGPEDHNVDELDVDLALENDQGNKTKKGPAYAGGLVLEPKKGLYDKYVLLLDFSSLYPSIIQVSRPNIISVSRLYHDQKTEFLVYPIVRHLEFFPRYLMEHLVSIRKCVKLKMNDSLKCRELDIRQEALKLTANSFYGYLGFSNSRFYGREILQRTVDFVQNHLNLEVIYGDTDSIMIHSGLDDIEEVKAIGAKVIQEVNKKYRCLKIELDGIYKRMLLLRKKKYAAIKLQFKDGKICEEIERKGVDMVRRDWSLLSKEIGDVCLAKILCGGSCEDVVEAIHNELMKIKEEMRNGQVALEKYVITKALSKSPEAYPDSKSQPHVQVALRMRQRGYKEGFSAKDTVPYIICYEQGNPSLASSVGIVERARHPAEVKSEDSRWLVDIDYYLAQQIHPVVSRLCAEIQGTSPERLAECLGLDPSKYRSRSNITTGSDPSTSLLFATSDEESSVCASICKKSDTEESDSIFWLKRHCLKCEAEGSTRRISPAMIANQVKRQIDGFVSMYYKGIMMCDDESCKYTTRSPNFRLLGDRERGTVCPNYPNCNGTFLRKYTEADLYRQLSYFCHILDTQYSLEKMDVGVKIQVEKAMTKIGPAVESTASMARSIRDRCAYGWLQLTDIAV >fgenesh1_pm.C_scaffold_6002256 pep chromosome:v.1.0:6:17238895:17240300:1 gene:fgenesh1_pm.C_scaffold_6002256 transcript:fgenesh1_pm.C_scaffold_6002256 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWAHLTAGILSVVVLSSLLVVFLRRWCCLRRSEITTTAASPIRSNSFQARISKLHQTSLIHQLDTSDIKRRGNIKNYSISRSATTGGFPSKPGLFIWTDHPALVTEAVDNGWARFGFALHEPAPLVTGTSPGSVLLGLCTTAGSEDPGVVITWEVSNGSVDFTQTIKFNQSFKETVNAIKPLMVLRGALPLPGPQLVSSAFPQEAYFEITILEITQRHHGEGGDVSCELVEGEKTKLFKSQGLKLVQRREWDGENEEAVLSLGLATGGSFGAAGEIRLPGKFPASIGFQSNGAIYLDGTFMIFKLVTLGGRKISFTEKSFSKKSKRNGDPLRNITSWSQTNLPHHLEAPILITRHCFC >fgenesh1_pm.C_scaffold_6002257 pep chromosome:v.1.0:6:17369920:17370723:1 gene:fgenesh1_pm.C_scaffold_6002257 transcript:fgenesh1_pm.C_scaffold_6002257 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKGSQNLRNLGCTGKQALLPPKSPFTGGPTFCSDFVPSSVIGSKAVQKLGEGNVNHHRTSSESFLIEEQPSWLDDLLNEPETPVRKGGHRRSSSDSFAYVDVPVGFDVDYTLWDGGRYNNNSSFSAHARGPKESDYLRSQPVPFYPSAHLPKQKMRTWDSLSDSGARPNSSSGCLESSPITRSGSSGSLHDAEKAYCAADGKKDFINNFSKSSSEKRDNSLAKSVTSEADTKRARQYVVYFDTLVCLGLFSAKEIYMIHLMVGY >fgenesh1_pm.C_scaffold_6002261 pep chromosome:v.1.0:6:17548192:17550946:1 gene:fgenesh1_pm.C_scaffold_6002261 transcript:fgenesh1_pm.C_scaffold_6002261 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKSKQVLSLWLEGFREACSLHRVVILCHRSRKLLLRTGQCFLLNGLIFLGSLGVFKWFIDPALQWILPDQCSPLTSQEFCSYGGFYAFLRGGLLQLFYVFWFYPLYMLSFILSNIWYNDIAKHGFEAIEKSDISSAEALREGEAPASLNSANSERPSGLGGVMIGIGEQVYSILLLTFFFLEVYVVGVIPYIGKILNFLLLSWMYAYYCYEYKWNFSGIPLEKRLDFFESNWAFFTGFGSPCVLAIFFLSPLVSGALMAILFPLFVLTATGSGPEKSIGAPRRTWKCAGLGRLPIFYVADTLSMLALSIFRLESPHEI >fgenesh1_pm.C_scaffold_6002283 pep chromosome:v.1.0:6:17786031:17786385:1 gene:fgenesh1_pm.C_scaffold_6002283 transcript:fgenesh1_pm.C_scaffold_6002283 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRFVFFAFIALSVLLAYSILPPNKRASLCCKDHPEFGVCTSNTKCNKRCLRGCKNRKGGFCKRKVCHCYC >fgenesh1_pm.C_scaffold_6002290 pep chromosome:v.1.0:6:17875243:17877580:-1 gene:fgenesh1_pm.C_scaffold_6002290 transcript:fgenesh1_pm.C_scaffold_6002290 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVSGCGSGGCNIVWFRRDLRVEDNPALAAAVRAGPVIALFVWAPEEEGHYHPGRVSRWWLKNSLAQLDSSLRSLGTCLITKRSTDSVASLLDVVKSTGASQIFFNHLYDPLSLVRDHRAKDVLTAQGIAVRSFNADLLYEPWEVTDELGRPFSMFAAFWERCLSMPYDPESPLLPPKKIISGDVSKCVSDPLVFEDDSERGSNALLARAWSPGWSNADKALTTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVAWANEGNEAGEESVNLFLKSIGLREYSRYISFNHPYSHERPLLGHLKFFPWAVDENYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGTLPDSREFDRIDNPQFEGYKFDPNGEYVRRWLPELSRLPTDWIHHPWNAPESVLQAAGIELGSNYPRPIVGLDEAKARLHEALSQMWQLEAASRAAIENGSEEGLGDSAEVEEAPIEFPRDITMEETEPTRLNPNRRYEDQMVPSITSSLIRPEEDEESSLNLRNSVGDSRAEVPRNMVNTNQAQQRRAEPASNQVTAMIPEFNIRIIAESTEDSTAESSSSGRRERSGGIVPEWSPGYSEQFPSEENGIGGGSSTSSYLQNHHEILNWRRLSQTGYVTCKSKFQ >fgenesh1_pm.C_scaffold_6002293 pep chromosome:v.1.0:6:18035795:18037493:1 gene:fgenesh1_pm.C_scaffold_6002293 transcript:fgenesh1_pm.C_scaffold_6002293 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-amino-cyclopropane-1-carboxylate synthase 11 [Source:UniProtKB/TrEMBL;Acc:D7M8A8] MLSSKVIGDSHGQDSSYFLGWQEYEKNPFHESFNPSGIVQMGLAENQLSFDLIETWLEEHPEVLGLKKNEESVFRQLALFQDYHGLPAFKDAMAKFMGKIRENKVKFDTNKMVLTAGSTSANETLMFCLANPGDAFLIPAPYYPGFDRDLKWRTGVEIVPIHCVSSNGYKITEDALEDAYERARELNLNVKGVLITNPSNPLGTSTTREELDLLLTFTSTKKIHMVSDEIYSGTVFDSPEFTSVLEVAKDKNMDLDEKIHVVYSLSKDLGLPGFRVGLIYSNNEKVVSAATKMSSFGLISSQTQHLLANLLSDERFTTNYLEENKKRLRERKDRLVSGLKEAGIGCLKSNAGLFCWVDLRHLLKSNTFEAEHSLWTKIVCEVGLNISPGSSCHCDEPGWFRVCFANMSDQTMEVAMDRVKGFVENNTRGKQKRTMWDTRRRSLINKWVSKLSSVTCESER >fgenesh1_pm.C_scaffold_6002302 pep chromosome:v.1.0:6:18294158:18295139:1 gene:fgenesh1_pm.C_scaffold_6002302 transcript:fgenesh1_pm.C_scaffold_6002302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-like protein [Source:UniProtKB/TrEMBL;Acc:D7M8D6] MAAAISATLVFAARRRPLVCSLSSSSSKPNTRKLVLYSKPGCCLCDGLKEKLNAAFSLSSGSDSLNDVTLQVRDITTNPKWERAYQYEIPVLAKENSDGKEEVLPRLSPRLSAEIIQKKLLAAFR >fgenesh1_pm.C_scaffold_6002308 pep chromosome:v.1.0:6:18380971:18386777:1 gene:fgenesh1_pm.C_scaffold_6002308 transcript:fgenesh1_pm.C_scaffold_6002308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor SPT5 [Source:UniProtKB/TrEMBL;Acc:D7M8E2] MPRSRDEDDEIDGDYEGLDLEEEEEEDEEEEERGRGGGGSRRKRGRSNFIDDYADEDSQEEDDDDEDYGSRGGKGAASKRKKPSASIFLDREAHQVDDEEEEDEEEGEDDFIVDAGTDLPDERGDRRYERRFLSRDENDEDVEDLERRIQERFSRHHEEYDEEATDVEQQALLPSVRDPKLWMVKCAIGREREVAVCLMQKFIDRGADLQIRSVVALDHLKNYIYVEADKEAHVKEAIKGMRNIYSNQKILLVPIREMTDVLSVESKAIDLSRDTWVRMKIGTYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALASKLDGREVSKKKAFVPPPRFMNIDEARELHIRVERRRDHMTGDYFENIGGMLFKDGFLYKTVSLKSITVQNVTPTFDELEKFNKPSENGEGDFGGLSTLFANRKKGHFMKGDAVIVIKGDLKNLKGWVEKVDEENVLIRSEMKGLPDPLAVNERELCKYFEPGNHVKVVSGTHEGATGMVVKVDQHVRVFADHVVESSEVTTGVTKIGDYELHDLVLLDNLSFGVIIRLENEAFQVLKGVPDRPEVALVKLREIKCKIEKKINVQDRYKNVIAVKDDVRVIEGPSKGKQGPVKHIYKGVLFIYDRHHLEHAGFICAKCTSCIVVGGSRSGANRNGGDSFSRYGNFKAPAPVPSSPGRFQRGRGGGYNNSGGRHVGGRGRGDDSLLGTTVKIRLGPFKGYRGPVVEVKGNSVRVELEMKIVTGKLLNSLFRMWVSTCRRNFNNSLYMQLIGMQYQIMLRQHPLDTSRYSMGSETPMHPSRTPLHPYMTPMRDSGATPIHDGMRTPMRDRAWNPYTPMSPPRDNWEDGNPGSWGTSPQYQPGSPPSRAYEAPTPGSGWASTPGGSYSDAGTPRDHGSAYANAPSPYLPSTPGQPMTPSSASYLPGTPGGQPMTPGTGLDVMSPVIGGDAEAWFMPDILVDVHKAGEDSDVGVIRDVSDGTCKVSLGSSGEGDTIMALPSELEIIPPRKSDRVKIVGGQYRGSTGKLIGIDGSDGIVKIDDNLDVKILDLALLAKFVQP >fgenesh1_pm.C_scaffold_6002309 pep chromosome:v.1.0:6:18415693:18423370:-1 gene:fgenesh1_pm.C_scaffold_6002309 transcript:fgenesh1_pm.C_scaffold_6002309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M8E4] MANTSNLPSFLMLALALYVVAAHTSAQCKYSPSSPPPYVYSSPPPYVYSPPLPSPYVYKSPPPAPYLYSSPPPPPYVYNSPPPPPPYVYSSPPPPPPYVYSSPPPPPPYVYSSPPLPPYVYLSPPPLSFVYSSPPSPPYVYQSPPPFPFIYSSPPPPPYVYKSTFHRPFIYSSAPPPPYVYKSALHRPFIYSSPPPPPYVYKSALHLPFIYSSPPPPPYVYKSALHQCPPPSPYGYKSPPYVYSAPTTYAYSPPPYAYSPPPSPYVYKSPPYFSTTIRLQSTAISICLQVSTLRL >fgenesh1_pm.C_scaffold_6002317 pep chromosome:v.1.0:6:18680419:18682612:1 gene:fgenesh1_pm.C_scaffold_6002317 transcript:fgenesh1_pm.C_scaffold_6002317 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPKHNVEEIEEKKEEEMKSVDIEEFSRKPVDEIEEMMEDNEDEEMESIDIHEEEGDKIVTMDEIMSVDSDDDDSESSAESNDEITKAREESVDDRSGISHHSSPESNSSTSSAWTEKAAAIKNFVRVKSEVAVHTLIRRLSGKLSVDNAAHGTRDDEVKSVDSPKTEGKSMWNPLSYLKMMQNDDDSVDTEEEVALEPVVMKGRIILYTRLGCEECRECRLFLHEKRLRYVEINIDIYPSRKLELEKICGGDVAPKVFFNEELVGSFKELKVLEESGELEEKIKHLIEEAPPREAPLPPFSGEDDASSKGPVDELALIVLKMKPCVVKDRFYKMRRFKNCFLGSEAVDFLSADQSLERDGPRPIVEIASRLRLVYRAILEAYTSPDGKHVDYRSIHGSEEFARYLRIIQELHRVELEDMQREEKLAFFINLYNMMAIHSILVWGHPAGTFDRTKMFMDFKYVIGGNTYSLSAIQNGILRGNQRPMFNPMKPFGAKDKRSKVALPYAEPLTHFALVCGTRSGPPLRCFTPGEIDKELMEAARDFLRCGGLIVDLNDKFAYINQIFNW >fgenesh1_pm.C_scaffold_6002327 pep chromosome:v.1.0:6:18873948:18874353:1 gene:fgenesh1_pm.C_scaffold_6002327 transcript:fgenesh1_pm.C_scaffold_6002327 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIILFLTFLVLSSSCTSIIIQKDNLEEKTYLDNPSASPIMDQILVDIHLGHSLRQGVMGFCYDCGKACFRRNKYIRSCTKFICRCSISDIK >fgenesh1_pm.C_scaffold_6002329 pep chromosome:v.1.0:6:18902502:18904000:1 gene:fgenesh1_pm.C_scaffold_6002329 transcript:fgenesh1_pm.C_scaffold_6002329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LX49] MHSSLIKLGFLFLLLQVSLSHAQLSPSFYDKTCPQVFDIVTNTIVNALRSDPRIAASILRLHFHDCFVNGCDASILLDNTTSFRTEKDAFGNANSARGFDVIDKMKAAVEKACPRTVSCADLLAIAAQKSVVLAGGPSWMVPNGRRDSLRGFMDLANMNLPGPSSTLKVLKDRFKNVGLDRPSDLVALSGGHTFGKSQCQFIIDRLYNFGETGLPDPTLDKSYLATLRKQCPLNGNQSVLVDFDLRTPTLFDNKYYLNLKENKGLIQSDQELFSSPDAADTIPLVREYANGQGKFFDAFVNAMIRMGSLSPLTGKHGEIRLNCRVVNSKSKIMDVVDDANEFASSM >fgenesh1_pm.C_scaffold_6002336 pep chromosome:v.1.0:6:19077390:19080377:1 gene:fgenesh1_pm.C_scaffold_6002336 transcript:fgenesh1_pm.C_scaffold_6002336 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase III subunit RPC82 family protein [Source:UniProtKB/TrEMBL;Acc:D7LX61] MAMSEFGIVYAVHIITVQFGSVVSKVCECLLRKGPLSSRDISRLAESDINHNQVKDILYLLIQHNCVQAFSIEPPDGSESKAIVQYIVLFNNILHRVRYNKFSRIVNEELGSECGAVLDGLLSNGRLTLGQLIERDRDSGKTIGSEAIRDSLQKLVAARFVERIPSPEPVLGNKDQEPAKKRGAKAAKILKEPETLEERVVEAATPVDAIRFPLIFEEDFNSSLADDDSNITEGKRKQRDVDSSDPSSRVIWRPNFEEFIHRLRHKACVEIVKERRDEGCAIVLRAMLEVGRPQEKKVKTDNSAPMSVGSIYEEVIKTEAGRTMLQERVEACLDQLSATSSYLPAFVTEMNDSYIVDFKSIISVAQKDEIEAVVMRRYGKEAFRMFRYLSQEGRFVDTDKIADAALTEKKDTPQILLKMWKDGYLHMQKLAITGTYVPFLLWKVNKLIVTRQMLDEMYHASLNLNLRLAHELESEKELLMLPSDKLEGPLKERVKKVRAKRLLLSSTMFKLDDAIMLFHDF >fgenesh1_pm.C_scaffold_6002364 pep chromosome:v.1.0:6:19490516:19491153:-1 gene:fgenesh1_pm.C_scaffold_6002364 transcript:fgenesh1_pm.C_scaffold_6002364 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRRTLVLLLILFCLTTYELHVHAADDAKVGEGVVKIDCGGRCKGRCSKSSRTKLCLRACNSCCTRCNCVPPGTAGNHHLCPCYASITTHGGRLKCP >fgenesh1_pm.C_scaffold_6002366 pep chromosome:v.1.0:6:19520413:19522613:-1 gene:fgenesh1_pm.C_scaffold_6002366 transcript:fgenesh1_pm.C_scaffold_6002366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:D7LXB7] MVDSSGSWRDAYKGMSSDNVKGLVLALSSSLFIGASFIVKKKGLKKAGASGLRAGSGGYSYLLEPLWWIGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISASLAHIILQEKLHTFGILGCALCIVGSVTIVLHAPQEQDIDSVLEVWNLATEPAFLFYAAAVVGAAIVLIVQFIPLYGQSHVMVYIGVCSLIGSLSVMSVKALGIALKLTFSGTNQLGYPQTWVFTVIVLMCVITQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNGTQIFTELCGFVTILSGTFLLHTTTDMVDSESKGNDNHLLLRIPKHSEDSNGFAQDGIILSLRRQESAKSPRPARQTKQLEDDLEAVPLRRQESSLRS >fgenesh1_pm.C_scaffold_6002368 pep chromosome:v.1.0:6:19551684:19553176:-1 gene:fgenesh1_pm.C_scaffold_6002368 transcript:fgenesh1_pm.C_scaffold_6002368 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPFQLSMAMLTILIILVTAQRRRCNEKIVFQLCNGMGKNKCFDYCDMRECAAACKKKRNGEGICDGNEFALSAQCVCLYKC >fgenesh1_pm.C_scaffold_6002376 pep chromosome:v.1.0:6:19684454:19686935:-1 gene:fgenesh1_pm.C_scaffold_6002376 transcript:fgenesh1_pm.C_scaffold_6002376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7LXC7] MGKLLVLMLVGMFLAFESLEALDYGDALNKSILFFEGQRSGKLPTNQRVKWRADSALSDGASANVNLIGGYYDAGDNVKFVWPMSFTTTLLSWAAIEYQNEITSVNQLGYLRSTIKWATNFILRAHTSPNMLYTQVGDGNSDHSCWERPEDMDTPRTLYSISSSSPGSEAAGEAAAALAAASLVFKPVDSTYSSKLLNHAKSLFEFADKYRGSYQASCPFYCSHSGYQDELLWAAAWLYKATGEKSYLNYVISNKDWSQAVNEFSWDNKFAGVQALLASEFYNGANDLEKFKTDVESFVCALMPGSSSQQIKPTPGGILFIRDSSNLQYVTTATTVLFHYSKTLTKARVGSIQCGSTQFTASQIRNFAKSQVDYILGNNPLKMSYMVGFGTKYPTQPHHRGSSLPSIQSKPEKIDCNGGYSYYNSDTPNPNVHTGAIVGGPNSSDQYSDKRTDYSHAEPTTYINAAFIGPVAALISSSRQTHV >fgenesh1_pm.C_scaffold_6002391 pep chromosome:v.1.0:6:19864849:19866290:-1 gene:fgenesh1_pm.C_scaffold_6002391 transcript:fgenesh1_pm.C_scaffold_6002391 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLQKQKKAIIQIFQIATSYLFTAINHARNSPLPRAYSTSSSSRASSIWFCNSLRNEIMNDEYQCRRSCVHTDSKWSIGPRISREERGWLDWRFKNLGARCWSSLKSSLLLLGNIDYDPGTKPAKRVWISINVAEIHVSNRQETVEWTVSDFDVLVPQKQTRSL >fgenesh1_pm.C_scaffold_6002392 pep chromosome:v.1.0:6:19866612:19868106:1 gene:fgenesh1_pm.C_scaffold_6002392 transcript:fgenesh1_pm.C_scaffold_6002392 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHLGAASLINEDVFGSSLIGFRSKRFRRVSERWKIRAQQMLEKEQRTQHELARFAKRSESLRRILKQYGVSVETPEENKTSSRLDDLNFEEKHHDDVPSSVIDDAKMNTTEELPDLRQQEKYSETVSTADNLSGHDHHNLPHLNTGVLFTSLLPVLGFCIVCIIGTLHTIISRKPSQDHHHGSKTTRWRTALIDWNEPLASDEHDSSPEYRVASTNQEANDEMNEAYNRVELEYKRFLLECGVSES >fgenesh1_pm.C_scaffold_6002401 pep chromosome:v.1.0:6:19958997:19964352:1 gene:fgenesh1_pm.C_scaffold_6002401 transcript:fgenesh1_pm.C_scaffold_6002401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:D7LY07] MQKNDHMESELQTQMVGNERLPQVTWQRKLNSKVKNPSEFKMSIRDVLHLFPIGYRLWSHTKEEAKKGRASMYDIFKKRHVTGDHGVPLGGIGGGSIGRSYKGEFQQFKLFPKVCEEAPILTNQFSVFVMRSGGVNYSSVLCPTKPKSVRGKTEELGIESWDWNMKGYKSMYHALYPRSWTIYNEPDPELRIVSRQISPFIPHNYKESSLPVSVFTFTVTNLGKEEATVTLLFTWENSVGGASGLTGEHFNSTMMERDGVHAIALHHKTSNGHPPVTYAIAAQETEDVHVSECSCFLVSGHSPKDITAKEMWDEIKKNKSFDGLNSEPGSPSRPGTSIGAAIAANVKVPPGCDRTVMFSLSWDCPEVRFNEKTYHRRYTKFYGNLGDAAVKMARDALLNYVDWESQIEAWQSPILSDTALPDWYRVTLFNELYYFNSGGTIWTDGLPPKERIERSKIINTEQNNIVTELFKKINVICAQIHSPQSLNAEEHIGQFIYLEGIEYLMYNTYDVHFYSSFALLSLFPKLELSIQRDFAATVLFQDPTKKQIMSSGEWVPRKLLGSVPHDIGLNDPWLELNEYNFFNTDRWKDLNAKFVLQVYRDVVSTGDQSFAKAVWPSVYTAVAYLDQFDKDEDEMIENEGFPDQTYDAWSVKGVSAYCGGLWVAALQAASAFASIVGENGVAIYFNTKYEKAKSVYEKLWNGSYFNYDDSGSGSSSSILADQLAGQWYARACGLKPITKEEWIKKALETIYEFNVMKVKGGTRGAVNGMSPDGQVDTNSLVSKEVWAGTTYSVAACMIQEGQRERGFQTASGIYEAVWSDRGLSCSFQTPEAWNMNDEYRSLCYMRPLAIWSIQWALTRTQSFGEEKEKLVKGEEEESDLLLRQHKGFKDVARFVKIVPTRNEHRSRLQHTYEAVLNILRL >fgenesh1_pm.C_scaffold_6002402 pep chromosome:v.1.0:6:19964698:19968978:-1 gene:fgenesh1_pm.C_scaffold_6002402 transcript:fgenesh1_pm.C_scaffold_6002402 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LY08] MAEEEVVVISTPPVDLKRKLDEQHAGSNGDIVVDSNPVSDSSQAKRAKLDDEAQDGLDHGNTQENGSSMEVKEEEQLQEPKYENQDSVPLVDEVQDPIHANESENNTCDVAHAADDHVKPEDNQQGCIENNNVEECKNVNGGEPQKEVDEEIKVLNDGNSQKEIKEVDGENSHKEVDDTQSTTRTIDVPNSKVGVLIGKGGETIRYLQFNSGAKIQILRDSEADPNSALRPVEIIGTVACIENAERLISAVIAEAEAGGSPALVARGHPSTHAIGIPEQIEIKVPNDKVGLIIGRGGETIKDMQTRSGARIQLIPQHAEGDGLKERTVRISGDKMQIDIATDMIKDVMNQNARPSPYSGCYNQPAYRPRGPGGPPQWGSRGPHAPHPYDYHPRGPYSSQGSHYNSPGYGGYPPQHMPPRGGYGPGWDQRPPYSGPYNYYGRQGAQSSGPVPPPSGHVPSPALGGPPPSQVSYGYRQSHGPEYGNAAPYSQTGYQQTYGQTYEQPKYDNNPPMQPPYGGSYPPAGGGQPGYSQMQQPGVRPYGMQQGPVQQGYGPPRPAASSGDVPYQGATPAAAPYGSTNMAPQQQQYGYTSTGGPVQQQTYPSYSSAPPSDGYNNGTQTPATGPAYQQQSVQPASSTHDQTGAQQAAAAGYGGQVAPTGGYSSYPTTQPAYGSQAAYSQAAATQVGYEQQPATQPAGYAATPGSAQVKTQSPLSAYAQYEASQVYAAPR >fgenesh1_pm.C_scaffold_6002408 pep chromosome:v.1.0:6:20033244:20033786:-1 gene:fgenesh1_pm.C_scaffold_6002408 transcript:fgenesh1_pm.C_scaffold_6002408 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGENCVHETYYEILSVKEDASYEEIRNSYRSAILHSHPDKLNNNNSRRTSDDEKFLKIQKAWEVLSDAELRVVYDNDLRSSRHDGLTADEISIEDMSVEISGEVIDLFYQCRCGDYFCVDSSELGTMGFALLRDGDCVRVKRLGAFVASVVLPCGSCSLKTRVWVDSDMKISFQEDVL >fgenesh1_pm.C_scaffold_6002422 pep chromosome:v.1.0:6:20212860:20213569:1 gene:fgenesh1_pm.C_scaffold_6002422 transcript:fgenesh1_pm.C_scaffold_6002422 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPNPLSLSVLDPAFESWLRDSSYLELLDHRTSAAAAAASSSASVSSSAAATSAASDDEHPLPVVFSLLFCLDASLSLLFLQLTLSPSSPMIFPEIRHRGPPVSLAIVTLIRFQRLLSKRELEFMSKSNDSLGITLRYSSSSSLVHYIKCIGEICSARWKFDRHPSMRKFSMQSPTALLIS >fgenesh1_pm.C_scaffold_6002426 pep chromosome:v.1.0:6:20232839:20237313:-1 gene:fgenesh1_pm.C_scaffold_6002426 transcript:fgenesh1_pm.C_scaffold_6002426 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPSRHNLLCSCSHDVSQIIDFIKNIIETCIQKYMSLEETVTYMEDNHKISHHLTKPIWEQLQKESPEFFNKYHLKRELALSLMVEHGALDINTASYTLKNFFRQDPEGAHLLEKLANTPSDMSEVSLSSLAMLVLDDTNGPTVNQWQIPNDQQHQFQHYQWSTTTVHLPLNRLPIPDGQQNHLHQNQWSTPNNHACTNLGAPTVPPAANDQWFPYSDPACTNLGAPTDAPAANDQRLTDFDPAYYDPVYSAVAPVATAQCPTSNDLAYTRALTVAKAPTAAFDHFTDEFGDDIENSVPNFQQMDPSTAEQLTQLEHLLDDQYGQYELPLELQTPEYEANNNGLQGVIPQQQRHRYHQEQETNQTQRDPLN >fgenesh1_pm.C_scaffold_6002431 pep chromosome:v.1.0:6:20319504:20321698:-1 gene:fgenesh1_pm.C_scaffold_6002431 transcript:fgenesh1_pm.C_scaffold_6002431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7LY54] MAKENSGHHHQTEARRKKLTLILGVSGLCILFYVLGAWQANTVPSSYSKVGCETQSNPSSSSSSSSSSSSSESAELDFKSYNQIELKETNQTIKYFEPCELSLSEYTPCEDRQRGRRFDRNMMKYRERHCPSKDELLYCLIPPPPNYKIPFKWPQSRDYAWYDNIPHKELSVEKAVQNWIQVEGDRFRFPGGGTMFPRGADAYIDDIARLIPLTDGGIRTAIDTGCGVASFGAYLLKRDIMAVSFAPRDTHEAQVQFALERGVPAIIGIMGSRRLPYPARAFDLAHCSRCLIPWFKNDGLYLMEVDRVLRPGGYWILSGPPINWKQYWRGWERTEEDLKKEQDSIEDVAKSLCWKKVTEKGDLSIWQKPLNHIECKKLKQNNKSPPLCSSDNADFAWYKDLETCITPLPETNNPDESAGGALEDWPNRAFAVPPRIIRGTIPDMNAEKFREDNEVWKERITHYKKIVPELSHGRFRNIMDMNAFLGGFAASMLKYPSWVMNVVPVDAEKQTLGVIYERGLIGTYQDWCEGFSTYPRTYDMIHAGGLFSLYEHRCDLTLILLEMDRILRPEGTVVLRDNVETLNKVEKIVKGMKWKSQIVDHEKGPFNPEKILVAVKTYWTGQPSEKNNNNN >fgenesh1_pm.C_scaffold_6002433 pep chromosome:v.1.0:6:20356981:20357343:-1 gene:fgenesh1_pm.C_scaffold_6002433 transcript:fgenesh1_pm.C_scaffold_6002433 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGVLFMVSCGVMFLILSHVEEADAMKKFGCNTTHPFPGKCGNNGKSSCVSDMKKLPSAPKNRNIRCECSDRPSLAWGMPGERVCRCQYDC >fgenesh1_pm.C_scaffold_6002463 pep chromosome:v.1.0:6:20601859:20604541:1 gene:fgenesh1_pm.C_scaffold_6002463 transcript:fgenesh1_pm.C_scaffold_6002463 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQRWIAMYTKHLKQKRKVYHDGFLDLHIARKKVMLYDKANNLLESRILKADEVVDTGETLTFQAYLVDICDPKDGSKASSDPKVQPSDQGCARKPFAVLRPNFKKSSLQCDEKKPNLVNTFSSKSLSPSHNMIRVFKKRELHKYGALTPDTMKSTTKGTVPLGSVDKESNNHPLIHSPCTGTSSADSRLENDKLRKDIPPHKPLRDVNQILSILQRRNVTETCSDNNPQTSVSLTKVPPESETSKRHKMESVSTKEASTEQVMMKEASSASTRGCLMSDPPSFDLGI >fgenesh1_pm.C_scaffold_6002465 pep chromosome:v.1.0:6:20606688:20615497:-1 gene:fgenesh1_pm.C_scaffold_6002465 transcript:fgenesh1_pm.C_scaffold_6002465 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKIIIYCLLFAFSIQRTDSILAFRRFNIEISNHLEGNKQLMVQCRSGVNSTQVVFLAFSGVFKFPIILDFKTLIWCNLWKGPDFKQHVSFDAFVGKESFIHDVCGSMKPNVCFWQAQDDGIWVRNNPTGDLKLMYKWNT >fgenesh1_pm.C_scaffold_6002470 pep chromosome:v.1.0:6:20632570:20634518:-1 gene:fgenesh1_pm.C_scaffold_6002470 transcript:fgenesh1_pm.C_scaffold_6002470 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LYU3] MELDFDVSNLVEDEAAEVEHNDMAGIEGERCGICMDIIIDRGVLDCCQHWFCFECIDNWSTIMNLCPLCQREFQLITCVPVYDSGESSKVDEVSLSGDEDCCIEEETDAVSSPSHYIDETHYIDENAVICLDGDLCKLRNTFSYIGGDSNLDTSIACDSCDTWYHAICVGFDVENASEDTWVCPSIDTLYQI >fgenesh1_pm.C_scaffold_6002488 pep chromosome:v.1.0:6:20829140:20829684:1 gene:fgenesh1_pm.C_scaffold_6002488 transcript:fgenesh1_pm.C_scaffold_6002488 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLCSAFRPQLLLPPRQFRFTDGILIRQINFVGSNSVVNIRPEIRCQRASGGRGGANRSKPAKPPVKEGSNKTVIEGLVTESLPNGMFRVDLENGDNILGYICGKIRKNFIRILPGDKVKVEMSVYDSTKGRIIFRMSSRD >fgenesh1_pm.C_scaffold_6002495 pep chromosome:v.1.0:6:21056143:21057909:1 gene:fgenesh1_pm.C_scaffold_6002495 transcript:fgenesh1_pm.C_scaffold_6002495 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylic acid (Acc) synthase 6 [Source:UniProtKB/TrEMBL;Acc:D7LZI1] MVSFATEKKQDLNLLSRIASGDGHGENSSYFDGWKAYEENPFHPIDRPDGVIQMGLAENQLCGDLMRKWVLKHPEASICTSEGVNRFSDIAIFQDYHGLPEFRQAVAKFMEKTRNNKVKFDPDRIVMSGGATGAHETVAFCLANPGDGFLVPTPYYPGFNRDLRWRTGVNLVPVTCHSSDGFKITVEALEAAYENARKSNIPVKGLLVTNPSNPLGTTLDRECLKSLVNFTNDKGIHLIADEIYAATTFGQSEFISVAEVVEEIEDCNRDLIHIVYSLSKDMGLPGLRVGIVYSYNDRVVQIARKMSSFGLVSSQTQHLISKMLSDEDFVDEFIRESKLRLAARHAEITTGLDGLGIGWLKAKAGLFLWMDLRNLLKTATFDSETELWRVIVHQVKLNVSPGGSFHCHEPGWFRVCFANMDHKTMETALERIRVFTSQLEEETKPMVSTTMMAKKKKKCWQSNLRLSFSDTRRFDDGFFSPHSPVPPSPLVRAQT >fgenesh1_pm.C_scaffold_6002511 pep chromosome:v.1.0:6:21207861:21208342:-1 gene:fgenesh1_pm.C_scaffold_6002511 transcript:fgenesh1_pm.C_scaffold_6002511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 11 [Source:UniProtKB/TrEMBL;Acc:D7LZK9] MKKPSQLSATILTIFTILAIGVMVEETLGKQRLCLKVLTNASHVSKDTSTCDSKLCTSLCEKKSPQGVGFCKPIATTEQSKKGKPECNCRYWCRSDGTPSTK >fgenesh1_pm.C_scaffold_6002523 pep chromosome:v.1.0:6:21375995:21384548:-1 gene:fgenesh1_pm.C_scaffold_6002523 transcript:fgenesh1_pm.C_scaffold_6002523 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMLQRYRNDRRKLMEFLMSSGLVKELRSPSGSSTSLSPADLDALSADYVLDCVKSGGVVDVSKGTEKYNFESSYPVTIHSESRDSFFLVSSPDIAGSPPHRMPPPPVNMEKSSNNGPDMSCHIDSFNTSSSRDNYVFKEETPDIKPVKPIKIIPLGLPPLRTGLSDDDLREAAYELMIASMLLSSFLRVFTNSVEAYPTQRRKIEKSSRLMLSLKRKDKPHLQPQISNTHSEISSKMDTCIRRNLVQLATLRTGEQIDLPQLALGLLVGIFKSDFPNEKLYMKWKTRQANLLEEVLCYSPSLEKNERATMRKCLATIRDSKEWDVVVSASLRIEVLSSIKQVASKLSSLPGRCGIEEETYYWTAIYHLNIRLYEKLLFGVFDTLDEGSAIQELQKVTSAESGNSKEDLYLSHLVCSRQTIGTDIHLGLVKAIFTSVSAWCDDKLQDYHLHFGKKPRDFGMLVKLASTIGLPPADSTRTELIKLDTLGDDVSDKIQSYVQNSIKGACARAAHFAYVKSHGERTHALALLANELSVIAKAEINEFVPVFSKWLPECMMISAMLLHRFYGERLEWEPLSVQQRHAASIVEIFRIIEETVSQLFGLHLPVDITHLQALLSLIYHSLDTYLQRVFDQLVDKKFLYPSAPPLTRFTENVMPVMKRKSLEFSEPDNKIVKKLDELTIPKLCIILNTLCYIQKQISATEVGIRKSLTLVEASLNKRSEIETDESEVENSLTHSEAVDELFATTYDSLRDTNANCITKTRDLIALTYTKKCNAQVLDTVCSLSYEDSRDMVVLRICRSALEAYVRVLLDGGPTRAFSDSDITLMEEDLGILKEFFIADGEGLPRSLVEQEAKQAKEILDLFSLESDMLIQMLMTASELINMGVSSEQRRLEDAQTLVRVLCHKKDRNASKFLKRQYELPMSSEYEDVTSNLPALSEIVRSTSTHWSTTSQNSFSSIKKKIQEATSEIRNNSGW >fgenesh1_pm.C_scaffold_6002524 pep chromosome:v.1.0:6:21395417:21397226:-1 gene:fgenesh1_pm.C_scaffold_6002524 transcript:fgenesh1_pm.C_scaffold_6002524 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPTTNTTTESDSSSLPTHIGRSNSDGIIDTTPFLPPIVTRTISVDEESNPIHRSARRQGLREAARFLRHAGSRRMVREPSMLVRETAAEQLEERQSDWAYSKPVVFLDILWNLAFVAIGVAVLILSRDEKPNMPLRVWVVGYGIQCWLHMACVCVEYRRRRRRRHRSSSEDGGGPGFTNSSQQQYVSLAQLEDRGETSNPAKHLESANTMFSFIWWIIGFYWVSAGGQTLSGDSPQLYWLCIIFLGFDVFFVVFCVALACVIGLAVCCCLPCIIAILYAVADQEGASKNDIDQMPKFRFTKIGNDEKLSGKARGIMTECGTDSPIERSLSPEDAVHSHFHILMQLYIFKVCRLINSWLLMILKECCICLCEYEDGVELRELPCNHHFHCACIDKWLHINSRCPLCKFNILKNANEV >fgenesh1_pm.C_scaffold_6002529 pep chromosome:v.1.0:6:21492754:21494238:1 gene:fgenesh1_pm.C_scaffold_6002529 transcript:fgenesh1_pm.C_scaffold_6002529 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKDFEKEQLLLLAARASRTENQDAIDTAMVGSLADPKEARAGIREVHFFPFNPVDKRTALTYIDGNGNWHRVSKGAPEKILDLCNARADLRNSVHSAIEKYAERGLRSLAVARQNVPEKTKESSGDPWEFVGVLPLFDPPRHDSTETIKRALDLGVNVKMITDDQLAIAKETGRRLGMGTNMYPITSLLGNHKDDIITHHPVDESIAIADGFSGVFPEHKYHIVKKLQEMGHICGMIGDRVDDTPALKKADIGIAFADATEAVRAASDIVLTEPGLSVIINAVLTSRATLQQMKYYTVSVHELIKLYTAFSLVLIF >fgenesh1_pm.C_scaffold_6002530 pep chromosome:v.1.0:6:21497237:21499858:-1 gene:fgenesh1_pm.C_scaffold_6002530 transcript:fgenesh1_pm.C_scaffold_6002530 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPVNNIPYIPDDLLLNCLARVSRLYYPILSLVSKRFRSLVSSLELYEIRMLLGHTENCLYLSLRLSSESDTRWLTLCRRPTRIPNPNPNPNFNSRWFSSCFRPDRILKNHTMKKEKKSSDNLMVSFQIPSLSSPSSELTGIAIGSNIYMMSIFSNGVFSSRFFFMDCRSHTLHEAPSMHRAPKKPSVNVLDGKIYVIEGCKNPDYSNLIECFDQKTLTWEHVPSPSSAIRGRYITASLVFDGKLYLFGDKKLVYKPNENKWDVVGLEMPLRWTPSYISCVVDNVIYCFGASRLLLWYNTEERTWRYLKGLKKLPKLPKDYTRVRLVNYGGKIAVLWEEDVRVGDPQKKMIWCAEITLERRNAHKIYGKIEWCDVVLTVPNPRLEIVLPDSIFCVIDKVIYYYDEISRMLEWYDPQGSSRGSLKGLEVLPELPLLSVTCVRLVDSDGKIAVFWEKKVCRPIGYNKKMIWCAEIALERRNAQEIYGKIEWCDVVLTLPKSCFIYEFNAVSITV >fgenesh1_pm.C_scaffold_6002542 pep chromosome:v.1.0:6:21650025:21650873:1 gene:fgenesh1_pm.C_scaffold_6002542 transcript:fgenesh1_pm.C_scaffold_6002542 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGEGASQTRTAPEDKPIQRCHQLLKLRDFKSARYIIQMNKRVSKKRHDELEKALVICDILIAAENRLPHGLLDCYGMIRMTGPGPVLFENIEKILNLLGWGDTSNPFPFRHEASEKAFFAWSLLSNPTIKSMYDYAISDEDNLEPQGNVGSSQIGDGLGDDITYECLKEFPVADVNPLPLARKRQAPHNRFRWNDTSFDPKNHNVGPGTNNKVVVVYDDAEEEEYDMSLSSELRIINGRRVKITIEEAAKTNATASCSR >fgenesh1_pm.C_scaffold_6002549 pep chromosome:v.1.0:6:21697931:21701993:-1 gene:fgenesh1_pm.C_scaffold_6002549 transcript:fgenesh1_pm.C_scaffold_6002549 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTPPSAEFDVFLSFRGFDTRNNFTGHLQKALRLRGIDSFIDDRLHRGDNLTALFDRIEKSKIAIIIFSTNYANSAWCLRELVKILECRNRNQQLVVPIFYKVEKSDVKIQELTFPGVSPEEISSWKAALVSASNILGYVVKEISTSEANLVDEIAVDTFKKLNDLAPSGNEGLVGIESRLKNLEKLLSWEDLDSVHIIGIVGMVGIGKTTLADCLYGRMRGRFDGSCFLTNIRENSGRSGLEYLLQKLFSTVLNDRDLEIGAPGNAHERFERRLKSKRLLIVLDDVNDEKQIRYLMGHCKWYQGGSRIIITTRDCKLIETIKGRKYVLPKLNDREALKLFSLNAFNDSCPSKEFEGLTNMVLDYAKGHPLALKVLGSDLCERDNLYWEAKLDRLKCRSHGDIYEVLETSYEELTIEQKNVFLDIACFFRSENVDYVTSLLNSHGVDVSSVIKDLVDKCLITLSDNRIEMHDMLQTMGKEISLKAETIGIRDFTWLSRHGNQCQWHIRLWDSEDICDILTKGQGTDKIRGIFLDTSKLRAMRLSAKALKGMYNLKYLKIYDSHCSRGCEVEFKLHLRKGLDYLPNELTYLHWHGYPLQSIPLDFDPKNLVDLKLPHSQLAEIWDDEKDAGMLKWVDLSHSLNLHQCLGLANAQNLERLNLEGCTSLKKLPTTINGLEKLVYLNLRDCTSLRSLPKGLKTQSLQTLILSGCSRLKKFPLISENVEVLLLDGTAIKSLPESIETLRRLALLNLKNCKKLKHLSSDLYKLKCLQELILSGCSRLEVFPEIKEDMESLEILLMDDTAITEMPKMMHLSNIQTFSLCGTSSQVSVSMFFMPPTLGCSRLTDLYLSRCSLYKLPDNIGGLSSLQSLCLSGNNIENLPESFNQLHNLKWFDLKFCKMLKSLPVLPQNLQYLDAHECESLETLENPLTPLTVGERIHSMFIFSNCYKLNQDAQSLVGHARIKSQLMANASVKRYYRGFIPEPLVGICYAATDIPSWFCHQRLGRSLEIPLPPHWCDTDFVGLALSVVVSFMDYEDSAKRFSVKCCGKFENQDGSFTRFDFTLAGWNEPCGSLSHEPRKLASDHVFMGYNSCFHVKNLHGESKNCCYTKASFEFYVTDDETRKKIETCEVIKCGMSLVYVPEDDDCMLLKKTNIVQLSLKSGPSCTEPTCSYGLDDVMDDVRPKRGLCQFVGGGEPDCKRTKEEKITVR >fgenesh1_pm.C_scaffold_6002555 pep chromosome:v.1.0:6:21775749:21777574:1 gene:fgenesh1_pm.C_scaffold_6002555 transcript:fgenesh1_pm.C_scaffold_6002555 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7M0E4] MVLDMESTGEVVKSTASNGGGITVVRSDAPSDFHVAQRSESSKQSPASVTPPPSQPSSHHTAPPPPQISTATTTTAAMEGISGGLIKKKRGRPRKYGPDGTVVALSPKPISSAPAPSHLPPPSSNVIDFSASEKRSKMKPTNTFNRTKYHHQVENLGEWAPCSVGGNFTPHVITVNAGEDVTMKIISFSQQGPRSICVLSANGVISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPNDSGGTRSRTGGMSVSLASPDGRVVGGGLGGLLVAASPVQVVVGSFLAGTDQQDQKQKKNKHDFMLSNPTAAIPISSAADHRTIHSVSSLPVNNTWQTSLASDPRNKHSDINVNLT >fgenesh1_pm.C_scaffold_6002558 pep chromosome:v.1.0:6:21789280:21790902:-1 gene:fgenesh1_pm.C_scaffold_6002558 transcript:fgenesh1_pm.C_scaffold_6002558 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLSPDSLSSAKSPKLFHHSPDDGGAEGNSYRLPYTLSDENLSCLPISQAREPPAIYLDPALVKVRRDRKEGYLAKAWDLLKPAIKIILDDEYKKPGVLCFNTIFRAVKRACFGDPRQVELIFDLVQHECEPHIAEVIQSLEKQSSGSDPSVFLPLVYNCWLDFKRKMMLVSDKLFHKQLSTAPQLQDQVITGILGLITDERLGKAANNTSGLLKNLMDMFRGQWPDVYKNPFLDSTSKFYAEEAELVLQRSDISHYLKYVEFPCGREKMRGALLLLCILPVTTNESLRESAPRGSRLFLEDGFKLLMDESLMDDLRRMYRLFSRVDSVDYIDRILRAYILAKGEGARQEGSLQELHTSIDKIWHQCFDVYDLLDKTIRDCFEGFGLHVLGEFSDQEQWDCMED >fgenesh1_pm.C_scaffold_6002560 pep chromosome:v.1.0:6:21832915:21837295:-1 gene:fgenesh1_pm.C_scaffold_6002560 transcript:fgenesh1_pm.C_scaffold_6002560 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDSGSSSNGGDYKNFRQITRERLLYEMLRPEKNGSSKLTWKVLVMDKFTVKIMSSACKMSEITQEGISLVEVITKHRQPMTSMEVIYFIQPTEENVTAFLSDMTGKSPLYKKAFVFFSSPVSRGLVNLIKKDMRAMKRIGALKEMNLEYISMDIQGYVTNNENALEELFSDDENHQRADACLNVVAKRIATVLASLKEYPFVRYRAAKALDATTMTTYRELIPTKLAASVWNCLARYKQTIEDFPQTETCELLILDRSIDQIAPLIHEWTYDAMCHDLLNMEGNKYTYEVPSKTGDKPEKKEVLLDEEDPIWVELRDAHIADASERLHEKMTNFVSKNKAAQLKHSSKDFGDLSSKDLQKMVHALPQYSEQIDKLSLHVEIARTINRTIMEKGLRDLGQLEQDLVFGDAGRKDVIKFLSTNHIISHESKLRLMMIVAAIYTKKFEGEKGRKMMELAKLSGDDVVAVNNMRLLGPVHTESKKSTTGSFPLKFDVLKKKRAARRDRVDETQTWQLSRFYPIVEELVEKLSKGHLPKQDYPCMNEPKPTFYSGSQSPSASPVLPHSRRTPTWARRHLSDDGYFSDSVLGRASSGFKRKGQRIFVFIVGGATRSELRVCHKLTEKLDREVILGSSSFLDPQTFLTKMKQLNEEEEISLDDIVI >fgenesh1_pm.C_scaffold_6002562 pep chromosome:v.1.0:6:21860921:21866414:-1 gene:fgenesh1_pm.C_scaffold_6002562 transcript:fgenesh1_pm.C_scaffold_6002562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M0F9] MNDPIPKPVVEVQVQAERQEYTNSILLIINTIKDETLINPATGHRTVKSTPLSYNSLRINFSVPSCSHHHIQSLLYDRLHSDDHWLCEYLVPKISTAAINSGFGYNGVELNVSVTVTYQYERVDEASLKVSRMVLLGRIKAEELKSVNMETESCSICLQSLFSSSKTSPTRMSCSHVFHKGCLVEWLYRKNTCPMCRTVLYDQ >fgenesh1_pm.C_scaffold_6002567 pep chromosome:v.1.0:6:21903934:21905659:-1 gene:fgenesh1_pm.C_scaffold_6002567 transcript:fgenesh1_pm.C_scaffold_6002567 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP706A4 [Source:UniProtKB/TrEMBL;Acc:D7M0Z7] MSPISNLFPDNTINLTPYAIIILTAIFSILWYLFKRSTQPPLPPGPRGLPIVGNLPFLDPDLHTYFANLAQSHGPIFKLNLGTKLTIVVNSPTLAREILKDQDINFSNRDVPLTGRAATYGGIDIVWTPYCAEWRQLRKICVLKLLSRKTLDSFYELRRKEVRERTRYLYEQGQEESPVKVGDQLFLTMMNLTMNMLWGGSVKAEEMESVGKEFKGVISELTGLLSEPNVSDFFPWLARFDLQGLVKRMRVCAGELDAVLDRAIEQMKPLRGRDDDDGECKDFLQYLMKLKDQEGDSEVPISINHVKAVLTDMVVGGTDTSTNTIEFAMAELMSNPELIKRAQQELDEVVGKDNIVEESHITRLPYILAIMKETLRLHPTLPLLVPHRPAESTVVGGYTIPKDTKIFVNVWSIQRDPNVWENPTEFRPERFLDNNSCDFTGANYSYFPFGSGRRICAGIALAERMVLYTLATLLHSFDWKIPEGHMLDLKEKFGIVLKLKTPLVALPIPRLSDSNLYL >fgenesh1_pm.C_scaffold_6002571 pep chromosome:v.1.0:6:21936915:21941225:1 gene:fgenesh1_pm.C_scaffold_6002571 transcript:fgenesh1_pm.C_scaffold_6002571 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLLVKKGPWTAEEDKKLINFILSNGHCCWRALPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLSDAEEQLVIDLHALLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLLKMEIDPSTHQPLNKVSTDKKFVDRLTSSKAGNVKRSDSKSKEIDGTTTNTIDETSTITHKNSSKDDYELLGDIIHNYEDLFNILWTNDEPPLVDDASWSNNNVGIGGTAAVSASDKNNIAAGEDFPAQSLEEQNGESWMFLNYCQDFCVQDFGLECYNGFGQSSMETGHKD >fgenesh1_pm.C_scaffold_6002590 pep chromosome:v.1.0:6:22191333:22194597:1 gene:fgenesh1_pm.C_scaffold_6002590 transcript:fgenesh1_pm.C_scaffold_6002590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mutant TFIIF-alpha [Source:UniProtKB/TrEMBL;Acc:D7M135] MSNCLQLKPACMGCGSQSDLYGSSCRHMTLCLKCGRTMAENKAKCLDCGTVLTRLIREYNVRAAAPTDKNYFIGRFVTGLPNFKKGSENKWSLRKDIPQGRQFTDAQREKLKNKPWILEDETGQFQYQGHLEGSQSATYYLLVMQNKEFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRRKTADGYQRWMMKAANNGPALFGEVDNDKESGGSSGGGGRGRKKSSGGDEDEGNVSDRGEEDEEEEASRKSRLGLNKKSNDDDEEGPRGGDLDMDDDDIEKGDDWEHEEIFTDDDEAVGNDPEEREDLLAPEIPAPPEIKQDEDDEENEEEEGGLSKSGKELKKLLGKANGLNESDEDDDDDSDDEEETNFGPVTNSKQKEVAKEEPVENAPAKPTPSGPSRGTPPAKPSKGKRKLNDGDSKKPSSSVQKKVKTENDPKSSVKEERANTVSKSNTPTKAVKAEPASAPAASSSAATGPVTEDEIRAVLMEKKQVTTQDLVSRFKARLKTKEDKNAFANILRKISKIQKNAGSQNFVVLRENCESCSNRQPRKMEHVTEDELRSVLMETKQLTTLELVMRFKERLTTREEKDTFAHILKKIAKLQKNAGSLKYFLVLRE >fgenesh1_pm.C_scaffold_6002608 pep chromosome:v.1.0:6:22322208:22324008:1 gene:fgenesh1_pm.C_scaffold_6002608 transcript:fgenesh1_pm.C_scaffold_6002608 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRPGVASSAKSKLSKKDIDSYTIKGTTKIVVFFSVGDCVLMRPSDAGKAPYVAHVEKIEADARNNVKVHCRWYYRPEESLGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHTFKNYTRLENVGVEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVGMTIEEAKKLEHFVCVECRSEEDGAKRSQNGFASAPTNDLKVEAKRRKR >fgenesh1_pm.C_scaffold_6002616 pep chromosome:v.1.0:6:22370718:22373413:1 gene:fgenesh1_pm.C_scaffold_6002616 transcript:fgenesh1_pm.C_scaffold_6002616 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 42 [Source:UniProtKB/TrEMBL;Acc:D7M175] MFRFPISLGGGPRDNTKPLDEQHHRAVVDEVDFFRSAEKRDRVSRDDQDMIADHETHRVHVKRENSRVDDHEDRSTDHINIGLNLLTANTGSDESMVDDGLSVDMEEKRTKCENAQLRAELKKASEDNQRLKEMLSQTTNSFNSLQMQLVTVMRQQEDHHHLATTENKDNATNRHEVPEMVPKQFIDLGPQSDEVSSEERTTVRSGSPPSLLEKSSSRQNGKRVLVREESPETESNGWRNPNKVPKHHASSSDCGGNGSENASNKVIEQAAAEATMRKARVSVRARSEANMLSDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMNMASTTTAAASMLLSGSTMSNQDGLMNPTNLLARTMLPCSSSMATISASAPFPTITLDLTDSSNGNNPTNNPLMQFSQRSGFAELNQSVLPHMMGQALYYNQQSKFSGLHMPSQPLNAGESISAATAAIASNPNFAAALAAAITSIINGSNTQQNGNNNNSNVTTSNVDNRQ >fgenesh1_pm.C_scaffold_6002634 pep chromosome:v.1.0:6:22539814:22542220:1 gene:fgenesh1_pm.C_scaffold_6002634 transcript:fgenesh1_pm.C_scaffold_6002634 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSVQKGDEEWRAILSPEQFRILRQKGTEYPGTGEYVNFDKEGIYGCGGCNAPLYKSTTKFNAGCGWPAFFEGIPGAITRTTDPDGRRTEINCATCGGHLGHVFKGEGFATPTDERHCVNSVSLKFTPATSSFSSLSVVVMADPVSEWRAVLSPEQFRILRQKGTETPGTGVYDKFFEEGIFSCVGCKTPLYKSTTKFDAGCGWPAFFEGLPGAINRTPDPDGRRTEITCAVCDGHLGHVHKGEGYATPTDERHCVNSVSINFNPAKPSSVT >fgenesh1_pm.C_scaffold_6002640 pep chromosome:v.1.0:6:22587592:22588910:1 gene:fgenesh1_pm.C_scaffold_6002640 transcript:fgenesh1_pm.C_scaffold_6002640 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVRNIYHHFYTFSFFLVFTLFRPAFSIHVNTLSPTDSLTISSNRTIVSPGDVFELGFFKPSSDTSHWYLGIWYKKISERTYVWVANRDNPLLSSIGTFKISDTNNLVLLDHSNNIVWSTNLTTRDVISPVVVVELLDNGNLVMRYSNNNNNDPSGFLWQSFDYPTDTILPEMKLGLDLNTGFNRFLRSWRSTDDPASGDYSYKLETQGVPEFFLWSEDVPIHRTGPWNGIRFSSVPDMRQLNEMVDNFTDNKEEITYTFLMTKTNNDIYSRLTVSPSGYFQQYTWIPPLGNWSRLWALPRDQCDLFNICGPYSYCDYANNPMCSCILGFEPKDPRAWELKDWLHGCVRKTELNCVGDAFLRMANMKLPETTTAIVDKSIGVKEECFERCKKDCNCTAFANADIRNGGSGCVLWTGELMDIRNYISGS >fgenesh1_pm.C_scaffold_6002643 pep chromosome:v.1.0:6:22604767:22605931:-1 gene:fgenesh1_pm.C_scaffold_6002643 transcript:fgenesh1_pm.C_scaffold_6002643 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKMKGIYKGFKCISQIFAVEKERDEIEIGFPTDVKHVAHIGWEGSSGSAPGWMSEFKVGAEVLSPRPSSFSNARPSTSFFTSSSTDFDQGSSQRTISDTLRDIPPVTPINLPKNNKKKSSRRKKSSSSSSSPKSSRSSILSKSSYKSTVSRLI >fgenesh1_pm.C_scaffold_6002668 pep chromosome:v.1.0:6:22854519:22856521:1 gene:fgenesh1_pm.C_scaffold_6002668 transcript:fgenesh1_pm.C_scaffold_6002668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M1Z3] MATSLRRFFSVSTHGVFLKKRPGSSSHHVSQMVKHVLCVEMVTFVCILCNGLLETSSGGNVDNGFLRWRNGGGMYHSSAAIDSSALVEFGAVVHEKAILGPEVRIGSNTVVGPSVEIGSSTKIGNCSIGDLCVIHNGVCIGQDGFGFYVDEHGNMVKKPQTLNVKIGNRVEIGANTCIDRGSWRDTVIGDDTKIDNLVQIGHNVIIGKCCLFCGQVGIAGSAEIGDYVTLGGRVAVRDHVSIVSKVRLAANSCVTKNITEPGDFGGFPAEPITYSVRQSQKT >fgenesh1_pm.C_scaffold_6002674 pep chromosome:v.1.0:6:22921242:22922768:-1 gene:fgenesh1_pm.C_scaffold_6002674 transcript:fgenesh1_pm.C_scaffold_6002674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase [Source:UniProtKB/TrEMBL;Acc:D7M2J0] MSIALPTGIDGSSRSMIKVQSISFTEKSWSPLLRLDSKSRSLSVNKRSTICMSLQQASRSKVAVTPLELEYPKETPLNLYRPKEPYTATIVSVERIVGPQAPGETCHIVIDHDGNVPYWEGQSYGVIPPGENPKKPGAPHNVRLYSIASTRYGDSFDGKTASLCEKKILPGVCSNFLCNAKPGDKVKITGPSGKVMLLPEDDPKATHIMIATGTGVAPYRGYLRRMFMENVPNFKFDGLAWLFLGVANSDSLLYDEEFTGYLKDYPENFKYDKALSREEKNKKGGKMYVQDKIEEYSDEIFKLLDNGAHIYFCGLKGMMPGIQDTLKRVAEERGESWEQKLTQLRKNKQWHVEVY >fgenesh1_pm.C_scaffold_6002678 pep chromosome:v.1.0:6:22936579:22937309:1 gene:fgenesh1_pm.C_scaffold_6002678 transcript:fgenesh1_pm.C_scaffold_6002678 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRPESLVGPQGNTTFLEGTTWCVARPGATQAELQRALDWACGIGRVDCSVIERHGDCYEPDTILSHASFAFNAYYQTNGNNRIACYFGGTATLTKINPSYGKCSYDVSKSEVSAARSLSKCKPRCFLLMYIGLLFLISRRG >fgenesh1_pm.C_scaffold_6002687 pep chromosome:v.1.0:6:23000833:23006538:1 gene:fgenesh1_pm.C_scaffold_6002687 transcript:fgenesh1_pm.C_scaffold_6002687 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIESVDADESEYTAEFDMLMAPEWDVDSFEEETTIEDSMEASSSVVVSSCLTKRKAEFDPEEEEEEESDGEIEEGKAKVEERKAGGIDYLKVPEWDVDSFDGLEPFDHYRGIKPMGLEWEALTDKDFRAYWEEMVYVCLQKLNKDKDSNVELVEVVRGYYRAGPRSKSYITFMAREKPDGPLVEYQAKCMVTLDRKRHPILCRPAPTPKP >fgenesh1_pm.C_scaffold_6002691 pep chromosome:v.1.0:6:23071946:23073272:-1 gene:fgenesh1_pm.C_scaffold_6002691 transcript:fgenesh1_pm.C_scaffold_6002691 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 1C1 [Source:UniProtKB/Swiss-Prot;Acc:D7M2M8] MVKLTKRIGGLVLRLAAFGAALAALIVMITSRERASFFAVSLEAKYTDMAAFKYFVIANAVVSVYSFLVLFLPKESLLWKFVVVLDLVMTMLLTSSLSAALAVAQVGKKGNANAGWLPICGQVPKFCDQITGALIAGFVALVLYVLLLLYSLHSVVDPFLLQKS >fgenesh1_pm.C_scaffold_6002709 pep chromosome:v.1.0:6:23249417:23251116:-1 gene:fgenesh1_pm.C_scaffold_6002709 transcript:fgenesh1_pm.C_scaffold_6002709 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M2R1] METRSVKRKKKKKKEEEANWFSVEEIIKVDRISNLPDSLIHQILLLLPLKSAAQTSLLSKRWRSLFLSLPDLDFTSINDLKNPKSISSNSIYKVLSLRNHRDFNNLRSLRFRAPITFTSLNSLIRLAVTHQVQDLDIEVTTKDYFNFPRWIVTSQDLRALKLRSSHPGFRLPPSSSILGGFQKLTSLSLSLVILHNHQPCLSDFFTDPSFPLLEKLNLESCFGLKELKVSCRLLQEFSLKNSLQLEGLEVSGNKLQKLKVESCFHSYSEKSFVKINTPNLRTFLWNSNAVTTSVHFLDKLVCLRKAFVKVFWLHQDLNSQTQSLFTLLSGLCNSYKLQLGNQSVEILSSKKGLLKNHLLPFHNMRFLELQTRFSRHNVQTLSCLFKSCPMLNILTLKIINDQTSERRQWDKDLWNMSNSEIQYWESQTYELEAFLNHLEFVEIHGFLECENEMSLAIFLLRHGKALIKMTLRSSFLCRDSLRRQMIRSQLTGFSMASSKAKISFH >fgenesh1_pm.C_scaffold_6002736 pep chromosome:v.1.0:6:23414169:23417175:1 gene:fgenesh1_pm.C_scaffold_6002736 transcript:fgenesh1_pm.C_scaffold_6002736 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVKMPVKYFMVDAFTDSAFKGNPAVVCFLDDESKRDDVWLQSLATKFNLPMTCFLITITGSNPPRFILRWFTRFMVICGHATLASAHILFSNGLVGSADTVEFFTQIELNLPMIRRCEYNSNDVSMFSKALNGATIVDVRGTTTASTIPEALNGVAKAASTDKIIVVLPYWESVIELQPRVGDIMKCLGKVMIVTAAAPQGSPFDFCNSVCGSAHCSLAHYSSLKMNKSDFVAYAVHYDKDKQRVSLARKVVTVMNGSILV >fgenesh1_pm.C_scaffold_6002760 pep chromosome:v.1.0:6:23600435:23602180:1 gene:fgenesh1_pm.C_scaffold_6002760 transcript:fgenesh1_pm.C_scaffold_6002760 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit F [Source:UniProtKB/TrEMBL;Acc:D7M3F9] MAGRAPIPARNSALIAMIADEDTVVGFLMAGVGNVDIRRKTNYLIVDSKTTVRQIEDAFKEFSARDDIAIILLSQYIANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVSQR >fgenesh1_pm.C_scaffold_6002776 pep chromosome:v.1.0:6:23700582:23702247:-1 gene:fgenesh1_pm.C_scaffold_6002776 transcript:fgenesh1_pm.C_scaffold_6002776 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSSRTIYVGNLPGDIREREVEDLFSKYGPVVQIDLKIPPRPPGYAFVEFEDARDADDAIYGRDGYDFDGHRLRVELAHGGRRSSHDARGSYSGGGRGGRDGGDGGVRGRGPSRRSEYRVVVSGLPSSASWQDLKDHMRKGGEVCFSQVFRDGRGTTGIVDYTSYEDMKYAIKKLDDTEFRNAFSHGYVRNMIQGGIRGAPAVEDPILRAAVVVAAGGGALAAVEAGARAEVQRLNLRADHLQNLHRDLLTLAPALSQGHCLHGGMLSFFFNVYAKYPT >fgenesh1_pm.C_scaffold_6002783 pep chromosome:v.1.0:6:23749563:23750267:1 gene:fgenesh1_pm.C_scaffold_6002783 transcript:fgenesh1_pm.C_scaffold_6002783 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKTQRPELTQPFMRSEKTISDHFRNYSKLERENTDETNFLQSRCRSNNLIPRVNLSFCGGDKKKKKVSTFYCLFSLFKKLHTSKMARSFSNVKIVSAFVSRELSNAIFRRGYAATAAQGSGGRSGAAASAVMKKKGVEESTQKISWVPDPKTGYYRPETGSNEIDAAELRAALLNNKQ >fgenesh1_pm.C_scaffold_6002802 pep chromosome:v.1.0:6:23871462:23872171:-1 gene:fgenesh1_pm.C_scaffold_6002802 transcript:fgenesh1_pm.C_scaffold_6002802 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPNPLSLSVLDPAFESWLRDSSYLELLDHRTSAAAAAASSSASVSSSVAATSAASDDEHPLPVVSSLLFCLDASLSLLFLQLTLSPSSPMIFPEIRHRGPPVSLAIVTLIRFQRLLSKRELEFMSKSNDSLGITLRYSSSSSLVHYIKCIGEICSARWKFDRHPSMRKFSMQSPTALLIS >fgenesh1_pm.C_scaffold_6002815 pep chromosome:v.1.0:6:23977527:23979271:1 gene:fgenesh1_pm.C_scaffold_6002815 transcript:fgenesh1_pm.C_scaffold_6002815 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSFGPTGVAKERAEQYQGKVTSYVIIACLVAAIGGSIFGYDIGVSGGVTSMDEFLEEFFHTVYEKKKHAHESNYCKYDNQGLAAFTSSLYLAGLVSTLVASPVTRNYGRRASIVCGGISFLIGSALNAGAVNLAMLLAGRIMLGVGIGFGNQAVPLYLSEVAPTHLRGGLNMMFQLATTIGIFTANMVNYGTQQLKPWGWRLSLGLAAFPALLMTLGGYFLPETPNSLVERGLTERGRRVLVKLRGTETVNAELQDMVDASELANSIKHPFRNILQKRHRPQLVMAICMPMFQILTGINSILFYAPVLFQTMGFGGNASLYSSALTGAVLVLSTFISIGLVDRLGRRALLITGGIQMIICQVIVAVILGVKFGDNQELSKGYSVIVVVFICLFVIAFGWSWGPLGWTIPSEIFPLETRSAGQSITVAVNLLFTFIIAQAFLGLLCAFKFGIFLFFAGWVTVMTIFVYFLLPETKGVPIEEMTLLWSKHWFWKKVLPATNLEDESKNESNNSV >fgenesh1_pm.C_scaffold_6002830 pep chromosome:v.1.0:6:24065688:24067855:-1 gene:fgenesh1_pm.C_scaffold_6002830 transcript:fgenesh1_pm.C_scaffold_6002830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7M478] MLKLSRDPILCITTLILITFSLFFYETEARLHHHTDKDNPNPKPEPPSQPPSSSPKANDPSSKSPSLPQDLDHEVVYNVKKYGAVGDGVTDDTESFKTAWDSACGNYKNNTASVLLVPYGFTFMIRSTIFTGPCRSYQYFQVDGTIVPRDGPKSWPSSLDKRQWLVFYRINGMALKGVGVIDGRGQNWWDLPCKPHRQNVNKTKLAGPCESPAALRFFMSSNVTVKGLSIKNSPQVHLKLDGCHVVHINSIRIISPSSSPNTDGIHIENSKSVEIYNSVISNGDDCVSIGPGSYDIDIRNLTCGPGGHGISIGSLGEKSSHACVSNVTVRDSFIKFSENGVRIKTWQGGSGSVSGVTFNNIHVDTVRNPIIIDQYYCTAKSCANKTSAVFVNDIVYQSIKGTYDIRSPPMHFGCSNNVPCTNLTLSDIELLPSKKDIVVDPFCWNAYGITDEFSVPLISCLKSNPSTSLLSGLSGRCGSP >fgenesh1_pm.C_scaffold_6002831 pep chromosome:v.1.0:6:24069815:24071477:-1 gene:fgenesh1_pm.C_scaffold_6002831 transcript:fgenesh1_pm.C_scaffold_6002831 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAILSVTNPNAVVFGFGNRTFCCRSNFAKPSSRLFPSSSPMKPLTLDSRFSPLISTNRSLKSSVFRRFNTLMEWQECKVKMKVEVPVSVAYGLYSERESIPKWMTFISSVKVLKDKPDLSRWTLKYKAFGQNLEYAWLAKNLQAISPLPNQKIHWISLEGLPNKGTVRFFPLGPSSCEVELTFAYEVPLLLIPFAAALQPLMQGLIKNSLAQFAEIAKSTKTT >fgenesh1_pm.C_scaffold_6002847 pep chromosome:v.1.0:6:24205831:24206908:-1 gene:fgenesh1_pm.C_scaffold_6002847 transcript:fgenesh1_pm.C_scaffold_6002847 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXPA17 [Source:UniProtKB/TrEMBL;Acc:D7M4T3] MTKIFSLLVAMIFSIMFFMKISSVSAGWLQAHATFYGGSDASGTMGGACGYGNLYTDGYKTNTAALSTALFNDGKSCGGCYQILCDATKVPQWCLKGKSITITATNFCPPNFAQASDDGGWCNPPRPHFDMAQPAFLTIAKYKAGIVPILYKRVGCRRSGGMRFTMNGRNYFELVLISNVAGAGEISKVWIKGSKSNKWETMSRNWGANYQSNTYLNGQSLSFKVQLSDGRIKAALNVVPSNWQFGQSFKSNINF >fgenesh1_pm.C_scaffold_6002854 pep chromosome:v.1.0:6:24251071:24253069:1 gene:fgenesh1_pm.C_scaffold_6002854 transcript:fgenesh1_pm.C_scaffold_6002854 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDLVGYRFYPTGEELINHYLKNKILGKTWLVDEAISEINICSYDPIYLPSLSKIKSDDPVWYFFCPKEYTSAKKKVTKRTTSSGYWKATGVDRKIKDKRGNRGEIGIKKTLVYYEGRVPKGVWTPWVMHEYHITCLPQDQRNYVICQVMYKGEDGDVPSGGNNSSEPSQSMVSDSNTVRETITTAPEFEQPGQENFFGMSVDDLRTPMNEQKQEDFSLWDVLDPDMLFSDNNNSTVQPQAPHLAPNDDEFLGGLRHVNREQVEYLFANEDFISRPTLSVTENRNDHRPKKALSGIIVDYSSDSNSDAESISATSYQGTSSPGDDSVGSSNRHFLIHTDTSRLVLLWRRREAELVYYRRSNGEKPQESTVYLSDEDDHRHHTLGGSHWQHHIGFTNRQNLNPLMKFDRER >fgenesh1_pm.C_scaffold_6002861 pep chromosome:v.1.0:6:24306332:24307732:-1 gene:fgenesh1_pm.C_scaffold_6002861 transcript:fgenesh1_pm.C_scaffold_6002861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7M4V3] MGGLMRFGELGEQFGQISETTIEEKIPFLQMLQCIEHPYTTTEPNQFLQSLLRIQTLESNSCLTLETTIKRDPGQTDDPEKDPRTETGAATVKEKRKRKRTRAPKNKDEVEKQRMTHIAVERNRRQQMNEHLTSLRSLMPPSYIQRGDQASIVGGAIDFIKELEQLLQSLEAEKRNDGTNETPKTASCSSSSSRACTNSSVSSVSTTSEDGFTARFGGGETAEVEATVIQNHVSLKVRCKRGKGQILKAIVSIEELKLGILHLTISSSFDFVIYSFNLKIEDGCKLGSADEIATTVHQIFEQINGEVMWSNLSRT >fgenesh1_pm.C_scaffold_6002865 pep chromosome:v.1.0:6:24373479:24375083:1 gene:fgenesh1_pm.C_scaffold_6002865 transcript:fgenesh1_pm.C_scaffold_6002865 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCSKNLEGRRHEDITLLASQTFFSEAEVEVLHELFIQLTSCLSNDNLLTKEHFQFILIKNTNRRSISADRIFGLFDMRNDGAIDFGEFVHTLNIFHPNSSQRDKAIFAFRLYDTRQTGFIEPEEVKEMIIDVLEESELMLSESIIDSIVSKTFEEADWKKDGKIDLEEWEDFVANYPLTLKNMTIPFLKDIPRIFPTFFR >fgenesh1_pm.C_scaffold_6002869 pep chromosome:v.1.0:6:24393435:24394517:-1 gene:fgenesh1_pm.C_scaffold_6002869 transcript:fgenesh1_pm.C_scaffold_6002869 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQCTQDPPHRFFHCRNPFKILFTKNNNARLSPKLLSLLNNFETNLMVSIRELIPKDKNDIISVSWMIQAMESLCETHKSIRTLVTDLELPVSDLEENLIYIYSDISLNLLELCNAFISEIDRLNHGNLLLKFAFSKLETNNCSEEFSLSHLDSWNQHMVSKNRRIENCGAVLSRLVESMDHHHLSKKAKKKHSAEGKVLLRALYAVKVKTLYIFSVFAAAFSGSSKNLFYLNIPKEVEELPWAQAFMELQNMINPVIKNTFLSDKFTVIKDLEAVETGVKKLYSEVQEGSVPILLVEPLKKSVIELSERFELVSKETRCLSKMVISARDALFESLWTKYAKELGVALPPMVKSVMICL >fgenesh1_pm.C_scaffold_6002892 pep chromosome:v.1.0:6:24516178:24516631:-1 gene:fgenesh1_pm.C_scaffold_6002892 transcript:fgenesh1_pm.C_scaffold_6002892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7M4Z5] MDNHRRTKQPKTNSIVTSSSEGTEVSSLEWEVVNMSQEEEDLVCRMHKLVGDRWELIAGRIPGRTAGEIERFWMMKIEK >fgenesh1_pm.C_scaffold_6002895 pep chromosome:v.1.0:6:24530900:24535526:1 gene:fgenesh1_pm.C_scaffold_6002895 transcript:fgenesh1_pm.C_scaffold_6002895 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKLLLSAHKPLFVSCNSPFNEKTNISVKSGLPMSTIRAARSQFMGEGLILGNKYGFWSASRKTRLVVEPVRAAVKRRKELTFDSVVQRDKKLKLVLNIRKILVSQPDRMMSLRGLGKYRRDLGLKKRRRFIALLRKYPGVFEIVEEGAYSLRFKMTSEAERLYLEEMRIRNELEDVLVVKLRKLVMMSIDKRILLEKISHLRTDLGLPLEFRDTICQRYPQYFRVVPTPRGPALELTHWDPELAVSAAELSEDDNRTRESEERNLIIDRPPKFNRVKLPRGLNLSKSETRKISQFRDMRYISPYKDFSHLRSGTLEKEKHACGVIHELLSLTTEKRTLVDHLTHFREEFRFSQQLRGMLIRHPDLFYVSLKGERDSVFLREAYRNSELIDKDPLTLVKEKMRALVSVPRFPRRGGPRKEEEGRDEEMDGSDAEGEEEEETTHARMMKLIDRFELEFISKCLITRYLEFGEFGYASAVFFLGFPRNQVSWRDFLEKAEDFGVEKYKVLEEFVRLQNKGVNFDEVVLAMVFRICAVLMYRFLGFTIHGGLIKRGLDNSDTRVVSALMGFYGRCVSLDLANKIFDEMPKRDDLAWNEIVMVNLQSGNWEKAVKLFRVMRFSGAKAYDSTMVKLLQVCSNKEGFAQGRQIHGYVLRLGFESNVSMCNSLIVMYSRNGKLESSRKVFNSMVDRNLSSWNSIVSSYTRLGYVDDAMGLLDEMETCGLKPDIVTWNSLLSGYASKALSRDAIAVLKRIQIAGLKPNTSSISSLLQAVYEPGLVKLGKAIHGYVIRNQLWYDVYVETTLIDMYIKTGYLPYARMVFDMMDEKNIVAWNSLISGLSYTGLLKEAEALISRMEKEGIKSNAVTWNSLVSGYATWGKTEKALAVVGKMKKNGVEPNVVSWTAILSGCSKNGNFGNGLKIFLKMQEEGVSPNSATISSLLRILGCLSLLYSGKEVHSFCLKNNLTRDAHVATALVDMYAKSGDLQSAAEIFWGIKNKPLASWNCMIMGYAMFRRGEEGIAVFNAMLEAGIEPDAITFTSVLSVCKNSGLVREGWKYFDLMRSHYGVIPTIEHCSCMVELLGRSGYLDEAWDFIRTMPLKPDATIWGAFLSSCKIHRDLELAEIAWKRLQVLEPHNSANYMMMINLYSNLNRWGDVERIRNSMSNNRVRVQDLWSWIQIDQTVHIFYAEGKAHPDEGEIYFELYKLVSEMKKSGYMPDTRCIHQNVSESEKEKLLMGHTEKLAMTYGLIKKKGIAPIRVVKNTNLCSDCHTVAKYISVLRNREIVLQEGARVHHFRDGKCSCNNSW >fgenesh1_pm.C_scaffold_6002898 pep chromosome:v.1.0:6:24539220:24541943:1 gene:fgenesh1_pm.C_scaffold_6002898 transcript:fgenesh1_pm.C_scaffold_6002898 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCNGC13 [Source:UniProtKB/TrEMBL;Acc:D7M502] MAFGRDNRVRFRDWISEGSLTTEYGYGRKVRPSLNTVLKNVRRGFEKGSDKIRTFKKRDSSTTTDKKNIINPQGSFLQNWNKIFLFASVIALAIDPLFFYIPIVDGERNCLNLHHNLEVAASVLRTFIDAFYIIHIVFQFRTAYISPSSRVFGRGELVDDPKAIAIKYLSSYFIIDVLSILPLPQLVVLAVIPNVNKPVSLITKDYLITVIFAQYIPRILRIYPLYTEVTRTSGIVTETAWAGAAWNLSLYMLASHVFGALWYLISVEREDRCWREACEKIPEVCTFRFLYCDGNTSVRNDFLTTSCPFINPDDITNSTVFNFGIFTDALKSGIVESDDFWKKFFYCFWWGLRNLSALGQNLNTSKFVGEIIFAVSICISGLVLFALLIGNMQKYLESTTVREEEMRVRKRDAEQWMSHRMLPDDLRKRIRRYEQYKWQETRGVEEENLLRNLPKDLRRDIKRHFCLDLLKKVPLFEIMDEQLLDAVCDKLKPVLYTENSYAIREGDPVEEMLFVMRGKLMSATTNGGRTGFFNAVYLKPSDFCGEDLLTWALDPQSSSHFPISTRTVQALTEVEAFALAADDLKLVASQFRRLHSKQLQHTFRFYSVQWRTWGASFIQAAWRRHCRRKLARSLTEEEDRFRNAIAKRERDAASSSSLVATLYASRFASNALRNLRTNNLPVLPPKPSEPDFSLRNP >fgenesh1_pm.C_scaffold_6002901 pep chromosome:v.1.0:6:24557752:24561476:1 gene:fgenesh1_pm.C_scaffold_6002901 transcript:fgenesh1_pm.C_scaffold_6002901 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKETEQEGNLSVMILPVLPIKPNTHSHSMSSPIHSSISASVPFSWEEEPGKPKQHSSSSSSSSSSSPLTSYSSSPFETHKSLELPPRLHLLEKDGGSVTKLHSPITVFDGPYSMTRSKRMDSPSFRMMVKGSADCYGSFRSDIYGDLDDVEVDSKQQENLSSVSLAIVKKRGRLGFFGFRRRRALKGKTEFGRGSYVFPSSVDRESEYSRKEEEEVKEDKSFGYGDGDGISCSHSSRFCEVTMTSSISRTGSFSTLPAPTSSSSKSHFWVTHIMDHHQYHHHDQYQHQMNITNNNPYNTIVTTQPPPPTTTTMDSTTATTMIMDDEKKLMTTMSTRPQEPRNCPRCNSSNTKFCYYNNYSLAQPRYLCKSCRRYWTEGGSLRNVPVGGGSRKNKKLPLLLPNSSTSSSTKNLPDLNPPFVFTSSPSSSNPNKTHQNNNDLSLSFSSPMQDKRAQGHYSHFTDQVVTGGQNCLFQAPMGMIQFRQEYDHDHQKKNLGFSLDRNKEEIGNHGNFVVNGEGSKIMYPYGDHEDRHQHHHVRHDDGNKKREGGSSNELWSGIILGGDSGGPTW >fgenesh1_pm.C_scaffold_6002905 pep chromosome:v.1.0:6:24587516:24592037:-1 gene:fgenesh1_pm.C_scaffold_6002905 transcript:fgenesh1_pm.C_scaffold_6002905 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLPRDMTEEVLSRLPVTSLREVRSTCKKWNTLNQKVFLMSVNLLYPCVERIGNLDADQIDIFLSLRGFILKEILTFKWIQPRNSYHKWDRYALGYETNKNYSKVLRFFNNHDPNVNHRICEFEIYSNSSWKVLVDINLDWAISFNHRGLSLKGNTYWYARQKIDLDGPPPVIRDLPGFLLSFDFTTESFGPLLPLPFLAFFGDTVTLSSVREEQLAVLFQKSGVPGYTVKIWISTKIEPNAVSWGSSLFLAVDMKPLTGFRASSFFVDEEKNVAVVLDKDRSKSRNIAYIIGKNGYLKQVDLGESRNQFCYPLVCSYVPSSLQIQQPESLGNTLIY >fgenesh1_pm.C_scaffold_6002909 pep chromosome:v.1.0:6:24627458:24628696:-1 gene:fgenesh1_pm.C_scaffold_6002909 transcript:fgenesh1_pm.C_scaffold_6002909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Grf1-interacting factor 3 [Source:UniProtKB/TrEMBL;Acc:D7M5J8] MQQSPQMIPMVLPSFPPHQYHYRTDPKGFYFTFYLFYLDENKKLIMAILENQNLGKLAECAQYQALLQKNLMYLAAIADAQPQPPPATLTPGAMTPQAMAPHPSAMQPPPSYFMQQHQQAAGMAQQIPPGIFPPRGPLQFGTPHQFQDPQQQLHQQAMQGHMGIRPIGLNNNNGLQHQMHHQETALGANNAGPNDTSGGGKQDGTNMLQSGADGQGGSAARHGGGDAKTEGK >fgenesh1_pm.C_scaffold_6002914 pep chromosome:v.1.0:6:24660235:24663744:1 gene:fgenesh1_pm.C_scaffold_6002914 transcript:fgenesh1_pm.C_scaffold_6002914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7M5K4] MDTEATLDVLRCAFVENEMVKHESHLLKSGEVSLESKTDGSLPEVSNNRLIQNLIDALVHVLDGGLSKADESGDPNDSKSDKNWPSKEDTSHLFEFVAYYAALGRVSIPKSVLAQILDYLTSDHILPTYNVSSKMRENQLLNLLKAVPETDWDVDYVTQLCEKAHFYQVCGYIHIIGGRYVAALDSYMKEADEPIHSFCYVNKMLSQLSGDKFTAFQSAVISKIPELLELSRQGAFFLIIDNLKDNITRIQEQLHSHPRSLFLYLKTVIEVHLSGSLDFSRLRKHEAVDNSGENIRREIPKEAEIYLEGLNGFPKFLQDNPVNVTDDMIELYLELLCKYEPKSVLRFLETFDSYRVEHCLRLCQEYGIVDAAAFLLERVGDAASALSLTLSGLNEKYVELEIAVECLMSEMKLGASEGASVEQFSSALELKEVHDIQSVLQACVGLCQRNTPRLNPEESEILWFRFLDTFCEPLMDSYREPRNTDGRNKGPLGVKSLELHVNESDVAIKWRIPRSDTAGTHILRKLISQFIKEIVEGMIGYVRLPTIMTKLLSENGTQEFGDFKLTILGMLGTYGFERRILDTAKSLIEDDTFYSMNLLKKGASHGYAPRSLLCCICSCPLTKTFSALRVRVFNCGHATHLQCEPLENEMSSSSSSIHVSSSGCPVCMTKKTSKSSAKGKSFYLDYGLISTISSNAGSSQRASPYSYENETSDHSHSQHISRFEILSNLQKDQRLVQIESLPRLRLAPPAVYHEKVSRLSGFTPKESSGKDTKPVKTGQGKKPKAKGSMFRSRFGKSFLL >fgenesh1_pm.C_scaffold_6002940 pep chromosome:v.1.0:6:24785242:24785702:1 gene:fgenesh1_pm.C_scaffold_6002940 transcript:fgenesh1_pm.C_scaffold_6002940 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQTDKVVRRTTMVATAVASYFLLTGDYGPEPNVLDPIKRKILSAQDSVKQFIFGPKGGPSGKEQSDNAK >fgenesh1_pm.C_scaffold_6002945 pep chromosome:v.1.0:6:24805573:24808180:1 gene:fgenesh1_pm.C_scaffold_6002945 transcript:fgenesh1_pm.C_scaffold_6002945 gene_biotype:protein_coding transcript_biotype:protein_coding description:F6N23.22 protein [Source:UniProtKB/TrEMBL;Acc:D7M5N5] MSLTMADGVEAPGGRSKRQNSLLRKQLALAVRSVQWSYAIFWSSSLTQPGVVEWGEGCYNGDMKKRKKSYESHYKYGLQRSKQLRKLYLSMLEGDNGTTTVSTTHDDHNDDDDDNCRHSTSMMLSPDDLSDEEWYYLVSMSYVFSPSQCLPGRASATGETIWLCNAQYAENKLFSRSLLARTVVCFPYLGGVIELGVTELISEDHSLLQHVKSCLLEISAHQDNDDEKKMGIKIIEEKHQIPLGISDEDLHYKRTISTVLNYAADRSSKNIHHRQPSTVSSDSGSSFLRWKQCEQPDSGFVQKKQSHNVLRKILHDVPLMHTKRMFPSQKSGLNQDDPSDTRKENEKFSVLRTMVPTVNEVDKESILNNTIKYLQELEARVEELESCMGSVNFVERQRKTPENLNDSVLIEETSGNYDDSTKIDGNSGETEQVTVSRDKTHLRVKLKETEVVIEVRCSYRDYIVADIMETLSNLHMDAFSVRSHTLNKFLTLNLKAKFRGAAVASVGMIKRELRRVVGDLF >fgenesh1_pm.C_scaffold_6002946 pep chromosome:v.1.0:6:24809251:24810549:1 gene:fgenesh1_pm.C_scaffold_6002946 transcript:fgenesh1_pm.C_scaffold_6002946 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGLLEVFLVDAHGITHTNFIGSPVYYVLLQCGIKEYRSKMSKGDNDNALWNQKFVFDFPMSQWKKLTYIKFRIMDKELFKDGGFVGETIIHLGGIITEGRDRGYMEIKPAPYNVVLEDDTFKGELKVGLRFIATDKLQRKAWELKMEAKNREEPMVSPILNLMKLPLLRFIAAWARRQCLINAKLLYCFVDYKQCSYFFICS >fgenesh1_pm.C_scaffold_6002958 pep chromosome:v.1.0:6:24926165:24928722:-1 gene:fgenesh1_pm.C_scaffold_6002958 transcript:fgenesh1_pm.C_scaffold_6002958 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCITYLHLLLLLLLFLLPPLSSSVDNNKVIIKGNHTILSFKAIFRLGFFSTTNGSSNWYLGISYASMPTPTHVWVANRIRPVSDPDSSTLELTSTGHLIVRNSRDGVVWRTDNKEPGTDFRFSETGNLILINDDGSPVWQSFDNPTDTWLPGMNVTGLTAMTSWRTLFDPSPGFYSLRLSPGFNEFQLVYKGATPYWSTGNWTGEAFVGVPEMTIPYIYRFHFVNPYTPAASFWYIVPPLDAVSEPRLTRFMVGANGQLKQYTWDPQTQSWNMFWLQPEGPCRVYSLCGQLGFCSSELLKPCACIRGFRPKNDDAWRSDDYSDGCRRENGESGEMSDTFEAVGDLRYDGDVKMSRLQVSKSSCAKTCLGNSSCVGFYHNENSNLCKILLESPINLKNSSSWTGISNDGNISKSIIILCSVVGSISVLGITLLVPLILLKRSRKRKKTRKQDEDGFAVLNLKVFSFKELQAATNGFSDKVGHGGFGAVFKGTLPGSSTFVAVKRLERPGSGESEFRAEVCTIGNIQHVNLVRLRGFCSENLHRLLVYDYMPQGSLSSYLSRTSPKLLNWETRFRIALGTAKGIAYLHEGCRDCIIHCDIKPENILLDSDYNAKVSDFGLAKLLGRDFSRVLATMRGTWGYVAPEWISGLPITTKADVYSFGMTLLELIGGRRNVIVNSDTLGEKDTEPEKWFFPPWAAREIIQGNVDSVVDSRLNREYNMEEVTRMATVAIWCIQDNEEIRPAMGTVVKMLEGVVEVTVPPPPKLIQALVSGDSYRGVSGTTCSESRGCSDLNTGLSSPGSRSSFGRPSP >fgenesh1_pm.C_scaffold_6002959 pep chromosome:v.1.0:6:24932772:24933897:-1 gene:fgenesh1_pm.C_scaffold_6002959 transcript:fgenesh1_pm.C_scaffold_6002959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring-H2 finger B1A [Source:UniProtKB/TrEMBL;Acc:D7M5Q4] MGGCCSSSRKSHLVGTPVYYYCPESFEELGPSGARAGVGSAFTTGLLVDIGLDFSIPDTFCAPAPLPYDLLLGRPQCTDSESIKGRMSGSSFETLATCEDLGEPDCKTQASSVILSPRQSDFSKQKGLKILVDEEEDCCPICFEDYDVENPRLTTNCEHDFHLSCLLGWIERSDRCPICDKEVVFDDCLN >fgenesh1_pm.C_scaffold_6002968 pep chromosome:v.1.0:6:24976692:24977237:1 gene:fgenesh1_pm.C_scaffold_6002968 transcript:fgenesh1_pm.C_scaffold_6002968 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSEEFSEVPDLSKWFSSYVYESPMLDTSDGLEFLGESKGTKEMELVSSQAKDMSQSQVEFSEDIMNLVVEDSEIDEDCTVFFENLREWKRLQQAMKLS >fgenesh1_pm.C_scaffold_6002970 pep chromosome:v.1.0:6:24983898:24985317:1 gene:fgenesh1_pm.C_scaffold_6002970 transcript:fgenesh1_pm.C_scaffold_6002970 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain protein 31 [Source:UniProtKB/TrEMBL;Acc:D7M5R7] MSGSTTGSGGPCGACKFLRRKCVAECVFAPYFDSEEGTSHFTAVHKVFGASNASKLLMMIPASRRLDAVVTLTYEALARLRDPVYGCVGHIFALQHQAELAYVQTQLSTLQGLPPPNPQNNSRTEAASSSNAPPISSVDNKSNVSSASLHIPCMSQQQEEQAKEAIEVSTESVDLSTLFGLEDPVDEDGDLNALAREFLSKYLTGGKCRPSSPI >fgenesh1_pm.C_scaffold_6002974 pep chromosome:v.1.0:6:25011878:25014537:1 gene:fgenesh1_pm.C_scaffold_6002974 transcript:fgenesh1_pm.C_scaffold_6002974 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGDLVNIHPTELKFPFELKKQSSCSMQLTNKTTTHCVAFKVKTTNPRKYCVRPNTGVVLPGDSCNVTDNLNNNTSFLSVTMQAQKEAPLDMQCKDKFLVQTVVVSDGTSSKEVLAEMFNKEAGRVIEDFKLRVVYIPANPPSPVPEGSEEGNSPMASLNEIGSQTASLFDDVSRTFEETNEKSSEAWSMISKLTEEKTSATQQSQKLRLELEMLRKESSKKQSGGHSLVLMLLVGLLGCVIGYILNRINSFKINLMGISKALRSLLILLLLNMTFIFGHVIPGATVKPCPPSPTKCPRDTLKFGVCGSWLGLVREVIGTPPSQECCSLIKGLADFEAAVCLCTALKTSILGIAPVKIPVALSLLLNSCGKNVPQGFVC >fgenesh1_pm.C_scaffold_625000001 pep scaffold:JGI8X:scaffold_625:1075:2760:1 gene:fgenesh1_pm.C_scaffold_625000001 transcript:fgenesh1_pm.C_scaffold_625000001 gene_biotype:protein_coding transcript_biotype:protein_coding MFCFMGCKFQDKESCYQIPVPNNSNGEFKYVQDLARRIRYDEKATGSQSEQKIDHPNQKNVGITGKAFVNSPIEETSHRVDANKRINTQKNFTAAKSSENAVSRVSFGADQKRAEVMGKPMETRDQMRQTESAEKIHCKESVTKSDKPRDQEGVKKSEAKDKDRNKEKKEEKTESIKKTCQEKPKLIGGPKLEEREKDSLDIRYCKLPEVSRASVKNLITEGNLGKRKDHMTNGFLYENGTTPHKLQKLSASVPSVENGRIIGAPRTPPMPTSELQGMTCKPQVKEVRINGFAVSGEKHKVCPPSPLAATMKVKVKENGEASAKPPHPDLKYLNQILNVPTRELLLEVDDDQEWLLGQSGIKLKKARTDPPDSGESLQVWNQAFRIESADIAALPYVVPF >fgenesh1_pm.C_scaffold_63000001 pep scaffold:JGI8X:scaffold_63:4972:11844:-1 gene:fgenesh1_pm.C_scaffold_63000001 transcript:fgenesh1_pm.C_scaffold_63000001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYNLKGIEAAPLKDDVDIVIPTIRSLDFLEQWRPFLQHYHLIIVQDGDPSIKIKVPEGYDYELYNRNDINRILGPRANCISYKDGGCRCFGFMVSKKKYIYTIDDDCFVAKDPSGKEINVIAQHIRNLETPSTPHYFNTLYDPFREGTDFVRGYPFSLREEGVQTAISHGLWLNIPDYDAPTQLVKPRERNTRYVDAVMTIPKGVLYPMCGMNLAFNRELVGPAMYFGLMGEGQPISRYDDMWAGWAAKVVCDQLGFGVKTGLPYLWHSKASNPFVNLKKEHKGLHWQEDMVPFFQNLCLSKESDTAAKCYMEISKMTKEKLTKVDPYFEKLADAMVTWIEAWEELNPPVKNEAFSDGKEVKAK >fgenesh1_pm.C_scaffold_649000001 pep scaffold:JGI8X:scaffold_649:518:1897:-1 gene:fgenesh1_pm.C_scaffold_649000001 transcript:fgenesh1_pm.C_scaffold_649000001 gene_biotype:protein_coding transcript_biotype:protein_coding MAECPMDLINELFLRLAATTLVRCRAVSKPCFSLIDSPEFISSHLRRRLETGQHLMILLRGPRLLRTVELDSPENVTDIPHPLQAGGFTEVFGSFNGVIGLCNSPVDIAIFNPSTRKIHRLPIKPLDFPERHITREYVFYGLGYDSVSDDFKVVRMLQSKLKGGKENFGYPVEIKVFSLKKNSWKRVYLMFEVQILFIYFYYHLLPRRGYGVLANNHLHWILPRRQGIIAFNTIIRFDLASDDLGVLSFPQDLYTEDDMDVGVLDGCVCLMCYDEFSHVDVWVLKEYEDVKSWTKLFRVPKPESVESVDFMRPLIYSKDRSKILLEINNAKNLMWFDLESKELTTVGIKACDSSFTADILVSSLVLGCKGDPTEAQRRKDQMVPKSNKRDGFLSKGFKLKL >fgenesh1_pm.C_scaffold_649000002 pep scaffold:JGI8X:scaffold_649:3756:5104:-1 gene:fgenesh1_pm.C_scaffold_649000002 transcript:fgenesh1_pm.C_scaffold_649000002 gene_biotype:protein_coding transcript_biotype:protein_coding MAECPTDIINELFLRLRATTLVKCRAVSKPCFSLIDSPEFISSHLRRRLETGEHLMILLRGPRLLRTVELDSPENVSDIPHPLQAGGFTEVFGSFNGVIGLCNSPVDIAIFNPSTRKIHRLPIEPLDFPERHITREYVFYGLGYDSVSDDYKVVRMVQSKPKGGKKNFGCIEIKVFSLKKNSWKRVCLMFEVQILFIHYYYHLLPRRGYGVLANNHLHWILPRRQGIIAFNAIIRFDLASDDLGVLSFPRALYTEDDMDIGVLDGCVCLMCYDEFSHVDVWVLKEYEDWKSWTKLFRVPKPESVESVDFMRPMVYSKDRSKILLEINNAANLMWFDLESKSLTTVGIECDSSFTADILVSSLVLGCKGDPTKAQRRKDQMMPKSNKRWDGFLAKGFKLKL >fgenesh1_pm.C_scaffold_662000001 pep scaffold:JGI8X:scaffold_662:668:1577:1 gene:fgenesh1_pm.C_scaffold_662000001 transcript:fgenesh1_pm.C_scaffold_662000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7MXX4] MRNTLEKEREILKANPKVFFYLAVDGRLAGRIVIELFADTTPRTAENFRALCTGEKGMGKLGKPLHYKGSIFHRLVPYQMFCGGDITAGNGSGGECIYEDRFFEDENFIRQHTGPGFISMENRGPDTNESGFLIGLQEDSLLGRETVAFGQVVQGLTLLNALSRELGNRNNKPSKPLVIADCGQIS >fgenesh1_pm.C_scaffold_672000001 pep scaffold:JGI8X:scaffold_672:2723:3910:-1 gene:fgenesh1_pm.C_scaffold_672000001 transcript:fgenesh1_pm.C_scaffold_672000001 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMSNLPRDLAIEVLSRIPLTSLRIIGSTCKKWNTLSKDHNFAKKPHWSTRHSFMKGTRVSTSHDRGSIGLDDSVEVDVTRVVHCDGLLLCITKDYTKFVVCNPYLGQTRWIVSPHKFNRFDLYTNALGYDKSSKSYKILIFVDFFYPPATFVEYKIYDFNSDSWRVIDVTPDWTMEIHWFAKDQLLEESTFLICFDFTSERFGPHLSMPYELYFEGTASLSSVKEEQLAVLFQRWDTLEIEIWITTKIEPNAVSWNSKVFLAVNMRSFTCFDFQFLLTHASFFIDKEKKVAVVFDKNKERNVNPTRTVAYIVGVDGSLKKADLGEYGDKHCYPLVCSYVPSLVQLN >fgenesh1_pm.C_scaffold_677000001 pep scaffold:JGI8X:scaffold_677:1199:1919:1 gene:fgenesh1_pm.C_scaffold_677000001 transcript:fgenesh1_pm.C_scaffold_677000001 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAQICFSFIILLYISSVGNFMRVNAQAPVVSKGGTCYDPINLYNSASVAMNLYYQNQGRHYSKCDFEGSGIITVTDPSCGCCIYEFYK >fgenesh1_pm.C_scaffold_678000001 pep scaffold:JGI8X:scaffold_678:2204:3212:-1 gene:fgenesh1_pm.C_scaffold_678000001 transcript:fgenesh1_pm.C_scaffold_678000001 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVFCVLPIQKNSTSFFDVNNFHIILPPTKVYNYYTVSVNGLITFTTSTEIIIWNPTMKEHIIFVKPKSSKCLQSFLGYDPMENKYKLLSLACSYKRGEKYQKPKILTLGSQESSWRVINSSPDHDPSRKADISLEEDRDYHSILPPLSKEILELRLKEIIMSFDVRSEQFKSIQIPGRKFQYESMLEESLMCYQGKVAWICYNSNIIKLWVLKDAEKQVWSENEFVLPLPQRDLPRNAWLRGATSTGEFIYVSGNTPKNISAFYYDPVRETIRSVKGIENEKFRRCYGSNDNFIPSSFLHAFPNHIENLMSLKGIMCSPFM >fgenesh1_pm.C_scaffold_68000001 pep scaffold:JGI8X:scaffold_68:23104:25095:1 gene:fgenesh1_pm.C_scaffold_68000001 transcript:fgenesh1_pm.C_scaffold_68000001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIRFFELNTGAKIPSVGLGTWQADPGLVGNAVDAAVKIGYRHIDCAQIYGNEKEIGLVLKKLFDDGVVKREEMFITSKLWCTYHDPQEVPEALNRTLQDLQLDYVDLYLIHWPVSLKKGSTGFKPENILPTDIPSTWKAMEALFDAGKARAIGVSNFSSKKLADLLAVARVPPAVNQVECHPSWQQNMLRDFCKSKGVHLSGYSPLGSPGTTWLKSDVLKNPILGGVAEKLGKTPAQVALRWGLQMGQSVLPKSTHEDRIKQNFDVFNWSIPDDMLSKFSEIEQASDFLHIVVQIGQRAFFVLLIRLVYQILKTCVSMLQGRLVRGMSFVHETSPYKSLEELWDGEI >fgenesh1_pm.C_scaffold_7000002 pep chromosome:v.1.0:7:10646:13235:-1 gene:fgenesh1_pm.C_scaffold_7000002 transcript:fgenesh1_pm.C_scaffold_7000002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:D7MEW2] MDTGGNSLASGPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPFPARDRDLCRFHADDYVSFLRSITPETQQDQIRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGSVKLNHGLCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHERVLYVDIDIHHGDGVEEAFYATDRVMTVSFHKFGDYFPGTGHIQDIGYGNGKYYSLNVPLDDGIDDESYHLLFKPIMGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGVEVEDKMPEHEYYEYFGPDYTLHVAPSNMENKNSRQMLEEIRNDLLHNLSKLQHAPSVPFQERPPDTEAPEVDEDQEDGDKRWDPDSDMDVDDDRKPIPSRVKREAVEPDTKDKDGLKGVMERGKGCEVGVDESGSSKVTGVNPVGMEEASVKMEEEGTNKGGADQVFPKI >fgenesh1_pm.C_scaffold_7000003 pep chromosome:v.1.0:7:14014:14448:-1 gene:fgenesh1_pm.C_scaffold_7000003 transcript:fgenesh1_pm.C_scaffold_7000003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MEW3] MISMLFPRSPLCTAAIVFYTCVCIPLGRLKKNGGDAEAHDDDGYHLVGVMFGDKEKEEEICCPICLAEFEAEDAVTRLPRCAHLFHINCIEPWLLRGHLTCPLCRSFVLAPTPYTRNVNNVHSSSTLYLPIFFFFCLFLHLLVA >fgenesh1_pm.C_scaffold_7000011 pep chromosome:v.1.0:7:48533:51864:1 gene:fgenesh1_pm.C_scaffold_7000011 transcript:fgenesh1_pm.C_scaffold_7000011 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLLLLLVVVLQLCLLSAVAGDDAIISRFQEYLRINTVQPNPDYYKAVDFINSQAKPLSLESQTIELVKGKPLLLLKWVGSDPTLPAFLLNSHTDVVPFEDSKWTHHPLQAHIDHHGHIYARGSQDMKCVGLQYLEAIRKLQASGFQPLRSVYLSFVPDEEIGGHDGAVKFVESQFFKSLNIAIVLDEGLPSPTESYRVFYGERSPWWLVIKAKGPPGHGAKLYDNSAMENLLKSIESIRRFRASQFDLLKAGGIGEGDVVSVNMAFLKAGTPSPTGFVMNLQPSEAEAGFDIRVPPNVDAEALERRLVEEWAPAARNMSFEFKQKLTGKQFLTAADDSNPWWGLLENAVKEAGGRTSKPEIFPASTDARYFRKAGVPAFGFSPISNTPSLLHDHNEYLGKAEYLKGIDVTTISPLSCSAEISSEIALAQSQPAPDFNPWSEFAQNVSGEWDGFGADFTCEGQPLELPESVVPEAFREWEVKVFDWQTQCPTLAQPHSLSFLYKSIKLLPTVGCEADAATRYSIDQRIIGGSKSSALAFSYSVTGSYVAVWPLRNNQLEVEHCLINPNDKESRVRIFQVVSLAETNMSLQSVKVFCEQWYGPFRDGDQLGGCAIRSSGFASTPTTAASVVTGSWRVLLATTSFHASDFVSWPPFLSGCIQQVTGEKVIEIVREEKDLLLLPQEMWCSLQEGKDRERVFSVGWVFEPGQAITSSCVFSSDSKLKEVTVARETALSGV >fgenesh1_pm.C_scaffold_7000030 pep chromosome:v.1.0:7:114672:118560:1 gene:fgenesh1_pm.C_scaffold_7000030 transcript:fgenesh1_pm.C_scaffold_7000030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MEZ1] MVNESCASRLILDASQSKATMDRRERIKMEVFDEVLRRLRQSDIQDAHLPGFEDELWTHFNRLPARYALDVNVERAEDVLMHKRLLHSAYDPQNRPAIDVHLVQVQPADSPTYDAALSSPTRKSIHPPPAFGSSPNLEALALAASISQDHDGDNSVHNNSLYSRPLHEITFSTQDKPKLLLQLTALLAELGLNIQEAHAFSTTDGYSLDVFVVDGWPYEETDRLRISLEKEAAKIELQSQSWPMQQSFSPEKENGQTGARTHVPIPNDGTDVWEINLKHLKFGHKIASGSYGDLYKGTYCSQEVAIKVLKPERLDSELEKEFAQEVFIMRKVRHKNVVQFIGACTKPPHLCIVTEFMPGGSVYDYLHKQKGVFKLPTLFKVAIDICKGMSYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQTGVMTAETGTYRWMAPEVIEHKPYDHKADVFSYGIVLWELLTGKLPYEYMTPLQAAVGVVQKGLRPTIPKNTHPKLAELLERLWEQDSTQRPDFTEITEQLQEIAKEVGEEGEEKKKSSTGLGGGIFAALRRSTTHH >fgenesh1_pm.C_scaffold_7000040 pep chromosome:v.1.0:7:149627:151559:1 gene:fgenesh1_pm.C_scaffold_7000040 transcript:fgenesh1_pm.C_scaffold_7000040 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVHHDCPNSANPYHECNDQCFKRISSGDVPKKEKKRFGFGKPACSKETPPTSPARVIAGNRSPLPSYYGKRMLESDDSLSFSSSDDSFNANLPTPRSLLHGNETASGINWLPMSPSFAVYCKNDSFASTIDHLEGETPIHDEMLSKTRLKTPLSPDTRPRTSEHRTRNQGPRSKTPEPRGSYLEPPRPRIPQTQPVQHRSLESAGLKSPQKVETRPQIPQTQPTSFNIGGNYHYAAQASKYHGNKADSVYTKDQDKCILLYPEILLSPRKNPTSRSITVLMLHQKSLDQQSNEPPELPDKCQSFTLSEIECMKVLKIYETGEEMESMIPESYVYVGRYKVRASVSATLQKILDKHGDIASGSKLQSLPTKSYSLESLAAVVLELQSTPLKELKETRVLEMLSVVTDAESVKIRAGWLREILNEILEAANHYDGHETTVVEKEAGERDMLFEREEMEKIQEEVRLKEKEAKDFRKGVMEMTGRLGELEMKRARLEKRLAFLSSKVEKFEGESLLENVF >fgenesh1_pm.C_scaffold_7000046 pep chromosome:v.1.0:7:181782:183926:-1 gene:fgenesh1_pm.C_scaffold_7000046 transcript:fgenesh1_pm.C_scaffold_7000046 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDGDPTNFAAIYDSSSPSHPLLSKPSTSALDSPRRSDPESDPTQFLQISYNFGPRTFKDIPFLLLFDLLVLSTFGFGIFSLFHRNSDYGSSSSFTYDFHSSSCVKNSTFTKISDGYHSSVMYGMVSSSDPVFEKDLIWTLVVTLILSLPFCFSVLLLLKHYTKQIVYACLPLFVLFPIFFNLYWFVACTLSASCSDALPLAYRILVLVFVFLIIGIIVWIIVANWHRIELTIQIISVASDALSKNLKLFVVLPLLTLGLVVYYVPIVVFLVFARFNGKFVPRELDSHYFCEWKEDSWVPAYYALAILTMLWSLAVMVEMQVYVISGAIAQWYFSKEDSVPKKCIRSSLRNAFGQSFGTICVSGLLICIVRVVRAIVDNAREENPQGIVNLVLRCCANALLGALDYLNKFTINFAAITGEAYCTSAKMTYELLRRNLLSAVFVETVSTRILTGIVFVLSAAYAVATWAVLRGVTNLGIDSYLVAILAWLLLIVVLAFFVHVLDDVIDTIYVCYAIDRDKGDVCKQEVHEVYVHLPISRSTRSSLIPNALNA >fgenesh1_pm.C_scaffold_7000064 pep chromosome:v.1.0:7:264674:265955:-1 gene:fgenesh1_pm.C_scaffold_7000064 transcript:fgenesh1_pm.C_scaffold_7000064 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MFP4] MKALGFSPKQKFCARRQNAKVAAGGRSIFIFHVSPAWKHRGISLQRFLCVSKLWASRIRSRYFMKLFLNESLKRPISLVFVFRDNSLGLTISSVHLKSTPEASSSSSSSSASPIIYHVTFHTRQRTTIAPSVHGLICYGPPSTLVVYNPCTRRSITLPKIKAGRRAINQYLGYDPINNDYKVLCITRGMPKLRNRRGLAEEIQVLTLGTRDSWRMIQDIIPPHSPVTEELCINGVLYYQAFIGTKLNKSAIMSFDVRSEKLDLIKGPCNFRSFSKLTNYEGKLAVIFYEKKVSSIIGLWVLQDASKEEWSKKTFVLPNLAASATNSHILRFHKFRTTDADTGEIIFTPTFVHSSLSSAVYCDLKNNRVRNFVKEGTTEHYIRCHADSVSSTQVENLMFL >fgenesh1_pm.C_scaffold_7000068 pep chromosome:v.1.0:7:276766:278716:-1 gene:fgenesh1_pm.C_scaffold_7000068 transcript:fgenesh1_pm.C_scaffold_7000068 gene_biotype:protein_coding transcript_biotype:protein_coding MFDIILAIAVESKRMECGGQYENGRYKPDYNKGTQSVNMMPQHQIKEQHNALVMNKKIMSILAERDAAVKEINEAVAATKEALAARDEALEQRDKALSERDNAIMETESALNALRYRENNLNYILSCAKRGGSHSCVTDESHLPAPSPISTIPPEAAHTKLAKRKKESKQGARSKGKKVGEDLNRQVASPGKKSRKDWDSYDVGLNLVTFDETTMPVPMCTCTGTARQCYKWGNGGWQSSCCTTTLSQYPLPQMPNKRHSRVGGRKMSGSVFSRLLSLLTIRFKSRMKDEARFVIVFTVDSSVNANILVLVFFATFFSGIAFAFEWTFHGKNHSGFQWIIYYALSLIILPILIWLGLGIVMIVSSSHGSMQVASVAVEEEQCVNSFAEDSGNEETKENNCESLAIVVDSDKKKCTDKVFENKTAKLKRTVSFPLHSHVRSCRTR >fgenesh1_pm.C_scaffold_7000080 pep chromosome:v.1.0:7:358205:360398:1 gene:fgenesh1_pm.C_scaffold_7000080 transcript:fgenesh1_pm.C_scaffold_7000080 gene_biotype:protein_coding transcript_biotype:protein_coding METIRKQASKLREQVARQQQAVLRQFGGGGYGGSDTVITDEEEFHRHQKLEKLYLSTRSAKHFQRDIVRGVEGFIVTGSKQVEIGTKLCEDSRRYGSENTCTSGNTLTRASLSFANARAQMEKERGNLLKTLGTQVAEPLRAMVMGAPLEDARHLTQRYDRMRQEAEAQTIEVSKRQAKVRENPGNPELVMKLESAEAKLQDLKSNMTILGKEAASAMAGVEDQQQNQTLQRLITLVEAERNYHQRILEVIERLEGEMRFEQQRIEAPQNPQVENITFWPPPSYEEANDGVNASQMHNGTSDAMGYFLGEVMFPYQAESDFELTLSVGEYVVIREVVSSGWAEGECKGKAGWFPYDYIERRDRVLATKVIEVF >fgenesh1_pm.C_scaffold_7000084 pep chromosome:v.1.0:7:379494:386109:1 gene:fgenesh1_pm.C_scaffold_7000084 transcript:fgenesh1_pm.C_scaffold_7000084 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRTRSSRPPSPASSTSSSHLSNRLIPRSCSTSASSLINSAAGIGSRSMTPSRTFSDSGLIGSGSFGIGSPVPYPSEELLGDPMEETISSERDSISVTVRFRPLSDREFQRGDEVAWYPDGDTLVRQEYNPLTAYAFDKVFGPQATTIDVYDVAARPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQESPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDSQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLLSSRSHTIFTLMVESSATGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSSSEETHNTLKFASRAKSIEIYASRNQIIDEKSLIKKYQREISTLKLELDQLRRGMLVGVSHEELMSLKQQLEEGQVKMQSRLEEEEEAKAALMSRIQKLTKLILVSTKNSIPGYLGDIPTHQRSLSAGKDDKFDSLLLESDHLGSPSSTLALVSEGSLGFNHRRSSSKLNDENSPGAEFTQGVMTPDEIDLLVEQVKMLAGEIAFSTSTLKRLVDQSVNDPENSQTQIENLEREIHEKQRQMRALEQLIIESGEASIANASLVEMQQKVMSLMTQCNEKSFELEIKSADNCILQEQLQKKCTENKELHEKVNLLEQRLNAVSSEKSSPSCSDKAVSGEYADELKKKIQSQEIENEELKLEHVQIVEENSGLRVQNQKLAEEASYAKELASAAAVELKNLASEVTKLSLQNTKLEKELAAARDLAQTRNPMNGVNRKYNDGARSGRKGRISSSRSSGDEFDAWNLDPEDLKMELQVRKQREVALESALAEKEFIEDEYRKKAEEAKRREEALENDLANMWVLVAKLKKDNGALPEPNGTDPGRELEKSQTHAVLKERQVSSAPRQPEVVVVAKTEETPKEEPLVARLKARMQEMKEKEMKSQANGDANSHICKVCFESPTAAILLPCRHFCLCKSCSLACSECPICRTKISDRLFAFPS >fgenesh1_pm.C_scaffold_7000091 pep chromosome:v.1.0:7:425042:425746:-1 gene:fgenesh1_pm.C_scaffold_7000091 transcript:fgenesh1_pm.C_scaffold_7000091 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKDERGNPIYLTDEHGKPAQLMDEFGNAMHLKGVATTVPHLKESSYTGPHPITAPVTTTNTPHHAQPISVSHDPLQDHDLRWFGTNLPEEDWEDGEGARSKTTEETKSKLGVNNPSAATVTGTGTGTGSGSGSIQEPHEKKGFFKKIKEKLSGHRNLM >fgenesh1_pm.C_scaffold_7000106 pep chromosome:v.1.0:7:484531:485643:-1 gene:fgenesh1_pm.C_scaffold_7000106 transcript:fgenesh1_pm.C_scaffold_7000106 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEESQMTFSMLPDNLVLNCLACFQSKFRSLIASTDLQELRSFLGCTSSGLYVCLLPRTNYNTDTRIWFTLRQKINSCTKILVPIISSYSPFDYRSGVVVVDSNIVISMLLVMVMDCRSHTWREAPSMRVARDNSPSTCVLNGKIYVIGGCKNLDSTNWIEVFDTKTQTWEFLQIPSEEICRSFKYKSVVYKETVYVSCLDENYVTDMTYKIHKGRWREAHLMFNHASFDSPNCSLQWYDSYKKIWKDLKGLMCRSFMHSRGKSAKLVNYGGNIALLWEEDMILNNHRKKLIWCEEIVIEKEHRGEIWGLVKWSEVVFITDEMNRLEHALATNV >fgenesh1_pm.C_scaffold_7000113 pep chromosome:v.1.0:7:511279:511755:-1 gene:fgenesh1_pm.C_scaffold_7000113 transcript:fgenesh1_pm.C_scaffold_7000113 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTLLQSDLRFGVVYSDAVSGSAARIGCVGEIVKHERLVDDRFFLICKGQERFRVTDLVRTKPYLVAKVTWLEDRPSGEENLDELANEVEVLMKEESQDLRKNQFPTPFSFFVGSTFEGAPMEQQALLELEDTAAIKPKTTSF >fgenesh1_pm.C_scaffold_7000116 pep chromosome:v.1.0:7:529785:531364:1 gene:fgenesh1_pm.C_scaffold_7000116 transcript:fgenesh1_pm.C_scaffold_7000116 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSSKTDQKAALDIASWLFNVVTSVGIILVNKALMATYGFSFATTLTGLHFGTTTLLTTFLTWLGYIQPSQLPWPDLLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVMLDNVRYSRDTKLSILLVLAGVAVCTVTDVSVNLNGFLAAAIAVWSTALQQYYVHYLQRKYSLGSFNLLAHTAPVQAASLLLVGPFLDYWLTNQRVDAYNFSFVSLLYLTQSFGQFFLILSCSIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLVLGFTFFGKEGLNLQVVLGMLIAILGMIWYGNASSKPGSKERRSLSIPITKSQKLSETNESDEAV >fgenesh1_pm.C_scaffold_7000135 pep chromosome:v.1.0:7:655655:656827:-1 gene:fgenesh1_pm.C_scaffold_7000135 transcript:fgenesh1_pm.C_scaffold_7000135 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVKKREKKTTTSPILSPTPQSTQIPSLPVDLLISILARVSRLDYPILSLVSKSFRSLLASPELYETRSLLGRTESCLYLCLGFTSNSNLRWFTLCRKPNLNVTNNMKKKTNKPSGHVMAAIPMPNSPPVHRSGLVTVGSDIYNIGWSIINDHSSSVSILDCRSHTLREAPNMLVERFFPAANVIDGKIYVAGGSKDSNSSNWMEVFDVKTQTWELVLNPNADGCESRICKSAVIDEAICLFGYKGVGVAYNPGINKWERIGAVNYLDLGWECKSDGIKLYDSKIGRWMKLKGLEGLPKFASNSWVRLADYGGKMAVLWGNLPTTGDNNNVIWCAVITLEKPDNEKIWGKVEWFDAVLTVPEPYEFVCARAATV >fgenesh1_pm.C_scaffold_7000153 pep chromosome:v.1.0:7:728260:732839:1 gene:fgenesh1_pm.C_scaffold_7000153 transcript:fgenesh1_pm.C_scaffold_7000153 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEELPQKKKQKNLPWLPDYIPSLQISSRSDIASKPENPLPTWFTLWIKADQIDKKKKKNKFSRSTRLVQISSSYYYYPFDPVFILMQCSVPVIWIGNYGGKLLILWDKFVHPGQFQEKNIWCAVVALERRNETAASAATTSIAEPPSKKRKTNPSPPLPPPSFLSLPDVLILNCLSRIPKSYYPKLSIVSKTFRDLILSIDLNHARFHHKTQETFFHVCLKFPDRPLPSWYTLWIKPEGFDDKEEEKKKSTLVQVPSSYASHNPLLVVSVDSDVYAFKQCYPPSRVMYVRNKEVVLWRNAPNMTVARANPVAYVFDKKIYVMGGCAENESANWGEVFDPKTQTWESLPVPAPELRFSSMIRKLEMIQGKFYVRSNESKDSVYDPKNGKWNVAAKPLVSDSRCAVGDVWYSFRPNSCLWFDNEIQDWRLVKGLSSLNQSCRSGLIETVSYDGKLLLLWDKPTKPRRRVCGEKYICCALIAFEKRKNGQVWAMDSEVEPSQKKKKPNSSPSFVSLPDVILVNCLARIPKSYYPKLSLVCKSFCSLILSMELYVERLYLRRHEDVFHVCLQLPDRRLPSWFSLWTKPDQTLTNDIGKKKKSTRNTLLVPIPSSYSPRVPMFIGEIGSELYAISKHNSPSSVMWVRNKSTNYAWRKAPSMTVARANVFACVINGKIYVMGGCAADESTNWAEVFDPKTQTWEPLPDPGDELRLSSFKTMEVIEGKIYVKKSYTMDYVYDPEEDKWDVITRAFMIERKCEIENVLYRCRGQSCSWYDTKQKEWRDIKGLATLNRYRRSYVIEVANYCDKLLILWEIFAKQNKNIWCAVISLKRRKDDEIWGKVEWASIVLTVPSSYVFLRCEVKPV >fgenesh1_pm.C_scaffold_7000162 pep chromosome:v.1.0:7:785491:787581:1 gene:fgenesh1_pm.C_scaffold_7000162 transcript:fgenesh1_pm.C_scaffold_7000162 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQKRSLDTIHVFNLMVLCFIALFSSSVLGQGKIRRFKWEVKYEFKSPDCFEKLVITVNGKFPGPTIKAQQGDTIVVELKNSFMTENVAVHWHGIRQIGTPWFDGVEGVTQCPILPGEVFTYQFVVDRPGTYMYHSHYGMQRESGLIGMIQVSPPVTEPEPFTYDYDRNVLLTDWYHKSMSEKATGLASIPFKWVGEPQSLLIQGRGRFNCSNYQTTPPNLVSGVCNVSNADCSRLILTVIPGKTYRLRIGSLTALSALSFQIEGHNLTVVEADGHYVEPFTVKNLFIYSGETYSVLLKADQNPRRNYWITTSIVSRPATTPPVAVLNYYPNHPRRRPPTPESSNLLPEWNDTRSRLAQSLAIKARRGFIHAPPENSDKVIVLLNTQNEVNGYRRWSVNNVSYHHPKTPYLIALKQNLTNAFDWRFTPPQNYDSRNYDIFAKPLNANATTSDGIYRLRFNSTVDVILQNANTMNANNSETHPWHLHGHDFWVLGYGEGKFNESEDLKRYNRVDPIMKNTVAVQPFGWTALRFRADNPGVWSFHCHIESHFFMGMGIVFESGIDKVSSLPSSIMGCGQTKR >fgenesh1_pm.C_scaffold_7000171 pep chromosome:v.1.0:7:824405:824796:1 gene:fgenesh1_pm.C_scaffold_7000171 transcript:fgenesh1_pm.C_scaffold_7000171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 45 [Source:UniProtKB/TrEMBL;Acc:D7M972] MAKIKSLDVITIAIVLLLVIAGQATAITVQADCIGPCNDNCQQLCKSKGYKDWTCASFRTKSSCCCKPPRHPIFEQNAQLNS >fgenesh1_pm.C_scaffold_7000184 pep chromosome:v.1.0:7:877105:879456:-1 gene:fgenesh1_pm.C_scaffold_7000184 transcript:fgenesh1_pm.C_scaffold_7000184 gene_biotype:protein_coding transcript_biotype:protein_coding description:F10A5.19 [Source:UniProtKB/TrEMBL;Acc:D7M9I4] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >fgenesh1_pm.C_scaffold_7000208 pep chromosome:v.1.0:7:1009009:1013427:1 gene:fgenesh1_pm.C_scaffold_7000208 transcript:fgenesh1_pm.C_scaffold_7000208 gene_biotype:protein_coding transcript_biotype:protein_coding MVASFSYTACTKFSLLHPPMVAQIRPRTTQKTFVVTNPEQDSTLETQETEPIKEEQSTEKMKKQPTPLRPVEKQLNVKSKGMGQFGGQWLSSVTRHVRIYAAYIDPETCEFDQSQMDKLTLILDPTEEFVWDDESCNKVYSYFQELVDHYETPSRRPYNIYTLRLIGSDVEHYIRKMLFDGEIQYNMDARVLNFSMGKPRVQFNTSNIEGGGDEGQKMANLLETSIFFSSADKLISFSSHNSQTHLLPFSAFINGGRKIRKSSTITFASDTVTYSSITSTEVKSFVEDPMEVEVAEGYTMAQFCDKIIDLFLNEKPKVKQWKTYLVLRDEWNKYSVNFYRRCRIRADSETDPILKQKLLSLESKVKKIDEEMEKHNDLLKEIQENPTDINAIAAKRRRDFTGEFFRYVALLSETLDGLEDRDAVARLATRCLSAVSAYDNTLESVETLDSAQAKFDDILNSPSVDAACEKIRSLAKSKELDSSLILLINSAYAAAKESQTVTNEAKDVMYHLYKATKSSLRSITPKEIKLLKYLLNITDPEERFSALATAFSPGDDHEAKDPKALYTTPKELHKWIKIMLDAYHLNKEETDIKEAKQMSQPIVIQRLFILKDTIEDEYLDKKTIVADENPKKEEDTSVEEFLN >fgenesh1_pm.C_scaffold_7000227 pep chromosome:v.1.0:7:1144138:1144507:1 gene:fgenesh1_pm.C_scaffold_7000227 transcript:fgenesh1_pm.C_scaffold_7000227 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSLLTTALLLCTAMLTCTARPEPAYFASFTTSPADTLSLEMIESKLHDVAGESCDKEDDEDCLVRRTLTAHLDYIYTQKNSHH >fgenesh1_pm.C_scaffold_7000238 pep chromosome:v.1.0:7:1205783:1207493:-1 gene:fgenesh1_pm.C_scaffold_7000238 transcript:fgenesh1_pm.C_scaffold_7000238 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQHTDDVLKHLEKQKELLKEAHQTMLQELQKLEVEHETMMRKLYELMNTHTLNQKKMEETQNVLEGSETIEASSQSTVTTDDEEH >fgenesh1_pm.C_scaffold_7000256 pep chromosome:v.1.0:7:1339069:1341242:-1 gene:fgenesh1_pm.C_scaffold_7000256 transcript:fgenesh1_pm.C_scaffold_7000256 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP81F3 [Source:UniProtKB/TrEMBL;Acc:D7MAN0] MFYYVIVLPLALFLLAYKLFFTSKSQRFNLPPSPPYSLPILGHHHLLKPPVHRHFHRLSKIHGPIFSLQFGSRRAVVISSSSLATQCFTGQNDIILSNRPCFLTAKYVAYNYTTVGTAPYGDHWRNLRRICSLEILSSNRLTNFLHIRKDEIRRMLTRLSRDVNKEIELEPLLSDLTFNNIVRMVTGKRYYGDEVHNEEEANVFKKLVADINDCSGARHPGDYLPFMKMFGGSFEKKVKALAESMDEILQRLLEECRRDKDGNTMVNHLLSLQQHEPEYYTDVTIKGLMLGMMIAGTDTSAVTLEWAMSSLLNHPESLEKAKLEIDEKIGQERLIDEPDIANLPYLQNIVLETFRLYPAAPLLVPRSPSEDIKVGGYDVPRGTMVMVNAWAIHRNPELWNEPEKFKPERFNDGEGGGEDVHKLMPFGNGRRSCPGAGLGQKIVTLALGSLIQCFDWEKVNGEAVDMRETPGMAMRKKVPLWALCQSRPIMNKLQAHLKG >fgenesh1_pm.C_scaffold_7000257 pep chromosome:v.1.0:7:1359812:1361755:1 gene:fgenesh1_pm.C_scaffold_7000257 transcript:fgenesh1_pm.C_scaffold_7000257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MAN3] MASSPLIATSLPQNHATATASFRNPPPEKLAVFIDNSHSVDEVLQIHAAILRHNLLIHPRYPVLNLKLHRAYASHGKIRHSLALFHQTIDPDLFLFTAAINTASINGLKDQAFLLYVQLLSSQINPNEFTFSSILKSCSTKSGKLIHTHVLKFGLGLDPYVATGLVDIYAKGGDVVSAQKVFDRMPERSLVSSTAMITCYAKQGNVEAARALFDRMCERDIVSWNVMIDGYSQHGFPSDALMLFQKLLADGKPKPDEITVVAALSACSQIGALETGRWIHVFVNSSRIRLNVKVCTALIDMYSKCGSLEEAVLVFNDTPRKDIVAWNAMITGYAMHGYSQDALRLFDEMQGITGLQPTDITFIGTLQACAHAGLVNEGIQIFESMGQEYGIKPKIEHYGCLVSLLGRAGQLKRAYEIIKNMNMEADSVLWSSVLGSCKLHGEFMLGKEIAEYLIGQNISNSGIYVLLSNIYALVGDYEGVAKVRNLMKEKGIVKEPGISTIEIDNKVHEFRAGDREHLKSKEIYTMLRKMSERIKSHGYVPNTNTVLHDLEETEKERSLQVHSERLAIAYGLISTKPGSPLKIFKNLRVCSDCHTVTKLISKITGRKIVMRDRNRFHHFSDGSCSCDDFW >fgenesh1_pm.C_scaffold_7000278 pep chromosome:v.1.0:7:1483319:1489543:-1 gene:fgenesh1_pm.C_scaffold_7000278 transcript:fgenesh1_pm.C_scaffold_7000278 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIRRETIGHGSFSTVSLATTSGSCSKAFPSLMAVKSSGVVCSGALRNERDVLDDLGDCSEIVRCFGEGRTVENGEEIYNLFLEYASGGNLADRIKNSGEALPECEVRRFTRSIVKGLCHIHGNGYSHCDLKLENVLVFGDGDVKISDFGLAKRRSDDEIGVEIRGTPLYMAPESVNHGEFESPADIWALGCSVVEMSSGKTAWCLEDGVMNNVMSLMVRIGSGDEVPRIPMELSEEGKDFVRKCFVKNAAERWTAEMLLDHPFLAVDDDKSGSLRCGEEDEACSVSVSPRNPFDFPGWNSVQSPVNESVTFGSLVCSPEERISGLVSEKVPDWSESGDWVNIGETCSSSSQCDAGLSCQSCPANGNTGSTCTRIQPLNPTSKVNGLPFNKYSWLTTHNSYAITGANSATGSFLISPKNQEDSITNQLKNGVRGIMLDTYDFQNDIWLCHSTGGTCFNFTAFQPAINALKEINDFLESNLSEIVTIILEDYVKSPMGLTKVFNASGLSKFQLPISRMPKDGTDWPTVDDMVKQNQRLVVFTSNKDKEASEGFAYQWNYMVENQFGNDGLKDGSCSSRSESSPLDTKSRSLVFQNYFETNPNSTQACADNSSPLIEMMRTCHEAAGKRWPNFIAVDFYQRSDSGGAAEAVDEANGRLTCGCDSLVFCKSNAPFGTCDAPPPKSAPSPVAGGTDSSRNSKNLPAGNADSTAIGFEISGKADTMVTHTHTPNQTTNICKFSMQFTDRSFVEPEEVDEVIQLGADTNLQKAKALDTKKVFSGVLLDYYTL >fgenesh1_pm.C_scaffold_7000305 pep chromosome:v.1.0:7:1610254:1611618:1 gene:fgenesh1_pm.C_scaffold_7000305 transcript:fgenesh1_pm.C_scaffold_7000305 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLQAAVTTVQPARVDPPPRAAAKLRMCVSKYFGVEPVASRVSCSLHDDLKNLTLKFVDTTKIAGFSLAASALVVSGANAEGVPKRLTFDEIQSKTYMEVKGTGTANQCPTIEGGLESFAIKPGKYYAKKFCLEPTSFTVKAEGVSKNSTPDFQNTKLMTRLTYTLDEIEGPFEVASDGKVKFLEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPESFGGDFLVPSYRGSSFLDPKGRGGSTGYDNAVALPARGDDEELAKENSKNTAASLGKITLSVTKSNPESREVIGVFESIQPSDTDLGAKTPKDVKIQGIWYAQLEE >fgenesh1_pm.C_scaffold_7000308 pep chromosome:v.1.0:7:1632374:1636576:-1 gene:fgenesh1_pm.C_scaffold_7000308 transcript:fgenesh1_pm.C_scaffold_7000308 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAKTSPTTTTLLKTLHNNSMSQDYHQGGIFNFSNGFDRSDSPNLTTQQKQEHQRVEMDEEPSVAGGRIPVYESAGMLSEMFNFPGSSGGGRDLDHGQSFRSNRQLLEEQHQNIPAMNATDSATATAAAMQLFLMNPPPPQQPPSPSSTTTTRSHPNSSTLHMLLPNPSTNTTHHQGYTNHMSMHQLPHQHHQQMTWQYSSSSDHHHHNNSQTEIGTVHVENSGGQGLSLSLSSSLEAAAKAEEYRNIYYGANSSNASPHHQYNHFKTLLANSSQHQHQVLNQFRSSPAASSSSIGAVNILRNSRYTTAAQELLEEFCSVGREFLKKNKHGNSSNPNTSGGDGGGGSSPPSAGAVKDHPPLSASDRIEHQRRKVKLLTMLEEVDRRYNHYCEQMQMVVNSFDIVMGHGAALPYTALAQKAMSRHFRCLKDAVAAQLKQSCELLGDKDAAGISSSGLTKGETPWLRLLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQESKEREREREEELEENEEDQETKNSNNDKSTKSNNDESNFTAARTTSQTPTTTAPDAAVATGHRLRSDINAYESDPSSILLPSSYSNAAASAAVSDDLNSRYGGSAAFSAVATCQQGVGGFDDADMDGVNVIRFGTNPTGDVSLTLGLRHAGNMPDKDASFCVREFGGF >fgenesh1_pm.C_scaffold_7000309 pep chromosome:v.1.0:7:1645553:1648185:1 gene:fgenesh1_pm.C_scaffold_7000309 transcript:fgenesh1_pm.C_scaffold_7000309 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKILKGSSHKFSDGQCNGRYREDRNLEGPRYSAEGSDFDKEEIECAIALSLSEQEHVIPQDDKGKKVIEYKSETEEDDDDEDEDEDEEYMRAQLEAAEEEERRVAQAQIKEEEKRRAEAQLEEIEKQLAKARLEEEEMRRAKAQLEEDEQLAKAIQESMNVGSPPRYDLGNILQPYPFLIPSSHRICAGCQAEIGHGRFLSCMGGVWHPECFCCHACDKPIIDYEFSMSGNRPYHKLCYKEQHHPKCDVCHNFIPTNPAGLIEYRAHPFWMQKYCPSHERDGTPRCCSCERMEPKDTKYLILDDGRKLCLECLDSAIMDTHECQPLYLEIREFYEGLHMKVEQQIPMLLVERSALNEAMEGEKHGHHHLPETRGLCLSEEQTVTTVLRRPRIGAGYKLIDMITEPCRLIRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLNGYPNLRPEVEEGICQVLAHMWLESETYAGSTLVDIASSSSSSSSSAVVSASSKKGERSDFEKKLGEFFKHQIESDSSSAYGDGFRQGNQAVLKHGLRRTLDHIRLTGTFP >fgenesh1_pm.C_scaffold_7000311 pep chromosome:v.1.0:7:1653630:1654349:-1 gene:fgenesh1_pm.C_scaffold_7000311 transcript:fgenesh1_pm.C_scaffold_7000311 gene_biotype:protein_coding transcript_biotype:protein_coding MKMARASASASFVDGKIYVFGGCREDADSSNWAEVFDPIYQTWGKFNTPKMAHNINQSVVIEEKKVYSVDEDDQSFNFLPSEGRLWKRGKKDSKLGSRNDWCVIGKLLYCRGGTRGRILWCQPDELDWKEVKGLEELQLYLSCTRYIFRRTSDKRPSKIQVKYDISKLCSNSSGNIVIFWSAHIGDSESMDLWSAEISMERREGGEIWGKIEWSNAVFKLDPLSNSYSVKVLFSASVRL >fgenesh1_pm.C_scaffold_7000331 pep chromosome:v.1.0:7:1736823:1739051:1 gene:fgenesh1_pm.C_scaffold_7000331 transcript:fgenesh1_pm.C_scaffold_7000331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIB family protein [Source:UniProtKB/TrEMBL;Acc:D7MBF5] MKCPYCSSTQGRCATTSSGRSITECSSCGRVMEERQTQNHHLFHLRAQDTPLCLVTSDLQTATQPSLEDEEDPFEPTGFITAFSTWSLEPSPIFARSSLSFSGHLAELERTLELASSTSNSNSSTVVVDNLRAYMQIIDVASILGLDCDISEHAFQLFRDCCSATCLRNRSVEALATACLVQAIREAQEPRTLQEISIAANVQQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQELATHIGEVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKITGLTEVTLRKVYKELLENWDDLLPSNYTPAVPPEKAFPTTTISTTRSTTPRAADPPEPSFVDRDKPSVKPIETSDHTYQQPKGKEDKQPKFRQPWLFGTASVMNPGEMISEPAKPNNMDYEKQQLDKQQQLGDKETLPIYLRQHNQFPSNPSPSTGISTINWSFRPSGSPGSSSNLPVVHPPKLPPGYAEIRGSGSQTGSRNANNPHGDFC >fgenesh1_pm.C_scaffold_7000336 pep chromosome:v.1.0:7:1764453:1765925:-1 gene:fgenesh1_pm.C_scaffold_7000336 transcript:fgenesh1_pm.C_scaffold_7000336 gene_biotype:protein_coding transcript_biotype:protein_coding MMLMMVLILTWQKQCYGWGTETAEDMVRNEAEHAKNAAETAHDTKEKTASWAGWVSDKISTGLGSKKDEAEEAAGSAKNYAYDKAGSAYDNVGYAKDFASDKAGSVYDSAQNAKYYAYDKAGDAKDIAYDKTGQAKDMAYDKAGSAYEKAGQARDMAYDKAGQAKDMVYDKVGSAYDKAGQAKAKDMAYDKAGSASEKAGQAKDFAYDKAAHAKDAAYDKAEDVIKMATDKSGEAKDNAYGTYKRFKEGSNNAKDMESDKAQDVSETAGRAMDYAKDKATDAYESGSEAARRFDEAMHKVGERYGAAKDSMSEKTKEAYESAKEKASDAAGEYGTYVRDRSAEL >fgenesh1_pm.C_scaffold_7000337 pep chromosome:v.1.0:7:1768367:1769418:1 gene:fgenesh1_pm.C_scaffold_7000337 transcript:fgenesh1_pm.C_scaffold_7000337 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSTKGRQKIEMKKMKNESNLQVTFSKRSFGLFKKASELCTLCGAEILMIVFSPGGKVFSFGHPSVQDLIHRFENPNYNSIIVLTTQEKEKNKRMVLDIMKESREQRGNWYEKDVKDLDMNETNHLISALQDVKKKLVSEMSQQYSQVNVSQNYFGQSSGFIGGPNVDVGIDLFDQRRNTFNYNPNMAVFPNHTPMFGYNNDGVIVPISNMNYMSSYNFNQS >fgenesh1_pm.C_scaffold_7000343 pep chromosome:v.1.0:7:1801243:1806170:1 gene:fgenesh1_pm.C_scaffold_7000343 transcript:fgenesh1_pm.C_scaffold_7000343 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSHSRNPNRSSLSKKPYNGGNNAGGFSYNSGKTANTTTTTTYDNVFGGPPRFGAPTLSPRLEDYCEIFAGFNGSSRAAVSSIPVLDLPLVDDRDVYFDVRSHGFDYREVFGGFNDLDLAPSYEELFLQQRSPVVGDGDSSDDAWTPEEVESCSGGTEHSGKSPCFSNGRDSYDSIDGSTEFKISYNKASQISGGDTNMSSSGVIREADLGAIPDKYYSSEPFVTVSEIGLKTHPTGIPPSSRAAPILKSDFRSSASNSKSTGSQGSADSSSPTFFDVEVDANSAAVREAMVKAEAKLKSAKELLERKRDGARTTAKPTSTKNRIAEEGKSSHTAGLPVVKTNIDVARNSLRDKRGSKSLSSQGSANSDGNDEWKEANQFVELVRTELPRNADESSGGKDVSIPLNAEFSDQELTWAANVDWEKQPRRAKGDKEDHEARRLPKHHGTRKVASRHKRHENKLAEKAPKEPKKEKSRHVEMGTNLPDHGGIVKHRNLLRPEENKLFTEKPAKQKKELHCEEKTKGIQNQQSDRIPHRQAVEKNQEFVYDWEQNARKLREALGNESTLEVSLELNGNGKKLGMCGESETKLNDALKRMEEETRIKEARVKEENDRREREAFEKAENVKRLKAALEQEEKERKIKEAREKAENERRAVEASEKAEQERKMKEQQELELRLKEAFEKEEKNRRMREAREKADHERNMRVALEQEKERRIKEAREKEENERRIKEAREKAELEQRLKATLEQEEKERQIKECQEREENERRAKEVLEQAENERKLKEALEQKEKERRLKETREKEENEKKLKEAIELEEKEKRLIEAFERAEIERRLKEDLEQEEMRMRLQEAKEREEREEREEREERERLHRENQEHQENERKQHEYSGEESDEKERDACEMEKTCETTKEAHGEQSSNESLSDILEEDESIDNHKSMNKQNEEEGPRQRESMSEETCPWKVFEKNLKDASQKEGTNELDAESKLFERNEETPQLGENGGYNQRNGESSEESTYVIENIIGGKLQQKSKNSETSKDASVLKRDNGLKTEVEERSEDDVGEDQNVRKAGVGRDQRNPEESKSAPKTSSGFRNHENKFTHQQERGNIYETQARLNQDAKLERPLPSRVSVQREKEAERLKRERDLEMEQLRKVEEEREREREREKDRMAFDQRALADARERLEKACAEAREKSLPDKLSMEARLRAERAAVERATAEARERAAEKAAFEARERMERSVSDKQCQSSGFFGERMERSVSDKQFQNSLSFGASRYQNSTGTEAESPQRYTSRLERHRRTADRVRIAETLDTEVKRWSSGKEGNIRALLSTLQYILGPESGWQPLPLTEVITSAAVKRAYRKATLCVHPDKLQQRGANIHQKYICEKVFDLLKEAWNRFNSEER >fgenesh1_pm.C_scaffold_7000357 pep chromosome:v.1.0:7:1885090:1887270:1 gene:fgenesh1_pm.C_scaffold_7000357 transcript:fgenesh1_pm.C_scaffold_7000357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7MBM6] MRMNKIILVLVFLSITTVINGGTTSKFFRKAQPSTEMPLKTFPSPAGHNAPEQVHIIQGDYNGRGMIISWVTPLNLAGSNVVTYWKAVSGDVKSEKKRAHASTSSYRFYDYTSGFLHHATIKGLKYDTKYIYEVGTDESVRQFSFTTPPKVGPDVPYTFGIIGDLGQTYASNETLYHYMSNPKGQAVLFPGDLSYADDHPNHDQRKWDSWGRFVEPCAAYQPFIYAAGNHEIDFVPNIGEPHAFKPYTHRYHNAYKASKSTSPLWYSIRRASAHIIVLSSYSAYGKYTPQYVWLDQELKKVNREETPWLIVMVHSPWYNSNNYHYMEGESMRAMFESWFVNSKVDLVLSGHVHSYERSERVSNIKYNITNGLSSPVKDPSAPIYITIGDGGNIEGIANSFTDPQPSYSAYREASFGHAVLQIFNKTHAFYTWHRNQDNEPIAADSIMLHNRYFFPVEELVSGNIRA >fgenesh1_pm.C_scaffold_7000364 pep chromosome:v.1.0:7:1946797:1947192:1 gene:fgenesh1_pm.C_scaffold_7000364 transcript:fgenesh1_pm.C_scaffold_7000364 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIRDFGRSPENGSKKARRLVYPKAWVIRDRISATAIASSLFIASVVVFRRRDSQRLSLVDLRLVERDREQCAGRISLRRRQIRRSFHHRTRNERLIYRSAKRKHGGEKFVESQITVKFRNPEKIDSVSI >fgenesh1_pm.C_scaffold_7000373 pep chromosome:v.1.0:7:2039802:2042431:1 gene:fgenesh1_pm.C_scaffold_7000373 transcript:fgenesh1_pm.C_scaffold_7000373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 18 [Source:UniProtKB/TrEMBL;Acc:D7MBP9] MGLCFSSPKATRRGTSRRNPNLHSPTQGKASNKNKKKTKKSKNKIQWRHGGGIPYGKRIDFGYAKDFDNRYIIGRLLGHGQFGFTYVATDNNNGNRVAVKRIEKAKMTQPIEVEDVKREVKILQALGGHENVVGFHNAFEDNNYIYIVMELCEGGELLDRILAKKDSRYTEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSTEEGSSLKATDFGLSDFIKPGMKFQDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTQDGIFNEVMRKKPDFREVPWPTISNGAKDFVKKLLVKEPRARLTAAQALSHPWVKEGGEASEVPIDISVLNNMRQFVKFSRLKQIALRALATTIDEDELDDLRDQFDAIDIDKNGSISLEEMRQALAKDVPWKLKDARVAEILQAIDSNTDGLVDFTEFVVAALHVNQLEEHDSEKWQQRSRAAFDKFDIDGDGFITPEELRLQTGLKGSIEPLLEEADIDKDGRISINEFRRLLRSASLKSRNVKSPPGYQLSQKM >fgenesh1_pm.C_scaffold_7000381 pep chromosome:v.1.0:7:2067524:2068343:1 gene:fgenesh1_pm.C_scaffold_7000381 transcript:fgenesh1_pm.C_scaffold_7000381 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLHLPPYASVLGFILLLLRDGVKCTTFKLVNKCDFPEWPGILSGSGSPKLDTTGFELSKDTSRTFRAPADFYDVSLVDGYNLPMIVEGSGLCPTTGCVTDLNQNCPTKLKAKSGLACRSACEAFGTPEYCCSGAYSSPGTCKPSIYSQVFKSACPKSYSYAYDDATSTFTCSDADYTITFCPNLSR >fgenesh1_pm.C_scaffold_7000382 pep chromosome:v.1.0:7:2069433:2071147:-1 gene:fgenesh1_pm.C_scaffold_7000382 transcript:fgenesh1_pm.C_scaffold_7000382 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTSSSSSSSSSALRWKILRQALLRRSDSQSQAETNRISRKATQGFNLIPCHVVDSSPQSDKSREACLCYTFPITGSPKLYLTQRVDNCSDLNDFEISNRYNIDNTGLVCQWPSEEVLAYFCMSQADRFRGKRVIELGSGYGLAGLVIAAVTEASEVVISDGNPQVVNYIKRNIESNSMAFGGTSVKAMELHWNQHELPELTNTFDIIVASDCTFFKEFHKHLARTIKMLLKAKEPSEALFFSPKRGDSLDKFLKEIEDIGLHYNLTENYDAQIWKRHETLVKGDDAWPNYDKNHCYPLLIQVTNHI >fgenesh1_pm.C_scaffold_7000388 pep chromosome:v.1.0:7:2096413:2098595:1 gene:fgenesh1_pm.C_scaffold_7000388 transcript:fgenesh1_pm.C_scaffold_7000388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:UniProtKB/TrEMBL;Acc:D7MBR4] MACNSSGCESGCYDREKDNGSKISVEDAVAGGGNQESVCVKCKCNEPMTFGDGGSDDGRFCAECFRNNVFGKFRLAVTSHAMITPSDNVLVAFSGGSSSRVALQFVHELQIKALKNYEASRDRSLPVFGVGVAFVDETAAFPALSTETVDASEWIRSTVSSLSPPAKDLHVVPVESVFGSDSLDARDRLVKLLDSVPDDTGKEDLLLHLKMLTLQKVASENGYNRLVVGSCTSRIASHVLSATVKGRGYSLSADIQHVDARWKVPIVLPLRDCVRLEITRLCHLDGLKTVELACRSQCGINDLVSSFVALLQEENPSRECTIVRTAAKLTPFYFNKIPETDDSNVPMATQRRLKRFNLKYDGSMTTEAFCPICNGPLNRSDSSDLDTFEEGQEADVLYAACCSSCRFQILPEDGSYLEQFSSFLPDHMISQVKHQKVDSQAHLREKIKDCLLLDDEEVV >fgenesh1_pm.C_scaffold_7000399 pep chromosome:v.1.0:7:2171891:2173445:-1 gene:fgenesh1_pm.C_scaffold_7000399 transcript:fgenesh1_pm.C_scaffold_7000399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7MBS7] MAKKPKHLRNQPRKSFSTQAFTVLILGLFVILILVGLGILSLPNTNKSSSRPMDLTTIVQTIEERESYGDEEDGNGDRWLEVISWEPRAFVYHNFLTNEECEHLISLAKPSMVKSKVVDVKTGKSIDSRVRTSSGTFLKRGHDEIVEEIENRISDFTFIPIENGEGLQVLHYEVGQKYEPHHDYFFDEFNVRKGGQRIATVLMYLSDVDEGGETVFPAAKGNISDVPWWDELSQCGKEGLSVLPKKRDALLFWSMKPDASLDPSSLHGGCPVIKGNKWSSTKWFHVHEYN >fgenesh1_pm.C_scaffold_7000409 pep chromosome:v.1.0:7:2228233:2229111:-1 gene:fgenesh1_pm.C_scaffold_7000409 transcript:fgenesh1_pm.C_scaffold_7000409 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPEKSKINEEDIIENSDESDYLWSDEEGEIREIVLALPALRLSESLHVDETIRNEAAAAANLIVAAVEEAAGKTDQIASGIGNASGTPNSKKIGRPRVLLEAEAAASGSTAIGTTSTEREVVKKPRRKGSTQLTHLPQGPPMCNVCGKGFASWKAVFGHLRQHKDRGYLGFLPPPTFNAAEEGFGGVATVSSAVGVATASSAVGVAIASSGGGVATACAAGGVATSSSAGTGAVGIDLNKDPIEEEDKAPGPKRKFDLNRSPPQDDEEEKDEKAE >fgenesh1_pm.C_scaffold_7000426 pep chromosome:v.1.0:7:2309398:2315443:-1 gene:fgenesh1_pm.C_scaffold_7000426 transcript:fgenesh1_pm.C_scaffold_7000426 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIKPLPEGVRHSMRSGIIMFDMSRVVEEIVFNSLDAGATKVSIFVGVVSCSVKVVDDGSGVSRDDLVLLGERYATSKFHDFTNVETAGESFGFRGEALASISDISLLEVRTKAIGRPNGYRKVMKGSKCLHLGIDDDRKDSGTTVTVRDLFYSQPVRRKYMQSSPKKVLESIKKCVFRIALVHSNVSFSVLDIESDEELFQTNPSSSAFSLLMRDAGTEAVNSLCKVNVTDGMLNVSGFECADDWKPTDGQQTGRRNRLQSNPGYILCITCPRHLYEFSFEPSKTHVEFKKWGPVLALIERIVLASWKKDRNLELFGGGADLLAQGDRQDLIDNKIRLQNDWPEAMEPTKKKLKRSNDHAPCSSVLSPSAEFKRDGDYFSQGKDVWSPQYEVELKIQSPKEQGTVAGFESRTDSLLQSRDVEMQTNEDFPQVTDLLETSVVTDSKCRKQFLTRCQITTPLNINHDFMKDSDVLNFQFQGLKDELDVSNCIGKHLLRGCSSRVSLTLHEPKLSHGEGSESVMPMILNEKQSSPRVLETREGGSYCDVFSDRTPNCSLGSSWQDTDWFTPQCSSDMGSVGIGKDSNIIPIGTAEFGSYEDKVGREKYHSYVNVGNSVTGSFSLSSEWSPMFSTPSATKWESDYQKGCRILERSLRLGRMPDPEFCFSAANNINFDHEVIPEMDCWKTGADSFTDIQNSIKSDEIFCKSSWEHADDVGIEQYSIRKDKFSYGTQYHVGKQRSKRSRSAPPFCREKKRFISLSCISDTKSKNSDPSEPDDLECLTQPCNASHMHLKCNILDDNIQETEKRLSSASDLKASAGCRTVHSETQDEDGGEDFSSEENLDPIKSTTKWRHNCAVSQVGKESHELHDQDSVLDISSGLLHLRSDESLVPESINRHSLEDAKVLQQVDKKYIPIVACGTVAIVDQHAADERIRLEELRKKVLPEMGYQLLQSYAEQIRDWGWICNINVEGSTSFKKNMSIIQRKSTPITLNAVPCILGVNLSDVDLLEFLQQLADTDGSSTIPPSVLRVLNSKACRGAIMFGDSLLPSECSLIIDGLKQTSLCFQCAHGRPTTVPLVNLKALHKQIAKLSGRQVWHGFQRREITLDRAKSRLDEAKS >fgenesh1_pm.C_scaffold_7000440 pep chromosome:v.1.0:7:2379042:2381813:-1 gene:fgenesh1_pm.C_scaffold_7000440 transcript:fgenesh1_pm.C_scaffold_7000440 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g35335 [Source:UniProtKB/TrEMBL;Acc:D7MD15] MEYRKIKDEDDHDVGADIESVKGKSHTVASSNIAMATLGVGSSERINWKRKGVVTCALTILTSSQAILIVWSKRAGKYEYSVTTANFLVETLKCALSLLALTRIWKNEGVTDDNRLSTTYDEVKVFPIPAALYLFKNLLQYYIFAYVDAPGYQILKNLNIISTGVLYRIILKKKLSEIQWAGFILLCCGCTTAQLNSNSDRVLQTSLPGWTMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMAFNAVAIVIQDFDAVANKGFFHGYSFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFNYHLSLAFFLGSTVVSVSVYLHSAGKLR >fgenesh1_pm.C_scaffold_7000451 pep chromosome:v.1.0:7:2440267:2442989:1 gene:fgenesh1_pm.C_scaffold_7000451 transcript:fgenesh1_pm.C_scaffold_7000451 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQSLCSGENPLGKDGAQPQPLSQNHHGGATAADNGGIGSGGASGVGGGGGGGGIPSFSEFSFADLKAATNNFSSDNIVSESGEKAPNLVYKGRLQNRRWIAVKKFTKLAWPEPKQFAEEAWGVGKLRHNRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVGYYIAEALDYCSTEGRPLYHDLNAYRVLFDEDGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVTYSFGTVLLDLLSGKHIPPSHALDMIRGKNIILLMDSHLEGKFSTEEATVVVELASQCLQYEPRERPNTKDLVATLAPLQTKSDVPSYVMLGIKKQEEAPSTPQRPLSPLGEACSRMDLTAIHQILVMTHYRDDEGTNELSFQEWTQQMKDMLDARKRGDQSFREKDFKTAIDCYSQNSYGYIHCFCGQFIDVGTMVSPTVFGRRSLCYLLCDQPDAALRDAMQAQCVYPDWPTAFYMQSVALAKLNMNTDAADMLNEAAQLEEKRQRGGR >fgenesh1_pm.C_scaffold_7000458 pep chromosome:v.1.0:7:2471435:2473705:1 gene:fgenesh1_pm.C_scaffold_7000458 transcript:fgenesh1_pm.C_scaffold_7000458 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase family 2 protein [Source:UniProtKB/TrEMBL;Acc:D7MD37] MEETKRRVLDEEAKASLDIWRYIFGFADIAAAKCAIDLKIPEAIENHPSSQPVTLAELSSAVSASPSHLRRIMRFLVHQGIFKEIPTKDGLATGYANTPLSHRMMITKRDGKSLAPFVLFETTPEMLAPWLRLSSVVSSPFNGSAPPPFDAVHGKDVWSFAQDNPFLSDMINEAMACDARRVVPRVAGACHGLFDGVVTVIDVGGGTGETMGILVKEFPWIKGFNFDLPHVIEVAQVLDGVENVEGDMFDSIPACDAVIIKWVLHDWGDKDCIKILKNCKEAVPPNNGKVLIVESVIGENKKTMIVDERDDKLEHVRLMLDMVMMAHTSTGKERTLKEWDFVLKEAGFARYEVRDIDDVQSLIIAYRS >fgenesh1_pm.C_scaffold_7000507 pep chromosome:v.1.0:7:2700863:2701528:-1 gene:fgenesh1_pm.C_scaffold_7000507 transcript:fgenesh1_pm.C_scaffold_7000507 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPLVKKLGFIFLLISASAFALSSAGRSSILIYSQEDDHPEVVERRIHEHERILRMNSVDYGHFSPKPKLVRPPFKLIPN >fgenesh1_pm.C_scaffold_7000512 pep chromosome:v.1.0:7:2736462:2737118:1 gene:fgenesh1_pm.C_scaffold_7000512 transcript:fgenesh1_pm.C_scaffold_7000512 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFDQATYDKLLSEAPKFKLITPSILSDRLRINGSLARRAIRELMAKGTIRMVSAHSSQQIYTRATHG >fgenesh1_pm.C_scaffold_7000531 pep chromosome:v.1.0:7:2817345:2819871:-1 gene:fgenesh1_pm.C_scaffold_7000531 transcript:fgenesh1_pm.C_scaffold_7000531 gene_biotype:protein_coding transcript_biotype:protein_coding description:IBR domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MF22] MDDYFSAEEEDCYYSSDQESLDGIDNEESELHPVSSKKSNTQVITQESLLAAQREDLLRVMDLLSIKEHHARTLLIHYQWDVEKLFAVFVEKGKDSLFSGAGVTVFDCQYGNSSFSRSTQMSCDVCIEDVPGDQMTRMDCGHCYCNNCWTEHFTVQINEGQSKRIRCMAHQCNAICDEDIVRNLVSKKRPDLAEKFDRFLLESYIEDNKMVKWCPSTPHCGNAIRAEDDKLCEVECSCGLQFCFSCLCQAHSPCSCLMWELWRKKCRDESETINWITVHTKLCPKCYKPVEKNGGCNLVRCICGQCFCWLCGGATGSDHTYRSIAGHSCGRYQDDKEKQMERAKRDLDRYTHYHHRYKAHTDSSKLEDKLRDTIHEKVSKSEKRELKLKDFSWVTNGLDRLFRSRRVLSYSYAFAYYMFGEELFKDEMTPEEREMKKNLFEDQQQQLESNVEKLSQFLEEPFDEFSDDKVMAIRIQIINLSVAVDTLCKKMYECIENDLLGSLQLGIHNISPYRSKGIEQAAQFYASWNSKGADKFLPLDSGTSGVTSRPEQGSGSRSSEDTICSSSQKRPKKEGSFLNNKATLLDLNLPADFIDPN >fgenesh1_pm.C_scaffold_7000547 pep chromosome:v.1.0:7:2873146:2877058:-1 gene:fgenesh1_pm.C_scaffold_7000547 transcript:fgenesh1_pm.C_scaffold_7000547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7MF38] MIGNSVKEEVKKQFWLSAPLIGVSLLQYSLQVISVMFVGHLGSLPLSAASIATSFASVTGFTFLMGTASALETLCGQSYGAKMYGKLGIFMQRAMFVLLILSIPLSMVWFYTEDILVFVHQDKSIARLAGSYARYMIPSIYAYALLQCLNRFLQTQNNVFPVFVSSGITTCLHVLLCWVFVWKSGLGHRGAALAISVSYWVNVILLSCYVKFSASCSQTWTGFSKEALSHIPAFMKLGFPSAVMVCLELWSFELLVLLSGLLPNPVLETSTLSICLNTSLTVWMIPVGLGGTASTRISNELGAGNPKGAKLAVRVVVATVVVEGIMIGSVLLAIRNKLGYAFSSDPKVIKYVASMIPIVAAGNFLDGFQCVLSGVARGCGWQKIGACVNLGSYYLVGVPLGLLLGFHLHFGGRGLWLGIVSALVVQVLSLSIITLVTNWDKEQTMRPSTFAAINFAHVTFCCQKSCK >fgenesh1_pm.C_scaffold_7000552 pep chromosome:v.1.0:7:2896727:2897636:1 gene:fgenesh1_pm.C_scaffold_7000552 transcript:fgenesh1_pm.C_scaffold_7000552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress enhanced protein 1 [Source:UniProtKB/TrEMBL;Acc:D7MF43] MALSQVSASLAFSLPNSGITNPSSACRVHIPQLAGIRSTFASGSPLLPLKLSMTRRGGRNRAASVSIRSEQSTEGSSGLDIWLGRGAMVGFAVAITVEISTGKGLLENFGVASPLPTVALAVTALVGVLAAVFIFQSSSKN >fgenesh1_pm.C_scaffold_7000553 pep chromosome:v.1.0:7:2904421:2905744:1 gene:fgenesh1_pm.C_scaffold_7000553 transcript:fgenesh1_pm.C_scaffold_7000553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclase family protein [Source:UniProtKB/TrEMBL;Acc:D7MF45] MTRSVNFPVFILAVALSLSSSLLAVYEGGKIYDISHRYTPEIPAWESSEGLGKTFLRLAASMKNGSFANVSEMKLSVHSGTHVDAPGHFWDNYYDAGFDTDSLDLQVLNGPALLVDVPRDKNITAEVMESLHIQRGVRRVLFRTSNTDKRLMFKKEFDSSFAGFMTDGAKWLVENTDIKLIGLDYLSFAAFEESPATHRVILKGRDIIPVEALKLDGVEVGTYSLHCLPLRLVGAEGAPTRCILIK >fgenesh1_pm.C_scaffold_7000563 pep chromosome:v.1.0:7:2960364:2964685:-1 gene:fgenesh1_pm.C_scaffold_7000563 transcript:fgenesh1_pm.C_scaffold_7000563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7MFS0] MEISSAESLSISGAAASEVVSEPSVSSSSSSSSPNQASPNPFSTMDPAVATATGSRYVDDDEDEEDVCRICRNPGDADNPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHPFSFSPVYADNAPSRLPFQEFVVGIAMKACHVLQFFLRLSFVLSVWLLTIPFITFWIWRLAFVRSFGEAQRLFLSHISTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQEEREDDGDRNGARAARRPAGQANRNLAGEGNGEDAGDQGAAAGQIVRRNPENVLARLDIQAARLEAQVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFTLGRVILYHVSWLFAAARGPAVTASLHLMDTGLSLENITLKSALTAVSNLTNEGQENGLLGQLTEMMKVNGSELNGANNTLSVATDLLKGSAVGASKLSDITTLAVGYMFIVFLVFLYLGIIALIRYAKGEPLTVGRFYGIASIVEAVPSLLRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTVRMFGKTMSHRVQFLSISPLASSLVHWVVGIMYMLQISIFVSLLRGVLRPGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAIRMAPSIFPLDISVSDPFTEIPADMLLFQICIPFIIEHFRLRTTIKSLLRCWFTGVGWALGLTDFLLPRPEDNIGQDNGNGEPGRQNRAQVLQVGGPDMAMAALPVADDPNRSRLRAGNVNTGEEYEDDEEQSDSDRYNFVVRIILLLLVAWVTLLLFNSALIVVPVSLGRALFSAIPILPITHGIKCNDLYAFVIGTYAFWTTISGARYAIEHVKSKRTSVLLNQIWKWCGIVFKSSVLLAIWVFIIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMLPIVDDSWRAKFERVREDGFSRLQGLWVLREIVFPIVMKLLTALCVPYVLARGVFPMLGYPLVVNSAVYRYAWIGCLSVSLFCFCAKRCHVWFRNLHNSIRDDRYLIGRRLHNFGEAALANQNQNQSSEDAGDGVLIGREGDVDNGLRLRRAIQQEA >fgenesh1_pm.C_scaffold_7000568 pep chromosome:v.1.0:7:2983345:2986194:-1 gene:fgenesh1_pm.C_scaffold_7000568 transcript:fgenesh1_pm.C_scaffold_7000568 gene_biotype:protein_coding transcript_biotype:protein_coding MLRILRIRVVSVSEELTSIQQWRIRPGTDSRPDPFRFFRGLQKGFCVGVLPDGVDRNSEAFSSNSIAMEGILSELRSHIKKVLAGGGEEAVKRNRSRNKLLPRERIDRLLDPGSSFLELSQLAGHELYEEPLPSGGIITGIGPIHGRLCMFMANDPTVKGGTYYPITIKKHLRAQEIAARCRLPCIYLVDSGGAYLPKQAEVFPDKENFGRVFYNESVMSSDGIPQIAIVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKFLENVKLNEKAFEQAATGEEVSAEDLGGATVHCTVSGVSDYFAQDELHGLAIGRNIVKNLHMAAKQGMEGTFGSKNLVYKEPLYDINELRSIAPVDHKQQFDVRSIIARIVDGSEFDEFKKQYGTTLVTGFARIYGQTVGIIGNNGILFNESALKGAHFIELCSQRKIPLVFLQNITGFMVGSRAEANGIAKAGAKMVMAVSCAKVPKITIITGASFGAGNYAMCGRAYSPDFMFIWPNARIGIMGGAQVNHTEPKCLNRNHTESKYVYNLISSAAGVLTQIERATKKRQGIKWTEEEEEAFKKKTVDAYEREANPYFSTARLWDDGVIDPCDTRKVLGLCLSAASNRPLEDTRFGVFRM >fgenesh1_pm.C_scaffold_7000577 pep chromosome:v.1.0:7:3037537:3044600:-1 gene:fgenesh1_pm.C_scaffold_7000577 transcript:fgenesh1_pm.C_scaffold_7000577 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGSSNLREEMEVTTTADVFPEVNAVTETENLDRNELVLADGVVTVEETNIEADNRGERQIESETPPEDDVCPICFGSFTVPCRGNCGHWYCGNCILQYWNYAAISRPCKCPMCVRHITKLSPEASLQERQEQEVKEVLDKVRRYNRLFVGGLTGFVQMFVSTLYTAAEFNFIPTGGFRIVTVFDYAAIAMILILRIVGIYRRRRLAQQLISISFAVSASKLNFENHFSDLSNRSSGGRRMGVRAISQEAFDDLVRENVEDLGIITCVPGESSIKDNPVIACLDRLKEFDSVSIDGPLRDEDFDEISSLFNILNELCSSQESGNAAIAIKHGAVDLTCSICSKIKTSTRSNRVVVPCFKALAVLIRDIQSTEMFRNCTGPNIVVDLLRGSSSDSDLLDAGFAVVAAAATGNEVVKQLFMELKIDEFILQVLSRESKTTIRALYDAICALLTPDDYRVVASQVYGYARTFAKLGIATALTEALQAGIGSDSLVSASTALKAIAVNDEICKSIAETGGIDTLLRCINASGEQGNKTAAKTCCSLLSKLAGSDSNKSTIVEKRGLDKLITLAQRFSDDPLVIQEVMLIISIICLRSPDHAAKAIEAGAGDLAVQAMKRFPVAAQMQRNACNMIRNIAVRNAENRTILLANGIEKLIRTAKANNETCRAAATDALRDLGLDNYNN >fgenesh1_pm.C_scaffold_7000608 pep chromosome:v.1.0:7:3205090:3206037:-1 gene:fgenesh1_pm.C_scaffold_7000608 transcript:fgenesh1_pm.C_scaffold_7000608 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLHRFLLEDMSNETLSDSVCKFNCLQELKSKGYCPSYCLDECPSICEIVVVTGFSPPPVIHHDDNLKRILIISASSIITTLFLLTLLVLCFKFYYRRRRLELAISRRWSMDEARNWDLDGPAPVIVDHPIWHIRTIGLNPTVISSIKVCQYSKKDGVVEGTDCSVCLSEFEEEETLRLLPKCKHAFHLSCIDTWLRSHTNCPLCRAPIVVANTMIDDHSEGLEEIRVSIPEEIDGDNIDEEIEEERDGFVSNISREHGESQQRVRRSVSLGSLSGLRASEVVVGREKEKLKRGNNIGSGRSSFLKRSISYSGK >fgenesh1_pm.C_scaffold_7000616 pep chromosome:v.1.0:7:3234087:3239063:1 gene:fgenesh1_pm.C_scaffold_7000616 transcript:fgenesh1_pm.C_scaffold_7000616 gene_biotype:protein_coding transcript_biotype:protein_coding MADHIVLSLQAPRFLIFPCSLHRSWRFPGYSVPEFRFSSQLQLANSISPSKSPASSSSPCPPENSAPEKFDLVSSTQLKDGSHVFRFGDASEIEKYLEAQEKARCVELEKQNAKIAEEASELSRKQGKKLVTSNIEISSEKEETAPSNLSNVIKIKRVRSPTKKKKETVNVSQSEDKVDAKIASVSNLSSIVSVAEAIPTSSTEEEEEVVVSEPINEKEITAKSYNAEPLSSQVMENVSVNKIGDRETNGYQRITENRMEVQAIPSSSSAQQEVVPVSTIEIDDNLNVREKPVKTIEAEENLVAKLTATAAVSPDELVSTSEATDRSVDEIAQKPVIDTSEENLKKTFEAEKNLVVEPTATAAVSSDELISTSEAIHHSVDEIAQKPVIDTSEENPMKTPVEPEATHCSIDEITEKPVVDTSDVENDGENVASTIEDEITVRDDNGSISKTADDTKGEDLQLPVPETASLEPIEVASDRLALAGREDAYFISHHNWIGIADGVSEWSFEGINKGMYAQELMSNCEKIISDEADKISDPVQVLHRSVNETKSSGSSTALIAHLDNNELHIANIGDSGFMVIREGTVFQKSSPMFHHFCFPLHIRQGDDVLKHAEVYHVILEEGDVVIAATDGLFDNLYEKEIVSIVCRSLEQSLEPQNIAELVAEKAQEVGRSETERTPFADAAKEEGYDGHKGGKLDAVTVIVSLDRVRDHGYDNYMEVEKKIRKVIKFHSLILSQPNNTIAISLLDTLARRLGLGFKQHEPGAFLLKFPHVFEIYEHPVQRILYCRLTRKALDQIRHEHEAVLAQIPDVVTRLRKLVMMSNTGRIRLEHVRIARTEFGLPEDFEYSVILKHPQFFRLIDAEETRDKYIEIVEKDPNLSICAIERVREIEYRSKGIDAEDVRFSFVVNFPPGFKIGKYFRIAVWKWQRLPYWSPYEDISGYDLRSMEAQNRLEKRAVACIHELLSLTVEKKITLERIAHFRNVMNLPKKLKEFLLQHQGIFYISTRGNYGKLHTVFLREAYKRGELVVPNDVYLARRRLAELVLMSPRKAKVDAELVSYRNGLDDEDDVE >fgenesh1_pm.C_scaffold_7000617 pep chromosome:v.1.0:7:3239735:3241639:1 gene:fgenesh1_pm.C_scaffold_7000617 transcript:fgenesh1_pm.C_scaffold_7000617 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMNVRFMILLIVMSLVLGFSSAVDFRWRKTAGFSDRFTRAVSSVVFPVHGNVYPLGYYNVTINIGQPPRPYYLDLDTGSDLTWLQCDAPCVRCLEAPHPLYQPSSDLIPCNDPLCKALHLNSNQRCETPEQCDYEVEYADGGSSLGVLVRDVFSMNYTKGLRLTPRLALGCGYDQIPGASSHHPLDGVLGLGRGKVSILSQLHSQGYVKNVIGHCLSSLGGGILFFGDDLYDSSRVSWTPMSREYSKHYSPAMGGELLFGGRTTGLKNLLTVFDSGSSYTYFNSKAYQAVTYLLKRELSGKPLKEARDDHTLPLCWQGRRPFMSIEEVKKYFKPLALSFKTGWRSKTLFEIPPEAYLIISMKGNVCLGILNGTEIGLQNLNLIGDISMQDQMIIYDNEKQSIGWMPADCDELASLKASQVYEY >fgenesh1_pm.C_scaffold_7000622 pep chromosome:v.1.0:7:3263594:3266597:-1 gene:fgenesh1_pm.C_scaffold_7000622 transcript:fgenesh1_pm.C_scaffold_7000622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7M8R3] MESEKNPTTVLSAITRPSCAFLLLVFTALAILSLQISSNSFIPIWIPTSQYHDPVTCSGFFNHDPFPNRKVMSITDFGGVGDGKTSNTEAFRRAVRHLEGFAAEGGAQLNVPMGTWLSGSFNLTSNFTLFLQRGAVILGSKDLDEWPIVEPLPSYGRGRERPGGRHISLIHGDNLTNVVITGENGTIDGQGKMWWELWWNRTLVHTRGHLIELKNCHNILISNLTLLNSPFWTIHPVYCSNVVIRNMTILAPINAPNTDGIDPDSSTNVCIEDCYIESGDDLIAVKSGWDQYGMAVARPSSNIVIRRISGTTRTCSGVGIGSEMSGGIFNITVEDIHVWDSAAGLRIKTDKGRGGYISNITFNNVLLEKVKVPIRFSSGSNDHSDDKWDPKALPRVKGIYISNVVSLNSRKAPMLLGVEGTSFQDVCLRNVTLLGLPQTEKWKCKDVSGYASDVFPLSCPQLLQKKGSISQCSYR >fgenesh1_pm.C_scaffold_7000632 pep chromosome:v.1.0:7:3297759:3300927:1 gene:fgenesh1_pm.C_scaffold_7000632 transcript:fgenesh1_pm.C_scaffold_7000632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M8S3] MTIMTMIMKMAPSKSALIRFNLVLLGFSFLLYTAVFLHPSSSVYFSSGASFVGCSFRDCTPKVVRGVKMQELVEENEINNRDLLTASNQTKLEAPSFMEEILTRGLGKTKIGMVNMEEYDLTNWKRYGETVHIHFERVSKLFKWQDLFPEWIDEEEETEVPTCPEIPMPDFESVEKLDLVVVKLPCNYPEEGWRREVLRLQVNLVAANLAAKKGKTDWRWKSKVLFWSKCQPMIEIFRCDDLEKREADWWLYRPEVVRLQQKLSLPIGSCNLALPLWAPQGVDKVYDLSKIEAETKRPKREAYVTVLHSSESYVCGAITLAQSLLQTNTKRDLILLHDDSISITKLRALAAAGWKLRRIIRIRNPLAEKDSYNEYNYSKFRLWQLTDYDKVIFIDADIIVLRNLDLLFHFPQMSATGNDVWIFNSGIMVIEPSNCTFSTIMSQRSEIVSYNGGDQGYLNEIFVWWHRLPRRVNFLKNFWSNTTKERNMKNNLFAAEPAQVYAVHYLGWKPWLCYRDYDCNYDVDEQLVYASDAAHVRWWKVHDSMDKALQKFCRLTKKRRTEINWERRKARLRGSTDYHWKINVTDPRRRRSYLIG >fgenesh1_pm.C_scaffold_7000664 pep chromosome:v.1.0:7:3522754:3524418:1 gene:fgenesh1_pm.C_scaffold_7000664 transcript:fgenesh1_pm.C_scaffold_7000664 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCLCLSSGGSTDRNQIYEITDYGQENAVLYSDVPQDFGSVSSLAGGKGLNQDAAILHLGYGTQEGALCGVFDGHGPRGEFVSKIVRNQLPSILLSHMNNHSVTRDWKLICETSCLEMDKRILKVKKIHDCSSSGTTAVLAVKHGNQVMVANLGDSRAVMIGTSENGETKVVQLTNDLKPSVPSEAERIKKRNGRVLALESEPHLLRVWLPTENRPGLAMSRAFGDFLLKSYGVIAIPQVSTHQITSSDQFLLLASDGVWDVLSNEEVATVVMKSESEAGAANAVAEAATNAWIQKYPTVKVDDISVVCLPLNNKRPQPQI >fgenesh1_pm.C_scaffold_7000667 pep chromosome:v.1.0:7:3539186:3546931:1 gene:fgenesh1_pm.C_scaffold_7000667 transcript:fgenesh1_pm.C_scaffold_7000667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein [Source:UniProtKB/TrEMBL;Acc:D7M9M4] MISISMVRFCLCFAFFIFISANPKLINSWDETAIRFEPPSPSPAPEPSSVSCVDDLGGVGSLDSTCKLVADLNLTRDLNITGKGNLHVLPGVRLVCQFPGCSISVNISGNFSLAENSSVLAGTFRLAADNAEFGVNSAVDTTGLAGEPPPETSGTPEGVEGAGGGYGGRGACCLSDTTTKIPEDVFGGDVYGWSSLEKPEIYGSRGGSTSNEVDYGGGGGGTVAIEILGYISLNGSVLADGASGGVKGGGGSGGSIFVMAHKMAGNGRLSASGGDGYAGGGGGRVSVDIYSRHSDPKIFFHGGSSFGCPENAGAAGTMYDVISESLTIDNHNKTTVTDTLLLEFPNHRLFTNLYIRNMAKVAVPLRWSRVQVQGLISLSNGGELNFGLPRYASSEFELFAEELLMSNSAIKVYGALRMTVKVFLMLKSRMFIDGGGVAILGTSMLEISNLLVLKESSVIQSNGNLGVHGQGLLNLTGTGDTIEAQRLILSLFYSIQVGAGAVLRGPLQNASTGGLTPKLYCQRQDCPVELLHPPEDCNVNSSLPFTLQICRVEDITVEGLIKGSVIQFHLARTVVVRSSGTISADGMGCKGGVGTGRFLRSGIGSGGGHGGKGGSGCYNHTCIEGGESYGNADLPCELGSGSGNEESTDSVAGGGIIVLGSLEHPLSSLSLEGSITTDGESPRKTLKGLSNSSLGPGGGSGGTVLLFLRTLEIGRSAILSSIGGNGSLKGGGGGSGGRIHFHWSDIPTGDVYHPVAIVKGRVYVRGGMGIIEDNVGGNGTLTGKACPEGLYGLFCEECPSGTYKNVTGSDKALCHLCPANDLPHRAVYVTVRGGVAETPCPYKCISDRYHMPHCYTTLEELIYTFGGPWLFGVLLVVVLLLLALVFSVARMKFVSGDELHGSAPTQHGSQIDHSFPFLESLNEVMETNRVEESQGHMHRIYFLGPNTFSEPWHLSHTPPEEIKEIVYEAAFNGFVDEVNVIAAYQWWEGAIYIVLSVLVYPLAWSWQQSRRRLKFQKLRDFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLAHLDFFLGGDEKRSDLPPPVHQRLPMPLIFGGDGSYMAYYSLQSDDILTSLLSQLVPPTTWYRFVAGLNAQLRLVQQGKLRSTFRSVMRWIETHGNPALKRHGVRVDLARFQALSSSSCQYGILVHTIVDEDASTRTDDETEQQHPWGTQIENSSGDFRENFQPLRSEINHVRHRECGEIIDIGSLQYLKEDKDVLSLISFLIHNTKPVGHQDLVGLVISVLLLGDLTLMLLTLLQLYSISLLEVFLAMFILPLSIIFPFPAGVSALFSHGPRRSAGRTRVYALWNVTSLVNVVVAFVCGYVHYHGSSSGKKIPYLQPWNISMDENEWWIFPVALFLCKVLQSQLVNWHVANLEIQDYSLYSDDSEVFWQS >fgenesh1_pm.C_scaffold_7000687 pep chromosome:v.1.0:7:3665964:3666432:-1 gene:fgenesh1_pm.C_scaffold_7000687 transcript:fgenesh1_pm.C_scaffold_7000687 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVTWFIVSYILMFLVLRGGKEVEAEKLCTTIGDLDGKCSNDGEKMCMRYMTDQSKKKFLSCTCNNVIMLHKYKHYCECKSHCTPK >fgenesh1_pm.C_scaffold_7000698 pep chromosome:v.1.0:7:3730912:3736212:-1 gene:fgenesh1_pm.C_scaffold_7000698 transcript:fgenesh1_pm.C_scaffold_7000698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7M9R5] MENRLVNSNGVGISKKSRSLDLKTLYKSSISKDSVNKSFKRKIRSGDDGDQLKQDKKSRKVVSLSSFKKLGSENEKILDKACNGTTRLHNLEDSKEVGLDEKLCDSNGLQGISVGLAGSTIYVPRRRRDFVGRSRFENGLVQKSAGESDSQEEALVDNIPKVTAEESSAQDQLSKVEEKESDKEIKESNSAAQLQLENGHSNQSPVKNDQLVVVKQRNSNSRKRKSSASNKRLGKEAKSSGDTSGRISKVSREDDEENLEANAARMLSSRFDPKCTQFPSNSVTPGSPSASRLHPLSSVKNSVNPPSELHSSKCVSDDTDDRMLRPRRQNDDGKGKVRKRRHFYEILFSDVDSHWLLNKKIKVFWPLDESWYHGFVDGFDGDKNLHHVKYDDRDEEWINLQGERFKILLFPTEVPGKNQRKRRCSVSKSTQKIKGNDTSSKDEEKQKEKLEDDSCMESEPIITWLARSRHRDKSSTVKAVHKRKKSEVITSNETVKMNGDVVRDTVGQFTDRSASSLALCGLPGPSGNELESSGFRNGSIFPIVYCRRRLHTAKKEIYKGSYDNNVEVLKQFHISKSPDPDVEFLPIDDSGDLELCCPWNDTEQFELSFSLHGVSLMSYFLMVDVDWLSRVTLLLRHGTLVTLWPRVCLEMIFLNNQDGLRYLIFEGSLMEVVQLIFRILMVVDHSNKQGAQGADADLQLPVFAIGLQVSCIPGFQRQLAFQFYSFHEVKHSKWSYLEQNVRRHSLLVKQVSIAECTHDNMKVLQKVMQKRSRHGIRSGLVSRGSSSAKAWPTSVCCKKQNTSPFALPLTARPPTLLLSLHLNMIRELGHDSADFLGTERDLVTDRGCDMADCTNEHSESSLKSKGQSDEPIITSSRAQEAEESKDLHTSSQRQQLGSDSENWVSVSSSVVRHKHETRSKVPVNGISIQVPVSDHCEDGTPQSSNLALNIQGNISSPKATAPRSMWSRSKSSLNGHLSHGWSDSKGDFLHTNLANGPKKRRTQVSYSLPSGGSDSRNKSSLHKGLPNKRIRRSTTDVSRGIQKDLESSLCDANILVTLGDRGWREYGAQISLEPFDNNEWRLAVKISGTTKYSHRAHQFLQPGSVNRFTHAMMWKGGKDWTLEFPDRGQWSLFKEMHEECYNRNSRAALVRNIPIPGIRMIERENSDGTETEYIRSSSKYFRQTETDVEMALDPSRVLYDMDSDDEQCLLRIRECSDAENSGSCEITEDMFEKAMDLFEKASYVKQNDHFTLIEIQELTAGVGSLEAMETIYELWRIKRQRKGMPLIRHLQPPLWEKYLKELKDWELVMSKANTPNSCGSQKKQSPIEKPAMFAFCFKPRGLEVKHRGTKHRSQKKISVYAQHSSTLGDYDVYNSSAGRRPVGFASGDERFLYSNQSYENVNEYPMHPGTYSPRDLGMGYFSSGGNGYHRNHQNKLQRVNGKRNTSERWDGGYSECPSSNLVCYSNGSQRPDLEELQNSTDIDEYKLRDAAGAARRACALAKLKRERAESLRYKADLAIQKAAAALMCAEAVKASSVDLSNNGLESSSEG >fgenesh1_pm.C_scaffold_7000699 pep chromosome:v.1.0:7:3738245:3741773:1 gene:fgenesh1_pm.C_scaffold_7000699 transcript:fgenesh1_pm.C_scaffold_7000699 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGNRRDEGSMPIQNTNLFAALDTLRKKKKSDKAGKSKASSKGQVSQKEPEPQLFWAPTPLKAKAWADIDSDDEDDDYYATSAPPQSVWSTSEASHSDAKDVHAEESESEEDTLDEGDDDDVEEEHEQGTETVHPEAEPEVKKAPEVLAPPKEAERQLSKKERKKKELAELEALLADLGVAPKDENGHEDSQDKEEKKEVNGEGEKKENTTGESKASKKKKKKDKQKELKEVKSNSDAASESAEQEESSSSIDVKERLKKIASMKKKKSSKEVDGASTAAAKEAAARKAKLAAAKKKEKKNYNQQPTIRRVAALNDGEVEMWRRVIGNVAVRRQLHRALSSKSGGSGKSGNVSAAVDSMLLRSLKEHYLEVSKMTPPPKVSPPSPFEIVKGSLEGSTSAVLKKSVGNEEISLFVMRLAHGGDEEDDDGINQLFLHVAVSKPNQADSLHFLCGLYPDALGIHSVSMRPKLEDLEMSDDPTQYTGPSFEELDEKMRDVFHGYLEERGVNESLFPFLQAWLYVKDHRNLLRWFKSVGTFVHENPSAENTA >fgenesh1_pm.C_scaffold_7000700 pep chromosome:v.1.0:7:3742338:3744173:-1 gene:fgenesh1_pm.C_scaffold_7000700 transcript:fgenesh1_pm.C_scaffold_7000700 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLLKQQHRITNQADTFSRFMERSPENNLSRNEHIIIDIPRNAAIASSSSSHDRISNVLEPLQHEDDRPSTVPPMSAPQPATATASSSSSMRSNPRSVRRRRSPLNSGLWISIELFLTVGQIIAAIVVLSLSKHEHPRAPLFTWIVGYACGCVATLPLLYWRYYHSNQASEQDSGQHRPNLNVAAGPFAFSISRTSEGDGRQTNTTSSRVSRYPGFISAARLKVIVEYFKMALDCFFAVWFVVGNVWIFGGHSSAAEAPNLYRLCLVFLTFSCIGYAMPFILCTTICCCLPCIISILGYREDLTQPRGATPESINALPTHKFKLKKSRSSGDDNGSSTSEGGVVAAGTDNERAISGEDAVCCICLAKYANNEELRELPCSHFFHKECVDKWLKINASCPLCKSEVGEKNSDLTSQGILSSLSSGENDNHQQQQRSELRVDNDLLCSRKRHKKAVLRCSYTKWGLLLIAKKVKKDTAKILFCKCFLPL >fgenesh1_pm.C_scaffold_7000702 pep chromosome:v.1.0:7:3749013:3751259:1 gene:fgenesh1_pm.C_scaffold_7000702 transcript:fgenesh1_pm.C_scaffold_7000702 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHNIGQRTTDIDSRLRQTEQDKLLFHDFLGSKTPTLASTPMADHRLPTDKAAMTPSTASASTAGGRGGLSSTSDLVERHSGGGNHLDGIQVFGPRSDASGSIMSNRFSGNKRSNSDSHFTTQEHPETLHWSKLLRNGPGSFSMNVNPLANQPPRGGGQISHLLHKLSTSRFKDENVGLSVIAQTAADEGSRTGMKGPSILSSFTMPSSSKLESFSPSSTGNRKDLASSTKQMTIFYGGQAHVFDDVHPNKADVIMALAGSSGGSWSTGLSHKPKSKNNTSDSPYKLGQMYEGGSSRETPQMPPEFRARPSHQPTSSACHRIFTQPGSIISRGRDIRDPVHISDPEKEAT >fgenesh1_pm.C_scaffold_7000730 pep chromosome:v.1.0:7:3936908:3941402:-1 gene:fgenesh1_pm.C_scaffold_7000730 transcript:fgenesh1_pm.C_scaffold_7000730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7MA65] MELDLGLSLSPHSSSKLGFDFDLNKHCVMEGAASCLDTQKLCFDATFGLGNVEEDCYMPKPRLFALNGQPNEEDEDPLESESSIVYDDEEENSEVVGWPPVKTCMIKRITVMNNNISNPTTATVGSSSSSSTSSRSSMYVKVKMDGVAIARKVDIKLFNSYESLTNSLITMFTEYEDCDREDTNYTFTFQGKDGGWLLPGDTPWKSGIMALSMRKAIGVVKDQTSIGIAKVASNIAPDLEVAIVKATSHDDDQSSDKYIREILSLTSLSRGYVHACVTSVSRRLKKTRDWIVALKALMLVHRLLNEGDPLFQEEILYATRRGTRILNMSDFRDEAHSSSWDHSAFVRTYASYLDQRLELALFERKGRNGGGGSSSSSHQSNGDDGYSRSRDDFRSPPPRTYDYETGNGFAMPKRSRSFGDVNEIGGREEKKSVTPLREMTPERIFGKMGHLQRLLDRFLSCRPTGLAKNSRMILIAMYPVVKESFRLYADICEVLAVLLDKFFDMEYTDCVKAFDAYASAAKQIDELIAFYHWCKDTGVARSSEYPEVQRITSKLLETLEEFVRDRAKRAKSPERKEIEAPPAPAPPVEEPVGMNEIKALPPPENHTPPPPPAPEPKPQQPQVTDDLVNLREDDVTGDDQGNKFALALFAGPPASNGKWEAFSSDNGVTSAWQNPAAELGKADWELALVETASNLEHQKAAMGGGLDPLLLNGMYDQGAVRQHVSTSELTGGSSSSVALPLPGKINSHILALPAPDGTVQKVNQDPFAASLTIPPPSYVQMAEMDKKQYLLTQEQQLWQQYQQEGMRGQASLAKMNTAQTAMPYGMPPVNGMGPPPMGYYYNNPY >fgenesh1_pm.C_scaffold_7000752 pep chromosome:v.1.0:7:4035189:4036959:-1 gene:fgenesh1_pm.C_scaffold_7000752 transcript:fgenesh1_pm.C_scaffold_7000752 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNSSHLLPPQEDLPLRHFADQSQQPPSQRHFSETPSLVTASFLNLPSTITTADSDLAPPHRNGDNSVADTNPRWLSFHTEMQNTGEVRSEVIDGVNADGETILGVVGGEDWRSASYKAAILRHPMYEQLLAAHVACLRVATPVDQIPRIDAQLSQLHTVAAKYSTLGVVVDNKELDHFMSHYVVLLCSFKEQLQHHVCVHAMEAITACWEIEQSLQSLTGVSPSESNGKTMSEDEDDNQVESEVNMYDGSLDGSDCLMGFGPLVPTERERSLMERVKKELKHELKQGFKEKIVDIREEIMRKRRAGKLPGDTTSVLKEWWRTHSKWPYPTEEDKAKLVQETGLQLKQINNWFINQRKRNWNSNSSTSSTLTKNKRKR >fgenesh1_pm.C_scaffold_7000765 pep chromosome:v.1.0:7:4095544:4107392:-1 gene:fgenesh1_pm.C_scaffold_7000765 transcript:fgenesh1_pm.C_scaffold_7000765 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVHRLRPRTGPKPVYTSEDDMDEDFVLEDTVGSKEEVCPLGEVEAILDRELCPTASNDPDSSDNGTPTQVFVKQYQVKWKGKSYLHCSWVPEQEFEKAYKSHPRLKLKSRVDKFNADKDKFIAENGDEYIAIRPEWTTVDRIIACRGRGDFKEYLVKYKELSYEESYWESESLISKFQNEVQRFKDINSRSRRDKYVGYKRNQKEFKQFEHTPEFLTGTLHTYQLEGLTFLKHSWSKGTNVILADEMGLGKTIQSIAFLASLFEENLSPHLVVAPLSTLRNWEREFATWAPHMNVVMYTGTSEARDVIWEHEFYFPKGRKIKFDVLLTSYEMINQDTSVLKPIKWTCMIVDEGHRLKNKDSKLYSSLNQFTSKHRVLLTGTPLQNNLDELFVLMHFLDAVKFASMENFQKEFKDINQEKQISRLHQMLAPHLLRRLKKDVLKDKMPPKKELILRVDLSSQQKEVYKAVITNNYQVLTKKRGAKISNVLMDLRKVCSHPYLLKDVEPRLEDANEAFTKLLEASGKLQLLDKMMVKLKEQGHRVLIYSQFQHTLDLFQDYCSFKSWKYERIDGKVGGAERQASIDRFNAENSNRFCFLLTTRAGGIGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLIHRATVEERMVEITKKKMLLEHLVVGNMENPHLRQDVLDDIIKYGSKELFSEENDEAGKSGKIHYDDAAIEKLLDRDHVDAKEVSLDDEEDNGFLKNFKVATFKYIDDNEAAASEEAQAIENNSSDRTSHWKELLKDKYEVQQAEELNALGKRKRNGKQVMYAEEDDLDGLEVTSDEKEEDYLDDLEVISDEKEEADDAEPTVVKAARQRKPRTVTKPYRKRNRDKSELPVMEGGGKSFEVLGFNRTEREIFLRTFKRYGAGNFDWKEFIHPLHMKTFDEINKYGILFLQHIAENSKNNSSTFSVISAMVSADGIPKEGIRSDELLMSMTFMMLLKEKCQFLDDHPTEPVFRDYSIRKYNLRSGAFSKEEHDRILIPAVAKHGYGNWTAVVEDEEIGFQEVARKDLNIPFPYTDAEISLIRIRDHVGKRFKKMEDAIKYEYTENVHKEQAEARRKMMDTAGPSFAAADKEMLDELPKNDPIISEEISAAAVNNKQTRVEVAQPYNQSVNYGNSGMPFETYLNIQPLTRMLRESFIPLERISERISDGSHSRSAGTDHDVEMDAADNVIVLD >fgenesh1_pm.C_scaffold_7000769 pep chromosome:v.1.0:7:4145568:4146805:-1 gene:fgenesh1_pm.C_scaffold_7000769 transcript:fgenesh1_pm.C_scaffold_7000769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:D7MAU7] MASFYASFATVFNGFAANPPPNSSAILVPSLRFSTGTSNTRNISGLGNGVSLKSSNNHRFLVKSRNFGVYARAAAEKTVHDFTVKYIDGNDVSLDKLKGKPLLIFNVASRCGLTSSNFSELSHLYEKYKNQGDLRFLLSLAINLEVNNPVQTLRSSNLLAPDSKWSSLYSTRYVDVNGTSTAPIYKFLKSNAGGFLGDIIKWNFEKFLVDKEGKVVERYPPTTSPFQNRGTNSKLSIQSE >fgenesh1_pm.C_scaffold_7000774 pep chromosome:v.1.0:7:4175818:4179180:-1 gene:fgenesh1_pm.C_scaffold_7000774 transcript:fgenesh1_pm.C_scaffold_7000774 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFQLFIFQKKEVSVSASTHLKRKWLEQDESASELREELNRVNSENKKLTEMLARVCESYNALHNHLEKLQSPEIDQTDKPIKKRKQDPDDFLGFPIGLSSGKTENSSSNEDHQQHEQKNQLLSCKRPVTDSFNKAKVSTVYVPTETSDTSLRHMTKQSVILQTVKDGFQWRKYGQKVTRDNPSPRAYFRCSFAPSCPVKKKVQRSAEDPSLLVATYEGTHNHLGPNASEGDVTSQGGSSTVTLDLVNGGHSLLALEKKERNTMQEVLIQQMASSLTKDSKFTAALAAAISGRVVGRWLSGLRSSKGKRDAGEQQEDDTRRYQLPPISCSTKRLNQSREPEANTFESQSREAPLEMGIGSFLFYLVVASKTELDKMTNLRMQMEMFLLNAKEELRKKELQANPSMSSNEASGYQFSPQEFSNLASSIFQESSSSVLQEEYTEFEVSKPEDHHRGTDCHSKLQAEVGRLPLDEKAEDRHTKHQIQRQCKLKDNEVTKSHIPEMVVVTDERYGVCPYELEKKLHELLETRQQEELVKLETALNRVERRLQEKETEVSWWKDAARLLAQRVPESSQSGLEWCNPDSSTCSERSVPRSYKACSKHRTSFSR >fgenesh1_pm.C_scaffold_7000778 pep chromosome:v.1.0:7:4194482:4195956:1 gene:fgenesh1_pm.C_scaffold_7000778 transcript:fgenesh1_pm.C_scaffold_7000778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7MAV8] MASSYKLNYSSILHLLLFLSSLLTSSANLSFNFYAGSCSVAEFLVRNTVRSATSSDPTIPGKLVRLLFHDCFVQGCDASVLIQGNGTERSDPGNASLGGFSVIDTAKNAIEILCPDTVSCADIVALAARDAVEAAGGPVVKIPTGRRDGKESMAANVRPNIIDTDFTLDQMIDAFSSKGLSIQDLVVLSGAHTIGASHCNAFNGRFQRDSKGNFELIDASLDNSYAETLMNKCSSSESSSLTVSNDPETSSIFDNQYYRNLETHKGLFQTDSALMEDNRTRTMVEELASDEESFYQRWSESFVRLSMVGVKVGEDGEIRRSCSSIN >fgenesh1_pm.C_scaffold_7000788 pep chromosome:v.1.0:7:4247975:4251050:-1 gene:fgenesh1_pm.C_scaffold_7000788 transcript:fgenesh1_pm.C_scaffold_7000788 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVLQSTTCPHFFQPLLPGFDSYLNIPVTFFLKHVERSNEQRTANLRSDASDTTWEVKIDGRSRRLTGGWKEFATAHDFRVGDIIVFRHEGDLVFHVTALGPSCCEIQYVQSCNDDNLDDDQEDIRNLPMEQSLKTELEAERSLDDDDEDDNMGKFPRKKHVEKEIPEAEAKSFSSDKSCFVAHVTDSNLRNDTLFLPTKFVRSDGLIKGSNKIVLMNEEIRTWTLILKFRDSKLKSVSTKDCNKGKRNESGDSRQKVPSSSSSVSEYRFVTLTVRPAVLKNGRLHLPRKFIEVNQMENIGMKKITLLDKHGVEWPVNLVMEKGNTRTRLGSGLKEFFKANGIKAYESFVLELVWEDKTTLPMLKFCSKTKT >fgenesh1_pm.C_scaffold_7000798 pep chromosome:v.1.0:7:4309197:4313007:1 gene:fgenesh1_pm.C_scaffold_7000798 transcript:fgenesh1_pm.C_scaffold_7000798 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7MB56] MFLAHVTPFYPNQLAAFPAQLTDLLRTSCLAMPSGLRYQVAQSLILLMYRKSLVIEDLLALFLDIQTLGDKNLRKLAFSHIVQTIRKMSITDPRHKSLQKIVFSMLEQEDETKAKRALVTLCELHKRKVWFGDKHDRVAIAICEACFHTSPRIRISSLRFLLDYDNINDEDDSDASSSDDEDSKKVSQVVFNREAVYKKKKQAKLQRAMRSIKRKQRASSENTTSTYSPLNHLNDAQNFAKRLLRRIRPKFDKSSGKTNDKSSGETNDKSSGETNDKSSGETNDKSSGETNDKSSGETNERPETRLMIIKVIARTISLHKLLLFKFYTYLQGYAKDGVKDITQILAAAVQACHDGVPSDAVEPLFKKIVNEFLHDRSNPEAIPVALNVVREMCLRIPELMTEDLLHDLAQYKTDKKYRTHRKAISAASASLIALFREINPLLLVKKDRGRPGGHIARPKKYGEINVFSNVPNVELLQESDDDEVALPGTDDIGQELITEDEAEEDSNDEDSNDGDDMHSTEIDTLVSGDEENDSDEAETDWENEEDDGEASVEGSGNREKAEGKKRKLVDFDASFLAADTSLRALKRCAEAKREQPSFAERDGILSNEDFQKIKELKAKKDAKIALARKGFKVPDSDKLSKKLVNPAKLEAHIRHKLTKEERLELVKAGREDRGKYKSKTAIKQKKTGGSSNRQKEHKKNMPLAAVRSKAGKSKRVKKKKNSLSGSQFRGRKAWK >fgenesh1_pm.C_scaffold_7000799 pep chromosome:v.1.0:7:4314725:4315482:-1 gene:fgenesh1_pm.C_scaffold_7000799 transcript:fgenesh1_pm.C_scaffold_7000799 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLCVLWGLLLLTFVSLIFFMTKVRETLNGIFLRAIQVSRSSETYTNFAGLPHRCFHHLSIKYGPVVLLHRGFVPMVVISSSEAAEEDLKTHDLGCCSRPRTVGTGKLSYGRHSTGPYGEHWREMGKLAVIELFSLKKVQSYRNIREEEVEFMVKIVSQSASKFE >fgenesh1_pm.C_scaffold_7000808 pep chromosome:v.1.0:7:4343806:4345535:1 gene:fgenesh1_pm.C_scaffold_7000808 transcript:fgenesh1_pm.C_scaffold_7000808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MB67] MPGLTCNACNMEFKDEEERNLHYKSDWHRYNLKRKVAGVPGVTEALFEARQSALALEKNKSNEAPMLYTCGICGKGYRSSKAHEQHLQSRSHVLRVSQGPSINGEEDIAIIRQLPRRVQHRGSIDDDSEDEWVEVDSDDELAAEEASHSLSKLNVIESGSAEDMDDDGDADKYELDPTCCLMCDKTHKTLESCMVHMHKHHGFFIPDIEYLKDPEGLLTYLGLKVKRDFMCLYCNELCRPFSSLEAVRKHMEAKSHCKLHYGDGDDDEDAELEEFYDYSSSSYVDEAGKQIVVAGETDNTVELVGGSELLITENSENTKTSKTLGSREFMRYYRQKPHPTSQNSSQIMSSLSSRYKSLGLKTVPSKEETLRMKVRKEMSQRGETMRTKIGVKSNVIRNLPNNVPY >fgenesh1_pm.C_scaffold_7000815 pep chromosome:v.1.0:7:4388226:4390712:-1 gene:fgenesh1_pm.C_scaffold_7000815 transcript:fgenesh1_pm.C_scaffold_7000815 gene_biotype:protein_coding transcript_biotype:protein_coding MSESRQRPPFKGPRWIITLVVLVTVVVITAFIYPPRNSVACYMFSRPGCPLYQQFLFVPSRELTDSEAAAQVVMNEIMNLPQSKTANPKIAFMFLTPGTLPFEPLWEMFFRGHENKFSVYVHASKKSPVHTSSYFVGRDIHSHKVAWGQISMVDAERRLLAHALVDPDNQHFVLLSDSCVPLFDFNYIYNHLIFANLSFIDCFEDPGPHGSGRYSQHMLPEVEKKDFRKGSQWFSMKRRHAIVVMADSLYYTKFKLYCRPNMEGRNCYADEHYFPTLFNMIDPDGIANWSVTHVDWSEGKWHPKLYNARDITPYLIRKIKSIQLAYHVTSDLKKVTTVKPCLWKGEQRPCYLFARKFNPETLDRLMYLFPNYTSLV >fgenesh1_pm.C_scaffold_7000820 pep chromosome:v.1.0:7:4414835:4416834:-1 gene:fgenesh1_pm.C_scaffold_7000820 transcript:fgenesh1_pm.C_scaffold_7000820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:D7MB80] MDLNLDAPHSMGTTIIGVTYNGGVVLGADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADSQVVSDYVRYFLHQHTIQLGQPATVKVSANLIRMLAYNNKQNMLQTGLIVGGWDKYEGGKIYGIPLGGTVVEQPFAIGGSGSSYLYGFFDQAWKENMTKEEAEQLVVKAVSLAIARDGASGGVVRTVIINSEGVTRNFYPGDKLQLWHEELEPQNSLLDILNAAGPEPMAM >fgenesh1_pm.C_scaffold_7000823 pep chromosome:v.1.0:7:4426333:4430680:1 gene:fgenesh1_pm.C_scaffold_7000823 transcript:fgenesh1_pm.C_scaffold_7000823 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDDKFPIVYSLLLIAVFFASPISSEDDFDALLKFKSSLVNGTTLGGWDSGEPPCSGEKGSDSKWKGVMCSNGSVFALRLENMSLSGTLDVQALGSIRGLRSISFMRNHFEGKIPRGLNGLVSLVHLYLAHNRFSGEIDGDLFAGMKALMKVHLEGNQFSGKIPESLGKLPRLTELNLEDNMFTGKIPAFKQKNLVTVNVANNQLEGRIPFTLGLMNITFFLGNKGLCGAPLLPCRYTRPPFFTVFLLALTILAVVVLITVFLSVCILSRRQAKGQDQSQGHGHVHGQVYGQTEQQHSEKSSQDSKVYRKLANETVQRDSTVTSGALSVGGLSPDEDKRGDQRKLHFVRNDQERFTLQDMLRASAEVLGSGGFGSSYKAALSSGRAVVVKRFRFMSNIGREEFYDHMKKIGRLSHANLLPLIAFYYRKEEKLLVSNYISNGSLANLLHGKIKELCSSNRTPGQVVLDWPIRLKIVRGVTRGLAYLYRVFPDLNLPHGHLKSSNVLLDPNFEPLLTDYALVPVVNRDQSQQFMVAYKAPEFTQQDRTSRRSDVWSLGILILEILTGKFPANYLRQGKGADDELAAWVESVARTEWTADVFDKEMKAGKEHEAQMLKLLKIGLRCCDWDIEKRIELHEAVDRIEEVDRDAGGGQESVRSSYVTASDDDHRSSRAMTEEFSLMVIYSHSVVFSPKTSIKFISFSIYISLSPFLVLKVLAIPPSLWYQVLSTDYQVKFPESGDLYSILAAEGIEFLLSHSGEVRLMLSRLLYFDIFVWSFILNYKLTSIRPCKDFTPELVKLYENLQTRGEELEIIFVSFDHDMTLFYEHFWCMPWLAVPFNLNLLNKLRDKYRISRIPSLVPLYSDEISVAEDVIGLIEDYGPEAFPFTKKRKEELKAIDDSKRIGGQLEKLLTHESRNYVVARNGSKVKITDL >fgenesh1_pm.C_scaffold_7000836 pep chromosome:v.1.0:7:4475581:4477196:-1 gene:fgenesh1_pm.C_scaffold_7000836 transcript:fgenesh1_pm.C_scaffold_7000836 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGISNGWRTPTTSESSGSNFLGLLRSYPNTIPRKTIHIGRRTMVISSSKKANLSASRKQRIKLQINGGKELTFSEFLKHPSGMEAVINAKALQSYHLVEDTDNTYRCTLPKVQLMSFEVSPVLVLRVTPTQEDFTVELLSCKLEGSKMLENQSERFSAIMTNCMTWNMEHPEPFLEADVRLNVTLEISTRPFTMLPVSAVEAPGNLVMQTLIDTLVPLLLQQLLKDYDEWIQKQQRNSLNASS >fgenesh1_pm.C_scaffold_7000842 pep chromosome:v.1.0:7:4494679:4495953:1 gene:fgenesh1_pm.C_scaffold_7000842 transcript:fgenesh1_pm.C_scaffold_7000842 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKFAFFPPEPATYGVTKDDETGKLVFAGVSADKNVEVHQLTTKSGNKVVATFWRHPFARFTLLYSHGNAADLGQMVELFIELRAHLRVNIMSYDYSGYGASTGKPSEFNTYYDIEAVYNCLRSDYGIKQEEIILYGQSVGSGPTLHMASRLKRLRGVVLHSAILSGIRVLYPVKMTLWFDIFKNIDKIRHVNSQVLVIHGTNDEIVDLSHGKRLWELAKEKYDPLWVKGGGHCNLETYPEYIKHLKKFVNAMEKLSLTNPPPKQLTNEPSITETKHNRCLKFGKR >fgenesh1_pm.C_scaffold_7000852 pep chromosome:v.1.0:7:4556025:4560558:1 gene:fgenesh1_pm.C_scaffold_7000852 transcript:fgenesh1_pm.C_scaffold_7000852 gene_biotype:protein_coding transcript_biotype:protein_coding MISLITHKVHICFSSFSHFFGRRRNKLHFSCSCFLYKIEKKRQRESSMEDTGIDEAKVCTVEMSEKVEPEKELDNGLSQLRDEEESLGACVEDLHDEAVPETLGKDQVQGVRENSSVEPNVEDVGEVNETDSVNEIVVSAIVPVDEVEENRQVETSPSLAASSDVLTVEPSLSSSDPATASAAQGLSLVSVPTKQEQRSDSRMVNRLSVTPIPRTPARDGYNWRKYGQKQVKSPKGSRSYYRCTYTECCAKKIECSNDSGNVVEIVNKGLHSHEPPRKINFSPREIRVTTAIQPVSEDDTVVEELTIVPSGSDPSASTKENICESQTIVERKRHCENEAVEEPEPKRRQDNSQSSDSVSKPGKKNKFVVHAAGDVGICGDGYRWRKYGQKMVKGNPHPRNYYRCTSAGCPVRKHIETAVENKTAVIITYKGVHNHDMPVPKKRHGPPSSMLVAAAAPTSMRTRPDDQVNIPTSSQCSVGRESEKQGSEALDVVCSCSPMASLRCFRELSRRATTVYSIIQTRSISSFPGIELSGTSISHGTVIPNRSLSRNLTWYSLWYRSQDRRFSSNTNDTAEDEESSEGEDEDDDDEEGEDFEDSAEMEVEREYSPAEKVEEAAEIGYKVMGPLKPSERLFKPYEPVFAIVQIGSHQFKVSNGDSIFTEKLKFCDINDKLELTKVLLLGSASQTIIGRPILPDATVHAVVEEHALDEKVLIFKKKRRKNYRRTRGHRQELTKLRITDIQGIEKPEPKIIPKPSKECVTEQSKAELVA >fgenesh1_pm.C_scaffold_7000876 pep chromosome:v.1.0:7:4658429:4661382:1 gene:fgenesh1_pm.C_scaffold_7000876 transcript:fgenesh1_pm.C_scaffold_7000876 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSLLGWFLIISLLLLSQSLIISCGRHGTKITPLERGLVEHEENADGSHGHHHDHLRVFVRKSKSKKKKKDKSSATRTLLSNPFTYVSTVIWPLGNFLCCWQKKKKEGFFANKLISVRQIVKYNNVLNTISKKMTSLAFSCSLIVFLLLLSQPLLISSENQESERRLAQHGDSNPLNHHDSLRVFMRKARVGGGSRGGGRSHRRVPSSGHGAGGSSATSRPSLSIALLFGSTVANSILLTFLAF >fgenesh1_pm.C_scaffold_7000879 pep chromosome:v.1.0:7:4666071:4667099:-1 gene:fgenesh1_pm.C_scaffold_7000879 transcript:fgenesh1_pm.C_scaffold_7000879 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MBT7] MEVNDGERKVEMGLGLCPDWSELTRECLLDIFSRLSQEQRWVGPMLVSKNWMNTCYDASLNTIFDLETRFLSFPESINWWTPEFEDKVDSFLRSVVDRSEGGLTEIRIRHCTERSLSYAAERCPNLEVLWIKSCPNVTDASMEKIAMNCPNLRELDISYSYGITHESLKMLGRNCQNLKILKRNLLPRLGPNLPTIVVPLDYLATFPRYGNIEAKIIGRYMPELKHLEFRYSTLTARGLDSVCKGCSNLEYLDLCGCISLTRSDITTYTSSLKNLTEIIKPDFNPPIAILRVPRPGNPREE >fgenesh1_pm.C_scaffold_7000887 pep chromosome:v.1.0:7:4696482:4699204:1 gene:fgenesh1_pm.C_scaffold_7000887 transcript:fgenesh1_pm.C_scaffold_7000887 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCNGC9 [Source:UniProtKB/TrEMBL;Acc:D7MBU6] MLDCAKKAVKSQVISGHLEKFVRLDSMDSRYSQTSDAGLNRCTLNLQGPTRGGGAQGNNVSSGSFKKGFRKGSKGLWSIGRSIGLGVSRAVFPEDLKVSEKKIFDPQDKFLLLCNKLFVTSCILAVSVDPLFLYLPFVKDNEKCIGIDRRLAIIATTLRTVIDAFYLFHMALRFRTAFVAPSSRVFGRGELVIDPAQIAKRYLQQYFIIDFLSVLPLPQIVVWRFLGISGGASVLATKRALRSIILLQYIPRFIRLYPLSSELKRTAGVFAETAWAGAAYYLLLYMLASHIVGALWYLLALERYNGCWSKACGNNSLDCQRNFLFCGNENMDGYAAWSTIKDSVLQTNCPVNTTDNPPFDFGIYLRALSSGIVSSKSFVSKYFFCLWWGLQNLSTLGQGLQTSTYPGEVIFSIALAIAGLLLFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRMLPPELRERVRRYDQYKWLETRGVDEENLVQNLPKDLRRDIKRHLCLALVRRVPLFENMDERLLDAICERLKPCLYTESSYLVREGDPVNEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGDFCGEELLTWALDPKSGSNLPSSTRTAKALTEVEAFALIADELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAAIFIQAAWRRYVKKKKLEQLRKEEEEGEGSVTSIRATFLASKFAANALRKVHKNRIEAKSTKELVKYQKPSEPDFSADDPC >fgenesh1_pm.C_scaffold_7000888 pep chromosome:v.1.0:7:4699475:4700556:-1 gene:fgenesh1_pm.C_scaffold_7000888 transcript:fgenesh1_pm.C_scaffold_7000888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine amidotransferase class-I domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MBU7] MVVVEQKKRFALFLATCDSEFVKKTYGGYFNVFVSTFGDEGEQWDLFRVIDGDFPDDKDLDKYDGFVISGSPHDAFGDVDWIVKLCEVCQKLDDMKKKVLGICFGHQIITRVKGGKIGRALKGVDMGLRSITIAKDNEKLRGYFGGEVPASLAIIKCHQDEVLELPESATLLASSEVCEVEMFSIGDHFFCIQGHPEYNKEILFEIVDRVLNMKLMEQEFADKAKSTMETAQPDRILWQKLCKNFLKG >fgenesh1_pm.C_scaffold_7000900 pep chromosome:v.1.0:7:4756656:4757973:1 gene:fgenesh1_pm.C_scaffold_7000900 transcript:fgenesh1_pm.C_scaffold_7000900 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSNSLIGILNFFVFLLSVPILSTGIWLSLNASTQCERFLDKPIIALGAFLMIVAIAGVVGSCCRVTWLLWFYLFVMFSLIVIVLCFTIFAFVVTSKGSGETIPGKAYKEYRLETYSDWLQKRVNNAKHWNNIRSCLYESKFCSNLELYAAREPVSAFYKEDLTPLESGCCKPSNDCNFIYINATSWNKTSGTQKNSDCQLWDNEKDKLCYNCQACKAGFLDNLKSSWKRVAIVNIIFLVLLIIVYAMGCCAFRNNKEDSYARSNGFNNP >fgenesh1_pm.C_scaffold_7000905 pep chromosome:v.1.0:7:4779185:4779702:-1 gene:fgenesh1_pm.C_scaffold_7000905 transcript:fgenesh1_pm.C_scaffold_7000905 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKFLVFSTVLVFLFSLSYATPGITTFYTSYTPSACYRGTQGVMIAAASDRLWNNGRVCGKMITVKCTGPRNAVPHPCTGKSMTVKIVDHCPSSCASTLDLSREAFAQIANPVAGIINIDYIP >fgenesh1_pm.C_scaffold_7000966 pep chromosome:v.1.0:7:5158176:5161227:-1 gene:fgenesh1_pm.C_scaffold_7000966 transcript:fgenesh1_pm.C_scaffold_7000966 gene_biotype:protein_coding transcript_biotype:protein_coding MALCQFPLNLYTISSSTSSSPQFRRLQPLESSIKPNFFIRRLRFRSFNHPIRFHTLELSVIRATIDIDTHQRKKTKRKPKPGFFEEISDKWSSRISPKTEKLPWQKQEEQIQHHKDDGDESRSNLSSGYGLSDKRTDSNRLYSANEPSTFPRPSGYMSAPWVNNGGSKGVNFTTSFEQGVESSSFDDVITVDRYRRDNDSSNRGVDSDLDDGERGMIDSGKDKGIWKTRRSNTAEAERVVPEHELRRLRSVALRMVERVKVGSAGITQVLVQAIHEKWEVDEVVKLKFGEPFSLNMKRTHEVLENNLNTKHVEARDYVPEDANYPKNVPKEQLSELCELNDLLDELGPRFHDWTGCAPFPVDADLLPGYVEGYRCPFRILPQGVKPCLSNTEMTEMRRLARTSPPHFALGRSRELQGLAKAMVKLWAKSAIAKIAIKRGVENTRNERMAEELKRLTRGVLVSRNKEYIVFYRGNDFMPPAVAEALTERQKEITEVLQTKEDQVREMASTRVTLTSQAKSPKTQLLAGTLAETIAASSRWAPDASSVDIEELKRESASIKRAALIRDLELRLLYGKQKLRRAERDLAKVQKDLDPSELPTDSETITEEERLLYRKIGLSMDPFLLLGRREVYDGTIENMHLHWKHRELVKVIVRGKSLPQVKHIAISLEAESGGVLVSVDKTMKGYSIILYRGKNYQMPFRLRPSNLLTRKKAFARSIELQRREALKYHVADLEERIELLKTGQDDDREPGRKSDGEEENLYLRVDESDFSSDEVK >fgenesh1_pm.C_scaffold_7000976 pep chromosome:v.1.0:7:5201606:5203350:1 gene:fgenesh1_pm.C_scaffold_7000976 transcript:fgenesh1_pm.C_scaffold_7000976 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7MCJ2] MQGVWSQLWRKYADYKYNKFERFAVWEMIEPYRRPKTFTTLITIYVAAFYTGVIGAAVTEQLYKEKFWEEHPGKTVPLMKPVFYRGPWRVYRENASSSLSLLGRAKELILLGLSSQRPWLELVQCSAFSLPISFTVATERIKTNIMIFRTNYIIIFIVTIFISMLWQPVHLSVFFILIVAWLYVYSRDNEPWVIFGNVIDDSTLVLVLLVLTIGIFLLTDVSRGIMIGVLAGLPIVLVHGMCRNTEMLFVLEDDEEKLTINTAKSSSLSSSS >fgenesh1_pm.C_scaffold_7000980 pep chromosome:v.1.0:7:5253871:5254842:-1 gene:fgenesh1_pm.C_scaffold_7000980 transcript:fgenesh1_pm.C_scaffold_7000980 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLSSILAPNETEFSGDFTTETIMPLINRALPLARTLNPQLPRVVVGRGSSGRTFLGVNVDLRGLPLHYSIHAEQFLVVNLALHNERKLNCLAISAGGTFFYAPCGHCCHFLQEIRDASNTQILITDPLFRQNSMPLSTFLPQKFFSVYNEVPEYFARLLDHNRRNGLTLIDPNPIREICVNSDSCTHLKCRALNAANRSYAPYSNCSSGVALMDHQGKVYSGWYMESVAYNPIQAALVDFVTNGGGHEFDKIVQAVLVEKRVAKFSQVARARNIIKKIAHDSCVFKVLHFQEPVKSSE >fgenesh1_pm.C_scaffold_7001001 pep chromosome:v.1.0:7:5329396:5330413:-1 gene:fgenesh1_pm.C_scaffold_7001001 transcript:fgenesh1_pm.C_scaffold_7001001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin [Source:UniProtKB/TrEMBL;Acc:D7MCM9] MSWQTYVDEHLMCDVGDGQGHHLTAAAIVGHDGSVWAQSSNFPQFKGQEFSDIMKDFDEPGHLAPTGLFLAGAKYMVIQGEPGAVIRGKKGAGGITIKKTGQSCVFGIYEEPVTPGQCNMVVERLGDYLLEQGL >fgenesh1_pm.C_scaffold_7001003 pep chromosome:v.1.0:7:5335822:5336622:-1 gene:fgenesh1_pm.C_scaffold_7001003 transcript:fgenesh1_pm.C_scaffold_7001003 gene_biotype:protein_coding transcript_biotype:protein_coding MIPHLRSGDYRSFFIPFYPLPLFSETIYVQISYLKEDFHPFKSLILRSGFFYFLWQTGYKLCVDFLNLHGRHGLHVREDSPVLLRSCIHQLRILVDKASLRFLNLSNDHSPSCVYDNFKQHALHTSPICYGLSKLNSHSVKTVAINLKHHSTFIPARNLVKALPCVVFLVPARTSTLAHSSTPLRLLTVANLSSVDSLLEDSSIIFDLTCTKKLHSFWLKALKKLLSINLIYPFIYFMLALGKGHLCCNLNFGISDSFYLCTWVLP >fgenesh1_pm.C_scaffold_7001006 pep chromosome:v.1.0:7:5348285:5348609:1 gene:fgenesh1_pm.C_scaffold_7001006 transcript:fgenesh1_pm.C_scaffold_7001006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 26 [Source:UniProtKB/TrEMBL;Acc:D7MCN5] MAKLSCSYFLVLMLVCSAFLMVECDEGKRCHTTIDQANFCDLVDCRLSCFSGYNGVGKCFDDPKVPGSSNCGCLYNC >fgenesh1_pm.C_scaffold_7001013 pep chromosome:v.1.0:7:5368066:5373139:-1 gene:fgenesh1_pm.C_scaffold_7001013 transcript:fgenesh1_pm.C_scaffold_7001013 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC075 [Source:UniProtKB/TrEMBL;Acc:D7MD39] MNKSNPAGSVTGSDIIDAKIEEHQLCGSKKCPSCGHKLEGKPQDWVGLPAGVKFDPTDQELIEHLEAKVLAKDFKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDNNLQGSSSSGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGTHEEEKEGELVVSKIFYQTQPRQCNWSSSTSSLNALGGGGGEASSGGGGGEYHMRRDSGTTSGGSCSSSREIINVNPPNRSDEIGGVGGGVMAVAAAAAAVAAGLPSYAMDQLSFVPFMKSFDEVARRETPQTGHATCENVMAEQHRHRHQASSSASHHMAHDHRHHHHQQQQRHHAFNISQPTHPISTIISPSTSLHHASINILDENPYHVHRIMLPNENYQTQQQQRQDGDEEHNDGKMGGRSASGLEELIMGCTSSTTHHDVKDGSSSVGNQQEAEWLKYSTFWPAPDSSDNQDHHG >fgenesh1_pm.C_scaffold_7001044 pep chromosome:v.1.0:7:5567063:5568894:1 gene:fgenesh1_pm.C_scaffold_7001044 transcript:fgenesh1_pm.C_scaffold_7001044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MD81] MCPRAAPTCDICKKLVSKYKCPSCLVPYCSLGCFKIHKETPCAKPSGPSSTVDKPAASPAKEVSVERPEEANDVVEKTQHKASAESPAKEIPVARPIHVEEEKYVLEKTHFEAIASSSEIREALKDEALQKLIYRIDSSSNPLQELDGAMGIEAFREFTDKILSNISKSSDEQ >fgenesh1_pm.C_scaffold_7001046 pep chromosome:v.1.0:7:5588450:5589814:1 gene:fgenesh1_pm.C_scaffold_7001046 transcript:fgenesh1_pm.C_scaffold_7001046 gene_biotype:protein_coding transcript_biotype:protein_coding MRHNCCHVSFASILKILNFLQAFIGISIIIYSIWMLDQYNHHVPVDPPPSQPPAASSPDSSSYSRIEINSVSDSLKNPINLVSGIVLGDSGFNLRSLDLPAPWFIYSFMAIGILVCIVTIIGFIAAEAINGCCLCFYSILKTLLIILEAALVGYIAIDRHWEKDLPYDPTGELNSLRAFIEENIDICKWVGIVVVAIQLLSLLLALVLRAMVSPRQSELDDEDDFENPMSRARENLLGPQANQTSSGSSNIDNWRSRIREKYGLINGQSQSPST >fgenesh1_pm.C_scaffold_7001056 pep chromosome:v.1.0:7:5654520:5656221:-1 gene:fgenesh1_pm.C_scaffold_7001056 transcript:fgenesh1_pm.C_scaffold_7001056 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMNHIVVDVSSDEDDEKPVDYSYLFDEILGISDEKKPIKSTDLLNPTVDDDDDCVILDCDPTAKETAIETCGTDEVLVVGQKGEIACRDFPHPRHVCAKYPFKSTLHQTFCEMCHCYVCDTRAPCPYWFSGGISNIDHCHANDKEQTWKNQRECIRTRNMLPRPVSKPASTKLQFKRAPRRRSVPVPVPSLKNSSSPGTQFGIRACSTASRLATHRKTYTRPGNRTEQSRTLQQNPGLKPQAVQSLPNHKGGSNNGNPSPQAVPSNPYVWTRRPSKGVYPPENSVQNISQGSQPTHYAPPMASQGAQPTLYAPPMASQGSQPTRYAHPVASQGNAQRIVTSYISTVPVSQSKEYAKQFSRNMYSANVQTSAVPAITPNPPANQQQQHQQSGRSKDKVLSEFEAWLLDDSTLSCPLSGEDNTASTFKIDFQTFLND >fgenesh1_pm.C_scaffold_7001059 pep chromosome:v.1.0:7:5670586:5673712:1 gene:fgenesh1_pm.C_scaffold_7001059 transcript:fgenesh1_pm.C_scaffold_7001059 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKMKIMVLFLYYCYIGSTSSVLASIDNVNELSILLSVKSTLVDPLNFLKDWKLSETGDHCNWTGVRCNSHGFVEKLDLSGMNLTGKISDSIRQLRSLVSFNISCNGFESLLPKSIPPLNSIDISQNSFSGSLFLFGNESLGLVHLNASGNSLIGNLTEDLGNLVSLEVLDLRGNFFQGSLPSSFKNLQKLRFLGLSGNNLTGELPSLLGELLSLETAILGYNEFKGPIPPEFGNITSLKYLDLAIGKLSGEIPSELGKLKSLETLLLYENNFTGKIPREIGNITTLKVLDFSDNALTGEIPVEITKLKNLQLLNLMRNKLSGSIPPGISNLEQLQVLELWNNTLSGELPTDLGKNSPLQWLDVSSNSFSGKIPSTLCNKGNLTKLILFNNTFTGQIPATLSTCQSLVRVRMQNNLLNGSIPIGFGKLEKLQRLELAGNRITGGIPGDISDSVSLSFIDLSRNQIRSSLPSTILSIHNLQAFLVAENFISGEIPDQFQDCPSLSNLDLSSNTLTGTIPSGIASCEKLVSLNLRNNNLTGEIPRQITTMSALAVLDLSNNSLTGVLPESIGTSPALELLNVSYNKLTGPVPINGFLKTINPDDLKGNSGLCGGVLPPCSKFQGATSGHKSFHGKRIVAGWLIGIASVLALGILTLVARTLYKRWYSNGFCGDETASKGEWPWRLMAFHRLGFTASDILACIKESNMIGMGATGIVYKAEMSRSSTVLAVKKLWRSAADIEDGTTGDFVGEVNLLGKLRHRNIVRLLGFLYNDKNMMIVYEFMLNGNLGDAIHGKNAAGRLLVDWVSRYNIALGVAHGLAYLHHDCHPPVIHRDIKSNNILLDANLDARIADFGLARMMARKKETVSMVAGSYGYIAPEYGYTLKVDEKIDIYSYGVVLLELLTGRRPLEPEFGESVDIVEWVRRKIRDNISLEEALDPDVGNCRYVQEEMLLVLQIALLCTTKLPKDRPSMRDVISMLGEAKPRRKSNSNEENTSRSLAEKHTSVFNTSPVNGLL >fgenesh1_pm.C_scaffold_7001064 pep chromosome:v.1.0:7:5686130:5688735:-1 gene:fgenesh1_pm.C_scaffold_7001064 transcript:fgenesh1_pm.C_scaffold_7001064 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSEIRPEKLHLREIRKSLRKIRMKCFCSGEQMRPREDEDKKSELGVGRDYNGSSALSTAESENAKKLDNGNIEEAELSLRETSSLNYEEARALLGRIEYQKGNIEAALRVFEGIDINGITIKMKTALTVREERKHRRRSKGGFAATPPPSMSKHAVSLLFEAIFLKAKSLQRLGRFQEAAQSCRVILDIVETSLAEGASDNVTGDIKLQETLTKAVELLPELWKLADSPRDAILSYRRALLNHWKLDPETTARIQKEYAVFLLYSGEEAVPPNLRSQTEGSFIPRNNVEEAILLLMLLLRKVNLKRISWDAAILDHLSFALTIAGDLTALAKQFEELSPELYDQRELYHTLSLCYQGAGEGLVALGLLRKLFSEREDPNRILGLLMASKICGERAGLAEEGLDYARRAIGNLGNECSQLDGAARFVLGITLTESSRMAVTETERIARQSEGIQALESADMTNPRVVHRLALENAEQRKLDSALAYAKQALKLGAESDLEVWLLLARVLSAQKRFSDAETIVDAALNETGKWEQGKLLRLKAKIRLAKGEVKDAITTYTQLLALLQVQSKSFNSAKKLPKGYVEELRSLELGTWHDLAHIYINLSQWRDAESCLSRSRLIAPYSSVRYHTEGVLHNRQGQLEEAMEAFTTALDIDPMHVPSLISKAEILLELGNRSGIAVVRSFLMEALRIDRLNHSAWYNLGKMFKAEGSVSSMQEAVECFQAAVTLEETMPVEPFR >fgenesh1_pm.C_scaffold_7001083 pep chromosome:v.1.0:7:5789662:5790312:-1 gene:fgenesh1_pm.C_scaffold_7001083 transcript:fgenesh1_pm.C_scaffold_7001083 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHRAILVVTFFVMMKTAVSQDNDPMAHCRDVFVSFMPCMGFVEGIFQQPSPDCCRGVTHLNNIVKFTSPGSRNRQDTGETERVCLCIEIMGNANHLPFLPAAINNLPRRCSLTLSFPISVAMDCSQLRNTKNPDVEKLN >fgenesh1_pm.C_scaffold_7001088 pep chromosome:v.1.0:7:5804325:5806367:-1 gene:fgenesh1_pm.C_scaffold_7001088 transcript:fgenesh1_pm.C_scaffold_7001088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MDK6] MFSKVSIFIFFVTSILLFRSTTCVEFIYNSNFTTTNTLLLGNATVNSPPSILTLTNQTTFSIGRGLYPSRINASSSASPLPFATSFIFSMAPFKSLSPGHGFAFVFLPFSETSAASSSQHLGLFNFTNNGDPNSRIFAVEFDVFPNQEFNDINGNHVGVDVNSLTSVASETAGFYGGRDGERFTELRLNSGENYQAWIEFDGSAINVTMARAGSRKPIRPLISIPLNLTGVLLDDMFVGFTASTGQLVQSHRILSWSFSDSVLKSKGFIAVVSSGVVLQGLEGDVEDWETEYWPHRVQYKDVLEATKGFSEVNMIGYGGNSTVYRGVLEGKEVAVKRIMMSPRESVGATSEFLAEVSSLGRLRHKNIVGLKGWSKKGGESLILIYEYMENGSVDKRIFDCYEMLNWEERMRVIRDLASGMLYLHEGWESKVLHRDIKSSNVLLDKDMNARVGDFGLAKLQNTSKEMVSTTHVVGTAGYMAPELVKTGRASPQTDVYSFGVFVLEVVCGRRPIEEGREGIVEWIWGLMEKDKLVDGLDVRIKARGRFETEEVEMALRIGLLCVHPDPRVRPNMRQVVQILEQGRFVEDGGEREMSLLERVKSSYLLETGEGSRLQHPTFQDVWNSSSYSNSFQSYDSILHGR >fgenesh1_pm.C_scaffold_7001101 pep chromosome:v.1.0:7:5845664:5847021:-1 gene:fgenesh1_pm.C_scaffold_7001101 transcript:fgenesh1_pm.C_scaffold_7001101 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1923 [Source:UniProtKB/TrEMBL;Acc:D7MDL9] MTLLALSSSLSSSSSFFCRNSRIPFSPVSRLSCLNGDNELTIAPPQFLNAGESLRRFRVPGKFPSVRLRVLTRCEKENAPNGGIEDEAERFARRESTMPDRFRYLTKEAPDSPIIWPWFVALGFLVYAWRAVLFELSNWRKAAFAILGFVGDLSKFALALVFHFIGDPITSLISLLETAMYSVRAFYSGIVAYTPVRELTTVILLASSVLAIGEAVEPNSISKQPYVVTIAGLVGYAAVQSYISEPFFWTVLLGLYGYSRLIKKRDDVTSALPSAAVLAGVGEPWVRVVAITGYLALAMYHNSSKTSEEESQSLRRAPPMPLLAAALAIGVRLAAKWAGYRHLTWMIV >fgenesh1_pm.C_scaffold_7001107 pep chromosome:v.1.0:7:5863885:5866612:-1 gene:fgenesh1_pm.C_scaffold_7001107 transcript:fgenesh1_pm.C_scaffold_7001107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:D7MDM7] MVQSETSMEKYKNLSDFLKKFYIPSYVLSPEAEPVAQTSSSTPPESPILVFINSKSGGQLGAELILTYRTLLNDKQVFDLEEETPDKVLQRIYLNLERLKDDGFASKIRDKLKIMVAGGDGTAGWLLGVVSDLKLSNPPPIATVPLGTGNNLPFAFGWGKKNPGTDRSSVDSFLDKVINAKEMKIDNWQILMRMKAPKQDSCDTSAPLKLPHSLHRAFPSDQENMEDYQTFRGGFWNYFSLGMDAQVSYAFHSQRKLHPEKFKNQLVNQSTYLKLSCTQGWFFASLFHPASQNIAQLAKVQICNKSGQWNDLHIPQSIRSIVCLNLPSFSGGLDPWGTPNPKKQRDRSLTAPFVDDGLIEIVGFRNAWHGLVLLTPNGHGTRLAQANRIRFEFKKGAAKHAYMRMDGEPWKQPLPLDDETVMVEISHHGQANMLATHNCSSKSMFESSSTIRFSDDEDDSK >fgenesh1_pm.C_scaffold_7001108 pep chromosome:v.1.0:7:5868735:5869922:1 gene:fgenesh1_pm.C_scaffold_7001108 transcript:fgenesh1_pm.C_scaffold_7001108 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB41 [Source:UniProtKB/TrEMBL;Acc:D7MDM8] MGRSPCCDKNGVKKGPWTAEEDQKLIDYIRFHGPGNWRILPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSVMGNKWSAIATRLPGRTDNEIKNHWNTHIRKRLIRSGIDPVTHSPRLDLLDLSSLLGALFNQPNFSSAATHASSLLNPDVLRLASLLLPQLQNPNPMYASSNLDQNLQTPITSSECSQPQAESTTPTNNETSSFELMNARLDDVASADVLPPLSESFDLESLMSTPMSSPQQDSIEAGTNSSSFFDFGFPEDFILDDFMF >fgenesh1_pm.C_scaffold_7001110 pep chromosome:v.1.0:7:5873748:5876119:1 gene:fgenesh1_pm.C_scaffold_7001110 transcript:fgenesh1_pm.C_scaffold_7001110 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWLNGGVWMMMTTTIIYFVKAGDTLFYNWRVTYGEISLRTLPRRGILINGQFPGPEIRSLTNDNLVINVHNDLDEPFLLSWNGVHMRKNSYQDGVYGTTCPIPPGKNYTYDFQVKDQVGSYFYFPSLAVQKLAGGYGSLRIYSLPRIPVPFPEPAGDFTFLIGDWYRRNHTTLKKILDGGRKLPTMPDGVMINGQGLSSVYSITVDKGKTYRFRLSNVGLQSSLNFEILGHQLKLIEVEGTHTVQSMYTSLDIHVGQTYSVLVTMDQPPQNYSIVVSTRFIGADVGVGSTLHYSNSKGHKIIPSRAPDPNDIEWSIKQAQSIRTNLTASGPRTDPQGSYHYGKMKISRNLILESSAALVKRKQRYAISGVSFVPPDTPLKLADHFKIKNVFKVGSIPDKPRRGGGMRLDTSVMGAHHNAFLEIIFQNREKIVQSYHLDGYNFWVVGINKGIWSHASRREYNLKDAISRSTTQVYPESWTAIYVALDNVGMWNLRSQFWARQYLGQQFYLRVYSPVHSLKDEYPLPKNALLCGRASNKNMSIITP >fgenesh1_pm.C_scaffold_7001111 pep chromosome:v.1.0:7:5876466:5876788:1 gene:fgenesh1_pm.C_scaffold_7001111 transcript:fgenesh1_pm.C_scaffold_7001111 gene_biotype:protein_coding transcript_biotype:protein_coding MANGCEICCEIMIAILIPPLGVCLRHGCCTTEFMICLILTLLGYVPGIIYALYAIVYVDRDQFFDEYRRPLFYAQSP >fgenesh1_pm.C_scaffold_7001117 pep chromosome:v.1.0:7:5900818:5902234:1 gene:fgenesh1_pm.C_scaffold_7001117 transcript:fgenesh1_pm.C_scaffold_7001117 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLCSSLPSSSSIAIFSDSNTDGSSRSSLSIPSLRFRFRPVAASSHICAPAIDKSTFVISESVSEDELWAAACLRVRTFNELNPSAYNIQDHRRYLAEREFEALKERTSGKREGFTRVACINATLPLSQLSSSSEDLCSSCKFSDGIEDRVVVGSLDLNQCRWLPDEIAGTKPEGIGVDFARAYLSNVCVAKELHRNGVGYKLIDKSKRVAGEWGITDMYVHVTVDNEAAKRLYMKSGFEQETAEPVWQARYLNRPQRLLLWLALPTTSSIMSM >fgenesh1_pm.C_scaffold_7001132 pep chromosome:v.1.0:7:5991952:5995980:-1 gene:fgenesh1_pm.C_scaffold_7001132 transcript:fgenesh1_pm.C_scaffold_7001132 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAELTEPTTGTEKHQQPIGVEEEDEQIVDLERKTFRHGKRHDTSLDSSTITSTTNTSSASSSFSGDGTEETPDFHSNGQGEHTALVNLELETEVGLHEEHNGGNNCIVFFDEEQGFWICRHCDWTYKEGSLLCKGSESAGAEHDAHESQTADLNGEEKQLEPENDSTSEDNKSSREIEEVQDGDVSKDRDAVDQPAGDVIEEEVDIEDVEDFDVENVLDKQETHDLFCPNCDSCITKRVVLKRRKRRVCHELGDSKRVRGPHWTEPLLHSEDNVLSLGGGENSANESFLFKCLSCFTIFIPKGVEGLKIQPNPQEEATGDSNWFNSIFGFNKKESAVQQGGASSSVPVANPPRESSVPVVNPPRGNLSPLGKDTIGSTSNNPSKAPAVVQRDAATSIQVAKSNDTSKVVNNGVIVEDGQKFLAPTAEEQTQQKIDNDDSSPADGKHTSDKGRLSPIQPSHGMSILNTVTNRPGGFRVETTFHEEGAPLLFEGKDTSDTRKPDFGLTKITGVMDTGDRGVITSPANPEIDISPGNLLEEGSLREPLMRRVVVQGRKLEILKSIVYGGLLEAITSLGVISSAAGSGASMLNILVLGLANLIGGLILIVHNLQELREEEPIRTTTEDNQTNGREEEEGRYKRLLGRRENFTLHATVAILSFIIVGILPPVVYYFSFSEKHNKDYKVASVFGASLSCIVLLAIAKAHVKYPRGSYLKSILYYATIAVSVSGISYVVGNFLEHLLRKYGWSDGSETPVGQMMLSSLMGRKAGLGYSSSY >fgenesh1_pm.C_scaffold_7001142 pep chromosome:v.1.0:7:6068796:6070602:-1 gene:fgenesh1_pm.C_scaffold_7001142 transcript:fgenesh1_pm.C_scaffold_7001142 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKRPIWSDGASAATPENPSESENGEDSDAAAMVVEELVTSLNTQRLYRELTLSLRTGLRDACAEFSFLRICGLRSLLKTLRTVADSDSIIRLFSHTQTISDLQLVPVLFRHSLKEAEDDRVTSLDHIFSVEPMKITSPSTDAEVAVALRVLEGCCLLHPQSTVLAHKHGAVRVMMNVLSTRGVLEQGACLDALISVLLDSSANQVDFGACNGIEEVAMLMRDKQADENLRRKTAVNEDSFPFCLFWLRCGEFLLLLVGHVNGKDRSPIASVNEDIRRLLGEKSASLIWAASQFGSTGDPEQRITALHIQAGRVLESLDLY >fgenesh1_pm.C_scaffold_7001143 pep chromosome:v.1.0:7:6071040:6073926:-1 gene:fgenesh1_pm.C_scaffold_7001143 transcript:fgenesh1_pm.C_scaffold_7001143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:D7MDS0] MNVVVGPKIGRKLITGSYVVADVMCSEDLVGAIDHALKVFDKMAHSIGPRLYSCCNCRNHVGLHDDIISKAFQGRTGRAFLFSHAMNIVVGPKEDRHLLTGLHTVADISCADCNEPLGWKYERAYETSQKYKEGKFIFEKAKIVKEDW >fgenesh1_pm.C_scaffold_7001148 pep chromosome:v.1.0:7:6084370:6086277:-1 gene:fgenesh1_pm.C_scaffold_7001148 transcript:fgenesh1_pm.C_scaffold_7001148 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP709B3 [Source:UniProtKB/TrEMBL;Acc:D7MDS3] MELISTINLLALILLLFGVSKIWKACWIILLRPLMLSKRFKKQGISGPKYKFVYGNLSEIKKMKKEADLLVLDLKSNDIFPRVFPHYHLWMSQYGETFLYWNGTKPTIYISNHELAKQILSSKFGFSIIPVKRPEVFILFGKGLSFIQGDDWVRHRRILNPAFSMDRLKAMTKPMGDCTLRMFEEWRKQRNGEVGINIEISKEFHKLTADIIATTAFGSSYAEGIELCRSQTVLEKYYNASLNKVFIPGTQYLPTPTNLKLWELDKKVKNSIKRIIDSRLKSKCKTYGYGDDLLGVMLNAAKSNEYERMMRMDEIIEECKNFYYAGQGTTSLTLTWTTMLLSLHQDWQEKLREEVFDECGKDKIPDSDTLSKLKLMNMVLMESLRLYGPVIKMSREATQDMKVGHLEIPKGTGIIIPFLKMHTDKAMWGEDTEQFNPLRFINGISQAAIHPNALSAFSIGPRACIAKNFAMIEAKTVLTMILQQFRLSLSPEYKHTPVDHFNLFPQYGLPVMLQPLDSSS >fgenesh1_pm.C_scaffold_7001172 pep chromosome:v.1.0:7:6184757:6187063:-1 gene:fgenesh1_pm.C_scaffold_7001172 transcript:fgenesh1_pm.C_scaffold_7001172 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEFVNSGGFEVAPAPFEGKPEKNGGKGDEVSIKFGSHGEPPKKAEENINKVLNSDAPKNAAEEWPAAKQIRSFYFVKYRSYTDPKMKAKLELADKELEKLNKARSGVLDKLRAKRAERSELFDLLDPLKSERKGFNTMFDEKRKEMEPLQQALGKLRSNDGGSARGPAICSSEEELNSMIYSYQYRIQHESIPLTEEKQILKEIRLLEGTRDKVIANAAMRAKIKESMGQKDDIQGQVKLMGAGLDGVKKERQAISARINELSEKLKATKDEIQVLENELKTVSEKRDKAYSNIHDLRKQRDETNSEYYQNRTMLNKARDLAAQKNISELEALSTAEVEKFIALWCSKKNFREAYEKRILQSLDSRQLSRDGRMRNPEEKPLIAPEAPPSKATPSETEAVPKAEAKPQPKEEPVTAPKPDATVAQNTEKAKDAVKVKNVANEDDDDEVYGLGKPQKEEKPVDAATAKEMRKQEEIAKAKQAMERKKKLAEKAAAKAAIRAQKEAEKKEKKEITFSFFVEQEKKAKKKTGGNTETEAEEVPEASEEEIEAPVQEEKPQKEKVFKEKPIRNRTRGRGPETLPRAILKRKKSTNYWAYAAPAALVVLLLLVLGYSYVL >fgenesh1_pm.C_scaffold_7001176 pep chromosome:v.1.0:7:6202083:6203392:-1 gene:fgenesh1_pm.C_scaffold_7001176 transcript:fgenesh1_pm.C_scaffold_7001176 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLSYDVSDLCLGKPPLRSLSASSSSVSDAITALKSSEDTFLSVWNCNHNDDVVTECECLGKISMADIICHLSKDHDHTLSALNASVSVLLPKTRSIVLHVQPSCSLIEAIDLIIQGAQNLIVPIQTKPFTKKRQHKDNVSVTTTTHSNGRRFCWITQEDIIQFLLGSIAAFSPLPAMSLSDLGIINSTHTILAVDYHSSASAVVSAISNALAVQTSVAVVDGEGDDDPFTYLIGEISPMTLTCCDETAAAAVAMLSAGELVAYIDGANPPESFVQNVRNRLEDKGLMGLLSLFDSLSPYSTSSGYSSEEEAPARTTSTYGRSMSSSARVARKSEAIVCNPKSSLMAVMIQAVAHRVNYAWVVETDGCFVGMVTFVDILKVFRNFLENNDM >fgenesh1_pm.C_scaffold_7001181 pep chromosome:v.1.0:7:6224078:6226420:1 gene:fgenesh1_pm.C_scaffold_7001181 transcript:fgenesh1_pm.C_scaffold_7001181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7MEF9] MDSQEVSMDVEAPIEKTNDDRSLPFSIFKKANTPVTLKQFENLVYTVKLKEPQGWFRKNDKTEERTILKGLTGIVKPGEILAMLGPSGSGKTSLLTALGGRVGEGKGKLTGNISYNNKPLSKAVKRRTGFVTQDDALYPNLTVTETLVFTALLRLPNSFKQQEKIKQAKVVMTELGLDRCKDTIIGGPFLRGVSGGERKRVSIGQEILINPSLLFLDEPTSGLDSTTAQRIVSILWELARGGRTVVTTIHQPSSRLFYMFDKLLLLSEGNPIYFGLGSSAMDYFASVGCSPSVERINPSDFLLDIANGVGSDESQRPEAMKAALVAFYKTNLLDNVINEVKGQDDLCNKPIESPRGATNTNGEWPTTWWQQFCVLLKRGLKQRRHDSFSGMKVAQIFLVSILCGLLWWQTKISRLQDQIGLLFFISSFWAFFPLFQQIFTFPQERAMLQKERSSGVYRLSPYFMSRLVGDLPMELILPTCFLVITYWMAGLNHNLANFFVTLLVLLVHVLVSGGLGLALGALVMDQKTATTLGSVIMLTFLLAGGYYVQHVPVFISWIKYVSIGYYTYKLLILGQYTANEWYPCGENGILRCHVGDFEGIKHIGFNSGLVSALALTAMLVVYRVIAYIALTRIGKTKSG >fgenesh1_pm.C_scaffold_7001229 pep chromosome:v.1.0:7:6494159:6495931:1 gene:fgenesh1_pm.C_scaffold_7001229 transcript:fgenesh1_pm.C_scaffold_7001229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, hydrolyzing O-glycosyl compounds [Source:UniProtKB/TrEMBL;Acc:D7MEL9] MAVSFLPCLILIVSLFSAIDAHSGMIGVNYGRIANNLPSPEKVVNLLKSQGINRIKIFDTDKNVLTALANSRIKVIVALPNELLSSAASHQSFADNWIKTHIMSYFPATEIEAIAVGNEVFVDPKNTPYLVSAMKNIHTSLVKYNLDKAIKISSPIALSALANSYPPSSGSFKPDLIEPVIKPMLALLQQTSSFLMVNAYPFFAYAANADKISLDYALFKQNAGNIDSGTGLKYNSLFDAQIDAVYAALSAVGFKGVKVMVTETGWPSVGDENEIGASESTAAAYNGGLVKRVLTGKGTPLRPKEPLNVYLFALFNENQKPGPTSERNYGMFYPNEGKVYDVPFSRVRSTPVNGNRDHVPVTHEGHTWCVSNGEVAKEKLQEALDYACGEGGADCRPIQPGATCYHPESLEAHASYAFNSYYQKNSRRVGTCYFGGAAHVVTQPPRYGKCEFPTGH >fgenesh1_pm.C_scaffold_7001237 pep chromosome:v.1.0:7:6515606:6517171:1 gene:fgenesh1_pm.C_scaffold_7001237 transcript:fgenesh1_pm.C_scaffold_7001237 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTEMMERDAMATVAPYAPVTYHRRARVDLDDRLPKPYMPRALQAPDREHPYGTPGHKNYGLSVLQQHVSFFDMDDNGIIYPWETYSGLRMLGFNIIGSLIIAAVINLTLSYATLPGWLPSPFFPIYIHNIHKSKHGSDSKTYDNEGRFMPVNLELIFSKYAKTLPDKLSLGELWEMTEGNRDAWDIFGWIAGKIEWGLLYLLARDEEGFLSKEAIRRCFDGSLFEYCAKIYAGISEDKTAYY >fgenesh1_pm.C_scaffold_7001241 pep chromosome:v.1.0:7:6524353:6527994:-1 gene:fgenesh1_pm.C_scaffold_7001241 transcript:fgenesh1_pm.C_scaffold_7001241 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAHDGHSDDGDDKPIVFKRSDNGDSEDVRPLSSILFRNSPLDRPSEIIKDESDDDEAPISSRFRKKNGNGVSGSKQDSTDENKSLVNKLKNGSTLEHECSKVSGKRILEKSSSADQSSMKKLKVSSSSTSVAMKQDSLKKLGDKRKVEVSPKKLSVKEDETEDDDDDDDDVPIAKRIKSESSKYKTPSAKPKVVKQSSTSSATKSKVKRVVSPPSKTRSKKSKKVMNESKFAKSSKTLPTGDGKKKWTTLVHNGVTFPPPYKPHGVQILYKGNPVNLSPDQEEVATMFAVMRETDFYNKPRFRENFWNDWRKLLGKNHVIQKLDDCDFTPIYDWHLEEKKKKKASPEKNPKQGEKYMWAVVDGVKEKVGNFSVEPPGLFRGRGEHPKIGKLKRRIYPSDITLNIGKDAPIPECPIPGERWKEVKHDNTVIWLAIWKDPIHPGRYKYVSLSASSSQKGQSDKKKYEKARNLKDHIENIRATYTKNFTAKDVSNRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVGNVECVPPNKLKFDFLGKDSIQYVNTVEVEPLVYKAIAQFRAGKSNSDDLFDELDTSKLNAHLKELVTGLTAKVFRTYNASITLDVMLRQETREGDVKQKVVVYQQANKEVALICNHQRTVSKSHGAQIQRLAGKIEELKEGLKELKNNLERAKKGKSPLEGSDGKKTRNITPEAWEKKIAQQKMKIEKMEGDMQTKEDLKTVALGTSKINYMDPRITVAWCKRHEVPIEKIFNKSLLEKFAWAMDVEPHFTF >fgenesh1_pm.C_scaffold_7001259 pep chromosome:v.1.0:7:6634243:6637663:-1 gene:fgenesh1_pm.C_scaffold_7001259 transcript:fgenesh1_pm.C_scaffold_7001259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:D7MF90] MGSKSVVDMIEAASGVHFSGLHVNGHMNGLEPRAVKDTTSASEYIQRQPFVIGVAGGAASGKTTVCDMIIQQLHDQRVVLINLDSFYHNLTEEELARVHEYNFDHPDAFDTEHLLSCMEKLRQGQSVDIPKYDFKTYRSSVFRRVNPTDVIILEGILLFHDPRVRKLMNMKIFVCTDADVRLARRIKRDTVENGRDIGTVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHICTKLGQHDLCKIYPNLYVIHSTFQIRGMHTLIRDSQTTKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGCVYSGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLVYEKLPNDISERHVLLLDPILGTGNSAVEAINLLISKGVPEGNIIFLNLISAPQGVHVVCKKFPRIKIVTSEIDNGLNEEFRVIPGMGEFGDRYFGTDDD >fgenesh1_pm.C_scaffold_7001265 pep chromosome:v.1.0:7:6670741:6672708:1 gene:fgenesh1_pm.C_scaffold_7001265 transcript:fgenesh1_pm.C_scaffold_7001265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microspore-specific promoter 3 [Source:UniProtKB/TrEMBL;Acc:D7MF96] MAGFDNEAGVMGEWFDCSINHRKRSKAELGRDFSLEYIKKEDSLHTSFQETPSCSRGALRERIAARSGFIAPRLNTEDILQSTYLTISSPGLSPATLLESPVFLSNPSLSPTTGKLSSLPSDKAKEELFDGITTSLAFQSISGSSLDPTNIALETDDSQDYEERQLGGLGDSIASGAPADDGYNWRKYGQKLVKGSEYPRSYYKCTHPNCEVKKKVERSREGHIIEIIYTGAHNHPKPPPNRRSGIGSSGTGQDMQIDGTEQEGYPGTNENIEWTSPVSAELEYGSHSGSMQVQSGTQFGYGDAAANTLFRDEDEDDRTSHMSVSLTYDGEVDESESKRRKLEAYATEVSGTTRASREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTANGCTVTKHVERASDDFKSVLTSYIGKHTHVVPAARNSSHIGSGSSGTLQGGLATQTHNHNVHYPVPHSRSEGLATANSSLFDFQSHLRPPTGFSAYIGQSELSDLSMPGLTIGQEKLTSLQAPDIGDPAGLMLHLAAEPKVEAVSLQGLDLSPSSLIYREIMSRLPQI >fgenesh1_pm.C_scaffold_7001270 pep chromosome:v.1.0:7:6688139:6690324:-1 gene:fgenesh1_pm.C_scaffold_7001270 transcript:fgenesh1_pm.C_scaffold_7001270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:D7MFA1] MAMEQRPKTKIVCTLGPASRSVSMVEKLLMAGMSVARFNFSHGSYEYHQETLDNLRQAMLNTGMLCAVMLDTKGPEIRTGFLKDGKPIQLKQGQEITISTDYDMKGDEKTICMSYKKLAQDVNPGMVILCADGTISLKVLSCDKEKGTVRCRCENTSMLGERKNVNLPGVVVDLPTLTEKDKQDILEWGVPNQIDMIALSFVRKGSDLVQVRQLLGKHAKTILLMSKVENQEGVANFDDILINSDAFMIARGDLGMEIPIEKIFLAQKVMIYKCNFMGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICVEAESTLDYGDIFKRIMLHAAVPMSPIESLASSAVRTATSSRATLMMVLTRGGSTARLVAKYRPGIPILSVVVPEITSDSFDWSCSNEAPARHSLIFRGLVPVLYAGSARASIDESTEETIEFATEYGKKKQLCKTGDSVVALFRTGNAIVIKILTVK >fgenesh1_pm.C_scaffold_7001275 pep chromosome:v.1.0:7:6710615:6714458:1 gene:fgenesh1_pm.C_scaffold_7001275 transcript:fgenesh1_pm.C_scaffold_7001275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl-tRNA synthetase [Source:UniProtKB/TrEMBL;Acc:D7MFA8] MAANEEFTGNLKRQLAKLFDVSLKLTVPDEPSVEPLVAASALGKFGDYQCNNAMGLWSIIKGKGTQFKGPPAVGQALVKSLPTSEMVESCSVAGPGFINVVLSAKWMAKSIENMLINGVDTWAPTLSVKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEYSNVEVLRRNHVGDWGTQFGMLIEYLFEKFPDTDSVTETAIGDLQVFYKASKHKFDLDEDFKERAQQAVVRLQGGDPVYRKAWAKICDISRTEFAKVYQRLRVELEEKGESFYNPYIAKVIEELNSKGLVEESEGARVIFLEGFDIPLMVVKSDGGFNYASTDLTALWYRLNEEKAEWIIYVTDVGQQQHFNMFFKAARKAGWLPDNDKTYPRVNHVGFGLVLGEDGKRFRTRATDVVRLVDLLDEAKTRSKLALIERGKDKEWTPEELDQTAEAVGYGAVKYADLKNNRLTNYTFSFDQMLNDKGNTAVYLLYAHARICSIIRKSGKDIDELRKTGKLALDHADERALGLHLLRFAETVEEACTNLLPSVLCEYLYNLSEHYTRFYSNCQVNGSPEETSRLLLCEATAIVMRKCFHLLGITPVYKI >fgenesh1_pm.C_scaffold_7001292 pep chromosome:v.1.0:7:6799166:6801213:-1 gene:fgenesh1_pm.C_scaffold_7001292 transcript:fgenesh1_pm.C_scaffold_7001292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MFC8] MATTTARFSDSSYEFSNTSGNSFFAAESSIDYPSEFLTPPEVSALQLLSNCLESVFDSPENFYSDAKLVLAGGREVSFHRCILSARIPVFKSALATVKEQKSTTVKLEMKKIATDYEVGFDSVAAVLAFVYSGRVRPPPKGASDCVDDDCCHVACRPKVDFMVEVLYLAFVFQIPELVTMYERQFLKIVDKVVVEDILVIFKLDTLCGQTYKKLLDRCIEIIVKSDIELVSLEKSLPQHFVKQITGIRKALGLEPPELQIHVKNLYKALDSDDVELVKMLLLEGHTNLDMAYALHFAIAHCDVKTAYDLLELELADVNHRNPRGYTVLHVAAMRKEPKLIISLLMKGANVLDTLLDGRTALVIAKRLTKTDDYKTSMEDGTHSLKGGLCIEVLEHEQKLEYVLPREASLSLPVTPEELRMMLLYYENRVALARLLFPVESEIVQDIAKLDETCEFTASSLEPDHRIGEKRTSLDLNMAPFQIHEKHLSRLRAVCKTVELGKRYFRRCSLDHFMDTEDLNHLASVEEDTPEKRLQKKQRYVELQETLMKTFSEDKEEFGKPSTAKPTSAMRSNRKLSHRRLRVDKRDFLKRPCGNGD >fgenesh1_pm.C_scaffold_7001297 pep chromosome:v.1.0:7:6818985:6820816:-1 gene:fgenesh1_pm.C_scaffold_7001297 transcript:fgenesh1_pm.C_scaffold_7001297 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEK1 mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:D7MFD3] MNRGGLCPNPICLPPLEQSISKFLTQSGTFKDGDLRVNKDGIQTVSQSEPGAPPPIEPLDNQLSLADLEVIKVIGKGGSGNVQLVKHKLTQQFFALKVIQLNTEESTCRAISQELRINLSSQCPYLVSCYQSFYHNGLVSIILEFMDGGSLADLLKKVEKVPENMLAAICKRVLRGLCYIHHERRIIHRDLKPSNLLINHRGEVKITDFGVSKILTSTSSLANSFVGTYPYMSPERISGSLYSNKSDIWSLGLVLLECATGKFPYIPPEHKKGWSSVYELVDAIVENPPPCAPSHLFSPEFCSFISQCVQKEPRDRKSAKELLEHKFVNMFEDSDMNLSAYFTNAGSLIPPLANY >fgenesh1_pm.C_scaffold_7001305 pep chromosome:v.1.0:7:6869004:6871207:-1 gene:fgenesh1_pm.C_scaffold_7001305 transcript:fgenesh1_pm.C_scaffold_7001305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7MFU7] MSVSIPVLMKRLCLYNSLSYLFHLFPSPSFALSFSVELNLLKAQMVWAKARMRLALSLITVFLGISLADLEVGFYSNTCPQAESIVRRVVLGAALSDPNLPAILLRLHFHDCFVEGCDGSILVNNGAISEKNAFGHEGVRGFEIVEAAKAELEAACPGVVSCSDIVALAARDAISLANGPAYEVPTGRRDGRVSDMSLAKDMPEVSDSIQILKDKFMQKGLNAKDLVLLSAAHTIGTTACFFMSKRLYDFLPGGQPDPTINPTFLPELTTQCPQNGDINVRLPIDRFSERLFDKQILHNIKDGFAVLQTDAGLYEDVITRQVVDSYLGMLNPFFGPTFESDFVKAIVKMGKIGVKTGFKGEIRRVCSAFN >fgenesh1_pm.C_scaffold_7001315 pep chromosome:v.1.0:7:6942416:6945041:-1 gene:fgenesh1_pm.C_scaffold_7001315 transcript:fgenesh1_pm.C_scaffold_7001315 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHLVLAKPFSLEDEKDSEHTASNVIRKILSLFKTVRPGSDLTNFQLPPQLNLPRSQLQCYGEMVYSFGGQDLLGECSRRDLPIERFKSVVTWNISTLRPLVFGMSPYNSVLGETHHVSNGHINVIAEQVVHHPPVSALHATHEQENIDVTWCQYFTPKFRGTYVDVEVKGKRVMKLLNRKETYEMDQPRLIMRFLPAPGAHWAGKVKIKCPETNLEAELHLISDSFIERFRGNNNRSLKGKIFESSSGNQLYNIYGHWDRTVMAKNLKTGEVEVIYNAKENITGLKPPTVKNLQEVMESESTMVWSEVSERILKKDWERAREAKILVEEKQREALKQRETSGESWVPKHFSVVNKGKDWDCSPLQPTVPPGPLVITEAQGEILNRFQDSNTLC >fgenesh1_pm.C_scaffold_7001318 pep chromosome:v.1.0:7:6958851:6960030:-1 gene:fgenesh1_pm.C_scaffold_7001318 transcript:fgenesh1_pm.C_scaffold_7001318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MFW6] MACFATKQPLLLSLLLAIGFFVVAASAGSFYESFDITWGNGRANIFENGQLLTCTLDKISGSGFQSKKEYLFGKIDMRLKLVKGNSAGTVTAYYLSSKGATWDEIDFEFLGNLTGQPYTIHTNVFTGGKGDREMQFHLWFDPTADFHTYTVHWNPLNIIFLVDGIPIRVFKNYEKYGVAYPKNQPMQIYSSLWEADDWATQGGRVKIDWSNAPFSASYRDFNDQSSCSRTSNLTWVTCDPNNNSWMWTSLSDRQYGQMKWVQDDYMIYNYCTDYKRFPQGLPKECTKLE >fgenesh1_pm.C_scaffold_7001328 pep chromosome:v.1.0:7:7010527:7015346:-1 gene:fgenesh1_pm.C_scaffold_7001328 transcript:fgenesh1_pm.C_scaffold_7001328 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 2 [Source:UniProtKB/TrEMBL;Acc:D7MFX8] MAIEYTEMSLPEHILLHPDLYLGSTKEQTQTVFVYENGEMVKREVNFVPAFLRIIDEILINAADNKQRDPCMDSLRVFIDDEKGKICIYNSGSGIPVIILDNDNYLPHILFGRILTTSVIHDDNEKKITGGRNGLGAKLTNIFSSEFKIETADGENKFTKVFRNNMKDEDDHLISSCKDTFTQISFTPDLQKLNMKCFGESMVSLMRKRVLEVANFLGNSVKVELNGVHIPSISFTNYVGLYLNSSKEPDPLPRIAEESNVDGWDICVTSSDGEFQQFSFVNSVATINGGTHVDYVTSQLTNHIVEIAKRKNKNTHLKTHVLMSHLWVFVNARIDSPTFDFPKREKLTSDQSSFISKGKLSEEFLKKVAKSAVVEKLLSLATFKQRLTIENLVDANHAGGDLSQKCTLILTEGDSAKALPMVGMSALNRNLYGVYPLRGKLINVKKASEARITKNKVIRDIMEIIGLKKCYNKYKNTKSLRYGRLMIMTDQDHDGTHIKGLIINLFHTFWPSLLELSPSFIVEFITPLVKATQHGTHRIERIYSNPAYNNWSKTIEHDKWSIDYYKGLGTCTYEEACEYLAHIDNHTKEFFWAGDNDGRSIDVAFKTEDIAAKKIWLEEMPKVYIGRLNRRMSYGSFINEELIFAAQAILERSIPSLIDGFRLAQRKVVYSLFKREQEANVNFEEKIKVTQLASYVSEHAAHHHCERSLSRTIIRMAQTFVGSNNVNLLQPFCLFGSRASGGTHDVDARYIHTLLSSITRLLIHKDDDDILEYHNVFGRKRHPECFLPIMPMVLVNGSQSVGMGWNRFIPSYDPRVISSNIKRLLRGETQTPMLPWYRNFKGDIKQVSSNEYTTTGIYDVNDKDRSIRITELPVHVWTMNYLKVLERLKKHSVIEGYKNDSDNTSIDIKLSLSEEQMKRFLNEKNPRKLLRLSTTIRTNNMHLLNRFNVLTKYESPDKILEEFFEERLNMYRVRKEHMVQILTFERDKLECKVAIFQRVLNGEINIALNLDAVLQEKGFKKYGKTINDRFPSYDYLTEDLMSMIRDPSKVDELMAELGDVNKRLGYYRLLTAETHWNNELDAFDKALEV >fgenesh1_pm.C_scaffold_7001331 pep chromosome:v.1.0:7:7045863:7048573:-1 gene:fgenesh1_pm.C_scaffold_7001331 transcript:fgenesh1_pm.C_scaffold_7001331 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTRSVEVKATIDTGLPWKVVATKGSRSSTRRTKKQIALAAAPDIEVDYKSSAGTAAEGDSESEKLGVSVLGQHFAERVEHVPIKKRRFMVPSPSPLNKSSARGEGSKHRLETNHALPVSILNPNLMGRKTAEVSDDKPDCSSHDFSGIKILAEVACSSGMISDIASAADSQPVELVQQQDALTLSTHVESNDSSTGIVDVSGKDTTIESSDKVGEDKSEIIVPPNVLNDTLGNALATDQSEEHSIVASSGLIVAQNISIAVSNESSTERPKEIKEAGDSGNLAPHSETVNMSEKLSDDERTGMGKSTECLTDDRLLWDLNLPTDAWGQPGDVVDEASRRYSDREVTESVTGNGHVDGSKDYVADLIASDLQTNSPSPSGPKAEASARNGKECQSGYDSQFEDGELREPYPWEENEGDSGDVEQVDYGSEPENERFYSLAESNENKLEDVEKGVLPETKCRAGKCDSDNLHEGSSDVEKHVVRDVGPNKFIGRDRSGMRMRSRSPGRGQFGGWDSKRRFSPPIYKGGQYGFGRPRPKTVVEDRVMMNGFNQPGPGPGQGPHGYVRRQFSNGGYRGRFRRFPDGSGNRDFRGVDRPFPPGDGNDYPSRMHNNRVNNRRERSNSPPVFRRLNDPQSRSRSRSRSPGSWNGRNRSPPGFRGDENRMERVRLPFQKRFPLDQEMGFMSPPRNQRNSRFFDGRNNDAGGENHHNNLRGRKSPPGRMFRPEQRFDNNMRRVNLENNNFRPFMRHNNNNRRFVDGGGSRGGCKYEGVEEEKNGNGNRYEMAMEEDGDDIRRFRVNTEQQHSVVSNNNNNIEAS >fgenesh1_pm.C_scaffold_7001339 pep chromosome:v.1.0:7:7084518:7086187:1 gene:fgenesh1_pm.C_scaffold_7001339 transcript:fgenesh1_pm.C_scaffold_7001339 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGINAMAVTFVAHALAVIAAIMVLVWSISYRGGLAWEATNKNLIFNLHPVLMLIGFIILGGEGNKNFHFAFYFHYKLQIASVGETSEEVDPPYTPAIALALGIFGICAAFKNHNESGIPNLYSLHSWIGIGVISLYGFQWVYSFIVFFFPGGSTNLRSGLLPWHAMLGLFVYILAVGNAALGFLEKLTFLENGGLDKYGSEAFLINFTAIITILFATFVVLTASAKSPSSNDDTVDYSYSAI >fgenesh1_pm.C_scaffold_7001349 pep chromosome:v.1.0:7:7138076:7143628:1 gene:fgenesh1_pm.C_scaffold_7001349 transcript:fgenesh1_pm.C_scaffold_7001349 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDMNGGNKRVFQRLGGGSNRPTTDSNQKVCFHWRAGRCNRYPCPYLHRELPGPAPGPSSTNKRVADESGFAGPSHRRGPGFSGTANNWGRFGGNRTVTKTEKLCKFWVDGNCPYGDKCRYLHCWSNGDSFSLLTQLDGHQKVITGIALPSGSDKLYTASKDETVRIWDCASGQCTGVLNLGGEVGCMISEGPWLLVGMPNLVKAWNIQNNVDLSLTGPVGQVYSLVVGTDLLFAGTQDGSILVWKYNSTTSCFDPAASLMGHTLAVVSLYVGANRLYSGAMDNSIKVWSLDNLQCIQTLTEHTSVVMSLICWDQFLLSCSLDNTVKIWAATEGGNLEVTYTHKEEYGVLALCGVHDAEAKPVLLCSCNDNSLHLYDLPSMSDEQEAPLINGVEHKICEVLPFVDDDYGGVIVEMKTPMDTKSFVAALRYSFEHWRSQGKKGVWLNLPLSHVNLVEPAVKEGFRYHHAEPTYLMLVYWIPKAESTIPLNASHRVRVGAVVLNHNKEEKYGKLRGSGNWKIPTGVVDEGEEIFAAAIREVKEETGVRRSIYLYIDTEFLEILAFCQTHESFFAKSDLFFVCLLRPTSFDIQKQDLEIEAAQWMRLEDSASQPITHKNELFKAIHRICSMKMENSYSGFSSQHITTFFDDKLGYLYLNKQEDMKKHIS >fgenesh1_pm.C_scaffold_7001377 pep chromosome:v.1.0:7:7273572:7274766:1 gene:fgenesh1_pm.C_scaffold_7001377 transcript:fgenesh1_pm.C_scaffold_7001377 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFVNLLLFSLCPLAFSNENSSSYLISRPLIFETQLKNMDDNVNLHCTSWRFAAETNNLAPWKTIPAECADYVYHYLMGEGYVVDVERVSEEAKLYASSFQSNADGKDIWIFDIDETLLSNLPYYMEHGCGLEVFDHSKFDKWVEKGIAPAIAPSFKLYQKVVDLGYKVILLTGRRENHRVITVENLRNAGFHNWDKLILRSLDDRNKTATMYKSEKREEMVKEGYRIRGNSGDQWSDLLGSAMSERSFKLPNPMYYIP >fgenesh1_pm.C_scaffold_7001406 pep chromosome:v.1.0:7:7383843:7390653:1 gene:fgenesh1_pm.C_scaffold_7001406 transcript:fgenesh1_pm.C_scaffold_7001406 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:UniProtKB/TrEMBL;Acc:D7MGM1] MVSPGRSESTSGDNNSPPPDGSSEKRPSPSPADKSPSKRQKLEGGGASAAKETDTLPPADSGKCVLGDNTSTSEDAKIDAYAVAVTTAQPPPVAEGSTPILEENANFARWIYLHSKFEFPWCRLISQSAQYPSIEIFQSTYTVGSSVTCSFTFEDRELSSYLFKITRIQRKGNVVAVLETTGKRGYVLVNRIYVEKKVNHVLNSGDEVIYQQLPKVPAKAGSVQVPAGKFLELEREARDPTGSSIFSSLESLKHDLSRRKSSSHESSKSHQAPESVVQVDGMGAVSSHNQDSKMQILDEKNEVTSNSQQASTSGNGLQSAIVREGIQAGIVEGENLEVSIKTFPYYLSEYTKATLIHASFIHLKKKEYAHFVSDMTHLNPRILLSGPAGSEIYQETLAKALANDLDAKLLIFDSHSILGFTRGKVLHLHLLPQALAAKEIESLRDGLASNKSCKLPNQSIELIDQGKSPDLSAGGGVASSPSPAASSSSDSQLNLEPETLPLSKILLQSSWISGWRILHLKKKTLAGDRVKFVGTELGPPKGITGKVILVFDENPSAKVGVRFDKPIPDGVDLGELCETGHGFFCKATDLKFKSSSSEALAKLLVNTLFEVVHTESRTRPLILFLKDAEKSVVGNSDLYSAFQIRLEYLPDNVIVIGSQTHSDHLMEKDIGRQKEQGNEVPQATELLAELFENKVPIQMPQDEELLTLWKHQMDRDAEISKVKANFNHLRMVLGLCGLGCEGIETLCMKDLTLQSDSAEKIIGWAFSNHISNNPDTDPAKIILSRESIEFGIGLLQGDLKGSRSSKRSLKDIVTENEFEERLLSDVILPSDIDVTFDDIGALEKVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVAKEAVANFINISMSSITSKWFGEGEKYVKAVFSLASKMSPCVIFVDEVDSMLGRRENRQGHEAMRKMKNEFMMHWDGLTTKQMERVLVLAATNRPFDLDEAVIRRLPRRLMVGLPDTSNRAYILKVILAKENVSPGLDINWIASMTNGYSGSDLKNLCVTAAHRPIKELLEKEKRERDAALAEGKVPPALRGSSDLRALNMEDFRYAHERVCASVLIESANMTTLQQWNELYGEGGYRKQQSFSFYM >fgenesh1_pm.C_scaffold_7001411 pep chromosome:v.1.0:7:7406506:7410082:-1 gene:fgenesh1_pm.C_scaffold_7001411 transcript:fgenesh1_pm.C_scaffold_7001411 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLTDEQREMMKKATQTADDLPPSQKPHSVLLEHLPKVSAGGKASGASNAVKHRRSHAGRSTRSKKDGCGGKGNWGKLIDTDGDYHIDRNDPNYDSGEEPFELVGATLSDPLDDYKKAAASIINEYFSTGDVDVAAADLIELGSSEYHPYFIKRLVSVAMDRHDKEKEMASVLLSALYADVINPNQIRDGFVLLLESADDFVVDIPDAVNVLALFLARAVVDDILPPAFLPRAAKALPVTSKGYQVVQTAEKSYLSAAHHAELVERRWGGQTRTTVEEVKKKIADILNEYVETGETYEACRCVRELGVSFFHHEVVKRALVTAMENHAAEGLVLKLLKEAASENLISSSQMVKGFSRLRESLDDLALDIPSARTKFDLIVPKAVSGGWLDASFGYPSGECGRQQIEDEKLKRFKEDIVTIIHEYFNSDDIPELIRSLEDLGAPEYNPIFLKKLITLALDRKNHEKEMASVLLSSLHIEMFTTEDVADGFVMLLESAEDTALDILDASNELALFLARAVIDDVLAPFNLEEICSKLRPNSSGTETVKMARSLIFARHAGERLLRCWGGGSGWAVEDAKDKISNLLEEYESSGLVSEACKCIHELGMPFFNHEVVKKALVMGMEKKKDKMMLDLLQESFGEGLITTNQMTKGFTRVKDGLEDLALDIPNAKEKFKDYVEHGKKNGWRQQESEVSKLKMKFVIPKLPFTLSLLLSLSLLIIFLFAFPTTFLRRPLSSSVIAVADEGIRIRHHGYSSYEAYIKHQLNKTQNPKLRKVWTTRDWDRKVRVFSTFFRRLSDRGLLSNQSKALSIGARVGQEVAALRLIGVEDSVGIDLVPRPPLVVKGDFHAQPFDEETFDFEFSNVFDHALYPEKFVGEIERTLKPGGVCVLHVSISGKTDKYSANDLFSVKPLVNLFKRSKVVEMRKIDGFGLDTEIVFRKNIN >fgenesh1_pm.C_scaffold_7001422 pep chromosome:v.1.0:7:7471174:7477478:-1 gene:fgenesh1_pm.C_scaffold_7001422 transcript:fgenesh1_pm.C_scaffold_7001422 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTAGDRRWGTTRRSGMTILGKVAVPKPINLPSQRWFTFLLDIYFRGTLSWGSKSSLNAWGTSLSPQTESGPGSPSHLSNRPSSGGSVTRPSTADSNKAHDSSSSVAWDSNSRPSSASGVFPSNQASVALQRPHSADTRPGSSQLSRFAEPVSETSATWGQHVAAEKLGVAPSKNDGFSLTSGDFPSLGAEKETSEKSTRPHVNTLDMALRNCKFADAGPHARPPSSSGRSVEGQGVDCTEGSYFQTSFSMFWGYRTDVGISFRELLMFIIISYERAMLPSQWMFSWALYVTISCLLFAEANERIGEANSWRRDNQPYSEDAPRHCREEGQLDSRGSQSYHNANFPPQYDAWRGPPVNNHQGGGWYGGNHPYGAPMGPGGFHMDPFPFYPTQVPPSPGHGAGPRGNHANNERMFRPPMLDSYVHPRMQTRPGFYVGPAPHDGYYGPPMGYGSPSNRDLPFAGRPTGPHAYNNHSGQGVYDTPGSSVSLERNESSHSQETQRPYKVILKHQDGRFGEDRAKREEFLGNRLPNAEKIAQQMQTSRNERREIRNEASGEVQPIKAELAAHGDPSLIQKIEGLNAKTRTNDGWQNTSSVVNRDEQESKPRTVNSGNSVNKVSAKNPRTGHASDSKNLHYNQGDSATNKNAELAAMGGTAIFRRSTQQTQGRADPQTKRIVNSEGNDAWQKTNTESFHEVNVDDSLDTDSIRRPGSGISADPNDNQRSTMRELARQRAQQRQKEEEERARDQRAKALAKLEELNRRSQVSEEGSVKNNASPPDMPEDPGSHSSALEMKNTVAVANSVEPTGGSGKNTMQNTKTSTEYANNVGPTQQDNLPRDHDGGGSKQKRLGYKQKQQNIIFEKKTTGSSVATTEVFDVVPSPVVVNEGVSSHNSDMQATSSVSAESTFPKRKNNRNGKKKHKAEETATMNTTRVAVGKETKSGDESIETGRARAAAMESGSVSVPSLDIKVSGDSSEQISSFTNEESQNRGKNNWKSQHLRRTQRNSLVNKPGEKFSGNNAVIWAPVHPQQKADVSTGGGSQTTVPEFGTSSKSHHHGQTSSKSKRVEIERYVPKPIVKEMAEQIVSKNLGNSAPDMSENVNKKENRGGEGTGVLEPSGSTAGNSGSPSKSRHGNGRQGKHGREHGSWHQRGSGAHTKPLEDGQYVTSNQPIRGTVNYHSSNQTEQITAKDQTTCNKDGWNDGWYMTPETHYSAAEEMESSAVGKDQGMSMHGKQHASRSNKDGGSNYGDPKKANKRDFNKAHMQHSGHGFNQPDLPAASKESRVPGDHVWHTANRTGKYGGRESTRDKPYGSQKKDVAGYEHQGFTTEQKTTSADTQAQSQNRSSNNEVQVEQNPNSMFQKNTGQGRRFGRGQESQGGWGLPAQENLHHHHQRPPSNRDRQKQNMHYEYKPVGSHNYDGERSGEQSKESSQTEGPRYREKGQGQQRQGGYQQQRGNSGRNTGHGFTGERN >fgenesh1_pm.C_scaffold_7001439 pep chromosome:v.1.0:7:7569666:7571140:-1 gene:fgenesh1_pm.C_scaffold_7001439 transcript:fgenesh1_pm.C_scaffold_7001439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7M8T6] MEDSEKRKQMLKAMRMEAAAQNDNDDSTTDPETSMNTGHLSNPLAETSTQHQDSFETSRFDYYTDPMSAYSSFKKIKTPKQQYISSPSHQASSPVPPQFPPSVPPGSLGSEYQAHTNHGGFQAAHYEPRGMSHLSPPYRGSPASWNNNFRPPPVNHPGPPQWVPRPFPFSQEIPNMGNNRFGDRGSYNNTAPHFSNYGRQNANWVGNTYPNSGRGGGRGRGMNTSFGRDGGRRPTELGAERYYSNSMADDPWKYLKPVIWKSCSDASSSNSTGQAWLPNSTAPKKSVTSEATHKPSNNQQSLAEYLAASLDEATCDESSS >fgenesh1_pm.C_scaffold_7001465 pep chromosome:v.1.0:7:7700334:7700899:1 gene:fgenesh1_pm.C_scaffold_7001465 transcript:fgenesh1_pm.C_scaffold_7001465 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTCILQDEFVRWYLELSFSARVVIYITVLGTIMTITYLVLKFLSECDMEDDTERLLPLMAEEEVHTVWTPLINESSEVTGKGDVETASFSSSDDVDYSTLCVICFEERRNCFFVPCGHSATCRGCAQKILSEENKVCPICRRVIRKSKRLVLKKL >fgenesh1_pm.C_scaffold_7001487 pep chromosome:v.1.0:7:7819735:7823620:-1 gene:fgenesh1_pm.C_scaffold_7001487 transcript:fgenesh1_pm.C_scaffold_7001487 gene_biotype:protein_coding transcript_biotype:protein_coding MERHRKHSVGGTTLHRCHPCRRAIPYRIYAVIHTCGIIALMYHHVHSLLTANNTLITCLLLLSDIVLAFMWATTTSLRLNPVHRTEYPEKYAAKPEDFPKLDVFICTADPYKEPPMMVVNTALSVMAYEYPSDKISVYVSDDGGSSLTLFALVEAAKFSKHWLPFCKKNNIEDRSPEVYFSSKSHSQSDEAENLKMMYKDMKSRVEHVVESGKVETSFITCDQFRGVFDLWTDKFTRHDHPTIIQVLQNSETDMDTTKKYIMPNLIYVSREKSKVSPHHFKAGALNTLLRVSGVMTNAPIILTLDCDMYSNDPATPVRALCYLTDPEINTGLGYVQFPQKFQGISKNDIYACAYKRLFEISMIGFDGLMGPNHVGTGCFFNRRVFYGAPSNLILPEIDELKPNRTVDKPINAQDVLALAHKVAGCIYEHNTNWGSKIGYRYGSLVEDYYTGYRLHCEGWRTVFCSPKRAAFCGDAPKSLIDVVSQQKRWAIGLLEVAFSRYSPITYGVKSMGLLMGLGYCQYACWPFWSLPHVVYGFLPQLALLYGVSVFPKSSDPWFWLYIVLFLGAYAQDLLDFVLEGGTYRGWWNDQRMWSIRGFSSHLFGFIEFTLQTLNLSTHGFNVTSKANDDEEQSKRYEKEMFEFGPSSTMFLPMTTAAIVNLLAFVWGLYGLFAWGKGLVLELMLASFVVVNCLPIYEAMVLRKDNGKLPKRICFVAVILTFVLIVSGYFFLK >fgenesh1_pm.C_scaffold_7001507 pep chromosome:v.1.0:7:7900948:7901506:1 gene:fgenesh1_pm.C_scaffold_7001507 transcript:fgenesh1_pm.C_scaffold_7001507 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSEKKYNKSSENVSFAEHKILKEKYESLMIEHEGLIKTLEFLETTHGFTVDDLVNKQREALERKEVIEKWENKFGEMQKKLEFVEKNIEYIMSVDDETEMNGVDSDAMVISDDDEENQRHSNSSHQDHFIL >fgenesh1_pm.C_scaffold_7001508 pep chromosome:v.1.0:7:7902108:7902552:-1 gene:fgenesh1_pm.C_scaffold_7001508 transcript:fgenesh1_pm.C_scaffold_7001508 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSPKPVCGQEALQLLNCVTESSFDQEKCLRFLQSLRECVLSKKVNKFSIPSQDQDSEGAASATTRPS >fgenesh1_pm.C_scaffold_7001526 pep chromosome:v.1.0:7:8032138:8036294:1 gene:fgenesh1_pm.C_scaffold_7001526 transcript:fgenesh1_pm.C_scaffold_7001526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7M936] MKNAIGDSSSSQDLQQCIGSAVVAMGPVKLLTLLPITLHAESHSCTNAWLIPILRKCIIGASLSYYVDRIVPLAKSLMLASKGAKKSAPGKELRACGHELLRLLPAFCNYPVDVPKNFGSLAKLMVEFIKNKSFMHEAVALSLQMLVNQNKRLPKPSTDMGEAKAISEEDATTELESGFHYSKKASTKNMKALASSSTELLQTLVDVFTVSGTEISADFKAAIGCLASTLDSSVRKKILISLLNKFDPAGENETEGQVNLSNDSMDEEKEKCSATKTQLKRSAVLDLASSFVEGAKEDLVELIYILVRQSFQATDEADLRGAYDTLSRVLQEHGWFCVSHFAEVIEMLLSHKNPEDAASSRSRFACLHVLMAHGIQSSAEEENEKAFLILNEMILTLKDGKEEHRKAACDALVMVYTTLKNSSSITSDELCLKLINMITGYISGSSPHIRSGAVSALSALIYKDPEICLSSPELLSSVLSLLHTKSIEIIKAVLGFVKVLVSTSQAQDLQSLLQNLLYEILPWSSVSRHYFKSKVTIIVEIMVRKCGTRAVQLATPDKHKNFIQTVLENRSGKSKDKEETNDSQTTSINSSREPRKRNYREASSETSAKQDGNKFKRQKRTHQQHTPASGLNGSRTGPQRPANRSFGKHREASGNNHKSGKETRKPQKNRFRKAP >fgenesh1_pm.C_scaffold_7001528 pep chromosome:v.1.0:7:8046382:8048068:1 gene:fgenesh1_pm.C_scaffold_7001528 transcript:fgenesh1_pm.C_scaffold_7001528 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVRPVCMTILLILFIIFLLSVPSSAIDLPATSGGHNRSNEEVGFIFQMWMSKHGKTYTNALGEKERRFQNFKDNLRFIDQHNAKNLSYQLGLTRFADLTVQEYRDLFPGSPKPKQRNLRISRRYVPLDGDQLPESVDWRNEGAVSAIKDQGTCNSCWAFSTVAAVEGINKIVTGELVSLSEQELVDCNLVNNGCYGSGTMDAAFQFLINNGGLDSDTDYPYQGSQGYCNRKESTSNKIITIDSYEDVPANDEISLQKAVAHQPVSVGVDKKSQEFMLYRSGIYNGPCGTDLDHALVIVGYGSENGQDYWIVRNSWGTTWGDAGYAKMARNFEYPSGVCGIAMLASYPVKNSASNA >fgenesh1_pm.C_scaffold_7001543 pep chromosome:v.1.0:7:8158878:8159674:1 gene:fgenesh1_pm.C_scaffold_7001543 transcript:fgenesh1_pm.C_scaffold_7001543 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLISFIFLFLFSFLTSFKASAQDHYFLNHNCPNTTTYSSNSTYFTNLKTLLSSLSFPNASYPTGFQNATVGQTPDRVTGLFLCRGDLCPKFAVTASPSPNTNNISPIQKQINQFIILVLSNMNQAAMEAAKSSRKFSTITTELTALQTLYGLVQCTPDLSRQDCMRCLTSSINRMPLSRIGARQFWPSCNSRYELYAFYNETAIETPSPPPPPLRFPGQ >fgenesh1_pm.C_scaffold_7001544 pep chromosome:v.1.0:7:8261384:8263709:-1 gene:fgenesh1_pm.C_scaffold_7001544 transcript:fgenesh1_pm.C_scaffold_7001544 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKESEEMRVNKKTKLEWRPEDCAQVIGVSDKCTGEGEKKKCHYKTFQFHANKYGLEDSVLLVPEDATQKPYVAIIKDIYIQEKEEYVKLEVQWLYRPEDVEKKYVGKWEPKDSRDLFYSFHRDEVSAESRISKSQTVESILVSSCRMLRKFTFNGFDLQQKREIDHLVEKTILRVGHLPDIVKEQKTLISRSKRSVPQSYIIKAVETSHESNNGVNSILENFDLLTGDSDRDKSLEELLEVVKPSCRTSKKKQAGDYDSFWPNDVVSVVSALEQALYDSLKEDISKYSNKLEILVGKLKRGFTFDELKADLEEPILEEDGSSSTSRSVGHKDSFED >fgenesh1_pm.C_scaffold_7001547 pep chromosome:v.1.0:7:8290413:8291392:1 gene:fgenesh1_pm.C_scaffold_7001547 transcript:fgenesh1_pm.C_scaffold_7001547 gene_biotype:protein_coding transcript_biotype:protein_coding MSENSSITVDQPTRQNGIVRGGQVIPLANMRPLSPPTARRIIVIPNRADIVSVLAYISRISRQAVYILSGRGYVSQASLLSLPSRENRMGRGIILYLSGWFQPMLTDVGLAVQSSRPNGILHVSLSGVSQSVAIANQLIAFGDVEVDVIFVCGPGTLFTPDAPGGPRERVRVNAVPVAQAPAE >fgenesh1_pm.C_scaffold_7001578 pep chromosome:v.1.0:7:8469699:8480950:-1 gene:fgenesh1_pm.C_scaffold_7001578 transcript:fgenesh1_pm.C_scaffold_7001578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7ME07] MSDSIHRRKVPTPVGNGGRSSRTRRTAFRYVSDKNSLSKSSNKVFERSFSEPSLNRRRDGDSNHLRRPSPMRGLPLEESEPIVYLPRIRSEVFASSPSLLNFSSPSSLSPINQEGNTKEAPKVVISVAVEGSPGPVRAMVKLSCNVEETIKIVVDKYCKEGRTPKLDRDSAFELHQSHFSIQCLEKREIIGEIGSRSFYMRKKAHETEGSFVGTTPVRTTLISSSNLIGSYIAQLIGKIMRRTRQIWNILLNIELVSEMLDSRLRFFLERCVVIQQAKQVHAQLVVNRYNHLEPILVHQTLHFTKEFSRNVVNYVKRILHGFKSSKGMDSFSWGCLVRFLSQHRKFKETVGVYIEMHYSGIPPSSHAITSVLRACGKMEDMVDGKPIHAQALKNGLCGCVYVQTGLVGLYSRFGYIEMAKKVFDDIAEKNTVSWNSLLHGYLESGNLDEARRVFDKIPEKDVVSWNLIISSYAKKGDMSNACSLFLTMPLKSSATWNILIGGYVNCREMKLARTYFDTMPQKNSVSWITMISGYTKLGDVQSAEKLFRQMSRKDKLVYDAMIACYAQNGKPKDALKLFSQMLESNSDIQPDEITLSSVVSASSQLGDTSFGTWVESYITEHGIKIDDLLSTSLIDLYMKGGDFAKAFKLFNDLIKKDTVSYSAMIMGCGINGMATEANCLFREMIEKKIPPNLVTFTGLLSAYSHSGLVQEGYKCFNSMKDHNLEPSADHYGIMVDMLGRAGRLEEAYELIKSMPMQPNAGVWGALLLASGLHNNVEFGEIACSHCVKLETDPTGYLSHLANIYTSVGRWDDARNVRDSMEEKKLPGSKIRDRSSRITTCRLQCQPQLQHVN >fgenesh1_pm.C_scaffold_7001579 pep chromosome:v.1.0:7:8483755:8492652:1 gene:fgenesh1_pm.C_scaffold_7001579 transcript:fgenesh1_pm.C_scaffold_7001579 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7ME08] MIPYATVEEASIALSRNLTRLETLWFDYSATKSDYNLYCHNILFLFLIFSFVPLPLVFIESSQSTSDWFNRYKIQPKAKNSFSDMFKCYKAVMKMFLLVVGPLQLVSYPSIQMIEIRSGLPLPSCLEIVAQLVVYFLVEDYTNYWVHRFFHCKWGYEKFHHIHHEYTAPIGYAAPYAHWAEVLLLGIPTFLGPAIAPGHMITFWLWIALRQIEAIETHSGYDFPWTLTKYIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYIYGTDKGYRFQKKLLQQIQKKVKYSLSDMFRCYKQVMKLFLLVVGTLQIVSYPSIQMIGIRSGLPLPSLTEIVAQLVVYFLIEDYTNYWIHRWMHCKWGYEKIHRIHHEYTSPIGYASPYAHWGEILILGIPTFLGPAIAPGHIMTFWLWISLRQIEAIETHSGYDFPWSLTKFIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYIYGTDKLPTPTMAWNVFKFCTALRALGSIMILIVIGIIGFTYYAVVVVNYGPALLIGGVDSLLALLVLALFHFLLIMLLWSYFSVVVTDPGGVPTGWRPELDVEKSDGNQALIGEANSSLSVGDSSSHGVRYCRKCNQYKPPRSHHCSVCGRCILKMDHHCVWVVNCVGAMNYKSFLLFLNTLRLPSDSKSSFSCFPVLNIAFALSVLGFLIMHIMLVARNTTTIEVNNSHQSSLWDLHDYKAYEKHTVNWPYNVGRKTNFEQVFGSDKMYWFVPLYTEDDKKKLPALGGLDFTSRSESETEPLQSL >fgenesh1_pm.C_scaffold_7001600 pep chromosome:v.1.0:7:8614155:8614496:-1 gene:fgenesh1_pm.C_scaffold_7001600 transcript:fgenesh1_pm.C_scaffold_7001600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7ME34] MMKNTIALFLIINLVFLGFTKAQVPPPQAPVCPRDSINFISCSNVFRLSLILINKQTVLPCCTLVAGLDAAAASACICNAVRITIFNFLTINLRVNQVLRLCRILPPAGFRCA >fgenesh1_pm.C_scaffold_7001602 pep chromosome:v.1.0:7:8638573:8639931:1 gene:fgenesh1_pm.C_scaffold_7001602 transcript:fgenesh1_pm.C_scaffold_7001602 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRMASTTIIIFLSFSIIPLLTIVRAHNHSVHCPPPPPCICICNPTPPPPPSNTQPPLPQPDPRPPTPPTFQPAPPPNDQPQPPPQTTPPPPLATTPPPLPPKALPPPLSPPQTTPPPPPVITPPPPGFSCPGPSPTISPPPLPPQTLPPPPPQTTPPPPPATTPPLSPPLVGTCSKNDTELKICAEILAISGSVITTGRAEPCCSIVRNISDVDAVTCFCKSVGAQRFSLSPNFGNFFKVCGRRIPQGFSCPGPSPTISPPPLPPQTLPPPPPQTTPPPPPATTPPLSPPLVGTCSKNDTELKICAEILAISGSVITTGRAEPCCSIVRNVSDVDAVTCFCKSVGARRFSLSPNFGNFFKVCGRRIPQGFSCP >fgenesh1_pm.C_scaffold_7001605 pep chromosome:v.1.0:7:8649702:8651035:1 gene:fgenesh1_pm.C_scaffold_7001605 transcript:fgenesh1_pm.C_scaffold_7001605 gene_biotype:protein_coding transcript_biotype:protein_coding MANIPMDIITDLFLRLPATTLVRCRILSKPCFSLIDSPNFIESHLNQTLQSGDHLMILLRGPRLLCTVNLDSPDKVTDVEHPLKTGGLTEVFGSCNGLIGLSNSPTDIAIFNPSTRQIHRLPAESVDFPEGSTTRGYVFYGFGYDSVNDDYKVVRMVQCKGGKADELVFGFPYEIKVFSLKKNSWKRITRVIPAIQLLFYFYYHLLYRRGYGVLASNSLHWVLPRRPGLIAFNAIIRFDLDTEEFGILDFPEDLAHENIDIGVLDGCLCLMCNHEFSYVDVWIMKEYKVEGSWSKLFRVPKPKSVESFDFMRPLLYSKERDKILLEINNAKLVWFDLKSKRFRTLRIKDCDSSYSAELLVSSLVLGCKGDPTEVMRRKERLAREDKIMQERNKRDDFLSKGFKLVL >fgenesh1_pm.C_scaffold_7001607 pep chromosome:v.1.0:7:8664103:8665479:1 gene:fgenesh1_pm.C_scaffold_7001607 transcript:fgenesh1_pm.C_scaffold_7001607 gene_biotype:protein_coding transcript_biotype:protein_coding MAECPMDLINELFLRLAATTLVRCRAVSKPCFSLIDSPEFISSHLRRRLETGQHLMILLRGPRLLRTVELDSPENVTDIPHPLQAGGFTEVFGSFNGVIGLCNSPVDIAIFNPSTRKIHRLPIEPLDFPERHITREYVFYGLGYDSVSDDFKVVRMLQSKLKGGKENFGYPVEIKVFSLKKNSWKRVCLMFEVQILFIHYYYHLLPRRGYGVLANNHLHWILPRRQGIIAFNTIIRFDLASDDLGVLSFPRALYTEDDMDIGVLDGCVCLMCYDEFSHVDVWVLKEYEDVKSWTKLFRVPKPESVESVDFMRPLIYSKDRSKILLEINNAKNLMWFDLESKSLTTVGIECDSSFTADILVSSLVLGCKGDPTEAQRRKDQMVPKSNKRDGFLSKGFKLKL >fgenesh1_pm.C_scaffold_7001610 pep chromosome:v.1.0:7:8668150:8668905:1 gene:fgenesh1_pm.C_scaffold_7001610 transcript:fgenesh1_pm.C_scaffold_7001610 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFYWLIKKLGKDIKIIVKPTFKDGMTVGVQWQLECDKSHIQLGKGFSFHICHMYQGKLLIKNVEMFMEPIFHIEHLRLRTMAFAVSMAEKIFTLLRPGENTRRQAMILLLLALLLLAAAAFYFTRLSF >fgenesh1_pm.C_scaffold_7001632 pep chromosome:v.1.0:7:8786477:8788233:1 gene:fgenesh1_pm.C_scaffold_7001632 transcript:fgenesh1_pm.C_scaffold_7001632 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7ME75] MAKTRPGVASKIKPGRKELDSYTIKGTNKVVRAGDCVLMRPSDAGKPPYVARVEKIEADARNNVKVHCRWYYRPEESLGGRRQFHGAKELFLSDHFDVQSAHTIEGKCIVHTFKNYTRLENVGAEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVGMTIEEAKKLDHFVCAECSSDDDVKKSQNGFTSSPADDVKVRWSFFSHLLYRCSITLITLVSAPLCAPVSLTSI >fgenesh1_pm.C_scaffold_7001638 pep chromosome:v.1.0:7:8829916:8832881:1 gene:fgenesh1_pm.C_scaffold_7001638 transcript:fgenesh1_pm.C_scaffold_7001638 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 31 [Source:UniProtKB/TrEMBL;Acc:D7ME85] MFRFPVSLGGSRDEARHDQITPLDEHRVVVDEVDFFSEKRDRVSRENINDEDDEANKVEVKMENSRVEENDRSRDVNIGLNLLTANTGSDESTVDDGLSMDMEDKRAKIENAQLQEELKKMKIENQRLRDMLSQAATNFNALQMQLITVMRQQEQRNSSQDHLLATEGRAEGRKRQELQTMVPRQFMDLGPSSGAAEHGAEVSSEERTTVRSGSPPSLLESSNPRENGKRLLGREESSEESESNAWGNPNKVPKHNPSSSNSNGNRNGNVIDQSAAEATMRKARVSVRARSEAAMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAGGCPVRKQVQRCAEDRSILITTYEGNHNHPLPPAAMAMASTTTAAASMLLSGSMSSQDGLMNPTNLLARAILPCSSSMATISASAPFPTITLDLTNSPNGNNPNMTTNNPLMQFAQRPGFNPAVLPQVVGQTMFYNQQQSKFSGLQLPAQPLQIPTTSSVAESVSAASAAIASDPNFAAALAAAITSIMNGSSHQNNTTNSNNVATSNGDSRQ >fgenesh1_pm.C_scaffold_7001639 pep chromosome:v.1.0:7:8844790:8850071:1 gene:fgenesh1_pm.C_scaffold_7001639 transcript:fgenesh1_pm.C_scaffold_7001639 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTTTPAAHLAFTNLAYCSLSDLCQFAVPGTDLFLANVADVFILSFSYPFLNLTSSIHDGTIALNAVQRRHARVSADDMVTISRFIPPENFDLTLLALEIEFVKKGTKNEQVDAVLLSTQLKRKFINQVLTVGQRVTFEYHGTNYIFTVNRAVTEGQDQFNGIERGIISNDTYFVFEASNATGIKIINQREAATSKIFKEKEFNLQSLGIGGLNAEFVDIFRRAFASRIFPPHVRNRLGAKHVKGMLLFGPPGTGKTLMARQIGKMLNGKEPKIVNGPEVLSKFVGETEKNVRDLFADAENDQKNLGDDSELHVIIFDEIDAICKSRGSSRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDMLDEALLRPGRLEVHIEIGLPDDAGRLEILQIHTKMMKENSFLAPDVNLQELAAQTKNYSGAELEGVVKSATSYALNRHLSMEDLTKPVDEENIKVTMEDFLQALREVKPAFGASSKDLQNCRPKGFVDCGDRQNLIYERVMFLVDQVVNERSPPVTCLLEGPSGSGKTALAATVGMDSNFPYVKIVSAETMAGLQESTKSAHIAKVFEDAYKSPLSIVILDNIERLLEYSEIGPRFSNTIFQTLLIFLSQLPPEGSKLLVLGTTSELTFLNAVGLRKAFSVTYSVPLLRTEDAKKVLKQLNVFSEDDIEEASKALNDIPIKQLYFLIEMAAQRDGRSKETIYTRKEKLNITHFFDCLQEVTGY >fgenesh1_pm.C_scaffold_7001650 pep chromosome:v.1.0:7:8979638:8983015:1 gene:fgenesh1_pm.C_scaffold_7001650 transcript:fgenesh1_pm.C_scaffold_7001650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7MEM2] MEGLSETTNLADLRRPLVVPVVSEQKPPADVGLESVLTERNLPYRRRVYLGACIEMKLLFRLALPAILVYLVNSGMGISARIFAGHLGKNELAAASIANSCFSLVYGLMLGMGSAVETLCGQAYGAHRYEMLGIYLQRATIVLALVGLPMTLLYTFSYPILILLGEPKTVSYMGSKYIAGLIPQIFAYAVNFTAQKFLQAQSVVAPSAFISAAALILQILLTWTTVYVMDMGLMGIAYVLTITWWVIVGSQCFYIAVSPKFRHTWTGLSWRSFQGLWSFFKLSAGSAVMICLEMWYSQILVLLAGLLKDPARSLDSLSICMSISALSFMVSVGFNAAVSVRTSNELGAGNPKSALFSTWTATFVSFVISVTEALAVIWFRDYVSYIFTEDADVAKAVSDLCPFLAITIILNGIQPVLSGVAVGCGWQTYVAYVNVGCYYVVGIPVGCILGFAFDFQAKGIWTGMIGGTFMQTLILLYVTYRTDWDKEVETARKRLDMWDDKKEPLHS >fgenesh1_pm.C_scaffold_7001663 pep chromosome:v.1.0:7:9070971:9073294:1 gene:fgenesh1_pm.C_scaffold_7001663 transcript:fgenesh1_pm.C_scaffold_7001663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:UniProtKB/TrEMBL;Acc:D7MEP4] MASPALTGGYRNLTAPVSLLRTLASTCITTTLFRTNKYQYKIPPRFISSPKRFTCLSLHKTDSQNQTTLSSSSTSGYPEYNRLMPCPAHNLPPRIEHMVVLEDDVLVSEFISKQLDLPPLYVSDLIRFGAVHYALVCPKPPPTATPEQIELFEEVTCPSVLKKRSSIKGKTVREAQKTFRVTHTNQYAEAGTYLRVHVHPKRSPRCYEIDWKSRIVAVTDSYVILDKPAGTTVGGTTDNIEESCATFASRALDLPEPLKTTHQIDNCTEGCVVFARTKEYCSVFHTKIRNKEVKKLYRALAAAPLPTGIISHYMRPKNMAPRLVSEDSIKGWHLCQLEVLECKKIPWPDAATEKKHDIEDCGWTSKEFAYECTINLLTGKTHQIRAQLAACGAPLVGDSMYMPAAIAEMVNPDTNLYGKAKKHYTMEENDKDTAVAEWIDRHGKEPRVGIGLQACQISWYDDDGEHFYEAGTPWWR >fgenesh1_pm.C_scaffold_7001694 pep chromosome:v.1.0:7:9311284:9311889:-1 gene:fgenesh1_pm.C_scaffold_7001694 transcript:fgenesh1_pm.C_scaffold_7001694 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g21420 [Source:UniProtKB/TrEMBL;Acc:D7MET9] MLAFTKMARFQGSLQSRATLLLIRNYHKQPVKVVWKKPQIGWIKLNFDGSRGREGQASIGGIFRNHKAEFLLGYSESIGEATSTMAEFAALKRGLELVLENGWTDIWLEGDAKIIMDIISKRGRLRCERTNKHVNYINVVMPELSNCVLSHVYREGNRVADKLAKLGHQFQDPKVWRVRPPEIVLPIMHDDAKGKIVLRTK >fgenesh1_pm.C_scaffold_7001695 pep chromosome:v.1.0:7:9341320:9343099:1 gene:fgenesh1_pm.C_scaffold_7001695 transcript:fgenesh1_pm.C_scaffold_7001695 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSVLFVVSYVIMSLLISHVQGMEDQKWKKVCNLEGNFPGRCVGNGDEQCKRDLTEDGNNPSKCRCRFRAGRRHCRCIYCEVFGM >fgenesh1_pm.C_scaffold_7001699 pep chromosome:v.1.0:7:9386059:9395172:-1 gene:fgenesh1_pm.C_scaffold_7001699 transcript:fgenesh1_pm.C_scaffold_7001699 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYRWKSFEENEDRPEKPRRYGVTEMRGPHYSVLSQNLLQEIFESMGQFVDGLKFSGGSNSLIPKSFIKQAIEMAHEHGVYVSTGDWAEHMLRSGPSAFKDYVEECKQLGFDTIELNANSLEVPEETLLRYVRLIKNGGLRAKPMFAVKFNKSDIPGRNRAFGSYVVPEPRSSEFVEDIDLLIRKAERCLEAGADTIMIDADDVCKYPDSLRADIIAKVIGRLGIEKTMFEASDGKLAEWFIKRYGPNVFADTPLYYFYLLFEWSPSQDSCLFVLVFQVNLYVDHSQIMDLECLRGRHLAFGFVFIMNGKLSSGTTPHEADPPQASNLAFSPEAAPPDTSAMDDDSDINYEPVPPFVPEFPVFIFYLGERKHDDPNLVTQSHLEILKSVLGSEEAANKSLVYSYHHGFSGFAAKLKPAEAEKLKKHPEVIILLENRKLGLQTTRTWDYLGQFSTPTSSKGLLHETNMGSGAIIGVIDSGIWSESGAFDDDGYGPIPKQWKGQCVSADQFSPADCNKKLIGAKYYIDGLNADLETSINSTIEYLSPRDRNGHGTQVSSTVAGSFVSNVTLPGLSSGSIMRGGAPKAHIAMYKACWDVEGGMCSVADVWKAFDEAIHDGVDILSVSIGGSALKSLDVEIDIAIPALHAVNKGIPVVSPAGNGGSRYSSVINISPWILTVAATTLDRSFPTLITLENNKTFLGQSLYTGPEISFTVLICTADHSNLDQITKGKVIMHFSMGPTPPMTPDIVQKNGGIGLIDVTSPSDSRVECPANFPCIYLDLEVGSELYTYIQTTSSLKIKISPYKTIIGERVASKVAKSSARGPSSFSPAILKPDIAAPGVTLLTPRIPTDEDTSEFTYSGTSMATPVIAGIVALLKISHPNWSPAAIKSALVTTAMKTDPYGERLTVDGGNYKVADAFDYGGGLVNLEKATDPGLVYDMDINDYIHYLCSQALYTDKKVSALTGNITSKCPSSCSSILDLNVPSITIPDLKRDVTVTRTVTNVGPVKSVYKPVIETPLGFKVVVSPKKLKFNKRRNKVAFKIYIVHLGVRQHDDSELVSESHQRMLESVFESEEAARDSIVYNYHHGFSGFAARLTDSQAKQLSDRPDVFSVTPNRKVQLQSTRVYDYLGLPPSFPSGILHESNMGSDLVIGFLDSGVWPESPAFNDEGLGPIPKHWKGKCVAGEGFDPAKHCNKKLVGAKYFTDDWDEKNPGNPITDDEFMSPRGLIGHGTMVSSIAASSFVPNASYGGLAPGLMRGGAPKARIAMYKVVWDSVTMGSTTANMVKAFDEAINDGVDVLSISLASVAPFRPIDAITEDLELGSFHAVTKGIPVIAGASNTGPDAYTVANGAPWLLTVAATNVDRTFYADMTFGNNITIMGQAQHTGKEVSAGLVYIEDYKNDISSVPGKVVLTFVKEDWEMTSALAATSTNNAAGLIVARSGDHQSDIVYSQPFIYVDYEVGAKILRYIRSSSSPTVKISTGKTLVGRPIATQVCGFSSRGPNIISPAILKVLSLNNVSKSCTGTSYATPVVAGLVVLLKALHPDWSPAALKSAIMTTAWKTDPSGEPIFAEGEPRKLADPFDYGAGLVNAERAKDPGLVYDMNLDDYIHYFCATGYNDTAITLITGKPTKCSSPLPSVLDLNYPAITIPDLEEEVTVTRTVTNVGPVDSVYRAVVEPPRGVKIVVEPETLVFCSNTKKLEFKVRVSSSHKSNTGFIFGSFTWTDGTRNVTIPLSVRTRVLNP >fgenesh1_pm.C_scaffold_7001706 pep chromosome:v.1.0:7:9417579:9419697:1 gene:fgenesh1_pm.C_scaffold_7001706 transcript:fgenesh1_pm.C_scaffold_7001706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MEV8] MAATLGRFASNSIRNSVQGITLNKPFIRKQLLLPWSSRSLSFCLDYQFGSATAEVYSNAGSDTGGGIVSEGFLRWRNGGGTCHSSALIDSSALVEFGAVVHEKAVLGAEVHVGSGTVIGPSVQISPSTRIGYNVSLSNCSIGDSCVIHNGVCIGQDGFGFYVDEHGNMVKKPQKLNVKIGNRVEIGANTCIDRGSWRDTVIEDDTKIDNLVQIGHNVIIGKCCLLCGQVGIAGSVTIGDYVALGGRAAVRDHVSIVSKVRLAANSCVTKNITEPGDFGGFPAVPIHEWRKQIVRAQIVNKRKT >fgenesh1_pm.C_scaffold_7001710 pep chromosome:v.1.0:7:9444465:9445786:1 gene:fgenesh1_pm.C_scaffold_7001710 transcript:fgenesh1_pm.C_scaffold_7001710 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1417 [Source:UniProtKB/TrEMBL;Acc:D7MFE3] MLSLRYSLPYLLLQTKESSTKLFSKRPNNVVVCAARGPRPRSPRVWKTRKRIGTISKAAKMIACIKGLSNVKEEVYGALDSFIAWELEFPLVIVKKALVILEDEKEWKKIIQVTKWMLSKGQGRTMGTYFSLLNALAEDNRLDEAEELWNKLFMEHLEGTPRKFFNKMISIYYKRDMHQKLFEVFADMEELGVKPNIAIVSMVGKVFVKLEMKDKYEKLMKKYPPPQWEFRYIKGRRVKVKAKQLNELSEGEGGLSSDEDKIDTEIESKSKILSDKEPKQDGEDLSEEEEDEKEFLGGNQGQIGTSKEPSLDHLDSS >fgenesh1_pm.C_scaffold_7001717 pep chromosome:v.1.0:7:9465050:9468679:-1 gene:fgenesh1_pm.C_scaffold_7001717 transcript:fgenesh1_pm.C_scaffold_7001717 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEHGGGDDGLRRRGCSCTKDDFLPEESFQSMGNYLKALKETPSRFMDRIMTRSLDSDEINEMKARSGHEMKKTLTWWDLMWFGIGAVIGSGIFVLTGLEARNASGPAVVLSYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNIILEYVVGGAAVARSWTSYFATLLNHKPEDFRIIAHSLGEDYSHLDPIAVGVCAIICVLAVLGTKGSSRFNYIASIIHMVVILFVIIAGFTKADVKNYSDFTPYGVRGVFKSAAVLFFAYIGFDAVSTMAEETKNPGRDIPIGLVGSMVVTTVCYCLMAVALCLMQPYQQIDPDAPFSVAFSAVGWDWAKYIVAFGALKGMTTVLLVGAIGQARYMTHIARAHMMPPWLAQVNAKTGTPINATVVMLAATALIAFFTKLKILADLLSVSTLFIFMFVAVALLVRRYYVTGETSSRDRNKFLVLLGLILASSTATGVYWALEKEGWIGYCITVPIWFLSTVGMKFLVPQARAPKIWGVPLVPWLPSASIAINIFLLGSIDKKSFVRFAIWTGILLVYYVLFGLHATYDTAKATLKEKLTLQKAEEGGVVADNSGSATVDH >fgenesh1_pm.C_scaffold_7001719 pep chromosome:v.1.0:7:9473046:9473528:-1 gene:fgenesh1_pm.C_scaffold_7001719 transcript:fgenesh1_pm.C_scaffold_7001719 gene_biotype:protein_coding transcript_biotype:protein_coding MVTETPFRPREKLLEKQRLFQSIQRHTYLKGPMDKITSVAIPIALAASSLYMIGTGIYNMSNGIGKKE >fgenesh1_pm.C_scaffold_7001727 pep chromosome:v.1.0:7:9521190:9522352:-1 gene:fgenesh1_pm.C_scaffold_7001727 transcript:fgenesh1_pm.C_scaffold_7001727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase family protein [Source:UniProtKB/TrEMBL;Acc:D7MFG2] MDPNTKTIFFFVVFFMDLFFPNILLVYAREIGNKPLFTYKQKTEKGPAEWGKLNPQWKVCSTGKFQSPIDLTDERVSLIHDQALSIHYKPALAVIQSRGHDVMVSWKEDAGKITIHQTDYKLVQCHWHSPSEHTINGTSYDLELHMVHTSASGKTAVVGVLYKLGEPDEFLTKLLNGIKGVGKKEMNLGIVDPRDIRFETNNFYRYIGSLTIPPCTEGVIWTVEKRVLYFSFQL >fgenesh1_pm.C_scaffold_7001728 pep chromosome:v.1.0:7:9523828:9525189:-1 gene:fgenesh1_pm.C_scaffold_7001728 transcript:fgenesh1_pm.C_scaffold_7001728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase family protein [Source:UniProtKB/TrEMBL;Acc:D7MFG3] MDPNAKTIFFLAMFFIYLSFPNISLAHTEVDDETPFTYEQKTEKGPEGWGKINPQWKVCNTGRYQSPIDLTNERVSLIHDQAWTRQYKPAPAVITNRGHDIMVSWKGDAGKITIRKTDFKLVQCHWHSPSEHTVNGTSYDLELHMVHTSARGRTAVIGVLYRLGEPNEFLTKLLNGIKAVGNKEINLGMIDPREIRFQTRKFYRYIGSLTVPPCTEGVIWTVVKRVNTISTEQIAALREAVDDGFETNSRPVQDSKGRSVWFYDPNV >fgenesh1_pm.C_scaffold_7001730 pep chromosome:v.1.0:7:9528974:9529968:-1 gene:fgenesh1_pm.C_scaffold_7001730 transcript:fgenesh1_pm.C_scaffold_7001730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7MFG5] MEPSHSNTGQSRSVDRKTIEKNRRMQMKSLYSELISLLPHQSSREPLTLPDELDEAANYIKKLQVNVEKKRERKRKLVATTTFEKLNSVGSSSVSSSVDVSVPRRLPKIEIQETGSIFHIFLVTSLEHKFMFCEIVRLLTEELGAEITHAGYSIVNDAVFHTLHCKVEECDYGARSQIPERLEKFVNSVHY >fgenesh1_pm.C_scaffold_7001732 pep chromosome:v.1.0:7:9534796:9538112:-1 gene:fgenesh1_pm.C_scaffold_7001732 transcript:fgenesh1_pm.C_scaffold_7001732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MFG8] MGQLPSQDIMALLEFKKGIKHDPTGFVLNSWNDESIDFNGCPSSWNGIVCNGGNVAGVVLDNLGLTADADFSLFSNLTKLVKLSMANNSISGVLPNNLGSFKSLQFLDLSDNLFSSSLPKEIGRSVSLRNLSLAGNNFSGEIPESMGGLISLQSLDMSRNSLSGPLPKSLTTLNDLLYLNLSSNGFLGKIPRGFELISSLEVLDLHGNSIDGTLDGEFFLLTNASYVDFSGNRLVTTSGKLLPGVSESIKHLNLSHNQLEGSLTSGLQLFQNLKVLDLSYNQLSGELPGFNYVYDLEVLKLSNNRFSGSLPNNLLKGDSLLLKTLDLSGNNLSGPVSSIMSTTLHTLDLSSNSLTGELPLLTGGCVLLDLSNNQFEGNLTRWSKWENIEYLDLSQNHFTGSFPDVTPQLLRANHLNLSYNKLTGSLPERIPTHYPKLRVLDISTNSLEGPIPGALLSMPTLEEIHLQNNGMTGNIGPLPSSGSRIRLLDLSHNRFDGDLPGVFGSLTNLQVLNLTANNLSGSLPSSMNDMVSLSSLDVSQNHFTGPLPSNLSSSLMAFNVSYNDLSGTVPENLKNFPPPSFYPGNSKLFLPAGSPGSSASEASKNKSTNKLVKVVIIVSCVVALIILILVAILLFCICKSRRREERSITGKEINRRAQTIPSGSGGGMVVSAEDLVASRKGSSSEILSPDEKLAVATGFSPSKTSNLSWSPGSGDSFPADQQLARLDVRSPDRLVGELHFLDDSIKLTPEELSRAPAEVLGRSSHGTSYRATLDNGVFLTVKWLREGVAKQRKDFAKEVKKFSNIRHPNVVTLRGAVPHGNLKATNILLDGAELNARVADYCLHRLMTQAGTVEQILDAGILGYRAPELAASRKPLPSFKSDVYAFGVILLEILTGRCAGDVITGEQEGVDLTDWVRLRVAEGRGAECFDSVLSQEMGSDPVTEKGMKEVLGIALRCIRSVSERPGIKTIYEDLSSI >fgenesh1_pm.C_scaffold_7001733 pep chromosome:v.1.0:7:9540204:9542623:1 gene:fgenesh1_pm.C_scaffold_7001733 transcript:fgenesh1_pm.C_scaffold_7001733 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRAQTLLCLSKFKTNFVFGSLHRLFSSSSQNSNQFQNVGFIGLGNMGSRMVNNLVKAGYKVTVHDINRDVMKMFTEMGVSARETPYQVAQDSEVVITMLPSSSHVMDVYTGTNGLLLGENAIRPALLIDSSTIDPQTTRKISLAVSNCNLKEKRASFCSSCQQVEIIQFSIVSSIIDAGNWEKPVMLDAPVSGGVLAAEAGTLTFMVGGPKDAYVAARPILQSMGRTSIYCGGSGNGSAAKICNNLAMAVSMLGTSEALALGQSLGISASTLTEVLNTSSGRCWSSDAYNPVPGVMKGVPSSRDYNGGFASKLMAKDLNLAAASAEEVGHKSPLISKAQEIYKKMCEEGHETKDFSCVFRHFYNGKDEV >fgenesh1_pm.C_scaffold_7001736 pep chromosome:v.1.0:7:9554987:9556768:1 gene:fgenesh1_pm.C_scaffold_7001736 transcript:fgenesh1_pm.C_scaffold_7001736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Male sterility MS5 [Source:UniProtKB/TrEMBL;Acc:D7MFH2] MCPCEERRAPPGVYYTPPPARTSYVAAMPLSERRRPPFSCSSSSEKRDPFHIVHKVPSGDSPYVRAKHAQLIDKDPNRAISLFWTAINAGDRVDSALKDMVVVMKQLDRSDEGIEAIRSFRYLCSFESQDSIDNLLLELYKKSGRIEEEAELLEHKLKTLEQGMGFGGRVIRAKRVQGKHVTMTIEQEKARVLGNLGWVHLQLHNYGIAEQHYRRALGLERDKNKQCNLAICLMRMGRIPEAKSLLDDVRDSPTESECGDEPFAKSYDRAVEMLAEIESKNPEADLSDKFYAGCSFANGMKENIAPGIANKNYSHVSSSPASVVPNSAGLYTQPRGCRAGMYEEETRGAARKLLFEKPKPFASEQIKILKRGEEEPQKRKKLDPNMIQYLHEFIKDTADGPKNESKKSWADIAEEEEEEERLQAET >fgenesh1_pm.C_scaffold_7001747 pep chromosome:v.1.0:7:9610178:9611812:1 gene:fgenesh1_pm.C_scaffold_7001747 transcript:fgenesh1_pm.C_scaffold_7001747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MFI3] MASSVFFFIFFLTLSSSYLPLSVFSATVVEDLANLSPPPDFNTTITNNCLRDPLLRYCNNTSSSPLDIVEIFRSTIVASHLCNESKNPNCVESFPRIRIHGRPKTAALYLSFDFFWKYCPLTVVDIELVNNSLKNGFPTNVLSCAQIRTLDLSYNQFSGFVPVQDLSGLPNLTHLNLSYNRFSENKISDSEFFKRFNASSFIQSGVLPNVKRYKLKILVLLIVFPIMVILLCFCFGWLCIKRPDYLPRTCRRSHKFTFAMLEAATDEFSDQHLVSTSNTVDIYKGTLRDGTETKIEVYKEKVSSEKRREFAEECEAVFKLRHKNLLRVLGWCNSRNMRALVTEWIQGENVETWLSSSSALSWRRRLRVVLGVVEGICYLSDQWPEITFDLTTSSVLLSDDNQDPLISHFKVGDGNNLSTNIFNFGLFLVEMITNLRPDMEQEDSERRYLEYIRVHYPDNLERVIDEKMKIDERTFDQVKQAITLGLMCTDKPPLKQPSLTQIYDLVSSLYESSLRHH >fgenesh1_pm.C_scaffold_7001763 pep chromosome:v.1.0:7:9678489:9679805:-1 gene:fgenesh1_pm.C_scaffold_7001763 transcript:fgenesh1_pm.C_scaffold_7001763 gene_biotype:protein_coding transcript_biotype:protein_coding MELESFRVGFTPTVFYIPGFITEEEQTQLLNHIYGASGSKWKTLKNRRLQNWGGMVHEKGLVPQELPSWLTKITAKICESSGLFPSAINHVLINEYHPNQGIMAHQDGPAYFPVVAILSLGSPVVMDFSPHLRLRSGDGYISKDQSPCAESYEPERDSFSVLMMPRSLLIFKDDAYSDFLHGISDSPTQCYNQVVNEAEALAYSNEEDSRKDGDKILHRDQTRVSLTCRLVPKVHKNLFKF >fgenesh1_pm.C_scaffold_7001766 pep chromosome:v.1.0:7:9685706:9687961:-1 gene:fgenesh1_pm.C_scaffold_7001766 transcript:fgenesh1_pm.C_scaffold_7001766 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVWWEGTEKTRVLIAPDSGCGGNKPGELLTLRHPKSESGTCFLFNNEMIQELQWFKQSYGSWFLGDYISEDGSLYMATPVDPVFILLPIFDEARMKKGEDLGKFRQLDEILFVEGYPGYQHLLSLAEKCMGIVCQTQEVGSMKFYRLDNSKVLAWLSCKVYCLKKSLPELDKNYAAQDEKQTLIDAVSIVGEYLKTEPWLKLLYDHLGLKFVDPTMKETNMENLPTANENNMASSTSLQEKANKKPGKQTKQAKVETGSKNIRDMFSRACKKKC >fgenesh1_pm.C_scaffold_7001771 pep chromosome:v.1.0:7:9734339:9735872:-1 gene:fgenesh1_pm.C_scaffold_7001771 transcript:fgenesh1_pm.C_scaffold_7001771 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWNSKVVPKFKKLFEKNSAKKAAAAEATKTFDESKETINKEIEEKKTELQPKVVETYEATSAEVKALVRAPKESGLKKNSAAVQKYLEELVKIEFPGSKAVSEATSSFGAGYVAGPVTFIFEKVCVFLPEEVKTKEIPVEEVKTEEPAKTEEPAKTEEPSGEKEEIVEEVKKDETPETVVVEDKKPEVEEKKEEATPAPAVVETPVKEPETTTAPVAEPPKP >fgenesh1_pm.C_scaffold_7001790 pep chromosome:v.1.0:7:9831705:9832334:1 gene:fgenesh1_pm.C_scaffold_7001790 transcript:fgenesh1_pm.C_scaffold_7001790 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MG49] MNNNSREDQWLRHYEQHQIQEINPCFNAINMGSSSGSSLQDGGAVAQEAAGRRSRASRRAIPTTLLNANPSNFRALVQKFTGRSAGGGSNRRKGPVTLDFGSPTTISKEAIFPVSADRSNHDDHHVLNQHVSNEQPHVTWSGTESTMSYQLGEETNSAVYGSRYDDQDLLKEYSGNSSYDDSSGHMDYYHDFHHETATLEEFMMRDLDL >fgenesh1_pm.C_scaffold_7001798 pep chromosome:v.1.0:7:9885589:9890669:-1 gene:fgenesh1_pm.C_scaffold_7001798 transcript:fgenesh1_pm.C_scaffold_7001798 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAPEELQFLNKRGILRESTSIPQYSPKTFYLITLTLIFPLSFAILAHSLFTQPILAQIDTYPQTDQAQTKHEWTILLLFQFCYIIFLFAFSLLSTAAVVFTVASLYTGKPVSFSSTMSAIPLVLKRLFITFLWVSLLMLAYNTVFLIFLVGRVTRIVAGGFLVGVLVIVNLIGLLVQSVFYYVCKSFHHQEIDKSALHDHLGGYLGEYVPLKSNIQMENFEI >fgenesh1_pm.C_scaffold_7001800 pep chromosome:v.1.0:7:9903150:9903742:1 gene:fgenesh1_pm.C_scaffold_7001800 transcript:fgenesh1_pm.C_scaffold_7001800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 38 [Source:UniProtKB/TrEMBL;Acc:D7MG63] MAKLLCSYLFICMFVLSGFLASSSAKKLKTCTSVIKLGHPCDVESCINECFRVYNTGFATCRGDKVKVCTCEYNC >fgenesh1_pm.C_scaffold_7001803 pep chromosome:v.1.0:7:9991826:9993528:1 gene:fgenesh1_pm.C_scaffold_7001803 transcript:fgenesh1_pm.C_scaffold_7001803 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSTIISNTSFLAFASKFTTRGSRLQCTLSMARSAVDETSDSGAFQRTASTFRNFVSRDSNSQFPAESGRYHLYISYACPWASRCLSYLKIKGLDDAISFSSVKPIWGRTKETDEHMGWVFPSSDTEVQGADPDHLNGAKSVRELYEIASPNYTGKYTVPVLWDKKLKTVVNNESAEIIRMFNTEFNHIAGNPDLDLYPSHLQAKIDETNEWIYNGINNGVYRCGFAKKQGPYEEAVEQVYEALDRCEEILGKHRYICGNTLTETDIRLFVTLIRFDEVIDSSSYFQTKKKKHTICEQICNVETLMQVYAVHFKCNKKLIREYPNLFNYTKDIFQIPGMSSTVNMNHIKQHYYGSHPSINPFGIIPHGPNIDYTSPHDRHRFSK >fgenesh1_pm.C_scaffold_7001804 pep chromosome:v.1.0:7:9995675:10003082:1 gene:fgenesh1_pm.C_scaffold_7001804 transcript:fgenesh1_pm.C_scaffold_7001804 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLAKPPEKKKKKRTTNDSSPTLSPSSPSFSSLPDEIVVNCLARISRSYYSTLSIVSKSFRSILSSTELYAARSHIGITEQCVYVCLRDKSYQFPKWFTLWTNPNRANSMIEKKRKKKKIIMVPVTSSNFPYVSQSTVVVGSEIYVIDRAPSSAVRVLTCGSHTWRDAPSMTVARKNAIIYVYNGKIYVMGGCEGLEDEPWAEVFDTKTQTWEHLPDPGTEARKSYICSIGEIEGKIQIHFGTLKEMYAYDTKQCKWENRVNKYATYARPECMIENVSFSFASEGGIYRQGQFRWYGKKKGYWKEVKGLDSLLQRYSKNGGSSHNTTKLVSCGGKLLLIWEGYMKHNPNNRKKIWCAEIGIENHDGDELWGNVEWFDVLHTVPTSLEPPEKKKTKNSSPPQSPPSSSSSPSLSSLPDEIFVNCLARISRSYYPTLSLVSKSFRSILSSTELFAARSHIGSTEQCVYVCLWDRSYQFPQWLRLWVNPNRTLANSMIKKRRKKKKKTTGPMLVPITSSNFTSVSKATVVVGSEIYVIGGPVDSEPSSTVRVLDCCSHTWRDAPSMTIVRMNALACFHDGKIYVMGGCQGLEDEPWAEVFDTKTKTWQHLPEPDTEVRKCSIYSIAEIEETIQFGNVNETYAYHTKQCKWESRVKQKLKSECMIENVSYGYWNMRLLWYDNDLQKDYWKRLEGLDSLDEMYMRNGGSSGNTTKLVSCGGKLLLLWEGYMKHNPNNRKKIWCAEIAIEKRDGGGVWGIVERVEVLHNVPISCQLLHCLVVSV >fgenesh1_pm.C_scaffold_7001809 pep chromosome:v.1.0:7:10029312:10030776:1 gene:fgenesh1_pm.C_scaffold_7001809 transcript:fgenesh1_pm.C_scaffold_7001809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 18 protein [Source:UniProtKB/TrEMBL;Acc:D7MG84] MSTTKLISLIVSTTFFLSLPSSSAQTVVKASYWFPASEFPVTDIDSSLFTHLFCAFADLNSQTNQVTVASANQPKFSTFTQTVQRRNPSVKTLLSIGGGIADKTAYASMASNPTSRKSFIDSSIRLARSYGFHGLDLDWEYPSSATEMTNFGTLLREWRSAVVAEASSSGKPRLLLAAAVFYSNNYYSVLYPVQSVASSLDWVNLMAYDFYGPGWSRVTGPPAALFDPSNAGPSGDAGARSWIQAGLPAKKAVLGFPYYGYAWRLTNANSHSYYAPTTGAAISPDGSIGYGRIRKFIVDNGATTVYNSTVVGDYCYAGTTWIGYDDNQSIVTKVRYAKQRGLLGYFSWHVGADDNSGLSRAASQAWDATTATTRTIQKV >fgenesh1_pm.C_scaffold_7001837 pep chromosome:v.1.0:7:10261998:10263453:-1 gene:fgenesh1_pm.C_scaffold_7001837 transcript:fgenesh1_pm.C_scaffold_7001837 gene_biotype:protein_coding transcript_biotype:protein_coding METVSSHQYLSPWSPASTPPQIPVEAYIGSFVTMISNTDLRYEGIICFLNIQDSTLGLQNVICYGTEGRNTNGFQVPPYNKVYDYILFNGNDFKEIIVKPPTCGYCLAIGSTCSKACLATKPPLPIIVSPNNRSGAKILQQLPLISNENLIIPQATTESNAFSVNGSVNDRLHIASQQRYPGSYFYDPYVYQSMPYDGLNQAPINASSLSNLTESSASEMTSAASKSLFTTFLPAPISQNSGGLQHMGSFHIQGSRENMGNIDGSSYMISRNPFEPIGRPCESLFLRCKHSF >fgenesh1_pm.C_scaffold_7001839 pep chromosome:v.1.0:7:10266181:10271377:-1 gene:fgenesh1_pm.C_scaffold_7001839 transcript:fgenesh1_pm.C_scaffold_7001839 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGFPNSSSSTTQENHEKRIIGEFVSVTINDGKQYEGIICHINLQDSTLGLQNGNHSIPNLLQDPNLLFLIFFFSIVRCYGREEKNDNEQRVIHVLKEAYSYMLFSGSDIKLLEVLSLPAPPKHKSVIGHLVSIITTGDVRCEGLITHVNFRDSMIFMKNGMCYGTEGRTKRRRSIVACKQLADDIILNILARISTSYYPTLSLVSKSFRWLILSEELDMERSYLGTRKQCVYVCFQSPSHPFDRRWFSLWIKPCDHQPLTHWTIDIKCTGHWLLPMPSSYSRRLQTLHETVGSKTYEIGGQNTLPSTDVWVYDKLIGKRCKGPSMMVARKNALTCALDGKLYVMGGCEADDTTHWAEVFDPKTQTWEALPDPGVELRSSSVKKLQTKQGKVYVRSNVKNFVYLTKESRWEVAEGNLGDRESMCEIENVCYSYANKKCWWYDTKCEGWRSVKGTDGGKLVVFWDRVGSRITATKEIWCAMISLKKGHDGEVWGHVEWLDAVLIAPRSYTFLHCMESLQ >fgenesh1_pm.C_scaffold_7001843 pep chromosome:v.1.0:7:10338669:10343578:-1 gene:fgenesh1_pm.C_scaffold_7001843 transcript:fgenesh1_pm.C_scaffold_7001843 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGEGSGIRLSKRFAGGKVTGGSLEVDYKTKSGTAWSHSFLNQKPWHPLSYPNQRRKWIAEQTHAQHDRRAEEVAREFAQEQEFFKQAALISKKEREKIETMKAVSFMYVRPPGYDPESAKAAEYADDKHKGQGSSTQDPMAEDNVGSRPEESQGGGDRTGQERKKPRPKDVFGRALPTEEEFEVLKNAPRMETGIPGRVKPFAVEVRNVKCLRCGNFGHQSGDRDCPLKDAVMPNEELRLKRDDPLTAIIAHTDPSEPLKWELKQKSGLSPPRGGFDPDDPNQQIVAEDIFDEYGGFLEGSIPIELLKSMSSDKKRKSKKNKKHKKHSSRTIEETDESSTGSEDSREKRGSKKRKKLKNKSKKHYDSDSVSSEGSDSDSYRLSRRRHTKHVDPSATLKSEVYHQGNIHREKHYDDEKHQKRKEIVDRPSASSDDSDYYRSHSSRKKRSEDDYKSHHRERKQVHSNDPVSEKSQKQYYSESGKRHRVEKEHRYDERRHRYVDMESENRHRSERKPRYDDRDSERHHRSVKGKEKHVYEDPEEFSDRYRSKKNAESDSESNRRSRKKLQKHELSSEEESRKYRYSTNRRRKERESMSLIHRRLKCFPGLSSVNAWNFQVREAVNRNDPVESLLLFREMKRGGFEPNNFTFPFVAKACARLAYIGYCEMVHTHLIKSPFWSDVFVGTATVDMFVKCDSLDYAAKVFERMPVRDATTWNAMLSGFCQSGHTDKVFSLFREMRLDEIPPDSVTVMTLIQSASFEKSLKLLKVMHAFGIRLGVDLQATVSNTWISAYGKCGDLDSAKLVFEAIDRGDRTVVSWNSVFKAFAVFGEAFDAFGHYRLMLRDEFKPDLSTFINLAASCQNPQTLTQGRLIHSHAIHLGTDQDIEAINTFISMYSKSGDSCSARLLFDIMPSRTCVSWTVMISGYAEKGDMDEALALFHAMAKTGVNPDLVTLLSLISGCGKFGSLEIGKWIDGRADMYGCKKDNVMVCNALIDMYSKCGSIDEARDIFDNTSEKTMVTWTTMIAGYALNGIFLEAMELFSKMIDLDYKPNHITFLAVLQACAHSGSLEKGWEYFHIMKQVYNISPGLDHYSCMVDLLGRKGKLDEALELIHNMSAKPDAGIWGALLSACKIHRNVKIAEQAADSLFNLEPQMAAPYVEMSNIYAAAGMWDGFARIRSMMKLWNIKKYPGESVIQVNGKNHTFTVGERGHMENEAIYSTLNGLSLFARDEKQILHKDVYKEQSYKVFI >fgenesh1_pm.C_scaffold_7001848 pep chromosome:v.1.0:7:10373496:10374557:1 gene:fgenesh1_pm.C_scaffold_7001848 transcript:fgenesh1_pm.C_scaffold_7001848 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSGGGRGGGASADLHSAARSVRITPRAMIDFIYFSCSLKSFVVHFFVLNIMISWGNRLHLAAWAGHNEVVSYLCKNKADVGAAAGDDMGAIHFASQKGHLEVVRTLLSAGGSVKSITRKGLTPLHYAAQGSHFEIVKYLVKKGANVRATTKAGKNPADVAGNAETQNFLEECEEQARKAKVNNEKKTEEVKPASCSNEGDIKDLKRKESEDGNQGEKEEASAVSKKPKVALSHLQDTDDTEADQEEET >fgenesh1_pm.C_scaffold_7001850 pep chromosome:v.1.0:7:10377168:10379724:1 gene:fgenesh1_pm.C_scaffold_7001850 transcript:fgenesh1_pm.C_scaffold_7001850 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLTAGAIGKIMSGEVTTEADMIPVLQVTELKQLKAYQDPTRERFRMGLSDGTHLHQGMLATDLNDLVKQGTLQSGSIVRLTHFGVHVVQTRRIVIVRELEVIKSNSDIIGHPVPGGKHNDQRGAESGIKLNTTGSDQQGLGVRQVNNIETGRSNAAMSPQVDQRPVFGTSSSVPASTTPSTRVDSNPSAGHGVSRQDHARDPPTSYPRQPQPPPPMYANRGPVVRNEAPPRIIPINALSPYSGRWTIKARVTNKAALKQYSNPRGEGKVFNFDLLDADGGEIRVTCFNAVADQFFDQIVVGNLYLISRGSLRPAQKNFNHLPNDYEIMLDNASTIKQCYEEDAAIPRQQFHFRTIGDIESMENNSIIDVIGIVSSISPTVTITRKNGTATPKRSLQLKDMSGRSVEVTMWGNFCNAEGQRLQNLCDSGEFPVLAVKAGRISEFNGKTVSTIGSSQLFIDPDFVEAHKLKDWFEREGKSVPCISLSREFSGSGRVDVRKTISQIKDEKLGTSEKPDWITVSATIIYMKVENFCYTACPIMNGDRPCSKKVTDNGDGTWRCEKCDKSVDECDYRYILQLQIQDHTDLTWVTAFQEAGEEIMGISAKDLYYVKYEHNDEEKFEDIIRKVAFTKYIFKLKVKEETFSDEQRVKATVVKVDKLNYSSDTRTILDAMDKLRTGDASSLSININAEGSHYNADAVNTGTGSSGSSGTRDPSSVQRRDFSLHAHQSGQSGNHYSSGSRPQGGDATTSCNVCGNSGHVSANCPSATTEPQGQYMGGSYRGMSGSYGGGIPRQHVGSY >fgenesh1_pm.C_scaffold_7001865 pep chromosome:v.1.0:7:10468999:10470089:1 gene:fgenesh1_pm.C_scaffold_7001865 transcript:fgenesh1_pm.C_scaffold_7001865 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLANFKLPQFFSYPPYFTLQPVRDTREKQIQLWKELILDYCKSQKIFLIGIEEDFPLFSNSAIDRSLSHEARETFLSAIVGEGRAEWLDKGHRKCLILWHRIQDWADIILQFVRDNGLEDSVMTVEEIRSGTESLGTELEGIDRTILMRALKLLENKGKLALFKGTSADDEGVKFSV >fgenesh1_pm.C_scaffold_7001881 pep chromosome:v.1.0:7:10586173:10586540:1 gene:fgenesh1_pm.C_scaffold_7001881 transcript:fgenesh1_pm.C_scaffold_7001881 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSRFVFFAFLALSVLLAEDSIWSSNKGDSLCCNDHPEFGICTNNISCNKWCLQGCSSKRGGFCKRRICHCYC >fgenesh1_pm.C_scaffold_7001895 pep chromosome:v.1.0:7:10667049:10668099:-1 gene:fgenesh1_pm.C_scaffold_7001895 transcript:fgenesh1_pm.C_scaffold_7001895 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSSDTEKLQQDCYNEWMSLQAKRMTELKEALSTGEKDDDKLRDLIRTAIKDFEDYAGKRSEHSCRFSSNYFAPKWNTCLENALLWMGGCRPSSFIRLVYAMCGSQTEHRLSNFFNNTNEDIHGLSMALGETRGGIGAGESMSDLTAEQIIKINELHLKTIKAENKLTKLSASLQEDTADMPIAVAAFYKEVIGQADMAVERALDKHEEDMAGLLVQAEKLRLTTLTKIVDILTAGQAAEFLLAGKKLHLAMHEWGRSREHRRIEASGGDTIGEVARE >fgenesh1_pm.C_scaffold_7001904 pep chromosome:v.1.0:7:10728759:10729191:-1 gene:fgenesh1_pm.C_scaffold_7001904 transcript:fgenesh1_pm.C_scaffold_7001904 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSPAAFVNGALLRRYIGQKVRAVIQVIRSDIGSVIGKSTDDQQIVVKGSPNPPLTTYIEVIGIAETENTIRAEVWTNFGDSFDAQNYNELCKLANGEFRHLFI >fgenesh1_pm.C_scaffold_7001934 pep chromosome:v.1.0:7:10935724:10938831:-1 gene:fgenesh1_pm.C_scaffold_7001934 transcript:fgenesh1_pm.C_scaffold_7001934 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSTRNFFKRFCVEEYNMDTFKHSSFLSADLLPSLGARINQSTKLRKHIISPFDPRFRAWEMWLVILVIYSAWICPFEFAFITYKKDALFIIDNIVNGFFAIDIILTFFVAYLDSHSYLLVDKPKKIAIRYLSTWFAFDVCSTAPFQSLSLLFNYNGSEIGFRVLSMLRLWRLRRVSSLFARLEKDIRFNYFWTRCTKLISVTLFAVHCAGCFNYLIADRYPDPTKTWIGAVYPNFKETSVWSRYVTALYWSITTLTTTGYGDLHAENPREMLFFVFFMLFNLGFTSYLIGNMTNLVVHWTSRTRNFRDTVRAASEFASRNQLPPNIQDQMLSHICLKFKTEGLKQQETLNGLPKAIRSSIANYLFFPIVQNVYLFQGVSHNFLFQLVSDIDAEYFPPREDVILQNEAPTDLYVLVSGAVDFTVYVGEEDQVQGKAVVGDAFGEIGVLCYTPQPFTVRTTELSQILRISKKSLMSAMRAHIEDGRVIMNNLFMKLRGQQSIAIDDPNTEADSLLQEWLGGDPKTEEGNTSDQGQGHKYLQLHDTKNIDMGSTEWRDSRSGYSEKKRAREHRIEIEEGLKPKKEFDGKGCSNADLTSFEFHSQEAYPYCRSNIQIKQHEAVKPKDKRLTIHLKSREKDLSKLIILPASIEELLKLAGDKFGYKSFTKVMNAENAEIDDVDVIRDGDHLYFLINEYEAINS >fgenesh1_pm.C_scaffold_7001936 pep chromosome:v.1.0:7:10955546:10957920:1 gene:fgenesh1_pm.C_scaffold_7001936 transcript:fgenesh1_pm.C_scaffold_7001936 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATTRANS11 [Source:UniProtKB/TrEMBL;Acc:D7MCU8] MRFSLLTPSSYRFYHPNPFRSLESIPPLSVTPPRVESGSVSSFKRYGCSSVRHSSVSVKAFDDDSFDFYSGDIFTATYAISSSEGEESDGDYALNVVTETTAQKLGKFPRDRLKVHEPIRTLGPVPHNRRPTIPTMGGLFFVPIGVVVAIALTKVSSIEVLGAAAATVAFAAIGLVDDSLSLYKLSVFGASMAGACFGFLLHNRYRASVSMGDTGSLALGGALAAMAACSGMFFPLFISSGVAVLEASSVIIQVVFYSATKHLKGKGRRIFKTVPFHHHLRLSGLKEPMIVTMAYVISSLLSLSAAYIGLISA >fgenesh1_pm.C_scaffold_7001940 pep chromosome:v.1.0:7:10999211:11000923:-1 gene:fgenesh1_pm.C_scaffold_7001940 transcript:fgenesh1_pm.C_scaffold_7001940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7MCV7] MENFRYLKIFSFIFVILLSSSEAETRVDKSSVFLVDIRSFGARANDHRDHTKAFVAAWDKACKSSSSSVHFIIPRGEFSVGPLRFSGPCTNVSNLTVRVKASTDLSKYRSGGAWIEFGWINGLTLTGGGTFDGQGALAWPFNNCTSDSNCKLLPTSLKFVGMNRTVVRRISSVNSKFFHIALVECRDFKGTRLNITAPSDSPNTDGIHIERSSNVYFSRSHIATGDDCVSIGQGNSQITITSIKCGPGHGISVGSLGRYPNEKDVKGLVVKDCKISGTTNGIRIKTWANSPGLSAATNMTFENIIMNNVTNPIIIDQSYCPFSSCISNVPSKVELSEIYFKNIRGTSSSRVAVQLHCSRGMPCKKVYLENVHLDLSSSDGGRKQSRNRGNEAVSSSCRNVRANYIGTQIPPPCH >fgenesh1_pm.C_scaffold_7001949 pep chromosome:v.1.0:7:11055978:11056703:1 gene:fgenesh1_pm.C_scaffold_7001949 transcript:fgenesh1_pm.C_scaffold_7001949 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTEQESTVTKRDCFGYRQRLYLIEKLTKRHEDLMKMRRKAVRFGMELSFLVAEFMFLIPNEILAGETGDYSICTKLFGVNEDDKGTSVIIGKLEAVFEIVIWKKVSKEHDVDLPESIFRYDDFIRLCADATQALGKIVWDLKGKHCERLKLSDLDFLFEDCQKVLMKIELKLAEMKQWVYRSVSADYESFSKSERSDSFLRIKLMKRVIADNRYGRSVIGSYLVEIWQSLFQAEALQEL >fgenesh1_pm.C_scaffold_7001965 pep chromosome:v.1.0:7:11197701:11203421:-1 gene:fgenesh1_pm.C_scaffold_7001965 transcript:fgenesh1_pm.C_scaffold_7001965 gene_biotype:protein_coding transcript_biotype:protein_coding MASSADNNLTDKNIVFRKLKSKSENKVCFDCSAKNPTWASVTYGIFLCIDCSATHRNLGVHISFVRSTNLDSWSPEQLRTMMFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAADLYRQILAKEVAKAIAEETNSGLLSSPVATSQLPEVSNGGSSYSVKEELPPPKYEGASATSSPKASNTVVPSTFKKPIGAKRTGKTGGLGARKLTTKPKDNLYEQKPEQVAPVIPAASLTNNGESKSSAGSSFASRFEYNDDLQSGGQSIGGTQVLNHVAPPKSSSFFSDFGMESSFPKKSSSNSSKSQVEESDEARKKFTNAKSISSAQYFGDQNKNADMESKATLQKFAGSASISSADFYGHDQDDSNIDITASDLINRLSFQAQQDISSLVNIAGETKKKLGTLASGIFSDIQDRMLKKSKKEALSETSNESLALSSPNRDRGDDNNNHDSLSIPPPIYDGYTSSSSDETQSVHSPINLDHDEFSNFPDSQTRIGTTSQALDDIDDDLWDACPSDDLREAHRPWTPNVSPGFGSDDDDDNSKTESLRKSLFGFPQEPEPVTGVGAGLWNLGNTCFLNSVFQCFTHTVPLIESLRAYKYEVPCHCGNEFFCVIRALRYHIEAALRPERCPIAPYYFLNNLNYFSPDFQRYQQEDAHEFLQAFLEKLERCGSDRRSFHGNISSQDVFAGRLISGLRCCNCNSVSETFEKSVGLSLEIEDADTLGSALESFTRVEKLDEQLTCDTCNEKVSKEKQMLLDKLPLVATFHLKRFKTNGFFMEKIFKHVKIPLEIDLQPYMRNSQENEVSTKYHLYALVEHLGYSVAYGHYSSYVRSAPKIWHHFDDSKVTRIDEDRVLSQDSYILFYAREGTPWFSSAYEELQPLLEASLLNSSPKSVLDSSTNGECLSEISYENGDKSSKPCDSAGVSNEHVKTKEDFVSLSNELTEDVFLSAESSSGEDSTMGELLDPLETVDSYSPCTENEPDSCLAIERATTVDDFFPLLLDQNQELSSSPKQQEGTFQMQLSQIEEIAKSQEPWKQPLSSISNIADSMEAEFVNGDLMKKPSPRARELLDQAISTTGSPPKKLKTT >fgenesh1_pm.C_scaffold_7001968 pep chromosome:v.1.0:7:11222163:11223816:1 gene:fgenesh1_pm.C_scaffold_7001968 transcript:fgenesh1_pm.C_scaffold_7001968 gene_biotype:protein_coding transcript_biotype:protein_coding MASYWLLYLFIYLFSCHIAQTVQEEIEYECVDIYKQPAFQHPLMKDHQIQMRPSVEFQAMVSTEPETSDLFTGESEERCPKGQVPIHIPQINYTNNFSQPKKIITEANLHYAIIRPFENYTKRWDGAQAVFNIYKPRVLENQFSKAWIWLNHREKDVISSIQFGWAVHTGLYRDDRPRLTTYWISSRHPNGCYNALCRGGYVQVHKTIYPGMVYHKVSTLGKRQSTAHLLVGQDSRTKNWLLMTRSTLIGYWPYQIYSMQGASQVLFGGYTGGLAGATSPSMGAGTFPKEVGYRNKFSCFMKQLKCFEDKRLVDIDSNEFEEYVDSPKCYDVWFRKFEIGQGEMLTFGGPGGQCGI >fgenesh1_pm.C_scaffold_7001969 pep chromosome:v.1.0:7:11224607:11225484:-1 gene:fgenesh1_pm.C_scaffold_7001969 transcript:fgenesh1_pm.C_scaffold_7001969 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYVQPDDDSVENKEVAEAIFLDDNNDLSHIEGEITVCDTCGIQGFEDLLVICCNCKVGAEHTYCMMKKIDKVPDNWSCYECTEELDGMREEKGNEETNSRKRKADAVIDYFEASEKISSQRQTNLLNTQSNPKIGDVNLNVDLNIKLDEVPGIDINKVHGIDLNIDPNMELGEVPGIDMNEIRNKDLNVHPN >fgenesh1_pm.C_scaffold_7001977 pep chromosome:v.1.0:7:11303427:11306520:-1 gene:fgenesh1_pm.C_scaffold_7001977 transcript:fgenesh1_pm.C_scaffold_7001977 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATTPS5 [Source:UniProtKB/TrEMBL;Acc:D7MDE9] MVSRSYSNLLDLASGNFHSFSREKKRFPRVATVTGVLSELDDDNNSNSVCSDAPSSVTQDRIIIVGNQLPIKSHRNSAGKLSFSWDNDSLLLQLKDGMREDMEVVYIGCLKEQIDIVEQDDVSQRLLENFKCVPAYLPPELFTKYYHGFCKQHLWPLFHYMLPLTPDLGGRFDRSLWQAYLSVNKIFADKVMEVISPDDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRNELLRALLNADLIGFHTFDYARHFLSCCSRMLGLSYQSKRGTIGLEYYGRTVSIKILPVGIHISQLQSILNLPETQTKVAELRDQFLDQKVLLGVDDMDIFKGISLKLLAMEQLLTQHPEKRGRVVLVQIANPARGRGKDVQEVQSETEATVKRINEMFGRPGYQPVVLIDTPLQFFERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNPKLNETIGLDPSAAKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVTEAMDYALIVSEAEKQMRHEKHHKYVSTHDVAYWARSFIQDLERACGDHVRKRCWGIGFGLGFRVVALDPSFKKLSIENIVSAYKRTKNRAILLDYDGTMVQPGSIRTTPSRETINILNNLSSDPKNIVYLVSGKDRKTLTEWFSSCDDLGLGAEHGYFIRPNDGTDWETSSLVSGFEWKQIAEPVMRLYTETTDGSTIETKETALVWNYQFADPDFGSCQAKELMEHLESVLTNDPVSVKTGQQLVEVKPQGVNKGLVAERLLTTMQEKGKLLDFILCVGDDRSDEDMFEVIMTAKDGPALSPVAEIFACTVGQKPSKAKYYLDDTAEIIRMLEGLAATNTTTSDQTGSTATVPTKDLF >fgenesh1_pm.C_scaffold_7001978 pep chromosome:v.1.0:7:11316689:11318133:-1 gene:fgenesh1_pm.C_scaffold_7001978 transcript:fgenesh1_pm.C_scaffold_7001978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Damaged DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7M9F9] MSSSSSPAIEPDTPDLICQLDNVQGMVDALTCVRWKRHQDALVELSEHGIVLIVEESGCLQAKVYLQRELFTKYEYGAQGRPRFGISLGLLVDCLNTFSSPGHSNTIEIKYPGPDMELLLKSVDTLNACIYSEIRTRIPETVTWDYNFEQAGSAPLTFTIKSAALKEAIDDLEWPGSSVQISLQKEPPCVIFRGEGHGDLQIDFMYYANTDLLLAFHCDTEVSYGYKYKFLKATTANIPGNVVRENRGSKLTIGRGGMLKVQHLVSVAKALAPQVESAGYQPPSRIAYIEFFVKPEEPAD >fgenesh1_pm.C_scaffold_7001980 pep chromosome:v.1.0:7:11321537:11324184:1 gene:fgenesh1_pm.C_scaffold_7001980 transcript:fgenesh1_pm.C_scaffold_7001980 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLASSSLSPFFFTKPNKINPNFSIQVKLWVTQPPKISKASNFSYARCRSNKSRSNATNPGVVFVCNRFLCVIERNDERKFSGKVMMKSSVNFRQNLSVAFVRIVSVLLVSSISVVTTDSPPSWGLSEENLLFLEAWRTIDRAYIDKTFNGQSWFRYRETALRNEPMNTREETYMAIKKMVATLDDPFTRFLEPGKFKSLRSGTQGAVTGVGLSIGYPAASDGPPAGLVVISAAPGGPANRAGISPGDVILGIDNTTTETLTIYDAAQMLQGPEGSTVELAIHSGPDTRLLTLTRERVSVNPVKSRLCELPGSGSNSPKIGYIKLTTFNQNASSAVREAIETLRGNNVNAFVLDLRDNSGGSFPEGIEIAKFWLDKGVIVYICDSRGVRDIYDTDGSNAIATSEPLAVLVNKGTASASEILAGALKDNKRALVYGEPTYGKGKIQSVFELSDGSGLAVTVARYETPAHTDIDKVGVSPDHPLPKSFPKDEEAFCGCLKDPTAACYLNQDLLFSR >fgenesh1_pm.C_scaffold_7001984 pep chromosome:v.1.0:7:11332222:11332629:1 gene:fgenesh1_pm.C_scaffold_7001984 transcript:fgenesh1_pm.C_scaffold_7001984 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAASIFIGLLLFSTCTQILAQSCKNASDCTNLNCATKIKCEQNKCQCLNERYVRAISLKTRCNVQSCIDLCKSKGEVIYVCVSYRCYCRKPPM >fgenesh1_pm.C_scaffold_7001989 pep chromosome:v.1.0:7:11357172:11357871:1 gene:fgenesh1_pm.C_scaffold_7001989 transcript:fgenesh1_pm.C_scaffold_7001989 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTRKPYFIEEEDDGLVSLSEMEAGVSSPCYSYPQSYYYHHHQYSVSSPRSGKFHDFRFDNSYYGQPLPHFLDSCFLCKKRLGDNRDIFMYRGDTPFCSEECREEQIERDEAKEKKQSLSSSVKAMRRNEKRSSSSSPTRSRDYAFHTGTVAAA >fgenesh1_pm.C_scaffold_7002022 pep chromosome:v.1.0:7:11552185:11559125:1 gene:fgenesh1_pm.C_scaffold_7002022 transcript:fgenesh1_pm.C_scaffold_7002022 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQNQNLHLAGEANNKFPPVLQPYALPKFDFDDTLNTHLRFDSLGESEAFLGIEGNEDNNWIEDFSRGSSGIVFSSGATESCAIPRHNNVWSEATSSESVEMLLNSVGQDEVIVREDTIKKSDTSDELGCTMEPMEPGQTSHEKSPSKEETVNLQPNPSVDDTSGEFSVVKTDDGQEQVLVKDDSPTAVEEASVEEKNAILTSNTATVEAVQTAGLDKIGPESTDNLRHQTEEKANIESRMEDDCSDRTVQTEITCSGELNNQSTVLPETSNDENVISGHIQSSYNRSDITIDAKSVLVEAHSDSHIESASEAENVEAENIGETAEPDLKEIELSDVTVLERGDQALPTVEVGGQDVSGTQRQDLLVSTVHTRGAVKASLELVGELTTIPNSVSIEKPESLSHQHMEVITSVHESTFQMETETHTQIHVVETSESVYISPMDSMVEATKGGVSKKSDSEGSDPTSNLEQRMELPVNANERDQDVKNSPILSESVVSESVGYVSGGPASKLAEPKSQSDTIPTDKSGTMIDYSLNGEELQPLNPYGAPAVSLTSSIDLHMVKTSSDTSDQGSFSKTEKISSGEPENGQTVPPVDASSSGSQMDKQARKIVEDTQQSTHFVEGCPGSEGSKDAVDADADAGATGQVLLQQSEETILEETLVTEVVNAPGNLDSLYIAVPCRPNPICLPFDELIYVTQLVLDKDNKNEKPSTSTLSNLGSEAGKDHRKEDNSAATGRIMSAGTPVSNPKGDAIVLVNSCASTVSEPSAKSHVTATEDAATNLKTPLNSFPTVTTAELQLNKTETDSVKKPEDQNISSFMSAGSSVLNNETFSSEMNLTPDQLKAGEISKAVNFSQATLVSPIVVGSTSTSSLEKTAAKSSKTKSERKPRRTSKSVGKETSRKGTSVKGATPFQQFQSGGKTNAVNQSSACPIQITQSTEKPQSLQSPALKAFGTLSTPTASLPDLNSAVLSSILRRPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGPVCSLCISLDGGKGSWEKAWRSCVVRAQKSFVATPETPLQSRAGKTETPSAGHTNSKESSATNPMIPLSSPLWSLSTSLDTLQSSSIQRGSTATHQPLLSSSHAHQTPPTANIVGHNTPWMSPLPFRNSWLASQQTSGFDVGSRFPVYPMTEPVKLTPMKESSLSFPGAKHVQSGTSGNVFKVTSSLEPTSSVVAPAQHSTGTKSRKRKKMPASVEFGPNILNSLKQTELAASPLVSISTAVPITPTPANLGSNAGTLPNVVSITAVPMDLVSTFPGKKIKSSLPSPIFGGNLVPEVKQRSVLSEDTMDKLKEAKMHAEDASALATAAVSHSEYVWKRIEQQSHAGLQPETQDRLASAAVAIAAAAAVAKAAAAAANIAANAALQAKLMAEEASLIYTFDQRLPKSNDNILLPGHGTPASILKGEGAVGSSSSVLIAAREASKKRVEAATAATKRAENMDSIVKAAELASDAVSQAGILVSMGHPPSLNKLVEAGPSNYWRQAQGSHEVQPCTVVLEKEPVATSEGTIASPRTVQTELGGSLQKSDGVSGPVSATGKKKGQKGDTGADLAVNNDVVLEPEVGSKISIDTQTESQQSMKATNNEDIKEGSNVEVFKEEPGLRTAWYSANVLSLEDDKAYVLFNDLSVEQGFWLEGVITEKNKKDENTVTVHFPAQGDTLTIKAWNLRPSLVWKDGKWIECSSSGENISSSHEVFSPFYGSRGFSFGCFS >fgenesh1_pm.C_scaffold_7002037 pep chromosome:v.1.0:7:11625580:11627094:-1 gene:fgenesh1_pm.C_scaffold_7002037 transcript:fgenesh1_pm.C_scaffold_7002037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7MC21] MGSGVGAVALCIFFLLLVPHEVESAIGVNWGTLSFHKMRPSTVVDLLKANKITKVKLFDSNPDALRALMGTGIQVMVGIPNEMLSTLNSDLFVQQNLSRFIDKNGADIRYVAVGNEPFLTGYGGQFQNYVVPAMVNLQQSLVKANLASYVKLVVPCNADAYESNVPSQGMFRPELTQIMTQLVSFLNTNGSPFVVNIYPFLSLYGNSDFPQDYAFFEGSSHPVPDGPNTYYNAFDGNFDTLVAALTKLGYGQMPIVIGEIGWPTDGAVGANLTAARVFNQGLISHVLSNKGTPLRPGSPPADVYLFGLLDEGAKSTLPGNFERHWGIFSFDGQAKYRLNLGLGNRGLKNAKNVQYLASRWCVAHPSKDMTQVGDHLRLACSEADCTTLNDGGSCSQLGEKDNISYAFNSYYQMQMQHEKSCDFDGLGMVTFLDPSVGDCRFLVGVTDKGLSSSAEPMARWSIYHICIGVLIWRFWTLTI >fgenesh1_pm.C_scaffold_7002039 pep chromosome:v.1.0:7:11632172:11633731:1 gene:fgenesh1_pm.C_scaffold_7002039 transcript:fgenesh1_pm.C_scaffold_7002039 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYRFKYILIGDTGVGKSCLLLKFTDKRFQAVHDLTIGVEFGSKKITIDNIPIKLQIWDTAGQESFRSVTRSYYRGRAGTLLVYDITRRETFNHLASWLEEARRHASENMTTMLIGNKCDLEEKRAVSTEEGEQFAREHGLIFMEASAKTAHNVEEAFIETAATIYKRIQDGVVDEANEPGITPGPFDGYDAISSKQRRGCCG >fgenesh1_pm.C_scaffold_7002044 pep chromosome:v.1.0:7:11671021:11671281:-1 gene:fgenesh1_pm.C_scaffold_7002044 transcript:fgenesh1_pm.C_scaffold_7002044 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSFTLIQTVAISGVFSAVSCWYGFMFGRESARKELGGLIEELRRGGSNSDSPPHS >fgenesh1_pm.C_scaffold_7002056 pep chromosome:v.1.0:7:11743696:11749894:-1 gene:fgenesh1_pm.C_scaffold_7002056 transcript:fgenesh1_pm.C_scaffold_7002056 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRFNIHQPLKRWKFRFFSRSVENPDAILVGSCLSSTFSSPEPSLVRSDYLTKPSDEDQIFPLNKIIATRVRSGDIDGALSVFHGMRAKNTVSWNSLLVGISKDPSRMMEAHQLFDEIPEPDTFSYNIMLSCYVRNGNFEKAQSFFNRMPFKDAASWNTMITGYARRGEMEKARVLFYSMMEKNEVSWNAMISGYIECGDLEKAAHFFKAAPFRGVVAWTAMITGYMKAKKVELAEAMFKDMTVKKNLVTWNAMISGYVENSRPEDGLKLFRAMLEEGIRPNSSGLSSALLGCSELSALCLGRQIHQIVMYCKCGELGDAWKLFEAMKKKDVVAWNAMISGYAQHGNAEKALCLFHEMRDSKTKPDWITFVAVLLACNHAGLVDIGMTYFDSMVRDYRVEPRPDHYTCMVDLLGRAGKVEEALKLIRSMPFRPHAAVFGTLLGACRVHKNVELAEFAAEKLLELDPRNAAGYVQLANIYASKNLWEDVARVRKRMKESNVVKVPGYSWIEIRNKIHHFRSSDRIHPELDSIHKKLKELERKMKLAGYKPELEFALHNVEEEQKEKLLLWHSEKLAVAFGCIKLPQGSPIQVFKNLRICASGSRVSDHFPAKSPPRSQSVTAMEDDVELLLPRYDPNSQAGKREKSRFRFAENVIHLIPLILLLCVVILWLFSHSVNFSQSSFFDFACSLLHQSVFSQLSGNPSFLPRCLNYKIGTKRKRKYEFLLECSCYSDILFILLLIWKLVTYLSLLSRYGHNEWSRSTGMVVFNYKDCNNTLQKTEGNRGLGYPEATELAGQFEMTSNIPPAIAHSSLNAGAKVILTSEAVVPATKTRKLSAERSEARRFVHHDSPSS >fgenesh1_pm.C_scaffold_7002059 pep chromosome:v.1.0:7:11762560:11767548:1 gene:fgenesh1_pm.C_scaffold_7002059 transcript:fgenesh1_pm.C_scaffold_7002059 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGKSTTEAMVPAKRSKARSHYLTLPKRQFYNTRTGQPLSLEEVMSDRDNENDVDDVAEHLAESQMPNDSMDENEIVAERFIHLWNSFVKKQRIVADYHIPWACEAFSRLHLQELRSNLSLDLGNKETTETGMCRNGEKDGMDVGEEQEAVVVEVVVAKAEVVVVVVVKAEAEAENGYSDSTSPISEPPDITNWFPSYVYESLPLSSSINESENEVDVVNKVNLGGVIDESLPSEPPDLANWFSSYVYESPVLDTSDVLELYVPGESECVKETQIENETPKIERNHVCPRLFEQELVSSTKVTDFSQSESVLSEPPNLRNWFSSYEYQSPQLSEIQELGFSSFEKDELVIEESDTEDGISSGIFRKLKSKQESTIGLGRLDSNDYKENIAADTAKEVSLDNAYSNQEMEKRSSVGLFNASKKEAKQESSFKQEALLCEPQEEARFSPRVSRYNPKPKSPSKNDASLHELRPIHIQESISMNTNRQMSPIDQESDDKENVNGQSSETGFVTMKKARFREARDQCSLKKPNRVVLMKCSSSKELKNIAGEEDKEERNKKRKILEEMSNHQSSGAEEMAGKWRCPQKNKRNIVPPLKQLRLDAWIHKV >fgenesh1_pm.C_scaffold_7002067 pep chromosome:v.1.0:7:11895804:11899125:-1 gene:fgenesh1_pm.C_scaffold_7002067 transcript:fgenesh1_pm.C_scaffold_7002067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lyase/ magnesium ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7MCC5] MGSSISPLTREYICEAETAEKAKLLKEEVRKTLGEIEGTIEQLEMIDSLQRLGISYHYKHEIHEILKNIHDQRGEIVREAQDLHATSLEFFLLRQHGFDVSQDAFDVFISETGVFRKTLCSDIKGLLSLYEASYFSKGSEFKLKDTRIYAKKRLSEFVAESSKTICREDETYIFEMVKRALETPYHWSIRRLEARWYINVYEHKHEMNPLLFEFAATDFNMLQANHQEELKLVSSWWNSTGLMKQLDFVRDRITESYFWTIGIFYEPEFKYCRMILTKIFMLIAIMDDIYDIYGTLEELEIFTNVVEKWDVNHVERLPNYMRICFLFLYNEINQIGYDVLRDKGLNVIPYLKQVWTDLFKTFLMEAKWYKTEHRPSFEEYMQNGVISSSVPTILLHLFSVLPDHISDQTLTDVSKNHSVVRSCATILRLANDLATSTDEMARGDSPKSVQCYMYETRASEEEARGHMQSMISDSWDVINSDFKTAHTSSLPRGFVAAAANLNRVVQCIYQHGDGHGSPEKTKTVDYIQSLLFNPVPL >fgenesh1_pm.C_scaffold_7002075 pep chromosome:v.1.0:7:11957681:11959381:1 gene:fgenesh1_pm.C_scaffold_7002075 transcript:fgenesh1_pm.C_scaffold_7002075 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7MCD7] MMKRETLKEVGIIGGLAGAQVIYAGNSELLSQLLSLGIDPLLIVILCTFASFLLITPFAFLLERKLWPTSLSFKLKIKLVLVALAGVSLFQWLYLEGMKHTSASMATAMPNLCPAFIFVIAWAAGMEKVKLSCMYSRVKMGGTVLCVMGAFIMSLMHSTTATPSSVKTMPIVPDEVVVDKDKILGCLYLLLAICGLSSSIVLQASILAEFPAPISMFSMVSLMGGITTVALQYALKGSMEMGSASVIGLGHLVGYAILGGLVSGGGLSFNAWVIKRKGPVIVSLFSPIATVVCVLVSAFTMEESFNLGSFAGMALMFGGLYFVLWAKGKEDCEEIDETKQDDEESLLRTEFDLQKPLLL >fgenesh1_pm.C_scaffold_7002077 pep chromosome:v.1.0:7:11971810:11974552:-1 gene:fgenesh1_pm.C_scaffold_7002077 transcript:fgenesh1_pm.C_scaffold_7002077 gene_biotype:protein_coding transcript_biotype:protein_coding MDCQRTIVFSCWVLFLLIIETTAYNEKQLFQPFETENANALTAVMERGLKTQRPEHRNAYATMMYMGTPRDYEFYVATRVLIRSLKGLHVDADIVVIASLDVPINWIHALEEEDGAKVVRVENLENPYKKQTNFDNRFKLSLNKLYAWSLSDYDRVVMLDVDNLFLKNTDELFQCGQFCAVFINPCIFHTGLFVLQPSMEVFRDMIHELEVKRDNSDGADQGFLVSYFSDLLNQPLFRPPSDNLTTALTGHFRLPLGYQMDASYYCNYSTEMPWVIIQAVFYLGIILVTRLARPNMTKLWYRRSDKNLSVIQTTFKVVALLLILSAYIIPFFIIPQTIHPLIGWSLYLTGSFVLSTIPINAFLLPMLPVITPLIGIFGTLLVMAFPSYPDGVVRALSVFGYAFCCAPFLWVSFVKITSHLQVMIDKEVLFPRLGESGVTSCLSKLY >fgenesh1_pm.C_scaffold_7002100 pep chromosome:v.1.0:7:12140103:12141367:-1 gene:fgenesh1_pm.C_scaffold_7002100 transcript:fgenesh1_pm.C_scaffold_7002100 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIHTLLCKHGNKVTDVWSDDEASTEKAKESPQDATESKTGRRRCIKITLTRKQLELLLRQNPQGVSFQRKWKPSLQTIVEGFWLQHLLLNSLSVHRVQSQVMEIQARLMEYRFHFMVAIMVSVVVASLVYAAPRIVNILAYFWPLFASTAAFLAVAITFGGFQQLSEEATGERLMDYVAGRPEDSHKYD >fgenesh1_pm.C_scaffold_7002113 pep chromosome:v.1.0:7:12233184:12235120:1 gene:fgenesh1_pm.C_scaffold_7002113 transcript:fgenesh1_pm.C_scaffold_7002113 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g16260/dl4170c [Source:UniProtKB/TrEMBL;Acc:D7M9X2] MTPLFILIALFTTILNPTSGEPVGVCYGMMGNNLPSQSDTIALFRQNNIRRVRLYDPNQAALNALKNTGIEVIIGVPNSDLRSLTNPSSARSWLQNNVLNYYPAVSFKYIAVGNEVSPSNGGDVVLPAIRNVYDALRGANLQDRIKVSTAIDMTLIGNSFPPSSGEFRGDVKWYIDPIIGFLTSTNSALLANIYPYFSYVDNPRDISLSYALFTSPSVVVWDGSRGYQNLFDALLDVIYSAVERSGGGSLPVVVSESGWPSNGGNAASFDNARAYYTNLAARVRENRGTPKRPGRGVETYLFAMFDENQKSPDIEKNFGLFFPNKQPKFPITFSAAREGSAVE >fgenesh1_pm.C_scaffold_7002128 pep chromosome:v.1.0:7:12386885:12387882:1 gene:fgenesh1_pm.C_scaffold_7002128 transcript:fgenesh1_pm.C_scaffold_7002128 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTEETKTTKLESAGDSSDVDNGNCSSSGSGGDTKKTCVDCGTSRTPLWRGGPAGPKSLCNACGIKSRKKRQAALGIRQEDNKMKNKCNNNLNLENRTVKIGKGEPGNVKNKIKTDPENFSSSNNNKNVKKVGRFLDFGFKVPAMKRSAVEKKRLWRKLGEEERAAVLLMALSCG >fgenesh1_pm.C_scaffold_7002143 pep chromosome:v.1.0:7:12524410:12525138:-1 gene:fgenesh1_pm.C_scaffold_7002143 transcript:fgenesh1_pm.C_scaffold_7002143 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTTILILFIAILMVSLHLCSRWYLLRSSRFNRTAAALTFFANPSSTAVVTTSGGLNPSVIKSLPIFTFSAATAQKNAIECAVCLSAFVDNESGRVLPNCKHTFHVHCIDMWFHSHSSCPLCRSLIEPFAGGVKSTTDEVAISISDLVSSDMNRHEGTETSGNPDPEDSRRKPAAIEIPRRNHGEMENDLTPSQTLRSPMSRVISFTRNLSRDRRSASSSSPVCSMPVRALDIELGGEETR >fgenesh1_pm.C_scaffold_7002144 pep chromosome:v.1.0:7:12531799:12534363:1 gene:fgenesh1_pm.C_scaffold_7002144 transcript:fgenesh1_pm.C_scaffold_7002144 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCRYLSLSRFQNHLPLRFRRFLGRKTDPTVDLSTLHENQTNRQEKMSRLDGVEHKTLKVNGINMHVAEKPGSGSGEDPIILFIHGFPELWYTWRHQMTALSSLGYRTIAPDLRGYGDTETPERVEDYTYLNVVGDMVALIDAVTGGDKAVFVVGHDWGAMIAWQLCLYRPEKVKALVNMSVLFSPRNPDRVPVPTLRHVFNNDYYICRFQKPGEIEAEFKNIGTENVLKEFLTYKTPGPLYLPKDKYFKRAENAAFALPPWLTQEDLDYYVTKYDKKGFTGPINYYRNIDRNWELTAPWTGAKIHVPVKFIVGDQDLTYNSPGAKEYINGGGFNRDVPLLDETVVIKALGHFLHEENPDVNGINMHVAEKYPSVAGNGAFDGAIRPPVILFLHGFPELWYTWRHQMVALSSLGYRTIAPDLRGYGDTDAPESVDAYTSLHVVGDLIGLIDAVVGDREKVFVVGHDWGAIIAWHLCLLRPDRVKALVNMSVVFDPWNPKRKPISLFKSFYGDDYYICRFQVHES >fgenesh1_pm.C_scaffold_7002163 pep chromosome:v.1.0:7:12647879:12648442:-1 gene:fgenesh1_pm.C_scaffold_7002163 transcript:fgenesh1_pm.C_scaffold_7002163 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosome subunit biogenesis protein NIP7 homolog [Source:UniProtKB/TrEMBL;Acc:D7MAC8] MRPLDETETTVVFEKLFKFVGNNLKKIVENPSDEGPESTPGSYCFRLQKNRVYYVSEALVKRATNISRKNLVSFGTCIGKYTHAGSFHLTIMSLNILAANAKHKVWLKPTSEMSFLYGNHVLKGGLGRITDSIVPGDGVVVFSMSDVPLGFGIAAKSTQDCRKLDPNGIVVLHQADIGEYLRDEDDL >fgenesh1_pm.C_scaffold_7002176 pep chromosome:v.1.0:7:12755654:12756588:-1 gene:fgenesh1_pm.C_scaffold_7002176 transcript:fgenesh1_pm.C_scaffold_7002176 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGKSKHDVVTGNTTRIKKPSEAESVKGKESETIKRQESCRCRKKNDISDVVSGDQPETNVETNSKKPEEKEGGGDCSETVAVEMTNGDKQPEENETTTTFPPVAEVALIVPENVVTEETVNDVNEIESVSPVEGQKEKIDIETVVEEEKSIEDKNDEDVESEIASSEVEEPIPEVQTLVTTELEVQEIPTTENDEFAATENDDIMATENDEISVLKDEDKVDVVEVRKFFN >fgenesh1_pm.C_scaffold_7002182 pep chromosome:v.1.0:7:12824554:12825147:-1 gene:fgenesh1_pm.C_scaffold_7002182 transcript:fgenesh1_pm.C_scaffold_7002182 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g15563 [Source:UniProtKB/TrEMBL;Acc:D7MAG2] MQHKEMASRAYEVITYVTNSPATLSLEAGKYLQAVETMSSMQLGFQDVELFLFKPNLSVLLNLIGLIYCIQHLKPLRDQILDALQRCGISEQLVWVKWLTLGRWSGGRRMRDEIVSRQVSLVDVVTGKEETVLRVLQRGVVHEVLRVCISTVDLACTPCSSSTIRNY >fgenesh1_pm.C_scaffold_7002200 pep chromosome:v.1.0:7:12995274:12999785:-1 gene:fgenesh1_pm.C_scaffold_7002200 transcript:fgenesh1_pm.C_scaffold_7002200 gene_biotype:protein_coding transcript_biotype:protein_coding MATEMNENLINSFVEITSSSREEATFFLESHRWNLDAAVSTFLDNDAAAAAIAEPNPTGPPPIAAAQSPSQSHSSDYTPSETSPSPSRSRSPSPSSRAAPYGLRSRGGAGENKESENPSGSRTSRSRQHAGNIRTFADLNRSPADGEGSDSDEANEYYTGGQKSGMMVQDPKKAKDVDALFDQARQSAVDRPVEPSRAPSTSFTGAARLLSGEAVSSSPQQQQQEQPQRIMHTITFWLNGFTVDDGPLRGFTDPENAAFMNSISRSECPSELEPADRKIPVHVDLVRRGENFTEPPKPKNPFQGVGRTLGASGSGSSSAPQASSAPMNAAPAPSRGLVVDPAAPTTSIQLRLADGTRLVSRFNNHHTVRDVRGFIDASRPGGSKEYQLLTMGFPPKQLTDLDQTIEQAGIANAVGSLISFLLFLLLLLLPLALYLSKLVSVIMIKQIFGKLPRKPSKSSHNDSNPNGEGGPTSKSSASNSNGANGTVIAPSSTSSNRTNQVNGVYEALPSFRDVPSSEKPNLFIKKLSLCCVVFDFSDPSKNLREKEIKRQTLLELVDYIATVSSKLSDAAMQEIAKVAVVNLFRTFPSANHESKILETLDVDDEEPALEPAWPHLQVVYELLLRFVASPMTDAKLAKRYIDHSFVLKLLDLFDSEDQREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLIRALIPLHRPKCASAYHQQLSYCIVQFVEKDFKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQAAEFQRCMVPLFRQIARCLNSSHFQVAERALFLWNNDHIRNLITQNHKVIMPIVFPAMERNTRGHWNQAVQSLTLNVRKVLAETDQILFDECLAKFQEDEANENEVVAKREATWKLLEDLAASKSVSNEAVLVPRFSSSVTLTTGKTSGS >fgenesh1_pm.C_scaffold_7002206 pep chromosome:v.1.0:7:13148680:13152809:1 gene:fgenesh1_pm.C_scaffold_7002206 transcript:fgenesh1_pm.C_scaffold_7002206 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSSLLPLCERISHKSYFLRIVDLTILGLLFSLLLYRIMHMSENDNIWLVAFLCESCFSFIWLIITCIKWSPAEDKPYPNRLDERVHDFPSVDMFVPTADPVREPPIIVVNTVLSLLAVNYPTNKLACYVSDDGCSPLTYFSLTEASKFAKIWVPFCKKYNVRVRAPFRYFLNPLVATDDSVFSKDWKMTKREYEKLCRKIEDATGDSHWLDADGDFEAFSNTKPNDHSTIVKVVWENKGGVGDDKEVPHLVYISREKRPNYLHHYKTGAMNFLLRVSGLMTNAPYMLNVDCDMYANEPDVVRQAMCVFLENSKNSNHCAFVQFPQEFYDSYTNEFAVLQSYLGRGVAGIQGPIYCGSGCFHTRRVMYGLSSDDLEDNGSLSSVATWEFLDEDSLVRKYGSSKEMVKSVVGALQLKSYPQKSLTYFIEAAQEVGHCHYEYQTSWGNLGWLYDSVAEDINTSIGIHLRGWTSSFVSPDPPAFLGSTPSVGLEAIVQQRRWATGAIEVLFNKQSPLIGMFRGKIKFRQRLAYFWVLICLSSIPELIYFLLPAYCLLHNSALFPKGPCLCLTATLVGMHCLYSLWQFMNLGFSVQSWYVAQSIWRIIATSSWLFSIQDIILKLLRISKIGFVIAKKTMPETRSVYESSQGEDDVPKSDLGKFEFDSSCHFIPGTFIMLVNLAALAGFLVRLQRSSCSHGGGGSGLAEACGCILVIMLFHPFLKGLFEHGKYGIPLSTLSKAAFLTVLFVVFSLGI >fgenesh1_pm.C_scaffold_7002210 pep chromosome:v.1.0:7:13171607:13172775:1 gene:fgenesh1_pm.C_scaffold_7002210 transcript:fgenesh1_pm.C_scaffold_7002210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (B-box type) family protein [Source:UniProtKB/TrEMBL;Acc:D7MAK3] MEARCDYCETEKALIYCKSDLAKLCLNCDVNIHSANPLSQRHTRTLLCEKCFLQPTVIHCMNEKVSLCQGCQWTATNCTGLGHRLQNLNPYSGCPSPSDFAKIWSSILEPSVSNWVSPFPDTLLQELDDWNGSSTSVITQTQNLKDYSSFFSMESNLPKVIEEECSGLDLCEGINLDDAPLNFNASNDIIGCSSLDNTKCYQYEESFKEENNIGIPSLLLPALSGNVVPSMSISMSNITGENSATDYQDCGISPGFLIGDSPWESNVEVSFNPKSRDEAKKRYKQKKSKRMFGKQIRYASRKARADTRKRVKGRFVKSGETFEYDPSLVM >fgenesh1_pm.C_scaffold_7002214 pep chromosome:v.1.0:7:13203324:13210030:-1 gene:fgenesh1_pm.C_scaffold_7002214 transcript:fgenesh1_pm.C_scaffold_7002214 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTGEDDDKAKSLQVEIRSQWATVERLPTFKRVTTALLHTRDDASDIVDVTKLEGAERRLLIEKLVKQIEVDNLRLLRNIRKRIDEVGIELPTVEVRFNDLSVEAECEVIHGKPIPTLWNTIKGILSEFICSKKETKISILKGVSGIVRPGRMTLLLGPPGCGKTTLLQALSGRLSHSVKVGGEVSYNGCLLSEFIPEKTSSYISQNDLHIPELSVRETLDFSACCQGIGSRIEIMKEISRREKLKEIVPDPDIDAYMKAISVEGLKNNMQTDYILKILGLDICADTRAGDATRPGISGGQKRRLTTGEIVVGPATTLFMDEISNGLDSSTTFQIVSCLQQLAHIAEATILISLLQPAPETFELFDDVILMGEGKIIYHAPRADIGRFFEGCGFKCPERKGVADFLQEVMSRKDQEQYWCHISKPYSYISVDSFIKKFKESNLGFLQKEELSKPFDKSQTHMDGLCFRKYSLGKWEMLKACSRREFLLMKRNSSIYLFKSGLLVFNALVTMTIFLQAGATRDARHGNYLMGSMFSALFRLLADGLPELTLTISRLGVFCKQKDLYFYPAWAYAIPSIILRIPLSVLDSFIWTSLTYYVIGYSPEVGRFFRHFIILLTFHLSCISMFRAIASICRTFVACSITGAISVLVLALFGGFIIPKSSMPTWLGWGFWLSPLSYAEIGLTANEFFAPRWRKLISGNTTAGEQVLDVRGLNFGRHSYWTAFGALIGFVLFFNVLYTLALTYRNNPQRSRAIISHGKNSQCSVEDFKPCPEITSRAKTGKVSLPFKPLTVTFQNVQYYIETPQGKTRQLLSDITGALKPGVLTSLMGVSGAGKTTLLDVLSGRKTRGIIKGEIKVGGYPKVQETFARVSAYCEQFDIHSPNITVEESLKYSAWLRLPYNIDLKTKNELVKEVLETVELENIKDSMVGLPGISGLSTEQRKRLTIAVELVANPSIIFLDEPTTGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIDIFETFDELILLKDGGHLVYYGPLGKHSSKVIEYFESVPGVPKVQKNCNPATWMLDITCKSAEDRLGMDFAQAYKDSTLYKENKMVVEQLSSASLGSKALSFPSRFSQTGWEQLKACLWKQHCSYWRNPSHNLTRIVFIMLNSLLSGLLFWQKAKDINNQQDLFSIFGSMYTLVIFSGINNCATVMNFIATERNVFYRERFARMYSSWAYSFSQVLVEVPYSLLQSLLCTIIVYPMIGYHMSVYKMFWSLYSIFCSLLIFNYCGMLMVALTPNIHMALTLRSTFFSMVNLFAGFVMPKQKIPKWWIWMYYLSPTSWALEGLLSSQYGDVEKEIIVFGEKKRVSALLEDYFGYKHDSLAVVAFVLIGFPIIVASLFAFFMSKLNFQKK >fgenesh1_pm.C_scaffold_7002228 pep chromosome:v.1.0:7:13362569:13364563:1 gene:fgenesh1_pm.C_scaffold_7002228 transcript:fgenesh1_pm.C_scaffold_7002228 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRGPVIWMFLMCIGHIIVSHNNDFVEAKSFSKSEDLEIEKRLKSINKPAVKIIKTIDGERYRCVDFFKQPAFDHPSMKNHTYHYKMRPIWKGIRERKTNNTGFGYLWENGVGCPIGTVPIQRVTKDDLLRLDSFVDNHKPRGSWNTTTFNLNNPFHPDQHHYAVARTKDIGRRFHGATMGLCITAPKVKPTQFSNSRLHIQMGRDFLQAGLTVNPVLYKDKQPRTFVYTSANGKSCYNSNCNVGMIAIRHDLTLGLALQPVSTRGSRPSYYTKIGLVKDRKSGNWLLRMGGNYEPINIGLWPGHRFQQGFGDFVEWGGEVYSAYLPSPQMGYGYFPIQDKSYDAYIQHISILDRNYNIDRNVDYLEDFSDNNRGYQVIDTRDPRHSGGHIIFYGGPGNI >fgenesh1_pm.C_scaffold_7002242 pep chromosome:v.1.0:7:13506142:13510746:1 gene:fgenesh1_pm.C_scaffold_7002242 transcript:fgenesh1_pm.C_scaffold_7002242 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGRRSGESPGVLIKKRSSSGCLIVKKNDGVGRICSFSENRPNWESNKRSRMILSDSESSDKFAIPQNMRHYLNVEESRFVGKSREWKESKRHRLDDDDDDDDDDDEESEDELLAMRMRRSFDGSGVDIGKKAYLGSAQFGNGREYGTGSSRKDLDVEKRRKPYLDGSGNIGFGNQGYRNMCKRRYKHDMNFDEPIRVQGKNGVLKVMVNKQNKIGGSLQNAKAEQSQYGSKIQETGKIRVAIQSPTTLKTEKLPKLLPPARIQSNGLKMPMSLTMKSKGQDQDSEDSDSSGRLQKRIIQPHKPSHISSTGGENTLPEVSMPSKIRDGKIRRGSGTEKQRLRERIREMLLEAGWTIDYRPRKNRDYLDAVYISPRGTAYWSIIKAYEALLKQLNSGEKAKPCEDSSTFTLISDEILSQLTRKTKRKIEKDMKSEEQSASDSVGKATFARNFLAIKNEVGNDDSRDSSRGTTSKSASPLHHQTEKSTGSSSHHVDGGKSSKHVRSTLSVRRPVRGDNSEGDGFVPSSEKQTILAWLIDSGTLKLSEKVMYMNQRRTHAMLEGWITRDGIHCGCCSKILSVSKFEIHAGSKLRQPFQNIFLNTGVSLFQCQIDAWDKQKGAGNIGFCSVDVIADDPNDDACGICGDGGDLVCCDGCPSTFHQRCLDIRGHLMPDWIFLRFNYRCFLLVIGIAPIVHANSVGQLLKMLLRPRMQIPAKCVRKNLSEGVKKYVGVKHELEAGFSWSLVHRECADSDLFLGEHPHIVENNSKLALALTVMDECFLPIVDRRSGVNIVRNVLYNCGSNFNRLNFGGFYTALLERGDEVVASASIRFHGNHLAEMPFIGTRHVYRHQGMCRRLFSVIESVKCDPCNEGTNSAIKTNEVSVLEIASPSGDKPIPDYVVEHQLFTDENSASRDSPVHNGYPKMQETECKISNMARSSDMEKHMDCKTSFSPFVGEDEEDSLIESPPQRNSDMAFLDHIIRSPVDTGEMANGDVYGSGDDDSPETQ >fgenesh1_pm.C_scaffold_7002260 pep chromosome:v.1.0:7:13580181:13580613:-1 gene:fgenesh1_pm.C_scaffold_7002260 transcript:fgenesh1_pm.C_scaffold_7002260 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTTLVMVSFVFIFLILSHVEESEAGAPPVDCWTEILFPGKCGFHGKKKCYKEMESKLKQRVLQCSCEDVKTDPKTPKDQHYCGCQRENPYECNF >fgenesh1_pm.C_scaffold_7002270 pep chromosome:v.1.0:7:13746353:13749095:-1 gene:fgenesh1_pm.C_scaffold_7002270 transcript:fgenesh1_pm.C_scaffold_7002270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:D7MGX6] MATASFNMQSVFAAPSGVLKSSRNIRNTNQLLFKRNAPVGVRCMAQGDPIKEDPSVPSTSTSATPPQMPPSPPPPVSKPKVSTKFGDLLAFSGPAPERINGRLAMVGFVAAIAVELSKGENVFAQISNGGVGWFLGTTALLTLASMVPLFKGIRAESKSKGFMTSDAELWNGRFAMIGLVALAFTEYVTGGTLTETRQRKENLRGKQKLMATSKLQALWNHPAGPKTIHFWAPTFKWGISIANIADFQKPPENISYLQQIAVTCTGMIWCRCSTVITPKNWNLFSVNVAMAATGIYQLARKIKYDYVSEAEAAVEIE >fgenesh1_pm.C_scaffold_7002276 pep chromosome:v.1.0:7:13881335:13884237:-1 gene:fgenesh1_pm.C_scaffold_7002276 transcript:fgenesh1_pm.C_scaffold_7002276 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQETALTKDQITEFKEAFCLFDKDGDGCITVEELATVIRSLDQNPTEQELQDIITEIDSDSNGTIEFAEFLNLMAKKLQESDAEEELKEAFKVFDKDQNGYISASELSHVMINLGEKLTDEEVEQMIKEADLDGDGQVNYDEFVKMMINIG >fgenesh1_pm.C_scaffold_7002279 pep chromosome:v.1.0:7:13942960:13946291:1 gene:fgenesh1_pm.C_scaffold_7002279 transcript:fgenesh1_pm.C_scaffold_7002279 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTKLRFVNQFSQWLCVRRSYIHSLTENLAALHKAMEVLKTKEDDVKRRVDREEFIGRRQRISQVQVEIKRLCFCGFCSKSFGKSYGYGKMVSLMLKEVESLSSHGEFDVVTEVAMVVQVEEMPIQSVVVGQETMLERVWNSLMKDGFKIMGLYGMGGVGKTTLLTQINNKFSEMDCGFDIVMWVVVSKTLEIYRIQEDIAKRLGLSGEEWDKKTENKRAVDIHNVLRRKKFVLLLDDIWEKVNLESVRVPYPSRENGSIVAFTTRSRDVCGRMGVDDLMKVSCLEPEEAWDLFQTKVGENTLKSHPDIPELAKQVAEKCRGLPLALNVIGETMACKSTVQEWRHAIDEGWKKAEVKMHDVVREMALWISSDLGKHKDQCIVRAGVGLHAVPEVKNWRAVRRLSLMKTELQNILGCPTCPELTTLLLQENHKLVNISGEFFRFMPNLVVLDLSWSSSLIGLPNQISELLKKLIHLNLESMKRLESIAGVSKLLSLRTLRLQKSKKAVDVNSAKELQLLEHLEVLTIDIFSKLIEVEEESFKILTVPSMCNIRRIGIWKCGMKEIKVEMRTSSCFSSLSKVVIGQCNGLKDLTWLLFAPNLTYLYVRFAEQLEDIISEEKAASFTDENANIIIPFQKLECLSLSDLPKLKSIYWTPLSFPRLSELAVQEHCPKLKKLPLNSKSGTADVELVIKYGENKWLEGVEWEDKATELRFLATCKLW >fgenesh1_pm.C_scaffold_7002288 pep chromosome:v.1.0:7:14000828:14004463:1 gene:fgenesh1_pm.C_scaffold_7002288 transcript:fgenesh1_pm.C_scaffold_7002288 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSSRHFCPTTTSAKFSDSLGSSFCKLHGKSSSISLRSYIFGFSFIKKVKRLSCEGSGSSSRNENWNRNQRQNQFRPSKVVLNRRKEERFSDLGVMSGENSSRSSDVGGGGSTMEKIVEKLKKYGFVDDDQFQDKEVEQERRIEERAVEDRFYVKEGRGGFSEESPFGVFGGNEVKFPWEKVSSMEKKELVNGEWTAKKESRYSLAERTLSESELNRLRNVMFRTKSKMRVTGAGVTQAVVDAIQEKWKSSEIVRLKIEGASALNMRRMHEILERKTGGLVIWRSGTSIALYNYKGGNNRDGSGNMNKQIYRRAETLQSSLPTNTSTVDQSVQLVHLPQLEKEPTVVGNKDRTSPHEVEYEDEINELLEGLGPRYTDWQGGYPLPVDADLLPGIVPVYEPPFRVLPYGVRSTLGTKEATSLRRIATVLPPHFALGRSRQLQGLATAMVKLWQKSLIAKVALKRGVQLTTSERMAEDIKVIRIHIRVTFDCLILTGGMLLSRNKDFLVFYRGKSFLSPEVAEALMEKERLVRTLQDEEEQARLRASSALVVPSIKANQNLARTLQDEEKQSRPSTLVVPSTKANQNLVSAGTLGETLDATGKWGKSLDNDDHVEEMKQEVERMRSAKLVRKLERKLAFAEKKLLKAERALAKVEESLKPAEQRTDLEGITEEERFMFQKLGLRMKAFLLLGRRGVFDGTVENMHLHWKYRELIKILVKAKSLEGAKKVAMALEAESGGILVSVDKISKGYAVIVYRGKDYKRPTTLRPKNLLTKRKALARSLELQKREALIKHIEAVQTRSEQLRAEIEQVELVKDKGDEALYDKLDMAYSSDEEIEETDGEEDDMYLDTYEDEGEDGEEGEIQAKGSLSETDVGFGSDDELWDSDESDTEFGDDSASSTTPETTFVDLQNEELHVQP >fgenesh1_pm.C_scaffold_7002324 pep chromosome:v.1.0:7:14302302:14307548:-1 gene:fgenesh1_pm.C_scaffold_7002324 transcript:fgenesh1_pm.C_scaffold_7002324 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLDSQQQDSDHLLHESMADPDHQSLSPQCANGHRSTISLRADQGGVFCFICFSNLVSDQRVPTVHVSYALHQLSIALSEPIFLRTLLSSHIHFLVSPLVHALSSIDDAPIAIQIMDMISLLCSAVESSIGEDFVERISDQLSSGALGWSRRQLHMLHCFGVLMNCENIDVNSHIRDKEALVCQLVEGLQLPSEEIRGEILFVLYKFSALQFTEQNVDGIEVLSSLCPKLLCLSLEALAKTQRDDVRLNCVALLTILAQQGLLANSHTNNFSSMSLDEVDDDPMQTAETLVARPCLNVLFAEAIKGPLLSTDSEVQIRTLDLIFHYVSQESKPSKQIQVMVDENVADYIFEILRLSECKDQVINSCLRVLDLFFLAEQSFRKRLVIGFPSVIQVLHYVGEVPCHPFQIQTLKLISSCISDFPGIASSTQVQEIALVLKRMLERYYSQEMGLFPDAFAIICSVFVSLMKTPSFAETADVLTSLQESLRHAILASLSPPEKDSTQILHAVYLLNEVYAYCTAPTSINKTSCIELRHCVIDVCASHLLPWFLADVNEVNEEATLGIMETFHSILLQNSDIQAKEFAEMLVSADWFSFSFGCLGNFCTANMKQRIYLMLSSLVDVLLEQKTASHIRDALHCLPSDPQDLLFLLGQDSSNNQELASCQSAALLIFHTSWMYNDRLADDKLVLASLEQYIILNRTSLICAFSDSPVMLNLVNLYGLCRSLQNERYQVSYSLEAERILFHLLNEYEWDLGSSNIHLESLKWLFQQESISKSLTYQIQKISRTNLIGNEVHNVYGDGRQRSLTYWFAKLISEEDNYAATLLVNLLTQLAEKEEQENDVISILNLITTIVSIFPTASNHFSMIGIGNVVHRLVSGINNSALRTSFKTLLLLVFNILACVQPGVLMIDESWDAVSIKLLNFLSLRDTAIKQNHEDVMVIGILSLVLYHSSDGALVEASRSILLNSYLVSAINTVVDVACSKGPALTQYQDETDIGEALAFTLSLYFFSLRSLQIVLAGAVDWQTFFGTSTSLETLPVVCIHCHNLCRLMHFGAPQIKLIASYCLLELLTGLSEQIDIKKEQPRCSSSYLKSMKTVLGGLVFCDDIRVATNSALCLSMILGWEDMEGRTEMLKTSSWYRFIAEEMSVSLAMPCSAFSAYVNHHKPAVYLTVAMLRLKNKPVWLGTVFDESCISSMIQNLNGINISREIVILFRELMQAELLNSQQVTKLNRAFQECRKQMHRNGTRDEAVEEQVQLKISSIHDHSELCNYLVHLMVSNSFGHTSGSQTYTQKKKQILDEMEQFFELLSGNDVTDTRPCKVYTRRNKTNANRENRVEGET >fgenesh1_pm.C_scaffold_7002328 pep chromosome:v.1.0:7:14331187:14333419:1 gene:fgenesh1_pm.C_scaffold_7002328 transcript:fgenesh1_pm.C_scaffold_7002328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 4 [Source:UniProtKB/TrEMBL;Acc:D7MH64] MANSLRLYLACIKNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSLRISLKVKQADELENILTKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEEMQKQKLIDFIIQFMEASDIEKEIRDLKESVNTRGRLVATEFLKQFM >fgenesh1_pm.C_scaffold_7002340 pep chromosome:v.1.0:7:14437249:14441686:1 gene:fgenesh1_pm.C_scaffold_7002340 transcript:fgenesh1_pm.C_scaffold_7002340 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRDHIAWASVLTALNQANLSGKTLWVFSSVGSSSGLRPDDFVFSALVKACANLGSIKLGKQVHCHFIVSEYSNDDVVKSSLVDMYAKCRLLDCAKAVFDSIRVKNTISWTAMVSGYAKSGRKEEALELFRRLPVKNLYSWTALISGFVQSGKGLEAFSVFTEMRRERVDILDPLVLSSIVGACANLAASIAGRQVHGLVIALGFDSCVFISNALIDMYAKCSDVIAAKDIFSRMRHRDVVSWTSLIVGMAQHGQAEKALALYDEMVSHGVKPNEVTFVGLIYACSHVGFVAKGRELFQSMTKDYGIRPSLQHYTCLLDLLGRSGLLDEAENLIHTMPFPPDEPTWAALLSACKRQGQGQMGVRIADHLVSSFKPKDPSTYILLSNIYASASLWGKVSEARRKLGDMEVRKDPGYSSVEVRKETEVFYAGETSHALKEDIFRLLKKLEEEMRIRNGYVPDTSWILHDMDEQEKEKLLFWHSERSAVAYGLLKAVPGTPIRIVKNLRVCGDCHVVLKHISEITEREIIVRDATRYHHFKGGKCSCNDFCNYGHAKSISILSKETIKWRRVASLLPHTESEREMATETVLATAVSNGRSKGCCKSGPGYATPLAAMAGPREKLIYLTALYSGTGRDKPDYLATVDVDPNSPTYSSVIHRLKMPYIGDELHHTGWNSCSSCHGEASADRRYLVLPGLISGRIYAIDTKTDPKAPSLYKVVEPEEIAKKTGLAFPHTSHCLASGDMLVSCLGDKEGNAKGNGFLLLDSDFNVKSRWDKPGHGPLFGYDFWYQPRFKTMISTSWGAPKAFSKGFNLQHVADGLYGSHLHIYKWPEGEMKQIIDLGNTGLLPLEIRFLHDPSKDTGYVGMMTHGAMRQVVISVKPLKVENWILPEMPGLITDFLISLDDRFFYFVNWLHGDIRQYNIEDPKNPVLTGQIWVGGLLQKGSPYKAVGEDGNTYQFDVPQIKGKSLRAGPQMIQLSLDGKRLYATNSLFSAWDRQFYPELMDKGSHIIQIDVDTDKGGLTINPDFFVDFGDEPDGPALAHEMRYPGGDCTSDIWI >fgenesh1_pm.C_scaffold_7002343 pep chromosome:v.1.0:7:14453754:14455800:-1 gene:fgenesh1_pm.C_scaffold_7002343 transcript:fgenesh1_pm.C_scaffold_7002343 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLLFLLGYIVCFSEMSSLSNSFSDAEILQNIIKPPTKVIKIRRTSFLKTLRTNNVKFDYLWENGIGCPTGTVPIKRITKKKLLRLNSFSDKYKPQGSWNFTNNQYNIKDDNHHFAVSRTDRGKGKIYNGATMTSNIYNPKVKFPQFSSTRIHIQIGNDFIQAGWTVNPKLYSDSQTRIFVYTKVIGITMVRSDFPLGLVEISNVRGSSTISFETFGLLKDKINDNGWLEVAKEKIGFWPAKLFQQTSANNVEWGGEVYSASMPIPQMGCGYIPVGRVRYDSILCNITLIDENFNVDDLVKNRQAFSDIRGYKVVNDIYSDIPVNNIVYYGGPGHN >fgenesh1_pm.C_scaffold_7002346 pep chromosome:v.1.0:7:14496007:14499552:1 gene:fgenesh1_pm.C_scaffold_7002346 transcript:fgenesh1_pm.C_scaffold_7002346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7MH91] MARWDQIFSLPVQNPTLPEFSSADLVWSKVEGYRDNIDRLALIPYTRVDDFVRGESSNKDCPTSFHVEARRRKAKGKKYKPKVDGILEYILYWCSFGPDDNRKGGAVRPSRSTYVPKKNNAGRPNSKRGCRCHFIVKRLIAEPTVALVIYNNDKHVDEKGLPCHGPQDKKAAGTRAMFAPYISEDLRLRVSSLLYVGVSVETIMQRHNESVEKQGGPSNRDDLLTHRYVRRLERSIRRSSYELNEDDDISISMWVESHQSHVFFFEGFSDTDPFSLGIQTEWQLQQMIRFGNCRLLASDSRFGTNTLKYPIHSLVVFDSENKAIPVAWIIAPRFSSGDAYRWMRALCNRVHAKDPSWKVAGFIVDDPFADIITIRDVFQCPVLFSFWRVRHAWHKNIIKRCPETETRVDISRHLGQAVDKICRRQGTATLFDTFAEDFVGSPEFVEYFRSVWSPRIGAWTSALQSLPLASQETCAAMELYHYQLKCRLLNERDSEAYQRADWLVDKLGTKVHSYFWLDEYSGKDNFARYWKDEWVSGLTSFRKALSIPDSDVVISGMSAKITDECDGNEIHVWNPGSQFGVCSCSWAEKGYLCKHMIKLTQLCLGNRAARQSASLLQYYQTLIDLLHCPPHDSLFRDYAISLAVSVEKQINAPGNLQKSDANEGNLQKEIAFSDPSNGKSLDESNLLDKHEGHGEVATNLDGALSKMPMSCLRVCSENVKDIISGSEMEIEPSLCSTKAAAEDVTSTVQNGTDTANENCERGAKRLKIWAPEQGSV >fgenesh1_pm.C_scaffold_7002347 pep chromosome:v.1.0:7:14500411:14501463:-1 gene:fgenesh1_pm.C_scaffold_7002347 transcript:fgenesh1_pm.C_scaffold_7002347 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKNISSLVSVMVFALIVLPMISGQNMQCFSGIACTNTDTCNDYCKPRNNNLGGVCLVGLNCCCCYVAVAESQESSISKNNNNVLITN >fgenesh1_pm.C_scaffold_7002399 pep chromosome:v.1.0:7:18099893:18108825:1 gene:fgenesh1_pm.C_scaffold_7002399 transcript:fgenesh1_pm.C_scaffold_7002399 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPGSKKLVTSDGSEKEVSGNLGKVSFSGDLNDSGSSSRSSSGGGGEGGTFEYFGWVYHLGVNKIGHEYCNLRFLFIRGKYVEMYKRDPRENPDIKPIRRGVIGPTMMIEELGRRKVNRGDVYVIRFYNRLDESRKGEIACATAGEAVKWVEAFEEAKQQAEYALSRGGSTRTKLSMEANIDLEGHRPRVRRYAYGLKKLIRIGQGPETLLRQSSTLVNDVRGDGFYEGGDNGDAIEAHEWKCVRTINGVRIFEDVANFKAGRGVLVKAVAVVEASADTVFEVLLSIDKHQRYEWDAVTGDSEKIDSYEGHYDVIYCVYDPKYLSRWQSKRDFVFSRQWVRGQDGTYTILQFPAVHKKRPPKSGYRRTEITPSTWEIRSLKKRSDAETPSCLVTHMLEIHSKRWCKWKRTSYSKFEKTIPYALLLQVAGLKEYIGANPAFKYETFATVVQSKFPDVPNGEYVDEEMEEQFYDATDSSSDEEDEEESDEDDEDQDNKEIKVKLKNVSWAIASLSLKRPKAPGASNVLDASVDPVSIDPSKFQGSLRKGNGDKDSNCWDSPSGMGFMIRGKTYLKDNAKVMGGEPLLTLVSVDWFKVDKAVDNIALHPKCLVQSEPGKKLPFILVINLQVPAKPNYCLVLYYAANRPVSKSSSLGKFVDGSDSYRDARFKLIPSIVQGYWMVKRAVGTKACLLGKAVTCKYLRQDNFLEIDVDIGSSAVARSVIGLVLGYVTSLIVDLAILIEGKEETDLPEYILGTVRLNRIELDSAVSFEV >fgenesh1_pm.C_scaffold_7002401 pep chromosome:v.1.0:7:18126753:18128871:1 gene:fgenesh1_pm.C_scaffold_7002401 transcript:fgenesh1_pm.C_scaffold_7002401 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQPGTIYLRYLVDIHKKYLMNYEFNTSCLAERRIFLAHYQTITYSQTSCGANSFHFPSHGKPFSLRLALSPSRSILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFFIDDIDIDDSDDIDASNDIDRELDTELELLTMMNALTMDMMSEIDRFYITLQFELAKAMSPCIIWIPNIHDLDVNESSYLALGLLVNSLSRDYERCSTRNILVIASTHIPQKVDPALIAPNKLNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMFHTNGFESITMGSSARDLVALTNEALSISITQKKSIIDTNTIRSALHRQTWDLRSQKKSCNEGDSYLYKWYFELGTSMKTFTILLYLLSCSSGSVAQDLWSLPVPDEKNRITSYGFVENDSDLVHGLLEVQGALVGSSRTEKDCSQFDNDRVTLLFRSEPRDPLYMMQDGSCSIVDQRFLYEKYESEFEEGEGEGVLDPQQIEEDLFNHIVWAPRIWRPRGFLFDCIERPNELGFPYSAGSFRGKRIIYDEKYELQENDSEFLQSGTMQYQRRDRSSKEQGFFRISQFIWDPADPLFFLFKDQPFVSVFSHREFFADEEMSKGLLTSQTDPPTSIYKRWFIKNTQEKHFELLIQRQRWLRTNSSLSNGFFRSNTRSESYQYLSNLFLSNGTLLDRMTKTLLKKRWLFSDEMKIGFM >fgenesh1_pm.C_scaffold_7002408 pep chromosome:v.1.0:7:18153619:18154409:-1 gene:fgenesh1_pm.C_scaffold_7002408 transcript:fgenesh1_pm.C_scaffold_7002408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG-binding domain 12 [Source:UniProtKB/TrEMBL;Acc:D7MHR4] MVQCADCKKWRLIPSMQHYKTIKETQLQTPFVCERACAWTPNMSCNVPQDGTTCDTWSNIPPIPTGWSRSVYIRSDSTKFADVYYFPPSGERLRSSADVRSFLDNHPEYVRAGVNPSQFSFQLPKPLDENYVKKRTRPVKPTKSSKDNNCKKDKK >fgenesh1_pm.C_scaffold_7002411 pep chromosome:v.1.0:7:18190720:18193594:-1 gene:fgenesh1_pm.C_scaffold_7002411 transcript:fgenesh1_pm.C_scaffold_7002411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MHR7] MVKTCEKREESITLAIDRDKESQNALKWAVSNLLSRGQTLTLLHVKLKQPSSLPYSGSNLSKPGDDPSELFLPFRCYCARKDINCQDVVVEDVSAAKGIVDYVQQNAIETLILGSSKMTLLRRFKAADVSSTVMKKAPSFCTVYVISKGKISSLRSATSSPPHSIMPPMRQHAHAQTSNLNVERRQQTMQRTHDEIKIEIKRGYEGVYQPSITDSDISFVSSGRPSVDQMFPSLYDNVDVPRLSVSSEYGENRSSFATSYSKQSIDLGSPYAPNYSSSFESGRQSFSLQSQDEIETEMRRLKMELKHTMEMYNSACKEAISAKKAANELHKWKADKEHKLEEVRLAKEAAMAMAEREREKGRAAMEAAVAAQRISALEAQKRKQIETIEEKKRVMSSVVKTNLRYRKYSIEEIEEATEDFSPSRKVGEGGYGPVYKGTLDYTKVAIKVLRPDAAQGRSQFQQEVEVLTCMRHPNMVLLLGACPEYGCLVYEYMANGSLEDCIFRRGNSPILSWQLRFRIAAEIATGLHFLHQMKPEPLVHRDLKPGNILLDQHFVSKISDVGLARLVPPSVADTATQYRMTSTAGTFCYIDPEYQQTGMLGTKSDIYSFGIMLLQIITAKPPMGLTHHVERAIEKGTFAEMLDPAVPDWPVEEALVAAKLALKCAELRRKDRPDLGNVVLPELNKLRDVAEESIKFGGSQPSPIRSSGSATSLQEIMSDPQLQYGSDSSSINNSSTS >fgenesh1_pm.C_scaffold_7002412 pep chromosome:v.1.0:7:18194219:18196278:1 gene:fgenesh1_pm.C_scaffold_7002412 transcript:fgenesh1_pm.C_scaffold_7002412 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMQARSFSIYNVMVPLVCLLLFFSTPTHGLSDSEAILKFKKSLVFGQENALASWDAKTPPCTWPGVLCNSGSVWGLQMENLELSGSIDIEALSGLTSLRTLSFMNNKFGGPFPEFKKLAALKSLYLSNNQFGGDIPGNAFEGMGWLKKVHLAQNKFTGEIPTSVAKLPKLLELRLDGNQFTGQIPEFEHQLHLLNLSNNALTGPIPESLSMIDPKVFEGNKGLCGKPLETECDSPSRELPPQPGVRPQSSSRGPLVITAIVAALTILIILGVIILLNRNYRNKQPRLVVENGPSSLQKKTSIREADQSRRERQKADHRNGSGTTKRMGTAAGVENTKLSFLREDREKFDLQDLLKASAEILGSGCFGASYKAVLSSGQMMVVKRFKQMNNAGRDEFQEHMKRLGRLRHHNLLPIVAYYYRKEEKLLVCDFAERGSLAVNLHRKPSLDWPTRLKIVKGVARGLSYLHQDLPSLMAPHGHLKSSNVLLTKTFEPLLTDYGLIPVLNQEKAQVHMAAYRSPEYLQHRRITKKTDVWGLGILILEILTGKFPPNFSQGSEEDLASWVNSGFHGVGAPNLLDKGMGKTSHCEGQILKLLRIGLSCCEPDVEKRLDIGQAVEKIEVLKEREGDDDDFYSTYVSETDGRSSKGVSSESISFA >fgenesh1_pm.C_scaffold_7002417 pep chromosome:v.1.0:7:18355898:18357456:1 gene:fgenesh1_pm.C_scaffold_7002417 transcript:fgenesh1_pm.C_scaffold_7002417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein [Source:UniProtKB/TrEMBL;Acc:D7MHS7] MEGSKSEAVFDSMNLNPQIFINETINSVEDYVDAAFDFYGREASNFLKINGSVKQKSQDLSNGIERVRGLIQSVIDKRMELWESYCVRFCFAVPDGFVLPKSDESSPIHQEGLCDLELDAELDSLRDKLNLVGKRSVELDSELQALERTSVSRERSLRLVNEALELYDESSVDEMFKEMAKMASELRASVDRLRTRRMEASESAKVKRLKNHGKEFSAMTFDGKLEDLEKFQAELKKM >fgenesh1_pm.C_scaffold_7002445 pep chromosome:v.1.0:7:18876588:18883895:-1 gene:fgenesh1_pm.C_scaffold_7002445 transcript:fgenesh1_pm.C_scaffold_7002445 gene_biotype:protein_coding transcript_biotype:protein_coding MSERNRGKSENCCISHKFVAASKNPHQIAVIQASGLTARRIRSESAEPSVYDGDKCFTFAELSSSIDSLTSRLRRILSDDDSQEAGSDVVYMPKVVALYMPPSVEYIISVFSVLRCGEAFLPLDPTWPRERVLSLISSSNASLVIALERSHWLVETKVCPVLLFSMDEKLSEETGCSSFVWPCKKQRQRKFCYLMYTSGSTGKPKGVCGTEQGLLNRFVWMQELYPVVGEQRSAFKTSVGFIDHLQEFLGPILNSTPLVIPPFTLLKENMTSIIDFLEVYSISRLLAVPSMIRAILPTLQHRGHNKLQSSLKLVVLSGEPFPVSLWDSLHSLLPETCFLNLYGSTEVSGDCTYFDCSGLPRILKTEEIGSVPIGKPISNCKVLLFGDEDKPYEGEICVGGLCISQGYMHSSIESQGYVKLHNNKSLCNHLTNDCGSELYYRTGDYGRQLSSGDLIFIGRRDRTVKLNGKRMALEEIETTLELNPDVAEAVVLLSRDEKELASLKAFLVLNKESNSGDGIIFSIRNWMSEKLPTVMIPNHFLLVESLPLTASGKVNYEALARLKCPKTLAQDMMHSNGTNSLLQTIKKAVCDALVVKEVLDDDDFFAIGGDSLAAAHLSHSLGIDMRLIYQFRSPSRLLICLSEKEGKLREDTQHNTTQKLDHKTESQNGNGLVSRTVPRHSGVTSSPTPSGMQCEKNNSPKRLKIDSKIFSPKMKEKKSWDSGFSQTQCAFSRCNKVYSPNSCSNEEANRENWSVEIPRNQMVSMQEIWKVHMESCVDASPLVVLKDSKTYLFIGSHSRKFSCIDAKSGSIYWETTLEGRVEGSAMVVGDFSQVVVGCYKGKLYFLDFSTGSLCWTFQACGEIKCQPVVDASSQLIWCGSHDHTLYALDYRSQRCVYKLQCGGSIFASPLIDEGHSLLYVASTSGRVIAVSIEDLPFHTLWLLDLEAPIFGSLCITPTSRSVDGQVIAMSTSGTIIWRYRTGGPIFAGPCMSHVLPSQVLVCCRNGSVYSLEPESGCLVWEYNIGDPITASAYIDENLHFESHQLLASDRLVTVCSSSGRVHVLRVRTNHSRDSLDLKVGEIARLELQADIFSSPVMIGGRIFVGCRDDYVHCLSLESCR >fgenesh1_pm.C_scaffold_7002462 pep chromosome:v.1.0:7:19469449:19472492:1 gene:fgenesh1_pm.C_scaffold_7002462 transcript:fgenesh1_pm.C_scaffold_7002462 gene_biotype:protein_coding transcript_biotype:protein_coding MYNRWIRYCCRTGEINEAMSLVAEIDSLGSHPDPLSYVSLIETLASLGRTLEADALFQEVVRFRINGSYSVRLYNALLSGYLRKGQLELAVRVLDHMKEENVDKNQETCEILLNYYVSAGRLEESWRVVNEMKKRMFRLNSFVYGKIIRIYRDNGMWKKALGIVEEIKEIGLPMDVEIYNSIIDTFGKYGELDEALDVLEKMQSSSDSKPNISTWNSLIRWHCHHGALDMALELFTMMQDQGLYPDPRMFVNLITRLGENGNWNMIDKHFESIKCKEHKDTRAIYAALVQIIGQFGSFQDVEELVGKLKSQGVAPSANLFCTFANAYAQQGLYKQTVKVLKMMENEGIEPNLIMLNVLINAFGTAGKHMEALSIYHHIKESGFTPDVVTYSTLMKAFTRAKKYEKVPEIFREMEASGCTADRKARQLLQNAFMSQTCKFYLEERTHGQ >fgenesh1_pm.C_scaffold_7002467 pep chromosome:v.1.0:7:19543490:19546663:-1 gene:fgenesh1_pm.C_scaffold_7002467 transcript:fgenesh1_pm.C_scaffold_7002467 gene_biotype:protein_coding transcript_biotype:protein_coding MFVNFVVILLSISYVESTIHMKSIKLSKSVIYDCMDIYEQPSLSHPLLKHHNIQLYWFSEYICKQKAQKRVECPSGTIPILRTEKENVIYSQEYLNHHLTFLTAQYPGTHTAGMRTEVTNIFRGVGAGINTYDLSIGKNQSSIAQTYVASQANDDANSIQVGWDDYLATHDHGRTGWLGKHGTCCFNVQCPGFVQVAKDFPLSEPLYNELFNLSGDGAHFVGFGRNVTSNPRGPSRPMGNGRLPDKDDRLWSASLDHLTIIDSNYIIVGFDKLKPVPLVDSNKCYDVHYLGYVNEDVGVSMSYGGPGGFKCGD >fgenesh1_pm.C_scaffold_7002472 pep chromosome:v.1.0:7:19598944:19604437:-1 gene:fgenesh1_pm.C_scaffold_7002472 transcript:fgenesh1_pm.C_scaffold_7002472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-coenzyme A synthetase [Source:UniProtKB/TrEMBL;Acc:D7MI40] MKIASPSSPILTVVSSSGSLDPKISGSLGSRILPATQRSSPSDNLLLHRTMSSNYLRHVESMSQLPSGTGKISQLNAVVLGESLASEENDLIFPSKEFSGQALVSSPQQYMEMHKRSMDDPAGFWSDIASEFYWKQKWGDQVFSENLDVRKGPISIEWFKGGITNICYNCLDKNVEAGLGDKTAIYWEGNELGVDASLTYSELLQQVCQLANYLKDNGVKKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADSLAQRIIDCKPKVILTCNAVKRGPKTINLKAIVDAALDQSSKDGVSVGICLTYDNSLATTRENTKWQNGRDVWWQDVISQYPTSCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMIYTATTFKYAFDYKSTDVYWCTADCGWITGHSYVTYGPMLNGATVVVFEGAPNYPDSGRCWDIVDKYKVSIFYTAPTLVRSLMRDDDKYVTRHSRKSLRVLGSVGEPINPSAWRWFFNVVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGIEIEGECSGYLCVKGSWPGAFRTLFGDHERYETTYFKPFAGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGHRIGTAEVESALVLHPQCAEAAVVGIEHEVKGQGIYAFVTLLEGVPYSEELRKSLVLMVRNQIGAFAAPDRIHWAPGLPKTRSGKIMRRILRKIASRQLEELGDISTLADPSVVDQLIALADV >fgenesh1_pm.C_scaffold_7002476 pep chromosome:v.1.0:7:19655578:19656056:-1 gene:fgenesh1_pm.C_scaffold_7002476 transcript:fgenesh1_pm.C_scaffold_7002476 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKTSHVLLLCLVFVIGLVEARISGGDMGPEIRTPPSGSCGASIAEYDSSRVLAKRPPPCRRPRPQNQEDVTHTTLP >fgenesh1_pm.C_scaffold_7002480 pep chromosome:v.1.0:7:19704807:19705636:-1 gene:fgenesh1_pm.C_scaffold_7002480 transcript:fgenesh1_pm.C_scaffold_7002480 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHQKIHPVSDPEAPPHPTAPLVPRGSSRSEHGDPTKTQQAAPLDPPSKKKGSRSCWCRCVCYTLLVLFLLIVIVGAIVGILYLVFRPKLPDYNIDRLQLTRFQLNQDLSLSTGFNVTITAKNPNEKIGIYYEDGSRISVLYMQTRLSNGSLPKFYQGHENTTIISVEMTGFNQNATSVMTTLQEQQRLTGSIPLRIRVTQPVRIKLGKLKLMEVRFLVRCGVSVDSLAANSVIRVRSSNCKYRFRL >fgenesh1_pm.C_scaffold_7002486 pep chromosome:v.1.0:7:19833486:19837403:1 gene:fgenesh1_pm.C_scaffold_7002486 transcript:fgenesh1_pm.C_scaffold_7002486 gene_biotype:protein_coding transcript_biotype:protein_coding description:CIP4.1 [Source:UniProtKB/TrEMBL;Acc:D7MI72] MANREKNSSPEIHCVYVETNLDTRLALPVHKDEIISDFKDKVLKEHKKVFPEIGEIHISALKVKRRRKFYHFSESLNVCKAFDGISRNWFMYVDAVRVDKGEMLTITAADQNRSNLELVERKKEIGIGSVNVDGMHTKGLTIEEGLGTEVVEKKTRKRRILSSDGKTSRKKFKVDLNQSAVAATPELCGKLQDDIITGADIESGVNTGENQQTSDADRLLEQKILTVNSELEDGHTRGEVKDVPDKPTVKEALEKLDDLTGAIEQDLEKGGKTADTVMIDQEKDLTPSSELVDGQITSQNDERGEGRKLAPSVVDNLQTDELVTNADNQLEASSGLTTGPATEKKRKRTKSSKDHIKQSFPIPERETLGYSLVEATQKETELGVKSVEDVVKDNMETDTLVSHPENHLEANTELTTSPVTEKKKKRKKISKDLINQSAAAATATATTASREIVNEINGVPGNVDRVVATSESCLISQRGNLGKENEIGEKINEDVGSGKAIPSSADNIQTDNLVSYPENQLEVSSGLTTSPVTEKRKRQKKSSKNDINKSTAATTTAKIIMNEREKMGDIFDDKVGSGEATLMGADKIQAASNLQAAGMVSTPHAFVQESKTLDHIGKFTDENASREHEVSKEKVEIDADQAKSVKSTKKKSSRKAKTPAKEDTPVDSGAQNVEPIKVVDGEGHDSVIRNVLDSLQQRNEAEENIEKSGKKSSKRSKKKDSLNIVEEAQVLDSLQQKKEAEENLEKSEKKSSKRSKKKDSLNIVEEAQVLSVEVNNVAQEEASPINNPKDADALFTPAKKNTESNASPLKKITEVPDNTEDINRSMQVLKENTDIGDNFGSSQKDDIVGGANKQDQVTGGAKSKKEKKSLDLHLGGSIDLSMKLKETKGRFQPSSSGTSQLQSRDKIDRSRSKVDHSDAPMKGVVNNKKEAVKKSFNSVTVNKSKMNVNNKKEAVKKSSNSVTANKSKTNFFKDAEEDESKTTLNDSTKTPSDSSSDDDSDSAVTSSMPRKQGILSIRM >fgenesh1_pm.C_scaffold_7002489 pep chromosome:v.1.0:7:19926316:19926708:1 gene:fgenesh1_pm.C_scaffold_7002489 transcript:fgenesh1_pm.C_scaffold_7002489 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFITCFGLNQACIKNRVVILNLLAPGRILEYHCYSNVDDLGVKRLDFNATPFIIEFHDEIPNLTKWNCIFRQGPNNNMEYSYDVQVYKAGPRLIPRCGQLRVWAASIDGIYFARKYNIPLVRVLFWNK >fgenesh1_pm.C_scaffold_7002490 pep chromosome:v.1.0:7:19959191:19961868:1 gene:fgenesh1_pm.C_scaffold_7002490 transcript:fgenesh1_pm.C_scaffold_7002490 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCHX24 [Source:UniProtKB/TrEMBL;Acc:D7MI82] MVRHFPINDDQSVLPTHFGFWPGNSTTPGEVTSRVFSPKLPVVCRQLHSKQPFGMFKGENAMNYAFSTFLIEAIIIIFCIKFVSFVLRPLRQPRIVSEIIGGMMIGPSMLGGSRNFNYYLFPPIANYICANIGLMGFFYFLFLTAAKTDVASIGRAPRKHKYIAAIGVIVPIICVGAVGMAMRDKMDENLKKPSSIGGIMFALAFTSFPVIYSVLRDMNLLNSEVGKFAMSVALLGDMAGVYVIVIFEAMTQADGGGAYALFWFLVSVVIFAAFMLLVVRRAFDWIVAQTPEGTLVNQNYIVMILMGVLASCFLTDMFGLSIAVGPIWLGLLVPHGPPLGSTLAVRSETFIYEFLMPFSYALVGQGTNIHYFRDETWRDQLSPLFYMTLVGFFSKFLSTAATALFFKVPARDSLTLGLMMNLRGQMDILVYLHWIDKRIVGFPGFTVMVLHSVVVTAVSTPLISFLYDPTRPYRSSKHRTIQHTPQNTEMGLVLAVSNHETLSGLITFLDFAYPTKSSPLSIFAVQLVELAGRATPLFIDHEQRREEDEEEYEEEEEDPDRTTQSGRIDQVQSAFKLYQEKRDECVSLRAYTAHAPKRLMYQDICELALAKKAAFILLPYQKERLEDAAPTELRDSGMLSVNADVLEHTPCSVCIYFDKGRLKNAVVRLSMDLQHSTNSSRMKQETYRFVVLFLGGADNREALHLADRMSTNPDVTLTVIRFLAFNHEGEDEREKKLDDGVVTWFWVKNEGNDRVSYKEVVVKNGAETLAAIQAMNVNDYDLWITGRREGINPKILEGLSTWSEDHQLGVIGDTVAGSVFASEGSVLVVQQQVRNQKGGDGFLNGKFDYKSFLSPWSHSHN >fgenesh1_pm.C_scaffold_7002494 pep chromosome:v.1.0:7:20033389:20036012:1 gene:fgenesh1_pm.C_scaffold_7002494 transcript:fgenesh1_pm.C_scaffold_7002494 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNSSIHYKGWRILKPTMKTENVMEREEIETTPMEPLSPMSHMLSSPNFFIVITFGFKVRCNPSAFVEGINNSLINAPRFSSKMEIDYKRKGDPVWIPVKVRVEDHVIVPDPEYSNIENPDHFIEDYTSNIANIPMDMSKPLWEFHLLNIKTSKAESLAIVKIHHSIGDGMSLMSLLLACSRKTSDPDALISTTTATKKPVDSMAWWLFVGFWFMIRVTFTTIVEFSKLMLTICFLRDTKNPLMGNPSDGFQSWKVVHRIISFEDVKLVKDTMNMKVNDVLLGMTQAGLSRYLSSKYDGSTAEKKKIVEKLRVRGAVAINLRPATKIEDLADMMAKGSKCRWGNFIGTIIFPLWVKPEKDPLEYIRRAKATMDRKKISLEAFIFYGIIKFTLKFFGGKAVEAFGKRIFGHTSLAFSNVKGPDEEISFFHHPISYIAGSALVGSQALNIHFISYVDKIVINLAVDTTTIADPHRLCDDMVEALEIIKSATQEKRFHKTEV >fgenesh1_pm.C_scaffold_7002508 pep chromosome:v.1.0:7:20352449:20352762:-1 gene:fgenesh1_pm.C_scaffold_7002508 transcript:fgenesh1_pm.C_scaffold_7002508 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQKIYLASLLFFICLVFPQSTAIVCNIEGHCITDEDCINACITGGDPFFCVKSGTSKGKCCCIKKNGFVLE >fgenesh1_pm.C_scaffold_7002509 pep chromosome:v.1.0:7:20360131:20360452:-1 gene:fgenesh1_pm.C_scaffold_7002509 transcript:fgenesh1_pm.C_scaffold_7002509 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQNYHLVYLLLIICLLFSQSTASGCEFKGFCRTDDQCKRICRGHGIDTRFLLCVPYSSKGGKCCCLHYEGAPSSSEEI >fgenesh1_pm.C_scaffold_7002510 pep chromosome:v.1.0:7:20368267:20369120:1 gene:fgenesh1_pm.C_scaffold_7002510 transcript:fgenesh1_pm.C_scaffold_7002510 gene_biotype:protein_coding transcript_biotype:protein_coding MFNYSVATNYYIMKLQKKQEERLQKMIEEEEIRMLRKEMVPKAQLMPFFDRPFLPQRSSRPLTMPKEPSFGNVHSTCWTCVFNNQHYLYHINHAHA >fgenesh1_pm.C_scaffold_7002514 pep chromosome:v.1.0:7:20429865:20432891:1 gene:fgenesh1_pm.C_scaffold_7002514 transcript:fgenesh1_pm.C_scaffold_7002514 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSRMLFFLLGYILCFSDIASINNHFVDADTLQNFTFFEMEKQLKVVDEHAAKFIKTTHGDTYECVDFYKQPAFDHLTMKNHLLHYKMHHLSSLYNSRTRKINDKNFGFLWENGVGCPMGTIPIQRVTKDKLLRLNSYSNKFKPHGSWNFTYNQYIVHGDQHHYAVARTKRGEKKSYTGASMVISVHDPEVRYPQFSSARMHFQIGDDFIQVGWTVDPSLYPDMQTRSFVYTKAGKNECYNSMCPAGIILVRSDIPLGVLRGPPGVRGSKQVSYDTYGLLKDKANGNWWLEFGGIQIGFWPANIFQQSLGNSIEWGGEVYSASLPGPRMGNGYFPLLDPYYDAHVCNITTVDENFNIDRMVKNIETFSDNNRSYKVNEDLDSGLPVGHIIYFGGPGKM >fgenesh1_pm.C_scaffold_7002519 pep chromosome:v.1.0:7:20486235:20488576:-1 gene:fgenesh1_pm.C_scaffold_7002519 transcript:fgenesh1_pm.C_scaffold_7002519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MIE1] MTYIRRLRYLSQIYIRSNHLAEAEKLQRKLLHMMELSKGWNSMEAITAAEALALTLRLSGQLGEALELFEKCLNAQKKLLPEGHIQIGGNFLHIAKTFMLQASQMRRTDKSEALSKLEKAKNYLENSARIAKDVLLKLKNQKIKAQKHEKSSVTLRNYEHAALVILLQSLESLAALEMSKNEIQEPKEENLHAAEDSLLQCVTAYKEFGYGTQLQDSFEVKSEYLSCLKHLSALLANKETTLNSKASPISLPELKEEIRRTEIDLRSQKTG >fgenesh1_pm.C_scaffold_7002520 pep chromosome:v.1.0:7:20489521:20491503:-1 gene:fgenesh1_pm.C_scaffold_7002520 transcript:fgenesh1_pm.C_scaffold_7002520 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRILSTLLRGTHRTSRSFSSSRNPICTTFANSLSGKPRISYQNDYGGHRTNLNLLEPRLWIIFSGQAAILGFCGNTVLAEDESMKSKSGDIMDESGLEKIEDGSVVSNIHTSKWRVFTDSGRDYFFQGKLEPAERLFGSAIQEAKEGFGEKDPHVASACNNLAELYRVKKEFDKAEPLYLEAVSILEDFYGPEDVRVGATLHNLGQLYLVQRKLEKACACYEIRRFVFAVLSYIIFFDEWSHECCWLCIRN >fgenesh1_pm.C_scaffold_7002522 pep chromosome:v.1.0:7:20535313:20537240:-1 gene:fgenesh1_pm.C_scaffold_7002522 transcript:fgenesh1_pm.C_scaffold_7002522 gene_biotype:protein_coding transcript_biotype:protein_coding MQEHYLQRLVEETASYNTLVGSFFPAALWSPLPHVVQTWARNYIVSLVTYFLICSLWCFYFYHWKHDVHLSKEAIPSRKSIMLQIHVSMKAMPWVSMVPTISEYFIEKGYTKCYFSITEVGPIVYITHTIIHIILVEFWIYWTHRALHDVKPLYKHFHFVHHRFNTKTSLSPFAGAASHPVEAVLDALPYTIFLFFVPMHFKTELILLSINGIWTFTTHGCLETKMWPFLTADYHTMHHIMHRYNYGNYTILMDWLFGTLCHPYSTVDEPKSK >fgenesh1_pm.C_scaffold_7002535 pep chromosome:v.1.0:7:20699384:20700752:1 gene:fgenesh1_pm.C_scaffold_7002535 transcript:fgenesh1_pm.C_scaffold_7002535 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MIH2] MENLLGLLRIHVKRGVNLAIRDISSSDPYVVVHSGKQKLKTRVVKHSVNPEWNDDLTLSVTDPNLPIKLTVYDYDLFSADDKMGEAEFHIGPFLEAIKFCHQLGPGLPSGTIIRKIEPSRKNCLSEESHIVLNQGKIVQNMFLRLQHVECGEVELQLEWIDVPGARGI >fgenesh1_pm.C_scaffold_7002538 pep chromosome:v.1.0:7:20738127:20738686:-1 gene:fgenesh1_pm.C_scaffold_7002538 transcript:fgenesh1_pm.C_scaffold_7002538 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMNRLSTIFFVFAVGLTMTSNTALSDTSAVWIRNLLHEKNDLIVHCKSTRRDMGYHRLHPTGSYNLLNDFDDSDEFFWCHLWQGPNFKHHQVFKVDYGNVWEAREDGIYLSNIQNFRNLGQSVFVYGWDVPLSRASSLGSSYISLSLAVSSLKLIFFIMDFGNLIFSCVGIFI >fgenesh1_pm.C_scaffold_7002541 pep chromosome:v.1.0:7:20814568:20818449:1 gene:fgenesh1_pm.C_scaffold_7002541 transcript:fgenesh1_pm.C_scaffold_7002541 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSDEIEEEQISRIEKGKGKDCHGGIETVICTSPSIVCLTQKLIAEMIGTYFIVFSGCGVVVVNVLYGGTITFPGICVTWGLIVMVMIYSTGHISGAHFNPAVTVTFAIFRRFPWYQVPLYIGAQFAGSLLASLTLRLMFKVTPEAFFGTTPADSPARALVAEIIISFLLMFVISGVATDNRAVGELAGIAVGMTIMLNVFVAGPISGASMNPARSLGPALVMGVYTHIWVYILGPVLGVISGGFVYNLIRFTDKPLRELTKSASFLRAVSPSHKASSSKT >fgenesh1_pm.C_scaffold_7002558 pep chromosome:v.1.0:7:21100419:21101289:1 gene:fgenesh1_pm.C_scaffold_7002558 transcript:fgenesh1_pm.C_scaffold_7002558 gene_biotype:protein_coding transcript_biotype:protein_coding MANSISSISLPRCFIFNNGSHKSRPWPSSSSLILNNSSNHHHHPLISLSSSPASVVETGDDEEDLIFSGCRGCGKEEKESGCNGDGRIQGGIATVPGFGWWPIKAYRPCPAFVEAGGRYRRIGQSMDEVAFGRGDSKSSTSIDTGDSLLRYVMQSNKDRLFAYAFKNVL >fgenesh1_pm.C_scaffold_7002559 pep chromosome:v.1.0:7:21106807:21107993:1 gene:fgenesh1_pm.C_scaffold_7002559 transcript:fgenesh1_pm.C_scaffold_7002559 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQLTEVSQQFERFKAAFIRKDFDSCTNLLSQLKVLLTKFTSLPPLFENTPNAAQELTIARDIYEYAVVLSVKTEDQDSFERDFFQLKPYYVDARNRIPSSPQENLILGLNLLRLLVQNRIAEFHTELELLSSATLENPCIKHAVELEQSFMEGAYNRVLSARQTAPDETYMYFMDLLAKTIRDEIAGCSEKAYDHLSVSEGSKMLLFSSDQELLTYVNEEHPEWEVKDGLVVFQKAKETAPCKEIPSLQLINQTLSYARELERIV >fgenesh1_pm.C_scaffold_7002567 pep chromosome:v.1.0:7:21175352:21177153:-1 gene:fgenesh1_pm.C_scaffold_7002567 transcript:fgenesh1_pm.C_scaffold_7002567 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVTKGLIRNLSLFQVRLPPLVAAVMAPSSVVRVYSTATSPSPSEVSVKKVGTHNGSFHCDEALGCFMIRLVDKFSGADIVRSRDPKILAELDAVLDVGGVYDPEHDRYDHHQKGFEEVFGHGFNTKLSSAGLVYKHFGKEIIAKELNVDQDHPDVLRLFLAVYKSFMEAIDAVDNGINRYDTDQPPRYVNNTHLSARVGRLNLDWIDPDQSQEKENEAFQRAMALAGKEFLESVQFHARSWLPARSIVMQCLEERFKTDPSGEIMILNKFCPWKLHLFELEQEMKIEPLIKYVIYQDERGKQWRVQAVAVAPDRFENRKPLPEKWRGLRDEELSKAAEIPGCVFVHMSGFIGGNQSYDGALCMARTALTL >fgenesh1_pm.C_scaffold_7002602 pep chromosome:v.1.0:7:21463675:21466270:-1 gene:fgenesh1_pm.C_scaffold_7002602 transcript:fgenesh1_pm.C_scaffold_7002602 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLWSHLSAISNCKISPRIRRISSANLTSNRNLSTISSTEDPELRDFVGFLESLKNYEKSGVPKGAGTDSGDGFDLSRMKRLMLRLHNPHSKYKVVHVAGTKGKGSTSAFVSNILRAGGYSVGCYSSPHILSIKERISCNGEPVSASTLNDHFYSIKPILEQSIQEENGSLSHFEILTGIAFSLFEKENVDIAVIEAGLGGARDATNVIESSNLAASVITTIGEEHMAALGGSLESIAEAKSGIIKHGRPVVLGGPFLPHIEGILRSKAASMLSSVILASNIGSSSSIKGIINKNGFGLCQSCDIVIQNEKDDKPIVELSDVNLRMLGHHQLQNAVTATCVSLCLRDQGCGRVTDEAIRIGLENTRLLGRSQFLTPKEAETLQLPGATVLLDGAHTKESARALKDMIKKDFAEKRIVFVIAMASDKDHVSFAKELLTGLKPEVVILTEADIGGAKIRSTSSSVLKESWIKAADELGSGSMEASENKTVLDSLKLAYKILSDDRSSSDSGMVIATGSLHIVSSVLASLQQ >fgenesh1_pm.C_scaffold_7002609 pep chromosome:v.1.0:7:21550686:21552446:-1 gene:fgenesh1_pm.C_scaffold_7002609 transcript:fgenesh1_pm.C_scaffold_7002609 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSQDKLDKMQLRQSYRNLWHSDLMSTVSADTPYCFFSCLCGPCVSYLLRKRALYNDMSRYTCCGGYMPCSGKCGESKCPQFCLATEVCLCFGNSVASTRFMLQDEFNIHTTKCDNCIIGFMFCLNQIACIFSLVACIVGSDELSEASQLLSCLADMVYCTVCACMQTQHKIEMDKRDGVISPQPMSVPPAQQMSRIDQPVPPYAGYPPATGYPQHYYPQTGHGYPPAPGYPPPGYGYPPAPGYPPAPGYPPAPGYHSK >fgenesh1_pm.C_scaffold_7002617 pep chromosome:v.1.0:7:21630784:21636517:1 gene:fgenesh1_pm.C_scaffold_7002617 transcript:fgenesh1_pm.C_scaffold_7002617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7MIX1] MKNRIKEGSSMIGVYGRSDGSSSILSFNGSESRESIDDTKQGHQSLVEWLNETLPYLNLPWEASEEELRACLLDGTVLCNLLNQLSPGSMRMGGSFEPGCVNNERFLAAMDEMALPRFEVSELEQLYSLLRHLKLVSLMMDMIRIHFVVDSNFNDGGSQFKEASEINTSHAQILDLLKSNSLQNTSTRSLFDMLDRLLDESPQKMNVSHVFVSILRGIAQVVEQRISNQAENLKNQNILFRVREEKYRSRINVLETLASGTTDENELRSKEKDVLQIEKEKERSDAELSKLKQELEIVKETHEKQFLELESNAQKAKVELEKQLKESELRVVEAKELEKLCETKTKRWEKKEQTYKSFINHQTEALQELKATSMSLKHEVLKTGENYFKDLNYYGIRLRGVAHAAKNYQIIIEENRRLYNEVQELKGNIRVYCRIRPFLQGQNKKQTSIEYTGENGELVVANPLKQGKDTYRLFKFNKVFGPASTQEEVFLDTRPLIRSILDGYNVCIFAYGQTGSGKTYTMSGPSITSEEDWGVNYRALNDLFHLTQSRQNSVIYEVDVQMVEIYNEQVRDLLSEDVPDASMHSVKSTEDVLELMNIGLMNRTVGATTLNEKSSRSHSVLSVHVRGVDVKTESVLRGSLHLVDLAGSERVGRSEVTGERLKEAQYIKKSLSALGDVIFALAHKNPHVPYRNSKLTQVLQNSLGGQAKTLMFVQINPDEDSYAETVSTLKLAERVSGVELGAARSYKEGRDVRQLMEQVSNLRDMIAKKDEELQKFQNVNVIQKRGLSKLRIVSPTRRHSLGGALTNSPRRRQGSGLLGRTTSDSADERRNQNESRSSSKFSGGAKDNDIFEDIELLGLEDSDNEERLSDISDSCLSMGTETDGSISSGAMGLTLFPETSNPPEMFEQNDKRVTLCLEFIVYLLIAELISGGGRNLCYGANVGTSKPVKHTPRADKTRPSRLSISNTSAKALTSSKRPITGFSSSVKPLNIKR >fgenesh1_pm.C_scaffold_7002655 pep chromosome:v.1.0:7:21935928:21937406:-1 gene:fgenesh1_pm.C_scaffold_7002655 transcript:fgenesh1_pm.C_scaffold_7002655 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLYETFWGYALLRVVDDAILVDNVHALATHFQSAEAANEAVLESIKMSLMSPALRGFLLAHCQVVGETLGVGDPDLGDRISSDLPIPCLHNIAVHELLRGVRSQSSALVGDPPRMARDRALSHRLARQAMGIGLEPDMMDAFIRTTVGLYDALVKELNTYTMRLREWYGPHFPELSSIVQPQIPYVKSVLLMGDKLNAANLDFSEFLSLDDELSLKAAAVHSHAPPFSQLEMLLIQNFCRDVVLPLHTTTTALLDSLNDHMQAFAPNLTALVGVPIAPRLIYLGGGLSKLSKMPASTLETLGANEATPRDGLIYRSPLVDLAPEPYKRKFSRTLAAKCALAIRIDVFGAGQDNAMGLQYRDLHLQTRLDRLRQAYNRRYLGLPA >fgenesh1_pm.C_scaffold_7002679 pep chromosome:v.1.0:7:22144021:22145559:-1 gene:fgenesh1_pm.C_scaffold_7002679 transcript:fgenesh1_pm.C_scaffold_7002679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus protein 2 family protein [Source:UniProtKB/TrEMBL;Acc:D7MJ60] MMKKKAKEGKSLFGSPTFVDLGNGRLRCVETGHEVLAGDVESYARNKRCRLGLIDHALSQGKSPLNMFSQCPLSRIENGLNSKLVCKLTGDTVNKNEEHIWKHVNGRRFLHKLEQVERGAGSSGRTEKTQVTKPRHNQEDSGSEESDFWMLKSSSDSESDEETDEGNCKASHCDAKESEELSERTKRMSIEIGPSSFASRKKKSKNDESS >fgenesh1_pm.C_scaffold_7002681 pep chromosome:v.1.0:7:22152275:22154238:-1 gene:fgenesh1_pm.C_scaffold_7002681 transcript:fgenesh1_pm.C_scaffold_7002681 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDIVGILENTKELDRLRKEQEEVLVEINKMHKKLQASPEIVEKPGDISLAKLKNLYIQAKELSENEVTVSNILLTQLDLLLPYGPTGQQRRKLGEGNDQKRKRMKVDSDVIRLSPSMRNQIEAYASLKGEQVAARVTAESADKDEWFVVKVIHFDRETKEVEVLDEEPGDDEEGSGQRTYKLPMSCILPFPKRNDPSNTQEFSTGKYVLAVYPGTTALYKATVVSTPRKVTIDHGHADSSNIRGSLTSKTVFLIRYLLEFDDDEENGALPQRTVPFHKVVALPEGHRQ >fgenesh1_pm.C_scaffold_7002682 pep chromosome:v.1.0:7:22158230:22159759:-1 gene:fgenesh1_pm.C_scaffold_7002682 transcript:fgenesh1_pm.C_scaffold_7002682 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEESTTSKNRKRKRQRNPKPSKEELIETTPKNQNNKKSQRDTKVQQHGGSSASSKRPKSSNFLDALRERLSGGQFRMLNEKLYTCSGKEALDYFKEDPEMFDMYHTGYQQQMSNWPELPVNSIINWLLSKSSSLVVADFGCGDARIAKSVKNKVFSFDLVSKNPSVIACDMSNTSLESSSVDVTVFCLSLMGTNYSSYIKEAHRVLRPSGMLLIAEVKSRFDPNNGGADPKDFVKAVCDLGFTSVLKKLIWFFAQDFSNKMFILFHFKKKEQVNSNQKIIKWPELKACLYKRR >fgenesh1_pm.C_scaffold_7002692 pep chromosome:v.1.0:7:22252313:22253713:1 gene:fgenesh1_pm.C_scaffold_7002692 transcript:fgenesh1_pm.C_scaffold_7002692 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPQSFDVPVPKGIKNTTKEIMNQREDGKMKKTYQKKKKKAVSTSKYLKNSDIIKVKWTESEDIKLKELMALGLQKWTTIAKKFEGRTGKQCRERWHNHAGPDIKKTKWSEEEEQILIEEHKVVGTQWIKIAQKLPGRSYNNVKNHWNSTKRKVQNQSGGTVKPVGNNILENYIRYVTINNDDFLKTAESDGETTNSENDDDSIDMLYGEMNLSLEATAETTKPLTDASTILPYIPMPEENSTVEVCKTMEDILELLSWWE >fgenesh1_pm.C_scaffold_7002698 pep chromosome:v.1.0:7:22388044:22397420:-1 gene:fgenesh1_pm.C_scaffold_7002698 transcript:fgenesh1_pm.C_scaffold_7002698 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQNQISSTPNQNSDPHNWDIQNRLFSRHPSIEIPTKNPFMGINSFPNTNVFEGFQYNITNDLEFPMTYNTQFPVTSEGISYNMHDFQENTMCGYTAHNQGLIIGCHEPVHDVVESQQFNVSKSEGINLISQSKRVTKETINRRQDKKKDIIIKGQWTATEDEMLVKMVKRNGIKNWTSIAKMFQGRVGKQWHNHLGPDIKKNLWSEEEDRILIEVHKIIGNKWTEIAKRLPGRSENIKSDALPPRNNALENYISVNREVDSITTNSEIVTTKCENIVDEVMTLNMDATTCFYVPEQASLTWGYDFTKFHEPIDDTWMLMTGWN >fgenesh1_pm.C_scaffold_7002710 pep chromosome:v.1.0:7:22501282:22503207:1 gene:fgenesh1_pm.C_scaffold_7002710 transcript:fgenesh1_pm.C_scaffold_7002710 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7MJA6] MTRGAGEETVAWSYFCRDVVPFTAMVAVECVTVGSNTLFKAATLRGLSFYVFVFYTYVGATLVLLPLSLIFGRSKRLPSAKTPVFFYIFLLALVGFMSLIVGCKGIEYSSPTLASAISNLTPAFTFTLAVIFRMEQVVLRSSATQAKIIGTIVSISGALVVVLYKGPKVLTDATLTPPSPTISLYQHLTSFDSSWIIGGLLLATQYLLVSVWYILQTRVMELYPEEITVVFLYNLCATLISAPVCLFAEKDLTSFVLKPGVSLASVMYSGGLVSSFGSVIHTWGLHLKGPVYISLFKPLSIVIAVAMGVIFLGDALYLRSVIGSVILSLGFYTVIWGKAREDSTKTVADTEQQSPLLPSHTIEDESS >fgenesh1_pm.C_scaffold_7002711 pep chromosome:v.1.0:7:22504652:22506743:1 gene:fgenesh1_pm.C_scaffold_7002711 transcript:fgenesh1_pm.C_scaffold_7002711 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7MJA8] MVHGRLCNRDGLILTAMVATEFSNVGVNTLVKAATSKGLSPFVVLVYSYTFGSLLLLPLTFFSFRSRSLPPLTFSILCNMGILGLIVSASQILGYNGIKYSSPTLSSAMSNVNPAFTFILAAVFRMENISLRKKSSVAKVLGTILSIIGALVVTLYHGPMLMSSHSDWIIGGGLLALQYILVSVSYLVMAHTMGRYPSAVVVTLVHNVCIAVVSAFVSLLAEKDNPKAWVIRFDITLITVVATGILSSGYYVIHTWAVSHKGPVYLSMFKPLSILIAAVSTFIFLGESLYLGSVMGGILISIGFYMGLWGKAKEDKVDILGTIESSPSHKAPLLEN >fgenesh1_pm.C_scaffold_7002712 pep chromosome:v.1.0:7:22519998:22521494:1 gene:fgenesh1_pm.C_scaffold_7002712 transcript:fgenesh1_pm.C_scaffold_7002712 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWIYHQTCGKKSKKVTTRRRRTLALYLLLCITLFSITFFLFPHNSFNPINNYNVLYVEPQLNFKDCDLSKGQWIRDERGSLYSNSTCPTIPDSKNCLKHGRPNTDFLFWRWKPEGCELPRFNPKAFLELVRGKKMNFIGDSVARNHMESLLCLLSIHDFTLSTSWTKFLVAGFERTHANKTGTGIYDLDIDKIDEQWAKDLPNTDIAIVSAGHWLFRPIYIHRGDETIGCIFCNLPNMTQISLKEGFKLVFSAAFKHINGCQNCKDNLVTLLRTFSPTHFENGTWNTGGACGRTIPFRVDEISQQSSDMEIRTSQIEQLEEIKSDSLKKKKFAVLDVTRAMLMRPDGHPNSYWGNKWMKGFNDCTHWCLPGPIDAWSEFLMALLRQLR >fgenesh1_pm.C_scaffold_7002732 pep chromosome:v.1.0:7:22775196:22778002:1 gene:fgenesh1_pm.C_scaffold_7002732 transcript:fgenesh1_pm.C_scaffold_7002732 gene_biotype:protein_coding transcript_biotype:protein_coding MHISIKTVEGKTINLEVDDSSDTIDLRIHGPTRELVLRLSPDPAPKAVMRIFVQTLGGKLFILEVEETETIENVMAKIHEKGGPPVDQQRLIFQGKQLDKVTVEGKTINLEVDDSSDTIDLRIHGPTRELVLRLSPDPGPKAVIRIFVQTLGGKAFILEVEETETIENVMAKIHEKGGPPVDQQKLIFKGKELVKGRTMADYRIKTESTLCVMSRLCGC >fgenesh1_pm.C_scaffold_7002733 pep chromosome:v.1.0:7:22784094:22786718:1 gene:fgenesh1_pm.C_scaffold_7002733 transcript:fgenesh1_pm.C_scaffold_7002733 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7MJE8] MSQSLVRAISARKNQFSLKFYYRCVYSKQFHSQDQIIAPNCFNFSSLGFTSSVRHGSHQNSYRFCGNLISDLNLACLVRSTNGFATVSDESNVDNGEKLVDKKPKVVYKKPIDFTKIDANLLPTVMLMGRPNVGKSALYNRREALVYNTPDDHVTRDIREGIAKLGDLRFNVLDSAGIETEVSSGTILGRTTAMTANVLARTQFAVLIIDVRAGLHPLDLEVGKWLRKHAPQIKPIVVMNKSESIGSLDEVASEALALGFGEPIAISAETGLGMTTLYEVLRPLLEDYMVEMLNDICSQDDALSNENLSDEIDESKLPLQLAIVGKPNVGKSTLLNALLEEERVLVGPEAGLTRDAVRVQFEFQGRTVYLVDTAGWLERTERDKGPASLSIMQSRKSLMRAHVIALVLDAEEIIKAKCSMTHSEVVIARRAVEEGRGLVVIVNKMDRLRGRENSEMYKKIKEAVPIEIQTVIPQITGIPVVFISALEGRGRMEVMKEVTDTYKRWCSRLSTGRLNRWLRKVMSRHSWKDTASQPKIKFFTQVKARPPTFVAFVSGKTQLLESDIRFLTRSLKEDFDLGGTPIRIIQRVVPRAPPSGTGGGGSGNSSKSRVVQRTTSDKRTLSA >fgenesh1_pm.C_scaffold_7002740 pep chromosome:v.1.0:7:22812222:22813628:-1 gene:fgenesh1_pm.C_scaffold_7002740 transcript:fgenesh1_pm.C_scaffold_7002740 gene_biotype:protein_coding transcript_biotype:protein_coding MARISLLFSLAFVLALGSLFLSVSGHAPPVTPRKPACPKTVSELQTLPFAEITEILNRKERFAPNTLEFEVMFSMCKGYVAYLESLYKFENPIVDVLGIAKARYALMTKAILAAQASVGGKVNKKTSLKLKKSYADLTKGFLQIKETIVKISAKHEYKADAKITAHEAKKLNHAMINFKNSINAFMNVVNNLEKKKMKKIGLHARALGEKRDRVRNAFKSFFNKFGGYLGGKTHGRELTEAKYSANSHVGADVKGFESILDKFSGFFSGYLGGQTHRRELFNQLPGVEQADEKIAIHASLAQKARGRRAQRRELYAQSPLFKDFFNVGFGGKAQYDAAGKMKVAGQDGFRALKRPHYKHFASTKA >fgenesh1_pm.C_scaffold_7002743 pep chromosome:v.1.0:7:22846718:22847318:-1 gene:fgenesh1_pm.C_scaffold_7002743 transcript:fgenesh1_pm.C_scaffold_7002743 gene_biotype:protein_coding transcript_biotype:protein_coding MARIANGVGIVGLLIIFMLIWGGMPKGHAQQSGAYCEKTPSTLAAPGNCFKSNGNALCKESCRNEKYKNGACLHLPKPRSKLKCYCWFMADEYKCPKLSL >fgenesh1_pm.C_scaffold_7002746 pep chromosome:v.1.0:7:22901286:22902329:-1 gene:fgenesh1_pm.C_scaffold_7002746 transcript:fgenesh1_pm.C_scaffold_7002746 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQSFILGNLVSLCMKIINSVVVVGLYYGFLTTFSIGPSYLFLLRARVMDEGEEGTEKKVSATTGFIAGQLMMFISIYYAPLHLALGRPHTITVLALPYLLFHFFWNNHKHFFDYGSTTRNEMRNLRIQCVFLNNLIFQLFNHFILPSSMLARLVNIYMFRCNNKMLFVTSSFVGWLIGHILFMKWVGLVLVWIQQNNSIRSNVLIRSNKYKFLVSELRNSMARIFSILLFITCVYYLGRIPSPIFTKKLKGTSETGGTKQDQEVSTEEAPFPSLFSEEGEDLDKIDEMEEIRVNGKDKINKDDEFHVRTYYNYKTVSENLDGNKENSNLEFFKIKKKRIIKKINT >fgenesh1_pm.C_scaffold_7002776 pep chromosome:v.1.0:7:23188079:23190931:-1 gene:fgenesh1_pm.C_scaffold_7002776 transcript:fgenesh1_pm.C_scaffold_7002776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7MJM0] MDGSSEKTTNRMVSILPNYKIGKTLGHGSFAKVKLALHVATGHKVAIKILNRAKIKNMGIEIKVQREIKILRLLMHPHIIRQYEVIETPDNIYVVMEYVKSGELFDYIIEKGRLQEDEARHLFQQIISGVEYCHRNMIVHRDLKPENMLLDSKCNIKIVDFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKPYSGPEVDIWSCGVILYALLCGTLPFDDENIPTLFDKIKKGMYTLPDHLSYVARDLIPRMLMVDPLMRISITEIRQHPWFNNHVPLYLYMPPLDTIEEAKKIDEEIIQKVVNIGFDRNQVVESLVNRIQNEATIAYHLILDNRNQNFVPNDPFQSNFKEISGGIFNSTFPAVQNITSHVGHSFSALYGLKSHVKDDKTWTLGLQSQGSPHDIMNEIFKALQNLKVCWKKIGLYNIKCRRVRSLANYKNHTIEGECIIIKFELQLYKVREGKYLLDIQRIDGPQFIFFDICVAFLRELGVL >fgenesh1_pm.C_scaffold_7002780 pep chromosome:v.1.0:7:23215367:23217061:-1 gene:fgenesh1_pm.C_scaffold_7002780 transcript:fgenesh1_pm.C_scaffold_7002780 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLSRGPVKEKSTLEFTRVHILTYLSTSSYLRSLVSKKRRRLIIGGYDLDMSYISDKLLAMSFPAERMRAVYRNPLWQVKSVLDMRHPDHYKVYNLCIEESYDPENFYGRVERFPFDDNHVPSLKMIQLFCESVHSWLSLDPKNIAVVHCMAGKGRTGLMVSAYLVYGGMSAEEALEMYASRRTTNNNGVSIPSQRRYVKYWSDLLSFRKRGPPEVKLPQEHSRELLRIRLYDTANVDSVFFVVSELQEVPNEMYRPSVELARGCCRQFKKGYCRSSSPRYYISHVNCDSEEDEEVTDGEEPRLVVQMDTESSIIDEKTCLDFYFDKPVRVSGDIRITFYQKMIGSRLFYTCFNTAFITNGLLQFSIGELDKVGGNGRSISGPDFSLELLFGPACSKFGKFLSRDDLSLS >fgenesh1_pm.C_scaffold_7002792 pep chromosome:v.1.0:7:23352417:23353498:1 gene:fgenesh1_pm.C_scaffold_7002792 transcript:fgenesh1_pm.C_scaffold_7002792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-a25 [Source:UniProtKB/TrEMBL;Acc:D7MJP9] MKLLGKMIYVECFMIIMATWFVSMSYGHRAMMVSDVAEAPVIDDVVGSPTNGLDTSWYDARATFYGDIHGGETQQGACGYGDLFRQGYGLATAALSTALFNEGYTCGACYEIMCANNPQWCLPGSIKITATNFCPPNYTKTVGVWCNPPQKHFDLSLPMFLKIAQYKAGVVPVRYRRVACAKTGGVKFETKGNPYFLMILPYNVGGAGDIKFVQVKGNKTGWITMKKNWGQNWTTGVKLTGQGISLRVTTSDGITKDFINLMPKNWGFGQTFDGKINF >fgenesh1_pm.C_scaffold_7002793 pep chromosome:v.1.0:7:23354369:23355597:1 gene:fgenesh1_pm.C_scaffold_7002793 transcript:fgenesh1_pm.C_scaffold_7002793 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLEKMIYVEFLMIIMAVWVVPMSYGHGAMIHDAAEAPDVAEAPGINGPSKTLDTNWYDARATFYGDIHGGETQQGACGYGNLFRQGYGLATAALSTALFNDGYTCGACYEIMCARDPQWCLPGSIKITATNFCPPNYTKTVDVWCNPPQKHFDLSLPMFLKIAKFKAGVVPVRYRRVLCAKTGGVKFETKGNPYFLMVLLYNVGGAGDVKFVQVKGNKTGWITMKKNWGQNWTTDTVLTGQGLSFRVTTSDGVTKDFINLMPKNWGFGQTFVGKINF >fgenesh1_pm.C_scaffold_7002800 pep chromosome:v.1.0:7:23443685:23445075:-1 gene:fgenesh1_pm.C_scaffold_7002800 transcript:fgenesh1_pm.C_scaffold_7002800 gene_biotype:protein_coding transcript_biotype:protein_coding MELNVIKTVCVSPVTNLSNGSFNIPNLPLTFFDLHFMKSLPTQQIIFYKLAESSSRESFHSLILPKLERSLSIVLHHYLPLAGCLLWKPHDPKPCIVVSKHDTVSLTIAETSSDFSFVSGNGLRPATYLHPLVPELSSSKDSAAVLSLQITLFPNQGFCIGIASHHAVLDGKTLTMFIKSWAHICSLQEHNKTTEFPLLPDDLIPCFDRTVINVVSGLETKMLELLLYLSKDIDDLRSLKLPPIKDISSDVVRATLELTPKNIQKLRERVKNESARSPLELHLSTFIISYAHAWKCMTSTFLYAADFRHQLDPQVPARYFGNYVFLISWFEYQARTFLEKDGFVKLVEILSDSVKSLSSRGIKSICEDFVEGSKDMIPDAQIGSVAWSPQFGLYGSDFGWGRPAKTGVVSIDRNEAFSMSERRDEQGGMEMEVCLKKSEMHIFLSLFKKGLSD >fgenesh1_pm.C_scaffold_7002819 pep chromosome:v.1.0:7:23633537:23634571:1 gene:fgenesh1_pm.C_scaffold_7002819 transcript:fgenesh1_pm.C_scaffold_7002819 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLKSSLPDDLILSCLARVSRLYYPTLSFVSKSFRCFIASPELYQTRSLLGQTETCLYVCLRYSPDSNPRWFTFCRKPSDNLLLLVPIPIINSPPVEWSNIVSVDSNLYAISDEVAVSFLDCRTHTWLEAPSLRLTNTQSKLDGEMYLPGSCENPDSFNCIEVVSKKTQTLKPQRLEKPSLGVLDLQGKVYMIHEIESGKKGVVLNFKDLTWEVVGLGGNNFWASVGMIENIVYSYNKRSGEFLWLYFDKEEEGARKLKGLEGLPKFDSGSTVRLVNYGGKLMVLWDQNVPASDFEEEKMIWCAEISLDKRKNDEIWGKVEWFEAVLTVPKAYQFVCAIAATV >fgenesh1_pm.C_scaffold_7002827 pep chromosome:v.1.0:7:23730741:23731898:-1 gene:fgenesh1_pm.C_scaffold_7002827 transcript:fgenesh1_pm.C_scaffold_7002827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MJW2] MSSPEKLSPAPESNPNPSLPDALLISCIARVSRLYYPTLSLVSKSFRSLLASPELYKARLLLDRTESCLYVCLKLSPSENPSWFTLCLKPDETLTYDTSNKKKSSGYVLAKVPIPHSPPVKSSSLVVVGSNIYNIGCNIYSIGRSRSPYSNVSIFDCRSHTWREAPSLPVELFAVSAGVVDGKIYVTRSCKDDDSYNLKNTFEVFDTKTQVWDHVPSPYSQTKHNSYSKSLCIDEKWYVVTKRKVVAYNPKKGKWDHVESEMCSYKSSYGYCVIENVLYSVEKTWRGTDFRWYDTELRQWRKVKGLENMTYGGSNKMLWCAVITLERRKNHEIWGKVEWFDHVLTVPITCVLEKVLVATV >fgenesh1_pm.C_scaffold_7002828 pep chromosome:v.1.0:7:23732316:23733395:-1 gene:fgenesh1_pm.C_scaffold_7002828 transcript:fgenesh1_pm.C_scaffold_7002828 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQSNPNPSLPDDLILSCVARVSKLYYPTLSLVSKSFRSLLASPEIYKTRSLLDRTESCLYVVSILDCTSNTWREGPSLRVKLMSCAACVLDGKIYVSGSCEDDDSATFQVFDTNTQTWDLVPIPCSETKHDFHYKIVCFDGKLHMVSNKGVNAYNTKEGRWDVVEPSREHFKYLYDSYCKIGNVWYSVLKGPFSKFIWYNTEQRVWRELKGMEGLPKFPFDACVRLADYGGKMVVLWDEYFPGGKKMIWCAEIALERRGSLEIWGEVKWFDHMLTVPGHYEFVKVLSVTV >fgenesh1_pm.C_scaffold_7002836 pep chromosome:v.1.0:7:23793781:23795007:1 gene:fgenesh1_pm.C_scaffold_7002836 transcript:fgenesh1_pm.C_scaffold_7002836 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDVVAIPAQTDYSTFDDLRLGRSSQDVVGRLLRFWDARNIKKTCSLIHCFIPAVRASQFRNVLQEGRIFCVGGFEVGRCTNLYKITDNPFVIRFLPSTTIVEVNHVGATIEREKFMLRKGDHLQALANINLELPAGNNYYMTNILHFSTNRSTIGYLSLWDDAAATFQAYLTSGDTINSVMLVTTINPKLFGGKNEVLRYIY >fgenesh1_pm.C_scaffold_7002839 pep chromosome:v.1.0:7:23929237:23930376:1 gene:fgenesh1_pm.C_scaffold_7002839 transcript:fgenesh1_pm.C_scaffold_7002839 gene_biotype:protein_coding transcript_biotype:protein_coding MYYDDYLTDSRKDIWSGLCLLADAAVMVAEEEQRRRLLAEKGERCHKTVSEEENNQMRFFYLFPRKIRSSLVKKRYTQQNPNVASTSSSSLLDLNLITTDSETENVQNPSSDDEPLVCDEEQRAKKGKSKIVCDEDYDDESENRLFEKNVQKFLRENFDNLNGASTSSSLMNLHGLEPSTETKDITNPNYQSSSPSSCLTENTSSKRRAVEQRKSKGGFKKAKVVLYPCAVRETPEWVFQVMRYMNADAENPRLIFERILFNSDVNSNLSRLLIPFQKLIRNDFLTPLECRALERDEDNEDEENIGVGTILVNQRFKMWGLRFKIWVMEKKDSGHGTLNYTLNWGWNDVVKGNDLKAHDKISLWTFRCRGVLCFALDIE >fgenesh1_pm.C_scaffold_7002855 pep chromosome:v.1.0:7:24154706:24156211:1 gene:fgenesh1_pm.C_scaffold_7002855 transcript:fgenesh1_pm.C_scaffold_7002855 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIEQRRSKSERENPPLVFVHGSYHAAWCWAEHWLPFFSSSGFDSYAVSLLGQGESDEPLGTVAGTLQTHASDIADFIESNLGSSPPVLIGHSFGGLIVQYYLANIVNKQTLGTENAFPELSGVVLVCSVPPSGNSGLVLRYLFSKPVAAFKVTLSLAAKGFQKSIPLCRETFFSQAMDDQLVKCYQDLMIESSRMPLFDLRKLNASLPVPKPMENSTNVLVLGAKDDFIVDCEGLKETGWFYEVEPVCIEGVAHDMMLDCSWEKGAEVLLSWLCGLSKP >fgenesh1_pm.C_scaffold_7002869 pep chromosome:v.1.0:7:24377794:24379421:1 gene:fgenesh1_pm.C_scaffold_7002869 transcript:fgenesh1_pm.C_scaffold_7002869 gene_biotype:protein_coding transcript_biotype:protein_coding MREVTVLSSSMVRPENINRSGRREKIHLTPHDLDLLYLFYHQRGLLFHKPDLENRFIPRLTGSLSTALEIYFPFAGRLVKVNNQEDDTVSFYIDCDGWGAKFVHAKAESVTMNDAFQSHGYVPDFIPQFFPANNVQSRDALVSEPLLALQFTEMKDGMFISFGYYHMVADGPMISPNLTNGSSFWNFFHTWSKICLNDWFFEGIDYPIHIPVLEIETLPRCEPSTKERVFHFSKKKISDLKVKANYEIGTMDLKISSLQAVVAYLWLSIITHSGLNREEEMQCKGAADMRQRLNPPLKKECFGNVTNLATATTTVGELLDRRLGKTALQISKTVKVETNESYENIAKNWVRNVKMPKTSVGRLAKNSLIITSSPRFEVYNHDFGWGKPIAARAGPANGTGGMLVVFRGVEEGSIDVMRP >fgenesh1_pm.C_scaffold_7002874 pep chromosome:v.1.0:7:24437250:24437891:1 gene:fgenesh1_pm.C_scaffold_7002874 transcript:fgenesh1_pm.C_scaffold_7002874 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTNVSATKSTETVFHESPSLLRLWWMNSNVRYDIAMNSFIIILNIAAIMYIKFNKIPLNEVWISGIKDSSQCKDAYIIGRICHNLGFVIFLHLCYCISTRLALFVGLLFGLPCWLWYANAMFGPTLCKEMEAQRDWWKFVSQPQSVAVKFN >fgenesh1_pm.C_scaffold_7002875 pep chromosome:v.1.0:7:24462016:24463346:-1 gene:fgenesh1_pm.C_scaffold_7002875 transcript:fgenesh1_pm.C_scaffold_7002875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MK55] MGDHLVLVVDRLSSDSGVGTVNRTEVKTDLVNGDGAPESVSAGADLCESKFVQCRICHDEDEDSNMDTPCSCSGTLKFAHHNCVQRWCNEKGDTICEICRQQYKPGYTAPRQLFHYTGISMNFSSDWGIEGLDLRNPYFLTWGHADDDHDLYSFHSPTSLICCRVIALLFVLLLFLRHSLPVLLGGVDDFSLTLLMLPLVRTLAILLIAYVFVKSFIVIQRCRQERDMRLSDFSSDEETAPPRISMALPERPELHVPVN >fgenesh1_pm.C_scaffold_7002881 pep chromosome:v.1.0:7:24575872:24577525:1 gene:fgenesh1_pm.C_scaffold_7002881 transcript:fgenesh1_pm.C_scaffold_7002881 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine:carboxyl methyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MK68] MLSAFWGHASAIAIASPVASIVGFQGDRTTIRRPTYGEMKFVEVVEKHRKMPTFPQSFPMNGGDGPHSYLHNSSYQKVAIDGAKERTREAILEKLDLELLNRNSDANILRIADFGCSIGPNTFDVVQNIIDTVKQKHLKKETHIGAPLEFQVSFNDQPNNDFNTLFRTQPLFSRREYLSVGVPGSFHGRVLPKNSLHIGHTSYTLHWLSTVPKHVCDKKSPALNKSYIQCNNLVDEVTKAYKIQFKKDIGGFLEARAEELVSGGLMILSGQCLPNGIPKALTCQGVVIDMIGDCLMDMAKLGITSKEKIEHFSLPTYIPHISEFKANIEQNENFTIETMEEISHPMDYMPLTNGFITSMFRAILNTIIEEHFGDGVVNELFDRLAKKLDKYPINFRRCKKYVNYFIVLKRK >fgenesh1_pm.C_scaffold_710000001 pep scaffold:JGI8X:scaffold_710:236:2789:-1 gene:fgenesh1_pm.C_scaffold_710000001 transcript:fgenesh1_pm.C_scaffold_710000001 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICFKPWRRSPSPSITSTVTVIDDLNNNEDDEGSVCPIFREFSLEQLRVATDGFSAGNIVSEHNERVPNIVYKGQLNDGRKIAVKRFKRLSWPDSFEFIEEAQAVGRCRSEHMANLIGCCSEGHERLLVAEYMPNGTLAKHLFHWEKRPMKWEMRLRVALHTATALEYCNDMGIDLYHDLNTYRILFDKVGNPRLSCFGLMKCSREGKSYSTNLAFAPPEYLRLGTVIPESVTFSFGTLLLDLMSGRHIPPNHALDLFRALDGQFSDEDRTELIHLASRCFRPKPDERPSIKFLMSALSRLEKRAELWPNVNEENIPTPSDTKPATKEPLRLTPFGEACWRVDLSGIHELLEKLGYGEDDVVVTNEFSFQMWTGQMQENMDYKKHGDAAFRAKDFETAIEFYTEFMSGAPVVSPTVLARRCLCYLMSYMFCEALSDADASSGRIARLGMEAEAKEALRHGSSLEAS >fgenesh1_pm.C_scaffold_728000001 pep scaffold:JGI8X:scaffold_728:2576:4596:-1 gene:fgenesh1_pm.C_scaffold_728000001 transcript:fgenesh1_pm.C_scaffold_728000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7LCE6] MEFPHHHQHQRHHQRDDGEDDRHSFGQPPPRVDAPPQPHGLYQSQPHFDPYAPTPSPAPYRSEPQFEPHAPPPYRSEPYFEAPAPPPAFGHVSHVGHQSSNESYPPEHHRYGGYPPPSNSILESHGDHSGVTHVAHHSSNQPQSSPGVYHRPDENRLPDNLAGLAGRATVKVYSKAEPNYYLTIRDGKVILAPADPSDEAQHWYKDEKYSTKVKDADGHPCFALVNKATGEAMKHSVGATHPVHLTRYDPDKLDESVLWTESKDLGDGYRTIRMINNTRLNVDAYHGDSKSGGVRDGTTIVLWDWNKGDNQRWKIFPFCKLFLTVSA >fgenesh1_pm.C_scaffold_769000001 pep scaffold:JGI8X:scaffold_769:1765:3821:-1 gene:fgenesh1_pm.C_scaffold_769000001 transcript:fgenesh1_pm.C_scaffold_769000001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSNLPRDLVEEVLSRLSVTSTRAVRSTCKKWNIISKDESFTTKYGAQANAAARESDVNLHGIENNVDPSIEVKGKLISLNIADQIVYEIEIYNFNSDSWKVVDFTPDGDRRFFVHGVSLKGNTYWFAREYVRYEGVQEVMNYVASFLICFDFTTERFGPRLPLPFDIFIIDNVSLSSVREEQLVVLHHQWMKLRMEIWVSNKIEPNAVSWRKLLEVNMRPLPRYRFRLDHGSFFIDEKNKVVVVLDKDEETETRNLVYFIGEDGYFKEVELGESRGKNGSPFVSSYVPSSVQVSNSQRRQLTIFD >fgenesh1_pm.C_scaffold_772000001 pep scaffold:JGI8X:scaffold_772:2501:3667:-1 gene:fgenesh1_pm.C_scaffold_772000001 transcript:fgenesh1_pm.C_scaffold_772000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7MY38] MALAWCVVRRSASKFASVYGGRVRSISAVVNRASLARNPSPVRPLVSRGFNYSTAIDRMSSEQSLIRVIDSEINSASQTDNIDLDEEITPGSFPFRIEDNPGHQNVTLTRDYNGEHIKVIVSMPSLVSDENDDDADDDEGPSNESSIPLVVTVTKKSGLSLEFSCMAFPDEIAIDALSVKHPGDSLEDQMANEGPDFEDLDENLKKTFYKFLEIRGVKASTTNFLHEYMMRKVNREYLLWLKNVKEFMEE >fgenesh1_pm.C_scaffold_8000007 pep chromosome:v.1.0:8:74886:75588:-1 gene:fgenesh1_pm.C_scaffold_8000007 transcript:fgenesh1_pm.C_scaffold_8000007 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MP18] MGEPLGLLQVTVIQGKKLVIRDFKSSDPYVIVKLGNESAKTKVINNCLNPVWDEELSFTLKDPAAVLALEVFDKDRFKADDKMGHASLSLQPLISVARLRHVVRISSGETTLRKVLPGPENCVSRESTISCIDGEVVQSVWLRLCAVESGEIELKIKLIDPPGTNK >fgenesh1_pm.C_scaffold_8000008 pep chromosome:v.1.0:8:80679:81264:1 gene:fgenesh1_pm.C_scaffold_8000008 transcript:fgenesh1_pm.C_scaffold_8000008 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVGELACSYAVMILEDEGIAITADKIATLVKAAGVTIESYWPMLFAKMAEKRNVTDLIMNVGAGGGGGAPVAAAAAAGGAASAAPAAEEKKKDEPAEESDGDLGFGLFD >fgenesh1_pm.C_scaffold_8000010 pep chromosome:v.1.0:8:91568:92673:1 gene:fgenesh1_pm.C_scaffold_8000010 transcript:fgenesh1_pm.C_scaffold_8000010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGNDDDNGCFDNQPKPESEPAPVNLSPPLSKNAKKKQLKQQRYEAKKAEKKAQEKEQKRKEGERKLKEWEETLANATEEERLKLIESRKSLRKERMEKRSEEKEKKMERLNRAKEIGQKIVVDVDFAHLMSESEISSLVQQIMYCYAVNGRSTSPCHLWLTGVQGKMSTELDKLPGFEKWFIEKESRCYIEAMADQKDHLVYLTADSETVLDDLDLKHIYIIGGLVDRNRFKGITMTKAQEQGIKTAKLPIGEYMKMSSSQVLTVNQVLEILVKFLETRDWKTAFFTVIPQRKRTGLDPVDCSKLEPLSEEHLEEEAEADESDLLERKKVCVEVPLESSS >fgenesh1_pm.C_scaffold_8000015 pep chromosome:v.1.0:8:102089:102649:1 gene:fgenesh1_pm.C_scaffold_8000015 transcript:fgenesh1_pm.C_scaffold_8000015 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFFILLCFLLFSFFFFNGAFGNTWTRTEMVEMAGYGEQKLSSVIIAGSLLCDTSRPYLHSVPIPGATVAIKCQTGSKRRSKWIKAVTDELGEFEIDLPSQLHAIPDLENKCFIKPVYVPRHYRCYHTSTNIHKRIKLVSSTNGLRVYTSGKIRLQGHTSRS >fgenesh1_pm.C_scaffold_8000016 pep chromosome:v.1.0:8:102984:103351:-1 gene:fgenesh1_pm.C_scaffold_8000016 transcript:fgenesh1_pm.C_scaffold_8000016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:D7MP27] MNFTSEAADADGGQDQILSRVIELVKKYDKTNTSEVTERADFQKDLSLDSLDKVELVMAIEEEFSIEIPDEKADKLTCCGDVATYILSETPTKASES >fgenesh1_pm.C_scaffold_8000018 pep chromosome:v.1.0:8:111082:111574:1 gene:fgenesh1_pm.C_scaffold_8000018 transcript:fgenesh1_pm.C_scaffold_8000018 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNAGSSSENSIPSPMRNLFQKSGSQAVYEMSETKKACVMRVDMPGCPESDLTYWVDDNNVHFFADEPAMPEYENTGRKYGGSMVFNPESYNVKKVKVKLINGVLWITVPKIPGKNASIDVIERIIRY >fgenesh1_pm.C_scaffold_8000044 pep chromosome:v.1.0:8:283771:285351:-1 gene:fgenesh1_pm.C_scaffold_8000044 transcript:fgenesh1_pm.C_scaffold_8000044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Palmitoyl protein thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7MPU7] MEKGLKRSCVMVVVAFLAMVHVSVSVPFLILPEIGTQCSDDTVGNFTQLLTNLSGSPGFCLVIGDGNEIAGSWLIPLTQQAEIACEKVKQMEELSHGYNIVGRAQGNLVARGLIEFCDGGPPVYNYISLAGPHAGIAVLPRCTTSDLLCGIANGIGNGDVYSDFVQDSLAPSGYFKDPKNVTEYLKGCKYLPKLNNERPDQRNQTYKDRFTSLHNVVLVLFQNDTVIVPKESSWFGFYPDGDLTHVLSVDKTKLYIEDWIGLKALVVAGKVQFVNVPGNHLIIADEDLVKYVVPLLQDQQSAAPRLNRKTKEPLHL >fgenesh1_pm.C_scaffold_8000056 pep chromosome:v.1.0:8:394942:395991:-1 gene:fgenesh1_pm.C_scaffold_8000056 transcript:fgenesh1_pm.C_scaffold_8000056 gene_biotype:protein_coding transcript_biotype:protein_coding description:YDG/SRA domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MPX2] MSDTKKRSLVFAIRDFPPGCGTHIDVSPRFNLPADKSFKNQRTGDVSGEKNLSFAAPKPEGTCLKRESADHEDHIDHIVAAPEPNAKRELADQDHVVAATTVPYATSSHRQELEIRNSDCDPTPREKVLEVLSLFKQVYSQLDRDKKARRCGDFLDATSRIDLKTLTVLENMGKQVNTEKRIGSVPGVEVGDVFQYKTELRLVGLHSKTMCGIDYLKIGDDRLATSIVASEGYGYNDTFKSGVMIYTGEGGNVISKDKKTEDQKLVKGNLALATSMRQKKQVRVIRGEERWDHKGKRYVYDGLYMVEEYWPEREVRGKTVYKFKLCRIPGQPPLT >fgenesh1_pm.C_scaffold_8000075 pep chromosome:v.1.0:8:617768:618284:1 gene:fgenesh1_pm.C_scaffold_8000075 transcript:fgenesh1_pm.C_scaffold_8000075 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWPIEKIQDIYAEPYTYKFCITSIKENPESQKVRNVDELTVVCTNSAISNLTKVKGIVENILNEKKYKNKLTCEMKFNDDNHQISPVKKENIVLFDMINIPYYFCFNAHING >fgenesh1_pm.C_scaffold_8000081 pep chromosome:v.1.0:8:629268:632738:1 gene:fgenesh1_pm.C_scaffold_8000081 transcript:fgenesh1_pm.C_scaffold_8000081 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPDFLRCKEERNNESFFKVLQSVVDVSSETLRALPHDFVRSFTDKELFGKMKIRTQWGRSWEVGISKNPRFYYMEKSGWDRFVRDNSLGNNEFITFTHKGNMHFTLNIFKLDGKEMMQPPQSRALLASSSRFKTEQGEDDKKEEVVSELSDRGRTTAAESNGRKLNLRKKAAEESQESKRTEKLGAFSLVIFSTATEFTSLVKQGYLKFLRLRTSVAKDHMPDEKTMFKIHHPNGKKCWDVVYLGRFGVFSGGWSRLVKEYPLVVGDTCKFTFIKPEELLLVVSKP >fgenesh1_pm.C_scaffold_8000085 pep chromosome:v.1.0:8:659595:659961:-1 gene:fgenesh1_pm.C_scaffold_8000085 transcript:fgenesh1_pm.C_scaffold_8000085 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNKATFFLGLFLVSAFCIRLIESRCYTNDDCKDAQPCPVPLACLFGSCICPWKSRSKLPICQIICAHLDKRAGNSYDHTCGCNYK >fgenesh1_pm.C_scaffold_8000115 pep chromosome:v.1.0:8:944945:946039:-1 gene:fgenesh1_pm.C_scaffold_8000115 transcript:fgenesh1_pm.C_scaffold_8000115 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQQMKPVASFLLVLNFCMYAIVLGIGAWSMNKAINHGFLIGADYSLPAHFSPIHFPMGNAATGFFIMFALIAGVAGAASVISGVSHLQSWTSTSLPAAVSAATIAWSLTLLAMGFGCKEIELGMRNARLRTMEAFLIILSATQLLYIAAIYGVRK >fgenesh1_pm.C_scaffold_8000153 pep chromosome:v.1.0:8:1243646:1246236:1 gene:fgenesh1_pm.C_scaffold_8000153 transcript:fgenesh1_pm.C_scaffold_8000153 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKYAEVKLPLTTRVKNKTPAPVQITAEQILREARERQEAEIRTPKQKITDSTELSDYRLRRRKEFEDQIRRARWNIQVWVKYAQWEESQMDYARARSVWERALEGDYRNHTLWVKYAEFEMKNKFVNNARNVWDRSVTLLPRVDQLWYKYSYMEEKLGNIAGARQIFERWMNWSPDQKAWFCFIKFELKYNEIERARSIYERFVLCHPKVSAFIRYAKFEMKRGGQVKLAREVYNRAADELGNDEEAEILFVAFAEFEERCKEVERARFIYKFALDHIPKGRAEDLYKKFLAFEKQYGDKEGIEDAIVGKKRFQYEDEVSKNPLNYDSWFDYVRLEESVGNKDRIREIYERAIANVPPAQEKRFWQRYIYLWINYALYEEIETKDVERTRDVYRECLKLIPHTKFSFAKIWLLAAEYEIRQLNLTGTRKILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYERFLEWSPENCYAWRNYAEFEISLAETERARAIFELAISQPALDMPELLWKTYIDFEISQGELERTRALYERLLDRTKHCKVWVSFAKFEASAAEHKEDEAEEEEDAIERKKDGIRRARAIFDRANTYYKDTTPELKEERATLLEDWLNMETGFGELGDVSVVQSKLPKKLKKRKLTSREDGSTEYEEYIDYLFPEESQTTNLKILEAAYKWKKLKAGECV >fgenesh1_pm.C_scaffold_8000174 pep chromosome:v.1.0:8:1419548:1420802:1 gene:fgenesh1_pm.C_scaffold_8000174 transcript:fgenesh1_pm.C_scaffold_8000174 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTKNIEQAQESCYHEWMSLQSQRINEVKQLLVQRRSHRDEEHDKKLRELTGKILGDFKDYAGKRADLAHRCSSNYYAPTWNTRLENALIWMGGCRPSSFFRLIYALCGSQTEIRVTQFLRNIDGYESSGRVLDVFGGGGGASLSNLSAEQLAKINVLHVKIIDEEEKMTKKVSSLQEDAADIPIATVAYEMENIGEPNMVVDQAFDKQEEAMAGLLAQADNLRVDTLAKIVEILSPVQAADFLLAGKKLHLSMHEWGTTRDRRRRECMVDAESDTGGEEEN >fgenesh1_pm.C_scaffold_8000179 pep chromosome:v.1.0:8:1444063:1446810:1 gene:fgenesh1_pm.C_scaffold_8000179 transcript:fgenesh1_pm.C_scaffold_8000179 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFLIKFLFLGIWVYYSVLDSVFAMDSLLSPKGVNYEVAALMSVKNKMKDEKEVLSGWDINSVDPCTWNMVGCSSQGFVVSLEMASKGLSGIISTSIGELTHLHTLLLQNNQLTGPIPSELGQLSELETLDLSGNRFSGEIPASLGFLTHLNYLRLSRNLLSGQIPHLVAGLSGLYFLDLSFNNLSGPTPNILAKDYRIVGNAFLCGPASQELCSDAAPVRNATGLSEKDNSKHHSLVLSFAFGIVVAFIISLIFLFFWVLWHRSRLSRSHVQQDYEFEIGHLKRFSFREIQTATSNFSPKNILGQGGFGMVYKGYLPNGTVVAVKRLKDPNYTGEVQFQTEVEMIGLAVHRNLLRLFGFCMTPEERMLVYPYMPNGSVADRLRDNYGEKPSLDWNRRISIALGAARGLVYLHEQCNPKIIHRDVKAANILLDESFEAIVGDFGLAKLLDQRDSHVTTAVRGTIGHIAPEYLSTGQSSEKTDVFGFGVLILELITGHKVIDQGNGQVRKGMILSWVRTLKTEKRFAEMVDRDLKGEFDDLVLEEVVELALLCTQPHPNLRPRMSQVLKVLEGLVEQCEGGYEARAPSVSRNYSNGHEEHSFIVEAIELSGPR >fgenesh1_pm.C_scaffold_8000184 pep chromosome:v.1.0:8:1482042:1485353:1 gene:fgenesh1_pm.C_scaffold_8000184 transcript:fgenesh1_pm.C_scaffold_8000184 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7MTC3] MSRVSSSRILKDSNGDIGEHLRNHIHLTNCIHLKNHMHNNKQSPVLNDRSLLMRDLVVLQRSTSLRDPSASPKLREDHKDSREGRRRSGLRLSGSSPIVSFGTSKVTPSDEKFDRSSRKSYGVEDVNEFYSVASVKSVSKDRVNKVNEGIFKTLSDQLNEVGGDSDDLVSCNVGPRCNGRKRRKFRGTRRAGRAVIVRDNAGNESEMAIASNSVPRGEKYEGEEGGGRDREQNMSGAVPRNGCGIPFNWSRIHHRGKTFLDIAGRSLSCGMSDSKGRKGELGRPMLSDSSSSDREASPLLVDSAENEEWVHDYSGELGIFADNLLKNGKDSGFGKKSSRKNARWHQSFTQKYAPRTFRDLLGQNLVVQALSNAVAKRRVGLLYVFHGPNGTGKTSCARIFARALNCHSTEQSKPCGVCGSCVSYDGGKNRYIREMGPVKSFDFENLLDKMNIRQQQRQQLVFIFDDCDTMSTDCWNALSKIVDRAPRRVVFILVCSSLDVLPHIIVSRCQKFFFPKLKDADIINSLQLIASKEEIDIEKDALKLVASRSDGSLRDAEMTLEQLSLLGTRVSVPLVQEMVGLISDEKLVDLLDLALSADTVNTVKNLRIIMETGLEPLALMSQLATVITDILAGSYDFTKDQCKRKFFRRQPLSKEDMEKLKQALKTLSESEKQLRVSSDKLTWLTAALLQLAPDKQYLLPHSSSADASFNHTPIMDSDPSNDAVAGTRRDGSMQGFSCKNRPSVEDIWLAVIEKVRVNGLKEFLYKEGKIFSISIGSAPTVQLLFNSPIAKSTAENFKDNILKAFEAVLGSPVTLEFRIESKKQPKNVDLSSLQGLSNGERFRESGRSEIVEVAESESPMTRVRRKHLEASQNQNQNQSIVRGKVSLAQVIKQAEGNSWSKHKAVEIANKLEQENLKLEPSSRSLICWKPSRTTRRKLSRLKVRTRRIRLHSLLKFLSCGKCLSTRSSPS >fgenesh1_pm.C_scaffold_8000192 pep chromosome:v.1.0:8:1558870:1560687:-1 gene:fgenesh1_pm.C_scaffold_8000192 transcript:fgenesh1_pm.C_scaffold_8000192 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPDDIIVDIIARVPRTCYPTISLISRRFRSIIASPELYTRRSLLRCTEHCLYALIYNPKNGHYHWYILRRRKGFILIPSLPIMHTHGNSVALGSKIYVYSNRVTSSVLTIDCTSNTVQPSFNIPKAMGETVAGIIDGKIYVIGELAPLRLRVMVLNTEKQMWETAEMTKPPGLKTGHLWSGCVVMEGKIYMRDLDNSFVYVPKEKKWEMEEMLNSHKWKYACVLDDVLYYYDCLENRLRAYDTKHKFWVVVKGGERLLSETSWLRLPTISRWAYTMSCGEKLVVFFPKLDIYSTKIWCAEIALERRQGGEIWGKFEWCGAVLDSDC >fgenesh1_pm.C_scaffold_8000214 pep chromosome:v.1.0:8:2065265:2066586:1 gene:fgenesh1_pm.C_scaffold_8000214 transcript:fgenesh1_pm.C_scaffold_8000214 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGLISGLVSSSVYLMTRPFFFCISACVFCLRTALVTTFVSTDMVTSAIWFNLSMLWRAVWGSIWGSVLLFTFPIRFFVSIPRERLLEQSIYELRYEVESLERNRKEIEENLRAAIKEYRIMERDLDELEDEHDEAISKIEKLEAELQELKEENLQLKEVNGKDYWSKKDKVITSEEPSEIRSIPKPKNIPCESKGNAEFTSVKSPLYPFAKSTIPKDEEVTPRVLDLERKIAVSRSVFSAMLALVVGIVMYEAKEQELCTPLLGALFTVVGISLRSVVQFFSTVKNKPALDAVALMSLNWFIVGTLTYPTLPRVARIVVPRVVSTVGSVFALLHGGSVPASLELVNYAS >fgenesh1_pm.C_scaffold_8000220 pep chromosome:v.1.0:8:2287691:2288828:-1 gene:fgenesh1_pm.C_scaffold_8000220 transcript:fgenesh1_pm.C_scaffold_8000220 gene_biotype:protein_coding transcript_biotype:protein_coding MWQTNGEDGGKNVASFNSLPEDCISNIISFTSPLDACVVASVSRLFEAAAKSDTVWEKFLPPDCESLVPGSRDFSLKKELYFALCGDPVLIDNGKMSFWLDKANGKNCIMLLADNLSIIWGENIDHWHWIRIPEARFGKVAELVSVCWFKICGRVNTCVLSPETRYSAYIVFKKEDNCSGFKDVAIEAAVGVVGHEPSRRSICFDERFFRGEKGISNRVKPEKRRDGWMEIELGEFFTEGEMYSDEIEISALETKLLNWKRGLIILGIEIRPAKI >fgenesh1_pm.C_scaffold_8000229 pep chromosome:v.1.0:8:2517420:2518968:1 gene:fgenesh1_pm.C_scaffold_8000229 transcript:fgenesh1_pm.C_scaffold_8000229 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTQHMNQIFLVLLLISFAISPAISTVPKECEADSTESCIDKTKALPLKIVAIVAILVTSMLGVTAPLFSRYVTFLHPDGKIFMIIKCFASGIILGTGFMHVLPDSFEMLSSPCLEDNPWHKFPFTGFVAMLSGLVTLAIDSIATSLYTKKAFADDSEEKTTPMIIQIDHLPLTTKERSSTCSKQLLRYRVIAMVLELGIIVHSVVIGLSLGATNDTCTIKGLIAALCFHQMFEGMGLGGCILQAEYTNVKKFVMAFFFAVTTPFGIALGIVLSSVYKDNSPTALITVGLLNACSAGLLIYMALVDLLAAEFMGSMLQGSVKLQLNCFGAALLGCGA >fgenesh1_pm.C_scaffold_8000230 pep chromosome:v.1.0:8:2521330:2522673:1 gene:fgenesh1_pm.C_scaffold_8000230 transcript:fgenesh1_pm.C_scaffold_8000230 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTTLMKLFFFALILVSFIVSPATSTAPEECEAESTNPCLNKTKALPLKIISIVAILLTSMIGVSAPLFSRYVPILHPDGNIFTIVKAFASGIILGTSFMHVLPDSFEMLSSECLEDDPWHKFPFTGFVAMLSGLVTLAIDSMATSFYSSKNGTNPMITHGHSHGHGVTLNTKDDGSSHLLRYRVIAMVLELGIIVHSVVIGLSLGATNDTCTIKGLIAALCFHQMFEGMGLGGCILQAEYTTVKKFMMAFFFAVTTPFGIVLGIALSSIYRDNSPTALITVGLLNACSAGLLIYMALVDLLAAEFMGPKLQGNIKLQIKCFFAALLGCGGMSILAKWA >fgenesh1_pm.C_scaffold_8000234 pep chromosome:v.1.0:8:2609978:2611206:-1 gene:fgenesh1_pm.C_scaffold_8000234 transcript:fgenesh1_pm.C_scaffold_8000234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transporter protein [Source:UniProtKB/TrEMBL;Acc:D7MKW8] MSLLLSCASARTSNLFCSSQKVNGRERELQYPILPNHNKDLNFLIKKLAQPLTAVLLAVSPIICFPPESLGQTLDIQRGATLFNRACIGCHDTGGNIIQPGATLFTKDLERNGVDTEEEIYRVTYFGKGRMPGFGEKCTPRGQCTFGPRLQDEEIKLLAEFVKFQADKGWPNVSTD >fgenesh1_pm.C_scaffold_8000236 pep chromosome:v.1.0:8:2702273:2703659:-1 gene:fgenesh1_pm.C_scaffold_8000236 transcript:fgenesh1_pm.C_scaffold_8000236 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7MKY1] MPMFQPLKRDGFIGFEGGGDGQVLDLDTAVKDGVLGGVNGGVVDEKLDLKKMIKELDLQDIPSVFICPISLEPMQDPVTLCTGQTYERLNIHKWFNLGHLTCPTTMQELWDDTVTPNKTLHHLIYTWFSQKTSYEILGTLKKAKGQARVHALSELKQIVVAHLMARKTVVEEGGVSVISSLLGPFTSHAVGSEVVAILVSLDLDSDSKSGLMQPAKVSLIVDMLNDGSNETKINCARLIRGLVEEKGFRAELVSSHSLLVGLMRLLVDILPSFDPECLELALFVLDALCSDMEGRVAVKDSANTIPYTVRVLMRVSENCTNYALSILWSVCKLAPEECSPLAVEVGLAAKLLLVIQSGCDAALKQRSAELLKLCSLHYSDTMFISKCKLTRTIQ >fgenesh1_pm.C_scaffold_8000243 pep chromosome:v.1.0:8:2793994:2794568:1 gene:fgenesh1_pm.C_scaffold_8000243 transcript:fgenesh1_pm.C_scaffold_8000243 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLYFKTAFLLSLLLSFSYLEEGNTKTIDVNHGGICDTYLECGKMLCSDYRRACCVNGKCICRKPGQNVPNCPN >fgenesh1_pm.C_scaffold_8000247 pep chromosome:v.1.0:8:2900324:2904200:-1 gene:fgenesh1_pm.C_scaffold_8000247 transcript:fgenesh1_pm.C_scaffold_8000247 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSSEILPKSWQVFINFRGAELRNSFISHLEGALALAGIKYYIDTKEVPSEDLSVLFERIEQSEIALSIFSSKYAESNWCLDELVKIMEQVKKEKLRIIPVFFNVKPEEVREQKGEFGLKLYGEGKRKRPNIPNWENALQSVPSKIGLNLSNYRNERELVEKIVDSIKRVLALIPLGSRVTEYLNGISKASGAKNVDRFSLSSYEFQCSSLDISVTNDDNGITPFQCPATIDELCKVGVEHLNEISTVSSTGNSSSRNIEQPPPHYGIEPRLKELEEKIQFDCIETKIVGIVGMPGIGKTTLAETLYRKWKHRFKRSKFIPNVSKESQRGLQKRLLVELLMDIHYKTGYSENEHEFCKDALLQKKVFVVIDDVSSKEQIKTLFGQWDWIKKGSKIVITSSDESLLKELVDDTYVVPRLNSTGSLLWFTNHAFGLDHAEGNFVKLSRHFLNYAKGNPLVLRAFGVELRGKDKAYWEQRIKTLKLISNKMIQDVLRRRYDELTERQKDIFLDIACFFESENASYVRCLVNSSIPDEIRDLQDKFLVNISCGRFEMHDILCTFAKELASQALTEVTRVHLRLWKYQDIIWLLNNKLEMENVRGIFLDMSEVPEEMIFDAKIFRMCNIRYLKIYNSVYPKEGEGIFKFDRFREFQLPLNKVSYLHWIKYPLDKLPSDFNPENLVNLELPYSSIKQVWEGVKETPKLKWANLSYSSKLTNLLGLSNAKNLERLNLEGCTSLLKLPKEMENMESLVFLNMRGCKSLTFLHRMNLSSLTILILSDCSKLEEFEVISENLEALYLDGTAIKGLPPTVRDLKRLAILNMKGCTELESLPECLGKQKALEELILSNCSKLESVPKAVKNMKKLRILLLDGTRIKDIPKINSLERLSLSRNIAMIHLQDSLSGFSNLKCVVMKNCENLRYLPSLPRSLEYLNVYGCERLETVENPLVFRGFFNVIQLEKIRSTFLFTNCNNLFQDAKESISSYAKWKCHRLALDCYQLGIVSGAFFNTCYPGFIVPSWFHYQAVGSVFEPRLKSHWCNNMLYGIALCAVVSFHENQDPIIDSFSVKCTLQFENEDGSRIRFDCDIGSLTKPGRIGADHVFIGYVPCSRLKDYYSIPIYHPTYVKVEFYLPDGCKSEVVDCGFRLMYAKPGKY >fgenesh1_pm.C_scaffold_8000274 pep chromosome:v.1.0:8:3085804:3088235:-1 gene:fgenesh1_pm.C_scaffold_8000274 transcript:fgenesh1_pm.C_scaffold_8000274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase/cyclase family protein [Source:UniProtKB/TrEMBL;Acc:D7MLJ7] MDEVKNLDRKSFLDFTKLPPSQWGDQFLKFSMADSDFDALEREIKVLKPKVRENIFILSSRDKDAMKRTILSIHFLNSLGLSYHFEKEIEESLKHAFDEVEDLIADENDLYTISTIFRVFRTYGYDMSSDVFNKFKGDDGKFKEILIEDVRGMLSFYEAVHFGTTKDHILDETSCFTLNHLEPLATGRRVCPPHISKLIQNAIHIPQHRNSQALVAREYISFYEHEEDRDEILFKLAKLNFKFLQLHYFQELKTITMWWRELDHTSNFPPNFRERTVETWFAALMMYFEPQFSLGRIMSAKLYLVITFLDDACDIHGSIPDVESLVDCLERWDPDYMENLHGHMKTAFKFVMYLFEEYEEILRSQGRSFVLEKMIEEFKIIARKNLELVKWARGGHVPSFDEYIESGGAEIGTYATIACSIMGLGEIGKKEAFEWLISRPKLVRTLGAKTRLMDDIADFKEDMEKGYTANAVNYYMNEHGVTKEEANRELEKMNGDMNKIVNEECLKISTMPRRILMQSVNYGRSLDVLYTADDVYNHREGKLQEYISLLLVDPILL >fgenesh1_pm.C_scaffold_8000278 pep chromosome:v.1.0:8:3116975:3118662:-1 gene:fgenesh1_pm.C_scaffold_8000278 transcript:fgenesh1_pm.C_scaffold_8000278 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSLHLLSCNQSFFLSSQFSKPLFINLSQKKPRFSISFCLKQSESGSKEHDDEEEEEFTVVTAVRSRYNEIVIVDTFASRYLLLDSTRNVHSVINKGGQNWTGAYWVKCGFLSYQHFCLQDESASLPPIIPNGPIAIYGLGGGTAARLILELWPSTKLEGWEIDEILIEKARNYLGLSELEIPTSKGGRLCIHVDDALSPSQDDSKRYAGIIVDLFADGKVLDQLQHIPMWLELASRLMPNGRLMVNCAGIETEVQNGKPQLVLDDLAWMLNSTVKIFSEAFPRQVSWKRTPDSQGLNFVALTGGLPDRSDWSNKVPVRLSESVKLWKLCETPLNISALNASKHQRVLPKDLIKKLSCE >fgenesh1_pm.C_scaffold_8000290 pep chromosome:v.1.0:8:3265414:3266262:-1 gene:fgenesh1_pm.C_scaffold_8000290 transcript:fgenesh1_pm.C_scaffold_8000290 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSPRSGGYRIFLNPLSLYPLFTELIHVQIINFRDYFHTLNALKLRSGYFLYVLSRLQALCGILNLHGIAHVREDPPVLLRLYTHILRTLVLASSGILKDHGLMLLNPPCGIFDGGVGSFSNDHSSSSSSGVFKLYSKLNSHSTTILASNVNHQSTITFARTFVKALLCVVLLVPARFKSLAPSPTPSRLLTVAICSSIDSFLEELSINFDLTCTKKLFSFWLKALKDPLSINLIYHFIFLMVTLGYAPYYCALNFGISDPFYLCIWLLL >fgenesh1_pm.C_scaffold_8000296 pep chromosome:v.1.0:8:3357047:3357387:1 gene:fgenesh1_pm.C_scaffold_8000296 transcript:fgenesh1_pm.C_scaffold_8000296 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFASIITLIFAALVLFAAFGESTNNGGSTKVVREANNACKNQYINLEGAKHGSCNYVFPAHKCICYVPC >fgenesh1_pm.C_scaffold_8000297 pep chromosome:v.1.0:8:3359668:3362620:1 gene:fgenesh1_pm.C_scaffold_8000297 transcript:fgenesh1_pm.C_scaffold_8000297 gene_biotype:protein_coding transcript_biotype:protein_coding METILLISLCITTFLALMFIKSRSKRTTTKLNPPPSPWRLPVIGNLHQLSLHPHRSLHSLSLRYGPLMLLHFGRVPTLVVLSADMAHDVMKTNDLKFADRPKRKAVSMFLNGGRDVAFSPFSPGICVMHLLSKKMVRSFEKVREEEINVMMEKVEKAASSSSPLNLRLHWEENIVVTARRLVIHIMLIKEIVRKTMELSGSFPLGEYIPILAWIDKICGVDDKVEEVSNKIDSFLEKAVQEHVEDHADKERSDFVDILVSIQRDKTMGFEFDVFLGGTATTFTLLEWTMTELMRHPECMKKLKDDIYSASTTTEKLYVSEEEVEKMKYLKAVIKEVLRLHPPVPIILRGLCEDVKLKGYDIAQGTLFEGQDFMYIPFGSGRRRCPGIRFGLTMAEVTLANLVKQFDWRTKPDLAEATGVDVCRKFPLVVFPSYALFSM >fgenesh1_pm.C_scaffold_8000301 pep chromosome:v.1.0:8:3408001:3410199:1 gene:fgenesh1_pm.C_scaffold_8000301 transcript:fgenesh1_pm.C_scaffold_8000301 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MMH6] MEVSKPRPIISCISFLALYISFVTITPASSTSLQDDFIKCLHKNTNVRFPLDKTFFTPERNSSIFTEVFESTAQNQRLLTKAMPKPGFIFRPIHESHVQASVICSKKLGIHFRVRSGGHDYEGVSYVSRIEKPFVLIDLSKLRQINIDIEDNSAWVQAGATIGELYYRIAEKSKIHGFPAGVYPSLGIGGHITGGAYGSLMRKYGLAADNVLDAKIVDANGKLLDRAAMGEDLFWAIRGGSGGSFGIILSWKIKLVPVPETITVFTVTKTLKQDVSFKILFKWQQVADKLVEELFLRVFFTVVGNKANKTVSMAYIGQFLGEKGTLMEVMEKDFPELGLTQKDCIEMNWIKSIIYSSGFPTSSPPPIEILLQAKSPLGKVYFKAKSDFAKELIPVLGLKGMFKKLLEEDAALVIWTPYGGKMNKISESEIPFPHRNGTNFMIQYYRSWSDSEESNKRIKWIRELYSYMTPYVSSNPRQAYVNYRDLDLGQNKNNSKSNFLEAKRWGAKYFKDNFKRLVRIKTKVDPDNFFRHEQSIPTLPV >fgenesh1_pm.C_scaffold_8000313 pep chromosome:v.1.0:8:3553228:3554071:1 gene:fgenesh1_pm.C_scaffold_8000313 transcript:fgenesh1_pm.C_scaffold_8000313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancy/auxin associated family protein [Source:UniProtKB/TrEMBL;Acc:D7MMJ8] MGVLENLWDDVVAGPRPEAGGRGHLRRISTGLTSLNNTTEGMSVAGSVSLPASPATPVTPGSGRKVDVWRSVFHPASNVTTREIGANVFDKPSHPNSPTVYDWMYSNESRSKHR >fgenesh1_pm.C_scaffold_8000332 pep chromosome:v.1.0:8:3689287:3689925:1 gene:fgenesh1_pm.C_scaffold_8000332 transcript:fgenesh1_pm.C_scaffold_8000332 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQRKATSWRKIIRLARTDVQEWQDQVDYIKRFLSLQTHNSNPRQLGSNWEKPKRDWIKCNYDVLRDARETFLGACQAKGRRTCNALESEFQALIISMQNCWSKGFKRVCFEGDNKEVADLLNGNTLNFGMFNWIREARLWKSRFTDCQFLWFHRLSNAPTDLLAKHQIPFNSSFHFHSLVPHVITNALHNDFSNHII >fgenesh1_pm.C_scaffold_8000340 pep chromosome:v.1.0:8:3751376:3752032:1 gene:fgenesh1_pm.C_scaffold_8000340 transcript:fgenesh1_pm.C_scaffold_8000340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase promoting complex subunit 11 [Source:UniProtKB/TrEMBL;Acc:D7MN37] MKVKILRILLWHAVASWTWDAQDETCGICRMAFDGCCPDCKLPGDDCPLIWGACNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKE >fgenesh1_pm.C_scaffold_8000361 pep chromosome:v.1.0:8:3915035:3918304:1 gene:fgenesh1_pm.C_scaffold_8000361 transcript:fgenesh1_pm.C_scaffold_8000361 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKSKTFGEQWWRSNPAFKPPETPLDSMEFLSRTWSTSATEVSRAVVASPPTSQPPQMRFSEIQNGSCDVTLVPEDEENGVVSGNTFSFASSETSLMVMERIMAQSPEISSPRTSGRLSHSSFTDSPPISPSDIDDFKQFYRVSPSFNGHIRGPSAIPGTVGGSKTVGRWLKDRREKKREETRAQNAQLHAAVSVACVAAAVAAIAAATASQSSSGTDEQVAKNDSAVASAATLVAAQCVEAAEIMGADREHLASVVSSAVNVRSAGDIMTLTAAAATALRGAATLKARALKEVWNIAAVIPVDKGIPKGGGGGGYRGGELAPEDNFLGVCSRELLAKGCELLKRTRKGDLHWKVVSIYINRTKQVILKTKSKHVAGTITKKKKNVVVELVKGLPAWPGRDLLEGGENLRYFGLKTPEKRVIEFECKSQREYDLWTQGVSMLLSIASDRKQKC >fgenesh1_pm.C_scaffold_8000380 pep chromosome:v.1.0:8:4072497:4073903:-1 gene:fgenesh1_pm.C_scaffold_8000380 transcript:fgenesh1_pm.C_scaffold_8000380 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLSYGELLLILGATAAVVGPKDLPIIARAGGRLFGRAIGYINMARGHLDGVMKQPQMQEISKEVQDLRAQVDAISHGARFSLFDSSPLTRRVDNQTPEPSPSTTNGNVTSVNVEERKKPVDHYTKAQEFSGSSSASVNLHAQATSFARLSETVSGKTNTLSSDSPVLPVSAEMAKLLPQRKESAKGSDLMLEAVLEAEVAHKAKSFFAQAEKETPALKVLQGSFSVTGKEKVEGKFWGSSLRSQDTGAT >fgenesh1_pm.C_scaffold_8000386 pep chromosome:v.1.0:8:4149289:4152408:-1 gene:fgenesh1_pm.C_scaffold_8000386 transcript:fgenesh1_pm.C_scaffold_8000386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle (CCHC-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7MNN1] MGDGDEQSKELEGLSSSSRRSSGPSSANAEARMKFASVDAITELVWSPGNGLSLRCADISFTGKAKLVSPNFFDIGLTNMAIHSNSTSIEHQEDVELRSRDQVNQERIGGSVEDMKPEMVEDKVETDDDIKNEVAGSSKRSSDSPKVMEGETRDLLVNEQLRMESAGSQEGPTNRGDKDEGDKANNRIDRLESMDENNLATLAVVACEGQGEYSLENEAGPSGSYRRPKQDSSFMNWISNMTKGIWKGNEEDDSPFAALTTTSDANGHGQVNAIVDQQQLSPCCVKENSGCRNTGFQSLFQSIYCPKKRSQDAVEMDFPNDANATSLQELPWIPEQCGIAKGDDLSSSDNDIGPVAEPNISSGKVGFNQRSETLSSENKREDKEPNISLMSLSKSKPNEEPKICGEAGGKVSPCLNNRNSGLQSLWISRFSSKSPFPQKKTSETAKEVNASASDTAKTHDSQKMLVNNNVVIPSISSVDGLDKLNTVLPIVSSMRIESSEAMASLFARRLEAMKHIIPAGSLAENAEEEQPNLICFYCGKKGHCLQDCLEVTDTELRDLVQNISSRNGREEASSLCIRCFQLSHWAATCPNGPLYSSGAEDRAMKHTLASTSGMKLPVSGFTDVPKAVFEAVQVLRLSRTDVLKWINTKKSVSGLEGFFLRLRLGKWEEGLGGTGYYVARIDEGQSSRRPSEKSSISVKVKGVTCLVESQFISNHDFLEEELKAWWRSAGKSAERSGCEGIPSAEELSRKIQQRKMLNR >fgenesh1_pm.C_scaffold_8000388 pep chromosome:v.1.0:8:4179168:4181655:1 gene:fgenesh1_pm.C_scaffold_8000388 transcript:fgenesh1_pm.C_scaffold_8000388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:D7MNN5] MKDDSVFKKNKPPKYGDLVTILSLDGGGVRGIIGGVILANLEKHLQEIDKDETVRLADYFDVIAGTSTGGLMTAMLTAPNHSGRPLYAAKDIVPFYLEESPKIFYGSKWWKPSILWRLFRPKYDGEYLHTRLGEILGETRLDQTLTNVVIPTFDIKKLQPTIFSSYHASVDPSMNVKLSDICIGTSAAPYYLPPYKFPKNDKMRTFNLIDGGVTANDPTLVGMTAMSRKSIINHPDMDGFKPLEYDRYLVISIGTGSAKREEYYSAIEAAKWGFENWAFNWKHKTTPILDIILESSRDMVQYHTSVLFQALKSEDNYLRIDADTLKKDEVFMDDAKTLNLENLKNIGEKLLDTNVVRMNLDTYTYEPIDKTVNNDQELKRFAKILSDEKKLRNERFKTMTDDSSN >fgenesh1_pm.C_scaffold_8000389 pep chromosome:v.1.0:8:4196872:4197493:1 gene:fgenesh1_pm.C_scaffold_8000389 transcript:fgenesh1_pm.C_scaffold_8000389 gene_biotype:protein_coding transcript_biotype:protein_coding MASICEDPGKSSWPELLGAKGEDAKEVIERENPKMKAVIILDGTAVPEIFICSRVYVWVNDCGIVVQIPIIG >fgenesh1_pm.C_scaffold_8000398 pep chromosome:v.1.0:8:4349656:4350218:1 gene:fgenesh1_pm.C_scaffold_8000398 transcript:fgenesh1_pm.C_scaffold_8000398 gene_biotype:protein_coding transcript_biotype:protein_coding MNYISFKVLILASLLVVAFRQNLAVGDFCVTNEECRQNCQCDAAYCDISRNICVYRIHVMDTVGVSTLNQPCIPEHKRCGSRAPPPRRLKL >fgenesh1_pm.C_scaffold_8000402 pep chromosome:v.1.0:8:4437283:4438968:-1 gene:fgenesh1_pm.C_scaffold_8000402 transcript:fgenesh1_pm.C_scaffold_8000402 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQLGVLKALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCVSLVTKLLGRLYYFNPASEKPGSLPPHVAAAVNGVALCGTLAGQLFFGWLGDKLGRKKVYGITLIMMIVCSVASGLSLGNTAKGVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGILAGGFVALAVSSIFDKKFPSPTYAQDRILSTPPQADYIWRIVVMFGALPAALTYYWRMKMPETARYTALVAKNIKKATQDMSKVLQVDLEVEERAEDPKLNYGLFSKEFLRRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKAATMNAIHEVFKIAKAQTLIALCSTVPGYWFTVAFIDIIGRFAIQLMGFFFMTVFMFAIAFPYNHWILPDNRIGFVIMYSLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAATGKAGAIVGAFGFLYAAQPQDKTKTDAGYPPGIGVKNSLIMLGVINFVGMLFTFLVPEPKGKSLEELSGEAEVDK >fgenesh1_pm.C_scaffold_8000410 pep chromosome:v.1.0:8:4556184:4556544:1 gene:fgenesh1_pm.C_scaffold_8000410 transcript:fgenesh1_pm.C_scaffold_8000410 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTFLFSTLLIFVSSCASILIKESSGEETAYYFNPAASPFDPYSLNQKLKQYWIGYCFDCARACMRKGKYIKRCNLERRLCRCSISKIH >fgenesh1_pm.C_scaffold_8000416 pep chromosome:v.1.0:8:4617374:4619060:1 gene:fgenesh1_pm.C_scaffold_8000416 transcript:fgenesh1_pm.C_scaffold_8000416 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALKNPVIKLKLLIDEEKNKVVFAETGKDFVDILFSFMTLPMGKIVRLMEMHQKSQSISIGCFNNIYGSVLSMGMKHFRTEACKQMLLYPGSLNQEKCRNLKIRIDDSERTKCFICPMFERSGQCCKWYSNFNTSRCSCGNLMDEVIQFQGEGVGATVGNGAEGGVFVRSDHTSFVITDDLKVEVSSVELTLNVLKDLGYTDCNKLVEMIYNFNFEEVATLLECLFTSDTPLTDTFLKKKSSYGMKRIHKPLSPALREEREESKADQTITLNAYVRKKEGNILFVECGEDFVDLLFTFLAMPLESVWGIVGNGTILGCIGNLCRSFKDLSIVSSREAKCVLPHYYKCHKQLLDVVTPHEPPTYYSYVSFSVDHFREYNLSENSDKPLVYEWDKLVPVISIDPKSEGDGNTSDESTTSSGGFMKRGTKFMVTDDLIITPSNSTSTIGLLKEKQVRLDDVEIQVITIRKEEAIRLLEASLVTFSALSTSLLAMEIASTSVPQSRLFKKPKIET >fgenesh1_pm.C_scaffold_8000419 pep chromosome:v.1.0:8:4635181:4636028:1 gene:fgenesh1_pm.C_scaffold_8000419 transcript:fgenesh1_pm.C_scaffold_8000419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPA8] MRIVGLTGGISSGKSTVSNLFKASGIPVVDADVVARDVLKKGSSGWKRVVAAFGEEILLPSREVDRPKIGQIVFSSDSKRQLLIPKLLLCLSRYRLMAPYISSGIFWEILKQWVSGAKVIVVDIPLLFEVKMDKWPNLLWLLMERDGLSEEDARNRVMAQMLLDSKRSKADVVIDNNGSLDNLHQQFDNVLFEIRKPLTWIEFWRSRQGAFSVLGSVILGLSVCKQLKIGS >fgenesh1_pm.C_scaffold_8000421 pep chromosome:v.1.0:8:4677750:4693910:1 gene:fgenesh1_pm.C_scaffold_8000421 transcript:fgenesh1_pm.C_scaffold_8000421 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIDLYRRNPEIIRESQRRKFASVQDVDEIIILDQLWRQRKYDYDCVQRVFNKINNSVAKLKISGADATEQIKQAEIIKQEATKKGVEVGEAFAALQDKLLTVGNLIHDSVRICDDEAFNEEINVWGEKPLLDIVDTKRGAKISGARGFLLKGYGVALNQALIFQVKSCIFIINYSLTFLTKKGFTCIQPNFLMRKEVMEKCAQLSQFDEELYKVIGEGDHDEKYLIATSEQPLCAYHQNEWIQPSELPIRYAGYSTCFRKEAGSHGRDTLGIFHVHQFEKVEQFCITSPNDSWEMLDEMLNNSEEFYQSLKIPYRVVSDVSGALNNAAAKKYDLEGWFPSSETYRELVSCSNCTDYQSRRLRIRYGHKKSNDQQTKNKYVHMLNSTLTATQRTICCILENYQREDGVDIPEVLQPFMGGVTFLPFKMCKTQGKKSTA >fgenesh1_pm.C_scaffold_8000424 pep chromosome:v.1.0:8:4704970:4705149:-1 gene:fgenesh1_pm.C_scaffold_8000424 transcript:fgenesh1_pm.C_scaffold_8000424 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVAILFIVFGAFLLFLFILWLIHFLYHKAKKDGKESIACLGSGYGGDFGQNMIICTK >fgenesh1_pm.C_scaffold_8000449 pep chromosome:v.1.0:8:5415471:5417969:1 gene:fgenesh1_pm.C_scaffold_8000449 transcript:fgenesh1_pm.C_scaffold_8000449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MQR8] MQGERASLGYLSEALNFEHGSSSSNGVIDHWENIHSLGDNDLQDYMIANSESNTSLTNSVYCEQQGLRRFSLGEASSSGTKDEASSHNEQRMETRCFDGRRNEIIDLDPVFAQPSGTNQPVQNVNLNAEYLEIHEDINTYRGRSGFLEANGPGTRVSQPGRSFEETSVGTGSSVEGRRASCKRKALEGSIGQSSSGGYRDFQRGESSSWNPGSTVYRPGNGLNISSSLDNGPRGLVSGTVPNFPVSAIAESSSRNICVRSNPSDHQETVNPATFSAGTVVRRPVPPSQLNLSRVLPADHHSLDLRPGQSFVFSRTPNATAVSIPPVSRTMLPPFQWTEGSLAGGTSNSTAPVERNLHLDETRSRSIPGNTLEIPMFAAPELGNFTRSQNSRNVTNGNLNIASSVSRTGSTTSVPPPPSSNLAWTSYQNSPHYQRRRTERSELVRRSLLSSLAGDATNQRSGDHPTLRSVAPPASSDGLVLQPGGDNSQMHNRAYSRAGPWFDRQGDSVVGIPHSLRALAAASRGRSRLMVSQMQNVLDVMRREGNNNLRLEDVMLLNQSVLFDGAAGIHDRYRDMRLDVDNMSYEELLALEERIGDVCTGVNEETISNRLKQRKYKSNTKSPQDTEPCCICQEEYNEGEDMGTLECGHEFHSQCIKEWLKQKNLCPICKTTGLNTAKKRRIG >fgenesh1_pm.C_scaffold_8000455 pep chromosome:v.1.0:8:5603683:5606046:-1 gene:fgenesh1_pm.C_scaffold_8000455 transcript:fgenesh1_pm.C_scaffold_8000455 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDDKDSDDLNLFLNAIGETGDEQGPTRISTSFNDIDFLTFDDDDLHNPFQDCDSSPINEPSRVYVAPRVMISHQDSFSEDSRIDVIEDARILPASPRLRVPASPRAFVYPRSVESPRFGSPRSVESPSFGSPIGVIDTASPFESVKEAVSKFGGITDWKAHKIQTIERRKMVDEELEKIQEAMPEYKREAELAEEAKHDALKELENTKGLIEELKLELEKAEKEEQQAKQDSELAQMRVEEMARGVANEASVAVKAQLEVAKARQVSATCELRSVREEIEMVSNEYEDMLREKELAKERADIAVMEAKEIERTMDGLSIELIATKELLESVHTAHLEAEEKRFSVAMARDQDVYNWEKELKMVENDIERLNQEVRAAGDVKTKLDTASALQHDLKAELAAFTENASGDLLTEKKSNNDIHAAVDSARRELEEVKANIEKAASEVKTLKIIAGSLQSELGRERQDLAETKQRGTVGSVQTKGKESREELEDTAKKLEQATKEAEDTKAFATAARDELRMAKELSEQAKRGMSTIESRLTEAKKEMEAARASEKLALAAIKALQETESSQRFEEINNSPRSIIISVEEYYELSKQAHESEEEANTRLSEIVSQIEVAKEEESRVLEKLEEVNREMSLRKEELKEANGKAENARDGKLGMEQELRKWRSENGKRRTDESREPKKSPTRSSTEGRNKENGLGQSKSFAFGDQGSSSNYTGGGTTTTTNNNLTPETKKKKKKLSLFPKVFMFLSRKKSHSRK >fgenesh1_pm.C_scaffold_8000466 pep chromosome:v.1.0:8:5841595:5843737:-1 gene:fgenesh1_pm.C_scaffold_8000466 transcript:fgenesh1_pm.C_scaffold_8000466 gene_biotype:protein_coding transcript_biotype:protein_coding MESNQPHFKLILGSSSIARRQILTDMGYQFTLMSADIDEKSIRKEKPEELVLALAVAKAEAIMQQIADGDNIEEDKSTLLITCDQVVVYEDAVREKPSSVEEAREYIRGYSKGHTATVSSVAVTNLKTGVRKGGVDRVEIYFNEIPEETIEKLIEEGMVLKVAGALLIEHPLILPCVKEVVGTTDSVMGLPKELTEKLLKEVLAST >fgenesh1_pm.C_scaffold_8000468 pep chromosome:v.1.0:8:5848838:5850003:-1 gene:fgenesh1_pm.C_scaffold_8000468 transcript:fgenesh1_pm.C_scaffold_8000468 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIEKQKPEEEESSTTWPDIEEELMIPEVLHSEGVKKLHMSIQSEWDYLQKSACQTAAGRALWKHVIHDPLAHLFAGETHLRNLHTKIQTDRLNNAREISGVILAVRTLWFDTRIQAALESFESDAAQVVLLGAGMDARSYRLNCLNKSDVFEVDFQDVLETKASLVQAAVNSRDDLRMTAKSLVRVAIDIRDNDWFEQLKKSGFLPEINTVWVLEGILYYLSHTEAMQVLKLIADKCGLTSTVLLADFMNKPSATLPNSVFHFYSDWPDQLLPSLGFSHVKLSQIGDPDANFGLLHDPRNLFNKLLRLPRTAQIHPDDGKPCCRLYLVEASGSPPQDNFIENHVSL >fgenesh1_pm.C_scaffold_8000473 pep chromosome:v.1.0:8:5992441:5994593:-1 gene:fgenesh1_pm.C_scaffold_8000473 transcript:fgenesh1_pm.C_scaffold_8000473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein [Source:UniProtKB/TrEMBL;Acc:D7MRH4] MVVSKQSKYEEFRLKRVEENKKRMEALNLQKLSQNLNSTSVKNSPMKKRSIPRTPEKQMVDVQRIQIRRRGLSKRRDLSNRVYISEEIREEAFSRAEKFQDELGSGHPSFVKSMLQSHVSGGFWLGLPVQFCRSHLGKQDGVITLIDEEGEEYETIYLARKNGLSGGWMGFAIAHNLAYGDTLVFELVRRTAFKIYITRVGSSGESSKDMS >fgenesh1_pm.C_scaffold_8000474 pep chromosome:v.1.0:8:6010575:6013090:1 gene:fgenesh1_pm.C_scaffold_8000474 transcript:fgenesh1_pm.C_scaffold_8000474 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKVQSPETTVVVDENQRVTSPPPPPQQQQWKGMRYHPSPMVMPHHMMYAAPPYPPYHHPHQFYHLPHHHHHHQSRGNKYQNASNTENKTIWVGDLLHWMDENYLNSSFSSAGEISSVKVIRNKHTGLTEGYGFVEFVSHDVAEKVLQELNGEAMLNAEQPFRLNWASFSTGEKRLENGPDLSIFVGDLAPEVTDTMLEQIFSEKYPSVKNAKVVIDGNTGRSKGYGFVRFGDDSERSKAMLEMNGVKCCGRAMRIGPATPRKPSGYHQQGLDSSVTDDDLRQPFAGYGEIVSVKIPVGKGCGFIQFVNRENAEEALEKLNGSMIGKQTVRLSWGRNPGNKQPRGEYADQWVEPYYGGQYYNGYGYMMPPPVDPRMYAAAPYGGGYPVYSGHQQQQVS >fgenesh1_pm.C_scaffold_8000475 pep chromosome:v.1.0:8:6018720:6021556:1 gene:fgenesh1_pm.C_scaffold_8000475 transcript:fgenesh1_pm.C_scaffold_8000475 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSSNSSSSYTRCVKTPSSAITEIGKNVKAGENGVGNRKALNREKIIALQQDVENLRKKLRLEENIRRAMERAFNRPLGALPRLPPFLPPSILELLAEVAVMEEEMVRLEEYIVHCRQELYQETAFTSSSIANLKCSADLPKNWQSKSKSAASNARESESPRLREPRSVSESRRGKENKLSATSIKTPIKKMTILAHTQLNKSLEAQKLEQESHRCRKTNAERSSHGGGDDPNKISEDLVKCLSNIFMRMSTIKRSAVTKSQENDKDTTFRDPYGICSSFSRRDIGPYKNFSDIEAASVNQNRTSSSSSFLIRQLKRLLGKLSSVNLQKLNQQEKLAFWINIYNSCMMNCFLEHGIPESPDMVTLTQKATINVGGHFLNASTIEHFILRLPYHSKYISPKGSKKNEMSVRSKFGLELSEPLVTFALSCGTWSSPAVRVYTASKVEEELEVAKREYLEASVGISVAKMGIPKLMDWYSHDFAKDIESLLDWICLQLPTELGKDALNCVQQGMSQPHSSTLVHIIPYDFTFRYLFSI >fgenesh1_pm.C_scaffold_8000478 pep chromosome:v.1.0:8:6057147:6060295:1 gene:fgenesh1_pm.C_scaffold_8000478 transcript:fgenesh1_pm.C_scaffold_8000478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7MS08] MFNTNNSVSISVSDDESNDLNRIRARTRRKRKKLSHRTTYELPRHVLRLFVRYWVVLVFLLAVMLLVFESTRIGIKSSVLKNPDSSGKESPSKKNEGNLNRLDPTTKVIGGVRQRCLKLLPPEELENLDILERKDSGTPVKRVVYLTDADVSMGEMKAVRGNGTRFNLFTGNQTFAQRENSFQVRETVSLHCGFFNENGGFRISDKDKRFMQTCEVVVSTCAFGGGDNLYEPLGMSKASSQKVCYVAFWDEVTLATQEAEGHKIDENDHIGKWRIVIVKDLPFTDQRLNGKIPKMLAHRLFPDAKYSIWVDSKSQFRRDPLGVLDALLWRTNSVLAISEHGARSSVYDEAKAVVNKHKATPEEVEVQINQYRHDKLPEDKRFNGKKALSEASVIVREHTPLTNLFMCLWFNEVVRFTSRDQLSFPYVLWRLKVLKNINMFPVCTRKDLVNSIGHVRKAKPLRIIFLIAVATAFLVVLLLALFSPVPDYDPPGSFFSFSLYVQQTHTPSSSYSSRRSSQHIARPHGQGGGKGALIFRRTLTEGPENNSRIVGKAEGFIIPHEDFASSDFNVIYLTLETPEYTGSVSIRSRDMAHKLKEVMEVVGGTGAFAFARGIAMFAEIDDHEEEAVTTYRVKLLLRFPHTSHVDPP >fgenesh1_pm.C_scaffold_8000483 pep chromosome:v.1.0:8:6274173:6275261:-1 gene:fgenesh1_pm.C_scaffold_8000483 transcript:fgenesh1_pm.C_scaffold_8000483 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSVSTKELDPRKKYATHVPLKHVTNCGVQRGKLHIVGSFRNVTQCSLVLDHVKEVVKNFRFKKAKVKVSTEMMPPPAPLYYDYDNEEEEFEVQPSQRGQSCERFNLLYDQMTKQPHLYWFSCAASCIDLMLQDIGQIMCMNGYIYSHTSHVNMMRKFTNQGNLHGLDVTWFATSFITLAQYNMLRKNLRSFVNSHDWNDFKWPKEV >fgenesh1_pm.C_scaffold_8000488 pep chromosome:v.1.0:8:6356616:6357162:1 gene:fgenesh1_pm.C_scaffold_8000488 transcript:fgenesh1_pm.C_scaffold_8000488 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMVSSMVLFLLLLLVFPHMDKALGAQEEAQKQNVLQDSPFVEAHRNLVIDLTLASNACVGVLVSYIVLSFVVSVLAYLCMCLSVFIEPPL >fgenesh1_pm.C_scaffold_8000489 pep chromosome:v.1.0:8:6364865:6366045:-1 gene:fgenesh1_pm.C_scaffold_8000489 transcript:fgenesh1_pm.C_scaffold_8000489 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAGA-binding transcriptional activator [Source:UniProtKB/TrEMBL;Acc:D7MS41] MDDGGHRENGRHKAAAQGQWLMQHQPSMKQVMSIIAERDAAIQERNLAISEKKAAVAERDMAFLQRDTAIAERNNAIMERDSALTALQYRENSMVTAAATNMSACPPGCQISRGVKHLHHPHMHHHHQQHHIPQLTENAYETREMEPNDGLPTSPPAGSALESAKPKRGKRVKDPKATAQTTANKRGPKNQRKVKKESDDDLNKIMFVKTTHDYTEEDSSKHILIGSKSDWKSQEMVGLNQVVYDETTMPPPVCSCTGVWQSSCCTTTLSMYPLPALPNKRHARVGGRKMSGSAFNKLLSRLAAEGHHDLSNPVDLKDHWAKHGTNRYITIK >fgenesh1_pm.C_scaffold_8000499 pep chromosome:v.1.0:8:6513955:6519585:-1 gene:fgenesh1_pm.C_scaffold_8000499 transcript:fgenesh1_pm.C_scaffold_8000499 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAEEEGARAEEEIGRAGLCRQRRASTKMGIYVDTLTDDDEYERPKRKGKKGVYMARKKTTKEDEEKQNNEIDQNVTVEEANGATSKTRVNTDSITEQSSNKRRKTVNKDNKHRQSNMCHQCQRNDRGQVVRCQNCKKKRFRLPCLRTWYPNIAKEDIAKKCPCCCSICCCRRCLRLDTKIKGIKSNLEVSKDAKIQFSKYTLRWLLPHMKEINDEQIAEKELEAKISGLEFEEVKPQDAESPPDERLTCDICKTSIFDLHRSCEDCSCDICLTCCLEIRNGKPQACKEDVSCNYINRGLEYAHGGKGQVIEMPSDEPNDSKDHMKDPSMWKANEAGIITCYCGAENLVLKRLLPDGWVSDLYKQVEKSAEAGKLLDLSETVSERCPCFKSDGHIDMDNGKVFKAACREGSEDNYIYCPSVRDVQQDDLKHFQHHWVKGEPVVMRNVLEATSGLSWEPMVTYRACRLIRNKKHETLLDVNSTDCLDFCEVEITLHEFFTGYIEGRYDRMGWPRVLKLKDWPHLRVLNFLCSLPLKQYTHPTNGPLNLAVKLPKNCLKPDMGPKTYIAYGFAQEFGRGDSVTKLHCDMSDAVNVLTHISEVPIRREKQPDIEKLKKKHAEQDLKELYSSVANKEEMMEILEKSSQEVENVETDDGALWDIFRREDIPKLEHYLQKHYKEFRHFYCCPLSQIAHPIHDQTFYLTRYHIAKLKEEYGIEPWTFNQKLGDAVLIPVGCPHQVRNLKSCTKVALDFVSPENVSECLRLTKQYRLLPPNHFAKEDKLGVKKMIIYAVDRALKDLSGKESTEPEETKNLKKGKKSKVIVKAVDKALKDLPPSEKSSETVEEKSNGIVNPIDKALQDLPPTEKKCYPQLKRSELLLIFSLPTKSISNLSFFSSPEGEGKKKAEIVKTYERRKKQ >fgenesh1_pm.C_scaffold_8000511 pep chromosome:v.1.0:8:6805716:6809115:1 gene:fgenesh1_pm.C_scaffold_8000511 transcript:fgenesh1_pm.C_scaffold_8000511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc carboxypeptidase family protein [Source:UniProtKB/TrEMBL;Acc:D7MTF9] MTSNKPYEAATNLEEEEEEEEIRFFPFLSSLPLSRRGHASSTNSLRDLPPLTIVVVTRRKFHCSLLIITILYICCSDDLMEQIHSLVHRHPDKLSIEMIKSGNKGYNAEVNVVTYCRGGRESDDRSNFRILLTFGQHGRELITSELAFRILSILSEEQFLPKKNGAALNNTLDKLVIKMVPIENPNGRKRVESGDLCERRNGRGVDLNRNWGVDWGKKEKDYDPSEENPGTAPFSEPETQIMRKLAISFDPHIWINVHSGMEALFMPYDHKNITPEGLPSQKMRTLLEKLNKFHCHNRCMIGSGGGSVGYLAHGTATDYIYDVVKAPMAFTFEIYGDNQTASRDCFKMFNPVDLPNFKRVLNDWSAAFFTIFQLGPLQLDGNSSKAAEKWVSIDEYLDGYLVERKNRYGKNMEVIDVGMQEIKTYFRLFLLSSVLLMFMFCSRIAKSKYSRNSIPVMP >fgenesh1_pm.C_scaffold_8000513 pep chromosome:v.1.0:8:6853844:6855253:1 gene:fgenesh1_pm.C_scaffold_8000513 transcript:fgenesh1_pm.C_scaffold_8000513 gene_biotype:protein_coding transcript_biotype:protein_coding MGITVDPVTHQITASTSELKDQQVSLMNKGTSNNEGHTYSETYGDEMPKIQVPETQENEEVYHVNIDDNTRPSTEFTHEYTRQNSPSSDPIQISASRVQQRSRERRGITSQRYGGYSRVSIAIYAQLHLTKRISTCDLAVKIFEAMDLPTNTKYYWECIKAFRKDEFWPLKHGHHYESPSSACFPYGNPMPGGFPYDSGQWGAPPNAPRYGAPPNAPQWVTPPNGPQWISSPKWRTQQPMPNWGTPPNGQQWGSSSNGPSWSSPSNVP >fgenesh1_pm.C_scaffold_8000522 pep chromosome:v.1.0:8:6929617:6931794:1 gene:fgenesh1_pm.C_scaffold_8000522 transcript:fgenesh1_pm.C_scaffold_8000522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7MTH6] MARLSWQAVAVVGVLLVVVLSFADGYPEADFVVKLPGQPMVTFRQYAGYVDIDKVVGRSLFYYFVEAEKRPDTKPLTLWLNGGPGCSSVGGGAFTELGPFYPTGDGRGLRINSMSWNKASNLLFVDSPAGVGWSYSNRSSDYNAGDESAASDMLVFLLRWFDKFPELKSRDLFLTGESYAGHYIPQLADAILSYNSHSSGFKFNIKGIAIGNPLLKLDRDIPAVYEFFWSHGMISDIVGRTIRSQCDFSHYTYAYPHNASDACNDATTEAGIVITEYVNNFDVLLDICYPSIVLQELRLKQMATKMSMGVDVCMTYERQFYFNLPEVQMALHANRTHLPYEWSLCSNLLNYSGIDINTNMLPTLKRIIQNKIPVWIFSGDQDSVVPFLGTRTVVQELADDLNFKTTVPYGVWFHKRQVGGWAIEYGNLLTFATVRGAAHVVAYKQPSRALHLFSAFVRGQRLPNKTDIGFHD >fgenesh1_pm.C_scaffold_8000523 pep chromosome:v.1.0:8:6982687:6983063:1 gene:fgenesh1_pm.C_scaffold_8000523 transcript:fgenesh1_pm.C_scaffold_8000523 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKKCFAAFVLTLLFVMPFQEDKCYSPEPCKNGPEGCLFFCARIAYDLYGECIMKPDHQKHCCCVTKTKKI >fgenesh1_pm.C_scaffold_8000525 pep chromosome:v.1.0:8:7050775:7057814:-1 gene:fgenesh1_pm.C_scaffold_8000525 transcript:fgenesh1_pm.C_scaffold_8000525 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTTFHGLGHLFFTIFLYCFSSFIVAPAITDISMAALCPGKDECSLAIYLSGFQQVITGVGSLMMMPLMGSLSDKHGRKCLLTLPMTLHILPLATLAYSTRGTTIFYMYYVLKTSTSIVCEGTVFCLALAYVADNVSERRRGSAFAILTGITSCAFVCANLCARFLSIAATYQVATGMGILSLLYMRLFLPDSIRDNSLGAPIVISETLSSSLLEDCPGHRNRIFRAIHSVREMASLLRSSVPFFQVAMVSFCSSLAEAGLHASSMYYLKAKFHFNKDQFADLMIISGATGSISQLLFMPILVPALKEERLLSIGLFFGGAHVPYMAAIFSLFSVFPHSCMRSIVSKQVASYEQGKAQGIISSIDSLANVISPLAFSPLTDWFLSERAPFNFLGFSIMCAGFMMTIAFIQSLMIRATTPISDMGSP >fgenesh1_pm.C_scaffold_8000526 pep chromosome:v.1.0:8:7059702:7061023:-1 gene:fgenesh1_pm.C_scaffold_8000526 transcript:fgenesh1_pm.C_scaffold_8000526 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEDFVSQPGRERLRRLHPLYIDNSTWNRETNHIQDICRCRERTQTCGSNSLRYILLQDFNWERDLTTEVRRMFDVEMAREFSHTVNQWKQKWVLGETPKSINEKVYEGLKDHWIQPATKKTSSTNSNNRNSRRGGKGISTHNAGSTSFFTRGKQLRWCGSGSLNAYRGHPHQQKDQRNSRLNEYILSQPPLDDDGQPPKVPLELMNQIVLEETPKTKGRIFGLGKLGKRTRSHPTSSYMYNRDPELERALQEKDDRIEALEKLMEEKEQADKKRDEEIAKKDAEMAKFMQDVLSRLPPNSSS >fgenesh1_pm.C_scaffold_8000540 pep chromosome:v.1.0:8:9923820:9927210:-1 gene:fgenesh1_pm.C_scaffold_8000540 transcript:fgenesh1_pm.C_scaffold_8000540 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSISISRCSFHFVSFLLLLLSSFSFVCFSLSTVSISHISNQTLVCALTNHSYLQCSSFPLNSIRFSLTDNLRNRRFSGVVSGNGFVCGLRTVLDSNISTLLCWRFSVNGTSLLHKRIYHGPELEELEAGNSRICGVERVSRSLRCWQPFYLPRSDNYSSIALGDNFFCGLSQPPGRISCEGEGISKVPSGDRYIAIAAGSRQACAITVDNDVECWGQTESLPHEKFLALAVGENRSCGIRWSNGTVVCWGNNKNFSLPQSLKDIHFTSIYAKGQIFCGVATKNYTLYCWGNENFKSGVFAPFQGLISQVVMPGPCRRECPYRPLSGSQSLCGNELMICDLKKEDGEFPDTKAQNSMNKTWSRRNIAFLVVGCGGTFSLLLVISFLIFKSHSRCRVHDSGRLDDTRTIDMPKLEKRLSSLVSLGNTGQLMEFSIDELALATDGFSVRFQLGIGSFGSVYQGVLSDGRHVAIKRAELTNPTLSGTTMRNRRADKDSAFVNELESMSRLNHKNLVRLLGFYEDEEERVLVYEYMKNGSLADHLHNPQFDPLTWQTRLMIALDAARGIQYLHEFVVPPVIHRDIKSSNILLDATWTAKVSDFGLSQMGPTEEDDVSHLSLHAAGTLGYIDPEYYKFQQLTTKSDVYSFGVVLLELLSGHKAIHKNEDENPRNVVEYVVPYILLDDVHRVLDQRIPPPTPYEIEAVAHVGYLAAECLMPCSRKRPSMAEVVSKLESALAACLTAPKTETVSRSNTY >fgenesh1_pm.C_scaffold_8000562 pep chromosome:v.1.0:8:10937583:10937984:-1 gene:fgenesh1_pm.C_scaffold_8000562 transcript:fgenesh1_pm.C_scaffold_8000562 gene_biotype:protein_coding transcript_biotype:protein_coding MLIITSLTIMITLMLQPQTMLLGEEYDVRVINNFRDNSSLPLVIWCTSPQGDLGGRALQEGDDFEWTVKIDLWSAEYTCTMKWNTKRKRFEAFKVSRDSNRCGSTKKCSWSVREDGFYFSNDEVYWTKDFSWL >fgenesh1_pm.C_scaffold_8000565 pep chromosome:v.1.0:8:11042493:11043338:1 gene:fgenesh1_pm.C_scaffold_8000565 transcript:fgenesh1_pm.C_scaffold_8000565 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSEEEGEILPDSVDEYYFVDQSDTPVKFCDVPLNVDSTDSSGSPVFLRGNINSGDESFSKLVKGWNFELSVDEHPKIEVLLHGMMHWITLQSPRKSYEALIRTTLVTLQFLHFVKRNPDASSDDVWNSLQTLDGYDWNTIKRDEDLSKSKVCFSLVGLFYTYFLCVCFDFVCFRFESLLLLSGYLRIQNTD >fgenesh1_pm.C_scaffold_8000568 pep chromosome:v.1.0:8:11259789:11266309:-1 gene:fgenesh1_pm.C_scaffold_8000568 transcript:fgenesh1_pm.C_scaffold_8000568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7ML19] MVEPNQLTQYLETFVDITRSPTQQDDSLKAIASSLENDSLSINQLVREMEMYLTTTDNVVRARGILLLAEILDCLKAKPLNDTIVHTLVGFFTEKLADWRAVHGALVGCLALMKRKDVAGVVTDIDAQAMAKSMIQNVQVQALALHERKLGFELLECLLQQHSEAIATMGNLLVYATCEAIDGEKDPQCLMIVFHLIELLAQLFPSPSGPLASDASDLFEVIGCYFPLHFTHTKDDEANIRREDLSRGLLLAISSTPFFEPYAIPLLLEKLSSSLPVAKVDSLKCLKDCAMKYGVDRMKKHYGGLWSALKDTFYSSTGTNLSFAPESLSSPGFEMNEIHREAVSLLQRLVKQDISFLGFVVDDIGINMVFDTISRYSEYKEMPDTSKLEVLVISQILSVSAKASVQSCNIIFQAIFFRLMNSLGIVEKTSTGDVVQNGNSTVSTRLYHGGLHLCIELLAASKDLILGFEESSPTPGYAQESWWSMVKSFSVPLIQVFTSAVLSSNDDSVTDVYFGVNGLLTMGMFWGGSSPISRSEFEKILMTLTSIITAKSGKTVVWELALKALVCIGSFIDQYHESDKAMSYMSIVVDNLVSLACSSHCGLPYQMILEATSEVCSTGPKYVEKMVQGLEEAFCSSLSDFCVNGNFKSIDNCSQLLGCLTNKLLPSVAEIDGLEKLLVHFAISMWNQIETSGVFSCDFNGREFVEAAMTTMRQVVGIALVDSQNSIIQKAYSVVSSSTLPAMESIPLTFVALEGLQRDLSSRDELILSLFASVIVAASPAVSIPDAKSLVHLLLVSLLKGYIPAAQALGSMVNKLGSGSGGTNTSRDCSLEEACAVIFHADFASGKKISSNESTKIMFGSETTLSKICLGYCGYLDLQTRTITGLAWIGKGLLMRGYERVNEIALVLVECLKSTNCSGHALHPSAMKHAADAFSTLMSDSEVCLNRKFHAVVRPLYKQRFFSTIVPILESLIMNSQTPLSRTMLYVALAHVISNVPVTVILDNTKKLQPLILEGLSVLSLDSVEKETVFSLLLVLSGTLTDTKGQQSASDNAHIIIECLIKLTSYPHLMVVRETAIQCLVALLELPHRRIYPFRREVLQAIEKSLDDPKRRVREEAIRCRQAWLVT >fgenesh1_pm.C_scaffold_8000584 pep chromosome:v.1.0:8:11509947:11511378:1 gene:fgenesh1_pm.C_scaffold_8000584 transcript:fgenesh1_pm.C_scaffold_8000584 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPNSSSSMVDSTSGPKRYTPPNQRNRSSNRRRSRGSFHSNEGESSQPVAVGFQRENSSPKIISLEGCSRSEAFQLLSDRWAAAMHLYNDPSIDLSERPMMYYGGDVWGKLPH >fgenesh1_pm.C_scaffold_8000585 pep chromosome:v.1.0:8:11527217:11529203:1 gene:fgenesh1_pm.C_scaffold_8000585 transcript:fgenesh1_pm.C_scaffold_8000585 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDLAHTSSFKGGSETFLRNVFENILKTYLRKNPTTKRIWELIQSLDNEKICYDHFTFMTLKVDSYGIDSLSSFFMNYGYKIGLWFSPPDVIVPDDGHGLGNGPLPRLVMGEILVDELSPESQAIIRKYLKPEGGKQALLSSILGSLIWEKPTWSEFKQIAEENELAAWAFINGYTLNHLAFAAHRLKHVLEHGFDLNHDGGVLKVSTDGLLLQVSSISEKLPVEFADGVTKLVPASYIEFTDRLVLPQFKELPYDQIKEFHRREDFALNNADNILESSRFTSNV >fgenesh1_pm.C_scaffold_8000587 pep chromosome:v.1.0:8:11547346:11547918:1 gene:fgenesh1_pm.C_scaffold_8000587 transcript:fgenesh1_pm.C_scaffold_8000587 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIVKIGIDSIKTTVTEKESDSNRLVKTFLSNKNNRKKIIGLDTEHVQKGRNLNKTVLLQLCDGDNCLIVQLPNEGEGEGDLFSIFSTYPSLHLLESEFGLTCKNVVEIGPATWKLTNMTADVKFRLSTIVSTERPTNAVLDDWEKFVLNKNQIKLAASNAYFAFGIGNILLDVFGF >fgenesh1_pm.C_scaffold_8000591 pep chromosome:v.1.0:8:11623257:11629098:1 gene:fgenesh1_pm.C_scaffold_8000591 transcript:fgenesh1_pm.C_scaffold_8000591 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTRSVASLMDSTSSKIQQLQKAFAELESQRAVTLNLKWKELEEHFHGLERSLKRRFHELEDQEKEYETKTRKAQELLEIKKAAVEAKEKASLERLQKKRDAAMFTINSALDKYNNAPISKPSVGERWPQNAVGDSSNAFAADSITDDNPDGTVQDVQISPVMGNFEVKAYPQLLKLCGDMDSAGLHKFVSDNRKNLASLKEEIPMAFRAAANPASLVLDSLEGFYPMEAPTADGKKDANLLGMRRTCIMLMECLSILLSGLDPNSLAAVLSQNVKRRAKSIAEGWNPLLQSLDMDACNGNSLEAHAFLQLLASFAIVGDFKEDELLKLIPMVSRRRQAAELCRSLGLAEKMPGVIEVLVNSGKQIDADEFNERELTGLKTVIKCIEEHNLEEQYPAEPLHKRILQLEKAKADKKRATEPTKPQPKRPRGAQPRVPDNNNNNIKTGYGRVIPERLTLSVPLLHTETSTETAISTRLLLLLLTFTSDKKKRQSLTRLSQLAPFPDNSFKLTIWKLSFRLWNACVDLSNAASLQSSSTSAESIANLRHVAADMLFLAKDVTGVPSPTIKSSLFYYRTGLVYHSLKKFDLASGCFERATEIVSKIDITKISDAGEKKLFLDLNLARSRTAWGISDRNLAVTLLNRAKNMLFGSPDHYKSLSNQFLAFGKSSLSRDDDDCSLNDALRLMNEALDLCEKGLGTAKTREDTMEFTGMRIKTLRFISAVHLQKGEFENVIKCVKVLRNGGNGSDGADQHASLPVLAMKAWLGLGRHSEAEKELRGMVGNNDIPEAVWVSAVEAYFEVVGTAGAETAKGVFLGLLGRCHVSAKAALRVAHRVLGESRGGDNGSRIRANVVAQLVSDERVVALFAGEAVTKERKAIHSVLWNSASDHFRAKDYETSAEMFEKSMLYIPHDIENRVFRAKGFRVLCLCYLGLSQLDRALEYIEEAEKFKIYLQKKDHSSHEAISCQALPVAVASLSKFLSFYISGKTMPTTEVVVFRTLITILTQDIGSETEALNFMLQAQSRASKLGTECFFGSGETGKRERNWFAVTCWNLGSRCGNAKKYELCGEFLRLASEFYSYMMDTDESGENKMMICRSIILNVTAMIALEKQNKSALTETQVKLAAELLIMSSSLSNGKDCIMEPELIFMYTLLAYDIHGRLNNSAFQLLVVKTFAGSKSCHYNYLLQLGIFASQSPQSNPDVSTFALNECLSALIASASPDYPTIALIIRKLIFISSIHKGDTNDEEAILKMYKQAYRIMVGLKEGEYPTEEGKWLAMTAWNRAALPVRLGQFETAKKWLSMGLEIAEKVTGMDTYKACMQDYLAGFQTKVSSA >fgenesh1_pm.C_scaffold_8000613 pep chromosome:v.1.0:8:11932882:11933261:1 gene:fgenesh1_pm.C_scaffold_8000613 transcript:fgenesh1_pm.C_scaffold_8000613 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIFFFITLAVFFKKLFIGTSNIMTKSISEGKAQFSNPALSPDIDSADEHIAHSLNDMKIMFCQRCAYHCIEKKKNISHCENSICRCTLEDIL >fgenesh1_pm.C_scaffold_8000623 pep chromosome:v.1.0:8:12067094:12067863:-1 gene:fgenesh1_pm.C_scaffold_8000623 transcript:fgenesh1_pm.C_scaffold_8000623 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRRAYGSNDVKIEGKKRKVESESTHVTLNVKGQDEEGVKVFKVRRTVKLQKLMELYTKMRGVEWDTFRFLFEGSRIREYHTLDGIDAMLYQESGFGPSSLIFRV >fgenesh1_pm.C_scaffold_8000628 pep chromosome:v.1.0:8:12199643:12200017:1 gene:fgenesh1_pm.C_scaffold_8000628 transcript:fgenesh1_pm.C_scaffold_8000628 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSTTQEDDVVDITDKVEEEALKMVSSSEDTYSDPGLQKANKELDATLTAMVEKLKDLDEGVNVGKRVPQLAGSQKYPFLGNSTVKRIITDGEPSSSIPDHMMHVSDEKIHQLYDFLETDDE >fgenesh1_pm.C_scaffold_8000636 pep chromosome:v.1.0:8:12258998:12261475:-1 gene:fgenesh1_pm.C_scaffold_8000636 transcript:fgenesh1_pm.C_scaffold_8000636 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAT5/PKT1/PKT2 peroxisomal 3-keto-acyl-CoA thiolase [Source:UniProtKB/TrEMBL;Acc:D7MMN3] MEKAVERQKILLRHLNPISSTNSSLNHEPSLFSLVNCASEVSPMAAFGDDIVIVAAYRTAICKARRGGFKDTLPDDLLASVLKAVVERTSLDPSEVGDIVVGTVIAPGSQRAMECRVAAYFAGFPDTVPVRTVNRQCSSGLQAVADVAASIRAGYYDIGIGAGVESMSTDHIPGGGFHASNPRAQDFPKARDCLLPMGITSENVAERFGVTREEQDMAAVESHKRAAAAITSGKLKDEIIPVATKIVDPETKAEKAIVVSVDDGVRPNSNLADLAKLKTVFKQNGSTTAGNASQISDGAGAVLLMKRSLAMKKGLPILGVFRSFAVTGVDPSVMGIGPAVAIPAATKLAGLNVSDIDLFEINEAFASQYVYCCKKLELDMEKVNVNGGAIAIGHPLGATGARCVATLLHEMKRRGKDCRFGVISMCIGTGMGAAAVFERGDSVDNLSNARVANGDDH >fgenesh1_pm.C_scaffold_8000641 pep chromosome:v.1.0:8:12331174:12331401:1 gene:fgenesh1_pm.C_scaffold_8000641 transcript:fgenesh1_pm.C_scaffold_8000641 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNDIETRFTRIGRVDDRPQAETSIRPNSKLPFIFPKLERFVGACSCYTLNHVERQQAHQHVLVNCQLLDHLRE >fgenesh1_pm.C_scaffold_8000643 pep chromosome:v.1.0:8:12344856:12345757:1 gene:fgenesh1_pm.C_scaffold_8000643 transcript:fgenesh1_pm.C_scaffold_8000643 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKFSSIVLLSLMVFAAILLPMISGQMIPCLEGVCTNSSECNEPCKSKGYQGGACVRMSIDTPTGACCCKPNFKSQDSFEFNDINN >fgenesh1_pm.C_scaffold_8000660 pep chromosome:v.1.0:8:12505930:12506940:1 gene:fgenesh1_pm.C_scaffold_8000660 transcript:fgenesh1_pm.C_scaffold_8000660 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRFRDLADKADMLYEREGVSKDRKSVSEEEEKTRFKTKTLFDDSEKSLLDHLPQKPRSSLEYFFTRVYTRRNNETLNRVSASSSLFNTAEFERTETKSPPNPNLQSSPSSCLMENTKRKRYQKRSSGKSKKAKVDPLSQTAREAPEWLFDMMRKMKEAEGSVRLIYRKTLTASDVKPSQSRLLIPFSQLLRNDFLTPEESQAIGIDKEEEDDNNNNIGMRTIVVDQLSKEWALRFKICVMKKKSGKRTLNYALNRGWNNVVSGNKLKEKDTISLWTFRCGEDRCFALEKE >fgenesh1_pm.C_scaffold_8000665 pep chromosome:v.1.0:8:12629460:12630857:1 gene:fgenesh1_pm.C_scaffold_8000665 transcript:fgenesh1_pm.C_scaffold_8000665 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEASFYADIDELSDNEVDAGKEEEEDIDVDMAVSETLNYDDLESVSKLQKTQRYADIMKKVEQALEEVIGTDPEYKLIVDCNQLSVDIENEIVIVHNFIRDKYRRKFQELESLIHDPIDYARVVKKIGNETDLTLVNLEDLLLLKPATIISVSLTALTTKGNPLPDDILQKTLDACDRALNLDSARKKVLDFLESKMGYIAPNLSAIVGSAVAAKLMGTAGGLTALAKMPACNVLVLGHKRKNLDGFSTATSQSRVGYLEQTEIVQSTPPGLRMRASRLLASKSTLAARVDATRGDASGTNGKALREQIRKNIDKWQERPPGKQPTPLPVPYSEPKKKRGGRRLRKTKERYQVTDIRKLANRMAFGTPEESSLGDGYGDGYGLGARGCVSPKSVRTQVVPGGATTSGLRTSSLAFTLVQGIELCNPQAIGLGSGSQSSYFSESGTFSKFKKI >fgenesh1_pm.C_scaffold_8000672 pep chromosome:v.1.0:8:12751587:12752867:1 gene:fgenesh1_pm.C_scaffold_8000672 transcript:fgenesh1_pm.C_scaffold_8000672 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5 [Source:UniProtKB/TrEMBL;Acc:D7MN84] MASNSEAGGSADYETLMSTSDVELLKRAWRNEKAAPEILQYEGALVQRAKEQIELVEETIEDYVENGIDPLVVSLYQMDLDRAQFLLRSYLRVRLLKIEKFMFHNLKSEEAERRLSEQEKVFATRCADDLAKHFEETVLLKLPENYQSVLKQSLISEVDDMVPQPHLDTFVVCRSKNFVSLNLYEEGESPETVEMERGDLYFIRYKIVKGAIESGQIDLI >fgenesh1_pm.C_scaffold_8000673 pep chromosome:v.1.0:8:12754511:12760128:1 gene:fgenesh1_pm.C_scaffold_8000673 transcript:fgenesh1_pm.C_scaffold_8000673 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MN85] MVENGTKAGKGKKRALPEIQEVDDVSRTRRPRRAAACTNFKEKSVRVCEKSATIEVKKQLAVDEEFLALRLTSLDTDVDARPTRRLNDFVLFDSDGVLQPVEMLEINDIFVSGAILPSDVCTDKEKEKGVRCPSFGRVENWSISGYEDGSPVIWISTELADYDCRKPAASYRKVYDYFYQKARASVAVYKKLSKSSGGDPNTSLDELLAAVARSMGGNKYFSSGAAIQDFVLSQGDFIYNQLAGLDETAKKHETSYVEIPVLVALREKSGKIDMALQMEGKPSNGVLRIDGVSEVADSEDMISDQLVDGADEDRRYAKVLQDEEYRKSMQRSRKNTSSASASNKFYIKINEDEIASDYPLPSYYKNSKEETDELILYDASYEVDAEDLPRRMLHNWALYNSDSRFISLELLPMKHCDDIDVTIFGSGVVTDDDGSWTSLDDPDSGSQSHDPDGMSIFLSQIKEWVIEFGGDDIIAITIRTDVAWYRLGKPSKLYAPWYKPVLKTARVGISILALLSGESRIARLSFADVTKRLSGLQKNDRAYISSDPLAVERYLVVHGQIILQLFAVYPNDNIKRCPFNIGLASKLEDRHHTKWIIKKKKILLKELNLNPRAGMAPVVSKRKAMQATTTRLVNRIWGEFYSNYSPEDSLQAIGAENGEDEVEEEGENGEEEVEGENEDVTEDTVPEPVEVQKSHTPKKIRGSSGKREIKWDGESLGKTSAGEPLYRQALVGGEIVAVGGAVTLEVDDPEEIPAIYFVEYMFESTDHCKMLHGRFLQRGSTTVLGNAANERELFLTNECMTVQLKDIKGIASFEIRSRPWGHQYRKENIIADKLDRARALERKAKDLPTEYYCKSLYSPERGGFFSLPLSDIGRSSGFCNSCKIREDEKERSTIKLNVSKTGFFSNGIEFSVEDFAYVNPDSIDGKEGSKTSFKSGRNIGLRAYVVCQLLEIVPKESRKADLGSFDVKVRRFYRPEDVSVEKAYASDIQELYYSQDTDVLPPGALEGKCEVRKKSDMPLCREYPISDHIFFCDLFFDSSKGSLKQLPANMKLKFSTIKDDTLLRKKKGKGVESETVSEIVKPDEPPKEIRLATLDIFAGCGGLSQGLKKAGVSDTKWAIEYEEPAGQAFKQNHPESTVFVDNCNVILRAIMEKGGDQDDCVSTTEANELAAKLAEDQKSTLPLPGQVDFINGGPPCQGFSGMNRFNQSSWSKVQCEMILAFLSFADYFRPRYFLLENVRTFVSFNKGQTFQLTLASLLEMGYQVRFGILEAGAYGVSQSRKRAFIWAAAPEEVLPEWPEPMHVFGVPKLKISLSQGLHYAAVRSTALGAPFRPITVRDTIGDLPSVENGESMTNKEYKDVAVSWFQKEIRGNMIALTDHICKAMNQLNLIRCQHIPKRPGADWHDLPNEKVKLSNGHVEEMIPWCLPNTAKRHNGWKGLFGRLDWQGNFPTSITDPQPMGKVGMCFHPDQDRILTVRECARSQGFPDSYEFAGNIIHKHRQIGNAVPPPLAFALGRKLKEALLLKKSPQHQP >fgenesh1_pm.C_scaffold_8000684 pep chromosome:v.1.0:8:12907452:12908206:1 gene:fgenesh1_pm.C_scaffold_8000684 transcript:fgenesh1_pm.C_scaffold_8000684 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSLIPPQEVTVYVGEVPSNFYRGGNGHFPTHHINNIPQRSYVPQHTMNPNDINTQLIPSRGHHFDHDVAKNLMINQQYLHHHQTSDFKSQENFGNNLTEEDLVDATSYWYGPEAASFETRNVHEVVPSAMFPSPPPASSYDYSRSSFLDQRENGGLSYNSDESKIGIGKKGSRWGVKIGAKHEDGFSVQFIF >fgenesh1_pm.C_scaffold_8000689 pep chromosome:v.1.0:8:12982512:12984206:-1 gene:fgenesh1_pm.C_scaffold_8000689 transcript:fgenesh1_pm.C_scaffold_8000689 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKNLFLITKHPSTTQIFFTSLFFISLFLLSSSSLSDFSPSLIVSSFTSRLLTAANFFSSPSSYSSSSSASDTTMFLSSVSPRRINEPKIDSETKELTSCDIFDGTWVFDDSEPVYLPGYCPFIEDKFNCFKNGRPDSGFLRHRWQPHGCSIPRFDGKKMLKILRGKRVVFVGDSLNRNMWESLVCSLRSTLEDKNRVSRVSGKQSNLHNEGFYGFRFKDFECSIDFIKSPFLVQESEVLDVYGKRRETLRLDMIQRSIKKIHKNADIVIFNTGHWWTHQKTYEGKGYFQEGNRVYERLEVKEAYTKALHTWADWVDSSINSTKTRVFFVGYSSSHFRKGAWNNGGQCDGETRPIQNETYTGVYPWMMKVVESVISDMKTPVFYMNITKMTWYRTDGHPSVYRQPADPRGSTPAAGMFQDCSHWCLPGVPDSWNQLLYATLLVSHGSLPDKSLGSLL >fgenesh1_pm.C_scaffold_8000698 pep chromosome:v.1.0:8:13113083:13114278:-1 gene:fgenesh1_pm.C_scaffold_8000698 transcript:fgenesh1_pm.C_scaffold_8000698 gene_biotype:protein_coding transcript_biotype:protein_coding MSEITRETTMIFDLPHDLIIEEIFLRVPMISLRRLRSTCKRLKDLLKDPGFIEKQIANAKTTRQYHVLVVVKFRVYAMCSYIHGKNMNVAPLFKRGLHLIDPHRKSEVDLSHAFHCDGILLCTTKGNMFVVWNLFSGQTQWIITKNPLKINNTYALGYDKNELCHSYKILNLDRYKKKLEIYEFTSDSWRNLHAIIPKGCLKSRGVSLKGNVYWLFKRRGVNEYSLLSFDFSTETFQLLYVPFHQEADYFLDDDFLDDDYSDDGCFDDAMALSVVREEHLSLLFQSVVTQKMEIWITNEIETTSVSWNKFLTVDFKPHPHMFSRSMSFFIDEEKKVAVCCEKDQEKKIFNKVYIVGEDEFKVSPGFGFIDCRGIYSCPTMFGYVPRLL >fgenesh1_pm.C_scaffold_8000704 pep chromosome:v.1.0:8:13167646:13168541:-1 gene:fgenesh1_pm.C_scaffold_8000704 transcript:fgenesh1_pm.C_scaffold_8000704 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEKRSKDIMSDIPTFSAENLQNNLKVIQNSRTFLSIIAGVLAGIIGFNGLTGFVFYFVVMLITSVGLMAKAGFSADLYFDSWNRVLFDGFLGGLMSDCALENISLLFLFISVGFLNNGVDLLMTWCTYSERTSAAKEDGETTHKIEFGFAASSSN >fgenesh1_pm.C_scaffold_8000716 pep chromosome:v.1.0:8:13312029:13316042:1 gene:fgenesh1_pm.C_scaffold_8000716 transcript:fgenesh1_pm.C_scaffold_8000716 gene_biotype:protein_coding transcript_biotype:protein_coding MNTEIEPPWKRKKTNLPSPPLPSFLSLPDVIVLNCLAQHTYRNHSYYWKLSLVSKTFHDLLSYLLSSVTQVSSTPSERFFNVCLQLPNSPLPSWFTLWIKSDQIDTEKKKSTLVKIPSSYASLIRIPLFFRTVGSEIYALQQYYPPSSVMLVKTKGTWPWRKTPNMTVARANAVAYFLDGKIYAIVLRLHCRYSNLGAFTLRFSSIIKKVEVIQRKIYVRSNDNKDSVYDPRKRKWNVINHVLYSCLSNCCLWYDKECKAWGLVKGLSLLNQNLRDGLIETVKYGGKFLILWDKVVQPRRRCPLQGKNIWCALIALEKRLSGQVWGKVQWSNVVLTVPNSYVFLRSSVIRS >fgenesh1_pm.C_scaffold_8000735 pep chromosome:v.1.0:8:13546208:13550966:-1 gene:fgenesh1_pm.C_scaffold_8000735 transcript:fgenesh1_pm.C_scaffold_8000735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:D7MPG2] MFEEKIITIGEDVKSFNSSDHKVDPAVPASLTWQRKIDSDAKPPREFNLTAKEIFQLAPVGIRLWFLCREEAAKGRLAFIDPFSRHSVTSSHGVPLGGIGAGSIGRSFQGEFQRWQLFPPKCEYEPVLANQFSAFVSRANGKKYSTVLCPRNPKMGKQDSESGIGSWDWNLKGDKSTYHALYPRSWTMYEGEPDPELRIICRQVSPFIPHNYKESSFPVSVFTFTLHNLGNTTANVTLLFTWANSVGGDSEFSGGHYNSKIMMNDGVQGVLLHHKTANGLPSLSYAISAQATDGVSVSACPFFIVSGKQNGITAKDMWQAVKENGSFDHFKASEASMQSENGSSIGAAVAASLTVLPGESRIVTFSLAWDCPEVQFPSGKIYSRRYTKFYGNHGDAAAQIAYDAILGHSQWESWIEDWQRPILEDKRLPAWYPVTLFNELYYLNSGGTLWTDGSSPVHSLAGVREKKFSLDKSQLGLNNDIEVPHQNDTAISVLGKMASTLEQLHASTASNSAFGTKLLEEGEENIGHFLYLEGIEYRMWNTYDVHFYASFALVMLFPKLELSIQRDFAAAVMLHDPTKVKTLSEGQWVQRKVLGAVPHDLGINDPWFEVNGYTLHNTDRWKDLNPKFVLQVYRDVVATGDKKFASAVWPSVYVAMAYMAQFDKDGDGMIENEGFPDQTYDTWSASGVSAYCGGLWVAALQAASVLARVVGDKNSQDYFWSKFQKAKVVYEKKLWNGSYFNYDNSGSQYSSSIQADQLAGQWYARASGLLPIVDEDKARTALEKVYNFNVMKIKDGKRGAVNGMHPNGKVDTASMQSREIWSGVTYALSATMIQEGLVEMAFQTASGVYEAAWSEAGLGYSFQTPEAWNTNDEYRSLTYMRPLAIWAMQWALTKTSQKQQQLGLEPEQEPEVETNSLMKHDIGFSRVSRLLNLPNEASAKSTLQTLFDYTCRRLMS >fgenesh1_pm.C_scaffold_8000737 pep chromosome:v.1.0:8:13556313:13557249:-1 gene:fgenesh1_pm.C_scaffold_8000737 transcript:fgenesh1_pm.C_scaffold_8000737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MPG4] MAEERSPSSKVKFMCSFGGRILPRPSDGVLKYVGGETRVIAVSPDISFSELVKKLAAITENDIVLKYQIIPEDLDALVSVKSDEDVKHMIEEYNRHETPKLRTFLFPANPVVLENQLGPIEPQTIEQRYIEAINGILRTSKSATALRAPIKTRPSFTVSTCSSPKSESSPDGYSHELPETSFQNNYQLSRLYPMHKVHSSPNISQQLQPYSHFHNAYLQPPNYLTCWPRPPTPLDIPRGIGWDQSYTTTHNSGCGNGKCWCNDDRRFWGRASSVPQSPRNHGLRL >fgenesh1_pm.C_scaffold_8000738 pep chromosome:v.1.0:8:13557965:13562496:-1 gene:fgenesh1_pm.C_scaffold_8000738 transcript:fgenesh1_pm.C_scaffold_8000738 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1441 [Source:UniProtKB/TrEMBL;Acc:D7MPG5] MVKVRMNTADVAAEVKCLKRLIGMRCSNVYDISPKTYMFKLLNSSGITESGESEKVLLLMESGVRLHTTAYVRDKSNTPSGFTLKLRKHIRTRRLEDVRQLGYDRIIVFQFGLGANAHYVILELYAQGNIILTDSEYMIMTLLRSHRDDNKGFAIMSRHRYPIEICRVFERTTVSKLQESLTAFSLKDHEAKQIERKEQNGGKKGGKSNDSTGAKQYTLKNILGDALGYGPQLSEHIILDAGLIPTTKLSEDKKLDDNEIQLLVQAVIVFEDWLEDIINGQKVPEGYILMQKQILANDTPSESGGVKKMYDEFCSILLNQFKSRVYEKFETFDAALDEFYSKIESQRSEQQQKAKEDSASQKLNKIRQDQENRVQILKKEVNHCVNMAELIEYNLEDVDAAILAVRVALAKGMGWDDLARMVKEEKKLGNPVAGLIDKLYLEKNCMTLLLCNNLDEMDDDEKTLPVEKVEVDLSLSAHGNARRWYEMKKKQETKQEKTVSAHEKAFRAAEKKTRHQLSQEKVVATISHMRKVHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYMSKGDLYVHAELHGASSTVIKNHKPEQNVPPLTLNQAGCFTVCHSQAWDSKIVTSAWWVYPHQVTKTAPTGEYLTVGSFMIRGKKNFLPPHPLIMGFGLLFRLDESSLGAHLNERRVRGEEEGMNDVVMETHAPDEHSDVESENEAVNEAVSASGEVDLEESSTILSQDTSSFDMNSSGIAEENVESATSQLEDLLDRTLGLGAATVAGKKDTIETSKDEMEEKMTQEEKKAVVRDKPYMSKAERRKLKMGQSGNTAVDGNTGQEKQQRKEKDVSSLSQANKSIPDNKPAGEKVSRGQRGKLKKMKEKYADQDEDERKIRMALLASSGKPQKTDVESQNAKTAVTVEKKPSEETEDAVKICYRCKKVGHLARDCHGKETSEMDKVVMEEDDINEVGDEEKEKLIDVDYLTGNPLPTDILLYAVPVCGPYNALQSYKYRVKAIPGSMKKGKAAKTAMNLFTHMTEATVREKELMKACTDPELMAALVGNVKITAAGLTQLKQKQKKGKKSGKQQHS >fgenesh1_pm.C_scaffold_8000739 pep chromosome:v.1.0:8:13569452:13573640:1 gene:fgenesh1_pm.C_scaffold_8000739 transcript:fgenesh1_pm.C_scaffold_8000739 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLTLNPAAISRTPSQALDPSSSSRLLPSPQILSSQRALGLVAWPCNPLRRGLSRSLSSRQLFRRSKVVKAVATPDPILEVPLTEENVESVLDEIRPYLMSDGGNVALHEIDGNIVRVKLQGACGSCPSSTMTMKMGIERRLMEKIPEIVAVEAVADEETGLELNEENIEKVLEEIRPYLIGTADGSLDLVEIEDPIVKIRITGPAAGVMTVRVAVTQKLREKIPRSFSFALIFYLFLHYRIIVASQFEGFDAEEDDVSDDSSHLLHHSLPPPLLTQSHSSLSDLELEPEPESSSAESNSDLITESDPEHESDSRTPSSTPFEYWDEDEFEGLPIEIETLESPLIAENTTHADPKTLDLMTSSEPQEDTTDQMKKKKSYAVEIACVVFLIALAVNYFVGKRENESLALAWAAKFASKDTIFQKNFSLLGVSEGEDSPLLLKEALNVFKFYASGRRYCHGLLATMELKSRHDLISRMFNLVVPCKDEITFEVYMNEDTMDNVVFAMARKKAAKTMQKEMRDLQRFTGIVSPPAGRKWVSEELAVISESKEVAVDMITDTVLDQVFGDKAVDKYGKNFMSMHISDQHPGKHKKMMLFKFSLPDAKHMDDIVRLVSLIPYYIDLVGRYRLSSQARNKTESGRQKAAEEAYKELQNARQEALQKKKAEKKKMMEEAEAKLSAEVIRKKEAKERARQVKKAVPKMKMSRSH >fgenesh1_pm.C_scaffold_8000741 pep chromosome:v.1.0:8:13581760:13582863:1 gene:fgenesh1_pm.C_scaffold_8000741 transcript:fgenesh1_pm.C_scaffold_8000741 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEKKRKATTTKKPSLKRTKKKLQLTSIHSLPDDIALSDSLPRLVSKSFQSLLASPELHKTRSSLGRTETCLYVCFRSLGEPDPNPRWFTLCLKPEKKKKKKSSEYVLAPTSVPCSAPAHWSGLVAIPSVKNIYYIGGPIEHAPLSSPEAPRMWVERCKPVVNAAEIEKTPMWRFSPKTKTYEIWDYNMTLQAVVDKKVYMFGLTNGLAYIPKEGQWERLWGQKSSWGWFSFCVIENVLYHYRSGVFKWHDSKGGCWIEMKGLTGLPKFESYGCVQLADYGGKMAVLWSELVLTNGCKNKMIWCAVIALERRNGEEIWGKVEWCDVVLTVPNSSKLEYALAATI >fgenesh1_pm.C_scaffold_8000752 pep chromosome:v.1.0:8:13651997:13652810:1 gene:fgenesh1_pm.C_scaffold_8000752 transcript:fgenesh1_pm.C_scaffold_8000752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7MPI2] MAVLSEILLISPSALTSTKYIDAICQHVENGTFCMQMLRTYPPAVSATDLFSLANIVINLGISYANNTGGYAAETAKREPTLKAQFNSCQHEYDGIQLYLRMARGELKESPMSANYDIFRCTDITMYVKDLVGGNRDTASKTFMEMTLQMENLIDVAIGATVALGG >fgenesh1_pm.C_scaffold_8000753 pep chromosome:v.1.0:8:13654573:13655493:1 gene:fgenesh1_pm.C_scaffold_8000753 transcript:fgenesh1_pm.C_scaffold_8000753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7MPI3] MASSSHCFLIVSVALLLQVLVSPVSALTLTSTKYIDKLCQMPLVDSKPFCLQTLSAYPPAASATGLLPLASAVIRGIVLPQAKKSASFADEAAKKEPNLKTQFQTCKEAYESIGDSLMSALEELKRSPNVATYDVMTCTDHTSQVKNLVGKNRDVASKTLIEMTMQMEKLLPLALGSTQVVGG >fgenesh1_pm.C_scaffold_8000762 pep chromosome:v.1.0:8:13743724:13744610:1 gene:fgenesh1_pm.C_scaffold_8000762 transcript:fgenesh1_pm.C_scaffold_8000762 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLGLFFAILTALGLAGYKWLAPSIMDKGRMLLDINFREGSLETKVKFKFITITQGYK >fgenesh1_pm.C_scaffold_8000782 pep chromosome:v.1.0:8:13886033:13888917:-1 gene:fgenesh1_pm.C_scaffold_8000782 transcript:fgenesh1_pm.C_scaffold_8000782 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAFNSTMKRTTSLAKSSESGDDSSYSTRRSASRRHRSLSRFSHRMPDPEIEVTPMRKGKFVNTVRGSGFGEISLDDLAVEFFESFSGESEISSGERGRSGLRKSGGGSGGGEGEVENSLRRGRSVSRVCSGGNGGLRRLGADTESSRRRRSLSRQPESNNRGGSVRVDPVSNNSSRRRSVSRQPREKVKTENGGGAIGGDCEIANSRRGRSIYVVRRRIENSESDVDQVQYSSSSRDVKSFISGKSQNSGSQKSVASDNRQGLRRSFSQNPVKYHDGYSSQSSAVTDDEGKDSSSTKHGTERIIRTVYAQNKATPKKRESLGNSEYGSQRKSHDDHRAVSTFTNGYATKLQESEERKRDLLAEIMLEEERGRELSMNLKELLTENSSEAEEKPLRTRKRSKDRSSRMSMCLADEAEQFIDEFISNIEDTDFSSLEDERSESSSSFGMIKTQSSQGTTALKSIPVEMDGVMLPWLQWDTPDDTSAAALACLNKSPHTPNTKSLLWESDTTQDASSGQGMSIGTISSRGSWSPYESVTKPVKPIKTLKIDVAEYLKRPNSSDILNETWKLRHRISSGSLVLCSRSLI >fgenesh1_pm.C_scaffold_8000788 pep chromosome:v.1.0:8:13970275:13973011:-1 gene:fgenesh1_pm.C_scaffold_8000788 transcript:fgenesh1_pm.C_scaffold_8000788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7MQ73] MARNFLFVLLWFIVQVASSLCSSQEYGRGDQALSQIDIYTINFALYHSASIHASPLVLGSQGEDTEWVNVDISNPEPSSDDWVGVFSPANFDSSSCAPTDGKEIAPFICSAPIKYMYAKSNPDYMKTGNAVLKFILINQRADFSFALFTGGLSNPTLVAISNHVSFINPKAPVYPRLALGKNWDEMSVTWTSGYSIGEAVPFVEWSRKGTQSRRSPAGTLTFTRNNMCGAPARTVGWRDPGFIHTAFLKDLWPNLKYTYRMGHELMNGSIIWSKNFTFKSSPYPGQDSLQRVIIFGDMGKGERDGSNEYNDYQPGSLNTTDQLIKDLKNIDIVFHIGDITYANGYISQWDQFTAQVEPIASTVPYMIASGNHERDWPNSGSFYGGKDSGGECGVPAETMFDFPAENKAKFWYSADYGMFRFCVADTEHDWREGSEQYRFIERCLASVDRKTQPWLIFIAHRVLGYSTNDWYGQEGSFEEPMGRESLQKLWQKYKVDVAFYGHVHNYERTCPIYQNQCMDNAKSHYSGAFKGTIHVVVGGAGSHLSSFSSLKPNWSIFRDYDYGFVKLTAFDHSSLLFEYKKSSNGAVHDSFTIFREYRDVLACVRDSCEPTTLAS >fgenesh1_pm.C_scaffold_8000800 pep chromosome:v.1.0:8:14051511:14054739:-1 gene:fgenesh1_pm.C_scaffold_8000800 transcript:fgenesh1_pm.C_scaffold_8000800 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MQ94] MANQGGPSRKSLSFSGHSFQGRKKASENEGGGGGGSDLLPRRSLTSSRSSISLSGERSGERTVKRLRLCKALTVPDSTTLFEACRRMAARRVDALLLTDSNALLCGILTDRDIATKVIAKQLNLEETPVSKVMTKNPVFVLSDTIAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERSVEKGKAIAAAVEGVEKNWGTSIAGPNTFMETLRERIFKPSLSTIIPENTKVLKVGLDETVLGVTMKMVEYQSSAAMVMVENKLVGILTSKDILMRVISQNLPQETTTVEKVMTKNPESATVDMAIVDALHIMHNGKFLHLPVLDKDGDVVAVIDVIHITHAAVTTAGSTAGINNETANSMMQKFWDSAMALSPTEDIDETRSEEESMKLSSEIEGTKSFSYPNTFAFKLQDKKGRMHRFMCETQSLTTLITAILQRMGDDIEPDNLPQIMYEDEDNDKVVLASDNDLGAAVEHAKSIGWKGLKLHLDYTEERGHRRGLSSEDMDYDQSNSWAAAYKTVAAGAALAAGLGVLVYLKRHSN >fgenesh1_pm.C_scaffold_8000804 pep chromosome:v.1.0:8:14069797:14071192:1 gene:fgenesh1_pm.C_scaffold_8000804 transcript:fgenesh1_pm.C_scaffold_8000804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MQA0] MALINKILNILLPIVTVSFLLVFMPFSIFFKLLGFIRRCKESEKVDGKVVIITGSSSGIGEHLAYEYARRGAYLTLVARREDRLQVVADRCRKLGSPDVTVSVIEDCKRFVQETISRFGRLDRLVNNAGIAEAKFFDDYSEISDVLPIVNTNFWGPVYATHFAIPHIKKTKGKIIAVASPAGWSGVPRMSIYAASKAAMINFYETLRIELHPEVGVTIVFPGLIENGNTNSDLLAEKQEWSQVVAIESAAVCAKAVVNGICRGKTFVAEPSWVRVLFWLSAICPELLISKPKRN >fgenesh1_pm.C_scaffold_8000817 pep chromosome:v.1.0:8:14177340:14180571:1 gene:fgenesh1_pm.C_scaffold_8000817 transcript:fgenesh1_pm.C_scaffold_8000817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta [Source:UniProtKB/TrEMBL;Acc:D7MQB7] MLGILRQRAIDGGLAASTLRRTRFALVSARSYAAGAKEMTVRDALNSAIDEEMSADPKVFVMGEEVGQYQGAYKITKGLLEKYGPERVYDTPITEAGFTGIGVGAAYAGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGAAAGVGAQHSQCYAAWYASVPGLKVLTPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPISEEALDSSFCLPIGKAKIEREGKDVTIVTFSKMVGFALKAAEKLAEEGISAEVINLRSIRPLDRATINASVRKTSRLVTVEEGFPQHGVCAEICASVVEESFSYLDAPVERIAGADVPMPYAANLERLALPQIEDIVRASKRACYRSK >fgenesh1_pm.C_scaffold_8000820 pep chromosome:v.1.0:8:14194477:14196228:1 gene:fgenesh1_pm.C_scaffold_8000820 transcript:fgenesh1_pm.C_scaffold_8000820 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRVAMEEDITESVTLMVDSVASSPMTPKTVVVKEDETHHPYAFHVSGPRNVASPNWRDLISSSWKDPNYKRTVMACFIQAAYLLELDRQENRNEQNALAPKWWIPFKYKLSQTLIDERDGSIFGAVLEWDRAAAMADLVVIRPSGAPKAVLALRGTILKSLTMRRDIEDDLRFLAWESLKGSVRFNVALEALQSVATRYGSSNVCVVGHSLGAGFALQVGKALAKEGLFVDAHLFNPPSISVAMSLRNISEKAGVAWKRLMSMLPPKNEPLIPNSNEGQVSLGNVSSGFRNWVPSFYGSNQKSTVDLRKWVPHLYVNDSDYICCHYTDQDGVTEKREMNNKENNGPIVNANSQAAAKLFVMSKGKQNFHEAHGLEQWWSDNLELQSAIHSSRLISQQLKSLYSIK >fgenesh1_pm.C_scaffold_8000824 pep chromosome:v.1.0:8:14221577:14223387:1 gene:fgenesh1_pm.C_scaffold_8000824 transcript:fgenesh1_pm.C_scaffold_8000824 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDYHSPYNAAFWSWRRYLKRCVLYFAQHRRRKPSSCFAMDVGGEDISDLQVDQIVEEDSMDDLIRDRFRLSAISIAEAEAKKNGMEIGGPVVACVADLAFKYAENVAKDLELFAHHAGRKVVNMDDVVLSAHRNDNLAASLRSLCNELKAKEPQSDRKRKKGSAKKEDKASSSNAVRITTDL >fgenesh1_pm.C_scaffold_8000825 pep chromosome:v.1.0:8:14223696:14225317:1 gene:fgenesh1_pm.C_scaffold_8000825 transcript:fgenesh1_pm.C_scaffold_8000825 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGSSPTKKQKLDDGLGKGKSQNEIGAVGIREEKDNEAENAKVYLIQRCDCIESLVLFLVGVFLCPFMHITTLMVMVVLLLELDPHSPDSHKYRPNFPSYSPTSPSYSPTSPSYSPVSPDHSPTSPQCCPTSTQHSPILSSPPEDDGYGPEHMEAENKTNDHLTEIGDGYFHVDGRNYPEAEATRTIHVPCSKVALLVGAAATLRFLQHNLCARIRILKDSDVDLKSAQRPVELTGTVLQIEFTQQLIDSVLAESEKFGYNNFRRIMINQWKRKKLRNHLLRKIVKLLLSKSMPSMNIPRVMQQPLQGYEVVQV >fgenesh1_pm.C_scaffold_8000831 pep chromosome:v.1.0:8:14270916:14272784:1 gene:fgenesh1_pm.C_scaffold_8000831 transcript:fgenesh1_pm.C_scaffold_8000831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MQV7] MQRVSINVSGVRRFCVTSLSSLSASNLTDHRMLKQVLESCKAPSNSKCVLQAHAHIFKLGYGTYPSLLVSTVAAYRRCNRSYLARRLLLWFLSLSPGVCNTNLIIESLVKIGESGLAKKVLRNASDQNVITWNLMIGGYVRNVQYEEALKTLKNMLSFSDFKPNKFSFASSLAACARLGDLHHAKWVHSLMIDGGIEFNAILSSALVDVYAKCGDIETSREVFCSVKRNDVSIWNAMITGFATHGLATEAIRVFSEMEAEHVSPDSITFLGLLTACSHCGLLEEGKEYFGLMSRRFSIQPKLEHYGAMVDLLGRAGQVKEAYELIESMPIEPDVVIWRSLLSSSRTFKNPELGEIAIQNLSKAKSGDYVLLSNIYSSTKKWESAQKVRELMTKEGIRKAKGKSWVEFGGVIHRFKAGDTSHIETKAIYKVLEGLIQKTKSEGFVSDTDLVLMDVSEEEKEENLNYHSEKLALAYVILKSSPGTEIRIQKNIRMCSDCHNWIKAVSKLLNRVITMRDRIRFHRFEDGLCSCKDYW >fgenesh1_pm.C_scaffold_8000833 pep chromosome:v.1.0:8:14292952:14293683:1 gene:fgenesh1_pm.C_scaffold_8000833 transcript:fgenesh1_pm.C_scaffold_8000833 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQLPPTTLYAGRSSVVLPPTLHRSSFLPYYSMRLLGNKKSLSKSSSSAPRFSMRVSSKQAYICRDCGYIYNDRTPFDKLPDNYFCPVCAAPKRRFRPYMPDVSKNVNDKDVRKARKAELQRDEAVGKALPIAIAVGVLALAALYLYVNNTT >fgenesh1_pm.C_scaffold_8000883 pep chromosome:v.1.0:8:14617528:14618812:1 gene:fgenesh1_pm.C_scaffold_8000883 transcript:fgenesh1_pm.C_scaffold_8000883 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLSTLCSCTSSSLYPNTKLSRYLSANLTSKTNVSIQFLGKKPFPLLSSTMRFLTVVAATAQSIPRGKTKKVVGTIKMNVWAGRATPAPPVGPAFASRGDNQEKHMAKRFCKEYNARTADKLGDIIPVLVTVYDDMSFTFILKTPPASFLLLKAQDPSCFKGSKDPKQNKVGMITIEQLRKIAEEKLPELNCTTIVSAMRTIAGTACNMGIDIDPPILEPKKENSFVLKIDTF >fgenesh1_pm.C_scaffold_8000889 pep chromosome:v.1.0:8:14660335:14662256:-1 gene:fgenesh1_pm.C_scaffold_8000889 transcript:fgenesh1_pm.C_scaffold_8000889 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSATKKLQCQRIGCNAMFSDDDNPQGSCQFHASPFFHDGMKEWSCCKQRSHDFSLFLEIPGCKTGKHTTEKPVLAKPAPKHPVAAPTSSPDANAATKGSCSRCRQGFFCSDHGSQPKEQTRQTLNTPVQAEEEKIESLAPPIKKVVIDINQPQVCNNKGCGKTFKERDNHETACSYHPGPPVFHDRLKGWKCCDVHVNEFDEFMEIPPCTKGWHSSSPDQAV >fgenesh1_pm.C_scaffold_8000893 pep chromosome:v.1.0:8:14685390:14695422:1 gene:fgenesh1_pm.C_scaffold_8000893 transcript:fgenesh1_pm.C_scaffold_8000893 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRREATTPSPTRLRHREDINIPLDVTVEILKKLPTKSIVRFQFVSKQWSSVINMRRDFIDFIMKRSLAQPPRDAYFIASDDYREFLYNPTTRQSLYFLKKKTMHMETSFIGYDPLENQYKVLFLPKYNPEQPCLVFTLGETATKWKTIQGVESHHPLQGALCINGRIYYQAGIVDQYDSTSLYKLMSFDVRSEEFHNIEAPKTLMDYRSYLINYQGKLGFVCCEKGVDIWVMETQGWSKIFFKGSIKWRILDATHDGEIVLVRWGYLSYDRLCVLYYDPKRNTKRYVDFEGIFPKFPSGLFRIMLRTQCVCIR >fgenesh1_pm.C_scaffold_8000904 pep chromosome:v.1.0:8:14779766:14780138:1 gene:fgenesh1_pm.C_scaffold_8000904 transcript:fgenesh1_pm.C_scaffold_8000904 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSKCHFVALLLITISLIVNVQSTRIMDDSSDCVFKGPCQRRSDCYKRCGVKPPSRTALCQPMGLHGLVCCCL >fgenesh1_pm.C_scaffold_8000909 pep chromosome:v.1.0:8:14808889:14810435:-1 gene:fgenesh1_pm.C_scaffold_8000909 transcript:fgenesh1_pm.C_scaffold_8000909 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLIGVSIAIICFLILHRFLFKKPLYQFLIINWPLLESTELTFPFKGPWFAGMDMLLTADPANIYYLVNSNFSNFVKGSNFKEIFDAFEDALLTKDSLAWKNLRKASQAMINHQGFQRLSLTTTRSKVKDGLVPLFNHIAREGTTVDLQDVFWRFTFDTTLVTVTGCDDPRSLSIEMPVIESAKALDTIVEGIVYRHVKPRFLWKLQSWVGVGIEKKMIEAGAIFDRVCAKYISARREEIKRSQGINNGESEHLLTSHIKLDTTKYQLMDPINDKFLRDNVFALLLAGRDTTASALTWFFSFLSENPLVVTRIRQEIDTILPRSSSGHERPSCDAMEYLNKLVYLQAALCETMRLCPPVPLERLSPVESDVLPSGHKVEANSNILVFIYGVGRMRAVWGEDASEFKPERWISETGELRHVPAFKFLAFNAGPRICLGKQIAMIQMKIVAVEILQNYDIKVAKGQKFEPDTSLILRMKHGFKVTINKRCSF >fgenesh1_pm.C_scaffold_8000947 pep chromosome:v.1.0:8:15081170:15083904:1 gene:fgenesh1_pm.C_scaffold_8000947 transcript:fgenesh1_pm.C_scaffold_8000947 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXO70A3 [Source:UniProtKB/TrEMBL;Acc:D7MRQ6] MSNLHELSIENSTDDHAGCFQEKEQLYECPKCGIFFHKECTESTTEINAHENLGEVRAVEDKPNDESIKVDERGASNIHFTDEHHGKVDDINNTGYDACKFKQILENYSKPIEPKHLFECLPSNLRPSSDGASSDGKSYDPQSNGLEKDDFTVPTIIPPMVLPLLHDLAQQMVKAGHQQQLFETYRDTRRAVLEQSLEKLGVERHSKDAVQRMKEDILDAKMRNWIHYMRISVKLLFAAEKEICNQILDGVEPLRDQSFAEITTISFDMLLSFGYAIAISRRSPEKLFVILDMYEIMIELQPEFELIFGSQPCSEMKEDALNLTKLLAQTVKETIVDFEDAVEMDATETVVMDGSVHALTSYVERYVTYLFDYKSTLRQLLPDTKLKSALTGIMRALMNNLDGKSRQYEDAALSQLFLMNNVYYIVRHFRREEANNLLGDDWVQTHGRIVKQHANQYQTVSWNKILQCITVQLSKSGLIKNKSITKTLVKEKFKTFNSQFEELHQRQCQWLVPDVEMRESLRLAIAEVLLPAYGSFLKHFGPMIESGKNSQTYIRFTTEDLERMLNDFFEGKNLE >fgenesh1_pm.C_scaffold_8000951 pep chromosome:v.1.0:8:15106368:15108907:1 gene:fgenesh1_pm.C_scaffold_8000951 transcript:fgenesh1_pm.C_scaffold_8000951 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLEMSRVWYIVFKVFVVVLCLMFLKLFVRCWILPVRAQKKLRENGFFGPAPSFPLGNLNDMKKLKMASVMVDNSKSSTIINHDIHSIALPHFARWQQEYGKVFVYWLGIEPFVYVADPEFLSVMSKGVLGKSWGKPNVFKKDREPMFGTGLVMVEGDDWTRHRHIITPAFAPINLKVMTNMMVESVSNMLDRWDIQINSGNPEFDMESEVIGTAGEIIAKTSFGVTGENGTQVLKNLRAVQFALFNSNRYVGVPFSNILSYKQTVKAKELGQEIDSLLLSFINKRKISLAEGDDQGHDLLGMLLKADQKGNFTAKELVDECKTFFFAGHETTALALTWTFMLLAIHPEWQDTLRQEIRQVIGDSKIEYNKLAGLKKVRYQTQYTTIHMSWVMNEVLRLYPPAPNAQRQARKDIEVNGRVIPNGTNIWIDVVAMHHDAELWGDDVNEFKPERFDGNLHGGCKNKMGYMPFGFGGRMCIGRNLTTMEYKIVLSLVLSRFEISVSPGYRHSPTYMLSLRPGYGLPLIIRPL >fgenesh1_pm.C_scaffold_8000960 pep chromosome:v.1.0:8:15155350:15156130:-1 gene:fgenesh1_pm.C_scaffold_8000960 transcript:fgenesh1_pm.C_scaffold_8000960 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDVEAICRHAVDRSQGGLLEINIGDFGSDSLLTYIAERSSNLKSLGLAMCSEITEEGFVQAVVKLPMLEELEVSGMLLSGESLKLAGLSCPNLKSLKLNRLFYLNSSDDVNAIAIAESMPKLRHLQLCGETLTKTGLNAILDSCPHMEHLDLRQCFNLKLAGNLAKRFKDLRLSNDSTSDDPFGYATIIFHLPHQLTLLKEGDN >fgenesh1_pm.C_scaffold_8000979 pep chromosome:v.1.0:8:15264112:15264734:1 gene:fgenesh1_pm.C_scaffold_8000979 transcript:fgenesh1_pm.C_scaffold_8000979 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAKKVVLQLSIHEEKIRKKALVTVSRFSGVTSITIDDKSGKMTVVGEVDVPVIVIKLRKLCHTDIVSVDDVKPPEKKPEPEKPALPIPAEFITYPMNYAYQYNPHAYANSYYYQPYGNCRVVDEPNCVIM >fgenesh1_pm.C_scaffold_8000989 pep chromosome:v.1.0:8:15326908:15328883:-1 gene:fgenesh1_pm.C_scaffold_8000989 transcript:fgenesh1_pm.C_scaffold_8000989 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MS95] MERYQNLMVGEALSKNRLYSFACNELSSILNLAYARLPKNVKALIFRDTLSAFRLLPDINTSAAVSAANLLLKSVEAVLPKQKKNLAIVEFKQAKVALKRRSKSCEEKDIDIPSLPQDILIHIFSFLDVSSLLSSAQVSRSWNQATHENSLWQTQFDLHFNQKVLIRIQSGIDWREAFKNAFIAANSSKALRSGRGYCSYCDSIVWHDDLRCANRQCRLKSGNKPLDLISTHQVVNYLLGIESSDDESESDDEAFPGRLWKLSYV >fgenesh1_pm.C_scaffold_8000994 pep chromosome:v.1.0:8:15368468:15373982:-1 gene:fgenesh1_pm.C_scaffold_8000994 transcript:fgenesh1_pm.C_scaffold_8000994 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEERISTKKKMDLEGLSVICSDLGVPEEDDDGRRIGYSKSDYCLDNLKDLLRFLRRDDPESREVFKQVCAWNIVAKDLIPIIEHYQDEHNLVLNAVKVLVFLTMPIEPSSDDIPQQLEYLWGLKSAITFSNIVAVIVSLLEAPLENLELDVFNEEDWKLVQLVLTLFRNLLAIHDISPIQKAGESTCYFLSLRDQFLEVLSRENVMDIILVITQTIESFNSLLRHDNLLLLEIYHYILLGQDMELVAKAPDKLDQGKKASVDSLKTLMKEEEVKRKLARLNNMNQRHSQFGGTFTQVTMDGTKAVLKGIPSTTESTMLKPQQGRGATDKIVWEHGPMSVTNDNSVCEDIEKEHPSIQNSDIVTFFQVAQSITSFQFHKSLASNPATGTEETSELSTNQKAGVNFSKSDICAPIAATINDRMFLLVISKWRCAFDGLKETKDFKFLYAAGSLVKTMLCLLDLVIKLLPEDSREAFTVRILLYKLFYDQTDQGMCQFILNLVRSFDTHKQPKSELGDLVESIHIIVGLMENLQGRGTLRVSKKSRKARKKKPIGNKEATVHKLSENHPSTSNEASTEKSIPMVDSTVSTEDGPMDVPPNKPEATNLETETDETQQMHSPKSNNMVDDLSSGSDDSSDGEEQTATDEVDFKVSTFISAFASNSIIQNICWLLKFYKSNPKQTNHHVISILRRITEDLELSPMLYQLSLLITFHKILDEQKVCPCKDYENIVTFLTDLVRNMLKKMKSQPLLFVEILFSKTRKECHYINAEYMLHELGHLRKQMGNQEKVSGTEEYGTSSEKGWARRSLADALGDDEADVVISYDQGFQNEDDGMVEDISAGPSKRKRRLVLDGDMEIKIKDLYDRYKDDKNCSRLIAENLDPDGGISAAQVTNKLKQLGLETRKRLRRGDTDHLDATSFAQPLNTRKRVSSFSKEQETLIKELYEKFKDQKRCCYLIANELGSENTYTSTQVSRKLKQLGLRLPRGKKSEAGMMLKDDHDDSSADKSDDETLLAFKNRKSRKNQKNKQHTRSSNEITPGDSEHYTERNETSQHVPTTGEEEDNDQNYITRESRESETDVHISNNAPSTLSPEDPNLSSDHELEDDELADSGDELADSGDDSAAGVASLTQSPLSRRKLKMVLDEDD >fgenesh1_pm.C_scaffold_8000996 pep chromosome:v.1.0:8:15384122:15387200:-1 gene:fgenesh1_pm.C_scaffold_8000996 transcript:fgenesh1_pm.C_scaffold_8000996 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLLYAKTQRFVLLIDLNPLLVKPNSEQYLAVVISAAEKLLSFPPLSASLFSFKFFFSSLSSLLSSSKLSSLSISSSSLSFDLPNPTLVSLKRAIDTVKRCELRSSSLAVAASPRGVNVAANLRQIVYDYAWEPVVRDPEIGMIPGFTDGGLDIVRSNLVVMFSPISRDLDWVSEFLDVKTGDKCFSDLDLFKSKLREIFDCVNELFDDRDIQLSWIDVKSGDGFGEICELGLKSGFFDSGIRELGWGHCSTDSIVFGSSIVPFGLIYPTIGICPKLSASQKFTVQASLEIEDINGKPMECKCGELDFSSSEISSGKRCDDFINLASGPEPVNQDSLIDQFCGGVTKLSIKALRMCDDLIELERYTCDTFVVHEVSQESDQDQEQESVFWADRVLQILVKETGEKVAKRSSPIWQILLSYLYREGYSALVSFSNSNGSLRTGILKPFTFSSALLCVFENGVSPQTVDHEDSRKKVSCNVYKRKPRKNTLNDISWEEFCRSVKDYGQIDLEDVYFSKFSKSKKFKFLKCWMKQISKPRGCSLSVASYCNALEDVGANPIEENSNSSEETEKASSSLPVAEEDIALSGNRISGKQENDASVHASESSENFFASLPSKIKQGIESEEIDLSALAERLVKSCVFYSSQGAEKDYSCESGTLLLVTDELTKMLLKDPKDLVAKFKKKDSSSMESERNADEAAPSSIVREYELQILFRMEILRCKIGLGSEESVTQKFAKQICMFLEGIQCKLDGGFFGEWSLDKYVDKSIKARYHHVLGEAVNIIYTEMDLLMFTDEDLEDSFMNNEDSSQSGRENIHSNFKSHHHSQRNEDVPGTSKQKNTEECREAKKEVEAQEMRERARRFSSFTSWMPDLCRVWAPKQVKNSKGKADQQPRMVKRKKEQRSVEYDRVCETPMTTIETKRTRTGNKDGYECEALPRSSVPKALFQDDSS >fgenesh1_pm.C_scaffold_8001005 pep chromosome:v.1.0:8:15466126:15467098:-1 gene:fgenesh1_pm.C_scaffold_8001005 transcript:fgenesh1_pm.C_scaffold_8001005 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWP-RK domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MSC7] MSSSKHSSVFNYSALFLSLILQQMDHNSLHQLDSPKIENQYEPDSLYDMLEKLPPLETLLDTEDLKPNAGLHFQFQYNSFDDFFENIEVDITIPSDVHLLTQEPYFSSGSSSPLAIQNDCLSSDERVEKRTVKKKRNLKKKRQDKLEMSEIKQFFDRPIMRAAKELNVGLTVLKKRCRELGIYRWPHRKLKSLNSLIKNLKSVGMEEEVKNLEEHRVLIEQEPDAELSDGTKKLRQACFKANYKRRKSLGDDYY >fgenesh1_pm.C_scaffold_8001028 pep chromosome:v.1.0:8:15655123:15656323:-1 gene:fgenesh1_pm.C_scaffold_8001028 transcript:fgenesh1_pm.C_scaffold_8001028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 10 [Source:UniProtKB/TrEMBL;Acc:D7MSX1] MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSESPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKNKYESTARSWTQKYAMG >fgenesh1_pm.C_scaffold_8001049 pep chromosome:v.1.0:8:15803525:15806666:1 gene:fgenesh1_pm.C_scaffold_8001049 transcript:fgenesh1_pm.C_scaffold_8001049 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOPT9 [Source:UniProtKB/TrEMBL;Acc:D7MSZ1] MAEIVEDSSRVMEIGGEVDDLDRCVVEEVELTVPKTDDPTLPVLTFRMWTLGLGACIILSFINQFFWYRQMPLTISGISAQIAVVPLGHLMARVLPTRMFLEGSKWEFCMNPGPFNVKEHVLITIFANSGAGTVYATHVLSAIKLYYKRSLPFLPAFLLMITTQFLGFGWAGLFRKHLVEPGEMWWPSNLVQVSLFSALHEKEKKKKGGMTRIQFFLIVLVTSFAYYILPGYLFTMITSISWVCWLSPKSVLAHQLGSGEQGLGIGAIGIDWATISSYLGSPLASPLFATINVTIGFVVIMYVATPICYWLNIYKAKTYPIFSSGLFMGNGSSYDVLSIIDKKFHLDREIYAKTGPIHMSTFFAVTYGLGFATLSATIVHVLLFNGRDLWKQTRGAFQRNKKMDLHARIMKKNYREVPMWWFYVILVLNIALIMFISFYYNATVQLPWWGVLLACAIAVFFTPLIGVIAATTNQEPGLNVITEYVIGYLYPERPVANMCFKVYGYISMTQALTFIQDFKLGLYMKIPPRSMFMAQVVGTLVSVIVYTGTAWWLMVDIPHLCDKSLLPPDSEWTCPMDRVFFDASVIWGLVGPRRMFGDLGEYAAINWFFLVGAIAPFFVWLATKAFPAHKWISKIHFPVILGATSMMPPAMAVNFTSWCIVAFVFGHFLFKYKRQWWTKYNYVLSGGLDAGTAFMTILIFLSLGRKGIGLLWWGNADDSTNCSLASCPTAKGVIMHGCPVL >fgenesh1_pm.C_scaffold_8001050 pep chromosome:v.1.0:8:15809461:15810344:-1 gene:fgenesh1_pm.C_scaffold_8001050 transcript:fgenesh1_pm.C_scaffold_8001050 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAPQISSQVSDSRRILLPSWQSKVKLGFSPVDLFSNFGPIPPRRPKPISWFKNLKANILRSIFIILMLSPRLYVREVSPLLPWLCIYSIRILVLVVFRDLWYAYKGLKNYDLIISNRFAGVSSVVFKHLNLTTISIIQILCYKVHVAIYRRDLNLLLWVSKLSSTDYRTIVSRILVIALPWVLQLTPMRNRLLTMTNLSSFESLEDDHSIHRDLTCLNVLPSPCLKALMDLKSMNLIYLFMALGMLFVVMF >fgenesh1_pm.C_scaffold_8001052 pep chromosome:v.1.0:8:15815319:15817244:-1 gene:fgenesh1_pm.C_scaffold_8001052 transcript:fgenesh1_pm.C_scaffold_8001052 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLLGAFKPACNISITFTDGKNRKQVPIKKDNGQIVMNPLFQSQETIAGKINIEPYQGKKVEHNGVKVELLGQIEMYFDRGNFYDFTSLVREIDVPGEIYERKTYPFEFSSVEMPYETYNGVNVRLRYVLKVTVTRGYAGSIVEYQDFVVRNYVPSPPINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVILGKIYFLLVRIKIKNMDLEIRRRESTGAGANTHVETETLAKFELMDGAPVRGESIPVRVFLTPYDLTPTHKNINNKFSVKYYLNLVLVDEEDRRYFKQQEITLYRLKEETS >fgenesh1_pm.C_scaffold_8001060 pep chromosome:v.1.0:8:15902332:15903332:1 gene:fgenesh1_pm.C_scaffold_8001060 transcript:fgenesh1_pm.C_scaffold_8001060 gene_biotype:protein_coding transcript_biotype:protein_coding MATFDIVAIPQDASYVTFDALQLGRSNQQIVGRLLRFWEATNNKEDGELMGIVLLFLDEKNTVIHGFIPASLVDHNRYVLQESEIFNLSGFEVGRSTNLYKITDNPLAIRFLPSTNMTKIGNIGVTINQEKFMLQNSNLLQALANTNLALPDVVGQVMFVQGSNLHDGTSKERLVMRFKMDTSVIVYLQLWGEAASTFRSQISKKKTNKNVMVVTTINPKLFGGKSFYNKLIIYLIKYFTSY >fgenesh1_pm.C_scaffold_8001064 pep chromosome:v.1.0:8:15988020:15988749:1 gene:fgenesh1_pm.C_scaffold_8001064 transcript:fgenesh1_pm.C_scaffold_8001064 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSYPILPSDYEILRSTYNRLQLEWSTHDLISHCVQEEERLTSEKKEHDLVTGKVICDKKRKHYDQCL >fgenesh1_pm.C_scaffold_8001072 pep chromosome:v.1.0:8:16080241:16082016:1 gene:fgenesh1_pm.C_scaffold_8001072 transcript:fgenesh1_pm.C_scaffold_8001072 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDHDGSPSGNTKREVEDREIRIKSSREKPSGAGKDSGEEKDVSRRRESKKRTKDGNDSGSESGLESGSESESGKEEERRRSRKDRGKRKSDRKSSRRRRRDYSSSSSDSESESESEESESEDERRRRKRKRREREEKERKRRRREKDKKKRNKSDKDGDRKKKKKKKSEKVKKGAVTESWGKYGIIRETDMWNKRPEFTAWLLEVKKVNLESLPPWEEKKMFKDFMEDHNTGTFTSKKYYDIDGYYRLKLEKEMKKGLKKAGISERTVFNDEEQRRLEMQELRERQKEEEVLALKRSMEGGMAQAMKEQARLKEEMVYLYKIGDMEGAAAIQRRLDPDVPM >fgenesh1_pm.C_scaffold_8001076 pep chromosome:v.1.0:8:16095531:16099253:-1 gene:fgenesh1_pm.C_scaffold_8001076 transcript:fgenesh1_pm.C_scaffold_8001076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable bifunctional methylthioribulose-1-phosphate dehydratase/enolase-phosphatase E1 [Source:UniProtKB/TrEMBL;Acc:D7MTK8] MAVAAAAMIGFPQAYLEGKEVKETSSLVTELCRHFYTQGWVSGTGGSITMKVHDASIPKPEQLIVMSPSGVQKERMQPEDMYILSANGSIISTPSPKPYPNKPPKCTDCAPLFMKAYEMRNAGAVIHSHGMESCLVTMLNPQAKEFRITHMEMIKGIQGHGYYDELVVPIIENTAYENELTDSLTKAIEAYPKATAVLVRNHGVYIWGDSWIHAKTQAECYHYLFDAAIKLHQLGLDAATPDHGPIRRTMHSQNQISKKLSVKSGVKDSQNETEWPRRCIVLDIEGTTTPITFVTDVLFPYARENVGKHLNLTYDTAETQEDIKLLRAQVEEDLRQGVTGAVPIPHADEGKEKVIAAVVSNVEAMIRADRKITALKELQGHIWRTGFECNELKSVVFEDVADALEKWHSSGIKVYIYSSGSRLAQKLLFGNTDYGDLRKYLSGFFDTTIGNKKESKSYKEITETLGMDDPSEILFVTDVYQEATAAKAAGLEAIISIRPGNAPLPENHGFKTVTSFSQI >fgenesh1_pm.C_scaffold_8001092 pep chromosome:v.1.0:8:16237142:16240325:1 gene:fgenesh1_pm.C_scaffold_8001092 transcript:fgenesh1_pm.C_scaffold_8001092 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGAVNFNNVEYIARVGWVTYAEKVPLWNPKTGKSTDFNTSFSFRIDTRNLSNYGHGICFFLAPVGTQLPVNSAGGFLGLFTRIEDHISSFPLVHIEFDSFSNKEWDPTTVGSHVGINNNSLVSSNYTSWNASSHSQDIGHAKISYNSVTKNLSVSWAYELTSDPLESVGISYIIDLAKVLPPDVTVGFSAATGSNIEGHRLLSWEFSSSLDSEKASIRKGLIVGISVSGFVFLFSLVTIVVVLLQKHRKRKAKEIKDLVSLNEDLERDAGPRRFVYKDLVIATNKFSAQRKLGEGGFGAVYRGYLNEMDMMVAVKKLSGGSKQGKKEFVTEVKIISKLRHRNLVQLIGWCNEKNEYLLIYEFMPNGSLDTHLFGKRPHLSWDIRYKIALGLSSALLYLHEEGDRCVLHRDIKASNIMLDINFNVKVGDFGLARLMDHGLGSHTTGIAGTFGYMAPEYVIDGRASKESDIYSFGIGILEIVTGKKSVDLSQENTETEAETSLVERVWDLYGRQELMSAIDKKLGEDFDKKQAECLLVVGLWCGHPDRNSRPSIRQAIQVLNLESPLPQLPPKMPSATFHISPSSSLLLSSNRVSVIQFGYGNIITIRILEKFQFGLVCTFVMIIAGSNGSL >fgenesh1_pm.C_scaffold_8001097 pep chromosome:v.1.0:8:16251208:16251709:-1 gene:fgenesh1_pm.C_scaffold_8001097 transcript:fgenesh1_pm.C_scaffold_8001097 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCASKPKESDIPETEKTVVESKNVETETIPQEKADEVVAEKKEESAGDVAETQKEAEAAKPAEAEPAKPAEAEAAKPAEVEQAKPAEAEPAPEAVKTEEAVVETVKETEPTKQEEAVVAANDTKTNEEPLVTL >fgenesh1_pm.C_scaffold_8001112 pep chromosome:v.1.0:8:16376290:16376744:1 gene:fgenesh1_pm.C_scaffold_8001112 transcript:fgenesh1_pm.C_scaffold_8001112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 83 [Source:UniProtKB/TrEMBL;Acc:D7MU67] MKMESLKKLVVFTLIVLIGVSSDHVSGNLAPEEASNQLCFNPCTPKLGNNECNTICVNKKYKEGSCVGFGIPPTFKYCCCKN >fgenesh1_pm.C_scaffold_8001114 pep chromosome:v.1.0:8:16392890:16394380:-1 gene:fgenesh1_pm.C_scaffold_8001114 transcript:fgenesh1_pm.C_scaffold_8001114 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSSSEESEVKKGPWTPEEDEKLVGYIQTHGPGKWRTLPKNAGLKRCGKSCRLRWTNYLRPDIKRGEFSLQEEETIIQLHRLLGNKWSAIAIHLPGRTDNEIKNYWNTHIKKKLLRMGIDPVTHCPRINLLQLSSFLTSSLFKSMSQPMNTPFDLTTSSINPDILNHLTASLNNVQTESYQPNQQLQNDLNNDQTTFTGLLNSSTPPVQWQNNGEYLGDYLSYNGSGDPSMNQVPQTGNYSSAAFVSDQINDGENFKAGWNFSSSMLHGTSSSSSTPLDSSSNFYVNGGSEDDRESYGSDMLMFHHHHDQNNNALNLS >fgenesh1_pm.C_scaffold_8001123 pep chromosome:v.1.0:8:16467129:16468408:1 gene:fgenesh1_pm.C_scaffold_8001123 transcript:fgenesh1_pm.C_scaffold_8001123 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSMSSSSSSSSPQKPDQKLKSPVVTVEEKETRNNPEQRSPQEVVAENTILELPTFGFEVVRTKNNTVLYRGSSYKRKMTDSMPSGGTSLAPFQSFPFLSSGPFMYGQGGSSYKMILILISLLVHRLSPQEAATIALTHRSLGMCSLPCVLVCLDTILGNGSGFSPSKSLFLMAQNKAMMPPFASPYPTTNLYNGMGSSHSKSLISMEKNIAMVPPFATPSRTTTDLSLSLQENGSGSSNSKSLISKGGKEVVVIEDDDDDDDEEEEDIVTISWLNKKKRSRRE >fgenesh1_pm.C_scaffold_8001129 pep chromosome:v.1.0:8:16535959:16543611:-1 gene:fgenesh1_pm.C_scaffold_8001129 transcript:fgenesh1_pm.C_scaffold_8001129 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLAQFQSIKNSCDRLVTAVEDVCDLWPTVKGLFEEHQPLKRAFLTNKTRNPVFVENLPVEFILTTDARLRSRFPQEQYLFWFREPYATIVLVTCEDLDEFKNILKPRLKLIVQNDEREWFIVFVSKAHPSNDQATKSVKKVYAKLEVDFSSKKRERCCKLDVHGPDGNFWEDLELKITECIRNTLDRRAQFYEDEIRKLSEQRFMPIWNFCNFFILKESLAFIFEMAHLHEDALREYDELELCYLETVNMPGKQRDFGGFDSEDDQAVLLKPGSKPLTQIVQDDSFREFEFRQYLFACQSRLLFKLNRPFEVASRGYSFVISFAKALTLHESVLPFCMREVWVITACLALIEATASHHHDGVVAPDIEKEFFRLQGDLYSLSRVKFMRLGYLIGYGTDIEKSPLNSACLSMLPWPKPAVWPSLPQDASSEVLEKEKTILQATTKTKHFGIQRKALPLEPSVLLRVANRRRASLSTGNIPEIFDGRPSFTEGSGLEASPRTPSSLKVQAPPMSRTNSSPGNFESPLDRPMRLAEIFVAAEHALRLTISDHDLLKTLSSIQDFENKYLNLTKGAAENYHRSWWKRHGVVLDGEIAAVCFKHGKYDLAANSYEKVCALYAGEGWQDLLAEVLPNLAECQKILNDQAGYMSSCVRLLSLDKGLFSSKERQAFQSEVVTLAHSEMKNPVPLDVSSLITFSGNTGPPLQLCDGDPGNLSVTVWSGFPDDITLDSLSLTLVATNNTDEGGQALKSSAATVLKPGRNTITFALPPQKPGSYVLGVVTGQIGRLRFRSHSFSKGGPADSDDFMSYEKPTRPILKVSKPRALVDLAAAVSSALLINEAQWIGIIVRPIAYSLKGAILHIDTGPGLKIEDSYGIEMERYMDTDCDAGASKADVFVEDRPVSPKRDSEVLNLCEGKIVFSDWASNVSSILWVPVRALSEKLARGSSSVTPLKQDILEGMRTVALKLEFGVHHNQIFERTIAAHFTDPFDVTTRVANKCNDGTLVLQVMLHSLVKANLIVLDAWLDLQDGFVHGQNDGRPTSTFFPLVVSPGSRAAVVFSICLDKTMSSEGKDLQLPESILNIKYGIHGDRAAGAHKPVDADHTGTDTEGRDLVFKSAIVLQRPVLDPCLTVGFLPLPSDGLRVGKLITMQWRVERLKDLKESEAVEQQHDEVLYEVNANSENWMIAGRKRGHVSLSEEQGSRVVISILCVPLVAGYVRPPQLGLPNVEEANVSSNPSGPHLVCVLPPLLSSSYCVPIK >fgenesh1_pm.C_scaffold_8001139 pep chromosome:v.1.0:8:16640229:16642355:1 gene:fgenesh1_pm.C_scaffold_8001139 transcript:fgenesh1_pm.C_scaffold_8001139 gene_biotype:protein_coding transcript_biotype:protein_coding MMASDPKLKAEIGADGLAREAPVIAYTEKIIEEEQVQLRKYIEENYTKIRDVEREFGNLTMELKLTAGPKKAAMEHLRKKIEISTERIHVAKLEEEEARKDEEAAKQSLCEDLNRLVQQSSNTQYARLEELKRRLEALNPNRSSTSIQQVQEPETKSVVDSSPAANANETHPGKPENNHGNEEGGKEHGKRPATGQQLKENQKRKRNHRIKEREEELES >fgenesh1_pm.C_scaffold_8001165 pep chromosome:v.1.0:8:16790059:16791875:1 gene:fgenesh1_pm.C_scaffold_8001165 transcript:fgenesh1_pm.C_scaffold_8001165 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQQDRLSSLPDVLLIVIISFLPFKECVRTSALSRRWRYLCQETRNLSFRESDFVSPYITGEYSRFAACASFFSFADNWLSRIQDQVVESFEICFSNPVGFEHKIEALIEYAVSKRVKNLVIDLSNPAWRNYNDISSVCVSYLHFIVTLPKSVYSLTTLESLKIYGCKFDPSRFTNPVLLRRLSIGWMRLENLQSLLSKATSLLSLSIKECWGVDMTWMAGQFRELVLENLDFSYMQCSFELPRIHSLKYSGQVFDFYFDIMNVIIPKVYLDFGEEREYDQPTQSTRISGEVISRILNDLRAASTLAVCPYILQTIRECKKPFYMLQPMETRHLVLRTKMSTKELNGIIILLKNCPNLETLSFEILPSRPFQRNSSYEGIDPKTFWMQNRTCESLRKTLKVVVVRDFGGSSNELNVLRYLIRLASGGGNALERVELYVPNGMEESQAMVVFAKAEMLQRTSKHVQVLCAQLLEN >fgenesh1_pm.C_scaffold_8001171 pep chromosome:v.1.0:8:16817978:16820493:1 gene:fgenesh1_pm.C_scaffold_8001171 transcript:fgenesh1_pm.C_scaffold_8001171 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSSLSSILSIVVLILIVSTAESVKSPFHPRDLLPHLPRQVSWPILNSLYGAADLLPTFIGTARSGNDSVDWKGACFYDNTAWLEFHNKSGSEFGGGTLHIKADKAHSWTCMDLYVFATPYRVTWTWYFISRQHTVEFPEWDGRAEYEYVKNQGVSIFLMHAGMLGTLQALWDVFPLFTNTGWGESSNLAFLEKHMGANFEPRPEPWVTNVTTDQIQSGDLLAISKIRGRWGGFETLEKWVSGAYAGHSAVCLRDSEGKLWVGESGNENDKGEDVIAILPWEEWWAFEQTKDDSNPQIALLPLHPNVRAKFDVAAAWKYARSMEGKPYGYHNLIFSWIDTVSENYPPPLDAHIVASFMTVWSQMQPEYAANMWNEALNKRLGTEGLDLSDVLVEVEKRGSSFDKLLAVPEQDDWIYSDGKSTSCIAFILELYKEAGLFGPLANSIQVTEFTIKDAYMLNFFENNASRLPTWCNANDNVKLPYCQILGKYRMELPGYNTMEPYSHMNEQCPTLPPKYNRPDNC >fgenesh1_pm.C_scaffold_8001173 pep chromosome:v.1.0:8:16822262:16823796:1 gene:fgenesh1_pm.C_scaffold_8001173 transcript:fgenesh1_pm.C_scaffold_8001173 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRRSLLTLAKEQKDLFLLLCNLRARFVSTDNYDPPFSPLSKPTKPPKEKKKTKKKQDQSSELKIPMISDLPFDFRYSYSETNPEIEPIGFREPKRFSPFGPGRLDRKWTGTSALVSPEIDQSQWVEERARVLGEPLTEEEVTELVERYRHSDCSRQINLGKGGVTHNMIDDIHNHWKKAEAVRIKCLGVPTLDMDNICFHLEEKSGGKIVYRHINILVLYRGRNYDPKSRPIIPLMLWKPYPPIYPRLVKNVADGLTFEETKEMRNRGIHSPALMKLTRNGVYVNVVGRVREEFETEEIVRLDCTHVGMSDCKRIGVKLKDLVPCVPILFKDEQIILWRGKRNGEEELFSLCENFNPEQ >fgenesh1_pm.C_scaffold_8001194 pep chromosome:v.1.0:8:16928752:16929095:1 gene:fgenesh1_pm.C_scaffold_8001194 transcript:fgenesh1_pm.C_scaffold_8001194 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHRLLTLMVFVLLLIPMISGGQITDKCTEGCRSEMACNLHCLNRGLGHCEKAILHGAPVIFCCCNEYSNSPISSPVMND >fgenesh1_pm.C_scaffold_8001202 pep chromosome:v.1.0:8:16962090:16962763:-1 gene:fgenesh1_pm.C_scaffold_8001202 transcript:fgenesh1_pm.C_scaffold_8001202 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGSRLSRTSSRYSGPSATAVFSGRVRKWKKKWVRVSTSSVGVFRASKSNGRNNNNSNSPHHLLLHKWTPLTTATVTASDANGSGETEEPPKRRFRYAPIAMLEHREKVVSKDSEIEETEEFDTESPLPKAVELDMNITDSDQTKEAKTGNLNLGLCLNSEGTDE >fgenesh1_pm.C_scaffold_8001213 pep chromosome:v.1.0:8:17039142:17042609:-1 gene:fgenesh1_pm.C_scaffold_8001213 transcript:fgenesh1_pm.C_scaffold_8001213 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATFIM1 [Source:UniProtKB/TrEMBL;Acc:D7MKH3] MTGFVGVIVSDPWLQSQLTQVELRSLNSKFVSLKSQSGKVTLEDLPSVLVKVKSLSSAFKEKEIRELLGGLGSDYESDDDLDFESFLKVYLNLRDKAADKSGGLKHSSSFLKAGTTTLHTINQSEKGSFVLHINRYLGDDPFLMQFLPLDPDSNDLYELVKDGVLLCKLINIAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAVGCSVVNIGTQDLAEGRPHLVLGLISQLIKIQLLADLSLKKMPQLVELVEDNEDIEEFLRLPPEKVLLKWMNFHLKKGGYKKIVGNFSSDLKDAQAYAYLLNVLAPEHCDPATLNAEDDLERANMVLEHAERMNCKRYLTAEELVEGSSYLNLAFVAQIFHERNGLSTDGRFSFAEMMTEDLQTCRDERCYRLWINSLGIESYVNNVFEDVRNGWILLEVVDKVYPGSVNWKHASKPPIKMPFRKVENCNQVVKIGKEMRFSLVNVAGNDIVQGNKKLILGFLWQLMRTHMLQLLKSLRSRTRGKDMTDSEIISWANRKVKIMGRKSQIESFKDKSLSSGLFFLDLLWAVEPRVVNWNLVTKGESDDEKRLNATYIVSVARKLGCSVFLLPEDIVEVNQKMILILTASIMYWSLQQQSSSSESSSSSSDSSSTHSTTTTCTSTCTSTDASPAPSVTGEDEVSSLNGEVSSLTIEEDNEVSSLTMEEDNDAEILSDITSISEEATIE >fgenesh1_pm.C_scaffold_8001215 pep chromosome:v.1.0:8:17046441:17047704:1 gene:fgenesh1_pm.C_scaffold_8001215 transcript:fgenesh1_pm.C_scaffold_8001215 gene_biotype:protein_coding transcript_biotype:protein_coding MALFFSRISKLSVRKNNARFFSSLPQSPCLVLTTKKLRESPEEGLIVHQKLFDPTTEKKVYSKEKKYPKELRGAPLLGASKGWVASFKEKDITVHLTDLYKPCVSSPRVISLPSLRSEYKPSSYATEVCLSSSDPVQDDYYVAAKFNDYHVSVCRPRRGSEWTHNRTGYTLLPASELMYSKRDKAFHFASFKGLYMGSLYLSNNDEIKYKEIRLRNLPKIPEAGWEMLDKCSLTNHLVESPSGELFFIKWYTQCLHEEDEDGDLEFIHSSTKRFMVFRQDGTSKDFCYTEDIGDLCIFLSGSEAFCVTASLSPGLKPNSIYYLGPRLGSYDLASGTNSPFKFDRFGKPSLVVPFWIHSTSSLSSS >fgenesh1_pm.C_scaffold_8001228 pep chromosome:v.1.0:8:17103546:17104243:-1 gene:fgenesh1_pm.C_scaffold_8001228 transcript:fgenesh1_pm.C_scaffold_8001228 gene_biotype:protein_coding transcript_biotype:protein_coding METSASSSLCRVPSLWTVGTRPRQIKSTASFVSPGRRRRSHGLLMISNRRLRTTPSALSELADTVAETGKSEITWQIIVGTIAGIIPFVVAGVEFSKRIIAQKRCEECGGTGLVFRDNKYFRCPECGGFLPWQSWRRFFTG >fgenesh1_pm.C_scaffold_8001268 pep chromosome:v.1.0:8:17335400:17336936:1 gene:fgenesh1_pm.C_scaffold_8001268 transcript:fgenesh1_pm.C_scaffold_8001268 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB103/AtMYB80 [Source:UniProtKB/TrEMBL;Acc:D7ML80] MGRIPCCEKENVKRGQWTPEEDNKLASYIAQHGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGQFSETEEHIIVKFHSVLGNRWSLIAGQLPGRTDNDVKNYWNTKLKKKLSGMGIDPVTHKPFSHLMAEITTTLNPPQVSHLAEAALGCFKDEMLHLLTKKRVDLNQINFSNHNPNANPNNFNQIADNEAGKIKMSGLDHGNGIMKLWDMGNGFSYGSSSSSFGNEERNDGSASPAVAAWRGHGGIRTAVAETAAAEEEERRKLKGEVVDQEEIGSEGGRGDGMMMMMRNHHQQQQHVFNVDNVLWDLQADDLINHMV >fgenesh1_pm.C_scaffold_8001287 pep chromosome:v.1.0:8:17456240:17459252:1 gene:fgenesh1_pm.C_scaffold_8001287 transcript:fgenesh1_pm.C_scaffold_8001287 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSLGWVFVLIFISITIVSSAQAPPKPKPAPAPTPAPKPKPAPPKPKPAPATTPEPKPKPAPKPAPAPTPAPKPKPAPKPAPGGEVEDETEFSYEMKGNKGPAKWGTLHAEWKMCGIGKMQSPIDLSDKNVVVSNKFGSLRSQYLPSNTTIKNRGHDIMLKFKGGNKGIGVTIRGIKYQLQQLHWHSPSEHTINGKRFALEEHLVHESKDKRYAVVAFLYKLGASDPFLFSLEKQLKKITDTHASEEHIRTVSSKQVTLLRVAVHDASDSNARPLQAINKRMVYLYKPKVKLMKKYRNTSFY >fgenesh1_pm.C_scaffold_8001293 pep chromosome:v.1.0:8:17543097:17544112:1 gene:fgenesh1_pm.C_scaffold_8001293 transcript:fgenesh1_pm.C_scaffold_8001293 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRKRKLYRVGFLGDFVTAFEKGIYTDIILKPGGDGPKIPAHRAILAVKSKVFSYMLASDEFKTSIEKSITIPDLSYEELKTLLEFFYSGVLSFANIHNRALYLAADKYDIEYLQDVCRNQLIFSIISLQDKILMEYAISFIVKHMEEIVNSNGYKTFVRRNPDLSLDITKAYVIYV >fgenesh1_pm.C_scaffold_8001295 pep chromosome:v.1.0:8:17566076:17568496:1 gene:fgenesh1_pm.C_scaffold_8001295 transcript:fgenesh1_pm.C_scaffold_8001295 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWCRFEPLNHRVSANAKSESPKEQSPTVEDKHIKEVQKLPSNPKEVEDLRRDSAANPLIAFTYEELKNITGNFRQDRVLGGGGFGSVYKGFIKEDLGDQDVPQPLPVAVKVHDGDNSFQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDNHRVLIYEYMARGSVENNLFSRVLLPLSWAIRMKIAFGAAKGLAFLHEAKKPVIYRDFKTSNILLDMEYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTPGSDVYSFGVVLLELLTGRKSLDKSRPTREQNLIDWALPLLKEKKKVLNIVDPRMNCEYPVKAVQKAAMLAYHCLNRNPKARPLMRDIVDSLEPLQATEEEALLVPTVQKAVVTIIDEMPKNGLKKVEELKKVEELKKVDEVKKVIEDDANSHCN >fgenesh1_pm.C_scaffold_8001297 pep chromosome:v.1.0:8:17574874:17575393:-1 gene:fgenesh1_pm.C_scaffold_8001297 transcript:fgenesh1_pm.C_scaffold_8001297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7MLS4] MKEQIFYQFLVVIMLLSSSQIQGERCDDSGIEFLRGCPDSIDKELPTPPRPSQDCCTLVRIIGMKCICEVINKRIEAVIDMQKLVNVAAACGSPLAPGSHCGSYLVPGGMVRHHHG >fgenesh1_pm.C_scaffold_8001314 pep chromosome:v.1.0:8:17678792:17679964:1 gene:fgenesh1_pm.C_scaffold_8001314 transcript:fgenesh1_pm.C_scaffold_8001314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7MM63] MCNLLNIEKDPIRVLITGAAGNIGYAIAPMIARGMMLGPDQPMILHLLDIEPASSSLEAVKMELQDSAFPLLKGVIATTDVVEACKDVNIAIMIGGFPRIAGMERKDVMSKNVVIYKAQASALERYASEDCKVLVVANPANTNALILKEFAPSIPEENITCLTRLDHNRALAQLADKLSVPVSSVKNVIIWGNHSSTQYPDTNHATVSTKSGDRPVKELVTDHNWLKSEFITEVQQRGAAILRARKQSSALSAASAACDHIRDWFLGSPKGTWVSMGVCSDGSYGIPPDLVYSFPVICEKGSWKIVQGLNIDAFSREKMDDSARELAEEKELAYSCLNA >fgenesh1_pm.C_scaffold_8001343 pep chromosome:v.1.0:8:17863664:17866769:1 gene:fgenesh1_pm.C_scaffold_8001343 transcript:fgenesh1_pm.C_scaffold_8001343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MM98] MVVMTNKFFESIGGAPSYTSVSVAVKGSVGDAVGGTASRRALRWTIENFLPKIDRLVLVHVMPTVTSIPSPSGSKIPVEELEESVVSMYKRDLRKEYEQVFVPFKRICKSNKVETLLLEHHDPAKALLKYMLDSEVECLVIGSCSSNFLTRKKGLEMPLTVLGEAPETCEIYVVCKDRILTKSTNQFTADSSSSFRIPDGAEAYTESFSRSRSEKTGLSASSISSSGRKQIGRPDSLPHSHPTSRVFSDAQSSTDFGLADDEHTRSILRYSTVSSSQRQLDPRPHIKTPKSGVQAEVEKLRKEVQTTLSMYKQACEELVHKQTQVQSLSSECIKETERVITALEKEEMRRKAAAEEKEKHLKAVREVEEAKSMLAKEFCERQLAELDALKQSIEKQKVIEQLFLRDGRYRKYTKEEIAAATDNFSSRKIIGEGGYGKVYKCSLDHTPVALKVLKPDSVEKKEEFLKEISVLSQLRHPHVVLLLGACPENGCLVYEYMENGSLDCHISPKKGKPSLSWFIRFRIIYETACGLAFLHNSKPEPIVHRDLKPGNILLDRNFVSKIGDVGLAKLMSEEAPDSVTVYRNSIIAGTLYYMDPEYQRTGTIRPKSDLYAFGIIILQLLTARHPNGLLFCVEDAVKRGCFEDMLDGSVKDWPIAEAKELARIAIKCSQLKCRDRPDLSTQVLPALKRILDSANSRLKTEQANVRPPTHYYCPILKEIMEDPQIAADGFTYEGKAIKAWFQKHQNVSPVTKHRLKNSDLTPNHTLRSAIQEWRSRLRLGLSTTLGSY >fgenesh1_pm.C_scaffold_8001354 pep chromosome:v.1.0:8:17940423:17942003:1 gene:fgenesh1_pm.C_scaffold_8001354 transcript:fgenesh1_pm.C_scaffold_8001354 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPAP28/PAP28 [Source:UniProtKB/TrEMBL;Acc:D7MMS0] MKSSMGNWKHTVLYLTLIISLVYFIESLISHKLHINHNKIHLKRSPNLPLRFRDDGTFKILQVADMHFGMGIITRCRDVLDSEFEYCSDLNTTRFIRRMIEAERPDLIAFTGDNIFGSSTTDAAESLIQAIGLAIEYGIPWAAILGNHDQESTLNREELMTFLSLMDFSVSQVNPPVEDDSNQIKGGAMRLIDGFGNYRLRVYGAPGSVLANSTVFDLFFFDSGDREIVQGKRTYGWIKESQLRWLQDTSKQVHNQRIIGNPPALAFFHIPILEVRDLWYTPFIGQFQEGVACSIVQSGVLQTFVSMGNVKAAFMGHDHVNDFCGNLKGIWFCYGGGFGYHAYGRPNWHRRARVIEAKLGKGRDTWTGIQLIKTWKRLDDQDLSKIDEQVLWETSDSFLK >fgenesh1_pm.C_scaffold_8001363 pep chromosome:v.1.0:8:18005423:18007172:1 gene:fgenesh1_pm.C_scaffold_8001363 transcript:fgenesh1_pm.C_scaffold_8001363 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP81F2 [Source:UniProtKB/TrEMBL;Acc:D7MMT4] MDYVLIVLPLALFLIAYKFLYYKFLYSSKTQRFNLPPGPTPFPIVGNLHLVKPPVHRLFLRFAEKYGDIFSLRYGSRQVVVISSLPLVRESFTGQNDVILTNRPHFLTAKYVAYDYTTIGTAAYGDHWRNLRRICSLEILSSNRLTGFLSVRKDEIRRLLTKLSREYDHGRVVELEPLLADLTFNNIVRMVTGRRYYGDQVHNKEEANLFKKLVTDINDNSGASHPGDYLPILKVFGHSYEKKVKALGEAMDAFLQRLLDECRRNGESNTMVSHLLSLQLDQPKYYSDVIIKGLMLSMMLAGTDTAAVTLEWAMANLLKNPEVLKKAKAEIDEKIGEERLVDEPDIANLPYLQNIVSETFRLCPAAPLLVPRSPSEDIKIGGYDIPRGTIVLVNAWGIHRDPKLWDEPERFMPERFENEEAAKKLMVFGNGRRTCPGATLGQRMVLLALGSLIQCFDWEKVNGEDIDMTENPGMAMRKLVQLRAVCHKRPIMTNLLA >fgenesh1_pm.C_scaffold_8001367 pep chromosome:v.1.0:8:18017956:18019551:-1 gene:fgenesh1_pm.C_scaffold_8001367 transcript:fgenesh1_pm.C_scaffold_8001367 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B10 [Source:UniProtKB/TrEMBL;Acc:D7MMT7] MTLLLFLPLLFLIVILLVAVKHTKRQLRRQPPSPPGLPIIGNLHQLGELPHQSLWKLSKKYGPVMLLKLGRVPTVIVSSPETAKQVLKDYDLHCCSRPSLEGTRKLSYNYLDIAFSRFDDYWKELRRLCVKELFSTKRVKSIQPIKEAEMKKLIDSITESASQKTLVNLSDTFLSLNVNVVCKAAFGVNFQGTVLNNDKFQGLVHEALEMLGSFSASDFFPYIGWIFDWYTGLHARRERSVRDLDAFYEQMIDLHLQKNREESEDDFVDLLLRLEKEEAVLGYGKLTRNHIKAILMNILLGGINTSAITMTWAMAELARNPRVMKKVQTEIRDQIGKNNKTRIVSLDEINHLNYLKMVIKETCRLHPVAPLLVPREVTSEFHINGYTIQPKTRLHVNVWATGRDPEIWKDPEEFFPERFMDCDIDVKGQDFELLPFGSGRRICPAMYMGITTVEFGLANLLYQFDWKLPEGLAVEDIYMDEASGLTSHKKHDLLLVPVKSLDP >fgenesh1_pm.C_scaffold_8001383 pep chromosome:v.1.0:8:18100763:18101721:1 gene:fgenesh1_pm.C_scaffold_8001383 transcript:fgenesh1_pm.C_scaffold_8001383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7MNA0] MNSFEPQTQDSLQRRFHQDNTTTHQPRDTTTPFISKPASKNHNNSNSSSEAAGRSFQGFGLNVEDDLVSSVVPPVTVVLEGRSICQRISLDKHGSYQSLALALRQMFVDGADSTDDLDLSNAIPGHLIAYEDMENDLLLAGDLTWKDFVRVAKRIRILPVKGNTRKVKRNE >fgenesh1_pm.C_scaffold_8001403 pep chromosome:v.1.0:8:18276070:18278421:-1 gene:fgenesh1_pm.C_scaffold_8001403 transcript:fgenesh1_pm.C_scaffold_8001403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:D7MNU8] MESPSIGDSVTARMIPRHSSLDSFGAMKVSLLVNLASIRVSKAELRQRVMLPKYLRIAIRDCILRKDDSFAASSSVAPPLDNALTPEVPLMVFVNPKSGGRQGPLIKERLQNLISEEQVFDLTEVKPNEFIRYGLGCLEALASRGDECAKEIREKMRIVVAGGDGTVGWVLGCLGELNLQNRLPVPPVSIMPLGTGNDLSRSFGWGGSFPFAWKSAIKRTLHRASVAPISRLDSWNILITMPSGEIVDPPYSLKATQECYIDQGLEIEGEMPPSTNGYEGVFYNYFSIGMDAQVAYGFHHLRNEKPYLANGPIANKIIYSGYGCSQGWFLTHCINDPGLRGLKNIMTLHIKKLDSSEWEKVPVPKSVRAVVALNLHSYGSGRNPWGNLKQDYLEKRGFVEAQADDGLLEIFGLKQGWHASFVMVELISAKHIAQLMRKKMGFNKQAAAIRLEIRGGDWKDAFMQMDGEPWKQPMTRDYSTFVDIKRVPHQSLVVKGD >fgenesh1_pm.C_scaffold_8001406 pep chromosome:v.1.0:8:18300638:18302182:-1 gene:fgenesh1_pm.C_scaffold_8001406 transcript:fgenesh1_pm.C_scaffold_8001406 gene_biotype:protein_coding transcript_biotype:protein_coding MELENGFPPYPDFLKIFNSHEHAQRLVIPRGYNKYYPNPLPQTAVLKKPEGSFWNVKWTISQEETIRFQEGWEKFVKDNGLIDRDFLLFTYDGCRSFWVRIHRNGLPLEPTAPIKIQEISDDEDDTIGHGDHHMEEGDSHENMIVSLSLGSSDEADDDDDYDTTICEVNKASESSKKGRLARKHRADSIAASIDPETYLDDPKNPFFISTSSCSRRVLVIARQVIKDYDLNFDGTIKLIDGFGELTRKVGKWKDRVVVYKWDEMFTRNKANQGDAIICEVIREEDVVRSIKVHFVKN >fgenesh1_pm.C_scaffold_8001419 pep chromosome:v.1.0:8:18358099:18359184:-1 gene:fgenesh1_pm.C_scaffold_8001419 transcript:fgenesh1_pm.C_scaffold_8001419 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSVVDGITTDPAAMVLPLDDHMVHRGHGVFDTAMIINGYLYELDQHLDRILRSASMAKIPLPFDRETIKRILIQTVSVSGCRDGSLRYWLSAGPGDFLLSPSQCLKPTLYAIVIKTNLAINPTGVKVVTSSIPIKPPEFATVKSVNYLPNVLSQMEAEANGAYAGIWVSEDGFIAEGPNMNVAFVVNGGKELVMPRFDNVLSGCTAKRTLTLVEQLLSKGMLKSVKVMDVTVEDGKKADEMMLIGSGVLIRPVIQWDEEFIGDGKEGPIAKALLDLLLEDMRSGPPSVRVLIPY >fgenesh1_pm.C_scaffold_8001424 pep chromosome:v.1.0:8:18377576:18378771:-1 gene:fgenesh1_pm.C_scaffold_8001424 transcript:fgenesh1_pm.C_scaffold_8001424 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSHKDFISLSPNPFEDPKVRLRHHSLMQDYGELHMETEAMRKRLQAVRERKATLMAEVRFLRRRYRHLREDQPRDIKKVRGRSNGGRNIRVEVSTNKRSETETKHVSLPDLNHLGKAHDETKTSPKRRVPLFDLNQISGEEEQETEAVDNSNQEKTKVEESSSCKRMSSSIEMQQKDVKLSSCRNGGNGSNKRKISWQDPVAALRV >fgenesh1_pm.C_scaffold_8001425 pep chromosome:v.1.0:8:18379961:18380583:1 gene:fgenesh1_pm.C_scaffold_8001425 transcript:fgenesh1_pm.C_scaffold_8001425 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMMCCLLLLFGLLSEGREILVGGKSNTWKVPESRDETLNQWSERTRFKIGDSLLWKYNAENDSVLQVREKDYERCDRSEPIRGYKDGHTNIELKRSGPFYFISGEEGHCQRGEKLRVVVLSPNHKRSVVDAPAPVNVDLSPNYNRSVAAPPVNAHITNKGSLNRGAWSLLLLPLGLLV >fgenesh1_pm.C_scaffold_8001433 pep chromosome:v.1.0:8:18410375:18415347:1 gene:fgenesh1_pm.C_scaffold_8001433 transcript:fgenesh1_pm.C_scaffold_8001433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:D7MNY6] MDPSINSSRRRRIGGTAGSVCETRMQSDDEVSNNAIVLHVTNEDKTSSTKQMSLKEEALGVNGKRRTWKSRAFDVKNPSTLEIVRTNSLKVTRPRSIGTPPMTPRRSLSSNDSNEKSPSLSVAAKKARSDSVEGIEKTTPGRVKKTRSELCTTIVKAGEFDSVALRKVSSLPVPNSEKSDKKTEHVVDVPKEETINENSKIPEEVKEFGVCQEMIVSAKSNEDEQINDGDHEEDDEEEKEEEVEKKSVDVKEMNVAKENRVGVEIKKLSQFQNRTSPSPSSILMWRDVSRSTLVFGFGTFLIISSSYANDLNFSFISVVAYMGLIYLGLMFVLKSLIHRGMVEEERHKVVGVREEDVKRMLRLIMPYLNESLHQLRALFSGDPSTTLKMGVVLFVLARCGSSITLWNLAKFGNFWMRRFRDAWESCNHKKAVSLALFTLVWNLSSVTARVWAAFMLLVAFRYYQHKMIWTTDQADDDDDENVVDEEEEEEEEKEQLGLLMGPKRALLHKLISFREITNRREVESFTFSLSRLHTVAASPLFPLKTQNHDFEVLSPQANKFKMSVASDSPVHSSSSSDDLAAFLDAELDSASDASSGPSEEEEEAEDDEESGLKRRKLEHLETVDEEEIEEASSSKGECQHPGSFGNMCFVCGQKLEETGVSFRYIHKEMRLNEDEISRLRDSDSRFLQRQRKLYLVLDLDHTLLNSTVLRDLKPEEEYLKSHTHSLQEPFDFLLISDVSGGSLFMLEFMHMMTKLRPFVHSFLKEASEMFVMYIYTMGDRAYARQMAKLLDPRGEYFGDRIISRDDGTVRHQKSLDVVLGQESAVLILDDTENAWPNHKDNLIVIERYHFFASSCRQFDHKYKSLSELKSDESEPDGALATVLKNVDEDISNRDVRSMLKQVRKEVLKGCKVVFSRVFPTKAKPEDHPLWKMAEELGATCATEVDASVTHVVAMDVGTEKARWAVREKKYVVHRGWIDAANYLWKKQPEEKFSLEQLKKQQVAEEE >fgenesh1_pm.C_scaffold_8001435 pep chromosome:v.1.0:8:18417064:18418274:-1 gene:fgenesh1_pm.C_scaffold_8001435 transcript:fgenesh1_pm.C_scaffold_8001435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7MNY8] MENGNGEGKGEFINQNNDFFLDSMSMLSSLPPCWDPSLPPPPPPPQSLFHALAVDAPFPDQFHHPQESGGPTMGSQEGLQAQGTVSTTSAPVVRQKPRVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNTNKTDKASMLDEIIEYVRFLQLQVKVLSMSRLGGAGAVGPRLNGLSAEAGGRLNALTAPCNGLNGNGNVTGSSNESLRSTEQRVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISSSTTHSRGSLFNPISNAVAAEDSNVTATAVAAPEASSTMDDVSASKA >fgenesh1_pm.C_scaffold_8001450 pep chromosome:v.1.0:8:18483600:18486549:1 gene:fgenesh1_pm.C_scaffold_8001450 transcript:fgenesh1_pm.C_scaffold_8001450 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASSSSNQRNRIVSSGERPVMADNGVQQVSHENERNIASSSYSSSSIVPSPDPAPYYNVLQTPTNLYHYDWDVAHSGYAQGINSWDGYPRYAATTPEGLHVPPVSMTCPIYVLSSSCYLINLVILCSQVVYNDNSSLVYQYPDYGFNPYPSIMLEGQIPVSPAYYPPYGAPSAMHYIPSDIDPTSAYMIPFGQYGGANYSGNQGDTSLTSHIPYPQTMGILGPYDHTASQVPLHGSGVASSSSLGGYYHVGSYQSPNSISSYYGADNRARLTPDLGKRREKEQGSVSMTNDLYGNRGPRASSRVKSKNSSKLCSTTGDSTSDSSTAGPNPSLYNNPEFVIDYKNAKFFIVKSFSEDNVHRSIKYNVWASTPHGNKKLDTAYRDAEKMGGKCPIFLFFSVNASGQFCGVSEMVGPVDFEKDAGYWQQDRWSGQFPVKWHIVKDIPNNRFCHILLQNNDNKPVTHSRDSQEVKLRQGIEMLRIFKEYEAHTSILDDFGYYDELEGQKVGEDGTRKEAGEEETSVEQLSERLQAVTVEDGKEEKEELIAD >fgenesh1_pm.C_scaffold_8001454 pep chromosome:v.1.0:8:18502711:18503687:-1 gene:fgenesh1_pm.C_scaffold_8001454 transcript:fgenesh1_pm.C_scaffold_8001454 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLQVTGKTILSSIRCQRKASSYTIHHFMSTCSSYAFGPYKIDPREVFYATPLSYAMVNLRPLLPAHVLVCPRRLVPRFTDLTADETSDLWLTAQKVGSKLENFHNASSLTLAIQDGPQAGQTVPHVHIHILPRKGGDFEKNDEIYDALDDKEKELKQKLDLDKDRVDRGIQEMADEASQYRSLFDC >fgenesh1_pm.C_scaffold_8001466 pep chromosome:v.1.0:8:18537505:18538395:-1 gene:fgenesh1_pm.C_scaffold_8001466 transcript:fgenesh1_pm.C_scaffold_8001466 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOFP3/OFP3 [Source:UniProtKB/TrEMBL;Acc:D7MPN5] MNQKMGTHKFRFSDIMPHSWIYKLKGMSRSSRKHHPSSPKHLSSADASSSRKLRDPHRRLSSTANHPQVSNSPPKSSSFKRKIKRKTIYKPSSRLKLSTSSSLNHRSKSSSSANSISDSVVGSSLDRVSSPSDQKFYHDPELHSIDIKDDRSVKKLDDVSEDPSFSPNLSPLQVETAKEPPFKMITQQDLKKPNARSTGIKIRSNSPKIARKKTKGNTPVSKKKGTVKSFAIVLSSVDPEKDFRESMVEMIMENKMREQKDLEDLLACYLSLNSSEYHDVIIKAFEKTWLHLTHLT >fgenesh1_pm.C_scaffold_8001488 pep chromosome:v.1.0:8:18660215:18664910:1 gene:fgenesh1_pm.C_scaffold_8001488 transcript:fgenesh1_pm.C_scaffold_8001488 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAGA-associated factor 11 [Source:UniProtKB/TrEMBL;Acc:D7MQE9] MATTTKLSVLCCSFISSPLVDSPPSLANIPRFFSPIPRFLTTSFRSSSRFPATEIRKSTRSLTTSSSTRRHACAFGITSIVAFSCLGFWGEIGKRDLLDQKLIRKLVHINIGLVFMLCWPLFSSGIQGALFASLVPGLNIIRMLLLGLGVYHDEGTIKSMSRHGDRRELLKGPLYYALSITSACIFYWKSSPIAIAVICNLCAGDGMADIVGRRFGTEKLPYNKNKSFAGSIGMATAGFLASVGYMYYFASFGYIEDSGGMILRFLIISLASALVGLVTVSAFQTRKQYKEQEKDGKPDDKNYRQDLTMHGVVSVSSHDWLEKLSLPPPPFSVATRLYSLSSQVFLDLVDSVIADVASECHRVARLGLDRDLEVVEEELRLSVEARAKVADPSNNLETNTKFVVDIFGQTHPPVATEVFNCMNCGRQIVAGRFAPHLEKCMGKGRKARAKTTRSTTAAQNRNARRSPNPRYSPYPNSASENQLASGSPGVAGEDCSNGTVRENVKGD >fgenesh1_pm.C_scaffold_8001515 pep chromosome:v.1.0:8:18805769:18807461:1 gene:fgenesh1_pm.C_scaffold_8001515 transcript:fgenesh1_pm.C_scaffold_8001515 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDAAEPKYATAEIAVWWDIVDCPIPEGYEAHRVRPSIEGAFKKLGYSGPVSITAYGDQTQTPDNLLRGLSSTGVHVAHAITESTCALMYSDMVEWRRHIPPPATMMFISNQVDHVFSLDLARLQQETQYNLFLAYSVSSKAIPALETSAEWRWNNLLKSKTKKTRVVKIYEEEESDRAMFYCKSCDFDCQSLRELRKHLSSRYHAMGELLCPTATEISPVTMKWGRNFPAKPEYATAKILVLWDIVDCPIPEGYEVHRVRPSIEGAFKKLGYSGPVSITAYGDQSQTPDHLLRGLSSTGVAVSHAITEVRYRRMFYDLIGWQDLNPPPATIMLISDHIEDYFSTFVAGLQQCCIKYKYNMFLAYSFRPNKMLALVTSAEWLWESLLEGVYLSSSLCSTVSETRRHVLQRCSSQTGEPESTRMFDCETCLFDCKSLDDFMKHLSTNEHAWEVSILSQYIISFFLTI >fgenesh1_pm.C_scaffold_8001587 pep chromosome:v.1.0:8:19244570:19247058:-1 gene:fgenesh1_pm.C_scaffold_8001587 transcript:fgenesh1_pm.C_scaffold_8001587 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPETVNGGVKENKLWKGVFAVSGIMSTLVIYGVLQEKIMRVPYGVNKDYFKHSLFLVFCNRLTTSAVSAGALLASKKVLDPVAPVYKYCLISVTNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMVWGTLIMQKKYKGFDYLVAFLVTLGCSVFILFPAGDDVSPYNKGRENTVWGVSLMAGYLGFDGFTSTFQDKLFKGYNMEIHNQIFYTTLCSCVLSFTGLILQGHLLSAIDFVSLHRDCLLDIALLSTVATASQFFISYTIRTFGALTFAAIMTTRQLASIMLSCIWFSHPLSWEQCIGSVIVFGSLYAKNLLNNKKKSQTQPPPPELPQYEKAEGP >fgenesh1_pm.C_scaffold_8001596 pep chromosome:v.1.0:8:19298560:19300068:1 gene:fgenesh1_pm.C_scaffold_8001596 transcript:fgenesh1_pm.C_scaffold_8001596 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNEHTSEDDSVYDQSTRDDSKRPHPWFVDSSRSEMFPNKKQAVQDPVGGLGKSNVGLPLWESSSVFQSVSNQFMDRLLGAEMPPRPLLFGDRDRTEGCSHHHQTKSIAESYMEDTSVELSISNGVEVAGSSFGGDGIRKLPVSRVKETMSTHVALDGHNQRKIESSSIQACSRENESSFINFALAGHPYGNEDSHGITFGEINDEHGVGSTSNVVGNYQSYVQDPIGTLDIVYGQETGSSQTSSGVVSEQQVAKPSLEPVPKNKAETKSSKKEASTSFPSNVRSLISTGMLDGVPVTYVSISREELRGVIKGSGYLCGCQTCEFTKVLNAYAFERHAGCKTKHPNNHIYFENGKTIYQIVQELRNTPESILFDVIQTVFGSPINQKAFRIWKESFQAATRELQRIYGKEERSF >fgenesh1_pm.C_scaffold_8001599 pep chromosome:v.1.0:8:19306275:19306850:-1 gene:fgenesh1_pm.C_scaffold_8001599 transcript:fgenesh1_pm.C_scaffold_8001599 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPS15A [Source:UniProtKB/TrEMBL;Acc:D7KHV6] MVRISVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >fgenesh1_pm.C_scaffold_8001603 pep chromosome:v.1.0:8:19318871:19319360:1 gene:fgenesh1_pm.C_scaffold_8001603 transcript:fgenesh1_pm.C_scaffold_8001603 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDDCKLRFLELKAKRTHRFIVYKIEEKQKQVIVEKVGEPILTYEDFAASLPAEECRYAIYDFDFVTAENCQKSKIFFIAWCPDVAKVRSKMIYASSKDRFKRELDGIQVELQATDPTEMDLDVLKSRVN >fgenesh1_pm.C_scaffold_8001608 pep chromosome:v.1.0:8:19338918:19341444:1 gene:fgenesh1_pm.C_scaffold_8001608 transcript:fgenesh1_pm.C_scaffold_8001608 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFDLSIPYNEPPRSGGKEIAGGKTLRLKLATKAMELGYVGIAHNRSIKGVMSDKDYCTIPLLTLGSLIKVAPRLASSVGFHRDLLGVPRATPFRQYTRLTVHVESNAQCQSLNSGNPILKSYDIIAVRPMNQNAFDYACEKAEVDLISIDFTDKMLFRLKHPMVKAAIQRGIYFEIKYSDLLMDAQTRRQVISNAKLLVDWTRGKNLIISSGAPSVTELRGPNDIINLMCLLGLSSQRARAAISKNCRNMIAKVLKKKRFHKEAVRVELLSSGDTFSLGQPLSEDCMKWDPLSSGEGDMLLDDLAKAFDATNAVAHKSSKAIDFTSVLDGLPTHGFRVKDIVGTEPLTQSPAAKVIDAPEHSNQVSELRTAVAASSDDNLGEIETISQIETQISEDESKVEPTTNVLKEEAFALRKCSASHGQGILLQNQTAASFTLIRCTKSDAASDVSMHIESTSEGGSMSPSKSDHGISQSPVEVINMGKFAFDEEASVDENSKERVTTGPASLDEMHITEPGHHASIDDEQHIAEPEHNTSIADEIKIDCSSEANHDEYMEVTLKDQKHETGDSNINLPNLSSETTDLLSKSGKPLSPEAVVQDHDEASRLESNETKLEEEPSVPYHNTFEITMEDNKVAELTIEDEKKGKTETDTNHQGQVQSSENNNDTKSGTRSKLPHLQI >fgenesh1_pm.C_scaffold_8001621 pep chromosome:v.1.0:8:19432834:19435730:-1 gene:fgenesh1_pm.C_scaffold_8001621 transcript:fgenesh1_pm.C_scaffold_8001621 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSLVSDFLSFLNASPTAFHAVDESKRQLVKAGYEQISERDDWKLEAGKKYFFTRNYSTIVAFAIGQKYVAGNGFHIIGAHTDSPCLKLKPVSKITKGGCLEVGVQTYGGGLWYTWFDRDLTVAGRVILKEEKAGSVSYSHRLVRIEDPIMRIPTLAIHLDRNVNTEGFKPNTQTHLVPLLATAIKAELNKTPAEGGEHDGGKKCAETSSKSKHHPLLMEIIANALGCKPEEICDFELQACDTQPSILAGAAKEFIFSGRLDNLCMSFCSLKALIDATSSGSDLEEESGIRMVALFDHEEVGSNSAQGAGSPVMIDAMSHITSCFSSDTKVLKKAIQKSLLVSADMAHALHPNFMDKHEENHQPKMHGGLVIKHNANQRYATNAVTSFVFREIAEKHNLPVQDFVVRNDMGCGSTIGPILASSVGIRTVDVGAPQLSMHSIREMCAADDVKHSYDHFKAFFQEFTHLDAKLTVDV >fgenesh1_pm.C_scaffold_8001637 pep chromosome:v.1.0:8:19516512:19518399:1 gene:fgenesh1_pm.C_scaffold_8001637 transcript:fgenesh1_pm.C_scaffold_8001637 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPLLFSVVLVRSVQSTVPLRSFKVSENVTYDCIDIYKQPGLDHPLLKNHTIQMKPSLSRHELKNQTSNNKTYKTKIKCPYGTIPVLRNTKEFNTKAQLLAAKYFNPLSADSPGTHIAGVKQHGGPYHGVEAKFNAYNLNIKEDQASYSQMYLGSGHYGEVNFISTGMMINPGIFGDGHLWTYGFWLGKSGKGCYNMACPGFVQVSNVVPIVKPLYLEGGDSASLQWAIHQDEQTRNWWVIQMSPYTYIGYWPKELFHLMDNGATMVGVGGVVQASHSGLSPPMGNGKFPAKGPLRSAMFSNVDVLYSKYEKGKINAFPIEEMLDSRCYGLRIGKRVRFWTSPLGFFFNYGGPGGISCGV >fgenesh1_pm.C_scaffold_8001656 pep chromosome:v.1.0:8:19599185:19599598:-1 gene:fgenesh1_pm.C_scaffold_8001656 transcript:fgenesh1_pm.C_scaffold_8001656 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGFIIVALLVVTGEISTEEVSPAIEPEPSSSLPQSETEMPPSLSSPSDSEMSPSPTMSDDNDYPSSSQFTDSSDLNYLDSTRTGGGENGGGGKKTGIVVGAISAASMVGFSGYLLKKRRENIRRSRYGYASTEFF >fgenesh1_pm.C_scaffold_8001667 pep chromosome:v.1.0:8:19674419:19678912:-1 gene:fgenesh1_pm.C_scaffold_8001667 transcript:fgenesh1_pm.C_scaffold_8001667 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRNSYFRGNFVPLFFVFIVLIFQQEIVICQEDRSLDNPAANRLYNQFVFDKISNLTEVFEDDIKRELGFCITNVKEDYNEAFNFSTKPDFLNACGKTTKGDMMQRICTAAEVRIYFNGLLGGAKRATNYLKPNKNCNLSSWMSGCEPGWACRTAKDVKVDLKDDKNVPVRTQQCAPCCAGFFCPRGITCMIPCPLGAYCPEATLNRTTGLCDPYHYQLPSGQPNHTCGGADIWADIGSSSEVFCSAGSFCPSTIDKLPCTKGHYCRTGSTAELDCFKLATCNPRSTNQNITAYGIMLFAGLGFLLIILYNCSDQVLATRERRQAKSREKAVQSVRDSQSREKWKSAKDIAKKHATELQQSFSRTFSRRKSMKQPDLMRGLSQAKPGSDAALPPMPGSSSDMKKGKKKEKNKLTEMLHDIEQNPEDPEGFNLEIGDKNIKKHAPKGKALHTQSQMFRYAYGQIEKEKAMQEQNKNLTFSGVISMANDIDIRKRPTIEVAFKDLSITLKGKNKHLMRCVTGKLSPGRVSAVMGPSGAGKTTFLTALTGKAPGCTMSGMILVNGKVESIQSYKKIIGFVPQDDIVHGNLTVEENLWFSARCRLPADLPKPEKVLVVERVIESLGLQHVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRREALEGVNICMVVHQPSYTLFRMFDDLILLAKGGLICYQGPVKKVEEYFSSLGIVVPERVNPPDYYIDILEGILKPSTSSGVTYKQLPVRWMLHNGYPVPMDMLKSIEGMASSASAENSAHGGSAHGSVVGEDGTSFAGEFWQDVKANVEIKKDNLQNNFSSSGDLSEREVPGVYQQYRYFLGRLGKQRLREARTLAVDYLILLLAGICLGTLAKVSDETFGAMGYTYTVIAVSLLCKITALRSFSLDKLHYWRESRAGMSSLAYFLAKDTVDHFNTIVKPLVYLSMFYFFNNPRSTVTDNYVVLICLVYCVTGIAYALAILFEPGPAQLWSVLLPVVLTLIATSTNDNKIVDSISELCYTRWALEAFVVSNAQRYKGVWLITRCGSLMENGYNIKHFPRCLIFLTLTGILSRCAAFFCMVTFQKK >fgenesh1_pm.C_scaffold_8001671 pep chromosome:v.1.0:8:19706542:19708593:1 gene:fgenesh1_pm.C_scaffold_8001671 transcript:fgenesh1_pm.C_scaffold_8001671 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATNRT2.3 [Source:UniProtKB/TrEMBL;Acc:D7MUD8] MAHNHSNEEGSIGTSLHGVTAREQVFSFSVDASSQTVQSDDPTAKFALPVDSEHRAKVFNPLSFAKPHMRAFHLGWLSFFTCFISTFAAAPLVPIIRDNLDLTKTDIGNAGVASVSGAIFSRLAMGAVCDLLGARYGTAFSLMLTAPIVFSMSFVGGPSGYLGVRFMIGFCLATFVSCQYWTSVMFNGKIIGLVNGCAGGWGDMGGGVTQLLMPMVFHIIKLAGATPFMAWRISFFAPGFLQVVMGILVLSLGQDLPDGNLSILQKRGQVSKDKFSKVFWFAVKNYRTWILFVLYGSSMGIELTINNVISGYFYDRFNLKLQTAGIVAASFGMANFIARPFGGYASDVAARIFGMRGRLWTLWIFQTVGALFCIWLGRASTLPIAILAMVLFSIGTQAACGALFGVAPFVSRRSLGLISGLTGAGGNFGSGLTQLLFFSSSRFSTAEGLSLMGVMAVLCTIPVAFIHFPQWGSMFLRPSSDGERSQEEYYYSSEWTENEKQQGLHEGSIKFAENSRSERGRKVALTDIPTPANATPSHV >fgenesh1_pm.C_scaffold_8001672 pep chromosome:v.1.0:8:19709459:19711563:-1 gene:fgenesh1_pm.C_scaffold_8001672 transcript:fgenesh1_pm.C_scaffold_8001672 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATGCN1 [Source:UniProtKB/TrEMBL;Acc:D7MUD9] MVSDASKKKAAQKKAAAAAKRGGKAAASSKSAATSSNGVDSLSSGVDALQISDRTCTGVLCSHPQSRDIRIESLSVTFHGYDLIVDSMLELNYGRRYGLLGLNGCGKSTLLTAIGRREIPIPDHMDIYHLSHEIEATDMSSLEAVVSCDEERLRLEKEVEILVEQDAGGERLESIYERLEAMDAATAEKRAAEILFGLGFDKEMQAKKTKDFSGGWRMRIALARALFIMPTILLLDEPTNHLDLEACVWLEESLKNFDRILVVVSHSQDFLNGVCTNIIHMQSKQLKYYTGNFDQYCQTRSELEENQMKQYRWEQEQISHMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVARDSVLVFRFADVGKLPPPVLQFVEVSFGYTPDYLIYKNIDFGVDLDSRVALVGPNGAGKSTLLKLMTGELHPTEGMVRRHNHLKIAQYHQHLAEKLDLELPALLYMMREFPGTEEEKMRAAIGRFGLTGKAQVMPMKNLSDGQRSRVIFAWLAYKQPNMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAHEIWVCEKQCITKWNGDIMDFKRHLKAKAGLED >fgenesh1_pm.C_scaffold_8001674 pep chromosome:v.1.0:8:19732858:19733298:-1 gene:fgenesh1_pm.C_scaffold_8001674 transcript:fgenesh1_pm.C_scaffold_8001674 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLFSFFTLLILISPCVSNLMAKHDSERKTPFSNHGESHQNQPYVHFRSRRHRNYFIPEECTMLCPERCLRKHRLMVFCSIPQHICRCSSFQIRSPHIATSPKQSLNK >fgenesh1_pm.C_scaffold_8001690 pep chromosome:v.1.0:8:19830353:19832364:1 gene:fgenesh1_pm.C_scaffold_8001690 transcript:fgenesh1_pm.C_scaffold_8001690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein [Source:UniProtKB/TrEMBL;Acc:D7MUG2] MAQQEASPSPGAEVVGRAFVEQYYHILHQSPGLVHRFYQDSSLLTRPDVTGAVTTVTTMQAINDKILSLKYEEYTAEIETADAQESHERGVIVLVTGHLTGNDNVRKKFSQTFFLAPQDKGYFVLNDVFRSLEEKEVTAQARSVPINGNPRDVQAPVEPERVIVTNELEVEPEPVASIEEEDLDNVAEVYDPSDKDEGVVVDVEPIEPPNQISHNEILSVSQGDAPKHSYASILKQMKSSPAPTHVAPNKPRPAPVNHKPTAPPAKPAAGPEASAHENVPNSSHVDVEDDGHSIYVRNLPFDSTPTQLEEVFKNFGAIKHEGIQVRSNKQQGFCFGFVEFETSSGKQSALEASPVTIGDRQAVVEEKKTNSRGGGNNGGSRGRYFSGRGSFRNESFKGGRGGGGRGGYGRGGGEFSGRPKSSNPRNGGEGYQRVPQNGGGGRGGRGEGGRGGSRGGGSS >fgenesh1_pm.C_scaffold_8001706 pep chromosome:v.1.0:8:19920119:19921803:1 gene:fgenesh1_pm.C_scaffold_8001706 transcript:fgenesh1_pm.C_scaffold_8001706 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNWGSNSRSNSSGSSSRSDVENSFDDDEELLQIGTRCMERLELNANSLSESRLEDKRRIQLLEKELLNCYQEIDYLRDQVNFRGQEMNDLSEHVLDLEVKVNESGRLEEEVNYLREELCTSKSEQLLLLQELESAETELQLSLFSVEKLEESISSLTLESQCEIESMKLDIAALEQALFDAHKFQGESIQENDKLREVVKELQLKSQEAEENAECLEKQNKKLMERCVASERNIKELCQSFKERLESEGEAAVNAEECFHEIIKKLEVSRDVKLRDKMEDMARQILQYKDLVKQLKDELKEEKLKAKEEAEDLTQEMAELRYKMTCLLEEECKRRACIEQASLQRIANLEAQVLTYLLVSIFQFFRLSHQRT >fgenesh1_pm.C_scaffold_8001714 pep chromosome:v.1.0:8:19952107:19953415:-1 gene:fgenesh1_pm.C_scaffold_8001714 transcript:fgenesh1_pm.C_scaffold_8001714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein [Source:UniProtKB/TrEMBL;Acc:D7MUJ3] MDNLVKQRRRRVSISENKQADLSRLSELDITDYPALNWLKNQSYWYEKNDYYNEKESEFAVSIAAAAFVIRSMEEADKRKAKRIREEIKRSRTKKTNPVTPDAEVKRLSKSYTQEVNIGKESFRKKLLEYPSENRRPQEIGSSSRTSGLASASSKADSWENSHIKKIRLRYEKMKADIVGWENERKLVATLRMEKKKSEMEKRREINNQHYKSKLARIKLIADGAKKQLEEKRRSKEAQVHEKVKKMRRTGKIPINYFCFRCY >fgenesh1_pm.C_scaffold_8001733 pep chromosome:v.1.0:8:20057226:20058256:1 gene:fgenesh1_pm.C_scaffold_8001733 transcript:fgenesh1_pm.C_scaffold_8001733 gene_biotype:protein_coding transcript_biotype:protein_coding MGISGVCGGAEELEIFGKADTVTVTNKPHMAPSISSSSTSSSESVIDPVKMSYGMNGEEEGEFEWVAVDKEIDLITDEAPELDDAFSALQLMFNDDEEESGDQVSESEFVDWIEPPLQLCNTRLLQPYMLDRLYDAFHVFQTDPSVQRMVMSLTSDKAVWDAVMSNEVVRELISNAERSEEDSGSAANCLRRFFERSAVKIMDAMERVTKYVTDLFNVVPGDETVVLASGAAPVMEKLQMTVLLSIVVLLIVLVKRVTRGR >fgenesh1_pm.C_scaffold_8001742 pep chromosome:v.1.0:8:20096529:20098178:1 gene:fgenesh1_pm.C_scaffold_8001742 transcript:fgenesh1_pm.C_scaffold_8001742 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSHLVKLILAVSLPTTFLIIIAIIIIFIFCRRRKTETNEAQYNVESPYDEKEDFSGSETEEELVIFNGGDDLTICDILDAPGEVIGKSSYGTLYKATLQRSGKVRVLRFLRPLCAVKSDAKEFNGVIESLGFVRHDNLVPLLGFYVGNRGEKLMIHPFFGSGNLSEFIKFLAGGDVDAHKWSNILSITIGIAKALDHLHTGMQKPIVHGNLKSKNVLLDKSFRPRVSDFGLHLLLNLPAGQEVLEASAAEGYKAPELIKMKEVSKESDVYSFGVIMLELVYGKEPINKNPKGSILDHNRLSDLYHPEIKMEMLAVSCCSPSPSLRPSFKQVLRKLEEIRK >fgenesh1_pm.C_scaffold_8001772 pep chromosome:v.1.0:8:20239461:20244017:1 gene:fgenesh1_pm.C_scaffold_8001772 transcript:fgenesh1_pm.C_scaffold_8001772 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSFLKDFSANKLYKDAEELIAKGNHIKALEILEDVLSIHWKANDSWLLHVDQGNVFLELAEKSGVRDMEIAYLLGCVACFSQHVKVSELCAQSLCMLAKNLGSVSHYKKCVKKAKEALAETKANKLSSATPMGFRTLVTQKKKELEGFIEAAEFKIAASKTSPLPIPEPKVRESKESPEPSKDEFKRLRSYWVGLDVKIKRDFLKVSIAKLISFVGGVHKRNAQDALEQVLASAKNDRKWTFWMCRTKCSKKCSSAEECRNHFEQEHDADFKPSSEKDIVKRIGKDWVRKISVGDWEPVDAVAAVEMIKNQLTDVKAFASKAKTGWSKEWPLAVDEERKQLLKEIKLLLVSFCELKILSGSMRDWVMHFPVKYLGKLEVSKQGLIDSHLSETPQSICFLECHELNQILDFLKTIKCKRNDGTDLVCTAVDSVLDRIQVKVKIDVDPQFSFLLLDKKLLTINDVPFNDEGTINVFDPSVHYAKAQVHGDDIISWLTDYSSVDKTFPRPVREHNFGIWMAVLKAAQFTCRTLGTKYAKKVLLLDYDAALTVVENTCMSEDERRRNLPEDQWSRYASLLCHMCEERVPKNSLTTKLFVCAVRDVFEGALLPTFDFPDLEDCLNLIREHKSLSDDKVLQAIDLLRSEVTQKVLLIDTKILLIDNSRISLLNNLSRLSAFDNRTYILHVLKPFLLNEIVNMESKAKSDAAEANLLNELEKEKQQSKEKPQPKEKPPSKKKRDKSKKKTSTSNPSTLDKTVEHLEPESTSPSLRTVEEDSMEPEDALASETGRLEILSRTEIKEEATEDEPDMHRQDSLSEHLEPAAGEVTTRYNSALDMTLKALLNIKIFKEDLMKNRQPFQDHGEEQVPSALQNLFAAFVSEVIRNEGVYSCLLSDLLTSQEEFLSMSSDAAKVVVAVLNFWRCWKIPERESLVTRLFTLADNKRMSCRKCRRITNIPVQSCYGIVMAADSIRELKCAFGNIKFVDILKLIRMGYKMLCDNKTGCCGKKSYVHHIIRRCPPIFTIVLEWEKSETEKQISETTKALDWEIDISRLYEEGVEPNTNYRLVSMVGCGEGEEEHICLAYEKNRWVNLRRECLAGEDVGNWKSVVRFCGERKVRPEILIYEAVRLMT >fgenesh1_pm.C_scaffold_8001780 pep chromosome:v.1.0:8:20300354:20301231:1 gene:fgenesh1_pm.C_scaffold_8001780 transcript:fgenesh1_pm.C_scaffold_8001780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brother of FT and TFL1 protein [Source:UniProtKB/TrEMBL;Acc:D7MKQ5] MSREIEPLIVGRVVGDVLEMFNPSVTMRVTFNSNTIVSNGHELAPSLLLSKPRVEIGGQDLRSFFTLIMMDPDAPSPSNPYMREYLHWMVTDIPGTTDASFGREIVRYETPKPVAGIHRYVFALFKQRGRQTVKAGPETRECFNTKAFSSYFGLSLPVAAVYFNAQRETAPRRRPSY >fgenesh1_pm.C_scaffold_8001787 pep chromosome:v.1.0:8:20321215:20326505:-1 gene:fgenesh1_pm.C_scaffold_8001787 transcript:fgenesh1_pm.C_scaffold_8001787 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVKERAKEVNMAKKAMKSNVASSSNINPQLSYKYVRGLSKENLFKAQLGDGPGQPSILLNNNDSIYSMHDGPTYDLSQNGSNLLPMGESLGFSRGVLPLNEESRSVLPLNEEWRSVFGTSQMSQVPSFGQYGTPSDISGMNSNLDTGYTRNSYAGISIDKYGHLSGLGGERVSGNDNGYLGESLDAMNWNLYNNNVRNHGSSTSRFLHNKVQPHHNFTAQTDDLLPRSIFDTSQAPQVPSFGQYGITNDVIGMNPGFGTGYMHNNYTGINTDQIGNLGGLGGARVYGDGNVSLGQNSGTINWNFDENNMSNYGSSNSRFSSPLSLFNEEQSLSHLNAQTDELVPVLENLSLYNDHQSINPFSGNSNFLQYQHQGQVSDTNFQFANHDQLEKNAMLAACSTEDINNLSINNVKESSNEETLNSIAANLEMLFPTHDMNIINQHQGQVLSGENREFANDNQLNRNSILATHSLEDINYLCINNVSQIYITCIFMCNVNNYSEKTLNSFAANSEMNFPALDVNTMNQVNNSSEKTLNSFAANSEMNFPASDVNTMNQEEQGDANLTDVLLTFDEEEQGLAALTDVPLPFDQKEQGVADLTDVPLAFDQEKQGNVDVPLPFDQEWKDDDLMNFMLDLDDMF >fgenesh1_pm.C_scaffold_8001788 pep chromosome:v.1.0:8:20327803:20329077:-1 gene:fgenesh1_pm.C_scaffold_8001788 transcript:fgenesh1_pm.C_scaffold_8001788 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKDSSAAVTALRGQFNKIDVVITDYHMPGLKLNGVQLKQRIAEEFGNLPVIGIFGQEHRAREALSCGALCFMRQPISHRDLNVVCEQALRHKMNGETDPNGSKQNGKRPSTNDSDDGKHWLRLREKPKLKWTKPLQSRFMRALKNLGVASKY >fgenesh1_pm.C_scaffold_8001805 pep chromosome:v.1.0:8:20408910:20409762:1 gene:fgenesh1_pm.C_scaffold_8001805 transcript:fgenesh1_pm.C_scaffold_8001805 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWSAENATKAYLSTLKTDQRTKEPNVAEFISALAAGNSARKIAVACAGAANADILVALISAANQTRGQVVCVLRGIEELIISKKMLEPSEIHQIQFVVGESNDDILINDHFGEADFVLVDCNLENHQEIVRKILNHHAENARTGGGSGMAVVVGYNAFSRGSWRFSDGRKTQFLPIGEGLLVTRVNDNQKMTLKNHHREQVRKSRWVVKVDKCTGEEHVFRVRVPRGEAIIEA >fgenesh1_pm.C_scaffold_8001808 pep chromosome:v.1.0:8:20417415:20422427:1 gene:fgenesh1_pm.C_scaffold_8001808 transcript:fgenesh1_pm.C_scaffold_8001808 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEPSPENDRDPQKTTASTPTSTNAKLLKKIPAIPFRHSEKDEQAKTLDEVTPELAAEGSTSHDSPEILAPSSLGLNHIRTKSSPAPSPLRFSSATPLISPGQDDKDVAKEKPRLGVVDARADARARWPIPPHQPDQGKKVQWSQSKSQRVPANSNPGLESTHVGLAKETQSPRFQAILRVTSGRKKKAHDIKSFSHELNSKGVRPFPVWRSRAVGHMEEIMAAIRTKFDKQKEDVDADLGVFAGYLVTTLESTPESNKELRMGLEDLLVEARQCATMPASEFWLKCEGIVQKLDDRRQELPMGGLKQAHNRLLFILTRCNRLVQFRKESGYVEEHILGMHQLSDLGVYPEQMVEISRQQDLLREKEIQKINEKQNLAGKQDDQNSNSGADGAEVNTARSTDSASSNFRMSSWKKLPSAAEKNRSVNNTPKAKGESKLQPKVYGDEYTENLHSPSGQPASADKSALWGFWADHQCVTYDNSMICRICEVEIPVVHVEEHSRICTIADRCDLKGINVNLRLERVAESLEKILESWTPKSSVTPRAVADSARLSNSSRQEDLDEISQRCSDDMLDCVPRSEKTFSLDELNILNEMSMANGTKDSSAGSLTPPSPATPRNSQVDLLLSGRKTISELENYQQINKLLEIARSVANVNVCGYSSLDFMIEQLDELKYVIQDRKADALVVETFGRRIEKLLQEKYIELCGQIDDEKVDSSNAMVDEESSADDDTVRSLRASPLNPRAKDRTSIEDFEIIKPISRGAFGRVFLAKKRATGDLFAIKVLKKADMIRKNAVESILAERNILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLFSLLRNLGCLDEDMARIYIAEVVLALEYLHSVNIIHRDLKPDNLLINQDGHIKLTDFGLSKVGLINSTDDLSGESSLGNSGFFAEDGTNAQHSQGRDSRKKHAVVGTPDYLAPEILLGMGHGKTADWWSVGVILFELLVGIPPFNAETPQQIFENIINRDIPWPNVPEEISYEAHDLINKLLTENPVQRLGATGAGEVKQHHFFKDINWDTLARQKAMFVPSAEPQDTSYFMSRYIWNPEDENVHGGSDFDDLTDTCSSSSFNTQDEDGDECSSLAEFGNGPNLAVKYSFSNFSFKNLSQLASINYDLVLKNAKESVEASNQSAPRPET >fgenesh1_pm.C_scaffold_8001814 pep chromosome:v.1.0:8:20448636:20449817:1 gene:fgenesh1_pm.C_scaffold_8001814 transcript:fgenesh1_pm.C_scaffold_8001814 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVHIPPGYRFHPTDEELVDYYLKNKVAFPGMQVDVIKDVDLYKIEPWDIQELCGRGTGEEREWYFFSHKDKKYPTGTRTNRATGSGFWKATGRDKAIYSKQELVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGPPHEEGWVVCRAFKKKLTTMNYNNPRTMIGSSSGQESNWFAQQMDVSNGNYYHLPDLESPRMFQGSSSSSISSLHQNDQDPYGVVLSTINANSATTIMQRDDGHVITNDDDHMIMMNTSTGDHHQSGLLVNDDHNDQVMDWQTLDKFVASQLIMSQEEEEVNKDPSDNSSNETFHQLSEEQAATMVSMNASSSSSPCSFYSWAQNTHT >fgenesh1_pm.C_scaffold_8001830 pep chromosome:v.1.0:8:20539191:20541206:1 gene:fgenesh1_pm.C_scaffold_8001830 transcript:fgenesh1_pm.C_scaffold_8001830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein [Source:UniProtKB/TrEMBL;Acc:D7MMC2] MRLQTVKHFLEPVLEPLIRKVVKEEVELALGKHLAGIKWICEKETHPLESRNLQLKFLNNLSLPLFTSARIEGDEGQAIRVGLIDLSTGQIVSSSHASSAKLEIFVVEGDFDSDSDWTAEDIRNNIVREREGKKPLLNGNVFVVLNDGIGVVDEISFTDNSSWTRSRKFRLGVRMVDQFDFVKIREAITESFVVRDHRGELYKKHHPPSLFDEVWRLEKIGKDGAFHKRLNCSHINTVKDFLTHFHLNSSKLRQVLGTGMSSKMWEITLDHARSCVLDSSVHVYQAPGFQKKTAVVFNVVAQVLGLFVDFQYIHAEKLSEIEKALILSLYIFDLAQAEVMVIDALSHLNEVISYDDEVSMMRNVLNVPAFQSSVGIDYSGLSLTSLDGYGFVSNLHNTAECSGQYSDDVVTPHGLYEDYDNLWNCSQILGLEEPQSELQSALDDFVSQKNALVGKAHSKRWTKLFSVSKWLSVFKYVTVRKNMK >fgenesh1_pm.C_scaffold_8001845 pep chromosome:v.1.0:8:20660803:20663206:1 gene:fgenesh1_pm.C_scaffold_8001845 transcript:fgenesh1_pm.C_scaffold_8001845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter NTL1 [Source:UniProtKB/TrEMBL;Acc:D7MMV6] MSQTFEQMDEANRLSTWNGYVDWRNRPALRSRHGGMLAASFVLVVEVLENLAFLANASNLVLYLSTKMGFSPSGAANAVTAFMGTAFFLALLGGFLADAFFTTFHIYLVSAAIEFLGLVVLTVQAHEHSTEPWSRVVLFVGLYSVALGVGGIKGSLPPHGAEQFDEETPSGRRQRSFFFNYFIFSLSCGALIAVTVVVWLEDNKGWSYGFGVSTAAILISVPVFLAGSRFYHLKVPSGSPITTLFKVLTAAFYAKYKKRRTSRNVVTCHTRSDCNDNVTKQNCNRDDEFLGSFLGEVVRERESIPRPLRCTEDQAKDVKIVIKILPIFMSTIMLNCCLAQLSTFSVQQASTMNTKLGSFTVPPAALPVFPVVFMMILAPTYNHLLLPLARKTTKTETGITHLQRIGTGLVLSIVAMAVAALVETKRKHVVVTCCSNNNSSSYSSSPLPITFLWVAIQYVFLGSADLFTLAGMMEFFFTEAPSSMRSLATSLSWASLAMGYYLSSVLVSAVNFVTGLNHHNPWLLGKNLNQYHLERFYWLMCVLSGINFLHYLFWASRYVYRSNQG >fgenesh1_pm.C_scaffold_8001854 pep chromosome:v.1.0:8:20718883:20720061:-1 gene:fgenesh1_pm.C_scaffold_8001854 transcript:fgenesh1_pm.C_scaffold_8001854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate/bisphosphoglycerate mutase family protein [Source:UniProtKB/TrEMBL;Acc:D7MMX2] MLSSSPAVTTTAHPPQSPETYQIPLNLLSSPRITRRDLFKTLSVCIATPSLSVSIAAPANARGLFQMPPLRLSNRYYLVRAGESDYESLGIINTNPVAKTSVDSGLSEKGKKQTLRAALQLKAMGACDRNCWLWPSITQRAYQAAEIIAAINGISRSYIVPEYSFLDARGLGAYEGKKLESISEVYALDSISMKTKPPPISDGTPNESVADVFVRVTQLMSILETQYSEDTIVIVSPDSDNLSVLQAGIQGLDLRRHSELYFGPGEVRLLDANSIPVYKQPASAVYKCKNPPNCD >fgenesh1_pm.C_scaffold_8001866 pep chromosome:v.1.0:8:20794045:20794704:1 gene:fgenesh1_pm.C_scaffold_8001866 transcript:fgenesh1_pm.C_scaffold_8001866 gene_biotype:protein_coding transcript_biotype:protein_coding description:7,8-dihydroneopterin aldolase [Source:UniProtKB/TrEMBL;Acc:D7MND4] MEKDMALMGDKLILRGLKFYGFHGAIPEEKTLGQMFMLDIDAWMCLKKAGLSDNLADSISYVDIYNLAKEVVEGSSRNLMERVAGLIASKTLDTFPQITAVRVKLWKPNVPLIQSGLDYLGVEIFRNRATE >fgenesh1_pm.C_scaffold_8001868 pep chromosome:v.1.0:8:20797739:20799029:-1 gene:fgenesh1_pm.C_scaffold_8001868 transcript:fgenesh1_pm.C_scaffold_8001868 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEKPEETIPGNSSRLAGNPNWGTATVVGVFAGLLYGGSKEASASVSKDAEVMLKMGSTQDKREQHRLMRDAMEKRFIRVTRGSLIGGMRLGMFTASFFSLQNFLAETRGVHDVFNVVGAGSATAAVFGLIMPGSLAWRARNVLLGSVLGATVCFPLGWLQLKLMKKANEGNNDTSHHGEVTSGVGAAIERLEQQLRK >fgenesh1_pm.C_scaffold_8001875 pep chromosome:v.1.0:8:20820249:20822638:-1 gene:fgenesh1_pm.C_scaffold_8001875 transcript:fgenesh1_pm.C_scaffold_8001875 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEIVGQIERINGKELSYVDFAEKYLAKNQPLIISDLTEDWRAREDWVSENGRPNLHFFATHFGKSRVQVADCDTREYTDQKRLEMSVTEFVEQWTNNDSVLYLKDWHFVKEYPDYTAYQTPQLFSDDWLNIYLDSYQMHEDRDNFHKYDQISCSDYRFVYMGGKGSWTPLHADVFRSYSWSANVCGKKRWLFLPPLQSHLVYDRQVYMKNCIYDIFEEVNETKFPGFKKTTWLECIQEPGEIIFVPSGWHHQVYNLEDTISINHNWLNAYNLSWVWDLLWKDYKDTEESIEDIRDICDDFEAICQRNLAANTGMNLNDFFIFMSRFSLGNMVVLQSYSDKHKALNSCSSAMAQNLLLNLSTIRKIMMTMISAGGVTSEEVYMDLRETLEDPQFLRLVRDMGRTYAMIHMEEEDQVSSKELLQKLSGFADPKMQICSPKDLVEMINHHNTFFSHLLA >fgenesh1_pm.C_scaffold_8001876 pep chromosome:v.1.0:8:20829042:20829269:1 gene:fgenesh1_pm.C_scaffold_8001876 transcript:fgenesh1_pm.C_scaffold_8001876 gene_biotype:protein_coding transcript_biotype:protein_coding MENKYMSVVMILVLVVMAAIGGEAVPVDPLCEQKCRSACRSGHGFSTLPECYTDCVRRQCFPPTYVYNTCVCVFL >fgenesh1_pm.C_scaffold_8001886 pep chromosome:v.1.0:8:20868965:20870344:-1 gene:fgenesh1_pm.C_scaffold_8001886 transcript:fgenesh1_pm.C_scaffold_8001886 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLAIQTTIVLLVSVISVSIVRAGNIPAVIAFGDSILDTGNNNYLMTLTKVNFYPYGRDFVTQRATGRFGNGRIPTDLIAEGLGIKNIVPAYRSPFLQPNDILTGVSFASGGSGLDPMTARIQGVIWVPDQLNDFKAYIAKLNSITGDEEKTRSIISNAVFVISAGNNDIAITYFTNPARNTRYTIFSYTDMMVSWTQSFIKELYNLGARKFAIMGTLPLGCLPGASNALGGLCLEPANVVARLFNRKLANEVNNLNSMLSGSRSIYVDMYNPLLELVKNPLRSGFTSPTRPCCCAPAAPIPCLDASRYVFWDIGHPSEKAYQTIIPPIIQQIQQSFA >fgenesh1_pm.C_scaffold_8001891 pep chromosome:v.1.0:8:20889176:20890341:-1 gene:fgenesh1_pm.C_scaffold_8001891 transcript:fgenesh1_pm.C_scaffold_8001891 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQLLTLLLLVSTVAIPVVTCRQWCMAMPGTSDEQLQANIDFGCSNGVDCTPIQPGGTCYDPNTLFDHASYVMNAYYQSHGRIEDACSRQWCMAMPTARDEQLQANIDFACSQNVDCTPIQPGGTCYDPNTLFNHASFAMNAYYQSHGRTEDACRFDRTGCFVFIDPSNGSCVYYT >fgenesh1_pm.C_scaffold_8001904 pep chromosome:v.1.0:8:20928122:20930217:-1 gene:fgenesh1_pm.C_scaffold_8001904 transcript:fgenesh1_pm.C_scaffold_8001904 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSMRTIKNPFFTSHPPSPFFHLSLLFFSPLKKSSPRYLNHHHQTRCPPPQYPLLLISLSLVFSGISFLTFSLNPESSTCVSTSSTSSLKFLISDVDHRQILTSLAVSGASTLFPLPTRGGHSGNMTEEEREFWKQPNGEGYKPCLDFSLDYRKKSVRVSKEKKRFLVVVVSGGLNQQRNQIVDAVVIAMILEAALVVPVLQVNRVWGDESEFSDIFDVEHFKKTLRSDVRIVSSLPSTHLMSRQTIENQIPWDVSPVWIRAKYFKQLNEEGLLVLKGLDSKLAKNLPPDLQKLRCKVAFHALRFAAPIENLGNKLARRMWIEGPYIALHLRLEKDVWVRTGCLTGLGSEFDRIIAETRTSQPRYLTGRLNMSYTERRLAGFCPLNAYEIARLLKALGAPRNASIYIAGGEPFGGSRALEPLSKEFSNLVTKETLAHKGELLPYTNRSSALAAIDYIVSLSSDVFLPSHGGNMAKAMQGNRAYVGHRKFIMPNKRAMLPLMENSSVSDAELSSVTRKLHRKSQGHPESRRGRRDRDVIAYPVPECMCRHGKHRSVGLF >fgenesh1_pm.C_scaffold_8001908 pep chromosome:v.1.0:8:20952282:20954183:1 gene:fgenesh1_pm.C_scaffold_8001908 transcript:fgenesh1_pm.C_scaffold_8001908 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDAAPDGPDSDLFVYYCKHCGSHVLITDTQLQKMPKRKTDRSNVLDKKKHLARLNVSEGGKVLLKRGEGKMERQFRMNCIGCELFVCYRAEENLETTSFIYIVDGALSAVAAETNPQDAPVPPCISQLDGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGRVLGLRLSQMTLQRGWNSKSKLLVVEDLSARQVYEKLLEAVVP >fgenesh1_pm.C_scaffold_8001920 pep chromosome:v.1.0:8:21005532:21008672:1 gene:fgenesh1_pm.C_scaffold_8001920 transcript:fgenesh1_pm.C_scaffold_8001920 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLEEKIPEVNSPAKDVGDVAAKEVVEIEKEKADSPRIEEAEEEKKEDEEGGEAKEGELEEKDKEDDVESEEEEEEEEGSGSKKSSEKEAVTPTSERPTRERKKVERFSLSTPMRATPSKSVSIEKGRGTPLREIPNVAHKLSKRKADDNLMLLHTILYGKKAKAQMVKRNIGQFSGFAWSENEEEKQRARIKEKIDKCIKEKLIVFCDVLDIPISRSNMKKEELAVKVLEFLESPKETRDVVIADQEKQAKKRKSTQRRGKSGESSDTPAKRKRQTKKRDQPSDTEEGKDEGDADSEGTNDPHEEDEATPEEESDHEKTDTEDEKDKAEVEKPSNKKRSSKKTVEESSGSKGKDKQVSAKGSAKSGEKFSKQIAKSTSSPAKKQKVDHVESSKEKSKKQPSKPQAKASKEKGKATKKGKAKAEPTREEMLEVVSKILKEVDFNTATLSDILQKLSDHFGVELSHRKPEVKDVITDAINAMTDDEDDEEEDEEEKAKAGSDKVKEEGEEEEEEEEEETAEAESDKEKEEEKDVQKD >fgenesh1_pm.C_scaffold_8001923 pep chromosome:v.1.0:8:21015828:21016987:1 gene:fgenesh1_pm.C_scaffold_8001923 transcript:fgenesh1_pm.C_scaffold_8001923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonol synthase [Source:UniProtKB/TrEMBL;Acc:D7MPQ3] MEIERDQHISSPSLLAETIPIIDLSNLDEELVARAVVQASEEWGIFHVVNHGIPLDLIRRLKEVGTQFFELPETEKKAVAKQDGSKDFEGYTTNLKYVEGEVWTENLFHRIWPPSCINFNYWPKNPPRYREVIEEYTEETKKLSERILGYLSEGLGLPREALIQGLGGESTEYVMRMNNYPPDPKPDLTLGVPEHTDIIGITIIITNEVPGLQIFKDDRWFDVHYIPSAITVNIGDQIMRLSNGKYKNVLHRAIVDREKQRMSWPVFVDANPDVVIGPLPELITCSNPSKFKPITCKDFKYRRLLKLPIE >fgenesh1_pm.C_scaffold_8001936 pep chromosome:v.1.0:8:21084085:21088157:-1 gene:fgenesh1_pm.C_scaffold_8001936 transcript:fgenesh1_pm.C_scaffold_8001936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MPR9] MNNVVEIVEEEEEDEKECEDWCFICKDGGNLILCDFKDCPKVYHESCVEKGSTASKNGDSYICMWHSCYLCKKTPKLCCLCCSHAVCEGCVTHAEFTQLKENKGLCNQCQEYVFALEEIQEYDAAGDKLDLTDRNTFECLFLEYWEIVKKQEDLTFGEVRNVCASKPRKKGVKSKYKDDPKFSLGDVHTSKSRKKGDKLKYKDDPKFPLGDVHTSKSGKKGVKLKIKDDPKFSLSDHGVEDAVDYKTVGKKKRMEFIRWGSKPLIDFLTSIGEDTRDAMSQHSVESVIRRYIREKNLLDREKKKKVHCDEKLYSIFRKKSVNQKRIYTLLNTHLKENLDQVEYFTPLEPGFSQKKEKRFSEKNDEVLMPCKKQKTESSDEEICEKEVQPEMQATGFATINADNIKLVYLRKSLVVELLKQNERFVDKVVGSFVKVKNNPRDLMAYQILQVTGIKTADDQSEGVLLHVAGMASGISISKLDDSDISEEEIKDLKQKVMNGLLRQPTVVEMEQKAKALHVDITKHRELLEKTSEQERLLKETPRIIEDSIEIKQEPSVSGLSHEAVIEID >fgenesh1_pm.C_scaffold_8001942 pep chromosome:v.1.0:8:21146392:21147611:1 gene:fgenesh1_pm.C_scaffold_8001942 transcript:fgenesh1_pm.C_scaffold_8001942 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVEVIIVSELGRLEIARAAAARKRGKKIRGFDYGSDFCIVEPPVSNEYDVTLYARLGLYCYNFQKGTNFKFVRWEKYNTEFTSNFDHYITLAARDPSCNSFFSFQTVFSAAGCSTQGTYRVKTWRVLACRPTCAKSVNDNWDRDTGEMPKWLPDETLATDNKKYYVVQESELHENDWLQLFMKMAFLQANPHIGVSSSDHKAIIRKTVDGIPEHMSLEIASECRC >fgenesh1_pm.C_scaffold_8001943 pep chromosome:v.1.0:8:21150116:21151106:-1 gene:fgenesh1_pm.C_scaffold_8001943 transcript:fgenesh1_pm.C_scaffold_8001943 gene_biotype:protein_coding transcript_biotype:protein_coding MTARNLICIAFAYTKSIECEKSIQLILSMCLSEGFDILHTLLCDDGCCLIDHSTGVYGYLIEIQALFFMALRCAVLLLLKEDGEDRGIISQCCQQVQRNPRFYSIFDYMSPHGGLFVGNFAFGNCIAMLSSLATPEIIDLIESRLEELVGEMPLKVCYPAIGSHEWRIVTGCDPKNTRWSYHNNLLMLIWLLTATCIKTVPEARLHKDHLTEYYDDG >fgenesh1_pm.C_scaffold_8001944 pep chromosome:v.1.0:8:21155682:21156905:-1 gene:fgenesh1_pm.C_scaffold_8001944 transcript:fgenesh1_pm.C_scaffold_8001944 gene_biotype:protein_coding transcript_biotype:protein_coding MMPEKTIITSESSNPYPLNPSSSRIICHVCNKRFSQYTCPRCNFRYCSLPCYKSHSVQCTESFMRENVNDELKQVRSDDQTKTKMLEILKRFHEEEEEDDGGIDSITDDEGSILPEEIIEKIMNGDEVSLDDLSLEERKGFQRALASGELSKMIQPWDPWWLRASARTINLGLGGTQLVQCVEEEEEEAIVVSEVPRGPDTPLISLSKLSSTNPSPLLPIHLIDIVYSYCFTLRIYNGEWQSDSLGAATMVLTVSSVLGQNAQLETIKEVLAFCLEQTCSSAYKNLGGGLKFGFILVDDVICLLSLGSGAMVCLLCDLQRLILDAIKEVKSSSGRDLKKKLKLAERKVFFMMCWVNEQSSEVWQALESSVRAEKNSVVELNNCKGVPKMKKIDQFQKGGGVVIEEIE >fgenesh1_pm.C_scaffold_8001958 pep chromosome:v.1.0:8:21254282:21256217:-1 gene:fgenesh1_pm.C_scaffold_8001958 transcript:fgenesh1_pm.C_scaffold_8001958 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSNQRNQQPSYIADHFSSLDQVITSLREAGLESSNLILGIDFTKSNEWTGRYSFNRKSLHAIGKRQNPYEQAISIIGRTLSPFDEDDLIPCFGFGDVTTRDQYVFSFYPENKSCDGLENAVKRYREIVPHLKLSGPTSFAPVIDAAINIVEQNNMQYHVLVIIADGQVTRNPDVPLGRLSPQEEATMNSIMAASHYPLSIVLVGVGDGPWDTMKQFDDNIPHREFDNFQFVNFTKLMSEHKDAAKKEAAFALAALMEIPFQYKATLSLNRKPVRSSHLHHKPLPPPPEVIERDNAVRSVPNPMTETAEKSDRMVPATVPVCPICLTNPKDMAFSCGHTIDFLTSPMLFLSNEDMQGMRSCCHNMPTLQTTNNNKDKAVHLTR >fgenesh1_pm.C_scaffold_8002007 pep chromosome:v.1.0:8:21509503:21511263:1 gene:fgenesh1_pm.C_scaffold_8002007 transcript:fgenesh1_pm.C_scaffold_8002007 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSRRIYTTMPSKLRSSSLLPRLLLLSLLLLLFYSLILLRRPITSNLVSPPPCDLFSGKWVFNPETPKPLYDETCPFHRNAWNCLRNKRDNMDVINSWRWIPNGCGLSRIDPARFLGMMRNKNVGFVGDSLNENFLVSFLCILRLADPSAVKWKKKKAWRGAYFPKFNVTVAYHRAVLLAKYQWQPKSSVEANQDGVKGRYRVDVDVPANEWINVTSFYDVLIFNSGHWWGYDKFPKESPLVFYRKGKPINPPLDILQGFEIVLQHMVSYIQREVPAKTLKFWRLQSPRHFDGGDWNQNGSCLLDKPLKENQLDLWFDPRNNGVNKEARKINQIIKNELQTTKIKLLDLTHLSEFRADAHPAIWLGKQDAVAIWGQDCMHWCLPGVPDTWVDILAELILTNLKTE >fgenesh1_pm.C_scaffold_8002030 pep chromosome:v.1.0:8:21611616:21612273:-1 gene:fgenesh1_pm.C_scaffold_8002030 transcript:fgenesh1_pm.C_scaffold_8002030 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKEQVEASLTSKLNPVHLEVIDTSGGCGASFVIEIVSEQFEGKRLLERHRIVNAALEEEMKEIHALSIKKAQTPQQWKPVSQDSATTPSTEA >fgenesh1_pm.C_scaffold_8002046 pep chromosome:v.1.0:8:21688770:21689305:-1 gene:fgenesh1_pm.C_scaffold_8002046 transcript:fgenesh1_pm.C_scaffold_8002046 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSRRIRFGFSFFFVIIILISGAILAVSADGAESDGVAKKEENPSIVKTICGIFGKKFPPSSWELIQGAMQKIQMKLYPPNLDFRSNSDKNNREEEDKAEKVKEAATRSLEVSKEAIEESAKLAGDVVGEVVQKTAEKVTKQTSHDEM >fgenesh1_pm.C_scaffold_8002065 pep chromosome:v.1.0:8:21748842:21749450:-1 gene:fgenesh1_pm.C_scaffold_8002065 transcript:fgenesh1_pm.C_scaffold_8002065 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMEKKLRSLKCEVRIVEARNVEIKSQASTLFVRFYLSAGHKRKIEVNTREISSKSDQLMWDQSFGLECQGDEAALQELKQQRVVFELRRKKTPSFLRKSSRSEVVGRGEVSWESVFESPGMEIERFVVMGESKNQVSGDEKPMLLKIALKVQALEEMEKILIKKDKKTEKLCCVCSSRDCGSCNCLDYEAFALACALDCI >fgenesh1_pm.C_scaffold_8002068 pep chromosome:v.1.0:8:21768740:21771205:1 gene:fgenesh1_pm.C_scaffold_8002068 transcript:fgenesh1_pm.C_scaffold_8002068 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRGDNDDQDHYRVFNDFERRMASRKKSILDNTSPMIWKTVSERKSSPGIEGLNLSSFDRPMAPTTEIRELRVFLATWNVGGRTPNNDLNLEDFLLVEGTADLYICGFQEIVPLSAGNVLVVEDNEPAAKWLALISQALNKPKQESVYSNAAFSASRTTTCSSSSCGSEESRTPSSLSFFQRPNLKVLSRNYRVDSSLLKTCNCPVIDTSVGWEARRSKRFSDPSTDSSNNVEPENFRVHENFLFDDVPATTKMPGQMSYRLIASKQMVGLFLSVWARRELIPHISHLRLDSVGRGIMGRLGNKGCIAISMSLHQTSFCFVCSHLASGEKEGDELRRNADVAEILKHTQFPKLTKNPNCHAPERIVDHDRVLWLGDLNYRVALTYEETRVLLEDNDWDTLLERDQLNMERGAGRVFSGFQEGQIFFAPTYKYSQNSDAYAGEMTKSKKKRRTPAWCDRILWRGEGIEQLSYIRGESRFSDHRPVCAIFAVEVDVKSLNKGRFRKGYSCAAARLVEDCIPQRHSFYD >fgenesh1_pm.C_scaffold_8002076 pep chromosome:v.1.0:8:21801980:21803443:-1 gene:fgenesh1_pm.C_scaffold_8002076 transcript:fgenesh1_pm.C_scaffold_8002076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase 2-3 [Source:UniProtKB/TrEMBL;Acc:D7MT85] MATVLRFLGRRICNPAAEKVRLSSSLSEGGDFPVLKGQKAAQDLSKDTLKSHEITKEKEGQHKEAKKEFKIYRWNPDKPNSKPFLQSFFVDLSSCGPMVLDVLQKIKAEEDASLSYRRSCREGICGSCSMNIDGTNTVACLKPINPNSSKPTIITPLPHMYVIKDLVVDLTNFYQQYKAMEPWLKTRKPPKDGREHRQSPKDRKKLDGLYECILCACCTTSCPSYWWNPEEFPGPAALLQAYRWISDSRDEFGEERLQAITENQTKVYRCRAIKNCTATCPKGLNPASAILKMKSKHFLSDPVVRTESL >fgenesh1_pm.C_scaffold_8002099 pep chromosome:v.1.0:8:21917730:21919419:1 gene:fgenesh1_pm.C_scaffold_8002099 transcript:fgenesh1_pm.C_scaffold_8002099 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHSYPCFQTGLRFKVTAMAPENYRKQKNPRILCLHGFRTSGRILQAGIGKWPDTILRDLDLDFLDAPFPATGKSDVERFFDPPYYEWYQANKGFKEYKNFEECLAYIEDYVIKNGPFDGLLGFSQGAFLTAAIPGMQEQGTALTKVPKVKFLVIISGAKIPGLMFGKPKAAVNAFSSPVRCPSLHFIGERDFLKTEGEVLVESFVEPMVIHHSGGHIIPKLDTEAEETVLSFFQRIRQMRSDESAPVRSLM >fgenesh1_pm.C_scaffold_8002103 pep chromosome:v.1.0:8:21938920:21943865:1 gene:fgenesh1_pm.C_scaffold_8002103 transcript:fgenesh1_pm.C_scaffold_8002103 gene_biotype:protein_coding transcript_biotype:protein_coding MFREAGLRSLGEDVGSRWTIGNRKLDAKKTVANSGHGFGFKSAPPLSSVTKPVRGNNNGGSSSDMDIASDSDEEIFERQHSPQDYRIHVGLPHVAAQNGLGRNGAKVFGAADELSDSATSTEVSYEAEACGVRNNISSHNECERRNVEGGTSGRTQNGTSTSTSSLPRFPTFHASEQGPWSAMIAYEACVRLCLHSWSTDSVSEASYFLNNECTIMRNAFSLQRFFLHSEEELLGKGPSELVTETSAPKSKKNIGKIRLQVRRIKMGLDPPPGCNIATLTVSKEKLDVVRQHIVELNSTLSSGWKAARKVHVTPQVPLNGSLSRQSLAYMQAAARYLKQVSKAVKNEIVTSHTGPQTYEAVQETYSCSLRLKSSAEDDQIKTQPGSGETFIFLPDSLGDDLIIEVRDSKGQLLGRVVAQLAAIADDPQSEKLRWLPIYHEPEHELIGRIQLSFSYSSSLDEKTKCGLVAETSAYDLVLEVAMKAERFQRRNLLFKGPWHWMITRFASYYGVSDAYTRLRYLSYVMDVASPTKDCLDLIHDFLFPILMTSNHKAVLSHQENRLLGEIDEQIQQILASAFENYKSLDELSFSGMKDVFESATGTPAPAIESAVKLYGLLNDVLTPEAQLKLCRYFQAASKKRSRRHLLETNDLLNNRSEGVPIDPMALPVSYQKMKSLILILKNEISTDIAIHNCNVLPSFIDLPNHSAAIYSVDLCNRLREFLLVWPPPGPSPAVVDLVITTADFQRDISSWNINPIKGGVNAKELFYSYITTWIEEKRRVLYELCKLETAKACGEIPGLTSPFVDEMYERLNGTLDEYDIIIRRWPEYAISLEKVVADAEKAIVEAMEKQFTEILSPLKESKIFGLKIVKKFTKGTPNPYSVPNELGVLLNSMKRVLDILRPSIENRFKSWNSYIPDGENRVLGERLSEVTVLLRSKFRSYMQALVEKLAENTRVQSHMKLKSIIHDLRETTAEPDVRNRMTALKDLLDKTIDHLHGVFLPDVFVSICRGIWDRLGQDVLRLLEDRKDNVTWHKGPRIAVSVLDEMFATQMQSLLGNALKPEHLEPPRSMMELRSMLCKDSTDYREGGYSY >fgenesh1_pm.C_scaffold_8002104 pep chromosome:v.1.0:8:21948613:21951855:1 gene:fgenesh1_pm.C_scaffold_8002104 transcript:fgenesh1_pm.C_scaffold_8002104 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVFLLIRRQWRSASVRREEVIRLIALATEESYLAEEVRASTVDSVSDVYRCAVCLYPTTTRCSQCKSVRYCSSKCQILHWRRGHKEECRSPDSDEEKEESVKSDDDAKESNMDFPSRGTAFESSAEVPSNVSVDVACDMSTSRPSIHKLQARSEAVDFTTSFNLKDNLYETRPLSRKKSRNRTDKVESARNYSKGKTDAKPRKLGNQNSRKSGGSAEMSITDQFLSVDEYEEEINAFEHGRITSEPSSASAAMSSSTVFLPSKANSKPKVSQASSSGLKTSVQKVVQHFRPRQSSKMFQPSSSIDEMSFSYELFVKLYCDKVELQPFGLVNLGNSCYANSVLQCLAFTRPLMSYLIRGLHSKTCRKKSWCFVCEFEHLILKARGGESPLSPIKILSKLQKIGKHLGPGKEEDAHEFLRCAVETMQSVFLKEASAAGPFAEETTLVGLTFGGYLHSKIKCMKCLHKSEQSELMMDLTVEIDGDIGSLEEALAQFTAYEVLDGENRYFCGRCKSYQKAKKKLMILEGPNILTVVLKRFQSDNFGKLSKPIHFPELLDIGPYMSDPNHGDHPVYSLYAVVVHLDAMSTSFSGHYVCYIKTLHGDWFKIDDSNVFRVQLETVLLEGAYMLLYARNSPRPVSKNGGRKSKERRNLAAIPSRNNKKQRDSENNSLLLRVDWSSGSLSSMFSSSDTTSSCSTKDSSGIETLSDYLFGGVEPVWKRDRHVLSALTFY >fgenesh1_pm.C_scaffold_8002110 pep chromosome:v.1.0:8:21972300:21975214:-1 gene:fgenesh1_pm.C_scaffold_8002110 transcript:fgenesh1_pm.C_scaffold_8002110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MTZ0] MEETKNQELEEEEVELGSIMEKVYIAVGNDVQEGYKTIHWALKKWNNIPISIVLLHLCNISQDFVYTPFGKLPASSVSEEKLQVLRKYEEQKIDKLLSKYITFCGKVCPFSVNFVLSGKSKSAISGSFYVYQNKPEFCELYIICGGKMVSLKRDNDVNNNSNIRSWIGKMFHDPGRNLDSSSGNNDDPTSSGSSWDKNLHEIEIYFEQLLSLNLEEEVNENVVEEEHEEDNDVALNVLQHMNVAEKLEYVRRKVNEAKLMIDEKRLEVKVNAERSDKAEWAISLCSSRIEELEAWIKEESERREKLQVTLDSDKECIEEAKNYVEKGKTKLHSLAELQEELSSKVKTMMEAKSQTEVELERVVLQRGEMIMEIEKLRNQRDVFNRRIEFCKKKEAIGSVSKEEVKCGYREYVAEDIRLATESYSDRLRLKSGGNWTNVYRGRIEHTTVAVKVIGDCLSDEDFGAKVKLLNEIRHPNLVAIAGFCSQRPKCILFEYMHNGNLRDNLFTSQRKSRRSKILKWHDRIRIAHQVCSGLGFLHSVKPKPIVHGRLTPSKILLDRNLVPKITGFGFIMHSDQSDTKPDVMAFGVLLLHLLTGRNWPGLLKAMSMNQTSILRDLDQTAGKWPLELAKEFGALAVKCSSVNRGGNTDFSTKEIMEELGKIREKADEFKTTGGYEEATNSSIDEGDPNDIPSVFMCPILQEVMKNPHVAADGFSYELEAIEEWLSMGHDTSPMTNLRLDYQMLTPNHTLRSLIQDWHSKRAAQASS >fgenesh1_pm.C_scaffold_8002139 pep chromosome:v.1.0:8:22166706:22168311:-1 gene:fgenesh1_pm.C_scaffold_8002139 transcript:fgenesh1_pm.C_scaffold_8002139 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLSTKVTSNGHGQDSSYFLGWEEYEKNPYDEIKNPNGMIQMGLAENQLCFDLIESWLVKNPDAASLKRNGQSIFRELALFQDYHGMPEFKKAMAEFMEEIRGNRVTFDPKNIVLAAGSTSANETLMFCLAEPGDAFLLPTPYYPGFDRDLKWRTGAEIVPIHCSSSNGFQITESALQQAYQQAEKLDLKVKGVLVTNPSNPLGTALTRRELNLLVDFITSKNIHLISDEIYSGTMFGFEQFISVMDVLKDKKLENTEVSKRVHVVYSLSKDLGLPGFRVGAIYSNEEMIVSAATKMSSFGLVSSQTQYLLSALLSDKKFTSQYLEENQKRLKSRQRRLVSGLESAGITCLRSNAGLFCWVDMRHLLDTNTFEAELDLWKKIVYNVKLNISPGSSCHCTEPGWFRVCFANMSEDTLDLALKRLKTFVESTDCGRMISRSSHERLKSLRKKTVSNWVFRVSWTDRVPDER >fgenesh1_pm.C_scaffold_8002140 pep chromosome:v.1.0:8:22176115:22177421:-1 gene:fgenesh1_pm.C_scaffold_8002140 transcript:fgenesh1_pm.C_scaffold_8002140 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQVRRVGDSGSFPFVGALHSKSRSSPLLSVCLVLVGACLLIGYAYSGPGMFKSIREVSKITGDYSCTAEVQRAIPVLKSAYGDTMRKVLHVGPETCSVVSSLLNEEETEAWGVEPYDVEDADSNCKSLLHKGLVRVADIKFPLPYRSKSFSLVIVSDALDYLSPRYLNKTVPELARVASDGVVLFAGNPGQQKAKGAELSKFGRPAKMRSSSWWIRFFSQTNLEENEAAIKKFEQAASKSSYKPACQVFHLKPLH >fgenesh1_pm.C_scaffold_8002145 pep chromosome:v.1.0:8:22200890:22201361:1 gene:fgenesh1_pm.C_scaffold_8002145 transcript:fgenesh1_pm.C_scaffold_8002145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUM9] MANFTTFFFIIALLLCSTLTYASARLTPTSVYPEDISVKKMEQGEGNCEGVGEEECFLIRRTLVAHTDYIYTQDHNP >fgenesh1_pm.C_scaffold_8002151 pep chromosome:v.1.0:8:22223409:22224316:1 gene:fgenesh1_pm.C_scaffold_8002151 transcript:fgenesh1_pm.C_scaffold_8002151 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREEKRREFHEALLQTLYPPPPSSPPSPVEVVDDEPFDVTLINPEDYLKIDSSNHGDVNEIGDESGIAEKPSRAKRKRLRKKMLKEEAARRKKVIGPLLPTEMLQTHDGIRVGDAGGSNFEEEDSDGDEEETSGSISDICSEQGKIDDNDKTRKVKKRREAKKLAKESSNIQDGSNP >fgenesh1_pm.C_scaffold_8002157 pep chromosome:v.1.0:8:22240339:22241571:1 gene:fgenesh1_pm.C_scaffold_8002157 transcript:fgenesh1_pm.C_scaffold_8002157 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATTRB2/TRB2 [Source:UniProtKB/TrEMBL;Acc:D7MUP1] MGAPKQKWTPEEEAALKAGVLKHGTGKWRTILSDTEFSLILKSRSNVDLKDKWRNISVTALWGSRKKAKLALKMTPPATIQDDNNTALSIVALANDDERAKPTSPGGSCASKRSITSLDKIILEAITNLKELRGSDRTSIFLYIEENFKTPPNMKRHVAVRLKHLSSNGTLVKIKHKYRFSSNFIPVGARQMSPQLFLEGNNKKDPPKPEENGAKSLTKSRVDGELFMIKGMTAQKAAEAAARAVAEAEFAITEAEEAAKEAERAEAEAEAAQIFAKAAMKALKFRIRNHPW >fgenesh1_pm.C_scaffold_8002162 pep chromosome:v.1.0:8:22255032:22256550:1 gene:fgenesh1_pm.C_scaffold_8002162 transcript:fgenesh1_pm.C_scaffold_8002162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein 43 [Source:UniProtKB/TrEMBL;Acc:D7MUP5] MKNNNKYNKKSTSLHCNDAGGCRYSFLTIVWTVVGFFLVAHLISLYSRKDNIHQQVSSDQLQLVHHQHLHHPVVRELVRVEEEILRMPPPRKRSPRTSKRRSRKPIPMVEEFLDEKSPIRHLFFPGIKTAAFGPTKDMGNETSYYFPGKIWMDTEGNPIQAHGGGILLDEKSNTYYWYGEYKDGPTYHAHKKGPARVDIIGVGCYSSKDLWTWKNEGIVLGADETNKTHDLHKSNVLERPKVIYNEKTEKYVMWMHIDDANYTKASVGVAISDNPTGPFEYLYSKRPHGFDSRDMTVFKDDDGVAYLIYSSEVNSVLHIGPLTEDYLDVTPVMKRVMVGQHREAPAIFKYQNIYYMVTSWCTGWAPNEALAHAAESIMGPWEKLGNPCIGGNKVFRLTTFFAQSTYVIPLPGVPGAFIFMADRWNPADLRDSRYVWLPLVIGGPADQPLEFNFGFPLWSRVSIYWHSKWRLP >fgenesh1_pm.C_scaffold_8002175 pep chromosome:v.1.0:8:22315134:22316589:1 gene:fgenesh1_pm.C_scaffold_8002175 transcript:fgenesh1_pm.C_scaffold_8002175 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTQIINPTRPIWPGFSSSKSLCGDANCMEQLLLHCAKAIESNDATLAQQIIWVLNNLASPDGDSTQRLASSFLRALISRAASKSPAFAFLSLAATASVSQKMLHRFSVIELAEFVDLTPWHRFGFIAANAAILDAVEGYSSVHIVDLSLTHCMQIPTLIDSMANKLHKQPPPLLKLTVIASDAESHPPPLLGISYEELGSKLVNFATTRNIAMEFRIISSSYSDGLSSLIEQLRIDPFVFNEALVVNCHMMLHYIPDETLTSNPLRSVLLKELRDLNPTIVTLIDEDSDFTSTNFISRLRSLYNYMWIPYETADMFLTRGSEHRQWYEADISWKIDNIVAKEGAERVERLELKSRWFERMREAKFAGVGFGERAGTEVKTMLEEHATGWGMKEDVEDDDDVERFVLTWKGHSVVFASAWAPINGVAADLI >fgenesh1_pm.C_scaffold_8002203 pep chromosome:v.1.0:8:22451976:22454883:1 gene:fgenesh1_pm.C_scaffold_8002203 transcript:fgenesh1_pm.C_scaffold_8002203 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNGDIPDSPFSFIWLLFGDDWHLWDTYPTVDADAISPDPTVDVNRDSPTIESGSLIRTVSWEEDSFEQHFLQSSINEQSETASQEEYASVYFHEDTFTYQEETVEQSWASWLQSSTNEQSETASQEEYTNVSSHEDTFTYEEETLEQHWTNWLQTLTNEQSERAPQEEYTNVYSHENTFTYEELLNLTEQAGNVGTGLTVEVIDENLRRRKYEKRSDDETEICVICQEKLEDNEEASKLGCGHDFHFECIKQWLMVKNMCPLCNQEVV >fgenesh1_pm.C_scaffold_8002205 pep chromosome:v.1.0:8:22457030:22465706:-1 gene:fgenesh1_pm.C_scaffold_8002205 transcript:fgenesh1_pm.C_scaffold_8002205 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:D7MKT5] MTGDNSTDIGRRRSRGAEATSRKDTLERLKAIRQGGIRSASGGGYDIRLQKPIFDTVDDDEYDALVSRRREEARGFVVEDGEGGDLGYLDEGEEEDWSKPSGPESTDESDDGGRFSGRLKKKKKGKEQMQQPQVKKVNPALKAAATITGEGRLSSMFTSSSFKKVKETDKAQYEGILDEVIAQVTPDESDRKKHTRRKQPGTVPVTISRNKKLVSVTSSMEMKESEPTPLIYEDDCVFMENELMKEEDMKESEVTPSHNVESMEVPGSDSVIEDENKIRKAEVKTELGVKEVFTLNATIDMKEKDSALSATAGWKEAMGKGGTENGALLGPSCEGKTEFDLDADGSLRFYILDAYEEAFGASMGTIYLFGKVKMGDTYKSCCVVVKNIQRCVYAIPNDSIFPSHELIMLEQEVKDSQLSPESFRGKLHEMASKLKNEIAQELLQLNVSNFSMAPVKRNYAFERPDVPTGEQYVMKINYPFKDRPLPEDLKGESFCALLGSHTSALEHFILKRKIMGPSWLKIFNFSTCSPSVGVSWCKFEVTVESPKDITVLVSEEKMVHPPAVVTAINLKTIVNDKQNISEIVSASVLCFHNAKIEVPMPAPERKRSGILSHFTVVRNPEGTSYPIGWKKEVADRNSKNGCIALSIENSERALLNRLFLELNKLDSDVLVGHNISGFDLDVLLQRAQACKVQSSMWSKIGRLKRSFMPKLKGNTNFGSGATPGLMSCIAGRLLCDIDLCSRELLKQVSYSLTDLSKTQLNRDRKEIAPNDIPKMFQSSKTLVELIECGETDAWLSMELMFHLSVLPLTLQLTNISGNLWGKTLQGARSQRIEYYLLHTFHSKKYILPDKISQRMKEIKSSKRRMNYGPEDRNVEELDADLALENDPSKGSKTKKGPAYAGGLVLEPKRGLYDKYVLLLDFNSLYPSIIQEYNICFTTMPRSEDGVPRLPSSQTPGVLPKLMEHLVSIRKSVKLKMKKETGLKYWELDIRQHALKLTANSMYGCLGFPNSRFYAKPLAELITLQGREILQRTVDLVQNHLNLEVIYGDTDSIMIHSGLDDIEEVNAIKSKVIQEVNKKYRCLKIDCDGIYKRMLLLRKKKYAAVKLEFKDGKPCEDIERKGVDMVRRDWSLLSKEIGDLCLSKILYGGSCEDVVEAVHNELMKIKEEMRNGQVALEKYVITKTLTKPPAAYPDSKSQPHVQVALRMRQRGYKEGFNAKDTVPYIICYEQGNASSASSAGIAERARHPDEVKSDGSRWLVDIDYYLAQQIHPVVSRLCAEIQGTSPERLAECLGLDPSKYRSKSNDAASSDPSTSLLFAASDEERPATPETEESDSTFWLKLHCPKCQQEDSTGRISPAMIANQVKRQVDGFVSMYYKGIMVCDDESCKHTTRSPNFRLLGERERGTVCPNYPNCNGTLLRKYTEADLYKQLSYFCHILDTQHSLEKMDVGMKIQVEKAMTKIRPAVESAAAITRSNRDRCAYGWLQLTDIAI >fgenesh1_pm.C_scaffold_8002212 pep chromosome:v.1.0:8:22505661:22506192:1 gene:fgenesh1_pm.C_scaffold_8002212 transcript:fgenesh1_pm.C_scaffold_8002212 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSKNVQNENFTLVSQPSYLTRDQEHGIMVSTLRQVISNTGGDTSSSHWIASEALPPPDAGPCPLCGVTGCYGCAFPRHEEVKKEKKHKGVRKKPSGKWSAEIWDPSMRARRWLGTFPTAEMAAHAYDEAAAELVGKRSARRGTN >fgenesh1_pm.C_scaffold_8002213 pep chromosome:v.1.0:8:22508425:22509317:1 gene:fgenesh1_pm.C_scaffold_8002213 transcript:fgenesh1_pm.C_scaffold_8002213 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSENVPSNDQHENVTLVAPSEEHEIMVSALQQVISNSRADASSSNLIASEGLSPPDAGPFGSPYSNSPLCRIIGCEGCTLQRPHREIEKEKKYKGVRKKPSGKWAAEIWDPSSKSRKWLGTFLTAETAASSYDEAARSLSGEHQQDVAKRTEEELNGGGDD >fgenesh1_pm.C_scaffold_8002216 pep chromosome:v.1.0:8:22516355:22517676:1 gene:fgenesh1_pm.C_scaffold_8002216 transcript:fgenesh1_pm.C_scaffold_8002216 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding protein [Source:UniProtKB/TrEMBL;Acc:D7MLD5] MALEEVRGRIGRSFEEMRKTSNMDWMRLKSCLDEITCALLDADFPRLAVDEIERNSQEIINLPKASKRKGKLIYEVMLAKLSSKLDPGKSALIRGKHEPSIVMFIGLRGVDKTKTCAMYARYHRKKGFRPALVCADTFRIDAFVRLNKAAKDEVPVYGRERILKHLKLKLLSGVS >fgenesh1_pm.C_scaffold_8002229 pep chromosome:v.1.0:8:22575541:22577557:-1 gene:fgenesh1_pm.C_scaffold_8002229 transcript:fgenesh1_pm.C_scaffold_8002229 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSDMERKTLAITPPSFFFHFGGASFGSSKAFHCLLLLSCLLLSSVNTLHNLAEYGYNNGSAAKEFQGFINGEDSESITIQKTYQNVCPNSELFCFLPALGSKNRYDPFVPFFGLPCPKESRSSSVLIRKNLFGVMWLSLKHVHIFEFRSFTGFFHRGDTCYEPMSNELYTKKITRELSITTVSGKQCGRNCFVVNHQCEGFSLEPRYSIKFLYFYQTELSWAYGVVVFAVPMKATAPVLMLNLCKKPVFWVRTKKFSIAVLIAAALLILIFCFNDHFIEENNKGNHRNHMELREVEKPCTITISPAMNYVLRSISKESLQVFDEVPKSIKPVASSVSSSHEESSEDVNLTVKTAIDKKRRRNRKKKKGGINRLTPEYTNVSSSHIGNSTPRSPISPEPPTTTQATTKPVNPPKPVLSHSTTFPVSGVKSKIIQQSSLAPNVRAPSAKSRTGVKEDKAKEYMYFDIWGYHLTGLHLMDKFKEVREGKSSSFDGEECESFFVNKGPHNLFADSHTRFVSFCNQWD >fgenesh1_pm.C_scaffold_8002241 pep chromosome:v.1.0:8:22647624:22649103:-1 gene:fgenesh1_pm.C_scaffold_8002241 transcript:fgenesh1_pm.C_scaffold_8002241 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGRLMDDQMMLGSQVYPYTTQTQNSHCIIVNQIDGSSSGEGSKPVKRRRKRRSKGSSATNEEDVAEIGGMLRKRKLTDEQVHMLEYSFGNEHKLESGRKEKIAGELGLDPRQVAVWFQNRRARWKNKKLEEEYAKLKNHHDTVVLGQCQLESQILKLKEQLSEAQSEIRKLSERLEEMPTNSSSSSLSVEANDAPTDFELAPETNYTIPFYMLDNNYLQSMEYWDGLYV >fgenesh1_pm.C_scaffold_8002261 pep chromosome:v.1.0:8:22740739:22742483:1 gene:fgenesh1_pm.C_scaffold_8002261 transcript:fgenesh1_pm.C_scaffold_8002261 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFSAAALDRSLNSGASVSREFPSTLHSKPSTLNDESKQPKEKTFTRPQMSPSLYATPKEIPRPNSPSSYPPSPYIINHKARGPVLFKRDSEVDGHSHPKALGEEKIRGNVDVDATTSLRKSTSLSFPISEAIAVDHTQGIPERPVWDCSPPHGTFLNDKSGRDISNGGIGSNIAANTLEWKSYLLEPVRIKADKELESENFYNPGVSVSFTSSTEVEDIGGAESSHKFSSHVGDFYDACDELSTDSGMQSSVNILESELREIRLGLLMEIERRRQAEETLEQMHVHWRRLRDQLADVGMFLPLDPTSSQYSMNLADEIRCQLEVTRFVSDSLGSDLAKAEVEMEMEAELEAKNFEITRLSDRLHYYETVNQEMSQRNQEAIEVARRDGQKKKRRQRWIWGSIAATITLGSGVLAWSYLPPGILSSDEAQPQPSPKDS >fgenesh1_pm.C_scaffold_8002307 pep chromosome:v.1.0:8:22913381:22914729:1 gene:fgenesh1_pm.C_scaffold_8002307 transcript:fgenesh1_pm.C_scaffold_8002307 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGKCLLVTGPPGVGKTTLIMRVFDMIRVFNPNLKIQGFYTREMRERGQRVGFQVVTLDGRTSLLASSTVSSQESMTWPNVGKYKVDIASFESIALPELQVKDDTHLFIIDELGKMEMFSPSFFPAVLKVLGSNIPLLASIPIPKFGRDLPGVARLKNQPGVTVITLSESNRDSMKEHIFDVFSGWLPKQ >fgenesh1_pm.C_scaffold_825000001 pep scaffold:JGI8X:scaffold_825:1340:2639:1 gene:fgenesh1_pm.C_scaffold_825000001 transcript:fgenesh1_pm.C_scaffold_825000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal biogenesis factor 11 family protein [Source:UniProtKB/TrEMBL;Acc:D7MY71] MGTTLDVSRAELALVVMYLNKAEARDKLCRAIQYGSKFLSGGQPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVPKGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRSGIYKNKERAELLGRISLFCWMGSSVCTTLVEVGEIGRLSSSMKKIEKGLKHGNKYQDEEYRAKLKQSNERSLALIKSAMDIVVAAGLLQLAPKKITPRVTGAFGSSPPSFLVTSCFRHAPRSKHPEVDRGSWC >fgenesh1_pm.C_scaffold_832000001 pep scaffold:JGI8X:scaffold_832:1810:2772:-1 gene:fgenesh1_pm.C_scaffold_832000001 transcript:fgenesh1_pm.C_scaffold_832000001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAEDLSVEIVGLLETAYERREPMTKIRKQLERMSETLAEAVPHSKYAEAIVKGMLLAVQRRANLNVLLSIQETIDQVFDTYIWSYPYSICHQQNYPICGEDICLEPQSPFFPVFGDIIQTLSRHCVNVEAIVDWEKRRKAARERGTLSPQEMNLLRNMENTQIGPTGGILEGYEIGKGSQDARDMGL >fgenesh1_pm.C_scaffold_835000001 pep scaffold:JGI8X:scaffold_835:4:378:1 gene:fgenesh1_pm.C_scaffold_835000001 transcript:fgenesh1_pm.C_scaffold_835000001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLTLLLFILVSTVSVTSTQRNLSPAAENKGVWCVANNKATDEQLQANIDWCCSYEGGFRDCTPINPGGVCYEPNTLRDHASYVMNLYYQNLGSTKDQCTFNGTGTQVRKDPSHGACIFISY >fgenesh1_pm.C_scaffold_86000001 pep scaffold:JGI8X:scaffold_86:11894:14602:-1 gene:fgenesh1_pm.C_scaffold_86000001 transcript:fgenesh1_pm.C_scaffold_86000001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7MW99] MKEQGLTFVTEEDVRNLPYFRALVKETLRIEPVIPLLIPRACIQDTKIAGYDIPAGTTVNVNAWAVSRDEKEWGPNPDEFRPERFLEKEVDFKGTDYEFIPFGSGRRMYVPYANLLGETGVTLLLNFNFKLPNGMKADEINMDVMTGLAMHKSQHLKLVPEKVNK >fgenesh1_pm.C_scaffold_872000002 pep scaffold:JGI8X:scaffold_872:3543:3916:1 gene:fgenesh1_pm.C_scaffold_872000002 transcript:fgenesh1_pm.C_scaffold_872000002 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLVAIIFTALLIVSSVHCRMTTISTPGYGIKQEDRRCLQGPEGTKLCSSGSVRDCLKFCIIRGYSSATCITPDACCCHIPPN >fgenesh1_pm.C_scaffold_883000001 pep scaffold:JGI8X:scaffold_883:137:922:-1 gene:fgenesh1_pm.C_scaffold_883000001 transcript:fgenesh1_pm.C_scaffold_883000001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALINGLAGAGGGIIAQLLTYPLQTVNTRQQTERDLKREKRKLGTIEHMCQVVKQEGWERLYGGLAPSLAGTAASQGVYYYFYQVFRNQAEATALARKKKGLGDGSVGMFASLLVAAFAGYNRNSICDFFLFASC >fgenesh1_pm.C_scaffold_90000001 pep scaffold:JGI8X:scaffold_90:18158:19892:1 gene:fgenesh1_pm.C_scaffold_90000001 transcript:fgenesh1_pm.C_scaffold_90000001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKHGPIFKLWLGAKLAIVVTSSEVAQEIFKTNDRKPRCPSRGSGQYWRMLRKLCVNRILSKAMLDSSTELRRQETRQTVRYLADQARVGSPVNLGEQIFLMMLNVITQMLWGTTVKEEERDVVGAEFLEVIREMNDLLLVPNISDFFPVLSRFDLQGLVKRMQRPAQRMDQMFDRIINQRLGKDRDSSDGRAGDFLDVLLKVKDEENEKTNLTMNDVKAVLMDMVLGGTDTSLHVIEFAMAELLHNPDIMKRAQQELDKVVGKEKVVDESHISKLPYILAIMKETLRLHTIIPLLVPRRPSKTTVVGGFTIPKDSKIFINVWAIHRNPNVWENPLKFDPDRFLDNSYDFKGNDFNYLPFGICVGMAMGERVVLYNLATFLHSFDWKIPQGERVEVEEKFGIVLELKNPLVAAPVLRLSDPNLYLYRA >fgenesh1_pm.C_scaffold_9000002 pep scaffold:JGI8X:scaffold_9:163982:165934:1 gene:fgenesh1_pm.C_scaffold_9000002 transcript:fgenesh1_pm.C_scaffold_9000002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSHIPLDPSLNPSPSLIPKLEPVTESTQNLSFQLPNTNPQALISSPISNFNEATNFSSDYITVAETFRSAFAQRLKRHDDVTVLDSLTGAIVPVEENPDPEPIPVSYSTNDASPSVVVTRRPKPQQRSSELVRITDVGPEGERQFREHVRKTRMIYDSLRMFLMMEEVKLNGFGGRKGRPDSKAASMMKDCMLWLNRDKRIVGSIPGVQVGDIFFFRLELCVMGLHGQTQAGIDYLTGSLSSNGEPIATSVIVSGGYEDDDDQGDVIMYTGHGGQDKLGRQAEHQKLEGGNLAMERSMYYGIEVRVIRGLKYENSVSSKVYVYDGLFRIVDSWFDVGKSGFGVFKYRLERIEGQAEMGSSILKLARTLKTNPLSVRPRGYISSDISNRKENVPVYLFNDIDNDQEPLYYEYLATTSFPPGLFVQRSDSASGCDCIKGCGSGCLCEAKNSGEFAYDYHGKLIRQKPLIHECGAACRCPPSCRNRVTQKGLRNRLEVFRSLETGWGVRSLDILHAGAFICEYAGVALTREQANILTMNGDTLVYPARFSSARWEAWGDLSQVLADFERPSYPEIPPVDFAMDVSKMRNVACYISHSTDPNVIVQLVLHDHNSLMFPRVMLFAAENIPPMTELSLDYGVADDWTAKLAICN >fgenesh1_pm.C_scaffold_9000010 pep scaffold:JGI8X:scaffold_9:293589:293744:1 gene:fgenesh1_pm.C_scaffold_9000010 transcript:fgenesh1_pm.C_scaffold_9000010 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSLPTKPNRKTSFGDRCLLMAKQQRTRLYILRRCVSMLLCWHDHSISD >fgenesh1_pm.C_scaffold_9000012 pep scaffold:JGI8X:scaffold_9:303676:312895:-1 gene:fgenesh1_pm.C_scaffold_9000012 transcript:fgenesh1_pm.C_scaffold_9000012 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGNFNLEKLLKTLKKKTGKKAEILMMNEKADMKENDEQETFTEKNEEGDIVQEKDKNPEVEENIDTPEASTTKVEIHIAFLYEKYEEDIGKVISKFEGVKTCVVDIENQKIVITGDFDEEKLLEKLKKKMCKRINKVEKKRKEEEAKIVIEKDEEIEMDRGVYMYPNSDDEKEVAKYMMFSDENPNACSIS >fgenesh1_pm.C_scaffold_9000014 pep scaffold:JGI8X:scaffold_9:317471:320551:-1 gene:fgenesh1_pm.C_scaffold_9000014 transcript:fgenesh1_pm.C_scaffold_9000014 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKTLSHRIFFPKFSSTFSSHQTRRFSISDRRKFSAMAGAGVDDFVKGNVFPNGVALITLDRTKALNAMNLDMDIKYKSFLDEWESDPRVKCVIVEGSTPRAFCAGMDIKGVAAEIQKDKNTPLVQKVFTAEYTLICAIAGYKKPYISLMDGITMGFGLGLSGHGRYRVITERTVLAMPENGIGLFPDVGFSYIAAHSPGGGSVGAYLGLTGKRISTPSDALFVGLGTHYVPSEKIASLKEAILSANLSGDPNQDIQATLSKYSGNLESEAHLKSLLPQIESAFSSNKSVKETIEELKKYQRSTEPSVVEWANEALKGLEKGAPFSLYLTQKYFSNVASAKGKTENELATLNGVMKTEYRIALRSALRGDFAEGVRAVLIDKDQNPKWNPASIEEVDENEVEALFKPLSQEVEELKV >fgenesh1_pm.C_scaffold_9000018 pep scaffold:JGI8X:scaffold_9:408250:410133:1 gene:fgenesh1_pm.C_scaffold_9000018 transcript:fgenesh1_pm.C_scaffold_9000018 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIQRFSLTTRHGKKLSVVESCVRHFCGRDGVIKEEGDWFYSPEWWDPHDGGYTVSRATSAKGNGVVSVVAHPSSLPSRDSWGETERWLEKRYMEIMSRDGEEKNGRFNVLGYQWRSLRFNDDTRQSTVKVMAACRALQPSSVFYMQQPHCLAVPYLKSMVSVGLTSLVASKFDMRSVAIGEKEMRILCIGHGGGSLPLFLAKHILGAVVDIVELDPLVISESVRAMGFPASSVMTATGKRALPTPEIIDQVMWRGIHERLFLYESKAEEFILRNQSNTYDLIFMDAYDGADIFPHSLWDSNSVFMKALSKILHHEHGTMVVNLHSDADISDIDRTNEGVTTGKYVRKVGRAYKKGVMENERNGLVFACEVPWLCNVSLVVSRGMGSEGRHREKTMSNLMKTSLEVDQILRLPFSCLDYLKTGLAII >fgenesh1_pm.C_scaffold_9000019 pep scaffold:JGI8X:scaffold_9:416871:418091:-1 gene:fgenesh1_pm.C_scaffold_9000019 transcript:fgenesh1_pm.C_scaffold_9000019 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDVVAIPAQTDYSTFDDLRLGRSSQDVVGRLLRFWDARNIKKTCSLIHCFIPAVRASQFRNVLQEGRIFCVGGFEVGRCTNLYKITDNPFVIRFLPSTTIVEVNHVGATIEREKFMLRKGNHLQALANINLELPAGNNYYMTNILHFSTNRSTIVYLSLWDDAAATFQAYLTSGDTINSVMLVTTINPKLFGGKNEVLRYIY >fgenesh1_pm.C_scaffold_9000020 pep scaffold:JGI8X:scaffold_9:450894:455633:1 gene:fgenesh1_pm.C_scaffold_9000020 transcript:fgenesh1_pm.C_scaffold_9000020 gene_biotype:protein_coding transcript_biotype:protein_coding MESQTTFKCESHAFTKLSHSQWTDYFLSVPIDELELGVITREIEILKPEVRELLSSHGDDETSKRKVLLIQLLLSLGLAFHFENEINDILEHAFRKLDDIIGDEKDLSTISIMFRVFRTYGHNLSSNVFKRFTGDDGKFEQSLTEDAKGILSFRSLGNNDRLCIGRSIEVHIEPLEVFIGRRDVPASYLKAYKKHALFTSTLEHGSSNCKGIHYVLRTRRRSRQDATQISQAKFQVIAAALHQRTQNFYQLDLTSKWPSQFRERIVEAWLAGLMMYYEPQFSGGRMTRAIIISLDELTRLVDCVERWILDGVDTIGDISRTVFKLMLDVFDEIERGVRSKGSSFHLKEMLEELKTLVRANLDLVKWAQGKQVPSFEEHVEVGGIALTSYATLMYSFVGMGETVGKEAYEWNDMSNGFAANAINYYMKQFVVTKEGAILECQKMIANINKIINEELLKTTAVSPRVLKQALNFRRLLEVLYTKSDDIFNCSEGKLKEYIVTLLIDPIRL >fgenesh1_pm.C_scaffold_9000022 pep scaffold:JGI8X:scaffold_9:546240:547974:1 gene:fgenesh1_pm.C_scaffold_9000022 transcript:fgenesh1_pm.C_scaffold_9000022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7MV98] MEFVTETLGKRVHDPYVEETRFLMIPGPIIVGSGPSGLATAACLKSRDIPSLILERSTCIASLWQLKTYDRLRLHLPKHFCELPLMPFPSSYPTYPTKQQFVQYLESYAEHFDLKPVFNQTVEEAKFDRQRGLWRVRTTVGKKDETMEYLSRWLVVATGENAEEVMPEIDGIADFGGPILHTSSYKSGEMFSEKKVLVVGCGNSGMEVCLDLYNFNAHPSLVVRDSVHVLPQEMLGISTFGISTSLLKWFPVQVVDRFLLRMSRLVLGDTDRLGLVRPKLGPLERKIKCGKTPVLDVGTLAKIRSGHIKVYPELKRVMHHSAEFVDGRVDNFDAIILATGYKSNVPMWLKGMNMFCEKDGFPYKPFPNGWKGESGLYAVGFTKLGLLGAAIDAKKIAEDIEVQRNFLPLARPQHC >fgenesh1_pm.C_scaffold_9000023 pep scaffold:JGI8X:scaffold_9:590415:592010:-1 gene:fgenesh1_pm.C_scaffold_9000023 transcript:fgenesh1_pm.C_scaffold_9000023 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVPSLMKDEEPRNWAELPSELTFSILNRLGAIDILENAQKVCTSWRRVSLDPLMWRKIDMHYLGDMGSMIYDFESMCRHAVDRSQGGLVEIDIWHFGTDDLLNYIADRSSNLRSLRLAMCNQITDEGVTEAVVKLPLLEDLDVSFCAFLGESLRVVGQSCPNLKTLKLNRSPGIDCFLFRPNINAIVIAESMPNLRHIQLFGNEINNTGLNAILDGCPHVEHLDLRKCFNINLVEDIEKRCSERIRVLRRPYDSTADFLFDNIWFLECYSDSDSDDADRDDDYDDDSSSSMA >fgenesh1_pm.C_scaffold_9000026 pep scaffold:JGI8X:scaffold_9:671638:672213:-1 gene:fgenesh1_pm.C_scaffold_9000026 transcript:fgenesh1_pm.C_scaffold_9000026 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRSSISNTRKLFQKTIDNFKSFFFNNGTYHKLPKTPNNTSTNNTNDHPKSNIITSLSSSSSSIHKQFQPKPKDYVTTNKDLTLQPRREDRDETLFSQPKVNLVRRKLKEMEKMMNDDIISDDHYVSDVREFLHCYSRLRYTAYLDVVEHFFMEVYSDFFSPHRLEGTRTAVKRRHPAVVGVGSYGGRWP >fgenesh1_pm.C_scaffold_9000030 pep scaffold:JGI8X:scaffold_9:702461:706115:1 gene:fgenesh1_pm.C_scaffold_9000030 transcript:fgenesh1_pm.C_scaffold_9000030 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPGRTMTTTAGRPDGKTGRTEWLYVAGECAKLPRCNKYCVTNGFHLGGFCFIKHMISFLFGVGRDNKVLFRNEGAQTISDAAGNLKDKAKNTAEEAWDKVKDTTEKIKDTVTGKTEETKESIKATAKTVERSMNTKNLK >fgenesh1_pm.C_scaffold_9000036 pep scaffold:JGI8X:scaffold_9:746926:750216:-1 gene:fgenesh1_pm.C_scaffold_9000036 transcript:fgenesh1_pm.C_scaffold_9000036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7MVC0] MVIARTLFSISATLIIILALFFHVNSLSDGEWHEHAVKDPEEIAALVDMSIRNSTYRRKLGFFSSCETGNPIDDCWRCDKKWHRRRKRLAGCAIGFGRNAVGGRDGRYYIVTDPSDHDPVNPKPGTLRYAVIQDEPLWIVFKRDMVITLSQELIMNSFKTIDGRGVNVHIAGGACITIQYVTNIIIHGINIHDCKRTGNAMVRSSESHYGWRTMADGDGISIFGSSHIWIDHNSLSNCADGLIDAIMGSTAITISNNYLTHHNEAILLGHTDSYTRDKMMQVTIAYNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFLAPGNRFAKEVTKRVGAGEGEWNNWNWRSQGDLMLNGAYFTSSGAGASANYARASSLAAKSSSLVGMLTSSSGAFKCRIGTLC >fgenesh1_pm.C_scaffold_9000037 pep scaffold:JGI8X:scaffold_9:775592:775927:1 gene:fgenesh1_pm.C_scaffold_9000037 transcript:fgenesh1_pm.C_scaffold_9000037 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIGQTSIKSLHLNQLIRFIITIIFLAFFVLISPISSMSHHLHESSSKNTKTPSTRFLLQPSTPSSSTMNLRPTTHTRRSRSSSSSRKRRREFGAEAHEVPSGPNPISN >fgenesh1_pm.C_scaffold_9000038 pep scaffold:JGI8X:scaffold_9:796589:798308:1 gene:fgenesh1_pm.C_scaffold_9000038 transcript:fgenesh1_pm.C_scaffold_9000038 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFLGPTTNNKSRENEGSSLAAPYQQQNLAWNDRKQITTWEAVGMNKESPKNIEAKSFKFRELATATNSFRQEFLIGEGGFGRVYKGKMEKTGQVVAVKQLDRNGLQGNREFLVEIFRLSLLHHPNLANLIGYCLDGDQRLLVYEFMPLGSLEDHLLEFCTINNYLIELDVGAGQQPLDWNSRIRIALGAAKGLEYLHEKANPPVIYRDFKSSNILLNGDLDAKLSDFGLAKLGSVGDTQNVSSRVVETYGYCAPEYHKTGQLTVKSDVYSFGVVLLELITGKRVIDTTRPSHEQNLVTWAQPIFREPNRFPELADPLLRGEFPEKSLNQAVAVAAMCLQEEPIVRPLISDVVTTLSFMSTNTGSPSGITDNALNLFQPLSSKTVEDQDVLQCESVPRDVYSLL >fgenesh1_pm.C_scaffold_9000045 pep scaffold:JGI8X:scaffold_9:895389:896465:1 gene:fgenesh1_pm.C_scaffold_9000045 transcript:fgenesh1_pm.C_scaffold_9000045 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPEKKRKTATKKPLLKPSLTLQSTPNPPLPLPDDLLLSCFARVSRLYYPTLSLVSKSLRSLITSPELYKTRSVLNRTESCLYVCLKLPSDDNPRWFTLCRKPNQSRKKKKKTSGNILVPVLFPQSSPPTHAASFVAVGSDIYEIGGLINDVPSSNVSVLDCHSLSRNQAPNMSMAMENPAAYAIDGKLCVVEGSNYKDFFDPETQTWKPENRPPFSLAPPEEYLREYRGRNLWIRRHGTSFCGCMIDNVYWCYKDGQFEWYDAFKSECRILKGMEGQLPKLTRSCDVQLADYGGKMAVLWHKHDRSSNDKGRVIWCAEIALERRSSEEIWGKVEWFDHVLKVPKLFRFVCVLDPTL >fgenesh1_pm.C_scaffold_9000047 pep scaffold:JGI8X:scaffold_9:910059:911477:-1 gene:fgenesh1_pm.C_scaffold_9000047 transcript:fgenesh1_pm.C_scaffold_9000047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MVE3] MKIELVFIPLPGIGHRKPTIELAKRLVHSDDRLSITVIIIPSINNIADDSTYIASLSTTSQDRLRYETISIKDQPTTADPNQPTQVYIENQKPKVRDIVLRIVNDPTRRLAGFVVDMFCFSMIDVANEFDIPIYMFYASNATFLGITLHIQLMHDEKKYDLEFPCLTRPYPVKLLPYLFTSKQWLPLFLAQARKFRKIKGILVNTVAELEPHALKMFNNVGGDLPQVYPIGPVLHLEDEKQSEILRWLDELPAKSVVFLCFGSMGGFNEEQTKEIAVALERSGYRFLWSLHRASRNIMMERPGDFTNLEEVLPEGFLNRTSDKGKVIGWAPQVAVLAKVAVGGFVTHCGWNSMLESLWFGVPMVAWPLYSEQKVNAFEMVEELGLAVEIRKYLKGELLAGEMETVTVEEIERAMKRVMEEDSDVRDRVKEMAEKFHVALMEGGSSHVALQKFMQDVKEYIAC >fgenesh1_pm.C_scaffold_9000048 pep scaffold:JGI8X:scaffold_9:920375:921553:1 gene:fgenesh1_pm.C_scaffold_9000048 transcript:fgenesh1_pm.C_scaffold_9000048 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor [Source:UniProtKB/TrEMBL;Acc:D7MVE5] MNIFRLAGDMSHLASVLVLLLKIHTIKSCAGVSLKTQELYAIVFATRYLDILTSFYSIYNTLMKLIFLGSSFSIVWYMKYHKTVHRTYDREQDTFRHWFLVLPCFLLALLIHEKFTFLEVLWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYIFLLGGYRGFYILNWIYRYFTEPHFVHWITWIAGLVQTFLYADFFYYYFQSWKNNKKLQLPA >fgenesh1_pm.C_scaffold_9000056 pep scaffold:JGI8X:scaffold_9:1060997:1062016:1 gene:fgenesh1_pm.C_scaffold_9000056 transcript:fgenesh1_pm.C_scaffold_9000056 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDQQKQVFCIFCILSFHENSTNYSDVNHFHIMHPTKDCQYDYYNASVNGLVTFKTETGIVIWNPTIKEHITLPQPKISKHVRCFLGYDPKENTYKILSIDFCKTWEKYQKHKILTLGSQESWRVITNSPDHYPRRGYYCINGVVYYRAYITYEEYHKIYPPFIKEIELDMRLNEIIMSFDVRSEQFKSIELPARANQYESKLDESLMTYQGKLAWVCYNSNMIKLWVLQDLEKQEWSKNEFVLPNLPQRDLLGSAKLSGATSTGEFIYVSGKYFKDISVFYYDPVRETITSVNGLEYEKFRSCYGSNNDEMACLRSYPNHIENLMSLRNIMCSPIV >fgenesh1_pm.C_scaffold_9000057 pep scaffold:JGI8X:scaffold_9:1062385:1064037:-1 gene:fgenesh1_pm.C_scaffold_9000057 transcript:fgenesh1_pm.C_scaffold_9000057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MVG0] MLKLSCNVTDHVHNFFSDSRRIFVPVHRRTGPISCFQLKKEPLRAILSADHGNSSLRVTDFVSVTSPADRLRFGRLMEDGFSYKEKFIVRSYEVGINKTATVETIANLLQEVACNHVQKVGFSTDGFATTLTMRKLHLIWVTARMHIEIYKYPAWSDVVEIETWCQSEGRIGTRRDWILKDCATGEVIGRATSKWVMMNQDTRRLQRVTDEVRDEYLVFCPREPRLAFPEENNSSLKKIPKLEDPAQYSMLGLKPRRADLDMNQHVNNVTYIGWVLESIPQEIIDTHELKVITLDYRRECQQDDIVDSLTTSETPNEAVSKLTGTNGSTTSSKQEHTESQFLHILRLSENGQEINRGRTQWRKKSSR >fgenesh1_pm.C_scaffold_9000068 pep scaffold:JGI8X:scaffold_9:1377330:1379640:1 gene:fgenesh1_pm.C_scaffold_9000068 transcript:fgenesh1_pm.C_scaffold_9000068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7MVI3] MVWLMKVFVFVTLVSLLFVITESAPESALITKLPGFEGTFPSKHYSGYVTIDKDHGKNLWYYFVESEKDPSKDPVVLWLNGGPGCSSMDGFVYEHGPFNFELPKKNNSLPLLHLNPYSWSKVSNIIYLDSPVGVGFSYSNNISDYITGDTKTAVDSHAFLLKWFQMFPEFQSNPFFISGESYAGVYVPTLASEVVKGIKNGVKPALNFKGYLVGNGVADQVFDGNALVPFAHGMGLISDELFENVTKACHGNFYEIEGLECEEQYTKVSDDTDRLNIYNILEPCYHGTSLSAFDIRSLPSSLLELGKTERPLAIRKRMFGRAWPVRAPVRPGIVPSWSQLLADVSVPCIDDRVATAWLNDPAIRKAIHTKEESEIGRWELCSGKLSFDHDAGSMIKFHRNLTLSGYRALIYSGDHDMCVPFTGSEAWTKSLGYKVIDEWRAWISNDQVAGYTQGYANNLTFLTIKANIESQLK >fgenesh1_pm.C_scaffold_9000070 pep scaffold:JGI8X:scaffold_9:1385894:1389877:1 gene:fgenesh1_pm.C_scaffold_9000070 transcript:fgenesh1_pm.C_scaffold_9000070 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGGGFIDHLYINLKRSGIHTFKDDEALKRGENLSPTLLKAIKSSKVHLVVLTENYSSSMWCLDELMHIMECRRNNPGHVVVPIFYDVEPRDVRRQRGSFGAYFSKHEARHPEKVQKWKDALTEVANRLGHVRANYRSEVELIYEITKEIGKMSTISYMQLPAYAVGIRPRVLDIYKLLCFGSDDAQTIGICGMGGIGKTTLAKAVYNQFSDRFEGTSFLENFKEYSKKPEGKIHLQRKLLSDITKNNDQVFRNRRVLVVIDDVEDVDQLASVGIDLSCFGPGSRIIITSRDMHLLELLKVENIYLPNALNSEKSLKLIRLHAFRTRLPLAMEVLDSFLFKRSISEWKSTLKSLKSLPNDNIQAKLEISFDALNAFQKDIFLDISCFFIGVDKDYVRCILDGCDLYPDIGLSVLKERCLITFHDNRLMMHDLLRDMGRHIVRERLQKNVKDGVDYGIMLILKAEVTSVENLEVKAFSNLTMLRLLQLSHVHLNGSYANFPNRLRWLCWLGFPLHSIPTDFRLGSLVILDMQYSNLKRLWGDGKQPQSLKELKYLDLSHSIQLTDTPDFSNLPNLEKLLLINCKSLVRVHKSIGTLHEKLILLNLKDCTKLGDLPLELYMLKSLETLIVSGCVKLERLDNALRDMKSLTTLKANYTAITQIPYMSNQLEELSLDGCKELWKVRDNTHSDESPQATLSLLFPLNVISCLKTLRLGSCNLSDELVPKNLGSLSCLEELDLQGNNFRNLQMDFAGLSSLQILKVDSCSELQSMFSLPKRLRSFYASNCIMLERTPDLSECSVLQSLHLTNCFNLVETPGLDKLKTVGVIHMEMCNRISTDYRESIMQGWAVGANGGIFIPGSSVPNWVSFKNERHSISFTVPESLNADLVGFTLWLLLKNPCLCVFLQFWVDFKFIYNE >fgenesh1_pm.C_scaffold_9000071 pep scaffold:JGI8X:scaffold_9:1390349:1391494:-1 gene:fgenesh1_pm.C_scaffold_9000071 transcript:fgenesh1_pm.C_scaffold_9000071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma interferon responsive lysosomal thiol reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MVI6] MASSSSTKFVLFPCLFLACLFLFTFSNNLIVAEKSDKVKLNLYYESLCPYCQNFIVHDLGKIFDSDLLKITDLKLVPFGNAHVSNNLTITCQHGEEECKLNALEACGIRTLPDPKLQYKFIQCVEKDTNEWGSCVKKSGREKSINDCYNGDLSKKLIIGYAKQTSSLKPKHEYVPWVTLNGKPLYDNYHNLVAQICKAYKGKALPKLCSSSALFERKVSKLQVSYVDEVINH >fgenesh1_pm.C_scaffold_9000072 pep scaffold:JGI8X:scaffold_9:1394543:1395143:1 gene:fgenesh1_pm.C_scaffold_9000072 transcript:fgenesh1_pm.C_scaffold_9000072 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIVLIAAVVLAFLVAAPMPEVTAKKYTVGDKKFWNPNINYTIWAQGKHFYVGDWLYFVFYRDQHNILEVNKADYERCISTHPIRNYTRGAGRDIVPLYETRRYYLLDGRGGCFHGMKLDVLVETPPPPPPFTPPPPQ >fgenesh1_pm.C_scaffold_9000074 pep scaffold:JGI8X:scaffold_9:1403116:1403574:1 gene:fgenesh1_pm.C_scaffold_9000074 transcript:fgenesh1_pm.C_scaffold_9000074 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGELSRVFQMFDKNGDGKIAKNELKDFFRSVGIMVPENEINEMIEKMDVNGDGVMDIDEFGSLYQEMVEEKEEEEDMREAFRVFDQNGDGFITDEELRSVLASMGLKQGRTLEDCKKMISKVDVDGDGMVNFKEFKQMMRGGGFAALSSN >fgenesh1_pm.C_scaffold_9000078 pep scaffold:JGI8X:scaffold_9:1416153:1416440:-1 gene:fgenesh1_pm.C_scaffold_9000078 transcript:fgenesh1_pm.C_scaffold_9000078 gene_biotype:protein_coding transcript_biotype:protein_coding MKFITLVFIGFTMLSLILTKTMILGEEENTTCVVTDLQICKSEVTTGNPRSKECCEKLKEQQSCLCAYLKDPLVAPYITVAKIILASCGIPFPSC >fgenesh1_pm.C_scaffold_9000081 pep scaffold:JGI8X:scaffold_9:1514984:1515987:1 gene:fgenesh1_pm.C_scaffold_9000081 transcript:fgenesh1_pm.C_scaffold_9000081 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S13 [Source:UniProtKB/TrEMBL;Acc:D7MVK0] MGRMYSGGKGFSASALLCKGTSPSWVTRPPQDVDESICKIAKKGLTPSHIGVILRDSHGIAQVKSVTGSKTLRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERSRKDKDSKFRLILLESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >fgenesh1_pm.C_scaffold_9000084 pep scaffold:JGI8X:scaffold_9:1600600:1604644:1 gene:fgenesh1_pm.C_scaffold_9000084 transcript:fgenesh1_pm.C_scaffold_9000084 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFTGLLARDFGLKPQGKSAPMAAQSNSSASDFNSFASSYSFANAAGKKSESLPVFDDLGRDGDDLLFKDVFSGPPPKYGSSSGDSRSPPASAFDYDAMFKEPKSKPASSMPVYDKPVYDDEDVFESIPELKIPSTSSQSARFDDVFSSPPKHRKQNSSPFDDLMGNNLGKRGTESDREEKASSIFDDLIPGFGRTSSPPPKRKTSETTNQSQKPPYRTAETSSNVEEDPFVVLEESASTPREPSTGGFTDPLEDIGKFNSRKTDHSSVHRGVFVDIDPLDSLGKSGPDMNSRGKSHLRPPGNISASQSPPVESPGSYHSKKVSFDDVLEPQNTSTPPPTNSNGSFESSDDVWLTVSEIPLFTQPTSAPPPTRPPPPRPTRPIKKRVNEPSIPTSTNHSHIPSTARASVNSPAASQMDELDDFSIGRNQTAANGYPDPPSGEDSDVFSAAAASAAAMKDAMDKAEAKFRHAKERRVKENLKASRNREEDQTENYDSRERELRENQVRLDRERAEREAEMVKEQEREREEREREQKRIERERERLLARQAVERATREARERAATEAHAKVQRAAVGKATDARERAERAAVQRAHAEARERAAAGAREKAAKAAAEAREKAEKAAAEARERVNAEAREKEARVRAERAAVERAAAEARGRAAAQAKAKQQQENNNDLDSFFSSISRPNSAPRQRTNPLDPFQDSWNKGGSFESRRESSRVPSGPTENLKKASSVTNIVDDLSSIFGGSAISELPNLVGFKMLMEKLKRDDVPGWNATRGHRSGLFASMFYNMNSFLNQAKALAEKNERDLQVQREQAEKDRIGETLDVEIRRWGAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITGASVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDMLKEAWNKFNSEELF >fgenesh1_pm.C_scaffold_9000098 pep scaffold:JGI8X:scaffold_9:1640567:1641085:-1 gene:fgenesh1_pm.C_scaffold_9000098 transcript:fgenesh1_pm.C_scaffold_9000098 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit I, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7MVL8] MLPMITGFMNYGQQTLRAARYIGQGFMITLSHTNRLPVTIQYPYEKLITSERFRGRIHFEFDKCIACEVCVRVCPIDLPVVDWKLETNIRKKRLLNYSIDFGICIFCGNCVEYCPTNCLSMTEEYEFSTYDRHELNYNQIALGRLPMSVIDDYTIRTIWNSPQTKNGVNPLI >fgenesh1_pm.C_scaffold_9000099 pep scaffold:JGI8X:scaffold_9:1641175:1643325:-1 gene:fgenesh1_pm.C_scaffold_9000099 transcript:fgenesh1_pm.C_scaffold_9000099 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-plastoquinone oxidoreductase subunit 1 [Source:UniProtKB/TrEMBL;Acc:D7MVL9] MIIYATAVQTINSFVKLESLKEVYELIWIFVPIFSLVLGIITGVLVIVWLEREISAGIQQRIGPEYAGPLGILQALADGTKLLFKENLRPSRGNTPLFSIGPSIAVISILLSYSVIPFSNHLVLADLNIGIFLWIAISSIAPIGLLMSGYGSNNKYSFLGGLRAAAQSISYEIPLTLCVLSISLRLSNSLSTVDIVEAQSKYGFWGWNLWRQPIGFIIFLISSLAECERLPFDLPEAEEELIAGYQIEYSGIKFGLFYVASYLNLLISSLFVTVLYLGGWNIAIPYISILELFQRDQIFGTTIGIFITLAKTYLFLFISIATRWTLPRLRMDQLLNLGWKFLLPISLGNLLLTTSFQLFSL >fgenesh1_pm.C_scaffold_9000101 pep scaffold:JGI8X:scaffold_9:1649486:1650529:-1 gene:fgenesh1_pm.C_scaffold_9000101 transcript:fgenesh1_pm.C_scaffold_9000101 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQSFILGNLVSLCMKIINSVVVVGLYYGFLTTFSIGPSYLFLLRARVMDEGEEGTEKKVSATTGFIAGQLMMFISIYYVPLHLALGRPHTITVLALPYLLFHFFWNNHKHFFDYGSTTRNEMRNLRIQCVFLNNLIFQLFNHFILPSSMLARLVNIYMFRCNNKMLFVTSSFVGWLIGHILFMKWVGLVLVWIQQNNSIRSNVLIRSNKYKFLVSELRNSMARIFSILLFITCVYYLGRIPSPIFTKKLKGTSETGGTKQDQEVSTEEAPFPSLFSEEGEDLDKIDEMEEIRVNGKDKINKDDEFHVRTYYNYKTVSENLDGNKENSNFEFFKIIKKRIIKKINT >fgenesh1_pm.C_scaffold_9000105 pep scaffold:JGI8X:scaffold_9:1665770:1667952:-1 gene:fgenesh1_pm.C_scaffold_9000105 transcript:fgenesh1_pm.C_scaffold_9000105 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQPGTIYLRYLVDIHKKYLMNYEFNTSCLAERRIFLAHYQTITYSQTSCGANSFHFPSHGKPFSLRLALSPSRSILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFFIDDIDIDDSDDIDASNDIDRELDTELELLTMMNALTMDMMSEIDRFYITLQFELAKAMSPCIIWIPNIHDLDHFFTLSYTRGFHLEKKMFHTNGFESITMGSSARDLVALTNEALSISITQKKSIIDTNTIRSALHRQTWDLRSQVRSVQDHGILFYQIGRAVAQNVLISNCPIDPISIYMKKKSCNEGDSYLYKWYFELGTSMKKFTILLYLLSCSAGSVAQDLWSLPVPDEKNRITSYGFIENDSDLVHGLLEVQGALVGSSRTEKDCSQFDNDRVTLLFRSEPRDPLYMMQDGSCSIVDQRFLYEKYESEFEEGEGEGVLDPQQIEEDLFNHIVWAPRIWRPRGFLFDCIERPNELGFPYSAGSFRGKRIIYDEKYELQENDSEFLQSGTMQYQRRDRSSKEQGFFRISQFIWDPADPLFFLFKDQPFVSVFSHREFFADEEMSKGLLTSQTDPPTSIYKRWFIKNTQEKHFEFSLSNGFFRSNTRSESYQYLSNLFLSNGTLLDRMTKTLLKKRWLFSDEMKIGFM >fgenesh1_pm.C_scaffold_9000106 pep scaffold:JGI8X:scaffold_9:1668335:1668601:1 gene:fgenesh1_pm.C_scaffold_9000106 transcript:fgenesh1_pm.C_scaffold_9000106 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPKPRISSRQSPNCSRATRKRFFNQKEFSSDVGYLSRSFRNSIMYDGIIKDLIFSNSRRCVRTIYPATRRRKRIE >fgenesh1_pm.C_scaffold_9000107 pep scaffold:JGI8X:scaffold_9:1682284:1682466:1 gene:fgenesh1_pm.C_scaffold_9000107 transcript:fgenesh1_pm.C_scaffold_9000107 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTSFFACFVPKSKSRVNTTDSNLEVLSLKKPKSKTDSPRTPTIVVSYFPVGSNLSRL >fgenesh1_pm.C_scaffold_9000108 pep scaffold:JGI8X:scaffold_9:1719753:1725028:1 gene:fgenesh1_pm.C_scaffold_9000108 transcript:fgenesh1_pm.C_scaffold_9000108 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MVN9] MMKEDGRGRNPPSRHLWVGNLPHGIPERELADRFLRFGELESLAFQPGRSYAFVNFKHNEDAFAAIESLQGFPLSGNPLRIEFAKAEKSSTGSRTEDIFRHDEQRSAARGSSFVQKDSRMLYESPDTYSKSKMNDRNAEPSEVLYIGFPASLKVDDALLRNVFSSFGEITKVTVFPGRSYAFVQFRNLMAACKAKETLQGKLFGNPRVHICFAKSEPSSSSSGRGPSSRSLSPPYRSVDRLGSSEGYLQDRNYGSISRIPSVREPHYIADRDLEDSEDYMFDRKRVSRNDGGPAYGRSRSTHRFPQDMHEYHGSPREMGSAFRDDPHRFQSRSSVYEEPWDLPEDDYYYQETKRLKSRSVQPERQLPGHQLSGIEQERRPFSRASADFSPKDAFERNYEAGQLRYKQTVEQPLNLAIRNGDKSSLREPHDELMGGYALPSIVPERKRHTPELNRPSLKDWNWEGTIAKGGNPICGAKCFPVGKVMDMMLPEYVDCTARTGLDMLAKHYYQSSKAWVVFFVPGSDADIVFYNEFMHYLEEKQRAAVSKLDDTTTLFLVPPSDFSEKVLKVPGKLSISGVILRLECGGSGSGPVQQQGERKDSDLLTYYGETSYAEASGAFPDVGNPCIPGPTAFLRSAGRDGQSASMDPYIESKHDQLSHRYSGSDWPPRDTNPRSSQMQPFIDKNTVQEHSGFVPVKQQNADLSRYHDTDTPAPSGFQPEQLTHLASSLPRQQKHVQNTPNQPERYAPEGRASFSHLQHAQTPSIPQLVSPGNQNVQIQGSNSQQEEETEANPQKRLQATLQLAAALLQQIQQAKPS >fgenesh1_pm.C_scaffold_9000115 pep scaffold:JGI8X:scaffold_9:1810515:1812672:1 gene:fgenesh1_pm.C_scaffold_9000115 transcript:fgenesh1_pm.C_scaffold_9000115 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRAQQIPLLEGETDNYDGVTVTMVEPMDAEVFTESLRASLSHWREEGKKGIWIKLPLGLANLVEAAVSEGFRYHHAEPEYLMLVSWISETPDTIPANASHVVGAGALVINKNTKEVLVVQERSGFFKAKNVWKLPTGVINEGEDIWTGIAREVEEETGIIADFVEVLAFRQSHKAILKKKTDMFFLCVLSPRSYDITEQKSEILQAKWMPIQEYVDQPWNKKNEMFKFMANICQKKCEEEYLGFAIVPTTTSSGKESFIYCNADHANRLKAMRDQASASL >fgenesh1_pm.C_scaffold_990000001 pep scaffold:JGI8X:scaffold_990:857:4188:1 gene:fgenesh1_pm.C_scaffold_990000001 transcript:fgenesh1_pm.C_scaffold_990000001 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMFLVTSSLLGYIYSPQLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAPWHLQALRCEKEILSGCGLFQLFHFIAATMRNKIQTSRAVLYMMIAFAVIPTIAFNVSNVYKVVQSRKGSMVLALAMLYPFVVLLGGVLIWDYLSPINLIATYPHLVVLGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPFALANALTARLNAGVPLVDELWVLLGYCIFTVSLYLHFATSVIHEITEALGIYCFRITRKEA >fgenesh1_pm.C_scaffold_998000001 pep scaffold:JGI8X:scaffold_998:90:1120:1 gene:fgenesh1_pm.C_scaffold_998000001 transcript:fgenesh1_pm.C_scaffold_998000001 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVQEHAKGGKCIVFTQTKRDADRLSYALARSFKCEALHGDISQSQRERTLAGFRDGHFKILVATDVAARGLDVPNVDLIIHYELPNNTETFVHRTGRTGRAGKKGSAILIYSQDQSRAVKIIEREVGSRFTELPSIAVERGSASMFEGIGSRSGGSFGGGMRDRGSSFGGRSGGGYGGSSGGYGGGRSGGSSNRYSGDSDRSGFGSFGMRSPEGYGSDRSSQSGGRSSFGGGRSGDYGRSSGSSNNRSSGFGDFGSDRSSQSGGRSSFGGFGSNDGKRSY >fgenesh2_kg.100__1__AT2G39210.1 pep scaffold:JGI8X:scaffold_100:4681:6803:1 gene:fgenesh2_kg.100__1__AT2G39210.1 transcript:fgenesh2_kg.100__1__AT2G39210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin family protein [Source:UniProtKB/TrEMBL;Acc:D7MWD3] MVAASPGGSMKSLTIQILTGRWFMFFGSLLIMSTAGATYMFGIYSGDIKKTLGYDQTTLNLLSFFKDLGANVGVLAGLLNEVTPPWFILLIGGILNFFGYFMIWLAVTERISKPQVWHMCLYICVGANSQSFANTGSLVTCVKNFPESRGVVLGILKGYVGLSGAIITQLYRAFYGEDTKELILMIGWLPAIVSFAFLRTIRIMKVKRQTNELKVFYNFLYISLGLATFLMVVIIINKLSGFTQSEFGGSAAVVIVLLLLPIIVVILEEKKLGGRNKTVFDPPERGDDYTILQALFSVDMLILFLATICGVGGTLTAIDNLGQIGDSLGYPKRSVSTFVSLVSIWNYYGRVVSGVVSEIFLIKYKFPRPLMLTMVLLLSCAGHLLIAFNVPGGLYVASVIIGFCFGAQWPLLFAIISEIFGLKYYSTLYNFGSVASPIGSYLLNVRVAGYLYDVEAGKQYKALGKTRVEGQDLNCIGTSCFKLSFIIITAVTLFGVLVSMVLVIRTKKFYKSDIYKKFREKALAAEMEMAAPAAARSTVAEEDKDGVKGKVIGKGG >fgenesh2_kg.1010__1__AT5G58730.1 pep scaffold:JGI8X:scaffold_1010:766:3120:1 gene:fgenesh2_kg.1010__1__AT5G58730.1 transcript:fgenesh2_kg.1010__1__AT5G58730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNPPPSQQTPQSPRRIPNRRVLIVGNYCHDVLIQNGSVVAETLGGAASFISNVLDSSSVSCELVSKVGHDFRYEVIHSPIVAPDKETTIFEAYFDLGIDGIGHADRVLKRVSACDPILPSDIPDSRFDFGMAVGVGVVVTNGEKGLDAGKSVRVKDEVQKRKKQCNLSSSHKNDHNEFHERLSPARFSCVDSQLQPKLLVNGHSCDR >fgenesh2_kg.103__3__AT2G32100.1 pep scaffold:JGI8X:scaffold_103:9846:10872:-1 gene:fgenesh2_kg.103__3__AT2G32100.1 transcript:fgenesh2_kg.103__3__AT2G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKILWKSLHLCFPSNLTKCYSSQCIPPSSADQDFTDQPSRPSIVLLNNFNLLYHHDNNQHHQTRSREFSDNYDDATVTSTKKKKTTNYDTAVTTTTRLISGGTAVTQNVDSPDPLTDFRRSMQEMIDAAIDAGELSRDPNDGYDFLDELLLTYLSLNPTDTHKFVIRAFSDILVSLLSEERRIC >fgenesh2_kg.106__1__AT1G71890.1 pep scaffold:JGI8X:scaffold_106:1980:4235:1 gene:fgenesh2_kg.106__1__AT1G71890.1 transcript:fgenesh2_kg.106__1__AT1G71890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALEAERAANDAAALETQSSSEDLGQPSPLRKIISVASIAAGVQFGWALQLSLLTPYVQLLGIPHKWSSYMWLCGPISGMLVQPIVGYHSDRCESRFGRRRPFIATGAVLVAVSVFLIGFAADMGHSFGDKLENKVRTRAIIIFLTGFWVLDVANNTLQGPCRAFLADLAAGDAKKTRVANACFSFFMAVGNVLGYAAGSYTNLHKMFPFTMTKACDVYCANLKTCFFLSITLLLILTFSSLWYVKDKQWSPPHGEKEKTSSLFFFGEIFGAVRVMKRPMWMLLMVTVINWIAWFPFLLYDTDWMGREVYGGNSDGNEQAKKLYDQGVQAGALGLMFNSILLGFVSLGVESIGRKMGGAKRLWGCVNFILAIGLAMTVLVTQSADNHRKTAGALSGPSSGIKAGVFSLFTVLGIPLAITYSVPFALASIFSTNSGAGQGLSLGVLNIAICIPQMIVSFSSGPLDAHFGGGNLPSFVVGAIAAAISGVLALTVLPSPPADAPAMSGAMGFH >fgenesh2_kg.106__2__AT1G71900.1 pep scaffold:JGI8X:scaffold_106:15978:19004:1 gene:fgenesh2_kg.106__2__AT1G71900.1 transcript:fgenesh2_kg.106__2__AT1G71900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:D7MWE2] MAESGGSWRDSYKGMSSDNIKGLVLALSSSLFIGASFIVKKKGLKKAASTGTRAGVGGYSYLYEPLWWIGMTTMLLGEIANFAAYAFAPAILVTPLGAVSIIISAVLAHIILREKLHIFGILGCALCVVGSTTIVLHAPQEQEIDSVIEVWNLATEPAFMFYASLVIGAAVFLIIRFAPLYGQTNVMVYIGICSLVGSLSVMSVKALGIALKLTFSGTNQLFYPQTWIFTLVVLTCVITQLNYLNKALDTFNTAIVSPIYYVMFTSLLFWPVSSCLSCMRDWDRQNGTQIVTEMCGFVTILSGTFLLHRTKDMVEGSSVILPLRISKHINEEEGIPLRRQESLRSP >fgenesh2_kg.108__1__AT2G40200.1 pep scaffold:JGI8X:scaffold_108:3:911:-1 gene:fgenesh2_kg.108__1__AT2G40200.1 transcript:fgenesh2_kg.108__1__AT2G40200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWE4] MENSYDSSKWSDSTTPYMVSWSLQSESPDSDWSRFNLGFSFSSSGYFPADDCVGGIEKAESLSRSHRQAEKRRRDRINSHLTALRKLVPNSDKLDKAALLASVIEQVKELKQKATESPSFEDLPTEADEVTVQLETISDFESNTNTIIFKASFCCEDQPEAISEIIRVLTKLNLETIQAEIMCVGERMRINFILKDSNCNETTNIAASAKALKQSLCAALNRITSSSSTTSSVCRIRSKRQR >fgenesh2_kg.108__2__AT2G40190.1 pep scaffold:JGI8X:scaffold_108:11007:13326:-1 gene:fgenesh2_kg.108__2__AT2G40190.1 transcript:fgenesh2_kg.108__2__AT2G40190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7MWE5] MAICFILYTLLTIIFAVSLSLSLSVINARKSRKRAVGFFHPYTNDGGGGERVLWCAVKAIQEENPDLDCVIFTGDHDSSSDSLARRAVDRFGVHLQSPPKVIYLSKRKWIEERTYPHFTMIGQSLGSVYLAWEALRKFTPLYFLDTSGYAFTYPLARIFGCKVVCYTHYPTISLDMISRVRQRNSMYNNDASIAKSNWLSTCKLVYYRAFSWMYGMVGSCTHLAMVNSSWTKSHIEVLWRIPERITRVYPPCDTSGLQAFPLERSSDPPKIISVAQFRPEKAHMLQLEIFALALEKLDADVPRPKLQFVGSCRNNSDEERLQKLKDRAVELKVDGDVEFYKNAMYRELVELLGNAVAGMHGMIDEHFGISVVEYMAAGAIPIAHNSAGPKMDIVLEEDGQRTGFLAETVEEYAEAILEIVKMSEKERIKMAESARKRAVRFSEQRFCEDFKTAIQPIFTGPLK >fgenesh2_kg.112__1__AT5G65620.1 pep scaffold:JGI8X:scaffold_112:9086:12318:1 gene:fgenesh2_kg.112__1__AT5G65620.1 transcript:fgenesh2_kg.112__1__AT5G65620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTSRASLNLLRRSPKPKYFSSSSCQFRPSTFRKSYPCPIWSSSFSFCLPPPRSTTSTSLSSSFRPFSSPPSMSSAAAAAVDSVISEETLSSNPLLQDFDFPPFDSVDASHVRPGIRALLQHLEAELEELEKSVEPSWPNLVEPLEKIVDRLTVVWGMINHLKAVKDTPELRAAIEDVQPEKVKFQLRLGQSKPIYNAFKAIRESPDWSSLSEARQRLVEGNMFSAQIKEAVLIGIALDDEKREEFNKIEQELEKLSHKFSENVLDATKKFEKLITDKKEIEGLPPSALGLFAQAAVSKGHENATAENGPWIITLDAPSYLPVMQHAKNRALREEVYRAYLSRASSGDLDNTAIIDQILKLRLEKAKLLGYNNYAEVSMAMKMATVEKAAELLEKLRSASWDAAVQDMEDLKSFAKDQGAAESDSLTHWDTTFWSERLRESKYDINEEELRPYFSLPKVMDDFSLKFASVDLELHTKYVPGGAESIYDVDQRVSVKTQVIPPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDDIKAVKETGQRFRDTILALGGGRAPLKVFVEFRGREPSPEPLLRHNGLLAASASA >fgenesh2_kg.112__2__AT5G65630.1 pep scaffold:JGI8X:scaffold_112:12260:14781:-1 gene:fgenesh2_kg.112__2__AT5G65630.1 transcript:fgenesh2_kg.112__2__AT5G65630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVLGTLNEPSYQEQCGAVFMRKFTNQSVTENTNNLPLFNPNPNPNFDRSNSSKQFDDSSEFGSYATFNIAGYTSNQLRELKKRFTSELEQIRILRERIESGTFETQQAYTIPEVPAVRSAPLNSFAGEKNDLGPKKKKQKKNVSGLKRSNQFTDSDPESEKLLAGMLNTCGQILVKLMKHKWAWVFNTPVDVVGLGLHDYHQVVKKPMDLGTVKLNLDKGFYVSPIDFATDVRLTFNNAMTYNPKGQDVYFMADKLLDHFDGMFNPAFKKFEAQQLKLTGSSSRLEPEVKQRHWNQNSPMVANPRKGTEQISIAKKLDSVKPPLPALPPQLVEPSRVQSPSPPPPPPPPVVEPQLPQLVIEVEAPPDVSDVTKGRKGKLPKPKAKDPNKRLMTIEQKSKLGMNLQDLPPEKLGQLVQILRKRNGHLAQDAKSSGKGLSGTCQLPPRNMTSVAEMGSAEKRTRRGDAGEEDVDIGEDIPIEDYPSVEIERDGTAVAGSGSSSSGSSSSSGGSSSSSDSGSGGSSSGSDSDADSVQSPFVEAKEAQ >fgenesh2_kg.120__2__AT2G32980.1 pep scaffold:JGI8X:scaffold_120:12502:14874:1 gene:fgenesh2_kg.120__2__AT2G32980.1 transcript:fgenesh2_kg.120__2__AT2G32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGSDTTWVGKKPIRRIGGLSDALSIASDLGFAVAPPPSHEELQSLATSNGEKGDDLIRVLRELSAVQRKIADLQVELQGRKDDKNVAHLTHLSEMQKKIETLSRITQILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKATSDYGALTASVSDFQWSQNFKEPPSVWGEMLRPIPVALASCTRFFEAMSAMRESFATLQDLRVGNSAVSLPTTPGGNEMTHRGSDCVTPPQGRIESSFDDLAVHNTRRQNNDQNEEEEEEDGNNNSNRRLSWPPSVKKSSV >fgenesh2_kg.125__1__AT2G32360.1 pep scaffold:JGI8X:scaffold_125:6905:7382:1 gene:fgenesh2_kg.125__1__AT2G32360.1 transcript:fgenesh2_kg.125__1__AT2G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWH3] MKVSVEIITGTFIDADVSEDTTVKELKEKIAAEVKSPVTRLILVIGDEETRRMVMEDEDDMMLRDLGVGEDSHMYLFFKHPDLVSEEEESKGGGEDDDDPMEEVSSEAESPRGNEEEDEKPKIDGEEKDQAMKDEEEDSDEKVEEDEEAKQNEKDGEAK >fgenesh2_kg.126__1__AT3G20520.1 pep scaffold:JGI8X:scaffold_126:21:2937:-1 gene:fgenesh2_kg.126__1__AT3G20520.1 transcript:fgenesh2_kg.126__1__AT3G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWH8] MACPRVIFLILIKFFILQTALSSSWKTLSGKPPAVIARGGFSGMFPDSSIQAYQLVNITTSPEVMLWCDLQLTKDGVGICFPNLNLHNGSNVISIYPNYKERFSVDFTWKELSDVKLAQGVISRPEIFDDVSSILPIEEVAKLTASGLWLNIQDSAFYTQHNLSMRNSVISLSRRLIVNFISSPEISFLKSMKNSVKPTVTKLIFKFLKQDDIEPFTNQSYGSLAKNLSYIRTFSSGILVPKSYIWPVDSALYLQPHTSLVTDAHKEGLQVFASEFANDFVIAYNYSYDPTAEYLSFIDNGNFSVDGFLSDFPVTPYRAINCFSHLDTKKAKEQAKITIISKNGASGDFPGCTDLAYQRAATDGADILDCNVQMSKDKIPFCMSSIDLINSTNVIETSFRNLSSVASEIQPQRSGIYTFSLTMSQIQTLKPTISNLERDSGLFRNPRNKKAGKFLTLSEFLFLPNHYSSLLGILIEVENAAYLVEHQGISVVDAVLDELKRATNQQNKTSARTILIQSTDKSVLMKFKEKNKMNHDELVYRVEENIGDVADSAIKDIKNFAGSIVISKKSVFPYVGFITLTQGTNVVSKLKSSGLRVYVERFSNEFVTQAFDFYEDPTLEIDSFVRDIQIDGIITDFPATTARYRKNQCYGKFVLPETGELRTFGNPLLLPPAEAPYPLLGDSDVTEPPLPEVRSQPPAFSPSKAEEKAIEVPFAFIAM >fgenesh2_kg.136__1__AT2G30400.1 pep scaffold:JGI8X:scaffold_136:1028:1985:1 gene:fgenesh2_kg.136__1__AT2G30400.1 transcript:fgenesh2_kg.136__1__AT2G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYKFRISEMLPNAWFHKLKDMTKHSKPKNKSSSSSSNTCSKKKPYSDSLPHHSDFSNSLVANNPPHHNSPRNSLHTKRMSKRKTLGKPSLKPLTPPPLVSASFKKSKINGQDSSYSLFPALETSPESFVYSFYEEEEEDEFVDFSNFKINTKNKAFTKHKVKVFDSKEKACLASNPIKKPQKSHLSVKINREKEEEDDGEYRAEKKYQRQVSSGRKSSAGINLKRVNSPRIQLSGTRRSTSRRSESKQDVLESFAVMKRSLDPKKDFRESMIEMIEENNIRASKDLEDLLACYLSLNPKEYHDLIIHVFEQIWLQL >fgenesh2_kg.136__2__AT2G30410.1 pep scaffold:JGI8X:scaffold_136:4317:5951:1 gene:fgenesh2_kg.136__2__AT2G30410.1 transcript:fgenesh2_kg.136__2__AT2G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-specific chaperone A [Source:UniProtKB/TrEMBL;Acc:D7LC49] MATIRNLKIKTSTCKRIEKELHSYEKEVEREAAKTAAMKDKGADPYDLKQQENVLGESRMMIPDCHKRLEAALAELKSTLAELEETDEKEGPEIEDAKKTVAGLEKQFHTEDA >fgenesh2_kg.139__1__AT5G58930.1 pep scaffold:JGI8X:scaffold_139:6221:8919:-1 gene:fgenesh2_kg.139__1__AT5G58930.1 transcript:fgenesh2_kg.139__1__AT5G58930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQTHQRRRRRHSAVCHRHPTSKPTTGFCATCLRERLSTIEALSSSVSASSELRRVRSYSVRDASASALDQPRRRSCDVRSNDGDDELLQSSIRFPIVPDLKEDDEEEEDGEEIRGFDDGKRLVEEEIEDGEQKTMKELIDLESRNQQIKKSNGKDSVFSRTLKKFSLKHHLKNGKVPDSGNSLGRRSCDVDPRLSLDASRISFEEPRASWDGCLIGKTYPKLIPLSSVTEDVKASPEKITGEKEDEEEKNNPGGTAQTRDYYLDSRRRRSFDRSSRHGLLEVDELKAISNAKVSPETVGLFHGAKLLVTERELRDSNWYSIKNYKPESLELGSKVVGCVAAGEVKKQDGFGLKKSGKKWGKGWNFWGLIQRKTDVAKNDIKTEQSLKLGGNAMEGSLAESLLKLRRVAKGETNGDVSEKLLRSYSVSARKSCDGMLRGASIVNGFEGGRSSCDGLFHGSITGVETGRRSSCEDGLFHGVEGKRNHLLQRDDKLGTYSPDNLRNGMVRFYLTPLKSHTTSNSGKTRLMN >fgenesh2_kg.142__1__AT1G77610.1 pep scaffold:JGI8X:scaffold_142:10652:13184:1 gene:fgenesh2_kg.142__1__AT1G77610.1 transcript:fgenesh2_kg.142__1__AT1G77610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSMFRSLLAILQWWGFNVTVIIMNKWIFQKLDFKFPLSVSCVHFICSSIGAYIVIKVLKLKPLIVVEPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVVLQWLVWRKYFDWRIWASLVPIVGGILLTSVTELSFNMFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILGIPALLLEGSGILSWFEAHPAPWSALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISYMNAVGCGITLVGCTFYGYVRHMLSQQTPGTPRTPRTPRSKMELLPLVNNDKLEGKV >fgenesh2_kg.143__1__AT3G18010.1 pep scaffold:JGI8X:scaffold_143:12613:14732:-1 gene:fgenesh2_kg.143__1__AT3G18010.1 transcript:fgenesh2_kg.143__1__AT3G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WOX1 protein [Source:UniProtKB/TrEMBL;Acc:D7MWL7] MWTMGYNEGGGDSFNGGRKLRPLIPRLSSCPTAAVNTNSDHRFNMAVVTMTAEQNKRELMMLNSEPQHPPVMVSSRWNPTPDQLRVLEELYRQGTRTPSADHIQQITAQLRRYGKIEGKNVFYWFQNHKARERQKRRRQMEAGHEESVFSTTSLVSNHGFDKKDPSGYKVEQSKNWICSIGCDTQPEKPSHDHHLEEPANIRVERNGRCGGDERRSVLGINATWQMMQLPPGFYPSPHHHHQRNLILNSTTVSSNMSNSNITVSAAKDTVTISPVFLRTREAANTETSRRNCDDNKDQERDCSNGEPDHQEQTLELFPLRKEGFCSDGEKEKEINSIHCFYEFLPMKN >fgenesh2_kg.146__3__AT2G29020.1 pep scaffold:JGI8X:scaffold_146:15333:17325:-1 gene:fgenesh2_kg.146__3__AT2G29020.1 transcript:fgenesh2_kg.146__3__AT2G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab5-interacting family protein [Source:UniProtKB/TrEMBL;Acc:D7LKB3] MKEGKSSKSNQQEQHEQIYHQNSHLGSFKFAKLFDSEASWDKDQLGDVLHWIRQVVGLLCGLVWGAIPLVGGIWLLLFLAISSGIVYGYYALILKIDEEDFGGHAALLQDGLFASLSLFLLAWILVYSLSSF >fgenesh2_kg.158__1__AT1G71400.1 pep scaffold:JGI8X:scaffold_158:773:3487:-1 gene:fgenesh2_kg.158__1__AT1G71400.1 transcript:fgenesh2_kg.158__1__AT1G71400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRSHCHWVSDIIIIFFFLLVHSLASSSPHFCRHDQRDALLEFRGEFPIDASLKIMNTWRGPWNKSTDCCFWNGVTCDDKSGQVISLDLPNTFLHGYLKTNSSLFKLQYLRHLNLSNCNLKGEIPSSLGNLSHLTLVNLFFNQLVGEIPASIGNLNQLRYLNLQSNDLTGEIPSSLGNLSRLTFVSLADNILVGKIPDSLGNLKHLRNLSLGSNDLTGEIPSSLGNLSNLIHLALMHNQLVGEVPASIGNLNELRAMSFENNSLSGNIPISFANLTKLSEFVLSSNNFTSTFPFDMSLFHNLVYFDASQNSFSGPFPKSLFLITSLQDVYLADNQFTGPIEFANTSSSNKLQSLTLARNRLDGPIPESISKFLNLEDLDLSHNNFTGAIPTSISKLVNLLYLDLSNNNLEGEVPGCLWRMSTVALSHNIFTSFENSSYEALIEELDLNSNSFQGPLPHMICKLRSLRFLDLSNNLFSGSIPSCIRNFSGSIKELNMGSNNFSGTLPDIFSKATELVSMDVSRNQLEGKLPKSLINCKALQLVNIKSNKIKDNFPSWLESLPSLHVLNLGSNEFYGPLYHHHMSIGFQSLRVIDISDNDFTGTLPPHYFSNWKEMITLTEEMDEYMTEFWRYADSYYHEMEMVNKGVDMSFERIRKDFRAIDFSGNKIYGSIPRSLGFLKELRLLNLSGNAFSSDIPRFLANLTKLETLDLSRNKLSGQIPQDLGKLSFLSYMNFSHNLLQGPVPRGTQFQRQKCSSFLDNPKLYGLEEICGETHALNPTSQLPEELSEAEEKMFNWVAAAIAYGPGVLCGLVIGHIFTSHNHEWFTEMFVSLISICEATVV >fgenesh2_kg.159__1__AT3G06910.1 pep scaffold:JGI8X:scaffold_159:1809:4325:1 gene:fgenesh2_kg.159__1__AT3G06910.1 transcript:fgenesh2_kg.159__1__AT3G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMSSYHKRNSGLNPCKNSSFSHSSRTMAPGIYRYPEVKSPLRRQVHAPSRILKSGRDRSTRQGSGNVLGTFLTRNDDMLKRNALDLPLRYRTDREVVDVGDELGDVEMISDDTSREGLGNVAMEVDEVAEMGNGLFSEVVSMKNGSLRVDECSQDNSSSLVVNRPVTDVTSFEAYRKVLQSAENRTSKLKDRGFGDILKEREEETAVNRAFSANDSNILVTHKNSNIEITGKILRCLKPGEWLNDEVINLYLVLLKEREAREPKKFLKCHFSIHFSSLSAVRRWTSMKRLGYHLKDCDKVNLRFVCSQIFVPIHMNIQWTLAVINIKDRKFQYLDSFKGREPKILDALVVFELDTLSMNRWRQEFVQDLPMQRIGFDCGMFMVKYIDFYSRGLDLCFAQEQMPYFRDRTAKEMQLKAE >fgenesh2_kg.161__1__AT5G57210.1 pep scaffold:JGI8X:scaffold_161:10761:13870:-1 gene:fgenesh2_kg.161__1__AT5G57210.1 transcript:fgenesh2_kg.161__1__AT5G57210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHEEIQISRTQDPCTSNASPSQDHRFEKLRGVRWRINLGILPSSPSSTIDELRRVTADSRRRYAALRRRLLIDPHLPKKGTNSPDLTIDNPLSQNPDSTWGRFFRNAELEKTLDQDLSRLYPEHGSYFQSSGCQGMLRRILLLWCLKHPEIGYRQGMHELLAPLLYVLQVDVQYLTEVRSNYEDQFVDLFDELAFQERDSGAYDFDIKKVLDDSMEDEEDGDGSGPPSGSTKKKKPKSFDELDTETQTAVLLSDAYGAEGELGIVLSEKFMEHDAYTMFDALMYGGSSLGSVSVANFFVYSAPSDSVTGLPPVIEASGALYHLLSLVDASLHSHLVELGVEPQYFALRWLRVLFGREFPLNNLLIVWDEIFSADNSEVERGIEADLGFEFRILSSPRGALVAGMAVSMILYLRSSLLATENATSSLKKLLNFPEDIDLSKVIEKAKTLQSLALEINAHRHSLSVDSISLGSSPVGIVPESYWEENVAETKAGRRSVERVKLSLQTESDPSPAEANKSGNKPPIRRSLLDDLSRQLGEKEIEPPEFPNPDTDIERSSTVSDTPSADYEDNSSDRGKSDNHTDLPLSIPENEPEAKSGMNIFRERKILSGKFQRLWRLGRNLSGEETSETKEAKQIDFEDGKTDSDSTAGNGDALKNTGRSMLEHIKVIESVLELSPPENMAVTENGRITVDEALRELRRLGNMLLSEM >fgenesh2_kg.163__1__AT2G31160.1 pep scaffold:JGI8X:scaffold_163:7478:8433:-1 gene:fgenesh2_kg.163__1__AT2G31160.1 transcript:fgenesh2_kg.163__1__AT2G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIPQLMEGSSAYGGVTNLNIISDNSSSVTGATAGEATQPPSSSSSPSVNSSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTNVCHFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPETNPFGARAVRLYLREVRDMQSKARGVSYEKKKRKRPLPPSSASSSSAVASHQQFQMLPSTSSTTQLKFEK >fgenesh2_kg.165__1__AT1G13110.1 pep scaffold:JGI8X:scaffold_165:16:1417:-1 gene:fgenesh2_kg.165__1__AT1G13110.1 transcript:fgenesh2_kg.165__1__AT1G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B7 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWP2] MSILLCFLCLLPVFLVSLSIFSIKLKPSKWKLPPGPKTLPIIGNLHNLKGLPHTCFRNLSQKFGPVMLLHFGFVPVVVISSKEGAEEALKTQDLECCSRPETVATRMISYNFKDIGFAPYGEEWKALRKLVVMELLNTKKFQSFRYIREEENDLLIKKLTESALTQSQVDLKKTLFTLVASIVCRLAFGINIHKCEFVDEDNVADLVNKFEMLVAGVAFTDFFPGVGCLVDRFSGQNKTLNNVFSELDNFFQNVLDDHLKPGREVSESPDVVDVMIDLMKKQEKDGESFKLTTDHLKGIISDIFLAGVNTSAVTLNWAMAELIRNPRVMKKVQDEIRTTLGDKRERITEQDLNQLHYFKLVVKETFRLHPAAPLLLPRETLSHVKIQGYDIPAKTQMMINIYSIARDPKLWTNPDEFNPDRFLDSSIDYRGLN >fgenesh2_kg.166__1__AT3G22810.1 pep scaffold:JGI8X:scaffold_166:32:3147:-1 gene:fgenesh2_kg.166__1__AT3G22810.1 transcript:fgenesh2_kg.166__1__AT3G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPVLPPWRSDPIFRPPETPLEPMEFLSRSWSVSAHEVSKALTPSQQILSKNTIESTTVILEEEQPITAGETETEENSFVSGNTFSFACSETSQMVMDRILSQSQEVSPRTSGRLSHSSGPLNGSLTDSPPISPHEVDDIKQFCRSNNNFNSQFRSTGTTPGPITATTTQSKPVGRWLKDRREKKKEETRAHNAQIHAAVSVAGVAAAVAAIAAATAASSSSGKDENMAKTDMAVASAATLVAAQCVEAAEVMGAERDHLASVVSSAVNVRSAGDIMTLTAGAATALRGVATLKARAMKEVWNIASVIPMDKGINPGGCSNVNGNGNGSNVSSSSSHSGEFLVEDNFLGHCNREWLARGGQLLKRTRKGDLHWKIVSVYINRLNQVILKMKSRHVGGTFTKKNKNLVIDVIKNIPAWPGRHLLEGGEDLRYFGLRRFREGL >fgenesh2_kg.167__1__AT5G49120.1 pep scaffold:JGI8X:scaffold_167:1:663:-1 gene:fgenesh2_kg.167__1__AT5G49120.1 transcript:fgenesh2_kg.167__1__AT5G49120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSIVLEMTNNNNNTLNSDGGLIISPKVVNKANVIVTTTVTTDTTNLRRCYQDSGFLEHCFLCRRKLLPAKDIYMYKGDRAFCSVECRSKQMIMDEEESLRREYCSLMDVKKKKSESPATAPSRYRRDPRNLAGGFAY >fgenesh2_kg.172__1__AT2G27180.1 pep scaffold:JGI8X:scaffold_172:12460:13302:1 gene:fgenesh2_kg.172__1__AT2G27180.1 transcript:fgenesh2_kg.172__1__AT2G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LG84] MRNSPPMTTALSKERRKVGEVAGGAAAECAAVWCCCPCAVVNLVVLAVYKVPAAVCKKAWRRSKRRRFTRKRHGLLASATAEGSESTVHARLNGEDLTAEIVFEDCQVNGELNDVVRLENEMLDRFYGAGFWRSPSQRDTSSG >fgenesh2_kg.173__1__AT1G70840.1 pep scaffold:JGI8X:scaffold_173:4983:5894:-1 gene:fgenesh2_kg.173__1__AT1G70840.1 transcript:fgenesh2_kg.173__1__AT1G70840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWQ4] LETDVEIKASAGKFHHMFAGRPHHVSKATPGKIQGCELHEGEWGKVGSIVFWNYVHGKIDGEAKVAKERIEAVEPEKNLITFRVIEGDLLKEYKSFVITIQVTLKRGGPGSVVHWHVEYEKIDDKVAHPETFLDFCVQVSKEIDEHLLNEE >fgenesh2_kg.173__2__AT1G70850.3 pep scaffold:JGI8X:scaffold_173:14224:15206:-1 gene:fgenesh2_kg.173__2__AT1G70850.3 transcript:fgenesh2_kg.173__2__AT1G70850.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWQ5] RGGGAREDLITFRVIEGDLMKEYKSFVITIQVTPKHGGSGSIVHWHLEYEKISDEVAHPETLLQFCVEVSKEIDEHLLSEEEEVKTTETLETEVEIKASAQKFHHMFAGKPHHVSKATPDKIQSCDLHEGDWGTVGSVVFWNYIHGKPTLYTCYGEAKVAKERIEAVDPEKNLITFRVIEGDLMKEYKSFVITIQVTPKHGGSGSVVHWHFEYEKINEEVAHPETLLQFAVEISKEIDEHLLAEE >fgenesh2_kg.178__1__AT5G43350.1 pep scaffold:JGI8X:scaffold_178:6209:12552:-1 gene:fgenesh2_kg.178__1__AT5G43350.1 transcript:fgenesh2_kg.178__1__AT5G43350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWR3] EKQLGVLKALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCVSLVTKLLGRIYYFNPTKKVYGLTLIMMIVCSVASGLSFGHEAKGVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAYIAAVFAMQGVGILAGGFVALAVSSIFDKKFPAPTYAVDRFAQHSTPPQVDYIWRIIVMFGALPAALTYYWRMKMPETARYTALVAKNIKQATADMSKVLHTDLEVEERAEDFVKDPRQNYGLFSKEFLRRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKPATMNATHEVFRIARAQTLIALCSTVPGYWFTVAFIDIIGRFAIQLMGFFMMTVFMFAIAFPYNHWTKPENRIGFVVMYALTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSQDKAKVDAGYPPGIGVKNSLIVLGVLNFIGMLFTFLVPEPKGKSLEELSGEAEVSHDEKLLYIL >fgenesh2_kg.181__3__AT2G46870.1 pep scaffold:JGI8X:scaffold_181:6056:7516:-1 gene:fgenesh2_kg.181__3__AT2G46870.1 transcript:fgenesh2_kg.181__3__AT2G46870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDLSLTRDEDEEEEAKPLEEEGAGTREVAEREHMFDKVVTPSDVGKLNRLVIPKQHAERFFPLDSSSNEKGLLLNFEDLTGKSWRFRYSYWNSSQSYVMTKGWSRFVKDKKLDAGDIVSFQRCVGDSGRDSRLFIDWRRRPKVPDHPHFAAGAMFPRFYSFPSTSYNLYNHQQQRHHHGGGYNYHQIPREFGYGYFVRSVDQRNNPAAAVADPLVIESVPVMMHGRANQELVGTAGKRLRLFGVDMECGESGMTNSTEEESSSSGGSLPRGGGGGGASSSSFFQLRLGSSSEDDHFTKKGKSSLSFDLDQ >fgenesh2_kg.185__1__AT1G55460.1 pep scaffold:JGI8X:scaffold_185:2786:4109:-1 gene:fgenesh2_kg.185__1__AT1G55460.1 transcript:fgenesh2_kg.185__1__AT1G55460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNDFLTPKAIANQIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVYGQNPTRVVDGYSEEFEQTFLDLMRRSHRFSRIAATVVYNEYVNDRHHVHMNSTEWATLTEFIKYLGKTGKCKVEETPKGWFITYIDRDSETLFKERLKNKKREIQKQIERAAEKLNAGGGEGESSGKEVVEEYDDKKKKEEELRLKSGVKVVFALGGGVKQVTTGKERVESSKLVFGDEENEKVQRGEKRKRSGDSGRSETDKRSALDELMKEEEKKKARMNRKDYWLFEGIIVKVMSKALAEKGYYKQKGVVKKVVDKYVGEIEMLDSKHVLRVDQVELETVLPQIGGIVKIVNGAYRRSNARLLGLDTEKFCAKVQIEKSVYDGRVIRSIEYEDICKLA >fgenesh2_kg.185__3__AT5G45420.1 pep scaffold:JGI8X:scaffold_185:4345:6917:1 gene:fgenesh2_kg.185__3__AT5G45420.1 transcript:fgenesh2_kg.185__3__AT5G45420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7MWS4] MEFFDEDRPRFVFQSRPSSSHTTEEEEEPRIPNKIFILISVSFSLIILSLSFFYFESEPAKSLLLWLSLSFLVGPFAPSSLTAGKIRVGYGQILEPEQIHDESSTDNERESRRKSVNKRSKGTTKNDNPPENTSSVTEVSRKVAIPQSKESGSVNETKDWSVEEIEILKKQLLKHPAGKPGRWETVASAFRGRYKTENVIKKAKEIGEKKIYESDDYAQFLKNRKASDPRLVDENADNSGAGGDEEGNKEIWSNGEDIALLNALKAFPKEAAMRWEKIAAAVPGKSKAACMKRVTELKKGFRSSKTPAN >fgenesh2_kg.191__1__AT5G56220.1 pep scaffold:JGI8X:scaffold_191:489:2907:1 gene:fgenesh2_kg.191__1__AT5G56220.1 transcript:fgenesh2_kg.191__1__AT5G56220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIEEEAEASLVGLTIRTSSPASSSSKKGKDLLQTHNNASDSSPSIKNSPFNSPSLVSPPSSAFVSALQSPYISPRATTPITTHKPSPPLSYKGSQSEDVPSSSYTPPSDQYEFSDEQPSDRKLKLSACTPDPAPPRISFSFPVPRVSLAKVSVSSPATNTKLRSSDVFIGFHGQNPNLVRFCKWLKSELELQGIACFVADRANYSDTQSHEIADRVICSVTYGIVVVSCSSLLNYLSLEEVRFFAQKKNLIPIFYGTGPSEIMGLLNCNAIDKECKEAIDGLIKSHEFKLEANESNWRSCVGKTATILRAKLGRKSVADKEIVEGIDELPFPRNRSFLGREKEIIEMEMALFEEKQLRGRRKKDYPVEEVEVLKLFDEKLGRLSYGLWVVGSLLSELAIPPSALFEAVNKIQIEERSASPFLNVIDEQYCKSNPFVAKVLAFSLAVLEQAEGNRNLLSLKMLLVGAWFAPVPIPVNLLAAAAKNMPTGGNRFSKWNKCLSHTFAWCGGCGLGRRSEEDAAFLLVRLGLARITNRQPGCWIQFHPITQTFARRRDYILAPKATVQGVRKIDNPLLNLDHLWASAFLVFGFKSEPPLVQLQAMDMVLYIKRTALPLAITAFTTFSRCNSALELLKVCTNVLEEVEKSFVSQIQDWRQGSLCWKKKTNKKVDEYVWQDVTLLKALLLETRAKLLLRGGHFDSGEELCRTCISIRTVMLGHNHDLTLAAQETLAKLVRMRSKI >fgenesh2_kg.1__1000__AT1G09510.1 pep chromosome:v.1.0:1:3623211:3624741:1 gene:fgenesh2_kg.1__1000__AT1G09510.1 transcript:fgenesh2_kg.1__1000__AT1G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl-alcohol dehydrogenase family [Source:UniProtKB/TrEMBL;Acc:D7KJR1] MADRGKMVCVTGASGYVASWIVKLLLLRGYTVRATVRDPSDAKKTEHLLALEGAKERLKLFKADLLEESSFEQAIEGCDAVFHTASPVSLTVTDHQIELIDPAVKGTLNVLDTCAKVSSVKRVIVTSSMAAVLFRVPPLGPNDSVDESCFSDPNFCTENKLWYALSKTLAEDEAWRFAKEKGLDLVVINPGLVLGPLLKPSLTFSVNVIVDLITGKDNFINKNFRLVDVRDVALAHVKAFETPSANGRYIIEGPVVTINDIEKILREFFPDLNLVNKNEAIEIIPVIYKLCVEKVKSLGIEFTPTEATIRDTILSLKEKCLV >fgenesh2_kg.1__1001__AT1G09520.1 pep chromosome:v.1.0:1:3624786:3625895:-1 gene:fgenesh2_kg.1__1001__AT1G09520.1 transcript:fgenesh2_kg.1__1001__AT1G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KJR2] MNLPSSTTATSTSASSDAAADSTERCDDCGSLDAWVIHTVKLRGSLRFFCTHCLLRNHPTSFCPTCFAFYDSSPPNTFRRVACSNSNCPSLTHIHCAVDANPPSSYLCPPCLNPTSFSFFRPIVDANGSRSVDKSLSQAFLCAAKIAASSMNKAVMAAKCDADRRGREAALAKKRAREALEHVVMLDAKEKARSVVPKLKEASVDQKQKLSPASNGGTVKETESSATTTTTTTTTNTTKNNGGTEKQNPGMQLAIV >fgenesh2_kg.1__1002__AT1G09530.2 pep chromosome:v.1.0:1:3628644:3631469:1 gene:fgenesh2_kg.1__1002__AT1G09530.2 transcript:fgenesh2_kg.1__1002__AT1G09530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFELFRLTQAKLESAQDNNPSPPVDEVVELVWENGQISTQSQSSRSRNIPPPQANSSRVREIRNGSKATMVDEIPMSVPSLMTGLSQDDDFVPWLNHHPSLDGYCSDFLRDMSPVTVNEQESDMAVNQNVFPLFQRRNDGNESASAASSSQYNGFQSQSVYGSDRARDPASLQAKPDQFTQTQEPLILSNKPSLVNFSHFLRPATLAKTNNPHGSKEKSPQSPPNVFQTRVLGAKDSEDKVLNESVASAMPKDNQKACLITEDSCRKDQESEKAVVCSSVGSGNSLDGPSESPSLSLKRKHSDIQDIDCRHSEDVEEESGDGRKEAGPSRTGLGSKRSRSAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKSLQLQVQIMSMASGYYMPPVMFPPGMGHYPAAMAMGMGMPYAMGLPDLSRGGSSVNHGPQFQVSGMQQPVAMAIPRVSGGGFFAGSATMEMNKSENGSTRDLTGSKDQTTTNNDSNLKPIKRKHASSDQFCGSS >fgenesh2_kg.1__1007__AT1G09570.1 pep chromosome:v.1.0:1:3646483:3651527:-1 gene:fgenesh2_kg.1__1007__AT1G09570.1 transcript:fgenesh2_kg.1__1007__AT1G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome [Source:UniProtKB/TrEMBL;Acc:D7KJR7] MSGSRPTQSSEGSRRSRHSARIIAQTTVDAKLHADFEESGSSFDYSTSVRVTGPVVENQPPRSDKVTTTYLHHIQKGKLIQPFGCLLALDEKTFKVIAYSENASELLTMASHAVPSVGEHPVLGIGTDIRSLFTAPSASALQKALGFGDVSLLNPILVHCKTSAKPFYAIIHRVTGSIIVDFEPVKPYEVPMTAAGALQSYKLAAKAITRLQSLPSGSMERLCDTMVQEVFELTGYDRVMAYKFHEDDHGEVVSEVTKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMIVDCNAKHARVLQDEKLSFDLTLCGSTLRAPHSCHLQYMANMDSIASLVMAVVVNEEDGEGDAPDASTQPQKRKRLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAIHVNKEVELENQMLEKNILRTQTLLCDMLMRDAPLGIVSQSPNIMDLVKCDGAALFYKDKIWKLGTTPSEFHLQEIASWLCEYHMDSTGLSTDSLHDAGFPKALSLGDSVCGMAAVRISSKDMIFWFRSHTAGEVRWGGAKHDPDDRDDARRMHPRSSFKAFLEVVKTRSLPWKDYEMDAIHSLQLILRNAFKDSETTDVNTNVIHSKLNDLKIDGIQELEAVTSEMVRLIETATVPILAVDSDGLVNGWNTKIAELTGLSVDEAIGKHLLTLVEDSSVEIVKRMLENALEGTEEQNVQFEIKTHLSRADAGPISLVVNACASRDLHENVVGVCFVAHDLTGQKTVMDKFTRIEGDYKAIIQNPNPLIPPIFGTDEFGWCTEWNPAMSKLTGLKREEVIDKMLLGEVFGTQKSCCRLKNQEAFVNLGIVLNNAVTSQDPEKVSFAFFTRGGKYVECLLCVSKKLDREGVVTGVFCFLQLASHELQQALHVQRLAERTAVKRLKALAYIKRQIRNPLSGIMFTRKMIEGTELGPEQRRILQTSALCQKQLSKILDDSDLESIIEGCLDLEMKEFSLNEVLTASTSQVMMKSNGKSVRITNETGEEVMSDTLYGDSIRLQQVLADFMLMSVNFTPSGGQLTVTASLRKEQLGRSVHLANLEIRLTHTGAGIPEFLLNQMFGTEEDVSEEGLSLMVSRKLVKLMNGDVQYLRQAGKSSFIITAELAAANK >fgenesh2_kg.1__100__AT1G01280.1 pep chromosome:v.1.0:1:405437:407120:-1 gene:fgenesh2_kg.1__100__AT1G01280.1 transcript:fgenesh2_kg.1__100__AT1G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP703A2 [Source:UniProtKB/TrEMBL;Acc:D7KQ93] MILVLVSLFAVLILNVLLWRWLKASACKAQRLPPGPPRWPILGNLLQLGPLPHRDLAGLCDKYGPLVYLRLGNVDAITTNDPDTIREILLRQDDVFASRPKTLAAVHLAYGCGDVALAPMGPHWKRMRRICMEHLLTTKRLESFATQRAEEALLGAFSMNNVTRMLLGKQFFGPGSLVPPKEAQEFMHITHKLFWLLGVIYLGDYLPFWRWVDPSGCEKEMRDVEKRVDNFHTKIIDEHKRAKHEKEDTNDDIDFVDVLLSLPGENGKEHMEDVEIKALVQDMIAAATDTSAVTNEWAMAEVIKQPRVMRKIQEELDNVVGSNRMVDESDLVHLNYLRCVVRETFRMHPAGPFLIPHESVRPTTINGYYIPAKTRVFINTHGLGRNTKIWDDVEDFRPERHWPVDGSGRVEISHGPDFKILPFSAGKRKCPGAPLGVTMVLMALARLFHCFDWSSPENIDTVEVYGMTMPKAKPLWAIAKPRLAAHLYTYIVLQ >fgenesh2_kg.1__1010__AT1G09580.1 pep chromosome:v.1.0:1:3655744:3657327:1 gene:fgenesh2_kg.1__1010__AT1G09580.1 transcript:fgenesh2_kg.1__1010__AT1G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family protein [Source:UniProtKB/TrEMBL;Acc:D7KJR9] MTAKIRRVFPTAFLLLFLVPVGEAVWLDVPPTGTKCVSEEIQSNVVVLADYLIISEDHEVMPTISVKVTSPYGNNLHNMENVTHGQFAFTTQESGNYLACFWADAKSHGNKNVSINIDWKTGIAAKDWASVAKKEKIEGVELEIRKLEGAVEAIHENLLYLRNREADMRTMSEKTNSRVAWYSIMSLGVCIAVSGLQVLYLKQYFEKKKLI >fgenesh2_kg.1__1011__AT1G09590.1 pep chromosome:v.1.0:1:3657569:3658688:1 gene:fgenesh2_kg.1__1011__AT1G09590.1 transcript:fgenesh2_kg.1__1011__AT1G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L21 [Source:UniProtKB/TrEMBL;Acc:D7KJS0] MPAGHGVRARTRDLFARPFRKKGYIPLSTYLRTFKVGDYVDVKVNGAIHKGMPHKFYHGRTGRVWNVTKRAVGVEVNKQIGNRIIRKRIHVRVEHVQQSRCAEEFKLRKKKNDELKAAAKAKGETISTKRQPKGPKPGFMVEGMTLETVTPIPYDVVNDLKGGY >fgenesh2_kg.1__1014__AT1G09620.1 pep chromosome:v.1.0:1:3664057:3668016:-1 gene:fgenesh2_kg.1__1014__AT1G09620.1 transcript:fgenesh2_kg.1__1014__AT1G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7KJS2] MASDSKSFTRRDRLLEIEVAVRKWWEDEEVFKAESRENLPKPGEKFFSTFPFPYMNGYLHIGHAFSLSKVDFASAYHRLRGANVLLPFGFHCTGMPIKASADKLSREIQQFGNPPVFTAEDNTKVPEVQEESSDTIALPGQFKGKKSKVAAKSGGQVYQWEIMRSFGLTDSEIAKFQDPYEWLYYFPPLAVEDLRAYGLGCDWRRSFVTTDVNPFFDAFVRWQMRKLKSLGKIVKDRRYTIFSPLDGQPCADHDRATGEGVQPQEYTLIKMEVVKPFPLKLGPLEGKRVFLAAATLRPETMYGQTNAWVLPDGKYGAYEISETDVFILTERAALNLAYQNFSKIPQKPSCLVELTGYDLIGLPLRSPLSVNEIIYALPMLTILTNKGTGIVTSVPSDAPDDYMALQDLIKKPALQDKYGVKTEWVPTEIIPIINIPEFGDKAAEKVCLDLKIKSQNDKDKLAEAKRLTYLKGFTEGTMLIGEFVGRKVQEIKPIIKTKLIETGEAIIYSEPEKPVMSRSGDECVVALTDQWYITYGESEWRKMAEECLSKMNLYSEETRHGFEHTLSWLNQWACSRSFGLGTRIPWDEQFLVESLSDSSLYMAYYTVAHIFHDGDMYKGSKSLIRPQQMNDEVWEYLFCDGPYPKSSDIPSAVLSKMKQEFDYWYPLDLRVSGKDLIQNHLTFFIYNHTALMANRNWPRGIRCNGHIMLNSEKMSKSTGNFRTLRQAIEEFSATATRFSLADAGDGVDDANFVFETANAAILKLTKELTWMEEVLAAESSLRTGPPSTYADKVFENDMNIAIRLTERAYKDCLFREALKNGFYDLQAARDEYRLSCGTGGMNHDLILTFMDVQTRLIEPICPQFAEYVWRKLLKKEGCVVTAGWPPSNEPDLVLKSANKYLQDSIVLMRKLLQKQLLGSKKAAKKGAQVTTVPEGNLKGLVYVNEQFDGWRAHCLRILQSRFDQQTCRFDPDAVILAELKEILQQEGHAENFKQIQKVCMPFLKFKKDEAIAIGTQALNLRLPFGEIEVLQSNTDLIRRQLGLEEVEIYSASNPDDVLKAGPLASLLQQNPPSPGSPTAIFVTSTSVCPPA >fgenesh2_kg.1__1017__AT1G09640.1 pep chromosome:v.1.0:1:3671131:3673779:1 gene:fgenesh2_kg.1__1017__AT1G09640.1 transcript:fgenesh2_kg.1__1017__AT1G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHTYKGNKSADKALIAAEYVGVKIDVPSDFEMGVTNKTPEFLKMNPIGKVPVLETPEGSVFESNAIARYVSRLNGDNSLNGSSLIEYAQVEQWSDFASLEIYGNILRWFGPRMGFMPYSAPAEEGTISALKRALDALNTHLASNTYLVGHCITLADIITVCNLNLGFATVMTKKFTSEFPHVERYFWTVVNQPNFKKVLGDVKQTEAVPPIASKKAAQPAKPKEEPKKKEAPVAEAPKLAEEEEAPKPKAKNPLDLLPPSPMVLDDWKRLYSNTKSNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENMVSFVTLNKVGGFLQRMDLARKYSFGKMLICGSEGPFKVKGLWLFRGPEIPKFIMDEVYDMELYEWTKVDISDEAQKERVSQMIEDAEPFEGEALLDAKCFK >fgenesh2_kg.1__1019__AT1G09650.1 pep chromosome:v.1.0:1:3676022:3677313:1 gene:fgenesh2_kg.1__1019__AT1G09650.1 transcript:fgenesh2_kg.1__1019__AT1G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KJS7] MSKKQRCLMMGSLPHDVVERILERLAVDPLLRFKAVSKQWKSTIESPFFQRRQFQQRQQSGNPDVLLVSLCRYDVINLDIEALATLVLGSSSSVKIPTPWEEKEEDTEYLVSRDSCDGLVCLFNIRKSGFVVNPTTRWYHPLPPCQLQQVITGLGDSFYDLGYRLSKLGFGKDKLTGTYKPVWLYNSLEIGLENATTCEVFDFSTNAWRYVSPAAPYRIVGCPSPVCVDGSLHWFTECEETKILSFDLHTETFQVVSKAPFANVDGFHLDNRLCVSEMKRPKQVIWSFNSGNKTWHKMCSIDLDITSHWFGRHLCAVMPLALLDGKKKKLLFYCRERSRTLMVHDHETKSYDVAFEADSVGYPVCYFPSLISIS >fgenesh2_kg.1__101__AT1G01260.1 pep chromosome:v.1.0:1:412556:415094:-1 gene:fgenesh2_kg.1__101__AT1G01260.1 transcript:fgenesh2_kg.1__101__AT1G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7KQ94] MNIGRVVWNEDDKAIVASLLGKRALDYLLSNSVSNANLLMTSGSDENLQNKLSDLVERPNASNFSWNYAIFWQISRSKAGDLVLCWGDGYCREPKEGEKSEIVRILSMGREEETHQTMRKRVLQKLHDLFGGLEEENCALGLDRVTDTEMFLLSSMYFSFPQGEGGPGKCFASGKPVWLSDVVNSGSDYCVRSFLAKSAGIQTVVLVPTDLGVVELGSTSCLPESEESILSIRSLFSSSLPPVRAVTAVALPEKIDDNRTVNASKIFGKDLHNSGFLHHHQFHQQQPQQQQQQHRQFREKLTVRKMDDRAPKRVDAYPNNGNRFMFSNPGTNNNTLLSPTWVQPENYTRPINVKEVPSTDEFKFLPLQQSSQRLLPPAQMQIDFSAASSRASENNSDGEGGGEWADAVGADDNGNNKPRKRGRRPANGRVEALNHVEAERQRREKLNQRFYALRSVVPNISKMDKASLLGDAVSYINELHAKLKVMEAERERLGYSSNPPISLESDINVQTSGEDVTVRINCPLESHPASRIFHAFEETKVEVMNSNLEVSQDTVLHTFVVKSEELTKEKLISALSREPSNSVQSRTSSGR >fgenesh2_kg.1__1021__AT1G09660.1 pep chromosome:v.1.0:1:3678609:3681901:-1 gene:fgenesh2_kg.1__1021__AT1G09660.1 transcript:fgenesh2_kg.1__1021__AT1G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESGAGFAAMEERISPGSFFQFPLSGFRASPNRSPCPPTDRERYLTELLQERQKLGPFLQVMPNCCRLLNQEIRRVSSFPDPDRYEHGSPFRSLGQPTNGKLDLEGWSMMQAEENCHLQRASPFRAPAPVGWIGMPGLPNPPIVKKVIRLDVPVDKYPSYNFVGRILGPRGNSLKRVELATHCRVFIRGRGSVKDTVKEEKLKGKPGYEHLCEPLHVLIEAELPEDIINSRLEHAVHFLESLLKPMDESMDHYKREQLKELAALNGTLREESPSPSLSPCLSPSMSPFNSKRAKTGQ >fgenesh2_kg.1__1025__AT1G09700.1 pep chromosome:v.1.0:1:3688891:3691361:-1 gene:fgenesh2_kg.1__1025__AT1G09700.1 transcript:fgenesh2_kg.1__1025__AT1G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANDASSGVSNCYVFKSRLQEYAQKYKLQTPVYETVKEGPSHKSFFQSTVILNGVRYNSLPGFFNRKAAEQSAAEVALQELAKSSELSQCVSQPVHETGLCKNLLQEYAQKMNYAIPLYQCQRIETLGRATQFTCTVEIGGIKYTGAATRTKKDAEISAGRTALLAIQSESKNNLANYNTQLTVLPCEKKTLLAPIPLKETIKTPKARKAQFKRKARKVKRKVAMNPEDVIIPPQPTEHCQNDQSEKIETTINLEPSTCMNGLKEAAFGSVETEKIETKLNLEPSSCMNGLKEAAFGSCQDGVLRVCHSNYLVIEVIFERQIETNMIDINYLNRSSSLHKLVNLLSHLPSKKNKTQLHSLFIIGGPVLKNRSPTF >fgenesh2_kg.1__1026__AT1G09710.1 pep chromosome:v.1.0:1:3691609:3695013:1 gene:fgenesh2_kg.1__1026__AT1G09710.1 transcript:fgenesh2_kg.1__1026__AT1G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7KJT3] MVDDTSSNSRKRIITEGDIATLLQRYDMKTILKMLQEMAYYSEIKMDWNELVKKTTTGITNAREYQSLWRHLSYRHPLLPVEDDAQPLDDDSDMECELEASPAVSHEASVEAIAHVKVMAASYVPNESDILDNSTVEAPLTINIPYVLPEGSQEPSESHWSSRGMNITFPVCLQKVTSTEGMNGNGSAGSSMAFRKKRKKWSAQEDEELFAAVKRCGEGNWAHIVKGDFRGKRTASQLSQRWALIRKRCDTLTSVSQSGLQPTEAQIAVNHAISLALGNRPPSKKLAIGVMPTTSSCSITEPEANGGSSSQGQQQFKPIVQALPRAGTSLLVSKSRVVKKSTASSTSRSNLMVTANSVAAAACMGDVLTAASRPKVEPGKTDAPLVPKTKPAKNASTACMPRPSGSLSMPKVEPGTSVAASSIIKAVGPANMRPLANGELKPVTASSSSNKLPLMAPRSEGSTMLSASAPLASPSRIVSNQRVFAASVPATVLQPKPTAETVTCKPDGGQKGQARGNEASSSAAIQPHQMTSINSEIRQGKQATQAQSPNLLPRKVPVVRAAVHCATNHKLVDNPSDKTVVPIRGAGSQSKAKDEVNSKVGPALKVSSVCGKPLEVATVAGTGQGV >fgenesh2_kg.1__1028__AT1G09730.1 pep chromosome:v.1.0:1:3698316:3705097:-1 gene:fgenesh2_kg.1__1028__AT1G09730.1 transcript:fgenesh2_kg.1__1028__AT1G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ulp1 protease family protein [Source:UniProtKB/TrEMBL;Acc:D7KJT5] MKKNMEVFDFKEEDELPEPAAGKLLEKFTNPSPCNSPVLQRQRIHSFCNEKSDQKEEMEGPSCAEPATAVESDDHQCEDAPTFVTEAEESRTSLTFRLETSDHLKETDADHVNHGLMFGLNTEDLAKETDEDQDNHGLMFGLNTGDHIEETDVDHGLESFSCEPGRSSFYAETSSYSQRQLNSPFSDSSSSEEQIDMMSAIDESLSDRSALSQDSDSEDDEDWVAEHFSDVEKIDLSTAVVMTSEYAILKDMHCAASLVIFSCNGIKIKSFLANNEEGPFSCEFGVEDIVSIQYNWYQNVGLIILRLRVLLKDEKCHEGMQHITGILGFCISARYRLFAEDSDFLIVMVGAASCMFMFNDIEELKIAVKEHNWPEKQQKINSLHVKYPAVWNADLEDDVDVSGYNLNQQKRYFPSFDEPFEDVVYPKGDPDAVSICKRDVELLQPETFVNDTIIDFYINYLKNQIQTEEKHRFHFFNSFFFRKLADLDKDPSSIADGKAAFLRVRKWTRKVDMFGKDYIFVPVNFNLHWSLIVICHPGEVANRTDLDLDDSKKVPCILHMDSIKGSHAGLKNLVQSYLCEEWKERHKETSDDISSRFMNLRFVSLELPQQENSFDCGLFLLHYLELFLAEAPLNFSPFKIYNASNFLYLNWFPPAEASLKRTLIQKLIFELLENRSREVSYEQNQSCESPVAVNDNTGIEVLSERCSPMIDCNGNMTQTQDDQGIEMTLLERSSMRNIQAANDSGMVLRDLFDSGANNTRSLLVQLQEPFEEPSSFYHLSNDSLAREQVDMETGEQFMCLNAGEGNFQCITETASPRASNSFSSWNLGIPLVQKEDETDLLSETSKSTSNDSEDIGIIEDNQIENAHEGEISESPPRETVSLLSATVGSNTDHNTENEELVSTHVELVVPSSQDDGDVEKPVEHDPEIGEKTSEDVGDECDQKEPMEEEEEKRAAKRPRLSSSTGEAEEK >fgenesh2_kg.1__1029__AT1G09740.1 pep chromosome:v.1.0:1:3705400:3707359:1 gene:fgenesh2_kg.1__1029__AT1G09740.1 transcript:fgenesh2_kg.1__1029__AT1G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSGSLNCVVVAVDGSEVSMEALRWALDNLKLSSSSSDSSFVVLHVQPSPSVAAGVSPGTIPFGGPSGLEVPAFTAAIEQHQKRITDTILEHANQICAEKSVNVKTKVVVGDPKYKICEAVENLHADLLVMGSRAYGRIKRMFLGSVSNYCTNHAHCPVVIIKPKEDSSA >fgenesh2_kg.1__1030__AT1G09750.1 pep chromosome:v.1.0:1:3708647:3710542:1 gene:fgenesh2_kg.1__1030__AT1G09750.1 transcript:fgenesh2_kg.1__1030__AT1G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLHFFFFFLTLLLPFTLTTATRDTCATAAPDGSHDLSIIPINAKCSPFAHTHVSASVIDTVLHMASSDSHRFTYLSSLVAGKSKPTSVPVASGNQLHIGNYVVRARLGTPPQLMFMVLDTSNDAVWLPCSGCSGCSNASTSFNTNSSSTYSTVSCSTTQCTQARGLTCPSSTPQPSICSFNQSYGGDSSFSANLVQDTLTLSPDVIPNFSFGCINSASGNSLPPQGLMGLGRGPMSLVSQTTSLYSGVFSYCLPSFRSFYFSGSLKLGLLGQPKSIRYTPLLRNPRRPSLYYVNLTGVSVGSVQVPVDPVYLTFDSNSGAGTIIDSGTVITRFAQPVYEAIRDEFRKQVNGSFSTLGAFDTCFSADNENVTPKITLHMTSLDLKLPMENTLIHSSAGTLTCLSMAGIRQNANAVLNVIANLQQQNLRILFDVPNSRIGIAPEPCN >fgenesh2_kg.1__1031__AT1G09760.1 pep chromosome:v.1.0:1:3710541:3712916:-1 gene:fgenesh2_kg.1__1031__AT1G09760.1 transcript:fgenesh2_kg.1__1031__AT1G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U2 small nuclear ribonucleoprotein A [Source:UniProtKB/TrEMBL;Acc:D7KJT8] MVKLTADLIWKSPHFFNAIKERELDLRGNKIPVIENLGATEDQFDTIDLSDNEIVKLENFPYLNRLGTLLINNNRITRINPNLGEFLPKLHSLVLTNNRLVNLVEIDPLASIPKLQYLTLLDNNITKKPNYRLYVIHKLKSLRVLDFIKIKAKERAEAAALFSSKEAEEEVKKVSPEEVKKVPETAENPETPKVVAPTQEQILAIKAAIINSQTIEEIARLEQALKFGQVPAGLIIPDPATNDSAPMEE >fgenesh2_kg.1__1032__AT1G09770.1 pep chromosome:v.1.0:1:3713074:3716532:1 gene:fgenesh2_kg.1__1032__AT1G09770.1 transcript:fgenesh2_kg.1__1032__AT1G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor [Source:UniProtKB/TrEMBL;Acc:D7KJT9] MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLLPTQWRTIAPIVGRTPSQCLERYEKLLDAACTKDENYDAADDPRKLRPGEIDPNPEAKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDGRHRKRKRKGIDYNAEIPFEKRAPAGFYDTADEDRPADQVKFPTTIEELEGKRRADVEAHLRKQDVARNKIAQRQDAPAAILQANKLNDPEAVRKRSKLMLPPPQISDHELEEIAKMGYASDLLAENEELTEGSAATRALLANYSQTPRQGMTPMRTPQRTPAGKGDAIMMEAENLARLRDSQTPLLGGENPELHPSDFTGVTPRKKEIQTPNPMLTPSMTPGGAGLTPRIGLTPSRDGSSFSMTPKGTPFRDELHINEDMDMHESAKLERQRREEARRSLRSGLTGLPQPKNEYQIVAQPPPEDNEEPEEKIEEDMSDRIAREKAEEEARQQALLKKRSKVLQRDLPRPPAASLEVIRNSLLSADGDKSSVVPPTPIEVADKMVREELLQLLEHDNAKYPLDEKAEKKKGAKNRTNSSASQVLAIDDFDENELQEADKMIKEEGKFLCVSMGHEDKSLDDFVEAHNTCVNDLMYFPTRSAYELSSVAGNADKVAALQVEMENTRKKMEEDEKKAEHMKAKYKTYTKGHERRAETVWTQIEATLKQIEIGGTEVECFNALKRQEEMAASFRKRNLQEEVIKQKETESKLQTRYGNVLAMVEKAEEIMVGFRAQALKKQEDVEDSHKLKEAKVATGEEEDVAIVMEASA >fgenesh2_kg.1__1033__AT1G09780.1 pep chromosome:v.1.0:1:3716722:3719095:-1 gene:fgenesh2_kg.1__1033__AT1G09780.1 transcript:fgenesh2_kg.1__1033__AT1G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAWKLDDHPKLPKGKTIALIVLDGWGESAPDQYNCIHNAPTPAMDSLKHGAPDTWTLIKAHGTAVGLPSEDDMGNSEVGHNALGAGRIFAQGAKLCDQALASGKIFQGEGFKYVSESFETNTLHLVGLLSDGGVHSRLDQLQLLIKGSAERGAKRIRVHILTDGRDVLDGSSVGFVETLEADLVTLRENGVDAQIASGGGRMYVTLDRYENDWEVVKRGWDAQVLGEAPHKFKNAVEAVKTLRKEPGANDQYLPPFVIVDDSGKPVGPIVDGDAVVTFNFRADRMVMHAKALEYEDFDKFDRVRFPKIRYAGMLQYDGELKLPSRYLVSPPEIDRTSGEYLAHNGVSTFACSETVKFGHVTFFWNGNRSGYFNEKLEEYVEIPSDSGISFNVQPKMKALEIGEKARDAILSGKFDQVRVNIPNGDMVGHTGDIEATVVACEAADLAVKMIFDAIEQVKGIYVVTADHGNAEDMVKRDKSGKPALDKEGKLQILTSHTLKPVPIAIGGPGLSKGVRFRKDLETPGLANVAATVMNLHGFVAPSDYEPTLIEVVE >fgenesh2_kg.1__1034__AT1G09790.1 pep chromosome:v.1.0:1:3722791:3724979:-1 gene:fgenesh2_kg.1__1034__AT1G09790.1 transcript:fgenesh2_kg.1__1034__AT1G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMLNLSFVVTVILFTISSHTRFMIISDKMVADGYDPLDPFGKIIIKWDLLLSSPGQHHVLVTLENMQEYRHVEKPGWKLSWHWLNNEVIWEMKGAETTEQGNCSAFASSGNLPHCCLQRPTIVDLLPGASLNVQVANCCRGGVLTSMSQDHANHVSAFQMVVGSSPDGPEEFYIPYNFDIGVPGYSCDNATSVTPTKFSTDKGRRKTQALATWEAVCVYSQFRSSQSPKCCVSLSAFYYQNIVPCPTCSCGCSSSPCVKDGELPPYLEQKHDPEEEVSPVVKCSDHMCPIRIHWHVKVNYREYWRVKITATNFNTMKNYTNWNLVVLHPNLKSVQQVFSFNYKSLTPYQNGINDTGMFWGVQFYNDVLLQEGKIGNVQTELLLKKDMGNFTFREGWAFPRRILFNGDECVMPSPDDFPRLPKSASAHSSSSSSAVISSVTVVFCFLLHHLLLLV >fgenesh2_kg.1__1035__AT1G09795.1 pep chromosome:v.1.0:1:3727369:3730426:1 gene:fgenesh2_kg.1__1035__AT1G09795.1 transcript:fgenesh2_kg.1__1035__AT1G09795.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP phosphoribosyl transferase [Source:UniProtKB/TrEMBL;Acc:D7KJU4] MSISIPLNTTLQYSSSSSSSLLPSFSLSSPITSSAVYVAGIRQRCLRMATSCVSNAQKSVLNGATDAVSIAGREQIRLGLPSKGRMAADSLDLLKDCQLFVKQVNPRQYVAQIPQLPNTEVWFQRPKDIVRKLLSGDLDLGIVGLDIVGEFGQGNEDLIIVHEALNFGDVISPLRYIPNYGIFENIKSLKELAQMPQWTKERPLRVATGFTYLGPKFMKDNGIKHVTFSTADGALEAAPAMGIADAILDLVSSGTTLKENNLKEIEGGVVLESQAALVASRRALTERKGALETVHEILERLEAHLKANGQFTVVANMRGTDAEEVAERVKSQPSLSGLQGPTISPVYCKRDGKVSIEYYAIVICVPKKALYESVQQLRAVGGSGVLVSPLTYIFDEETPRWSQLLSKLGM >fgenesh2_kg.1__1036__AT1G09800.1 pep chromosome:v.1.0:1:3730463:3733830:-1 gene:fgenesh2_kg.1__1036__AT1G09800.1 transcript:fgenesh2_kg.1__1036__AT1G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:D7KJU5] MSDNLKHPLDSTVSEESLERLSKVARIEGFSDEEEAEEQQGLADSESMNPVNPRVQRYLVAIEYIGTRFSGSQQQAKDRTVVGVLQDAFHKFIGQPVKIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPGVVQRAVNHFLQRKDGDVMVIDVRCVPSNYHARYKAQERTYFYRLLAGSDPLSILEKDRCWHVPEELNPRSMQEACRVLVGYHDFSSFRAAGCQAKSPMRYLDELNITEVPSTPYFPSITERAWSNLNNGDPLACSSQPKSETAGVTTNVGEVEGSTDGDSFGRRRRHRCYVVTARSRGFLYHQVRLIVGALKCVGTGELTVSDVERILEAKTVSAAKPMAPASGLYLARVKYEFP >fgenesh2_kg.1__1037__AT1G09810.1 pep chromosome:v.1.0:1:3734344:3737844:-1 gene:fgenesh2_kg.1__1037__AT1G09810.1 transcript:fgenesh2_kg.1__1037__AT1G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 11 [Source:UniProtKB/TrEMBL;Acc:D7KJU6] MATEQNTLDSEATSAQTVPAPDPTSKKQDESPPTSTSPVTVTPTACRSNVVSTQPDNNGQAHAADFLKGSYRDKNSSYVYSASTLRGDRAKRNNCWSQTSFSAPKPPGNFNGAGRLPPNTQPHAFRPPFKGKEAAGHYLQFSNQKTSCPPYSGYINGNSNNGFRDERVHNKKPERNGESDYLVELKCGPRANAKTRPPSETSSLKQNNSFALALRREMYNLPDFQTDYEDAKFFVIKSYSEDDVHKSIKYSVWSSTINGNKKLDAAFRDAETKTLEDGKKRPIFLFFSVNASRQFVGLAEMVGYVDFNKDLDFWQVDKWSGFFPVEWHVVKDIPNWELRHIILDNNEDKPVTHTRDTHEIKLKEGLQMLSIFKKYSAVTYLLDDMDFYEEREKSLRMKKEHKPATLRMDLFKEKDYDYEMEGNRRMNHQERGYNWNRSSSSQTQESLVNRTKNLSIRGYSASKKGTGNSK >fgenesh2_kg.1__1039__AT1G09815.1 pep chromosome:v.1.0:1:3743168:3743986:1 gene:fgenesh2_kg.1__1039__AT1G09815.1 transcript:fgenesh2_kg.1__1039__AT1G09815.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta subunit 4 family [Source:UniProtKB/TrEMBL;Acc:D7KJU8] MATKNLKGFYKQTKSNITGGISKSKPSSRKVTPKHAAAQGSDVIQPAALISHGSLDLNEDYDKEEEMLRQFDMNIAYGPCLGMTRLDRWERALRLGMNPPNEIEKLLKTGKVQQDCLWQGRV >fgenesh2_kg.1__103__AT1G01250.1 pep chromosome:v.1.0:1:419014:419827:1 gene:fgenesh2_kg.1__103__AT1G01250.1 transcript:fgenesh2_kg.1__103__AT1G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSPPPLTNSEPTATASAVKSCSGGGKETSSTTRHPVYHGVRKRRWGKWVSEIREPRKKSRIWLGSFPVPEMAAKAYDVAAFCLKGRKAQLNFPDEIDDLPRPSTCTPRDIQVAAAKAANAVKIIKMGDDDVAEIDDGDDFWEGIELPELMMTGGGWSPEPFVAGDDATWLVDGDLYQYQFMACL >fgenesh2_kg.1__1040__AT1G09820.1 pep chromosome:v.1.0:1:3744042:3746218:-1 gene:fgenesh2_kg.1__1040__AT1G09820.1 transcript:fgenesh2_kg.1__1040__AT1G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KJU9] MFPKSFAVSRKISNFIKQASGLRRLASSLATITGSPCPPRFDVAVIADLIEKQHWSKLGFHVKDINPNELFRQLISSELNPDLCLRYYTWLVKNRDISVSLELTFKLLHSLANAKRYSKIRSFLDGFVRNGSDHQVHSIFHAISMCDNVCVNSIIADMLVLAYANNSRFELGFEAFKRSGYYGYKLSAMSCKPLMVALLKQNRSADVEYLYKEMIRRKIQPNVFTFNVVINALCKTGKMNKARDVMEDMKVYGYSPNVVSYNTLIDGYCKLGGNGKMYKADAVLKEMVENEVSPNLTTFNILIDGFWKDDNLPGSLKVFKEMLDQDVIPNVITYNSLINGLCNGGKINEAIGMRDKMVSAGVQPNLITYHSLINGFCKNGMMKEALDMFDSVKGQGTRPTTRMYNMLIDAYCKLGKIDDGFALKEEMEREGIVPDVGTYNCLIAGLCRNGNIEAAKKLFDQLTNKGLPDLVTFHILMEGYCSRGESRKAAMLLKEMSKMGLKPRHLTYNIMMKGYCKEGNLKAATNMRTQMEKERRLRMNVASYNVLLQGYSQKGKLEDANMLLNEMLEKGLVPNRITYEIVKEEMVDKGFVPDIEGHLFNVSTKS >fgenesh2_kg.1__1041__AT1G09830.1 pep chromosome:v.1.0:1:3746550:3748904:-1 gene:fgenesh2_kg.1__1041__AT1G09830.1 transcript:fgenesh2_kg.1__1041__AT1G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylamine--glycine ligase [Source:UniProtKB/TrEMBL;Acc:D7KJV0] MSSLCASNFYPSSSSSINLFSNNPTKPFLLSLRFATSNSLPFVAPLKFSTTNHGLSSRFSSNRFQRRHFLLRCVSEESEQSLSVGNGGSEERVNVLVIGGGGREHALCYALKRSPSCDSVLCAPGNAGISSSGDATCVPDLDISDSLAVISFCQKWNVGLVVIGPEVPLVAGLANDLVKAGILTFGPTSQAAALEGSKNFMKNLCLKYNIPTAKYKTFSDASAAKEYIQEQGAPIVIKADGLAAGKGVTVAMELEEAFEAVDSMLVKGVFGSAGCQVVVEEFLEGEEASFFALVDGENAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTRELQDFVMESIIHPTVKGMAEEGCKFVGVLFAGLMIEKKSGLPKLIEFNVRFGDPECQVLMMRLESDLAKVLLAACKGELSGVSLDWSKDSAMVVVMASNGYPGAYEKGSIIRNLEEAETVAPGVKVFHAGTDLDSEGNVIATGGRVLGVTAKGNDLEEARERAYSAVQQINWPGGFFRHDIGWRALRQKQVATKEE >fgenesh2_kg.1__1047__AT1G09840.4 pep chromosome:v.1.0:1:3749877:3754400:-1 gene:fgenesh2_kg.1__1047__AT1G09840.4 transcript:fgenesh2_kg.1__1047__AT1G09840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLGNGVGTSRSAKGLKSSSSSVDWLTRDLAETRIRDKVETDDERDSEPDIIDGAGAEPGHVIRTTLRGRNGQSRQTVSYISEHVVGTGSFGMVFQAKCRETGEVVAIKKVLQDKRYKNRELQIMQMLDHPNVVALKHSFFSRTDNEEVYLNLVLEFVPETVNRVARSYSRTNQLMPLIYVKLYTYQICRALAYIHNSFGLCHRDIKPQNLLVNPHTHQLKICDFGSAKVLVKGEPNVSYICSRYYRAPELIFGASEYSTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLLCRFFQYSPNLRCTALEACIHPLFDELRDPNTRLPNGRPLPPLFNFKPQELSGIPPEIVNRLVPEHARKQNLFMALHS >fgenesh2_kg.1__1050__AT1G09850.1 pep chromosome:v.1.0:1:3756615:3758960:1 gene:fgenesh2_kg.1__1050__AT1G09850.1 transcript:fgenesh2_kg.1__1050__AT1G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSSSFVSLTFFFLLLVSSPSSSDDISELFDDWCQRHGKTYGSEEERQQRIQIFKDNHDFVTQHNLITNATYSLSLNAFADLTHHEFKASRLGLSVSASSLIMASKGQSLGGNAKVPDSVDWRKKGAVTNVKDQGSCGACWSFSATGAMEGINQIVTGDLISLSEQELIDCDKSYNAGCNGGLMDYAFEFVIKNHGIDTEKDYPYQERDGTCKKDKLKQKVVTIDSYAGVKSNDEKALREAVAAQPVSVGICGSERAFQLYSRVSGIFSGPCSTSLDHAVLIVGYGSQNGVDYWIVKNSWGKSWGMDGFMHMQRNTGNSEGICGINMLASYPIKTHPNPPPPSPPGPTKCNLFTYCSAGETCCCARNLFGLCFSWKCCEIESAVCCSDGRHCCPHDYPVCDTTRSLCLKKTGNFTAIKPFWKKDSSNKLGRFEGWVM >fgenesh2_kg.1__1055__AT1G09900.1 pep chromosome:v.1.0:1:3773346:3775142:1 gene:fgenesh2_kg.1__1055__AT1G09900.1 transcript:fgenesh2_kg.1__1055__AT1G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KJV7] MDLMVSTSSAQEGFCLIQQFHRECKRGNKLDVSGRTTRSISSKVPLWSRKRNRLVLVSAASQVESSGLNGRAQKFDTLASGHSNSNGNGHFSSANSSFVLEDVESNNHLRQLVRTGELEEGFKFLENMVYHGNVPDIIPCTTLIRGFCRMGKTRKAAKILEVLEGSGAVPDVITYNVMISGYCKAGEINNALSVLDRMSVSPDVVTYNTILRSLCDSGKLKQAMEVLDRMLQRDCYPDVITYTILIEATCRDSGVGQAMKLLDEMRDRGCTPDVVTYNVLVNGICKEGRLDEAIKFLNDMPSSGCQPNVITHNIILRSMCSTGRWMDAEKLLADMLRKGFSPSVVTFNILINFLCRKGLLGRAIDILEKMPKHGCQPNSLSYNPLLHGFCKEKKMDRAIEYLERMVSRGCYPDIVTYNTMLTALCKDGKVEDAVEILNQLSSKGCSPVLITYNTVIDGLAKAGKTGKAIKLLDEMRAKDLKPDTITYSSLVGGLSREGKVDEAIKFFHEFERMGVRPNAVTFNSIMLGLCKTRQTDRAIDFLVYMINRGCKPTETSYTILIEGLAYEGMAKEALELLNELCNKGLMKRSSAEQVAGKM >fgenesh2_kg.1__1059__AT1G09940.1 pep chromosome:v.1.0:1:3792915:3799778:-1 gene:fgenesh2_kg.1__1059__AT1G09940.1 transcript:fgenesh2_kg.1__1059__AT1G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA reductase [Source:UniProtKB/TrEMBL;Acc:D7KKJ8] MAVSSAFVVTPKLEKLLANPTSSSPAPLGVIGIRALPMNTNRNKRGLIQRARCEISPSKAASTSALEQLKTSAIDRYTKERSSIIVIGLSIHTAPVEMREKLAIPEAEWPRAIAELCGLNHIEEAAVLSTCNRMEIYVLALSQHRGVKEVTEWMSKTSGIPVSEICQHRFLLYNKDVTQHIFEVSAGLDSLVIGENQILAQVKQVVKVGQGVNGFGRNISGLFKHAITVGKRVRTETNIAAGAVSVSSAAVELALMKLPESSHASSARMLVIGAGKMGKLVIKHLVAKGCTKMVVVNRSEEKVAAIREEMPSGVEIIYKPLDEMLSCAAEADVVFTSTASETPLFLKEQVATLPPVRDTRLFVDISVPRNVGSCVAEIDGARVFNVDDLKEVVAANQEDRVRKAMEAQAIITDESKHFEAWRDSLETVPTIKKLRGYTERIIAAEIEKFLPKTGIEMNKKLRKAVDDLIRGIVNKLLHGPMQHLRCDGSDSRTLSETLENMQALNRMYGLDAEILEEKIRAKVGKK >fgenesh2_kg.1__1060__AT1G09950.1 pep chromosome:v.1.0:1:3801591:3802531:-1 gene:fgenesh2_kg.1__1060__AT1G09950.1 transcript:fgenesh2_kg.1__1060__AT1G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTSSSQSFTSFVTGWLIRHRYFVEQLTCASSLDETNRISLEEQQSLVTQFLSHCLQYYQEKFAAVSVAGDNVFTFFCPPWFNSYAKLILWVGDFKPSLVFKLTEVSVDNLTRHQKDRISSLKSETMRKEREVMRDFALVQQSVADPPVMLAARRVGAVGMVDGEESDLEEAMEVLKAGMAAAMNNADQLRCSTVGKVVEILTPPQAIKVLKTIGELHLRLREVNSERDHQRA >fgenesh2_kg.1__1061__AT1G09960.1 pep chromosome:v.1.0:1:3806593:3809243:1 gene:fgenesh2_kg.1__1061__AT1G09960.1 transcript:fgenesh2_kg.1__1061__AT1G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transporter SUT4 [Source:UniProtKB/TrEMBL;Acc:D7KKK0] MATTPDQDRRHRATRNRPPLPRPSTSSSRPVVAPRSKVSKRVLLRVASVACGIQFGWALQLSLLTPYVQELGIPHAWASVIWLCGPLSGLFVQPLVGHSSDRCTSKYGRRRPFIVVGAVAIAISVLVIGHAADIGWAFGDREGKIKPRAIVAFVLGFWILDLANNMTQGPCRALLADLTENDNRRTRVANGYFSLFMAIGNVLGYATGSYNGWYKIFTFTKTVACNVECANLKSAFYIDVVFIAITTILSVSAAHEVPLASLTSESHGQTSGTDEAFLSEIFGTFRYFPGNVWIILLVTALTWIGWFPFILFDTDWMGREIYGGEPNIGTSYSAGVSMGALGLMLNSVFLGITSVLMEKLCRKWGAGFIWGISNILMAICFLGMIITSFVASHLGYIGHEQPPASIVFAAVLIFTILGIPLAITYSVPYALISIRIESLGLGQGLSLGVLNLAIVIPQVIVSVGSGPWDQLFGGGNSPALAVGAAAGFIGGIVAILALPRTRIQKPIPLP >fgenesh2_kg.1__1066__AT1G10010.1 pep chromosome:v.1.0:1:3858665:3861532:1 gene:fgenesh2_kg.1__1066__AT1G10010.1 transcript:fgenesh2_kg.1__1066__AT1G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYHNPSAVESGDAAVKSLDDDGREKRTGTFMTASAHIITAVIGSGVLSLAWALAQLGWVAGTIILVAFAIITYYTSTMLADCYRSPDPINGTRNYNYMGVVRTYLGGKKVQLCGLAQYVNLVGVTIGYTITASISLVAIGKSNCYHDKGHKAKCSVSNYPYMAAFGIVQIILSQLPNFHKLSFLSIIAAVMSFSYASIGIGLAIATVASGKIGKTELTGTVIGVDVTASEKVWKLFQAIGDIAFSYAFTTILIEIQAYHFYYHFLQREDTLRSSPPENKVMKRASLAGVSTTTVFYILCGCIGYAAFGNQAPGDFLTDFGFYEPYWLVDFANACIALHLIGAYQVYAQPFFQFVEENCNKKWPQSNFINKEYSSDIPLLGKCRVNLFRLVWRTCYVVLTTFVAMIFPFFNAILGLLGALAFWPLTVYFPVAMHIAQAKVKKYSRRWLALNLLVLVCLIVSILAAVGSIIGLINSVKSYKPFKNLD >fgenesh2_kg.1__1068__AT1G10030.1 pep chromosome:v.1.0:1:3865519:3868513:1 gene:fgenesh2_kg.1__1068__AT1G10030.1 transcript:fgenesh2_kg.1__1068__AT1G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGYWLMLVGSLRLASVWFGFFNIWALRLAVFSQTTMSEVHGRTFGVWTLLTCTLCFLCAFNLENKPLYLATFLSFIYALGHFLTEYLFYQTMTIANLSTVGFFAGTSIVWMLLEWNSLEQPHSKFY >fgenesh2_kg.1__106__AT1G01240.3 pep chromosome:v.1.0:1:422231:424117:-1 gene:fgenesh2_kg.1__106__AT1G01240.3 transcript:fgenesh2_kg.1__106__AT1G01240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEARALWQRTASRCFIVHEDAKMAPRLACCQHQHSSSGNTEKNSFSSGSFGDSSDFSSCDTKWWLKGSTGFDEEATNSFFEDTKCKKLQEFVDLIGIQEEGDYSFISKKADADATTPWWRSTTDKDELALLVATKSIDHNIQNCDLPPPQKLHKGIHCTSSEKGFKPAVQSPWKQGVWSDRFERSLSYNSSTESKNTSPMSSPRNDDLSKAQLLEALRHSQTRAREAEKAAREACAEKVRMITILLKQASQMLAYKQWLKLLEMEALYLQMKKEEENEEQIKGMNLKKRKQRGKKKKKGEIGKYMMAFALGFSLIGAGLLLGWTVGWLFPF >fgenesh2_kg.1__1071__AT1G10040.2 pep chromosome:v.1.0:1:3868622:3871462:-1 gene:fgenesh2_kg.1__1071__AT1G10040.2 transcript:fgenesh2_kg.1__1071__AT1G10040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDSVITRNTEQDGRVGSDLEGFGGSKKKMKIMKTMRSGKSDDKETKKKKKYWMGCLRAESDESGNVDLTVDFPGERTEPTHLVVMVNGLIGSAQNWRFAAKQMLKKYPQDLVVHCSKRNHSTQTFDGVDVMGERLAEEVRSVIKRHPSLQKISFVGHSLGGLIARYAIGRLYEKKTREELLRNSDDIGDTCPIEEPKERIAGLEPMYFITSATPHLGSRGHKQVPLFSGSYTLERLATRMSGCLGKTGKHLFLADSDGGKPPLLLRMVKDSKDLKFISALQCFKRRIAYANTSFDHLVGWSTSSIRRHNELPKLQRGPVNEKYPHIVNVEAPDTASNHKEDRSRTSSDEFKNFDMEEEMISELTKLSWERVDVSFRGTLQRFLAHNTIQASENKDDQFSWSRCYTTHD >fgenesh2_kg.1__1072__AT1G10050.1 pep chromosome:v.1.0:1:3872024:3876237:1 gene:fgenesh2_kg.1__1072__AT1G10050.1 transcript:fgenesh2_kg.1__1072__AT1G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 10 protein [Source:UniProtKB/TrEMBL;Acc:D7KKL5] MADPNIVMNGDFSVGIESWYPNGCEAFVVSSDPFSSEVMSAESSSCGYAVVTNRKETWQGLEQDITTRVSPGINYTVSACVGVSGPFHESPEVLATVRLEHEDSPSEYLFIGKTYASRDKWVDLEGTFSISNIPDRVVLYLEGPAPGEDLLIRSVTVRSSTSTDFQETKENKEASSVFPPAFNIIKNHDFSDGLFSWNANSCDSFVVSSNDCNLESYAVVNNRSDTWQGLEQDITDRVSPGYSYKVSASVSVSGPVFGSTQVMATLKLEHESSATEFQLIGKTCASKDIWKTLEGTFVVSKRPDRVVFFLEGPPPGIDLLIKSVTIHCESDNQFERSREFCSAPESDHHIFLNSSFSDGLNHWSGRGCNLMLHESLADGKILPHSGTCFASATERTHKWSGIEQDITERVQRKLIYEASSVVRLSHSHHTVQATLYVQYLDQREEYIGISSVQATHDDWVQLKGKFLLNGSPARAVVYIEGPPPGIDVFVDHFAVKPAEKDTPSRRPYIESHAFGMNIVSNSHLSDGTIEGWFPLGNCHLKVGDGSPRILPPLARDSLRTTHGYLSGRYVLATNRSGTWMGPAQMITDKVKLFLTYQVSAWVKIGSGGRTSPQDVNIALSVDGNWVNGGKVEVDDGDWHEVVGSFRIEKEAKEVMLHVQGPSPGVDLMVAGLQIFAVDRKSRLSYLRGQADVVRKRNVSLKFSGLDPSELSGATVKIRQTHNSFPLGSCISRSNIDNEDFVDFFLNNFDWAVFGNELKWYWTEPEQGNFNYRDADEMLEFCDRYNIKTRGHCIFWEVESAIQPWVQQLSGSELEAAVENRVTDLLTRYNGKFRHYDVNNEMLHGSFYRDRLGSDSRANMFKTAQELDPLATLFLNEYHIEDGFDSRSSPEKYIKLVHKLQKKGAPVGGIGIQGHITSPVGHIVRSALDKLSTLGLPIWFTELDVSSTNEHIRGDDLEVMLWEAFAHPAVEGVMLWGFWELFMSREHSHLVNADGEVNEAGKRFLEIKREWLSFVDGVMEDEGGLEFRGYHGSYTVEVVTSESKYVTNFVVDKGNSPIDVIIDL >fgenesh2_kg.1__1078__AT1G10090.1 pep chromosome:v.1.0:1:3886033:3891769:-1 gene:fgenesh2_kg.1__1078__AT1G10090.1 transcript:fgenesh2_kg.1__1078__AT1G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSLLTSAGINIGTCVVLVSLYSILRKQPANYCVYFGRLLSDGRVKRHDPRWYERFAPSPSWLVKAWETTEDEMLASAGLDAVVFIRMVICSIRIFSIVAVVCIAFVLPVNYYGQKTAHKEVHLESLVIFTIENLNQRSRWLWVHCLALYIISSAACALLYFEYKNIAKRRLAHITGSASKQSHFTVLIRAIPQSPDQSYSETVSKYFTNYYAPSYVSHLMVYRDGFIHRLMNETDRMCQAIKHVSPDLSCNPSLKSCALCGPAATNSFQILSNETDSVKGQLGELTLTTTEEERPVAFVFFKSRYDALVVSEVLQTPNPMLWVADLAPEPHDVHWRNLRIPYRQLWMRRIATLVGAVAFMFVFLFPVAFVQGLTQLQTLSKNFPFLRDLLHKGFMKQVITGYLPSVILVLFFYAVPPLMMYFSTLEGCVSRSLRKKSACIKILYFTIWNVFFVNILSGSVIRQLSVLSSVRDIPAQLAKVVPAQVGFFMTYCFTSGWAGLACEIMQPVGLIWNLIAKVIVKNKEDSYETLRFPYHTEIPRLLLFGLLGFTNSVIAPLILPFLLIYFFFAYLIYKNQIINVYITKYESGGQYWPVFHNTTIFSLILSQIIALGFFGLKLSTVASGFTIPLIFLTLLFSEYCRQRFAPIFKKYPAEILIAMDRADEMTGKMEEIHNNLKAAYSQIPTCSEESSKAGCTSPCSDQELPDSEQLKPEKENHKADYIWAFQRSKSGHDLEVKSCPSASPNRYSPAFAEIYKRT >fgenesh2_kg.1__107__AT1G01230.1 pep chromosome:v.1.0:1:425719:427483:-1 gene:fgenesh2_kg.1__107__AT1G01230.1 transcript:fgenesh2_kg.1__107__AT1G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORMDL family protein [Source:UniProtKB/TrEMBL;Acc:D7KQ97] MANLYVKAVPPPDMNRNTEWFMYPGVWTTYMLILFFGWLVVLSVSGCSPGMAWTVVNLAHFVVTYHSFHWMKGTPFADDQGIYNGLTWWEQMDNGQQLTRNRKFLTVVPVVLYLIASHTTDYRHPWLFLNTLAVMVLVVAKFPNMHKVRIFGINGDK >fgenesh2_kg.1__1082__AT1G10130.1 pep chromosome:v.1.0:1:3905908:3917167:1 gene:fgenesh2_kg.1__1082__AT1G10130.1 transcript:fgenesh2_kg.1__1082__AT1G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7KKM3] MEDAYARSVSEVLDFFGVDPTKGLSDSQVVHHSRLYGRNGTPFWKLVLKQFDDLLVKILIVAAIVSFVLALANGETGLTAFLEPFVILLILAANAAVGVITETNAEKALEELRAYQANIATVLRNGCFSILPATELVPGDIVEVTVGCKIPADLRMIEMSSNTFRVDQAILTGESCSVEKDVDCTLTTNAVYQDKKNILFSGTDVVAGRGRAVVIGVGSNTAMGSIHDSMLQTDDEATPLKKKLDEFGSFLAKVIAGICVLVWVVNIGHFSDPSHGGFFKGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKKMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVQSAEHGPMINEFTVSGTTYAPEGTVFDSNGLQLDLPAQSPCLHHLAMCSSLCNDSILQYNPDKDSYEKIGESTEVALRVLAEKVGLPGFDSMPSALNMLSKHERASYCNHYWENQFKKVYVLEFTRDRKMMSVLCSHKQMDVMFSKGAPESIIARCTKILCNGDGSVVPLTAAARAELESRFHSFGDETLRCLALAFKTVPHGQQTISYDNENDLTFIGLVGMLDPPREEVRDAMLACMTAGIRVIVVTGDNKSTAESLCRKIGAFDNLVDFSGMSYTASEFERLPAVQQTLALRRMTLFSRVEPSHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKAKPRKVGEAVVTGWLFFRYLVIGVYVGLATVAGFIWWFVYSDGGPKLTYSELMNFETCELRETTYPCSIFEDRHPSTVAMTVLVVVEMFNALNNLSENQSLLVITPRSNLWLVGSIILTMLLHVLILYVHPLAVLFSVTPLSWAEWTAVMYLSFPVIIIDELLKFLSRNTGMRFRFRLRKADLLPKDRRDK >fgenesh2_kg.1__1084__AT1G10150.1 pep chromosome:v.1.0:1:3922128:3923852:1 gene:fgenesh2_kg.1__1084__AT1G10150.1 transcript:fgenesh2_kg.1__1084__AT1G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KKM5] MAISGVSGFGAYKVYHLPSVARKRKRLFKLFGAIVSVAELISDSAETLSIVSRDLKGFLKSDSDEIPNSLKQIAKITTSNEFTDSLSRVSQAVTIGAFRGYKSESSSNNVGDSGIEKKSESSVLDKVFSEAGTGFVSVVVGSFAKNLVLGFYSGKLESGVKCEGSDSSETPKWVNLLCDDKCRELLAVCIERFTSTAIGVYLDKTMDINTYDQIFEGLTNPKHQDSVKDVLVSVCNGALQTIVRTSHEVFTSSRSKNVIEEIEDDDFKSNCSARSKMVSESGDGIKSNGWTEAITTTLAVPSNRRFMFDVTGRVTLETTRSIIAFIMLKTFQGFRKSINVVHEEVTDIGRQAVEYVGAKSSVIVTVCLALYLHIISGCVRNSPIGV >fgenesh2_kg.1__1086__AT1G10170.1 pep chromosome:v.1.0:1:3925922:3929583:-1 gene:fgenesh2_kg.1__1086__AT1G10170.1 transcript:fgenesh2_kg.1__1086__AT1G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X1 type zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KKM7] MSFQVRRDRTDDRSRFNPQQTWIPRNASTSSVVVNEPLLPPNESLDAASRPLYLQRQHNVSGPPPYNHHQRSNNNIGPPPPNRHRRNNAPDNHHQRSDNNLGPPPPNRQRRNNASGTLPDNHQRVASRTRPVNQGKRVANEENIVLTDPNLPQLVQELQEKLVKSSIECMICYDKVGRSANIWSCSSCYSIFHINCIKRWARAPTSIDLLAEKNQGDNWRCPGCQSVQLTSSKEISYQCFCGKRRDPPSDPYLTPHSCGEPCGKPLEKELAAAGTTKEDLCPHACVLQCHPGPCPPCKAFAPPRSCPCGKKMVTTRCSERRSDLICGQRCDKLLNCGRHQCVRTCHVGPCDPCQVLVNATCFCKKKVETVICGDMNVKGELKAEDGVYSCSFNCGKPLGCGNHFCSEVCHPGPCGDCDLLPSRVKTCYCGKTRLEDQIRRSCLDPIPSCSNVCRKLLPCRLHTCNEMCHAGDCPPCLVQVNQKCRCGSTSRAVECYITTSSETEKFVCAKPCGRKKNCGRHRCSERCCPLLNGKKNDLSGDWDPHVCQIPCQKKLRCGQHSCESLCHSGHCPPCLEMIFTDLTCACGRTSIPPPLPCGTPVPSCQLPCSIPQPCGHSDTHGCHFGDCPPCSAPVEKKCVGGHVVLRNIPCGLKDIRCNKICGKTRRCGMHACARTCHPEPCDSFNESEAGMRVTCRQKCGAPRRDCRHTCAALCHPSAPCPDLRCEFSVTITCSCGRITATVPCDAGGRSANGSNVYSAAYDEASVLQKLPAPLQPVESSGNRIPLGQRKLSCDDECAKLERKRVLQDAFDITPPNLEALHFSENSAMTEIISDLYRRDPKWVLAVEERCKFLVLGKARGSTSALKVHVFCPMQKDKRDTVRLIAERWKLGVSNAGWEPKRFTVVHVTPKSKPPTRIIGARGGAISIGGPHPPFFDPLVDMDPGLVVSLLDLPREANISALVLRFGGECELVWLNDKNALAVFHDHARAATAMRRLEHGSVYHGAVVVQNDGQSPSLNNAWGKLPGGSAWDVHKGNPWRKAVIQESDDSWGAEDSPIGGSSTDIQASAQRSAKSNTPIVTSVNRWSVLEPEKASTSTLEPIAQIEESSSSKSTGKQPVGGSGEEVVDDWEKVCE >fgenesh2_kg.1__1087__AT1G10180.1 pep chromosome:v.1.0:1:3930462:3934043:-1 gene:fgenesh2_kg.1__1087__AT1G10180.1 transcript:fgenesh2_kg.1__1087__AT1G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEEDDEYPFIESITPQSKIDSVHQSLTEKVIRKLCCELMDLKDAVENMCGDMRTKYLALFRISEEAVEMEHELVELRKHISSQGILVQDLMAGVCREMDDWNRSPGDVHDAEVEEDPLPNEVTDPKSEFLEKIDLLLAEHKVDEALEVMDAEERSCPDLKGSVEMSSYKSAFMERKAVLEDQLLRIAKQPSICVAELKHALVGLIRIGKGPSAHQLLLKFYATSLRRRIEAFLPSCSTCPNTFPATLSKLVFSNISVAAKESAAMFGDDDNPAYSNKVVQWAEREVEYLVRLVKENASPSETSSALRAASICLQDCVNYCKVLEPQGLFLSKLFLVLFRPYVEEVLELNFRRARRVIFDLTETDEGLESSSDFVIILSEFAIASDTMMTDCSIRFMLIVQDILEQLTHLVVLHFGESVLTRILQLYDKYIDFLIKALPGHSDEDGLPELQDHTVLARAETDSEQLALLGAAFTILDELLPRSLVKVWKLQIENGGGEGESSAALNSSAAPELKEWKRHMVQAFDKLRNYFCLQFVLSFIYSREGLTRLDALIYLTETPDDLHLPSLPFQALFSKLQQLAIIAGDVLLGKEKLQKILLARLTETVIIWLSNEQEFWSAFEDESNPLQPSGLQQLILDMNFTVEIARFAGYPFKVVQNHASVVINRAINIFSERGINPQSSLPKTEWFTEAAKSAINRLLMGSEDASEPEEYECEEEEEDDDHIVLPEMDEDSDSEDTSSLSTVESFESFASASMADLESPSFTDSES >fgenesh2_kg.1__1088__AT1G10200.1 pep chromosome:v.1.0:1:3940195:3941654:-1 gene:fgenesh2_kg.1__1088__AT1G10200.1 transcript:fgenesh2_kg.1__1088__AT1G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVGTTQKCMACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHFDQNFKRTGSLEKSFEGTPKIGKPDRPLEGERPAGTKVSNMFGGTREKCVGCDKTVYPIEKVSVNGTLYHKSCFKCTHGGCTISPSNYIAHEGKLYCKHHHIQLIKEKGNLSQLEGGGENAAKDKVVAA >fgenesh2_kg.1__1089__AT1G10210.1 pep chromosome:v.1.0:1:3949308:3951248:1 gene:fgenesh2_kg.1__1089__AT1G10210.1 transcript:fgenesh2_kg.1__1089__AT1G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 1 [Source:UniProtKB/TrEMBL;Acc:D7KKN1] MATLVDPPNGIRIEGKHYFSMWQTLFEIDTKYMPIKPIGRGAYGVVCSSVNTDTNEKVAIKKIHNVYENRIDALRTLRELKLLRHLRHENVIALKDVMMPIHKRSFKDVYLVYELMDTDLHQIIKSSQVLSNDHCQYFLFQLLRGLKYIHSANILHRDLKPGNLLVNTNCDLKICDFGLARASNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFQGTECLNQLKLIVNILGSQKEEDLEFIDNPKAKRFIRSLPYSPGMSLSRLYPGAHVLAIDLLQKMLVFDPSKRISVTEALQHPYMAPLYDPNANPPAQVPIDLDVDEDLREEMIREMMWNEMLHYHPQASTLNTEL >fgenesh2_kg.1__108__AT1G01225.1 pep chromosome:v.1.0:1:430280:431563:-1 gene:fgenesh2_kg.1__108__AT1G01225.1 transcript:fgenesh2_kg.1__108__AT1G01225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILTNKMEREELKAGDHIYTYRAIFAYSHHGIFVGGSKVVHFRPEHNPMESSSSSSSDDICSIFPDCGFRQPDSGVVLSCLDCFLKNGSLYCFEYGVSPSVFLTKVRGGTCTTAQSDPTDSVIHRAMYLLQNGFGNYDIFKNNCEDFALYCKTGLLIMDKLGGVGRSGQASSIVGAPLAALLSSPFKLLIPSPIGVATVTAGMYCMSRYATDIGVRSDVIKVSVEDLALNLDLKTIEEGEEEEEEEDSDTDYVR >fgenesh2_kg.1__1090__AT1G10230.1 pep chromosome:v.1.0:1:3965744:3966420:1 gene:fgenesh2_kg.1__1090__AT1G10230.1 transcript:fgenesh2_kg.1__1090__AT1G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KKN3] SDGKSSEIEEAVASLTMPFNKILLTSSDGESFEIDEAVARKFQIIAHMIEDDCAGKAIPIDNVTGDILSKIIEYAKKHVVEPDEDEDEEAKKKLDSWDAKFVEKLDLETIFKIILAANYLNFEGLLGFASQTVADYIKDKTPEEVREIFNIENDFTPEEEEAIRKENAWTFN >fgenesh2_kg.1__1092__AT1G10270.1 pep chromosome:v.1.0:1:3980729:3983704:1 gene:fgenesh2_kg.1__1092__AT1G10270.1 transcript:fgenesh2_kg.1__1092__AT1G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-rich protein23 [Source:UniProtKB/TrEMBL;Acc:D7KKN8] MSLSHLLRRLCTTTTTTRSPLSISFLHQRIHNISLSPANEDPETTTGNNQDSEKNPNPNPIPNDPSQFQIPQNHTPPIPYPPIPHRTMAFSSAEEAAAERRRRKRRLRIEPPLHALRRDPSAPPPKRDPNAPRLPDSTSALVGQRLNLHNRVQSLIRASDLDAASKLARQSVFSNTRPTVFTCNAIIAAMYRAKRYSESISLFQYFFKQSNIVPNVVSYNQIINAHCDEGNVDEALEVYRHILANAPFAPSSVTYRHLTKGLVQAGRIGDAASLLREMLSKGQAADSTVYNNLIRGYLDLGDFDKAIEFFDELKSKCTVYDGIVNATFMEHWFEKGNDKEAMESYRSLLDKKFRMHPPTGNVLLEVFLKYGKKDEAWALFNEMLDNHTPPNILSVNSDTVSIMVNECFKMGEFSEAINTFKKVGSKVTSKPFVMDYLGYCNIVTRFCEQGMLTEAERFFAEGVSKSLPADAPSHRAMIDAYLKAERMDDALKMLDRMVDVNLRVVADFGTRVFGELIKNGKLTESAEVLTKMGEREPKPDPSIYDVVVRGLCDGDALDQAKDIVGDMIRHNVGVTTVLREFTIEVFEKAGRREEIEKILNSVARPVRNAGQSGNTPPSVPAVFGTTPAAPQQPRDRSPWTSQGVVHSNSGWANGTAGQTAGGAYKANNGQNPSWSNTSVNQQQQSWSNQTAGQQPPSWSRQAPGYQQQQSWSQQSGWSSPSGHQQSWTNQTAGQHQPWANQTSGQQQQWANQTPGQQQQWANQTPGQQQQWATQTPGQQQQWANQNNGHQQPWANQNTGHQQSWANQTPSQQQPWANQTTGQQQGWGNQTTGQQQQWANQTAGQQSAWTGQQQWSNQTASHQQSQWSNPVPGEVANQTPRSHSVDSHLPQQQEPGSSHECLDTQEKKVVELRN >fgenesh2_kg.1__1094__AT1G10290.1 pep chromosome:v.1.0:1:3987878:3994868:1 gene:fgenesh2_kg.1__1094__AT1G10290.1 transcript:fgenesh2_kg.1__1094__AT1G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDELSQLSDSMKQAASLLADEDPDETSSSRRPATFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIIIELSRESSLSSKAIILQIDNKSQQVSASALRHSLQDRLSKGASGKNRDEINLKLRTSTAPPLKLVDLPGLDQRIVDESMIAEYAQHNDAILLVIVPASQASEISSSRALKIAKEYDPESTRTIGIIGKIDQAAENSKALAAVQALLSNQGPPKTTDIPWVAIIGQSVSIASAQSGSGENSLETAWRAESESLKSILTGAPPSKLGRIALVDTLASQIRSRMKLRLPSVLSGLQGKSQIVQDELSRLGEQLVSSAEGTRAIALELCREFEDKFLLHLAGGEGSGWKVVASFEGNFPNRIKQLPLDRHFDLNNVKRVVLEADGYQPYLISPEKGLRSLIKIVLELAKDPARLCVDEVHRVLVDIVSASANATPGLGRYPPFKREVVAIASAALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKGRSSKKGQDAEQSLLSRATSPQPDGQTAGGSLKSMKDKPSPQDKETPEVSGLKTAGPEGEITAGYLMKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEERNFRGTITLEECTIEEIPEDEVEKSKSSKDKKANGPDSKGPGLVFKITCKVPYKTVLKAHNALVLKAESVVDKNEWINKLQKVIQARGGQVGSVSMRQSLSEGSLDKMVRKPIDPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKSKEDMLNQLYSSISAIGNERIESLIQEDQNVKRRRERYQKQSSLLSKLTRQLSIHDNRAAAASSYSDNSGTESSPRTSGGSSGDDWMNAFNSAANGPSDSLSRYGSGGHSRRYSDPAQNGEAASPGSGSNRRTTPNRLPPAPPPTGSAYRY >fgenesh2_kg.1__1096__AT1G10310.1 pep chromosome:v.1.0:1:3999676:4001920:-1 gene:fgenesh2_kg.1__1096__AT1G10310.1 transcript:fgenesh2_kg.1__1096__AT1G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFSGAANSVVAARTVLITGVSKGLGRALALELAKRGHTVIGCARTQEKLTALQSELSSPTNHLLLTADVKSNSSVEELAHTIVEKKGVPDIIVNNAGTINKNSKIWEVSAEDFDNVMDTNVKGVANVLRHFIPLMLPRKQGIIVNMSSGWGRSGAALVAPYCASKWAIEGLSRAVAKEVVEGMAVVALNPGVINTELLTSCFGNSASLYQAPDAWAVKAATMILNLTAGDNGGSLTV >fgenesh2_kg.1__1097__AT1G10320.1 pep chromosome:v.1.0:1:4003240:4007805:-1 gene:fgenesh2_kg.1__1097__AT1G10320.1 transcript:fgenesh2_kg.1__1097__AT1G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKNGKEEGERHGEALGEKESFEESKEKLAEMSRKEKRKALKKLKRKQVRKEIAEKEREEAEAKLNDPAEQERLKAIEEEEARRREKELKEFEESERAWREAMEIKRKKEEEEEAKREEEERRWKDLEELRKLEASGHDECGEDEDGEYEYIEEGPPEIIFQGNEIILKKNKVRVPKKSVVQVDGNESSNVEFVLQISDRPTSNPLPPGSEACANYQNVSSAQQILESVSQEVPNFGTEQDKAHCPFHLKTGACRFGPRCSRVHFYPNKSCTLLMKNMYNGPGIAWEQDEGLEYTDEEAEHCYEEFYEDVHTEFLKYGELINFKVCRNGSFHLKGNVYVHYRSLESAILAYQSINGRYFAGKQVNCEFVNISRWKVAICGEYMKSRLKTCSRGSACNFIHCFRNPGGDYEWADHDRPPPRFWIHKMTSLFGYSDEKYMEHESSGSLNNSRSDLSTDSHRQPSRRSRSRNHDHASVGSTPSYRSRKYHGDTWDSTREDELSRHAENCHDGDDSPSRDCSLEREMYKERRYAKDTLHRDSRWCEHSPGHRVIRKRIHGSDDDSPDGRRDTGHKQKSRRGTDSEVQEQTDDEKDRKTHRSSRKYSRERSSADQEEGHEHDRVHTVSDKSQRERSKHGHERSSSRYSHAEDSTESRHHPHKGSDLGVKGENDRKRSVETSPKENQSDKDRDKSKQRDRYKTQDPDCNRSRKGKRRGRSTGNGVSGISSEEESSDRETHKERPHRHRKRRRSQNSDDQTPNFAEEVEEEIERWRPV >fgenesh2_kg.1__1099__AT1G10340.2 pep chromosome:v.1.0:1:4009790:4011957:-1 gene:fgenesh2_kg.1__1099__AT1G10340.2 transcript:fgenesh2_kg.1__1099__AT1G10340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KKP5] MQPIFHAILKNDLPAFLELVEESESSLEERNEEERLNNTVLHMAAKLGHRELVSKIIELRPSLVCSRNAYGNTPLHLAAVLGDVNIVVQMLETGLEVCSARNINNHTPLNLACRSDSIEAARLIAEKTQSIGLGELNLAISRGSTRIILERFPDLAREEAWVVEDGSQSTLLHHACDKSDFELTSILLGLDQGLEEALNTKGLSPLHLAVVRGSVVILEEFLDKVPLSFSSITPSKETVFHLAARNKNMDAFVFMAESLGINSQILLQQTDESGNTVLHIAASVACDAPLIRYIVGKNIVDIMYKNKMGFEAFQLLPREAQDFELLLRWLRFGTETLQELDSENNVEHESSQEIEVIRLLRLIGINTSEIAERKRNRKWKEVNARNTIAIVAVLIASVAYAGGINPPGGVYQDGPWRGKSLVGKTTAFKVFAICNNIALFTSLCIVILLVSIIPYKRKPLKKLLVATHRMMWVSVGFMATAYIAASWVTIPHYDGTRWLFPAIIAVAGGALTVLFSYLGVETIGHWFKKMNRVGDIPSFAKTSSDLAASGISGYFTY >fgenesh2_kg.1__109__AT1G01220.1 pep chromosome:v.1.0:1:431965:435824:-1 gene:fgenesh2_kg.1__109__AT1G01220.1 transcript:fgenesh2_kg.1__109__AT1G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQRKKADLATVLRKSWYHLRLSVRHPTRVPTWDAIVLTAASPEQAELYEWQLRRAKRMGRIASSTVTLAVPDPDGKRIGSGAATLNAIYALARHYEKLGFDPGPEVEVANGTCKWVRFLSAKHVLMLHAGGDSKRVPWANPMGKVFLPLPYLAADDPDGPVPLLFDHILAIASCARQAFRDQGGLFIMTGDVLPCFDAFRMTLPEDAASIVTVPITLDIASNHGVIVTSKSESLAESYTVSLVNDLLQKPTVEELVKKDAILHDGRTLLDTGIISAKGRAWLDLVALGCSCQPMILELISSKKEMSLYEDLVAAWVPSRHDWLRARPLGELLVNSLGRQKMYSYCTYDLQFLHFGTSSEVLDHLSGDASGIVGRRHLCSIPATTVSDIAASSVILSSEIAPGVSIGEDSLIYDSTVSGAVQIGSQSIVVGIHIPSEDLGTPERFRFMLPDRHCLWEVPLVGHKGRVIVYCGLHDNPKNSIHKDGTFCGKPLEKVLFDLGIEESDLWSSYVAQDRCLWNAKLFPILTYSEMLKLASWLMGLDDSRNKEKITLWRSSQRVSLEELHGSINFPEMCNGSSNHQADLAAGIAKACMNYGMLGRNFSQLCHEILQKESLGLEICKNFLDQCPKFQEQNSKILSKSRAYQVEVDLLRACGDEPKAIDLEHKVWGAVAEETASAVRYGFREHLLESSGKPHSENNISHPDRVFQPKRTKVELPVRVDFVGGWSDTPPWSLERAGCVLNMAITLEGSLPIGTIIETTNQIGISIQDDTGNELHIEDPISIKTPFEVNDPFRLVKSALLVTGIVQENFVDSTGLAIKTWANVPRGSGLGTSSILAAAVVTGLLQISDGDESNENVARLVLVLEQLMGTGGGWQDQIGGLYPGIKFTSSFPGTPLRLQVVPLLASPQLISELEQRLLVVFTGQVRLAHQVLHKVVTRYLQRDNLLISSIKRLTELAKSGREALMNCEVDELGDIMSEAWRLHQELDPYCSNEFVDKLFAFSQPYSSGFKLVGAGGGGFALILAKDTEKGKELRQRLEEHAEFDVKVYNWSICI >fgenesh2_kg.1__1101__AT1G10350.1 pep chromosome:v.1.0:1:4013160:4014798:-1 gene:fgenesh2_kg.1__1101__AT1G10350.1 transcript:fgenesh2_kg.1__1101__AT1G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNVLKVNRNANEDDLKKSYRRMAMKWHPDKNPTTKKEAEAKFKQISEAYDVLSDPQRRQIYDQYGEEGLKSTDLPTAAETAAHQQQRSYSSSNSEFRYYPRDAEDIFAEFFGESGDTFGGGSSGRTRGDGADGGGRRFKSAEAGSQANRKTPPPANRKAPAIESKLACTLEELYKGAKKKMRISRVVPDDFGKPKTVQEILKIDIKPGWKKGTKITFPEKGNQEPGVTPADLIFVVDEKPHSVFKRDGNDLILEKKVSLIDALTGLTISVTTLDGRNLTIPVLDIVKPGQEIVIPNEGMPTKDPLKRGDLRVNFEILFPSRLTSEQKNDLKRVLGGS >fgenesh2_kg.1__1102__AT1G10360.1 pep chromosome:v.1.0:1:4015474:4016561:-1 gene:fgenesh2_kg.1__1102__AT1G10360.1 transcript:fgenesh2_kg.1__1102__AT1G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase 29 [Source:UniProtKB/TrEMBL;Acc:D7KKP7] MATEDVKLIGSWASVFVMRAKIALHLKSISYEFLQETFGSKSELLLKSNPVHKKMPVLIHADKPVCESNIIVQYIDEAWNSSGPSILPSHPYDRAIARFWAAYIDDQWFISLRSILTAQGEEEKKASIAQVEERTELLEKAFNDCSKGKPFFNGDHIGYLDIALGSFLGWWRVVELDANHKFLDETKTPSLAKWAERFCDDPAVKPIMPEITKLAEFARKLFPKPQA >fgenesh2_kg.1__1108__AT1G10400.1 pep chromosome:v.1.0:1:4037750:4039240:-1 gene:fgenesh2_kg.1__1108__AT1G10400.1 transcript:fgenesh2_kg.1__1108__AT1G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KKQ6] MELEKVHVVLFPYLSKGHMIPMLQLARLLLSHSFAGDISVTVFTTPLNRPFVVDSLSGTNATIVDVPFPDKVPEIPPGVECTDKLPALSSTLFVPFTRATKSMQADFERELMLLPRVSFMVSDGFLWWTLESARKLGFPRIVFLGMNCASTVICDSVFQNQLLSNVKSETEPVSVPEFPWIKVRKCDFVKDMFDSKSTTDPGFKLILDQVTSMNQSQGIIFNTFDDLEPVFIDFYKRNRELKPWTLGPLCCVNNFLEYEVEEMVKPSWMKWLDKKRDKGCNVLYVAFGSQAEISRKQLEEIALGLEESKVSFLWVVKGNEIGKGFEERVGERGMMVRDEWVDQRKILEHESVRGFLSHCGWNSMMESICSEVPILAFPLAAEQPLNAILVVEELRVAERVVAASEGLVRREEIAEKVKELMEGEKGKELRRNVEAYGKMAKKALKDGIGSSWKNLDNLINQFCNNGT >fgenesh2_kg.1__1109__AT1G10410.1 pep chromosome:v.1.0:1:4039618:4042584:-1 gene:fgenesh2_kg.1__1109__AT1G10410.1 transcript:fgenesh2_kg.1__1109__AT1G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTPKSCVGAKLRSSKRRKSRRRRKIQRKRAVSSRLSDGSFDNLDHHPHRNFSNPSSRATGDDAWFESNVAFETDCDDDFHSVHEDTLSINGSERVSLSSTTTTSSTRDTDSNEVMSQSKSDGDLNDTKQPDLVDSSADEGLLENCRILPSNCLPCLNTTTVPSIDKRRSLSSSPPSSRKKMSLKLSYKWREGHASGALFLSKMQLKRPIAGSQVPFCPVDKKMLDCWSTIDPNSFRVRGKTYFREKKKEFAPSHAAYNPFGVDVFLSEYKINHVAQYVKLPVTTSSTKLPSILVVNVQIPLYPTAIFQGDSDGEGMNIVLYFKLSDNYSKELPLHFQESIRRLIDDEVEKVKGFPMDTTAPFRERLKILGRVSNVDDLHLSGPEKKLMQAYNEKPVLSRPQHEFYLGDNYFEVDIDMHRFGYISRKGFETFIDRLKICVLDVGLTIQGNKPEELPEQILCCVRLNGIDFMNYHQLTQELL >fgenesh2_kg.1__110__AT1G01200.1 pep chromosome:v.1.0:1:438129:439861:1 gene:fgenesh2_kg.1__110__AT1G01200.1 transcript:fgenesh2_kg.1__110__AT1G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEMSGESPENNKHVKEPTMPEKIDYVFKVVVIGDSAVGKTQLLSRFTQNEFCYDSKSTIGVEFQTRTVTLQGKLVKAQIWDTAGQERYRAVTSAYYRGALGAMVVYDITKRVSFDHVARWVEELRAHADDSAVIMLVGNKADLAVDKRAVPTEDAVEFAETQRLFFSEVSALSGGNVDEAFFRLLEEIFSRVVVSRKAMESDGGAPVKLDGSRIDVISGSDLEISKIKEQASCSC >fgenesh2_kg.1__1110__AT1G10417.1 pep chromosome:v.1.0:1:4047644:4049166:-1 gene:fgenesh2_kg.1__1110__AT1G10417.1 transcript:fgenesh2_kg.1__1110__AT1G10417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVSTYEKVFIENIRALEEKLEYHETELQSKENIISELSAQLESEKIKNEHQHQVEEISLSELACFTAFSKKLVGDHFSRVCLLDQPYLQKTLQVKDLAVENLISEKEALYSEVKGLEMILQRIQESVSLMTEEDRKVFTSILTFEQGSNEKNKRSRHNDTVDKMEELLCEAPVMHSQENSVKVIPSASPRCQHQNTDCRMIQDDDHQLDSAEYLLHNTVSGHWQSTNNHNHFEIEASELVFLRVSFLQLLI >fgenesh2_kg.1__1111__AT1G10420.1 pep chromosome:v.1.0:1:4049729:4050199:-1 gene:fgenesh2_kg.1__1111__AT1G10420.1 transcript:fgenesh2_kg.1__1111__AT1G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKLSKFKLQLQSMIGEVRDLRVRRMRFLYIYGLCIFFAPPVSLFVSFHFTTGVFAGKGAISSSINKQKQTEEEYMRKVHELQAELASSRETQEALERKVWKILILLRIYYTWSL >fgenesh2_kg.1__1113__AT1G10450.1 pep chromosome:v.1.0:1:4062811:4069085:1 gene:fgenesh2_kg.1__1113__AT1G10450.1 transcript:fgenesh2_kg.1__1113__AT1G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paired amphipathic helix repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KKR2] MKRVREDVHTEPNKRRPEVSSRGETNKQPRTIDALTYLKAVKDIFQDNKEKYESFLELMKEFKAHTIDTDGVIERIKVLFKGYKDLLLGFNTFLPKGYRITLLPEEEKPKVRVDFKDAIGFVTKIKTRFGDDEHAYKRFLDILNMYRKERKSISEVYEEVTMLFKGHEDLLMEFVNFLPNCPESAPSANNAVPRHKGTAITAMHSDKKRKQRRKLEDYSGHSDQREDGDENLVACSADSPVGEGQPGYFRDYENREDTETDTADRTEKSAASGSQDIGNHKSTTKYVGTPINELDLSECTQCTPSYRLLPKDYAVEIPSYRNTLGKKTLNDHLVSVTSGSEDYSFSHMRKNQYEESLFRCEDDRYEMDMLLGSVSSAIKHVEILLEKMNNNTISVDSTICIEKHLSAINLRCIERLYGDNGLDVMDLLKKNMHSALPVILTRLKQKQEEWARCHSDFQKVWAEVYAKNHHKSLDHRSFYFKQQDSKNLSTKCLVAEVKDISEKKHQEDLLQAISVRVMPSFTPDLEFNYCDIQIHEDLYLLIKYYCEEICATEQSDKVMKLWITFLEPMFGILSRSQGNHALEDVSKLKNNQELQDACVAVKDTASGSNLKHPISPKLLNKDNPTMQGSSPRKDVSGNIMKTAQPDKLQDDAAMTNEVIQSSKLVSPRNDQIMEDEGNHMVNEASVEKHEVEREEGELSPTPSREQDNFEVNGQNGFKPLQKVTDNVRSNKDQQSCDIKGAKNDTRGEDDKQENCHKLSEDNETASEMLVSGTKFSCHEEHNRVTNCNGRGSFAGEMASGNEGEDGSFAFSERFLQTVKPVAKHLSWPLQASETCSQNDSQVFYGNDSYYVLFRLHQMLYERIQTAKKHSEKKWKAPDNTTPDSYPRFMDALYSLLDGSIDNTKFEDECRAIFGAQSYVLFTLDKLVQKFVKHLHAVASDETDTKLLQLHAYENYRKPGKFFDLVYHENACALLHEANIYRIRYSSAETRLSIQLMNSGNNQPEVMGVAMEPGFADYLQNKFLKSVNDEENHGLFLRRNKKKFTSLDESWGMPVAMEGLHIINEMECNIACSSSKVKYVANTSDVLYRSKQGKPNLRVGEILKQRRISRFHIMLNCRLCALPPL >fgenesh2_kg.1__1115__AT1G10480.1 pep chromosome:v.1.0:1:4086472:4087957:1 gene:fgenesh2_kg.1__1115__AT1G10480.1 transcript:fgenesh2_kg.1__1115__AT1G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 5, ZFP5 [Source:UniProtKB/TrEMBL;Acc:D7KKR8] MSRTGESSSGSSSDKTIKLFGFDLISGNRSPEITTAESVSSSTNTTSLTVIKRLDCQYCGKEFANSQALGGHQNAHKKERLKKKRLQLQARRASIGYYLTSHQQPITTSFQRQYNTPSYCAFSSMHVNNNQMGVYNEEWSSRSSQISFGNKDTCQDLNEQSGEMGKLYGVRPNMIQFQRDLTSRSDQMRSIKSLDLHLGFAGDSA >fgenesh2_kg.1__1117__AT1G10500.1 pep chromosome:v.1.0:1:4098537:4099882:-1 gene:fgenesh2_kg.1__1117__AT1G10500.1 transcript:fgenesh2_kg.1__1117__AT1G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGITTTSNPTFLGLRISNTSLPSVVPCNSISFPSLSYVNLNLNLNRRNRLFVRSTSVPAAPAMEGLKPAISLSENALKHLSKMRSERGEDLCLRIGVKQGGCSGMSYTMDFENRANARADDSTIEYEGFTIVCDPKSMLFLFGMQLDYSDALIGGGFSFSNPNATQTCGCGKSFAAEM >fgenesh2_kg.1__1118__AT1G10510.1 pep chromosome:v.1.0:1:4100034:4104118:1 gene:fgenesh2_kg.1__1118__AT1G10510.1 transcript:fgenesh2_kg.1__1118__AT1G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2004 [Source:UniProtKB/TrEMBL;Acc:D7KKS1] MASSSTSSLNLHSLPKASSGLSQWRSGFRYELLGSSVSRNRLLVSPVVILHRSPRLPAIKAAYNSDGGSKRSRVYKESQAASGFPNAKLQQIASSLLPLGSFAVVTFVLWKVVEKFMSPKSPKTAAGENKSSTQGVKWSIGAGTNLLQGFAAKVDREAKQRLNEFAKELRSFRSVDMSGCNFGDEGLFFLAESLGYNQTVEEVSFSANGITAAGVKAFDGVLQSNIMLKVLNLSGNPIGDEGAKTLCATLMENSSIEILQLNSTDIGDEGAKEIAELLKRNSTLRIIELNNNMIDYSGFTSLAGALLENNTIRNLHLNGNYGGALGANALAKGLEGNKSLRELHLHGNSIGDEGTRALMAGLSSHKGKLALLDLGNNSISAKGAFYVAEYIKRSKSLVWLNLYMNDIGDEGAEKIADALKQNRSIATIDLGGNNIHAEGVNAIAQALKDNAIITTLEVGYNPIGPDGAKALSEILKFHGNVKTLKLGWCQIAAKGAEHVADMLRYNNTISVLDLRANGLRDEGASCLARSLKVVNEALTSVDLGFNEIRDDGAFAIAQALKANEDVTVTSINLGNNFITKFGQSALTDARDHVLEMTEKEVEIFF >fgenesh2_kg.1__1120__AT1G10522.1 pep chromosome:v.1.0:1:4108128:4110168:1 gene:fgenesh2_kg.1__1120__AT1G10522.1 transcript:fgenesh2_kg.1__1120__AT1G10522.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KKS3] MASSAMHEALFSSRLLQANSSSSFCCALPIISSPAAVSCAIKSTQLFKQRCRTKLRDFSLSSLSRRGFVCRAAEYKFPDPIPEFAEAETEKFRDHMSKKLSKRDLFEDSVDEIVGICTEIFGTFLRSEYGGPGTLLVIPFIDMADTLKERELPGGPQAARAAIKWAQDHVDKDWKEWTGT >fgenesh2_kg.1__1122__AT1G10530.1 pep chromosome:v.1.0:1:4110175:4111382:-1 gene:fgenesh2_kg.1__1122__AT1G10530.1 transcript:fgenesh2_kg.1__1122__AT1G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVNAAVLVLQHPGGKIDRYYGSVSVSEVMAMYPGHYVSLIIPLTEEEERNIPATVKGDDKKQRKAVRFTRVQLLRPTENLVLGHAYRLITSQEVMKVLREKKSATTKKHQIETTTTLNKLSEKKVPEKKQGKQFRVITNSTSLLKSKTWRPSLQSISEATS >fgenesh2_kg.1__1124__AT1G10550.1 pep chromosome:v.1.0:1:4119269:4121302:-1 gene:fgenesh2_kg.1__1124__AT1G10550.1 transcript:fgenesh2_kg.1__1124__AT1G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7KKS7] MKIMWETAVVFCLCSLSLVSSHSRKFTTPNVTRLTDQFTKITIENGFVRRFGAHNIQVNGSLAKLTLDKSSGAGLVSKNKYHYGFFSARLKLPAGFASGVVVAFYLSNAESYPKNHDEIDIELLGRSRRDDWTIQTNVYANGSTRTGREEKFYFWFDPTQAFHDYTLIWNSHHTVFLVDNIPVRQFPNRGAFTSAYPSKPMSLYVTVWDGSEWATKGGKYPVNYKYAPFVASVADVELSGCSVYNGSSIGSGPCTKSGGSISSLDPVDGQDFATLSKNQINAMDWARRKLMFYSYCSDKSRYKVMPAECN >fgenesh2_kg.1__1125__AT1G10560.1 pep chromosome:v.1.0:1:4125472:4127871:1 gene:fgenesh2_kg.1__1125__AT1G10560.1 transcript:fgenesh2_kg.1__1125__AT1G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7KKS9] MIHTKTGSGRRILTFPAVQPCESISIVTLLDSLIQLAGDILTFKSKHFSTNKQSFRDTLRRIRNLVLVFEEIRIRVGNFRRYFHNSAAVSSLKEIHVSFQKLKFLFEDCTRDGARLCMMMNSDQVSDHLRVLTRSISTSLSAFPVASVDLPSEVNELIDLVVWQTRKYGVQLETDDKRAMSSVNRILALFANKVVPDPDEINRILDHVGIRKWGDCVKEINFIGEEIAAERLDEKKKKSNVQVELLSSLMGFICYCRCVILRRIERDDDDHHNNDGIMKDQDLIRGLKVEDLLCPISLEIMADPVVIETGHTYDRSSITKWFGSGNITCPKTGKILASTELVDNVSVRQVIRKHCKTNGITLAGISRRRRTQDDVAPESLASKGAGKLIAKFLTSELINGSEEMIYRAVREIRVQTKTSSFNRSCLVKAGAVTPLLKLLSSGDSRIQENAMAGILNLSKHVTGKSKIAGEGLKIIVEILNEGAKTETRLYSASSLFYLSSVEDYSRSIGENPDAISGLMNIVKGEDYGDSAKRNGLLAVMGLLMQSDNHWRVLAAGAVPILLDLLRSEEISGELTADCLATLAKLAEYPDGTIGVIRRGGLKLAVKILSSSEDSPAAVKQHCVALILNLCLNGGRDVVGVLVKNTLVMGSLYTVLSNGECGGSKKASALIRMIHEFQERKTGSVEPNLQRGRFVHAW >fgenesh2_kg.1__1127__AT1G10570.1 pep chromosome:v.1.0:1:4128587:4132236:1 gene:fgenesh2_kg.1__1127__AT1G10570.1 transcript:fgenesh2_kg.1__1127__AT1G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ulp1 protease family protein [Source:UniProtKB/TrEMBL;Acc:D7KKT0] MKRQRVIELDRVEKTTKFNIDWDDALGEEEVPELEIIATDKIPQREPTLSGDEPAVCVRSLRDNELDDHLKRQRSLLTRLGDKLPDKGEKIRNRIGDLEYEKQRRMFQQRTKMQDADNGCQILEKPKSSDVFMQASTASKDTSGQGNTGSKDVSRSTFAAHFSDNHKAGAQSVKLFNDKLQDLGRGSWKSKANSDSIIEKSNGWRSLPRLSKCKVSEKNFYSEAKDPKGDRKPNEAYGKGKPKESSPYLLVDDDDDDNPVISYETPREWSSKASPSQSSSCRKKSDDKVINLDEDEPQSPMVVDEACELPEGLPEDIYYPSSDQSDGRDLVQVSLKDLKCLSPGEYLTSPVINFYIRFLQHHVFSADETAANCHFFNTFFYKKLIEAVSYKGNDKDAYFVKFRRWWKGFDLFCKSYIFIPIHEDLHWSLVIICIPDKEDESGLTIIHLDSLGLHPRSSIFNNVKRFLREEWNYLNQDAPLDLPISAKVWRDLPNMINEAEVPQQKNDFDCGLFVLFFIRRFIEEAPQRLTLQDLKLIHKKWFKPEEASALRIKIWNILVDLFRKGNQAV >fgenesh2_kg.1__1131__AT1G10586.1 pep chromosome:v.1.0:1:4138220:4139528:-1 gene:fgenesh2_kg.1__1131__AT1G10586.1 transcript:fgenesh2_kg.1__1131__AT1G10586.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T10O24.23 [Source:UniProtKB/TrEMBL;Acc:D7KLF8] MERGREIGEGSASSLREQRNLREKERRMRMKHLFSALSSHVSPTRRLPVPQLIDQAVSYMIQLKEKVNYLNEKKMSLLRGEVKNRSAGSSLLPKLSIRSRDSVIEMNLVMDLNMKRIMLHKLVSVFEEEGAQVMSVNLQNLNDRTFYTIIAQAIICRIGIDPSRIEKRLRDIIS >fgenesh2_kg.1__1133__AT1G10588.1 pep chromosome:v.1.0:1:4145356:4146026:1 gene:fgenesh2_kg.1__1133__AT1G10588.1 transcript:fgenesh2_kg.1__1133__AT1G10588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLVAVQFFIISLLLTSSYFVLSSADSSACGGKCNVRCSKADRTHEECLEDCNICCQQCNCVPSGTYGNKDECPCYRDMKNSKGGSKCP >fgenesh2_kg.1__1138__AT1G10610.1 pep chromosome:v.1.0:1:4150324:4153023:1 gene:fgenesh2_kg.1__1138__AT1G10610.1 transcript:fgenesh2_kg.1__1138__AT1G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7KLG2] MMMMRGGERVKEFLRPFVDSRTWDLCVIWKHGDDPSRFIEWVGCCCSGCYIDKNIKLENSEEETERRKKASFCRDEHNKHRIRTLACEALSHFPLFMPLYPGIHGEVVMSKSPKWLVNSGSKMDMFSTRVLVPVSDGLVELFSFDMKPFDESMVHLIMSRCTTFFEPLPEQRLPFRIIPRAEESMSSGVNLSFEGGGSSSVSNPSSETQNLFGSYSNARCVEILREEQAPCMVMNKEKDGLVQNANDSKANKRLPAENFKSKNLHSERKRRDRINQAMYGLRAVVPKITKLNKIGIFSDAVDYINELLAEKQKLEDELKGIDEMECKEIAAEEQSAIADPGAEKVSSKINKKVKKNEVNLEVHEIGERDFLIRVVQEHKQDGFKRLIEAVDLCELEIIDVNFTRLDLTVLTILNVKANKDGITSGILRDLLLKMIITSI >fgenesh2_kg.1__1140__AT1G10640.1 pep chromosome:v.1.0:1:4161240:4162900:-1 gene:fgenesh2_kg.1__1140__AT1G10640.1 transcript:fgenesh2_kg.1__1140__AT1G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVPPEYTYLVGPISFSGPYCQANIVFQLDGTIIAPTDSKTWGKGLMWWIDFTKLKGIKVQGKGVIDGRGSGWWQQDDPFSDGETKLIIPLNNSVHQNPPMPIRSELDGRMPSTKPTALRFSGSLGVEVTGITIQNSPQCHLKFDDCLGVVVHDIAVSSPGDSPNTDGIHLQNTRDVLIHSTTLACGDDCISIQTGCSNVYVHNVNCGPGHGISIGSLGKEGTKACVSNITVRDVAMHNTMTGVRIKTWQGGVGSVKGIIFSNIQLNEVQIPIMIDQFYCDHSKCKNQTSAVAVEGVTYERIKGTYTVKPVHFACSDNFPCVDVQLSSIELKPVQEKYRMSDAYCWQTFGELNTPTLPPIDCLQIGKPPRNKVQSDHDVC >fgenesh2_kg.1__1141__AT1G10650.2 pep chromosome:v.1.0:1:4174090:4176393:-1 gene:fgenesh2_kg.1__1141__AT1G10650.2 transcript:fgenesh2_kg.1__1141__AT1G10650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPNKRGREAESISNNIQRQQKLQMSLNYNHNNISVREEVPKENLVSTGLRLSYDDDERNSSVTSASGSIVAASPIFQSLDDSLRIDLHRQKDELHQFIKIQAAQMAKGVRDMKQRHIASFLTTLEKGVSKKLQEKDHEINDMNKKNKELVERIKQVATEAQNWHYRAKYNESVVNVLKANLQQAMSHNNNVIGAADQGKEGFGDSEIDDAASSYIDPNNNKMGIHQRMRCKMCNGKEVSVLLVPCRHLSLCKECDVFTKICPVCKSLKSSSVQVFFS >fgenesh2_kg.1__1143__AT1G10657.2 pep chromosome:v.1.0:1:4180890:4182631:1 gene:fgenesh2_kg.1__1143__AT1G10657.2 transcript:fgenesh2_kg.1__1143__AT1G10657.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTHHDESQHNNLPCLHCQPHSYIRMVQHMIERCILLRMTRDECVKALDHHASILPLVTLTVWRGLQRENKDFFETYGHFVSPRPFLSGYVRRSPRLAKRM >fgenesh2_kg.1__1149__AT1G10670.1 pep chromosome:v.1.0:1:4186391:4189403:1 gene:fgenesh2_kg.1__1149__AT1G10670.1 transcript:fgenesh2_kg.1__1149__AT1G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate lyase A-1 [Source:UniProtKB/TrEMBL;Acc:D7KLH0] MARKKIREYDSKRLVKEHFKRLSGKELPIRSVQINETTDLNEVVEKEPWLSSEKLVVKPDMLFGKRGKSGLVALKLDFADVATFVKDRLGKEVEMSGCKGPITTFIVEPFVPHNEEFYLNVVSDRLGCSISFSECGGIEIEENWDKVKTIFLPTGASLTPEICAPLVATLPLEIKAQIEEFIKVIFTLFQDLDFTFLEMNPFTLVDGSPYPLDMRGELDDTAAFKNFKKWGDIEFPMPFGRVMSATESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPKEDEVLQYARVVIDCATANPDGKSRALVIGGGIANFTDVAATFNGIIRALKEKEAKLKAARMHIFVRRGGPNYQKGLAKMRTLGDDIGVPIEVYGPEATMTGICKEAIQYITAAA >fgenesh2_kg.1__1156__AT1G10720.1 pep chromosome:v.1.0:1:4214993:4216685:1 gene:fgenesh2_kg.1__1156__AT1G10720.1 transcript:fgenesh2_kg.1__1156__AT1G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNFLESLYDGIGDDDAAADDDEDNNSEKTPRSSTERHDYERNAVRLSPEEEAQARGVKDDLTELGHTLTRQFRGVANFLAPLPDGSSSFNQSRSSDFESNQSRSSDLRVYQSRSSDRDESCVGSDTPETGIRFRSWDLEEKEEEEEEETDEEEEEEEEIAAVALTDEVLAFARNIAMHPETWLDFPLDPDEDLDDLEMTDAQRGHALAIERLAPRLAALRIELCPCHMSVGYFWKVYFVLLLSRLNKHDAHLLSSPQVMEARALWMKELQNQTNSSKEGRDKILEEDITPSTSNYYNHAPPEFLSPRIYAFEPPSIMYRDFEHGSENAQFIDKAVIEEKPIQKNDKNSASLSQASKDVDDDDDDWPDEEDSANSWAPMFTVNEDDVSFSDLEGDDDISSLALKSKITSKGTDQKGA >fgenesh2_kg.1__115__AT1G01140.3 pep chromosome:v.1.0:1:464358:467635:1 gene:fgenesh2_kg.1__115__AT1G01140.3 transcript:fgenesh2_kg.1__115__AT1G01140.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7KQA9] MSGSRRKATTPASRTRVGNYEMGRTLGEGSFAKVKYARNTVTGDQAAIKILDREKVFRHKMVEQLKREISTMKLIKHPNVVEIIEVMASKTKIYIVLELVNGGELFDKIAQQGRLKEDEARRYFQQLINAVDYCHSRGVYHRDLKPENLILDANGVLKVSDFGLSAFSRQVREDGLLHTACGTPNYVAPEVLSDKGYDGAAADVWSCGVILFVLMAGYLPFDEPNLMTLYKRICKAEFSCPPWFSLGAKRVIKRILEPNPITRISIAELLEDEWFKKGYKPPSFDQDDEDITIDDVDAAFSNSKECLVTEKKEKPVSMNAFELISSSSEFSLENLFEKQAQLVKKETRFTSQRSASEIMSKMEETAKPLGFNVRKDNYKIKMKGDKSGRKGQLSVATEVFEVAPSLHVVELRKTGGDTLEFHKVFDPFYKTFSSGLKDVVWNTEAAAEEQKQ >fgenesh2_kg.1__1160__AT1G10740.1 pep chromosome:v.1.0:1:4247093:4249698:-1 gene:fgenesh2_kg.1__1160__AT1G10740.1 transcript:fgenesh2_kg.1__1160__AT1G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLWMLTSLQLAELFVSSLVHLLYGFYIFSSAVAGDISQTLNDYLFKSNVDFNDLGETGQNQSIVEGLPPIVLVHGIFGFGKGRLGGLSYFGGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGVVDFGEEHSEACGHSRFGRRYEQGQYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADTAFEGFEETSEDWVLSVTSLSGAFNGTTRTYLDGMRTDDGVSMKPICLLQLCRIGVIMYDWLDISWLKTYYNFGFDHFNISWKKSGVRGLVDCLMGNAGPFASGDWILPDLTIQGSTSINSNLQTFPNTYYFSYATKRTRRVMGMTIPSGVLGIHPMLFLRVFQMSQWKFPQDVSPPYKGYRDEDWQENDGALNTISMTHPRLPVEHPSRFIRSDSECQTLQPGIWYHKIVEADHIMFIVNRERAGIQFDLIYDSIFQRCRKHVFRKIPQSLPNQSPSPRSSPRKNNFVH >fgenesh2_kg.1__1166__AT1G10770.1 pep chromosome:v.1.0:1:4277252:4278036:-1 gene:fgenesh2_kg.1__1166__AT1G10770.1 transcript:fgenesh2_kg.1__1166__AT1G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDTFQLRFAAVAASAAVLIFLMAGQVAESRMINLCSHTAYPSLCRPLVKRVTSPRKATHRTIQALEAKTKLALAEAARFKNGNQAVNTCYETLSDAVYNLASARKSIRKRNVPAMNTYLTAAVSDYGACVDGFIETRQVNAIQNAVVDLRKISSNCLTLSTLIR >fgenesh2_kg.1__1167__AT1G10780.1 pep chromosome:v.1.0:1:4278448:4280729:-1 gene:fgenesh2_kg.1__1167__AT1G10780.1 transcript:fgenesh2_kg.1__1167__AT1G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KLJ3] MDSLPDAILQYILSNLTAARDVAACNCVSKRWKESTDSVTSIVFPRNSFESIMETDHSDTIIRKMISSSRRLEKLVVYSPFTSKGLASWMMHVSSSLRLLELRMDNLASEEVIVEGPLKLDCIGVAKNLETLRLWGVLMMSPPKWDMFPNLRCLEIVGARTDDSALCHALRACPNLSNLLLLACEGVKSISIDLPYLEHCKLDFYGPGNSLLALTSPRLVSLDVQGCSWIRVPETRFLKNLSISSVSTGRVYMVDFNNLSSLEALSIRGVQWCWDAICMILQQARDVKHLFMKVEFTGNEALQPFPEIDFVEFFNNHPKLQTFDIHGAMFAALCQKNSLKKLETGFAIPYLEEVVITVRSPLNAEQKMNTLESLLKYARGLKRMVIKILQMKSNHSSADDFCDDICKFRHMNEHLVQIE >fgenesh2_kg.1__1173__AT1G10830.1 pep chromosome:v.1.0:1:4291602:4293556:-1 gene:fgenesh2_kg.1__1173__AT1G10830.1 transcript:fgenesh2_kg.1__1173__AT1G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYHLLLSSPPSLLLLPPSPRRPNITLIRRIPAHPRLGSSTNLLSSSSPIIRKILVHSTLREDQPIASDAESPTLLIGEDSAAFELGKQKLVSWVYFGVVLGVVLFILNVVWIDNSTGFGKSFIDTVSNISGSPEVAMLMLILIFAIVHSGLASLRDIGEKLIGERAFRVLFAGISLPLAMSTIVYFINHRYDGSQLWQLQGVPGIHEAIWVANFVSFFFLYPSTFNLLEVAAVDKPKMHLWETGIMRITRHPQMVGQIVWCLAHTLWIGNTVAASASLGLIAHHLFGAWNGDRRLAKRYGEAFESIKKRTSVIPFAAIFEGRQVLPEDYYKEFVRLPYLAITALTVGAYFAHPLMQGASFRLHW >fgenesh2_kg.1__1177__AT1G10850.1 pep chromosome:v.1.0:1:4301396:4304216:1 gene:fgenesh2_kg.1__1177__AT1G10850.1 transcript:fgenesh2_kg.1__1177__AT1G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KLK1] FVVFAFTVFFCLVSPARSSDVEALLSLKSSIDPSNSISWRGTDLCNWQGVRECMNGRVSKLVLEFLNLTGSLDQRSLNQLDQLRVLSFKANSLSGSIPNLSGLVNLKSVFLNDNNFSGEFPESLTSLHRLKTIFLSGNRLSGRIPSSLLRLSRLYTLNVQDNFFTGSIPPLNQTSLRYFNVSNNQLSGQIPPTRALKQFDESSFTGNVALCGDQIHSPCGISPAPSAKPTPIPKSKKSKAKLIGIIAGSVAGGVLILILLLTLLIVCWRRKRRSQASREDRKGKGIVEAEGATTAETERDIERKDRGFSWERGEEGAVGTLVFLGTSDSGETVVRYTMEDLLKASAETLGRGTLGSTYKAVMESGFIVTVKRLKNARYPRMEEFKRHVEILGQLKHPNLVPLRAYFQAKEERLLVYDYFPNGSLFTLIHGTRASGSGKPLHWTSCLKIAEDLASALLYIHQNPGLTHGNLKSSNVLLGPDFESCLTDYGLSTLHDPDSAEETSAVSLFYKAPECRDPRKASTQPADVYSFGVLLLELLTGRTPFQDLVQEYGSDISRWVRAVREEETESGEEPTSSGNEASEEKLQALLSIATVCVTIQPENRPVMREVLKMVRDARAEAPFSSNSSEHSPGRWSDTVQSLPRDDQVSI >fgenesh2_kg.1__1179__AT1G10865.1 pep chromosome:v.1.0:1:4304179:4305797:-1 gene:fgenesh2_kg.1__1179__AT1G10865.1 transcript:fgenesh2_kg.1__1179__AT1G10865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCKGLAEELVKCLSESTCVKGEKRSIRDCAGEKSPCIPSECIGLRETYFNCKRGQVDMRARIRGNKGY >fgenesh2_kg.1__1183__AT1G10900.1 pep chromosome:v.1.0:1:4333335:4337333:-1 gene:fgenesh2_kg.1__1183__AT1G10900.1 transcript:fgenesh2_kg.1__1183__AT1G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KLK6] MDMRSGDREFPNGDFYSGEVKGLLPNGKGKYAWSDGTIYEGDWDQGKISGKGKLIWSSGAKYEGDFSGGYLHGFGTMTSPDESVYSGAWRMNVRHGLGRKEYSNSDLYDGLWKEGLQDGRGSYSWTNGNRYIGNWKKGKMCERGVMRWANGDLYDGFWLNGFRHGSGVYKFADGCLYYGTWSRGLKDGKGVFYPAGSKQPSLKKWSRSLEYDDTGKFVLSRSASVNVEELRSLNTVTQSLSVKTSADRLPDEIWRTCDPPRDFTCHGPLSKSARFFGSGQSEGQDRNRIVYEREYMQGVLIRETIMSSVDRSHKIKPPHRPKEVRARSLLTFLRGEHNYYLMLNLQLGIRYTVGKITPVPRREVRASDFGKNARTKMFFPRDGSNFTPPHKSVDFSWKDYCPMVFRNLRQMFKLDAAEYMMSICGDDGLTEISSPGKSGSIFYLSHDDRFVIKTLKKSELQVLLRMLPKYYEHVGDHENTLITKFFGVHRITLKWGKKVRFVVMGNMFCTELKIHRRYDLKGSSQGRFTEKIKIQEKTTLKDLDLAYEFHMDKLLREALFKQIYLDCSFLESLNIIDYSLLLGLHFRAPGQLHDILEPPNAMSDQESVSSVDVGLAQELSIPPKGLLLVTHEPNSVNTAPGPHIRGSTLRAFSVGEQEVDLILPGTARLRVQLGVNMPAQAHHKLIEDKEESATIELFEVYDVVVYMGIIDILQEYNTKKKVEHTCKSLQYDPMTISVTEPSIYSKRFVNFLHKVFPEER >fgenesh2_kg.1__1185__AT1G10930.1 pep chromosome:v.1.0:1:4348808:4356572:-1 gene:fgenesh2_kg.1__1185__AT1G10930.1 transcript:fgenesh2_kg.1__1185__AT1G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase [Source:UniProtKB/TrEMBL;Acc:D7KLK9] MSWSHLPEVQKPRGPQTNWSEHAKALESSSSVTKFLSSNVLYALESQKPRDMSARSIAFPSVNVHTLAHPQISKAWRALSSLSINNAYLRPGVTPPIDDGGTNGSYSAREGSTVKVISSTGGSVYSSSRQNQNAMNVSGTGRSFHSFPSSVLGADKMIAEKFPLGNDEVRESEPSFTHLNGVEKSFRNSAFPPEQFESGKACLGDMDDDDILKNIDVDQIVMEHYHSTSTPQPSVSNFSLRTPPAPPVDRSASRLEEECYLPPELCSNCSHGIKLGLCPEASTHVEQMKDVLLAISNELLDDAADLSPYRVEQLRQERLQLKKQIQQLENHIRDKERQKSQFSSSTATRTFQYETPKSTNYKMDQPQTDFRAHLSDQGRYAGDSWNTPRDSSFSVDRYGLSTAPVEREPYVPKIIDVTYTEGSNDKKWSSREFPWTRKLEVNNKKVFGNHSFRPNQREIINATMSGSDVFVLMPTGGGKSLTYQLPALICGGITLVISPLVSLIQDQIMNLLQANIPAASLSAGMEWAEQLKIFQELNSEHSKYKLLYVTPEKVAKSDSLLRHLENLNSRGLLARFVIDEAHCVSQWGHDFRPDYQSLGILKQKFPNIPVLALTATATASVKEDVVQALGLVNCVVFRQSFNRPNLWYSVVPKTKKCLEDIDKFIKENHFDECGIIYCLSRMDCEKVSERLQEFGHKTAFYHGSMEPEQRAFIQTQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCVLYYGYGDYIRVKHMISQGGVDQSPMATGYNRVASLGRILETNTENLLRMVSYCENEVECRRFLQLVHFGEKFDSTNCKKTCDNCCSSQSLIDKDVTLITRQLVELVKQTGERFSSAHILEVYRGSLNQMVKKHRHETLQLHGVGKHLSKIEVSRILHYLVTEDILVEDVRKSDMYGSVSSLLKVNNAKATLLFSGSQTIMMKFPSSVKVLKPSKQGATAAKGPLTSEKQSTLPLTTEDAPPKDLNLSANMYTALRKLRTALVKEAPDGVMAYHIFINSTLQQISRRIPRTKEELLEINGLGKAKVSKYGDRLLETIETTVNEYYGTNKKDSIISNDSPDSGKRRRDENISPNVAEDDDFEVSPSQSCKKTVRNKSNEVLHGECVDGDRRGMVMEKLDFDFRDEDVSEIRPEGRVLPW >fgenesh2_kg.1__1187__AT1G10950.1 pep chromosome:v.1.0:1:4361515:4366421:1 gene:fgenesh2_kg.1__1187__AT1G10950.1 transcript:fgenesh2_kg.1__1187__AT1G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:D7KLL1] MPSSSSAVVVFLLLVSLLTPTFASDSDHKYQADEQVTLWVNKVGPYNNPQETYNYYSLPFCRPSGNNVHKWGGLGEVLGGNELIDSEIAIKFLKNVDRNVICPLELDEAKVKHFKDAIESSYWFEFFMDDLPLWGFVGELHPDKNSENGKHVLYTHKNIVVKYNKDQIIHVNLTQDNPRPLEAGKKMDLTYSVQWIPTNVTFARRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPASSLVLLSAVVGTGAQLALLVLLVILMAIVGTLYVGRGAIVTTFIVCYALTSFVSGYVSGGMYSRSGGKHWIKCMVLTASLFPFLCFGIGFLLNTIAIFYGSLAAIPFGTMVVVFVIWGFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILVIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYYVKTKMSGFFQTSFYFGYTMMFCLGLGILCGAVGYLGSNLFVRRIYRNIKCD >fgenesh2_kg.1__1188__AT1G10960.1 pep chromosome:v.1.0:1:4366898:4367551:1 gene:fgenesh2_kg.1__1188__AT1G10960.1 transcript:fgenesh2_kg.1__1188__AT1G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:D7KLL2] MASTALSSAIVSTSFLRRQQTPISLRSLPLANTQSIFGLKSSTARGGRITAMATYKVKFITPEGEQEVECEEDVFVLDAAEEAGIDLPYSCRAGSCSSCAGKVVSGSIDQSDQSFLDDEQISEGYVLTCVAYPTSDVVIETHKEEAIM >fgenesh2_kg.1__1190__AT1G10980.1 pep chromosome:v.1.0:1:4370070:4372550:-1 gene:fgenesh2_kg.1__1190__AT1G10980.1 transcript:fgenesh2_kg.1__1190__AT1G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLWAILAFLLIHAADGSIHEYNNQDFTKIANARYFFGGSEGIYGSEFLDVHPSSDTPLLKGNSFIRFDDITFVRTKESASKQNSTQVTAGLVEAILFEVKQKDRVGGSFFKSEDMCCTPKLADAGSCKLGEVMISADPNDPEWPKRIPTFFKRSEEEVKMSPEAVIIKKTGWYTVYFMTCDPELDGTTIRGRTVWKNRVLRLHAFGLCGSWPTLVSTSCSVLEGWNPVARSYQLVIVFTMGELAFLYFDFAYLDSAGTSPMEVTVWAITLSSMRKALSRLLLLVISSGYGIVRPTLGGITLRMLLIGVLCFVISESLGLAMQFGKFSENGMTFLMLSWAILETCFIQLIFRSLWKTLKKLKLNKRNIAKLQLYKKFATMLVTMMVLNFAWFYVQVYVYNSLSEFWQVKWMIPTLWYSLSYALLVLICLFWPPSEKPMRYLYVADMVEEAEEDDLSVAETGMNVTKAEDGDVERYERKTLLEAFIILLGNLPGER >fgenesh2_kg.1__1191__AT1G11020.1 pep chromosome:v.1.0:1:4377662:4379437:1 gene:fgenesh2_kg.1__1191__AT1G11020.1 transcript:fgenesh2_kg.1__1191__AT1G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KLL6] MMQGEVQLQPPDSQKLSDSAPLLGDHTNSSFSSSSASPSASVVAGNSDEIKAEDLENDASSAPCCRICLEDDSELLGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVEPFEDNNSWRRKAKFRLFVARDVLLVFLAVQTVIAVMAGLAYMMDKDGEFRNSFNDDWDRILSKHPIPFYYCIGVVSFFVLTGFLGIILHCSALNGNDPRMAGCQNCCYGWGVLDCFPASMEACFALVVVFVVIFAILGLAYGFLAATMAIQRIWQRHYHILTKRELTKEYIVEDLHGNYTPPKLDAEHEGRLKMLKLL >fgenesh2_kg.1__1193__AT1G11050.1 pep chromosome:v.1.0:1:4384204:4386499:1 gene:fgenesh2_kg.1__1193__AT1G11050.1 transcript:fgenesh2_kg.1__1193__AT1G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KLL8] MPKPILFLLLSLLFSLYLTACVAQSPSPTCPLDFSHVLTIPWNTTDCRSYDKSADSKNSCCQSVLTLIGIPLAHRLKQTSNFRLPNLATSISCLNNLQTKLNSLSLSSNLTSLCFDPNQFVINNETCAGIQTTQDWVSRLGPSTALDSACSSGLTDLTRCDACVAAGFRVQKQLITLDGNSSHGVYCYHFAVLYAAGIVNKKGPESDDALSCLFSLSLRSPLSSKKKRHTVALVLGITGAVFGALVIAGLICLYFRFGKAVKGGEVGWEDQESRPKWRPNTGSIWFKIEELEKATNNFSQKNFIGRGGFGFVYKGVLPDGSVIAVKKVIESEFQGDAEFRNEVEIISNLKHRNLVPLRGCSMVDDDSESQRYLVYDYMSNGNLDDHLFPRGETSKMPLSWPQRKSIILDVAKGLAYLHYGVKPAIYHRDIKGTNILLDVDMRARVADFGLAKQSREGESHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVILEIMCGRKALDLSTSGSPNTFLITDWAWSLVKAGKTEEALDQSLLREEGSGMSNPKGIMERFLQVGILCAHVLVALRPTISDALKMLEGDIEVPPIPDRPVPLAHPSYRMDGNGFTISPTLSGLQIHSGDMLR >fgenesh2_kg.1__1195__AT1G11070.1 pep chromosome:v.1.0:1:4395395:4398188:-1 gene:fgenesh2_kg.1__1195__AT1G11070.1 transcript:fgenesh2_kg.1__1195__AT1G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRTMKDLHKLCPEIIDSSQILNMRRAEVDKVLAALDGMIKVMNERLNTTEISNVEMKKKVSPRSTKTSSGRSESLAKQPITPRKVLSPPRKVGDFAISVSNLPRNVRMQALVKLSPIDVKRLAIQNFSQKEAQSNNGDGNDESVKVKQSETERIEKMDKAREAILEEQDSVKNQIDDKNFSKVSVKSEYFPKSFTPPPPPPGNAAILLQPPLTISAGKGLAAPLPPPPRSAALPPPPPLPMARLQHYLHHRNFQWLPENSTTTTTTSNGCGKRSGCSAASTSRGCSTTATSNGGLGAKKATSKLKRSTHLGALFRFLKAKLEGKNPEVRSRGAGGGSKGGMGSAPASGKQGMADALAEITKKSPYFQKIEEDVRMYMSSINELKTDITKFKNKDITELQKFHHRVESVLEKLEDETQVLARCEGFPHKKLEAIRMAAALYSKLQGMIKELKNWKIESPANQLFDKTERYFAKASHRNTDFSSFFAFPFFEIETLDQIKAEEEKKFKSNNIHFDFNILVQIKELMVDISSGCMELALKEKREAKIASQTTAESREAKPSTKNKTPGWAKTLWRAFHFAYRVYTFAGGHDDRADKLTRELGEEIELILGNQ >fgenesh2_kg.1__1196__AT1G11080.1 pep chromosome:v.1.0:1:4400767:4404950:-1 gene:fgenesh2_kg.1__1196__AT1G11080.1 transcript:fgenesh2_kg.1__1196__AT1G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7KLM1] MDHYHTNNIYNLFILFCFTTLLILAPVVLCTRQHRFDSPKRSLLANEQDLVTDLPGQPDVNFRHYAGYVPVDESNGRAMFYWFFEAMDLPKEKPLVLWLNGGPGCSSVGYGATQEIGPFLVDTNGNGLNFNPYAWNKEANMLFLESPVGVGFSYSNTSSDYQKLGDDFTARDAYIFLCNWFEKFPEHKESTFYIAGESYAGKYVPELAEVVYDNNNNNKKNGSSFHINLKGILLGNPETSDAEDWRGWVDYAWSHAVISDETHRIITRTCNFSSENTWSNDECNEAVAEVLKQYHEIDIYSIYTSVCIGDSARSSYFDSVQFKTNSRISSKRMPPRLMGGYDPCLDDYARVFYNRADVQKSLHASDGVNLKNWSICNMEIFNNWTGSNPSVLPIYEKLIAGGLRIWVYSGDTDGRVPVLATRYSLSALELPIKTAWRPWYHEKQVSGWLQEYEGLTFATFRGAGHAVPCFKPSSSLAFFSAFLSGVPPPPSR >fgenesh2_kg.1__1198__AT1G11100.1 pep chromosome:v.1.0:1:4412898:4418512:-1 gene:fgenesh2_kg.1__1198__AT1G11100.1 transcript:fgenesh2_kg.1__1198__AT1G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKDSVFQSQADDYSGGFGFGAEDEDFMIDIDAIYRILDEKPDSMEVSEENMTQVGSYAVESDELTNTLTQSGSQATGWFPYPDLYGPEASQSETAVQSSFADPENGGLGPSATCFSDYGGNVVSYPVNCEDGNVSNLYDMRIDSKFTPCSSTMMTPYFNTMPGNDSGIGATQNSSLVSHFHYNYSSIIDCASSEPYGHHTMDAPLSEVSPNLVPGYAFQFFPNKEELINDLKSAFIHCQSDGASRMVFDKHVGFDNGTSERNSGPDVSSERELSIKYEIIPSVSPACVNPYNSFDGHQVDKELEQPSNCSSSFQENEAVPVKVKPELDLENTVFSTVPGNYSICSDVHTVGGTTLQWSGVSNCAISYQADVGKEYPSIPPQTAFPGQDIDGRSFYNCFVSDDCLQNVTDPDPATSRTESLDYLVGDEDHEYIGRTGFNLSSLSSGTVESLSSKRIPEGDDDSEIHKIESYGEFVNPHQYLAVQRPVFSSEHSTGSQTLNNCGGLKFESNKGNMNFHADLQVLSQPRSEASPPEGVLAVSLLRHQRIALAWMSEKETSGNPCFGGILADDQGLGKTVSTIALILTERSTPYLPCEEDSKNGGSNQFDHSQVVFNENKVGEDSLCKMRGRPAAGTLIVCPTSLMRQWADELCKKVTLEANLSVLVYHGCNRTKDPHELAKYDVVITTYSLVSKRKHMDCEPVEFLSGPLAQVSWYRVVLDEAQSIKNYKTQASTACSGLHAKRRWCLSGTPIQNSIDDLYSYFRFLKYDSYSCYQTFCETIKNPISSYPVKGYQTLQAILKKIMLRRTKDTLLDGKPVISLPPKSIELRRVDFTKEERDFYSKLECDSRDQFKEYAEAGTVKQNYVNILLMLLRLRQACGHPLLVSSLAWSSSAEMAKKLPYEKLTFLLHSLEASLAFCGICNGAPKDAVVSVCGHVFCKQCIYECLTHDNNQCPLSLCKVGVEISSLFSRETLENAMLGLHKLDAPCDRTTSDPVGSGEPCIENLPCGSSKIKAALDILQSLSRPQSPTTVMNDVDQSSENGEKNQQLEKSFSLPATPAKSSVDGLVKVVGEKAIVFTQWTKMLDLLEAGLKSSGIQYRRFDGKMTVPARDAAVRDFNTLPEVSVMIMSLKAASLGLNMVAACHVIMLDLWWNPTTEDQAIDRAHRIGQTRPVKVVRFTVKDTVEDRILALQQRKRMMVASAFGEHEKGSRESHLSVEDLNYLFMA >fgenesh2_kg.1__1200__AT1G11112.1 pep chromosome:v.1.0:1:4422967:4423703:-1 gene:fgenesh2_kg.1__1200__AT1G11112.1 transcript:fgenesh2_kg.1__1200__AT1G11112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDRFEAVNQYRKKMFRKVKAAVKKTKKKKQTMFQYDPWSYALNFDDGGLENPQRFSGDCNCKLHKITLIYVVSVKF >fgenesh2_kg.1__1201__AT1G11120.1 pep chromosome:v.1.0:1:4426087:4430513:1 gene:fgenesh2_kg.1__1201__AT1G11120.1 transcript:fgenesh2_kg.1__1201__AT1G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLEHLKTNLNHPWPPIGAPMNLRREEPWKSQFDDSVNAVSFGFVATAILISMFLVMAIFERLIRTTTTSTTNSDSSSSRVLPGMDSRMTVYSNGVSVLMPGDDIPTSATADKKDDPVSGKNTAPPPSVVDEETVVKEVLSETTLLTSSNDNSTVDKMTTTKIQEDEEKKPGIVVDVVQEPVLTKPGTIEPEKGSEVSEICSLSESLLSIVNGYDEEEVKQMKSQVVRQRSPAKSRNRVMVSYPNRRTDMSPRKRHSEEEAGSVRLVPPGTGKRDPTERSERRSRSPAMNRSVMMGPTRSQQSTDSCGAMRRQNQSPGRVRLDPNKNGSEQDCNHHKWPGSVGNYNSTPNDSFENPLVSLECFIFL >fgenesh2_kg.1__1204__AT1G11160.1 pep chromosome:v.1.0:1:4449741:4456567:1 gene:fgenesh2_kg.1__1204__AT1G11160.1 transcript:fgenesh2_kg.1__1204__AT1G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 WD40 repeat-containing subunit B1 homolog [Source:UniProtKB/TrEMBL;Acc:D7KMA9] MAKRGYKLQEFVAHSGNVNCLSIGKKTSRLLLTGGDDYKVNLWSIGKTTSLMSLCGHTSPVDSVAFNSGEILVLGGASSGVIKLWDLEEAKMVRAFTGHRSNCSAVEFHPFGEFLASGSSDTNLRVWDTRKKGCIQTYKGHTCGISTIRFSPDGRWVVSGGLDNVVKVWDLTAGKLLHEFKFHEGPIRSLDFHPLEFLLATGSADRTVKFWDLETFELIGSTRPEAAGVRAIAFHPDGQTLFCGLDDGLKVYSWEPVICRDGVDMGWSTLGDFCINEGKFIGCSYYRNSVGIWVSDISELEPYGAVSEDKNECMLKRFSVFNDQSERMGSGPRGSVSPDYETKEIKNIYVDSTGGNSNVAQNPGSLKATLPLESGKVATMASEKQNATYFGQAGDKYSSMHTVASRDSDSGEESSYSERESITFSRTKSGMLLRPAHVRKTLAKFEESKQSAVVQSATRKKSGLAVEEEPQTQNVLLSEQNGSKPFDAEDSTIKGITDKFEKGLSSEPPTDETNRMFLKPPRVHRSSNSKYNDLKRVMSADSATFDKGGMEYSGEVEDICSKTERVLSPEKPGDEQKNTEYPSSSRESNPVKIVKGVNVVSGRTRSLVEKFERGEKITHTEAASTTITQNTNAVLEDPRKTSRQTGETPVISTRRARSTPARVMPIVLNRDSNMTSDEPLLTQPARTSVPVMPVILSQATNVTYDEPRLTQESRTSPSRILPVTFNQATNITSEEASVTSRRPRRNSAARVRPVSLSQADMTSHECPVTSVRPARTSPARVMPTKLNQSVNMTSDTSHIASRHRVSPTQMLTTPTVIDQVADMTLDETHATQIQPACDNLPQKEEPNISERENDSDITENLMLTHNKFLTTLQSRLTKLQIVRHFWERSDVKGAIGALRKLTDQSVQADVISILTDKIEILTLDMFSQLVPVLTSLLGSRTERPVNVSLDMLLKLVAVFGTVIRSTVSAPRIVGVDLHADERLEICQICSAGLHKIQRILPVLARRGGLITRKAQELNLVLQEP >fgenesh2_kg.1__1207__AT1G11180.1 pep chromosome:v.1.0:1:4462774:4465370:1 gene:fgenesh2_kg.1__1207__AT1G11180.1 transcript:fgenesh2_kg.1__1207__AT1G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:D7KMB1] MAGRYDRNPFDEQDEVNPFANPGSVPSASNSRLSPLPPEPAGFAYGDIPLDRPGSGTQDLKKKEKELQAKEAELRRREQDLKRKEDAAARAGIVIEAKNWPPFFPLIHHDIANEIPVRLQRLQYIAFATYLGLVLALFWNIIAVTTAWIKGEGVTIWLLAVIYFISGVPGGYVLWYRPLYRAFRSDSAFNFGWFFLFYMLHILFCVFAAVAPPIVFKGKSLAGILPAIDVLSAQALVGIFYFIGFGLFCLESVVSIWVIQQVYMYFRGSGKADEMRRDAARGAMRAAI >fgenesh2_kg.1__1208__AT1G11190.1 pep chromosome:v.1.0:1:4469860:4472774:-1 gene:fgenesh2_kg.1__1208__AT1G11190.1 transcript:fgenesh2_kg.1__1208__AT1G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFRSSTRLIPVLGILILCSVSSVRSWSKEGHILTCRIAQNLLEAGPAHVVENLLPDYVKGDLSALCVWPDQIRHWYKYRWTSHLHYIDTPDQACSYEYSRDCHDQHGLKDVCVDGAIQNFTSQLQHYGEGTSDRRYNMTEALLFLSHFMGDIHQPMHVGFTSDEGGNTIDLRWYKHKSNLHHVWDREIILTALKESYDKNLDLLQEDLEKNITTGLWHDDLSSWTECNDLIACPHKYASESIKLACKWGYKGVKSGETLSEEYFNTRLPIVMKRIVQGGVRLAMILNRVFSDDHAIAGVAAT >fgenesh2_kg.1__1209__AT1G11200.1 pep chromosome:v.1.0:1:4473879:4475565:1 gene:fgenesh2_kg.1__1209__AT1G11200.1 transcript:fgenesh2_kg.1__1209__AT1G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLSTLSPAEITVMGSVFCVLLSMHFTMQLVSQHLFYWKNPKEQRAILIIVLMAPVYAINSFVGLLDAKGSKPFFMFLDAVKDCYEALVIAKFLALMYSYVNISMSARIIPDEIKGREIHHSFPMTLFVPRTTRLDYLTLKQLKQWTWQFCIIRPVCSILMITLQILGIYPPWLSWIFTVILNVSVSLALYSLVKFYHVFAKELEPHKPLTKFMCVKGIVFFCFWQGIVLEILVGLGLIKSHHFWLEVDQLEEALQNVLVCLEMIVFSIIQQYAFHVAPYSGETEAKMRMNKRE >fgenesh2_kg.1__1211__AT1G11220.1 pep chromosome:v.1.0:1:4481741:4482969:-1 gene:fgenesh2_kg.1__1211__AT1G11220.1 transcript:fgenesh2_kg.1__1211__AT1G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSMISIKTALISTGIAALSLFLKSSVPIAVDFSVSQFPIFWSSFLSWLKPPYLFVVINVIITIIVASSKYYQSIGDQDGEDDEMILGGEYTIPNVINQAPPQRLEVTDVDLDADFDFAATIPSPIILVAEVERSEVVYKEKKEEVSGLINGGDEFAVLRSELNQPLLEGLENLPPAEKPLVSARSGHRKPVKASSKGVNRKKALRVVKPKRHETLENTWNMITEEGKSTPLTSHYRKTSMSGFDAGGDVKPVLRKTETFRDVTNYRHSSPTVTSPVKMKKEMSPSREELNRRVEAFINKCKEERLESLKLEKNVA >fgenesh2_kg.1__1212__AT1G11240.1 pep chromosome:v.1.0:1:4486050:4487391:-1 gene:fgenesh2_kg.1__1212__AT1G11240.1 transcript:fgenesh2_kg.1__1212__AT1G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGLDNDEAGSLAPPTSARHIGKRARKNKSLTVSFDEKDLKDFVTGFHKRKKKRRKEAQKQQEEALRRKRIEARKNRKLEELMVAGHGEDNEDGEAEDEEDAEDEEAEPDASTSGTTMYDTGELKVTVTTSAISCEEEEPIRKDKTQSTESGSTAKASTKQPVPVRKTKPMKQSRKRSSTKTMKKRDKKKQARGIKTPR >fgenesh2_kg.1__1216__AT1G11270.2 pep chromosome:v.1.0:1:4521932:4523106:-1 gene:fgenesh2_kg.1__1216__AT1G11270.2 transcript:fgenesh2_kg.1__1216__AT1G11270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KMC7] MLKRDRSSVVESKRHGSSLVLKRHRSSVVKLLLPHDVVGLILERLPVESLLRFKSERQLIRRMQSRGPDVLVVSFADDNNGDDEATTERRIVFGSSIVSTFRFPTWNTLLCYGSCDGLVCIYCFYSPNIVVNPATKWHRSCPLSNLQQFLIDKYDKQECDFPDPKLGFGKDKLKGTFKQVWLYNSSEFGLDNVTTCEVFDFSTNAWRYVHPASPYRINDYQDPVYFDGSLHWLTEGKECKILSFDLHTETFQVICKAPFLCERDPVGDTMCILDNRLCVSEINGSAQLIWSLDSSGGNKTWKKFNPGRD >fgenesh2_kg.1__1218__AT1G11280.2 pep chromosome:v.1.0:1:4523774:4526970:-1 gene:fgenesh2_kg.1__1218__AT1G11280.2 transcript:fgenesh2_kg.1__1218__AT1G11280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHLGEIGIVFFPCFLWLSLFLSCGYGDITISSPLTSRQTLSSPGGFYELGFFSPSNSQNQYVGIWFKKITPRVVVWVANREKPITNPVANLTISRNGSLILLDSSKNVVWSTRKLSTSNNCHAKLLDTGNLVIIDDASGNLLWQSFENPGDTMLPYSSLMYNLATGEKRVLSSWKSHTDPSPGDFVVQLTPQVPAQIVTMRDSAVYKRSGPWAKTGFTGVPLMDESYTSPFSLSQDVGNGTGRFSYLQRNSEFTRVIITSEGYLKTFRYNGTGWVLDFVTPANSCDLYGACGPFGLCETSMPTKCKCMKGFVPKYKEEWKRGNMTSGCMRRTELSCQANLSTKTQGKGVDVFYRLANVKPPDLYEYASFVDADQCHQGCLSNCSCTAFAYITGIGCLLWNQELIDTVRYSIGGEFLSIRLASSELAGSRRTKIIAGSISLSIFVILAFASYKYWRYREKQNVGPTWVFFNNSQDSWKNGLEPQEISGLTFFEMNTIRAATNNFNVSNKLGQGGFGPVYRGKLSDKKEIAVKRLSSSSGQGTEEFMNEIKLISKLQHRNLVRLLGYCIDGEEKLLIYEFLVNKSLDSFLFDLTLKLQIDWPKRFNIIQGVARGLLYLHRDSCLRVIHRDLKVSNILLDENMNPKISDFGLARMFQGTQHQDNTRKVVGTLGYMSPEYAWTGMFSEKSDIYAFGVLQLEIISGKKISSFSCGEEGKTLLEYVRHAWECWLKTGGVDLLDQDISSSCSPVEVARCVQIGLLCIQQQAIDRPNIAQVVTMMTSATDLPRPKKPVFALQIQDEESAVSVSKSVNHITQTEIYGR >fgenesh2_kg.1__1219__AT1G11290.1 pep chromosome:v.1.0:1:4529108:4531537:-1 gene:fgenesh2_kg.1__1219__AT1G11290.1 transcript:fgenesh2_kg.1__1219__AT1G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KMD0] MSSQLVHVSTVSQIPPISSSHRHFLSQRNYIPANVYEHPAALLLERCSSLKELRHILPLIFKNGLYQEHLFQTKLVSLFCRYGSVDEAARVFEPIDKKLNVLYYTMLKGFAKVSDLDKALKFFVRMRDDEVEPVVYNFTYLLKVCGDEAELRVGKEIHGLLVKSGFSLDLFAMTGLENMYAKCRQVHEARKVFDRMPERDLVSWNTIVAGYSQNGMARMALEMVNLMCEENLKPSFITIVSVLPAVSALRLIRIGKEIHGYAMRAGFDSLVNIATALVDMYAKCGSLKTARLLFDGMLERNVVSWNSMIDAYVQNENPKEAMVIFQKMLDEGVKPTDVSVMGALHACADLGDLERGRFIHKLSVELELDRNVSVVNSLISMYCKCKEVDTAASMFGKLQSRTIVSWNAMILGFAQNGRPIEALNYFSQMQARTVKPDTFTYVSVITAIAELSITHHAKWIHGVVMRNCLDKNVFVTTALVDMYAKCGAIMIARLIFDMMSERHVTTWNAMIDGYGTHGIGKAALELFEEMQKGTIRPNGVTFLSVISACSHSGLVEAGLKCFHMMKENYSIEPSMDHYGAMVDLLGRAGRLNEAWDFIMQMPVKPAVNVYGAMLGACQIHKNVNFAEKVAERLFELNPEDGGYHVLLANIYRAASMWEKVGQVRVSMLRQGLRKTPGCSMVEIKNEVHSFFSGSTAHPSSKKIYAFLEKLICQIKEAGYVPDTNLILGLEDDVKEQLLSSHSEKLAISFGLLNTTAGTTIHVRKNLRVCADCHNATKYISLVTGREIIVRDMQRFHHFKNGACSCGDYW >fgenesh2_kg.1__1220__AT1G11310.1 pep chromosome:v.1.0:1:4535034:4538442:-1 gene:fgenesh2_kg.1__1220__AT1G11310.1 transcript:fgenesh2_kg.1__1220__AT1G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:D7KMD2] MANQVKERTLEETSTWAVAVVCFVLLFISIVLEHSIHKIGTWFKKKHKHALFEALEKVKAELMLLGFISLLLTVGQTPISNICISQKVAKTMHPCSAAAEAEKYGKKDTGKKEDGDKPGRRLLLELADSYIHRRSLATKGYDKCAEKGKVAFVSAYGIHQLHIFIFVLAVVHVIYCIVTYAFGKIKMRRWKSWEEETKTIEYQYSNDPQRFRFARDTSFGRRHLNFWSKTSVTLWTVCFFRQFFGSVTKVDYFALRHGFIMAHFAPGNESRYDFRKYIQRSLEKDFKTVVEISPVIWFVAVLFLLTNSHGLRSYLWLPFIPLVVILIVGTKLEVIITKLGLRIQEKGDVVRGAPVVQPGDDLFWFGKPRFILFLIHLVLFTNAFQLAFFAWSTYEFNLNNCFHESTADVVIRLVVGAVVQILCSYVTLPLYALVTQMGSKMKPTVFNDRVATALKKWHRTAKSETKHGRHSESNTPFSSRPTTPTHGSSPIHLLHNFNNRSVESYPNSPSPRHSGHDHHEHHFWDPESQHQEAETSTHHSLAHESSEKKPVLASVELPPIRTSKSLRDFSFKK >fgenesh2_kg.1__1222__AT1G11320.1 pep chromosome:v.1.0:1:4544861:4546664:1 gene:fgenesh2_kg.1__1222__AT1G11320.1 transcript:fgenesh2_kg.1__1222__AT1G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIASVLEKVKSFTKSSQDLVSRHFGFHENPSRQNPIDILKRLQREAFSDLMKLRDRQEKVERIISSQKLSKSGPFQETSTHVRGEVDVLGAILLMGNTDDESFNGLHKEGVRPGLLSRFVFETSLRETDRLVAELVAGYKGEGNHCDFSGRELSLAKVFYEADINDWFSAVAIPVGAHFRDIEASTVSSYQGMSLTEVSELGPPLLNQHNGSAIGLIVRKSNITASLAQSMSNVEVEQGAPNRGFRTFGQVTCHILRSLKISLLGCHQVLTPSSNLHSVGAITLPVSFLRRHTATEPEPPAPPLEMSRSMNHVLSSAIALKLDSLMDESTKLGGWIEIQNSREKQVKWSVSITDNPEDEVGWGMSVGGVVDSSRNHDRFQVESYLKFNIGDRFSLRPGLVYHTNSNGRTIGLMLQSHWSL >fgenesh2_kg.1__1223__AT1G11330.1 pep chromosome:v.1.0:1:4547480:4550882:1 gene:fgenesh2_kg.1__1223__AT1G11330.1 transcript:fgenesh2_kg.1__1223__AT1G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KMD4] MVVSVNIRRRFVVLLLLLAFTCLLSPRLCSGEDRITFTTPIKDSETLLCKSGIFRFGFFTPVNSTTRLRYVGIWYDKIPIQTVVWVANKDAPINDTSGVISIYNDGNLAVTDGRKRLVWSTNVSVPVAPNATWVQLMDSGNLMLQDNRNNGEILWESFKHPYDSFMPRMTLGTDGRTGGNLKLTSWTSHDDPSTGNYTAGIAPFTFPELLIWKNNVTTWRSGPWNGQVFIGLPNMDSLLFLDGFNLNSDNQGTISMSYANDSFMYHFNLDPEGIIYQKDWSTSMRTWRIGVKFPYTDCDAYGRCGRYGSCHAGENPPCKCVKGFVPKNNTEWNGGNWSNGCVRKAPLQCERQRNVSNGGGGGKADGFLKLQKMKVPISAERSEANEQVCPKVCLDNCSCTAYAYDRGIGCMLWSGDLVDMQSFLGSGIDLFIRVAHSELKTHSNLAIMIAAPVIGVALIAAVCVLLACRKFRKRPAPAKDRSAELMFKRMEALTSDNESASNQIKLKELPLFEFQVLATATDSFSLRNKLGQGGFGPVYKGKLPEGQEIAVKRLSRKSGQGLEELMNEVVVISKLQHRNLVKLLGCCIEGEERMLVYEYMPKKSLDAYLFDPLKQNILDWKTRFNIMEGICRGLLYLHRDSRLKIIHRDLKASNILLDENLNPKISDFGLARIFRANEDEANTRRVVGTYGYMSPEYAMEGFFSEKSDVFSLGVIFLEIISGRRNSSSHKEENNLNLLAHAWKLWNDGEAASLADPAVFEKCFEKEIEKCVHIGLLCVQEVANDRPNVSNVIWMLTTENMNLADPKQPAFIVRRGAPEAESSDQSSQKVSVNDVSLTAVTGR >fgenesh2_kg.1__1224__AT1G11340.1 pep chromosome:v.1.0:1:4551292:4554553:-1 gene:fgenesh2_kg.1__1224__AT1G11340.1 transcript:fgenesh2_kg.1__1224__AT1G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIVIIFFFSLFQSCISVDTIMRRQSLRDGDVIHSVGKRFAFGFFSLGDSKLRYVGIWYAQITQQTIVWVANRDHPINDTSGLIKFSNRCNLCVYASDNGTEPIWSTNVSDSILETTLVARLSDLGNLVLLDPVTGRSFWESFDHPTDTFLPFMRMGFTRKDGLDRFLTSWKSHGDPGCGDLTLRMERRGFPQLILYKGRVPWWRMGSWTGHRWSGVPEMPIGYIFNNSFVNNEDEVSFTYGVTDDSVITRTMVNETGTMHRFTWIARDKRWNDFWSVPKEQCDNYAHCGPNGYCDPPSSKTFECTCLPGFEPKFPRHWFLRDSSGGCTKKKGASRCSEKDGFVKLKRMKIPDTSDASVDMNITFKECKQRCLRNCSCVAYASAYHESKRGAIGCLTWHSGMLDARTYLSSGQDFYIRVDKEKLALWNRKGLSGKRRVLLILISLVAAVMLLTVILFCVVRERRKSNRHRSSSANFVPVPFDFEESFRFEQDKARNRELPFFDLNTIAAAANNFSSQNKLGAGGFGPVYKGVLQNGMEIAVKRLSKNSGQGMEEFKNEVKLISKLQHRNLVRILGCCVELEEKMLIYEYLPNKSLDYFIFHEEQRAELDWPKRMEIIRGIARGILYLHQDSKLRIIHRDLKASNILLDSEMIPKISDFGMARIFGGNQIEGCTSRWIYGTGVYTDVYSFGVLMLEIITGKKNSAFHEESSNLVGHIWDLWENGEPTEIIDKLMDQESYDESEVMKCIHIGLLCVQENASDRVDMSSVVIMLGHNATNLPNPKHPAFTSTRRRGGENGACLKEKIGISVNDVTFTDIQGR >fgenesh2_kg.1__1225__AT1G11350.1 pep chromosome:v.1.0:1:4554732:4557905:-1 gene:fgenesh2_kg.1__1225__AT1G11350.1 transcript:fgenesh2_kg.1__1225__AT1G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KMD6] MGCLFILLLTLTCFSLRLCLATDTITFSSEYRDSETVVSNHSTFRFGFFSPVNSTGRYAGIWFNNIPVQTVVWVANRNSPINDSSGMVAISKEGNLVVMDGRGQVHWSTNVSVPVAANTTYARLLNTGNLVLLGTTNSGDDIIWESFEHPQNIYLPTMRLATDAKTGRSLKLRSWKSPSDPSPGRYSAGLIPLPFPELVVWKDDLLMWRSGPWNGQYFIGLPNMDYRINLFELTLSSDNRGSVSMSYAGNTLLYHFLLDSEGSVFQRDWNLAMQEWKTWLKVPSTKCDTYATCGQFASCKFNYGSTPPCMCIRGFKPQSYAEWKNGNWTQGCVRKAPLQCERRDNNDGSRKSDRFVRVQKMKVPHNPQRSGANEQDCPGNCLKNCSCTAYSFDRGIGCLLWSGNLMDMQEFSGTGAVFYIRLADSEFKTPTNRSIVITVTLLVGAFLFAVTVVLALWKIVKHREKNRNTRLQNERMEALCSSDVGAILVNQYKLKELPLFEFQVLAVATDNFSITNKLGQGGFGAVYKGRLQEGQEIAVKRLSRTSGQGVEEFVNEVVVISKLQHRNLVRLLGFCIDGEERMLVYEFMPENCLDAYLFDPVKQRLLDWKTRFTIIDGICRGLMYLHRDSRLKIIHRDLKASNILLDENLNPKISDFGLARIFQGNEDEANTLRVVGTYGYMAPEYAMGGLFSEKSDVFSLGVILLEIVSGRRNSSFYNNEQYPNLSAYAWKLWNDGEDIALVDPVIFEECCDNEIRRCVHIGLLCVQDHANDRPSVATVIWMLSSENSNLPEPKQPAFIPRRGTSEVESSGQSDPRASMNNVSLTKITGR >fgenesh2_kg.1__1228__AT1G11380.1 pep chromosome:v.1.0:1:4566568:4568248:1 gene:fgenesh2_kg.1__1228__AT1G11380.1 transcript:fgenesh2_kg.1__1228__AT1G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDKLESGGGGGGAVEIGDIRADDGGGAVEERERLTELEGISVLDFDLLCSTVALQTQGKWRKLESSEGEDATEDDYGGGVLRLWEGDVMDCFEDRHLCIESACCPCYRFGKNMTRTGFGSCFLQGAVHMILIAGFLFNVAAFAVTKRHCFLYLAIAFVLLIGSYLGFFRMQIRRKFNIRGTDSFLDDCIHHLICPFCTLTQESKTLEMNNVHDGIWHGRGDTLCIGGYPEGKAFLKLHSPPVIVSTMSSSQP >fgenesh2_kg.1__1230__AT1G11400.2 pep chromosome:v.1.0:1:4572217:4574334:1 gene:fgenesh2_kg.1__1230__AT1G11400.2 transcript:fgenesh2_kg.1__1230__AT1G11400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Partner of Y14-mago [Source:UniProtKB/TrEMBL;Acc:D7KME2] MGSNNKSGEQGKQMADLSKSLKEGERILEPTRRPDGTLRKPIRIRAGYVPQDEVVKYQSKGSLMKKEMASQGPPGYEPDPAPKPKTKSAKRNERKKEKRLQSTAEKGNSSEDGSASNGSQSVNVLASEMEALDVSSNNDVCGEAPNPVTTGEDVEKRIRALKKKIRLTEAQQQKTASQDLNPEQLEKFSKLEEWRQELKALEDKEA >fgenesh2_kg.1__1233__AT1G11410.1 pep chromosome:v.1.0:1:4575327:4578610:1 gene:fgenesh2_kg.1__1233__AT1G11410.1 transcript:fgenesh2_kg.1__1233__AT1G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFFIFFIFLFSLLIQSCYSDNTILRRQSLKDGDVIFSEGKRFAFGFFSLGNSKLRYVGIWYAQVSEQTVVWVANRDHPINDTSGLIKFSTRGNLCVYASGNGTEPIWSTDVIDMIQEPALVAKLTDLGNLVLLDPVTGKSFWESFNHPTNTLLPFMKLGFTRQNGVDRIMTSWRSPGDPGSGNITYRIERRGFPQMMMYKGLTLWWRTGSWTGQRWSGVPEMTNKFIFNISFVSNPDEVSITYGVFDASVITRMVLNETGTLQRFRWNGRDKKWIGFWSAPEDKCDIYNHCGFNGYCDPTSTDKFECSCLPGYEPKTPRDWFLRDASDGCTRIKAASICNGKEGFAKLKRVKIPNTSAVNVDMNITLKECEQRCLKNCSCVAYASAYHESENGAKGCLTWHGNMLDTRTYLSSGQDFYLRVDKSELVRWNGNGSSGKMRLFLILISLLAVVMLLMISLFCFIRKRRQFKRLRKAPSSFAPCSFDLEDSFILEELEDKSRTRELPLFELSTIAAATNNFAFQNKLGAGGFGPVYKGVLQNGMEIAVKRLSKSSGQGMEEFKNEVKLISKLQHRNLVRILGCCVEFEEKMLVYEYLPNKSLDYFIFNDEHRVELDWPKRMGIIRGIARGILYLHQDSRLRIIHRDLKASNVLLDNEMIPKIADFGLARIFGGNQIEGSTNRVVGTYGYMSPEYAMDGQFSIKSDVYSFGVLILEIITGKKNSAFYEESLNLVKHIWDRWEKGEAIEIIDKLMSEDTYDVSEVMKCLHIGLLCVQENASDRPDMSSVVFMLGHNAIDLPSPKHPAFTAGRRRNVKTGGSSDNWPSGETGSTINDVTLTDVQGR >fgenesh2_kg.1__1234__AT1G11430.1 pep chromosome:v.1.0:1:4581821:4583725:1 gene:fgenesh2_kg.1__1234__AT1G11430.1 transcript:fgenesh2_kg.1__1234__AT1G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTSSSSSLLPKTLLPVSHLNRFSTLSDIRVGDTWTPLLRSISTAGSRRRVAIVKAATVDSDYSSKRSSSNEQRETIMLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTIDEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKQRNNTKYQSKRYERKRDGPPPPEQRKPRQEPAASDSS >fgenesh2_kg.1__1235__AT1G11440.1 pep chromosome:v.1.0:1:4583965:4585248:1 gene:fgenesh2_kg.1__1235__AT1G11440.1 transcript:fgenesh2_kg.1__1235__AT1G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFYENYQIPYDYNQVNNLYDQNHYHYNQQQQLGFEPMSYNYYNWNHSESESESVAYSGYDDPMSYNCYNWNGSESETSSASVAYSVSTMSEPKHLFYDPNLYTTTYESPPQFSIYCSVASALEFNEPEFDDYDPTPYGGGYDVVATYGKPLPPSVETCYPSSTAPHAKAPSPPEIIAPVPLGIYDGGQKNVIKKRVSFAEPVEEAKPMETIKEEEQEQDEEDEEESEEDDDYDEEEDEEAKEEERDHSSSYGNEKSETVDKGEVKALYVPSGYGLEATDLCEVIFGGYFPCVLRNKRRQEDEQDRAAAVSCWESNDSDPWKTTSDYLFGDSYPYGNENWSERSKFEISSYGYRMY >fgenesh2_kg.1__1238__AT1G11475.1 pep chromosome:v.1.0:1:4599685:4601165:1 gene:fgenesh2_kg.1__1238__AT1G11475.1 transcript:fgenesh2_kg.1__1238__AT1G11475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDQYLDLLQLDYTEGDALDALQLVRYCCRRMLMTHVDLIEKLLNYNTLEKSDNS >fgenesh2_kg.1__1243__AT1G11530.1 pep chromosome:v.1.0:1:4612153:4613914:1 gene:fgenesh2_kg.1__1243__AT1G11530.1 transcript:fgenesh2_kg.1__1243__AT1G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal cysteine residue is changed to A serine 1 [Source:UniProtKB/TrEMBL;Acc:D7KMF6] MARVVKIDSAESWNFYVSQAKNQNCPIVAHFTASWCIPSVFMNSFFEELAFSYKDALFLIVDVDEVKEVASQLEVKAMPTFLFLKDGNTMDKIVGANPDEIKKRVDGFVQSSRVVNIA >fgenesh2_kg.1__1244__AT1G11545.1 pep chromosome:v.1.0:1:4616105:4617896:-1 gene:fgenesh2_kg.1__1244__AT1G11545.1 transcript:fgenesh2_kg.1__1244__AT1G11545.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7KMF8] METQRRIITSCSSMAALFVFMTALIASCSSITATPTQSFEDNFNIMWSENHFTTSEDGEIWNLSLDNDTGCGFQTKHMYRFGWFSMKLKLVGGDSAGVVTAYYMCSENGAGPERDEIDFEFLGNRTGQPYIIQTNVYKNGTGNREMRHSLWFDPTKDYHTYSILWNNHQLVFFVDRVPIRVYKNSDKVPNNDFFPNQKPMYLFSSIWNADDWATRGGLEKTDWKKAPFVSSYKDFAVEGCRWKDPFPACVSTTTENWWDQYDAWHLSKTQKMDYAWVQRNLVVYDYCKDSERFPTLPWECSISPWA >fgenesh2_kg.1__1247__AT1G11580.1 pep chromosome:v.1.0:1:4627407:4629529:1 gene:fgenesh2_kg.1__1247__AT1G11580.1 transcript:fgenesh2_kg.1__1247__AT1G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7KMG1] MSNSNQPLLSKPKSFKHKNLCLVLSFVAILGSAAIYTSQLISINTSNDDSLLTPSQICHGAHNQDSCQALLSEFTTLSLSKVNRLDLLHVFLKNSVWRLESTMTMVSEARIRSNGVRDKAGLADCEEMMDVSKDRMVSSMEELRGGNYNLESYSNVHTWLSSVLTNYMTCLESISDVSVNSKPRVKPQLEDLVSRARVALAIFVSVLPARDDLKMIISNSFPSWLTALDRKLLESAPKTLKVTANVVVAKDGTGKFKTVNEAVAAAPENSNSRYVIYVKKGVYKETIDIGKKKKNLMLVGDGKDVTVITGSLNVIDGSTTFRSATVAANGDGFMAQDIWFQNTAGPAKHQAVALRVSADQTVINRCRIDAYQDTLYTHTLRQFYRDSYITGTVDFIFGNSAVVFQNCDIVARNPGAGQKNMLTAQGREDQNQNTAISIQKCKLTASSDLAPVKGSVKTFLGRPWKLYSRTVIMQSFIDNHIDPAGWFPWDGEFALSTLYYGEYANTGPGADTSKRVKWKGFKVIKDSKEAEQFTVAKLIQGGLWLKPTGVTFQEWL >fgenesh2_kg.1__1248__AT5G28237.1 pep chromosome:v.1.0:1:4637330:4640267:1 gene:fgenesh2_kg.1__1248__AT5G28237.1 transcript:fgenesh2_kg.1__1248__AT5G28237.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase [Source:UniProtKB/TrEMBL;Acc:D7KMG3] MSSGKIQVRGQPLPRVPARNHHMTHLLVCDVSTKRYHREINAISRNRSPSLDSVPTRTDKRQFLRGDGNGKFGRFGGKFVPETLMSRLIELEDEFNFVMSDHEFQEELTTSLRDYVGRETPLYFAERLTEHYKNIVPTIEGGPEIYLKREDLSHCGSHKINNALAQAMISRRLGCSRVVAATGAGQHGVATAAACAKLSLECTVFMGATDIEKQSFNVRSMKLLGAQVKSVEGTFKDASSEAIRNWVENLYTTYYLSGTVVGPHPYPIIVREFQSVIGKETRRQANQLWGGKPDVLVACVGSASNALGLFHEFVGDKDVRLVGIEAAGLGLDSGKHSATLAVGDVGVYHGSMSYLLQDDQGQILKPHSVGVGLEYPGVGPEISFMKETGRAEFYTATDEEAIQACMRLSRLEGIIPALEASHALAFLDKLVPTLRDGAKVVVNCSGRGDKDLDTLIQRGMPSSLC >fgenesh2_kg.1__1252__AT1G11600.1 pep chromosome:v.1.0:1:4660604:4662351:1 gene:fgenesh2_kg.1__1252__AT1G11600.1 transcript:fgenesh2_kg.1__1252__AT1G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP77B1 [Source:UniProtKB/TrEMBL;Acc:D7KMG6] MNLTDVIIFLFALYFINLWWRRYSSAGSSQCRLNIPPGPKGWPLVGNLLQVILQRRHFVFLMRDLRKKYGPIFTMQMGQRTMIIITDEKLIHEALVQRGPTFASRPPDSPIRLMFSVGKCAINSAEYGSLWRTLRRNFVTELVTAPRVKQCSWIRTWAMQNHMKRIKTENVEKGFVEVMSQCRLTICSVLICLCFGAKISEEKIKNIENVLKDVMLITSPTLPDFLPVFTPLFRRQVREARELRKTQLECLVPLIRNRRKFVDAKDSPNEEMVSPIGAAYVDSLFGLNLIERGGELGDEEIVTLCSEIVSAGTDTSATTLEWALLHLVTDQSIQEKLYEEVVGVVGKNGVVEEDDVAKMPYLEAIVKETLRRHPPGHFLLSHAAVKDTELGGYDIPAGAYVEIYTAWVTENPEIWSDPGKFRPERFLTGGDGVDADWTGTRGVTMLPFGAGRRICPAWSLGILHINLMLARMIHSFKWIPVPDSPPDPTETYAFTVVMKNSLKAQIMSRT >fgenesh2_kg.1__1254__AT1G11630.1 pep chromosome:v.1.0:1:4667346:4668845:-1 gene:fgenesh2_kg.1__1254__AT1G11630.1 transcript:fgenesh2_kg.1__1254__AT1G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KMG9] MAFLFRIRTSESLVQNVTQFRLQSSSSSIFTLNSLTSKQKKSRATLSLLKSENNPNRILEICRSASLTPDYHVDRIIFSVAVVTLAKEKHFVAVSQLLDGFIQNQPDPKSESFAVRAIILYGRANMLDRSIQTFRDLEQYEIPRTVKSLNALLFACLMAKDYKEANRVYLEMPKMYGIEPDLETYNRMIRVLCESGSTSLSYSIVAEMERKWVKPTASSFGLMIDGFYKEEKFDDVRKVMRMMDEFGVHVGVATYNIMIQCLCKRKKSAEAKALIDGVMSCRMRPNSVTYSLLIHGFCSEENLDEAMNLFEVMVYSGYKPDSECYFTLIRCLCKGGDFETALILCRESMEKNWVPSFSIMKWLVNGLASVSKVDEAKELIAQVKEKFTRNVDLWNEVEAALPQ >fgenesh2_kg.1__1255__AT1G11650.2 pep chromosome:v.1.0:1:4669228:4672617:1 gene:fgenesh2_kg.1__1255__AT1G11650.2 transcript:fgenesh2_kg.1__1255__AT1G11650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRBP45B [Source:UniProtKB/TrEMBL;Acc:D7KMH0] MMQQPPPGGILPHHAPPPSAQQQYGYQQPSPYGIAGAAPPPPQMWNPQAAAPPSAQPMTVDEIRTLWIGDLQYWMDENFLYGCFAHTGEMVSAKVIRNKQTGQVEGYGFIEFASHAAAERVLQTFNNAPIPSFPDQLFRLNWASLSSGDKRDDSPDYTIFVGDLAADVTDYILLETFRASYPSVKGAKVVIDRATGRTKGYGFVRFSDESEQIRAMTEMNGVPCSTRPMRIGPAASKKGVTGQRDSYQSAAGGVPTDNDPNNTTVFVGGLDQSVTDDHLKNVFGQYGEIVHVKIPAGKRCGFVQFSEKSCAEEALRMLNGVQLGGTTVRLSWGRSPSNKQSADPSQFYYGGYGQGQEQYGYTMPQDPNAYYGDYSGGGGYSGGYQQTPQAGQQPPQQPPQQQQVGFSY >fgenesh2_kg.1__1257__AT1G11655.1 pep chromosome:v.1.0:1:4673519:4674011:1 gene:fgenesh2_kg.1__1257__AT1G11655.1 transcript:fgenesh2_kg.1__1257__AT1G11655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFKRPCPYSKMDKEDPEEVHRQRAKFLIYKTLQEADLVSRRDPHSSFLRLKLYLLKVKIGKRLTNLRRTVVSAVRFGGIRKHSHNGVRALKKLFHGGATTGLPRPIFTLEV >fgenesh2_kg.1__125__AT1G01090.1 pep chromosome:v.1.0:1:485273:487146:1 gene:fgenesh2_kg.1__125__AT1G01090.1 transcript:fgenesh2_kg.1__125__AT1G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:UniProtKB/TrEMBL;Acc:D7KQB5] MATAFAPTKLTATVPLHGSQENRLLLPIRLAPLSSSSFLGSTRSLTVNSPRRLNHSNATRRSPVVAVQEVVKEKQSTNNSLLITKEEGLELYEDMILGRSFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLTKSDSVVSTYRDHVHALSKGVSARAVMSELFGKVTGCCRGQGGSMHMFSKEHNMLGGFAFIGEGIPVATGAAFSSKYRREVLKQDCEDVTVAFFGDGTCNNGQFYECLNMAALYKLPIIFVVENNLWAIGMSHLRATSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAVTRARRGEGPTLVECETYRFRGHSLADPDELRDAAEKAKYAARDPIVALKKYLIENKLAKEAELKSIEKKIDELVEEAVEFADASPQPGRSQLLENVFADPKGFGIGPDGRYRCEDPKFTEGTAQV >fgenesh2_kg.1__1260__AT1G11670.1 pep chromosome:v.1.0:1:4680914:4684193:-1 gene:fgenesh2_kg.1__1260__AT1G11670.1 transcript:fgenesh2_kg.1__1260__AT1G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7KMH3] MGSEATTAVDNLQQPLLESSKSEADFRMESVLTDTHLSYFRRIYLASLIEMKYLFHLAAPAIFVYVINNGMSMLTRIFAGRLGSMQLAAASLGNSGFNMFTLGLMLGMGSAVETLCGQAHGAHRYDMLGVYLQRSTIVLVITGLPMTLLFIFSKPLLISLGEPADVASVASVFVYGMIPMIFAYAVNFPIQKFLQSQSIVTPSAYISAATLVLHLILSWLSVFKFGWGLLGLSVVHSLSWWIIVLAQIIYIKISPRCRRTWDGFSWKAFDGLWDFFQLSAASAVMLCLESWYSQILVLLAGLLKDPELALDSLAICMSISAMSFMVSVGFNAAASVRVSNELGAGNPRSAAFSTAVTTGVSFLLSLFEAIVILSWRHVISYIFTDSPAVAEAVAELSPFLAITIVLNGVQPVLSGVAVGCGWQAYVAYVNIGCYYIVGIPIGYVLGFTYDMRARGIWTGMIGGTLMQTIILVFVTFRTDWDKEVEKASRRLDQWEDTSPLLKQ >fgenesh2_kg.1__1261__AT1G11680.1 pep chromosome:v.1.0:1:4695331:4697596:1 gene:fgenesh2_kg.1__1261__AT1G11680.1 transcript:fgenesh2_kg.1__1261__AT1G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP51G1 [Source:UniProtKB/TrEMBL;Acc:D7KMH5] MELDSENKLLKTGLVIVATLVIAKLIFSFFTSDSKKKRLPPTLKAWPPLIGSLIKFLKGPIVMLREEYPKLGSVFTVNLLHKKITFLIGPEVSAHFFKASESDLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVTKLKGYVDMMVTEAEDYFSKWGESGEVDLKVELERLIILTASRCLLGREVRDQLFDDVSALFHDLDNGMLPISVLFPYLPIPAHRRRDRAREKLAEIFSKIIGSRKRSGKSENDMLQCFIESKYKDGRQTTESEVTGLLIAALFAGQHTSSITSTWTGAYLMRYKEYFSAALDEQKNLIAKHGDKIDHDILSEMDVLYRCIKEALRLHPPLIMLMRASHSDFSVTARDGKTYDIPKGHIVATSPAFANRLPHIFKDPDTYDPERYSPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELVSPFPEIDWNAMVVGVKGNVMVRYKRRQLS >fgenesh2_kg.1__1263__AT1G11700.1 pep chromosome:v.1.0:1:4703597:4704453:1 gene:fgenesh2_kg.1__1263__AT1G11700.1 transcript:fgenesh2_kg.1__1263__AT1G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRKLTTSQSERYLGSSYSYGDSNGNSVTDESELTEEDIWSHAVDHSPEMLESHGAWNTRDAVVRNGRVGGGLSLAFEDASSSPRIVHQIRGGGEGGGGGGGGGRVERQLASSAPVNVPDWSKIYRVNSVESIHESEEEEEEDSRMMMPPHEYLAQSQKRRSRKSGGGGSVFEGIGRTLKGRELRRVRDAIWSQTGFYG >fgenesh2_kg.1__1264__AT1G11710.1 pep chromosome:v.1.0:1:4707029:4709563:1 gene:fgenesh2_kg.1__1264__AT1G11710.1 transcript:fgenesh2_kg.1__1264__AT1G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KMH8] MFAHVFSRRTSFLVRCFHVAKKFSNPEPEDILFSALCLNLKQRRWNTLHQFSSSLTNPLISRVLRQFRSSPKLALEFYNWVLENNTVAKSENRFEASCVMIHLLVDWRRFDDALSIMVNLMSVEGEKLSPLHVLSGLIRSYQACGSSLDVFDSLVRACTQNGDAQGAYEVIEQTRTEGFWVSVHALNNFMGCLLNLNEIDWFWMVYKEMDSLGYVENVNTFNLVIYSFCKENKLFEALSVFYRMLKCGIWPNVVSFNMMIDGACKTGDMRFALQLLGKMGVMSGNFVSPNAVTYNSVINGFCKAGRLDLAERIRGEMVKLGVDCNERTYGALVDAYGRAGSSDEALRLCDEMTSKGLVANTVIYNSIVYWLFMEGDTEGAMMVLRDMVRKRMQIDGFTRAIVVRGLCRNGYVAEAVEFHRQISEKKLVEDIVCHNTLMHHFVRDKKLVCVDQILGSMLVHGLGLDTILFGTLIDGYLKEGKLERAIDIYDGMIKMNKTPNLVIYNSIVNGLSKRGMAGAAEAVVNAMESKDTVTYNTLLNGSLKIGNVEEADNILSRMQKQDGEKSVSLVTYNILINHLCKFGCYEKAKEVLKIMVERGVVPDSITYGTLITSFSKNRGQEEVVELHDYMILQGVTPHEHIYQSIVRPLLDGENGRP >fgenesh2_kg.1__1268__AT1G11750.1 pep chromosome:v.1.0:1:4727505:4729936:1 gene:fgenesh2_kg.1__1268__AT1G11750.1 transcript:fgenesh2_kg.1__1268__AT1G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:D7KMI2] MAGLAISPPLGLSFSSRTRNPKPTSYLSHNPRNPIRRIVSALPSPYGDSLKAGLSSNVSGSPIKIDNKDPRFGVIEAKKGNPPVMPSVMTPGGPLDLSSVLFRNRIIFIGQPINAQVAQRVISQLVTLASIDDKSDILMYLNCPGGSTYSVLAIYDCMSWIKPKVGTVAFGVAASQGALLLAGGEKGMRYAMPNTRVMIHQPQTGCGGHVEDVRRQVNEAIEARQKIDRMYAAFTGQPLEKVQQYTERDRFLSASEALEFGLIDGLLETEY >fgenesh2_kg.1__1271__AT1G11765.1 pep chromosome:v.1.0:1:4734554:4735168:1 gene:fgenesh2_kg.1__1271__AT1G11765.1 transcript:fgenesh2_kg.1__1271__AT1G11765.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KMI4] MKNISIFLVVFALCMIGNAYGISNPFKGKKTSLLFRNSLSHNKWLKVRCKSGDNDVRERYMRPGEDWGFSFHDDVMGETLFWCTMYKGADYKIKKTFDAYVQDKRMPHGGSYNYVAQEDGIYHSTLIHRMR >fgenesh2_kg.1__1272__AT1G11770.1 pep chromosome:v.1.0:1:4736211:4737821:1 gene:fgenesh2_kg.1__1272__AT1G11770.1 transcript:fgenesh2_kg.1__1272__AT1G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFCLILFLISSSISTSIAVEPPPETIYQNFLQCFTNQTKAPPNSLSDVVLPKTAAAFTPVLRAYIRNARFNTTATPKPAIVIAARSESHVQAAVICTKSLNIQLKTRSGGHDYEGVSYVSHVPFFVLDMSNLRNITVDPATESAWVGAGATLGEVYYRIWEKTKSHGFPAGVCPTVGAGGHISGGGYGNMIRKYGLSVDYVTDAKIVDVNGRVLDRKGMGEDLFWAINGGGGASFGVILAFKIKLVPVPPTVTVFRVEKNLDENAIDMVHKWQFVAPKTDPGLFMRLLLQPVTRNKKQTVRASVVALFLGNQNTVMSMLTKDFPELGLKKENCTEMTWIQSVMWWANNDNATQIKPEILLDRNPDSASFGKRKSDFVEKEITKEGLDFLFKKMIEVGKIGLVFNPYGGIMSEVATTKTPFPHRKKLYKIQHSMNWKDPGTEAETSFLQKAKSFYSYMAPFVTKNPRHTYINYRDLDIGVNTPGPNSYRVAEVFGRMYFGENFDRLVKVKTAVDPQNFFRDEQSIPTLPSNPPRR >fgenesh2_kg.1__1283__AT1G11850.4 pep chromosome:v.1.0:1:4755566:4756025:1 gene:fgenesh2_kg.1__1283__AT1G11850.4 transcript:fgenesh2_kg.1__1283__AT1G11850.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KN60] KLFSGDTFKDEKSFLGGGGSGGGLGLGLGGGAGLGGLGIGAGIGAGAGLGLGGGGGGLGGGGGGLLGGGGFGGGAGGGLGGLP >fgenesh2_kg.1__1285__AT1G11860.2 pep chromosome:v.1.0:1:4756634:4758766:1 gene:fgenesh2_kg.1__1285__AT1G11860.2 transcript:fgenesh2_kg.1__1285__AT1G11860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminomethyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KN61] MRGGSLWQLGQSITRRLAQSDKKGVSRRYFASEADLKKTALYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTVNCRQNGSLFDVAHMCGLSLKGKDCVPFLETLVVADVAGLAPGTGSLTVFTNEKGGAIDDSVITKVTDEHIYLVVNAGCRDKDLAHIEEHMKAFKAKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKLYFGQFQILDINGSTCFLTRTGYTGEDGFEISVPDEHAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHISPVEAGLTWAIGKRRRAEGGFLGADVILQQLKDGPTIRRVGFFSSGPPARSHSEVHDESGNKIGEITSGGFSPNLKKNIAMGYVKSGQHKTGTKVKILVRGKPYEGSITKMPFVATKYYKPT >fgenesh2_kg.1__1288__AT1G11870.2 pep chromosome:v.1.0:1:4759549:4762319:1 gene:fgenesh2_kg.1__1288__AT1G11870.2 transcript:fgenesh2_kg.1__1288__AT1G11870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHKLRLAAVPITFSSRLFFLKPFPNTLTLGLFSRHLQPHKPLLVRAFSASAAVEDIPATQASDSSARPQWKAAIDFKWIRDNKEAVEINIKNRNSNANLEAVLELYENMVNLQKEVEQLREERNNVAKKMKGKLEPSQRETLVEEGKNLKESLVTLEEDLVKLKDELQHVAQSIPNMTHPDVPVGGEDSSAIRKEVGSPREFSFPIKDHLQLGKDLDLIDFDSAAEVSGSKFFYLKNEAVLLEMALLNWTLSQVMKQGFTPLTTPEIVRSAIVEKCGFQPRGDNTQVYSIDGTDQCLIGTAEIPVGGIHMDSILLESVLPLKYIAFSHCFRTEAGAAGAATKGLYRVHQFNKAEMFVICRPEDSESFHQELIQIEEDLFTSLGLHFKTLDMATADLGAPAYRKFDVEAWMPGLGRFGEISSASNCTDYQSRRLGIRYRPSEPPQTGPKKGKANLPATKFVHTLNATACAIPRMMVCLLENYQQEDGAVVIPEPLRPFMGGIELIKPKLK >fgenesh2_kg.1__1290__AT1G11880.1 pep chromosome:v.1.0:1:4763451:4766094:-1 gene:fgenesh2_kg.1__1290__AT1G11880.1 transcript:fgenesh2_kg.1__1290__AT1G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI mannosyltransferase 2 [Source:UniProtKB/TrEMBL;Acc:D7KN63] MAISMESSSKSRKETVLIKYAIFSRLLVLFLTILWRSLLQPYDTSAALNPPCLYHKEDSPPFLVANATSRSLENSVVWDSVYFLRITECGYEYEQTYAFLPLLPFFISLFSRTVFAPLVPLIGLRAVMVLSGYIVSNLAFILAAIYLFRLSVIILKDSEASFRASIVFCFNPASIFYSSIYSESLYALFSIGGVYHLLSGTSNVAVLWLALSGCARSNGVLNAGYICFQTMHRAYEALYQKRRTYVSYKYLAMQVLVAGFLRCVCICLPFVAFQAYGYYNLCHGRTLDEMRPWCKGRIPLLYNFIQSHYWGVGFLKYFQFKQLPNFLLASPILSLAVCSIMSYMKSRPELFISLGFQATEKEKRSVAGLYSLKDAVKPNVKTSTNEGNRDIRQRKPSSKKDVTVTKVAPEKSGYFSADVFPFIVHLGLMATTAFFIMHVQVATRFLSASPPLYWFASSLIASPEHSKWGYLIWSYCAAYILLGTLLFSNFYPFT >fgenesh2_kg.1__1292__AT1G11900.1 pep chromosome:v.1.0:1:4768779:4770271:-1 gene:fgenesh2_kg.1__1292__AT1G11900.1 transcript:fgenesh2_kg.1__1292__AT1G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KN65] MMKWSIVKRIPVYGGSFTSMKHMMLAPADLSWSCSFSGMHSLINTGEEDDEELLKKIVNHSESGSKIISKVDYTNLVEKYTRDGNLSAANELWQSLQDKNICLPISVFKNLLAGAGEKNDMKLSCRIFRELLISPVKEPLSSDCYLNLARAFINTNDCTHLTSLVKEISESSLPYRLIVMNRIILAFAETRQIDKVLMILKQMKEWECKPDVITYNSVLDILGRAGLVNEMLGVLSTMKEDCDVSVNIITYNTVLNGMRKACRFDMCLVIYNEMVLRGIEPDLLSYTAVIDSLGRSGNTKESLRLFDEMKQRQIRPSVYVYRALIDCLKKSGDFQGALQLSDELKNTSSLDLAGPQDFKRHLRSHRR >fgenesh2_kg.1__1294__AT1G11910.1 pep chromosome:v.1.0:1:4772307:4776414:-1 gene:fgenesh2_kg.1__1294__AT1G11910.1 transcript:fgenesh2_kg.1__1294__AT1G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7KN67] MKIYSTTVAFSLIVSFLLFFSAFSERNDGTFRVGLKKLKLDSKNRLAARVESKQDKPLRAYSLGNSEDADVVVLKNYLDAQYYGEIAIGTPPQKFTVVFDTGSSNLWVPSSKCYFSLACLLHPKYKSSRSSTYEKNGKSAAIHYGTGAIAGFFSNDAVTVGDLVVKDQEFIEATKEPGITFVVAKFDGILGLGFQEISVGNATPVWYNMLKQGLIKEPVFSFWFNRNADEEEGGELVFGGVDPNHFKGKHTYVPVTQKGYWQFDMGDVLIGGAPTGFCESGCSAIADSGTSLLAGPTTIITMINHAIGAAGVVSQQCKTVVDQYGQTILDLLLSETQPKKICSQIGLCTFDGTRGVSMGIESVVDKENSKLSNGVGDAACSACEMAVVWIQSQLRQNMTQERILNYVNELCERLPSPMGESAVDCAQLSTMPTVSLTIGGKVFDLAPEEYVLKVGEGPVAQCISGFIALDVAPPRGPLWILGDVFMGKYHTVFDFGNEQVGFAEAA >fgenesh2_kg.1__1295__AT1G11915.1 pep chromosome:v.1.0:1:4781367:4782845:1 gene:fgenesh2_kg.1__1295__AT1G11915.1 transcript:fgenesh2_kg.1__1295__AT1G11915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLHSYFIFFFLLMAILLQSSTTSSQSNLCRSSCGNIPINYPFSIDDGCGSPYYRHMLICSDNNTKLELRTPSGKYPVKSISYSDPHLLVSDPFMWNCQDRDNFRPTRSFSIDSSTHFTVSPQNDYLFFNCNTEKVIVEPKPLFCERFPDRCDSSCDSSSYLCRHLPECGSALGSRVSCCSYYPKATQSLRLMLQNCATYTSVYWRSTGVENAPYDQFPEYGIRVDFEFPVTMKCLLCQETTKGSGVCGFNTRTRDFLCLCKQGNVTTYCKDPSLVKHKRVGAMAGTVTAVSAAGAIGVAGGVYWYLRKVRAKAPVTCGVQSNENRIF >fgenesh2_kg.1__1297__AT1G11925.1 pep chromosome:v.1.0:1:4785548:4786344:-1 gene:fgenesh2_kg.1__1297__AT1G11925.1 transcript:fgenesh2_kg.1__1297__AT1G11925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIMVLAIAITFSESPTVEAKHQHKYGRGIAASARNKGRKPIGATMTCDKSSKVCRLKGSPGRNCCRKRCVDLRTNKLNCGRCGKSCQYSEICCNGYCVNPMFDRRHCGGCFKKCKKGRSCAYGMC >fgenesh2_kg.1__12__AT1G02120.1 pep chromosome:v.1.0:1:35461:39593:-1 gene:fgenesh2_kg.1__12__AT1G02120.1 transcript:fgenesh2_kg.1__12__AT1G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSTASVSGSVDLPRGTMKVESSASPEVVSDLPPSSPEGSPDRHDPSSSSPSPSRGGDNQSEVISKSEEYRQLFRLPADEILVQDFNCACQESILMQGHMYLFIHYICFYSNIFGYETKKIIPFADISCVKRAKTAGIFPNAIEILAGGKKYFFASFLSRDEAFKLIHDGWLEYGSPVKAQGEIQVTEQQVNDGLVKRALSSMDLANELDIPLRDENLHLSGISSLPVISQNGLPPSSVQRHAEPDVDVVAANNFNWKPEDIDAPKLSSDFTKVAEAKFSIPVEEFFRLFFSDGAVNFVESFHKNCGDKEFRCTSWQPHEKLGHTRNVSFQHPIKIYFGAKFGGCQESQKFRMYRDSHLVIETSQEISDVPYADYFTVEGVWDLKRDCRDSIEGCILDVYVNVAFAKRTVWKGKIVQSTLEECREAYAHWIRMAHELLKQKKLENQEGNKLIEDGAPLAAKEERVSECEEEGKVEMVGEGVVKKSLKEAWVNLTSFLKRQSGTRQVIVLAFAVILLMQVTIVVLLKRGGGGQVEYHERYDEYSGNGESLGWLEKRMHFLREEIMMVEDRLQRMRQDHAALKAQFHHLERLVRRNQQ >fgenesh2_kg.1__1301__AT1G11950.1 pep chromosome:v.1.0:1:4798362:4802077:-1 gene:fgenesh2_kg.1__1301__AT1G11950.1 transcript:fgenesh2_kg.1__1301__AT1G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KN75] MDDIVSANGVNCKRNGVKDSSLETCWPEKKKPVEATSLSSGSSDIEEESSVECPKPVANQRRKRSKADEIKAKSSRKRKCDDEKKCEEKEKKQRSSVKKRATTWKDEEVVDDDEKKCEQELQVVPFIKATSRNRSKNADSADAWLVGNEIDVRASSSRSESELSDSHLKTEYFNDCRRMTRTLKADLGELAICHQCSKGERRYLFICTFCEERLYCFPCIKKWYPHLSTDDVLEKCPFCRGTCNCCTCLHSSGLIETSKRKLDKYERFYHLRYLIVAMLPFLKKLCKAQDQEIETEAKVQGSVASQVDISESLCSNEERVFCNHCATSIVDLHRSCPKCSFELCLNCCQEIRGGWLSERPECQLQFEYKGSRYVHGEDAEPSSSSVSEDETTNPSIKWNADENGSIPCAPKELGGCGDSVLELKRILPVTWMSDLEQKAETFLASYCINPPMSYCRCSSDLEMSMKRQAASRNKSSDNYLYSPDSFDVLKQEELLHFQEHWSKGEPVIVRNALNNTAGLSWEPMVMWRALCENVDSASSSTMSDVKAIDCLANCEVKINTRCFFEGYSKGRRYDNFWPEMLKLKDWPPSDKFENLLPRHCDEFISALPFQEYSDPRSGILNIATKLPEGLLKPDLGPKTYIAYGTSDELGRGDSVTKLHCDMSDAVNILMHTAEVTLSEEQMSAIEDLKQKHKQQNEKELQEQIDEIVIYDETGGALWDIFRREDVPKLEEYLRKHCKEFRHTFCSPVTKVYHPIHDQSCFLTVEHKRKLKAEFGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIDECLRLTDEFRQLPKNHKAREDKLEIKKMVIYAVEQALKEVETLLLDRS >fgenesh2_kg.1__1302__AT1G11990.1 pep chromosome:v.1.0:1:4809320:4812179:-1 gene:fgenesh2_kg.1__1302__AT1G11990.1 transcript:fgenesh2_kg.1__1302__AT1G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQERPNDEERPESRDLGVYGCSPPHSPRLGPNRFSVFAGDPNPKLTIKRCKRKRIQACNDQRTATAAIGVMAILGFFCLVNWFMLSRLHEGRVWLRRGLSNNPKLVSAQNEERQKFEKPKRKYNGTYGRMLSLAAHALAEQNKLEPKELWQEPKEQASAWKPCADQRSWTPDDGKNGYIMVTANGGINQQRVAVCNIVVVARLLNAALVIPKFMLSDVWTDASQFGDIYQEDHFMEYLSPDIRIVTELPEELQSLDLEEIGSVVTDIEVMKEAKPDFYMTHILPILLKNRVIHFVGFGNRLAFDPLPFELQRLRCRCNFHALNFVPRIQETAALLVRRLRDSGSYYLALHLRFEIDMVAHSLCYFGGGETEQKELDSYRQKHFPSLSTLTKKKKFRSADVLRTEGLCPLTPEEAVLMLAALGFNRETRVFVAGANIYGGSKRLAVLTSLYPNLVTKEKLLTESELQPFKNFSSQLAALDFIACAAADAFAMTDSGSQLSSLVSGYRIYYGGGKMPTIRPNKRRLSDILLKNSTIEWNVFERRVRKAIRQTKHVFARPNGRSVYRYPRCKECMCHA >fgenesh2_kg.1__1305__AT1G12020.1 pep chromosome:v.1.0:1:4853798:4854650:-1 gene:fgenesh2_kg.1__1305__AT1G12020.1 transcript:fgenesh2_kg.1__1305__AT1G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYRKGTVHPSPPQIKSDDHLLPLLPVAIFSLAAVLSPEDREVLAYLISTASYSGDRNPTSRLNKTKAHKKTHFDNHSPLFHCDCFSCYTSYWVRWDSSPSRQLIHEIIDAFEDSLEKKKKKNVTGKKDRRKRSGKSSSILASSSFSTDESEIPSRLGESVVNSCPCSSSSELAQDGGGCNGGLEPTDDFCARDACEEAEDEKGTVRRFLSFIGEKVLGVWG >fgenesh2_kg.1__1306__AT1G12030.1 pep chromosome:v.1.0:1:4855935:4860126:-1 gene:fgenesh2_kg.1__1306__AT1G12030.1 transcript:fgenesh2_kg.1__1306__AT1G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIGGRFIRTAAAFDVAAARARPPCASSSGSDHSPDDTEDLWDLVESFIDREVETLPEEAFEEEEDKSDEDYEDVKERLREILENHGGEERRRIMDEAVNASRFVVGEKRHFMTYLRNKGFDAGLCKSRWEKFGKNTAGKYEYVDVKVGDKNRYIVETNLAGEFEIARPTTRYLSLLAQLPRVFVGTPEELKQLVRIMCFEIRRSMKRAEIFVPPWRRNGYMQAKWFGHYKRTSNEVVSRVKSCGCGPRVGFEESVKTTAFIGFKEGEMKRSGLKVGRLTVAFTGSEVGLQIF >fgenesh2_kg.1__1307__AT1G12050.1 pep chromosome:v.1.0:1:4868946:4872409:1 gene:fgenesh2_kg.1__1307__AT1G12050.1 transcript:fgenesh2_kg.1__1307__AT1G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKSFIDVGSDSHFPIQNLPYGVFKPESNSTPRPAVAIGDLVLDLSAISQAGLFDGPILKDADCFLQPNLNKFLAMGRPAWKEARSTLQRILSSNEPILRDNDVLRRKSFYEMSKVEMIVPMVIGDYTDFFASMHHAKNCGLMFRGPENAINPNWFRLPIAYHGRASSIVISGTDIIRPRGQGHPQGNSEPYFGPSKKLDFELEMAAVVGPGNELGKPIDVNNAADHIFGLVLMNDWSARDIQAWEYVPLGPFLGKSFGTTVSPWIVTLDALEPFGCQAPKQDPPPLPYLAEKESVNYDISLEVQLKPSGKDDSCVITKSNFQNLYWTITQQLAHHTVNGCNLRPGDLLGTGTISGPEPDSYGCLLELTWNGQKPLSLNGTTQTFLQDGDQVTFSGVCKGDGYSVGFGTCTGKIVPSPP >fgenesh2_kg.1__1308__AT1G12060.1 pep chromosome:v.1.0:1:4872607:4873285:1 gene:fgenesh2_kg.1__1308__AT1G12060.1 transcript:fgenesh2_kg.1__1308__AT1G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 5 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KN87] MKSSKKFSSSSTTTTVIHTFDNDHITPPATKQIPIETPSSTTTRATDQTNAAAAAARIQSGYRSYRIRGLYKKIASVNREANRVQSMIQRQETVDAIRSDEKERLRMNETLMSLLLKLDSVPGLDPTIREARRKVSRKIVGMQEILDSISETKDEFQWWSYNDLGVDAGQGGGAWPLYWEEAVEEEMCREKGDVSRDFSENDGGLIRCVAVY >fgenesh2_kg.1__1309__AT1G12064.1 pep chromosome:v.1.0:1:4874013:4874542:-1 gene:fgenesh2_kg.1__1309__AT1G12064.1 transcript:fgenesh2_kg.1__1309__AT1G12064.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KN88] MKKTYNKFQSIFSSLIILIIILLSVSRAAATGSGGVCRHPPSQNSCKTCMAEQMIYACPKCVPVLRCMARCLWGGVTQRKCTTTCGCDTAAKPSLLECKRCVSRCKC >fgenesh2_kg.1__1312__AT1G12080.2 pep chromosome:v.1.0:1:4882837:4883729:1 gene:fgenesh2_kg.1__1312__AT1G12080.2 transcript:fgenesh2_kg.1__1312__AT1G12080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KN91] MATVEVDQVTPVAVENVEVPTKTVEEPVVETEVTQQPEESVPAVTEQESEAPVVETSEEVVVEEAEKKDEETEKKTEEEEEKTEVITETPAVEEEEKKAEEVTETPAVVEEEKKTEAVEEKPTEVAAAEEVAVEKTEE >fgenesh2_kg.1__1313__AT1G12090.1 pep chromosome:v.1.0:1:4890049:4890930:-1 gene:fgenesh2_kg.1__1313__AT1G12090.1 transcript:fgenesh2_kg.1__1313__AT1G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTTSSMALFLALNLLFFTTISACGSCTPCGGGCPSPKPKPTPKPTPSPSSGSSKCPKDTLKLGVCANVLNGLLDLTLGKPPVEPCCSLIQGLADVEAAVCLCTALKANILGINLNLPISLSLLLNVCSKQLPPGFQC >fgenesh2_kg.1__1315__AT1G12120.1 pep chromosome:v.1.0:1:4915399:4918078:-1 gene:fgenesh2_kg.1__1315__AT1G12120.1 transcript:fgenesh2_kg.1__1315__AT1G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFFGLSKEEVLSQKHVVSYKETSKQTPINMVTLFGRQVYQVKSESSKSDDGYQRLNSPKLLAKDIDLRLPADHYAYTNFHETLGSGKFYGIGGYRDTVEMRLHSGHDANNVKEASTTNWSGKRNPRIVIDLEEPPPTGVSHRETTEHAAASVSYVTVRNPETKPLFFDRISSNILKVVDQSLVEYDSDKTTSKEPSLLDLNSTPVDESVSEPHYCFLQDLNCPYIEEETETSCEKSGIDDGPTPLCSPQCQNVHEKDGTASPASYTSCCTTENNSRTESRRSSSPRALEPSCRTRLEFTNTEDLLEGNGCCNEEEDSSEVIQMAAESLVHISEISYQNQDLQSKLVSRINSSSQDQDFPDKPEMGKAEPGCSCDSYERHTLGIRETNTEEDFCVSSVARDELNNITRDSNKEIGLKLRRGRRMKNFQKEILPSLTSLSRHEIREDINILEAVLRSREYKKLQGKTKDVKFRANPRNKRSAPQRCFGKRKRKSE >fgenesh2_kg.1__131__AT1G01060.3 pep chromosome:v.1.0:1:504510:508725:1 gene:fgenesh2_kg.1__131__AT1G01060.3 transcript:fgenesh2_kg.1__131__AT1G01060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNISGEELLAKARKPYTITKQRERWTDDEHERFLEALRLYGRAWQRIEEHIVTKTAVQIRSHAQKFFTKLEKEAEAKGIPVCQALDIEIPPPRPKRKPNTPYPRKHGNNGTSSSQVSSAKDAKLASSASSSQFNQSFLDLEKMPFSEKTSTGKENQDDNCSDVSTVNKYPLPKKKVSGDIETSKTSTVDNAVQDAPKKNKHKDGNDGTVYSVQNYLWHSHAGIVNGNIAKCPQNHPLGMVSQDFMFHPMREESHGHANLQATTASATASHQAFPACHSQNDYHSFLQMSSTFSNLIMSNLLQNPAAHAAATFAASVWPYANVGNSGDSSTPVSSSPPSIAAIAAATVAAATAWWASHGLLPVCAPAPITCVPLPTPAMTEMGTVENAQLPLEKQNTALQDQNVASKSLASSSDDSEETGVTKLNVDSKTQGDKVGEVVAAAAVHDSNTTQKKNMVDRSSCGSNTPSGSDAETDALDKMEKDKEDVKETDENQPDVIELNNRKIKMRDNNNNNNNPTTDSWKEVSEEGRIAFQALFAREKLPQSFSLPQVAENVNGKQRDTAMPLVPNFKSQDSCAADQERVVMIGVGPGKNLKTRQTGFKPYKRCSMELKESQVGNTNNQSDEKVCKRLRLEGEAST >fgenesh2_kg.1__1320__AT1G12160.1 pep chromosome:v.1.0:1:4927485:4929592:1 gene:fgenesh2_kg.1__1320__AT1G12160.1 transcript:fgenesh2_kg.1__1320__AT1G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7KN99] MTSLITSRARHVAVIGLGAAGLVAVRELRREGHTVIGFEREKHVGGLWVYTDRVEPDSLSVDPDRTIVHSSVYQSLRTNLPRECMGYSDFPFVTRSGEGDPRRYPDHREVLMYLQDFAKEFKIEEMIRFDTEVLCVEPTPENNRNWSVQFKSSSGVSGEEIFDAVVVCNGHFTEPRLAHIPGIESWPGKQIHSHNYRIPDLFKDQVVIVIGSQASGNDISKDIATIAKEVHISSKAVASDSYGCYDNLRIHPTIYRAREDGSVVFRNGKVVFADAIVHCTGYKYHFPFLKNNGYVTVEDNRVGPLYKHVFPPAFAPGISFIGLPFMGLQFFMFEIQSKWVASVLSGRVKLPTEDKMMEEAIAFYTKLEDLGIPKRYTHFLTDPRGNPMLGTFKPEDAVVISQSDYFNWIAKQCRCTYIERWRERLYNVAIKKIFFGGDSYRDQWDDDQFIEEVYGEFAKLKSNQDCSS >fgenesh2_kg.1__1321__AT1G12170.1 pep chromosome:v.1.0:1:4929901:4931008:-1 gene:fgenesh2_kg.1__1321__AT1G12170.1 transcript:fgenesh2_kg.1__1321__AT1G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHVILPWELVEEILYRVPPLSLIRFKIVCKQWNTLFKSKSFVNNHLVRVRPQFLLWTDSKMYSVSVNLNNDPKIDMRELPLDISYLNNCTRTSFTPCDGLLFCDSWSWENKAAVWNPWLRQTKWIKFDTIVVDLKKRELSNDTFGPNTMPVYRRVDIYKLETNVWKSINTFSKAVEIRTCSDVSLNGNLYWVLTNHDTDEYFIESFDFSKEIYKFFCALPWDYKSFAFPVLSTFRKNRLSVLKRMTATNNTEIWVTKDKINDDGEHVAWIKFMTVSVPISSYSRPSYFIDNVYQKSLIMCCEDENSKLCIYIVRGNALTKTQIIGVDAKHFINYCSYVPSLIPVP >fgenesh2_kg.1__1322__AT1G12190.1 pep chromosome:v.1.0:1:4935503:4936615:-1 gene:fgenesh2_kg.1__1322__AT1G12190.1 transcript:fgenesh2_kg.1__1322__AT1G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KNA2] MACMNIPGELVEDILYRVPSPSISRFKTVSKEWNTLLNDKAFIKKHLTLIRPQFLLWTNSKVYSVGVSLNDDPKIELRELLLDIPDVIDHRTTNFLPCNDLLFCASWWWNNKAAVWNPSLRQTRLIKSGEEHFRFGGIGYDNGRHGKGYHIFGHSHSRQSVNGNTSKFNKRFSISKFESNAWKCINDVSDGENSIGGDSLDNNVSLNGNLYWTTYSYETDEYLIRSFDFSKEIFKIFCVLPWKKDSSDIPILSVFRGDRLSVLNKSKGTNNMEIWVTKNKINEDVKNLVWKMFMTVSIPIYKGSNPSYFINDIYEKRLVMFCSDENGKACVYIAKGHARKKIQIGFDVSEFSHCFYDPNLFPIPSESSDQ >fgenesh2_kg.1__1327__AT1G12244.1 pep chromosome:v.1.0:1:4964603:4965867:1 gene:fgenesh2_kg.1__1327__AT1G12244.1 transcript:fgenesh2_kg.1__1327__AT1G12244.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7KNA7] MCSLHSLSSVAISFRTQKYVNTPLVPRLSSIGSRNNPLPLRAATSIDEIPPNAVRRKIDRDWRGGFSLGVDLGLSRTGVAISKGYTVKPLTVLKSRGQKLETRLLEIAEEEEVDEFIIGLPRSSDGKETIQSNKIRSVAGRLAVQAAERGWRVYVFDEHGTTSEASDRMIVMGLSKSERQNRSDAYAAVILLERYFSTQGLGVEIILPKSLELQAKIKNGAPVDPDFNPENLEEYYTF >fgenesh2_kg.1__1329__AT1G12250.1 pep chromosome:v.1.0:1:4966078:4968293:1 gene:fgenesh2_kg.1__1329__AT1G12250.1 transcript:fgenesh2_kg.1__1329__AT1G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSLSPLPMKSLDISRSSSSVSRSPYHYQRYPLRRLQLSSRSNSEIKDSSNAREGCCSRSESNTWKRILSAAMAAAVIASSSSVPAMAELNRFEADTRGEFGIGSAAQYGSADLSKTIHSNENFRRANFTSADMRESDFSGSTFNGAYLEKAVAYKANFSGADLSDTLMDRMVLNEANLTNAVLVRSVLTRSDLGGAKIEGADFSDAVIDLLQKQALCKYANGTNPLTGVDTRKSLGCGNSRRNAYGSPSSPLLSAPPQRLLGRDGFCDEKTGLCDAK >fgenesh2_kg.1__1330__AT1G12260.1 pep chromosome:v.1.0:1:4969853:4971492:-1 gene:fgenesh2_kg.1__1330__AT1G12260.1 transcript:fgenesh2_kg.1__1330__AT1G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFSHVPPGFRFHPTDEELVDYYLRKKVASKRIEIDFIKDIDLYKIEPWDLQELCKIGHEEQSDWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYLRHSLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGTPQEEGWVVCRVFKKRLAAVRRMGDYDSSPSHWYDDQLSFMASELETNGQRRILPNHHHQQQHEHQQHMPYGLNASAYALNNPNLQCKQELELHYNHLVQRNHLLDESHLSFLQLPQLESPKIQQDNSNCNSLPYGTSNIDNNSSHNANLQQSNLAHEEHLNQGNQNFSSLYMNSDNEQVMDQVTDWRVLDKFVASQLSNEEAATASASIQNNAKDTSNAEYQVDEEKDPKRASDMGEEYAASTSSSCQIDLWK >fgenesh2_kg.1__1335__AT1G12320.1 pep chromosome:v.1.0:1:5005370:5006366:1 gene:fgenesh2_kg.1__1335__AT1G12320.1 transcript:fgenesh2_kg.1__1335__AT1G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPETASKAYIDTVKSCENLETPDAAELIAAMAAGWNAKLIVETWSEGDAISSSIGLNVASQHANAKHICIVQNSRSESAYLQAIQESSSPLNLPETIIAEEPEKAMKKLQGIDFLVVDWRNKEFAAATLKNAAFGSRGAVVVCRNGYSSLRRVLRDRKVVRTVTLPVTGGIEIAHVAARNSGKSEKSNKRRWITHVDQRSGEEHVFSI >fgenesh2_kg.1__1336__AT1G12330.1 pep chromosome:v.1.0:1:5012181:5014311:1 gene:fgenesh2_kg.1__1336__AT1G12330.1 transcript:fgenesh2_kg.1__1336__AT1G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSSPSKSPVPSLHQSLHFTPILECEEDDLQEERYKNRATPSSDGGSSATPNHHHHRRNNSNNHQHTLTPLHHNGKPQTRKRHDDNDEDDGGAVSCNKCRPHHSHRDKFSVVPLESHNNPSFISSPNLIIKSIFQSLTRRSPKPSSATAALPPRSSSSSAADASREEQWRLAVAELSHKLIQATKKKEDAVIEASRLKSSMAELEKKLNKLEIYCHNLKSGLDECSNKKQSVTIRKDGFNDRIIQQFLVSVSESRSSIRALSRSLASQLRTVGGKVYERLSLLLQPFDVKINSFAKNPKSLIFYLEAILSRAFFEDFEASGFQKNGSTRILNPIDRCESNYASFNVLMELTWDEVLSRGTKHFSEEFSRFCDRKMSDVVSMLSWNRAWPEPLLQAFFGASKSVWLVHLLANSVNPGLQIFRVEKDDRFDPIYMEETGGERFKSLVRAMVQPGFYVYGSVVKCKVVCKQCGSDEEELEDRTVKECNKSEKSLISICSPLGG >fgenesh2_kg.1__1338__AT1G12360.1 pep chromosome:v.1.0:1:5016581:5022026:1 gene:fgenesh2_kg.1__1338__AT1G12360.1 transcript:fgenesh2_kg.1__1338__AT1G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSDSDSSSHGGDYKNFRQITRERLLYEMLRSAKTGSSKSTWKVLIMDKLTVKIMSYACKMADITQEGVSLVEDIFRRRQPLPSMDAIYFIQPTKENVIMFLSDMSGKSPLYKKAFVFFSSPVSKELVGHIKKDSSVLPRIGGLREMNLEFFAIDSQGFITDHERALEDLFGDEETSRKGDACLNVVASRIATVFASLREFPAVRYRAAKSLDASTMTTLRDLIPTKLAAGIWNCLAKHKQSIENFPQTETCELLILDRSIDQIAPVIHEWTYDAMCHDLLNMEGNKYVHVIPSKSGGQPEKKDVLLEEHDPIWLELRHAHIADASERLHDKMTNFLSKNKAAQLQGKRDGAELSTRDLQKMVQALPQYSEQIDKLSLHVEIARKLNDLIREQGLRELGQLEQDLVFGDAGMKDVIKYLSTQEEASREGKLRLLMILATIYPEKFEGEKGQNLMKLAKLSSDDMTAVNNMSLLGSAVDAKKNTPGGFTLKFDLHKKKRAVRKERQEEAAWQLSRFYPMIEELIEKLSKGELPKEDFPCMNDPSPSFHGSTSLSSAATSSQGQAAQSMRSRRTPTWAKPRGSDDGYSSDSVLRHASSDFRKMGQRIFVFIVGGATRSELKVCHKLSTKLKREVILGSTSLDDPPQFITKLKLLTANDLSIDDLQI >fgenesh2_kg.1__1339__AT1G12370.2 pep chromosome:v.1.0:1:5022047:5024538:-1 gene:fgenesh2_kg.1__1339__AT1G12370.2 transcript:fgenesh2_kg.1__1339__AT1G12370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAISVQTGRIRILKKGSWQPSDQTVGPVVYWMFRDQRLNDNWALIHAVDLANRTNAPVAVVFNLFDQFLGAKARQLGFMLKGLRQLHHQIDSLQIPFFLLQGDAKETIPKFIAECGASHLVTDFSPLREIRSCKDEVVKRTSDSLAIHEVDAHNIVPMWAASGKLEYSARTIRGKINKLLPDYLIEFPKLELPKKKWTGMMDKKMVDWDSLIDKVVREGAEVPEIEWCVPGEDAGMEVLMGKKDGFLTKRLKNYSADRNNPVKPKALSGLSPYLHFGQISAQRCALEARKVRNTSPQAVDTFLEELIVRRELSDNFCYYQPHYDSLKGAWEWARKSLMDHASDKREHIYSLEQLEKGQTADPLWNGSQLEMVYQGKMHGFMRMYWAKKILEWTKGPEEALSISIYLNNKYEIDGRDPSGYVGCMWSICGVHDQGWKERPVFGKIRYMNYAGCKRKFNVDSYISYVKSLVSVTKKKRKAEEQLTRDSIDPKINSV >fgenesh2_kg.1__1344__AT1G12400.1 pep chromosome:v.1.0:1:5039733:5040568:1 gene:fgenesh2_kg.1__1344__AT1G12400.1 transcript:fgenesh2_kg.1__1344__AT1G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAIKGVFISCDIPMTQFIVNMNNSMPPSQKFIIHVLDSTHLFVQPHVEQMIRSAISDFRDQNSYEKPTS >fgenesh2_kg.1__1345__AT1G12410.1 pep chromosome:v.1.0:1:5040854:5042894:1 gene:fgenesh2_kg.1__1345__AT1G12410.1 transcript:fgenesh2_kg.1__1345__AT1G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:D7KNC3] MAVSFNTTLHQPSLSPSCSIKLYSGLKPQSASFITSGYQNLNKEFYGRVHKSLQSGTGKASRSRVKMMPIGTPRVPYRNREEGTWQWVDIWNALYRERVIFIGQNIDEEFSNQILATMLYLDTLDDSRRIYMYLNGPGGDLTPSLAIYDTMKSLKSPVGTHCVGLAYNLAGFLLAAGEKGHRFAMPLSRIALQSPAGAARGQADDIQNEAKELSRIRDYLFNELAKNTGQPAERVFKDLSRVKRFNAEEAIEYGLIDKIVRPPRIKEDAPRQDESAGLG >fgenesh2_kg.1__1346__AT1G12420.1 pep chromosome:v.1.0:1:5045113:5047938:-1 gene:fgenesh2_kg.1__1346__AT1G12420.1 transcript:fgenesh2_kg.1__1346__AT1G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGYLDEYEKLVIRMNTPRVVIDNGVCSSATIVKVDSSRRNGILLEAVQILTDLNLSIKKAYISSDGRWNMDVFHVTDLNGNKLNDQSVLRYIEQSIETVYYGENIEVNGLTALELTGTDRIGLLSEMFAVLSDLNCDVVDAKLWTHNGRVASVIYLRDGSSGAPILDSQRISKIEGRLKNVLNGDNDVNSAAKTCVSVDSMMHIERRLHQLMFEDRDYERRSNKQETSPTVVVTVQNWAERGYSVVNVHCRDRTKLLFDVVCTLTDMEYAVFHATINTAEDQAHLEFYIRHKDGSPISSEAERQRVILCLEAAVERRALEGVRLELRHPDKQGLLAEVTRTFRENGLNVTRTEISTSSEMATNIFYVTDANGDEPDFKLIESVREKIGLECLRVKEMPTMNHKKGDGEEQQTKAVLVSLGSLVWRNLFNFGLIKSCS >fgenesh2_kg.1__1347__AT1G12430.1 pep chromosome:v.1.0:1:5053442:5058200:-1 gene:fgenesh2_kg.1__1347__AT1G12430.1 transcript:fgenesh2_kg.1__1347__AT1G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7KNC6] MSTTSGTGALNYRNGTQRSSLRTQSSTSTSSGGQKSSVKSKSVLRKSSPAALGGGGSSKSGSGGDAGVPGRVRVAVRLSLRYGEELIADADFADCTYTLGQLGEEDVADRGIMVRAMEDILAEVSLETDSISVSYLQLYMETVQDLLDPSNDNIAIVEDPKNGDVSLPGATLVEIRDQQSFLELLQLGEAHRFAANTKLNTESSRSHAILMVNVRRSLKTRDGLSSESNGNSHMTKSLKPPVVRKGKLVVVDLAGSERINKSGSEGHTLEEAKSINLSLSALGKCINALAENSSHVPFRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETTSTIMFGQRAMKVENMVKLKEEFDYKSLSRRLEVQLDNLIEENERQQKAFVDEIERITVEAHNQISEAEKRYANALEEEKLRYQNDYIESIKKLEENWSKNQKKLAAERLALGEKNGLDITSHGNVTSLLSIAPAIEEVSELKKLLQKEAQSKMAAEEEVNRLKHQLTEFQKVEASGNSEIMRLHKMLENETQQKEELEGEIATLHSQLLQLSLTADETRRNLEQHGSEKTSSARDSLMSQLRLPQIQDPGNAEKPPVARLFEQVGLQKILSLLEAEDADVRIHAVKVVANLAAEEANQQQIVEAGGLTSLLMLLKNTEDETIHRVAAGAIANLAMNETNQELIMDQGGIGLLSSTAANAEDPQTLRMVAGAIANLCGNDKLQTKLRSEGGIAALLGMVRCGHPDVLAQVARGIANFAKCESRASTQGTKRGKSLLIEDGALSWIVQNAKTETAAIRRHIELALCHLAQHEGNAKEMVKEGAIWELVRISRDCSREDIRSLAHRTLTSSPTFLTELRRLHVDIR >fgenesh2_kg.1__1348__AT1G12440.1 pep chromosome:v.1.0:1:5061095:5061835:-1 gene:fgenesh2_kg.1__1348__AT1G12440.1 transcript:fgenesh2_kg.1__1348__AT1G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQNDGTSFSPSEPKLCVKGCGFFGSPSNMNLCSKCYRDIRATEEQTASAKAAVEKSLNPNKPKIQPQQSQEITQGVLESGSSSSSTTSGGDLAAAPLDPPKSTATRCLSCNKKVGVTGFKCKCGSTFCGTHRYPETHECEFDFKGVAREAIAKANPVVKADKVERI >fgenesh2_kg.1__1349__AT1G12450.1 pep chromosome:v.1.0:1:5063797:5065525:1 gene:fgenesh2_kg.1__1349__AT1G12450.1 transcript:fgenesh2_kg.1__1349__AT1G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSNGVDGTVPELKLRVEDSENGDYVKLRGRSDEEEEGSSAESSGFSIGSVTSVWFWVKLISLVVCLVSLAFVIIKWIGPFLIEKELIPFINWVRNTFSIPVLGLLLFASVALFPSILLPSSPSMWMAGLTFGYGKGFLLILSAASIGVTLPFLIGHLFLHKMQEWLKKYPKKAAILRAAGEGTWFHQFQAVTLIRVSPFPYIIYNYCALATGVHYGPYILGSLVGMVPEIFVSIYTGIMLRTLAVASDTRHTLSVVEIVVNVLGFCVTASATIVCTIYAKKKLSAMQSEDVETLQNPIL >fgenesh2_kg.1__134__AT1G01030.1 pep chromosome:v.1.0:1:527878:530499:1 gene:fgenesh2_kg.1__134__AT1G01030.1 transcript:fgenesh2_kg.1__134__AT1G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLAPTSSDQEQDRDQELTSNIRASSSSGPSGNNNNLPMMMIPPPEKEHMFDKVVTPSDVGKLNRLVIPKQHAERYFPLDSSNNQNGTLLNFQDRNGKMWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFQRGIGDESERSKLYIDWRHRPDMSLVQAHQFGNFGFNFNFPTTSQYSNRFHPLPEYKSVPIHRSLNIGNHQRSYYNNQRQEFVGYNYGNLAGRCYYTGSPLDQRNIVGSEPLVIDSVPVVPGRLTPVILPPLPPPPSTAGKRLRLFGVNMECGIDYNQQEESWLVPRGEMGASSSSSALRLNISTDHDDDTDDGDGGDDDQFAKKGKSSLSLNFNP >fgenesh2_kg.1__1352__AT1G12480.1 pep chromosome:v.1.0:1:5082368:5084374:-1 gene:fgenesh2_kg.1__1352__AT1G12480.1 transcript:fgenesh2_kg.1__1352__AT1G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport family protein [Source:UniProtKB/TrEMBL;Acc:D7KND2] MERKQSNAHSTFADINEVEEEADQEPQQQENNNKRFSGNRGPNRGKQRPFRGFSRQVSLETGFSVLNRESRERDDKKSLPRSGRSFGGFESGGIINGGDGRKGDFSMFRTKSTLSKQKSLLPSIIRERDIENSLRTEDGETKDDSINENVSAGRYFAALRGPELDEVKDNEDILLPKEEQWPFLLRFPIGCFGICLGLSSQAVLWLALAKSPATNFLHITPLINLVVWLFSLVVLVSVSFTYILKCIFYFEAVKREYFHPVRVNFFFAPWVVCMFLAISVPPVFSPKYLHPAIWCVFMGPYFFLELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILASKVGWNEVAKFLWAVGFAHYLVVFVTLYQRLPTSEALPKELHPVYSMFIAAPSAASIAWNTIYGHFDGCSRTCFFIALFLYISLVVRINFFTGFKFSVAWWSYTFPMTTASVATIKYAEAVPGYPSRALALTLSFISTVMVCVLFVSTLLHAFVWQTLFPNDLAIAITKKKLTREKKPFKRAYDLKRWTKQALAKKISAEKDFEAEEESRH >fgenesh2_kg.1__1353__AT1G12500.1 pep chromosome:v.1.0:1:5087298:5089182:-1 gene:fgenesh2_kg.1__1353__AT1G12500.1 transcript:fgenesh2_kg.1__1353__AT1G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQSWTTRRMSNPRFDAATPTIVDIPGTPPHSSASSPLKPFFLSFPTVSPTILTAAIIAAWFGSNIGVLLLNKYLLFYYGFRYPIFLTMTHMLSCAAYSSAVINVAGIVPRQHILSRRQFLKILSLSAIFCLSVVCGNTSLRYIPVSFNQAIGATTPFFTAVFSFLITCKTESTEVYLALLPVVSGIVLASNSEPSFHLFGFLICVASTAGRALKSVVQGIILTSESEKLHSMNLLLYMAPMAACILLPFTLYIEGNVLRVLIEKARTDPLIIFLLAGNATVAYLVNLTNFLVTKHTSALTLQVLGNGKAAVAAGVSVLIFRNPVTVMGIAGFGVTIMGVVLYSEARKRSKLLNQK >fgenesh2_kg.1__1356__AT1G12520.1 pep chromosome:v.1.0:1:5092073:5093716:-1 gene:fgenesh2_kg.1__1356__AT1G12520.1 transcript:fgenesh2_kg.1__1356__AT1G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cu/Zn-superoxide dismutase copper chaperone [Source:UniProtKB/TrEMBL;Acc:D7KND5] MASILRSVATTSAVVAAASAIPIAIAFSSSSSFTNPKSQSLNFSFLSRSSPRRLGLTRSFASTPMTTVLTSDRNLPQEDRVMPQLLTEFMVDMKCEGCVNAVKNKLETIEGIENVEVDLSNQVVRILGSSPVKAMTQALEQTGRKARLIGQGVPQDFLVSAAVAEFKGPDIFGVVRFAQVSMELARIEANFTGLSPGIHSWCINEYGDLTNGAASTGNLYNPLQDNTNTEPLGDLGTLEADKNGEAFYTGKKEKLKVADLIGRAVVVYNTADNKSGPGLTAAVIARSAGVGENYKKLCSCDGTVIWEATNSDFVTSKV >fgenesh2_kg.1__1357__AT1G12540.1 pep chromosome:v.1.0:1:5098975:5100048:1 gene:fgenesh2_kg.1__1357__AT1G12540.1 transcript:fgenesh2_kg.1__1357__AT1G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSEIREGDDEPKNKRAKHKELERQRRQEITSLFKNLRYLLPSQYTKGKRSSADHVLEAVNYIKDLQKKIKEVSKKRDRIKRSITHPSSTGECSIISLTSSTCSCTCWRHTHCCYRSKLLLQTRILSLKCSSTSGRRAINALMLIVHTIVSEVEEGIEVYYSELQENIIKMGTTYVVML >fgenesh2_kg.1__1358__AT1G12550.1 pep chromosome:v.1.0:1:5100394:5101688:1 gene:fgenesh2_kg.1__1358__AT1G12550.1 transcript:fgenesh2_kg.1__1358__AT1G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase family protein [Source:UniProtKB/TrEMBL;Acc:D7KND8] MTESSDPPLVLIHRPPSLTFMDETLSREFRTLITDTSSESLPSFLSRHASSARAFVVVGRLPVTEELLSHLPSLQILVCTSVGIDHIDLAACKRRDLVITNAGNAFSDDVADCAVGLLLSVLRRIPAADRYVRSGNWAKFGDFQLGSKVCSKKVFVVVTGKIGSFVAKRLESFGCIISYNSRSQKQSSSYRYYPDILSLAADNDVLVLCCSLTDETHHIVNREVMESLGKDGVIVNVGRGGLIDEKEMVKCLVEGVIGGAGLDVFENEPAVPEELFGLDNVVLSPHLAVATSGSLDNVAEIGLANLRAFFSNRPLLSPVQLD >fgenesh2_kg.1__135__AT1G01020.1 pep chromosome:v.1.0:1:533525:535284:1 gene:fgenesh2_kg.1__135__AT1G01020.1 transcript:fgenesh2_kg.1__135__AT1G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEHRCVGCGFRVKSLFIQYSPGNIRLMKCGNCKEVADEYIECERMIIFIDLILHRPKVYRHVLYNAINPETVNIQHLLWKLVFVYLLLDSYRSLLLRRTDEESSFSHSSVLISIKVLIGVLSANAAFIFSFAIAAKGLLNEVSRGREIMLGICISSYFKIFLLAMLVWEFPMSVIFIVDILVLTSNSMALKVMTESTMTRCIAVCLIAHLVRFSVGQIFEPTIFLTQFGSLMQYLSYLFRTV >fgenesh2_kg.1__1361__AT1G12580.1 pep chromosome:v.1.0:1:5111015:5114041:1 gene:fgenesh2_kg.1__1361__AT1G12580.1 transcript:fgenesh2_kg.1__1361__AT1G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDIADKENTSPLLFEFCNCYKVASLTETILNPVNVSNLKDRYVLGEQLGWGQFGVIRVCSDKLTGERLACKSISKDRLVTQDDMKSIKLEIAIMAKLAGHPNVVNLKAVYEEKDYVHLVMELCAGGELFHKLEKYGRYSEFRARVLFKHLMQVVKFCHDSGIVHRDLKPENILMATMSSSSPIKLADFGLATYIKPGEKLSGTVGSPFYIAPEVLSGGYNQAADVWSAGVILYILLSGVPPFWGKTKSKIFDAVRAADLRFSAEPWDHITSYAKDLIRGMLCVDPSQRLSADDVLAHSWMEQLSESGQEQYDQDGFGCEGLDNGGCSFSTQCISREQDYSFSVGQLEQSTDNECKSSFSSFLPVDNTLPNSGFGGFSFDGKQPESTSACFSSTGIPSMPSFTFFSPIPATTQNNNISETDGKVRDSSPKRLLPSPDSSSQLERHEEAGENQTEAGGKSETRRERGNWSRMSGLHSKRNRTIGLGELDQLVVDVAVTASIIRWASCTHIPTAPSLRLSLVC >fgenesh2_kg.1__1363__AT1G12610.1 pep chromosome:v.1.0:1:5118402:5119370:-1 gene:fgenesh2_kg.1__1363__AT1G12610.1 transcript:fgenesh2_kg.1__1363__AT1G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKKRAGRRVFKETRHPVYRGIRRRNGDKWVCEVREPTHQRRIWLGTYPTADMAARAHDVAVLALRGRSACLNFADSAWQLPVPESNDPDVIRRVAAEAAEMFRPVDLGSGITVLPSLGDDVDLGFGSGSGSGSGSEERNSSSYAFGDYEEVSTTMMRLAEEPLMSPPRSYTEDMTPSNVYTEEEMCYEDISLWSYSY >fgenesh2_kg.1__1366__AT1G12650.3 pep chromosome:v.1.0:1:5196726:5198624:1 gene:fgenesh2_kg.1__1366__AT1G12650.3 transcript:fgenesh2_kg.1__1366__AT1G12650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAGKFEGSSSKIVFEDSEEDEDLSCSSVSSSDEEEEPEKELTFEEIHKLRADGSKAVPWKPNQVKKTGRANKKRPMELSSKKPVSRYREVVQVPKKEVRDPRFNQLGGTLDVEGFRKRYNFFFEDKLPVEREELKKKLKKTKNPEEVNELKNQLTYVEKMLKYEPSTKNKGAAILTEHKKKEREAAKEGKRPYYLKKSEIRKQTLIEKYNSLKESGKLTSYLDKRRKKNATKDHRFMPYRRAEE >fgenesh2_kg.1__1372__AT1G12672.1 pep chromosome:v.1.0:1:5206047:5208469:1 gene:fgenesh2_kg.1__1372__AT1G12672.1 transcript:fgenesh2_kg.1__1372__AT1G12672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFVTMMIVMVMGNLLIQTEAQAQAQPSPFKTCYPGCVLTCFYQHKFPKFLLCPFTCLGTCLFPHPSQMVSTNEINHTP >fgenesh2_kg.1__1373__AT1G12680.1 pep chromosome:v.1.0:1:5209282:5212411:-1 gene:fgenesh2_kg.1__1373__AT1G12680.1 transcript:fgenesh2_kg.1__1373__AT1G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRKGSETEGWENLPEDLLCSTASRSSNFRSHFSLEGYARLKKRCKETEAVESVGSFKRRIAGVATAPPCGASSLVSSGRGLKRKIGCIDVSTQTGRKNKIGDDYVFGRNIGKGKFGSVRICKSRKNGTEFACKTLKKGEETVHREVEIMQHLSGHPRVVTLHAVYEESDSFHLVMELCSGGRLIDQMVKVGRYSEQRAANIFKDLMLVINYCHEMGVVHRDIKPENILLTAAGKIQLADFGLAMRIAKGQTLSGLAGSPAYVAPEVLSENYSEKVDIWSAGVLLYALLSGVLPFKGDSLDAIFEAIKNVKLDFNSGVWESVSKPARDLLARMLTREESARITADEVLRHPWILFYTDRTLKTMCIKSKHKSQAASLPCLQNRSPTEKTDLNRANREKKIPSDSPTDSFSNTEEEEDESGVVDVLVVAISNVRISEPKRSRVCSPTNNPIEQQHSSNLTSTNTLCRAF >fgenesh2_kg.1__1374__AT1G12700.1 pep chromosome:v.1.0:1:5215295:5217671:-1 gene:fgenesh2_kg.1__1374__AT1G12700.1 transcript:fgenesh2_kg.1__1374__AT1G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KPA5] SLRLVQPHLLDTGTLRTDFLCTISGISDGRVCYGERLRSGIVDIKEDDAIDLFQEMIRSRPLPSLVDFSRFFSGVASTKQFNLVLDFCKKMELNGIAHNIYTLNIMINCFCRCCKTCFAYSVLGKVMKLGYEPDTTTFNTLINGLCLESKVSEAVVLVARMVENGCQPNVVTYNSIVNGICKSGDTSLALDLLRKMDERNVKADVFTYSTIIDSLCRDGCIDAAISLFKEMETKGIKSSLVTYNSLVGGLCKAGKWNDGVQLLKDMTSRKIIPNVITFNVLIDVFVKEGKLQEANELYKEMITKGISPNTITYNSLMDGYCMQNRLSEANNMLDLMVRNNCSPDIVTFTSLIKGYCKVKRVDEGMKLFRKISKRGLVANTVTYSILVQGFCQSGKLEIAEELFQEMVSLGVLPDVMTYGILLDGLCDNGKLEKALEIFEDLQKSKMNLDIVMYTIIIEGMCKGGKVEDAWNLFCSLPCKGVKPNVMTYTVMISGLCKKGSLSEANILLRKMEEDGNEPNDCTYNTLIRAHLRDGDLTASAKLIEEMKSCGFSADASSIKMVIDMLSSAVWWFKEPRRSPGVKRFRGTQAYLMPFNTITASLNFNTIEAHGMSSAELHRDLRQVGRSEVLKKRSARGLDDAECDLMVDLELPTDAVHNAHRAGKMRRC >fgenesh2_kg.1__1376__AT1G12710.1 pep chromosome:v.1.0:1:5266416:5267949:-1 gene:fgenesh2_kg.1__1376__AT1G12710.1 transcript:fgenesh2_kg.1__1376__AT1G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-A12 [Source:UniProtKB/TrEMBL;Acc:D7KPB8] MGVAHSDLHNDLSSSSCFGDRNLSKLGLGDFPEACVAMIVENLDPVEICRFSKLNRAFRGASWADCVWESKLPPNYRDVLEKILGGFPENLQKRDLYTFLCRINSFDDGTKKVWIDKRTSGVCLSISAKGLSITGIDDRRYWSHIPTDESRFSSVAYLQQIWWFEVDGEIDFPFPVGTYSIFFRLQLGRSGKWFGRRVCNTEQVHGWDIKPVRFQLWTEDGQYSSSQCMLTERGNWIHYHAGDFVVRESNRSSTKIKFSITQIDCTHTKGGLSLDSVVVYPSSCKGQLKRF >fgenesh2_kg.1__1378__AT1G12730.1 pep chromosome:v.1.0:1:5270339:5273573:1 gene:fgenesh2_kg.1__1378__AT1G12730.1 transcript:fgenesh2_kg.1__1378__AT1G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KPB9] TKEEKKDDGVMAEEEPCMFWIWAMASTIFRLFLIFFFPENINASSRPELSTPLTSLRRLAEGYWLKQSSLSPYAGSMYHGSPLLLSILGPLTIQRIEGKSSHHLCSLVFVIADILSALLLRATGQKLQKAYRLNLRRLGLLTSLQDRGILPCGDIAALVHLWNPFTILSCVGLSTSPIENLAVIQLLEKVFLSILDENPAFGRVPLAAFGLVMATHLSLYSATLAIPLIFLLGYGLDAPPVKKFLQTRHVGVETTGSTSDVSKQDKLTQTTQLFLWRTVLYFVFWVLVWSSYVLVLCSLSLKQYGGLEEMFKRTYGFILRIEDLSPNIGVFWYFFAEVFDFSRNYLLIVFNLYILLTGIPPLAFRLKHRPCFLAFAYLAFSSILKSYPSVGDAALYLSLWALFVNELTDMEHSFFIFCGYIGFSLLSPVMHNIWIWRGTGNANFYFGNAMGYACFQYMFVEKSVNAMLNHDQALKKATSEMTKN >fgenesh2_kg.1__1381__AT1G12750.2 pep chromosome:v.1.0:1:5281645:5284218:-1 gene:fgenesh2_kg.1__1381__AT1G12750.2 transcript:fgenesh2_kg.1__1381__AT1G12750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:D7KPC1] MSSRDMERGRKHRGDTQWTSWLTPTIVVANVAIFIVVMFINDCPKTTRGANEDCVAKFLGRFSFQPLRENPLLGPSSSTLEKLGALDWRKVVQGNEKWRLITAMWLHAGIFHLFTNMFNVIFFGIRLEQQFGFLRIGLIYLISGFGGSILSALFLQNSISVGASGALLGLIGAMLSELVINWTIYESKLCALFSILFIIALDLAIGLLPWVDNFAHIGGLLTGFFLGFILLIQPQLGWEESRNSSQYGARAKSKYNPCQYVLFFIAAVLVVASLTIGIVMLFKGENGNKHCKWCHRLDCYPTSKWSC >fgenesh2_kg.1__1383__AT1G12760.2 pep chromosome:v.1.0:1:5285285:5287606:1 gene:fgenesh2_kg.1__1383__AT1G12760.2 transcript:fgenesh2_kg.1__1383__AT1G12760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7KPC2] MREPSMLVREAAAEQLEERQSDWAYSKPVVVLDIVWNLAFVSVATAILVMSRNEHPIMPLRVWLLGYALQCVLHMVCVCVEYRRRNRRRTNRTTTTPRSRSSSSSSSSSSLEEDALGSRRNSGEQDLSLGHLDTESSSVAKHLESANTMFSFIWWIIGFYWVSAGGQELAQESPRIYWLSIVFLGFDVFFVVFCVALACVIGIAVCCCLPCIIAVLYAVADQEGASKEDIEQLTKFKFRKVGDANRHTGDEAQGTTEGIMTECGTDSPIEHTLLQEDAECCICLSAYEDGTELRELPCGHHFHCSCVDKWLYINATCPLCKYNILKSSNLDREEV >fgenesh2_kg.1__1384__AT1G12770.1 pep chromosome:v.1.0:1:5287879:5290443:1 gene:fgenesh2_kg.1__1384__AT1G12770.1 transcript:fgenesh2_kg.1__1384__AT1G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1586 [Source:UniProtKB/TrEMBL;Acc:D7KPC3] MAASASTRFLVLLKEFSAFRKISWNSAATNFYRQSRFLCHVAKEDGSLTLASLDLGNKPRKFGKSKAMKLEGSFVTEMGQGKLKAVRNDKMKVVKEKKPAEIVSPLFSAKSFEELGLPDSLLDSLEREGFSVPTDVQSAAVPAIIKGHDAVIQSYTGSGKTLAYLLPILSEIGPLAEKSRSSHSENDKRTDIQAMIVAPSRELGMQIVREVEKLLGPVHRRMVQQLVGGANRMRQEEALKKNKPAIVVGTPGRIAEISKSGKLHTHGCRFLVLDEVDELLSFNFREDIHRILEHVGKRSGAGPKGEVDERANRQTILVSATVPFSVIRAAKSWSHEPVLVQANKVTPLDTVQPSAPVISFTPTTSEANGQIQTTIQSLPPALKHYYCISKHQHKVDTLRRCVHALDAQSVIAFMNHSRQLKDVVYKLEARGMNSAEMHGDLGKLGRSTVLKKFKNGEIKVLVTNELSARGLDVAECDLVVNLELPTDAVHYAHRAGRTGRLGRKGTVVTVCEESQVFIVKKMEKQLGLPFLYCEFVDGELVVTEEDKAIIR >fgenesh2_kg.1__1385__AT1G12780.1 pep chromosome:v.1.0:1:5297650:5300309:-1 gene:fgenesh2_kg.1__1385__AT1G12780.1 transcript:fgenesh2_kg.1__1385__AT1G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-glucose/UDP-D-galactose 4-epimerase 1 [Source:UniProtKB/TrEMBL;Acc:D7KPC6] MGSSVEQNILVTGGAGFIGTHTVVQLLKDGFKVSIIDNLDNSVIEAVDRVRELVGPDLSKKLDFNLGDLRNKGDIEKLFSKQRFDAVIHFAGLKAVGESVGNPRRYFDNNLVGTINLYETMAKYNCKTMVFSSSATVYGQPETIPCMEDFELKAMNPYGRTKLFLEEIARDIQRAEPEWRIVLLRYFNPVGAHESGSIGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAVRDYIHVMDLADGHIAALRKLFADPKIGCTAYNLGTGQGTSVLEMVAAFEKASGKKIPIKLCPRRSGDATAVYASTEKAEKELGWKAKYGVDEMCRDQWNWANNNPWGYQKKL >fgenesh2_kg.1__1386__AT1G12790.1 pep chromosome:v.1.0:1:5301121:5303282:-1 gene:fgenesh2_kg.1__1386__AT1G12790.1 transcript:fgenesh2_kg.1__1386__AT1G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGSSYSVSTDHQVPSSLVNLGNGAGVCIMSNAWKDEQEPSLISFISAFLTANSFRLNFVSIPPDLIFNCGGVSIAFVFVTKWDCSTVASIFSRVKRLKGQFAQLYVVVTLSTKAQSDSFMRSYFQYEMEFGKPAFVQVIDAEMGFEKIVKIAHSRGVCKQQKVASKLKVERKRTVQDTNIFIRFVTSIPNINKHDANTLYQAIGSIEAIAKASKEDILANTDLSSEKAETLTRFFQDPEFYLSPKFN >fgenesh2_kg.1__1388__AT1G12805.1 pep chromosome:v.1.0:1:5314828:5315282:1 gene:fgenesh2_kg.1__1388__AT1G12805.1 transcript:fgenesh2_kg.1__1388__AT1G12805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFISEEYVNRRRMEKKAAAVAGKGMRLGSASSKRPEKRNSYPCMSESPPDNEFRVSGGGVYESFVFHCFSP >fgenesh2_kg.1__1389__AT1G12810.1 pep chromosome:v.1.0:1:5317696:5319248:1 gene:fgenesh2_kg.1__1389__AT1G12810.1 transcript:fgenesh2_kg.1__1389__AT1G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KPD2] MSYDKVPPESYPPPGYQSHYPPPGYPSAPPPPGYPSPPSHHEGYPPPQPHGGYQPYPPPSSRPYEGGYQGYFAGGGYPHHHHGPPPPPPPQNYNQCHHDHHHYQDSDSGCFSFVRGCLAALCCCCLLEECCF >fgenesh2_kg.1__1391__AT1G12830.1 pep chromosome:v.1.0:1:5325716:5326606:-1 gene:fgenesh2_kg.1__1391__AT1G12830.1 transcript:fgenesh2_kg.1__1391__AT1G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVENQQDSSFPVKRKSDLFCQEQDNVANKAQKLNPSSNSVESESKDGEINGTGVENLNSSTEEKIGAESSVLSEKAAEKVENGVIGDLGAEDEEQEDADEEDEEEDDEEEEEEVDRKGKGISREDKGKGKMIEVEESDDEDDSDDEDDEGFDEDDESDFSDDPLAEVDLDNILPSRTRRRSSQPGVFISNENSNRGVNDEDDDSSDDSDA >fgenesh2_kg.1__1392__AT1G12840.1 pep chromosome:v.1.0:1:5327043:5329954:1 gene:fgenesh2_kg.1__1392__AT1G12840.1 transcript:fgenesh2_kg.1__1392__AT1G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit C [Source:UniProtKB/TrEMBL;Acc:D7KPD5] MTSRYWVVSLPVKDSASTLWNRLQEQISKHSFDTPIYRFNIPNLRVGTLDSLLALGDDLLKSNSFVEGVSQKIRRQIEELERISGVESNALTVDGVPVDSYLTRFVWDEAKYPTMSPLKEVVDNIQSQVAKIEDDLKVRVAEYNNIRGQLNAINRKQSGSLAVRDLSNLVKPEDIVVSEHLVTLLAVVPKYSQKDWLACYETLTEYVVPRSSKKLFEDNEYALYTVTLFTRVADNFRISAREKGFQVRDFEHSVEAQETRKQELEKLVQDQESLRSSLLQWCYTSYGEVFSSWMHFCAVRIFAESIMRYGLPPAFLACVLSPAVKSEKKVRSILERMCDSTNSLYWKSEEDAGAGGAMAGLAGDSETYPYVSFTINLA >fgenesh2_kg.1__1395__AT1G12880.1 pep chromosome:v.1.0:1:5340117:5341500:1 gene:fgenesh2_kg.1__1395__AT1G12880.1 transcript:fgenesh2_kg.1__1395__AT1G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSSRTGRDRQRYDNNFRLVSGCIPYRLIKADEIEEDSSVDFVNKLEVLMVSSPNRHDLVFPKGGWEDDETVLEAASREAIEEAGVKGILREVPLGVWQFRSKSSTVEDECLGGCKGYMFALEVTEELEDWPERENRQRKWLNVKEALELCRYEWMQRALEDFLRVMEDEGRLTTEEEPVQDSSKLEEECQIDPWYCFVVN >fgenesh2_kg.1__1396__AT1G12890.1 pep chromosome:v.1.0:1:5342146:5342816:1 gene:fgenesh2_kg.1__1396__AT1G12890.1 transcript:fgenesh2_kg.1__1396__AT1G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KPE0] MLKSRNKSKSKQEKKSQEGKYLGVRRRPWGRYAAEIRNPFTKERHWLGTFDTAEEAAFAYDVAARSISGSLATTNFFYTENTSLKTQPQQLLQSLGPDMTWGSSSVSLLHDQPFENNHFVSDPISSFSQEQESSANLTNSFSHCYDDDHVGQNKEISLPSLPNDMSNSLFCNQDKAGEDDNADHMKIGSVLGDEPHCFEYDYIGNYLQSFLKDVNGDAPQFLM >fgenesh2_kg.1__1397__AT1G12900.1 pep chromosome:v.1.0:1:5342902:5344711:-1 gene:fgenesh2_kg.1__1397__AT1G12900.1 transcript:fgenesh2_kg.1__1397__AT1G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7KPE1] MASATFSVAKPSLQGFSEFSGLRNSSALPFAKKSSSDEFVSFVSFQTSAMGSNGGYRKGVTEAKIKVAINGFGRIGRNFLRCWHGRKDSPLDVVVINDTGGVKQASHLLKYDSTLGIFDADVKPSGDAALSVDGKIIKIVSDRNPSNLPWGELGIDLVIEGTGVFVDRDGAGKHLQAGAKKVLITAPGKGDIPTYVVGVNAELYSHEDTIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFRDAAEKELKGILDVCDEPLVSVDFRCSDVSSTIDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >fgenesh2_kg.1__13__AT1G02110.1 pep chromosome:v.1.0:1:39949:42531:-1 gene:fgenesh2_kg.1__13__AT1G02110.1 transcript:fgenesh2_kg.1__13__AT1G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich family protein [Source:UniProtKB/TrEMBL;Acc:D7KP36] MGCTASKLDSEDAVRRCKERRRLMKDAVYARHHLAAAHSDYCRSLRLTGSALSSFASGEPLSVSENTPAVFLRPSSSQAAPRVPSSHSTKPPPPIRSKPKPRRLPHILSDSSPSSSPATSFYPTAHQNSTYSRSPSQASSVWNWENFYPPSPPDSEFFERKARQNQKHRPPSDYDAETVRSDHDYFHSRRDDAEEVHCSEWGDDHDRFTATSSSDGDGEAGTHVSRSGIEEEEPVKQEQDPNGKDNSDHVTTSSDCYKTKLVVRHKNLKEILDAVQDYFDKAASAGDQVSAMLEIGRAELDRSFSKLRKTVYHSSSVLSNLSASWTSKPPLAVKYKLDASTLNDEHGGLKSLCSTLDRLLAWEKKLYEDVKAREGVKIEHEKKLSALQSQEYKGEAVLTTSNAILRLRDTDLVPQLVELCHGLMYMWKSMHEYHEIQNNIVQQVRGLINHTEKGESTSEVHRQVTRDLESAVSLWHLSFCRIIKFQREFICSLHAWFKLSLVPLSNEDPKKERPESFALCEEWKQSLERVPDTVASEAIKSFVNVVHVISIKQAEEVKMKKRTESAGKELEKKASSLRSIERKYYQAYSTVGIGPGPEVLDARDPLSEKKCELAACQRQVEDEVMRHVKAVEVTRAMTLNNLQTGLPNVFQALTSFSCLFTESLQTVCSRSYSIN >fgenesh2_kg.1__1401__AT1G12930.1 pep chromosome:v.1.0:1:5349392:5377140:-1 gene:fgenesh2_kg.1__1401__AT1G12930.1 transcript:fgenesh2_kg.1__1401__AT1G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQRKVAEAIHVLNHDPESSNRVAANQWLVQFQLTPAAWDVSTSLLTSPIVSLFDLQFFAAQILRRKIQNEASNLQSTAKDALLNALLLAAKRYSSGVPQLLTQICLALSALLLHADPYSKPFDKLIFALQNLQAHDDGNVVLLELLTVLPEEISDTRHVSHHSDLRQELLSHTSMVLDFLLQQSEKQFSSPLYPHDNNRKILRCLLSWVRAGCFSEIPQGAVPSHPLLNYVFNALQGTTFDLAIEVLVELVTRHEDLPQVLLYKVQFLRDTLLKPALINADLKIISGLACLMSEIGQAAPCLIVEASSEALILTDAILSCVTFPSEDWEIADSTVQFWSTFATYILSLGGNRQSDRNRVKDTFLPVFSALVDALVLRAQVDEFTSSDESPGLDLPDGLLHLRNNLLELLVDICQLLHPTKFVSKLFFGGVPSSNVSMPLREIEAKLFALTAVSEIILQEGEAFDFSLIMQLVSAFSVRPSSELKGFICVVYRSLADVVGSYSRWISVFPSNARPLLLFLAGGISEPICSHACASALRKICEDDPAVIQETSNLDILMWIGECLEQWNLALEDEEEVITAITVILGSVSNKELQNKLLTQLLSSSYGVLSKLVDEDAESSGRQSPATYTRMLSSVTRGLYRIGTVFSHLATSLSSVPVADGPILSLLTVFWPILEKLFRSEHMESGSLAAAACRALSVAVQSSGEHFMLLLPSVLDCLSRNFLSFQSQECYIRTACVIAEEFCHKEEYGSLFITTFERFTQASSLMGINSSYICDQEPDLVEAYVNFASALIRGCHKELLGTSGTLLEISFHKAAICCTAMHRGAALAAMSYLSGFLEVSLSSMIETVNSISEGSFSVVSVQVVSHCGEGLLSNLVYALLGVAAMSRVHKCSTILQQLAAICSLCERTSWKGMLCWKSLQGWLNSAVWALPSEYLKQGEAESIVREWSEALGGAGIDYLENKSCNFGNNNNSSGGGHMQGKHGRTLKRLVRDFADSHRNDPNPNII >fgenesh2_kg.1__1405__AT1G12970.1 pep chromosome:v.1.0:1:5377156:5379152:1 gene:fgenesh2_kg.1__1405__AT1G12970.1 transcript:fgenesh2_kg.1__1405__AT1G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KPE5] MDHDLEIFPLLSYVLHHSDPASHAPPSPTIQQSLANRYPLLTNPYVISSLIESIPSTITQTLYVFGSLGPRPDPLAVSSARSKIAEIRENDSLSPEDAAKEEQVYVTVVRLEEVHEGYEKQLRDLEEQLCRVYASAVESLSGGDEVNEEVLAVIKEVEDGGVVERIDLSDRELKLLPDALGKIVGLVSLNLSRNNLKFLPDTISGLEKLEELDLSSNRLVSLPDSIGMLLNLRILNVTGNKLTSLPESIAQCRSLVELDASFNNLTSLPANIGYGLLNLERLSIQLNKIRFFPNSMCEMRSLRYIDAHMNEIHGLPIAIGRLTSLEVMNLSSNFSDLTELPDTISDLANLRELDLSNNQIRVLPNSFFRLEKLEKLNLDQNPLEFPPQEMVNQSAEAVREFMRKRWEEMVEEEQLRSVIEAEKQRGATGWLSWGSSIVTSLFSGGTHGGAAKKPKDSFLDEQL >fgenesh2_kg.1__1410__AT1G13030.1 pep chromosome:v.1.0:1:5398391:5401587:-1 gene:fgenesh2_kg.1__1410__AT1G13030.1 transcript:fgenesh2_kg.1__1410__AT1G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKVRIRLVFEDRRILSKYQKKQGLTRSWVVLNRKRHRTVSEFSDHLFRTFSLCEACPLGLTLSMDGFVLPPFESSCVLKDKDIVRVKKKKESLLEIVGEDSEENVYNAIEVEERPQFRPGEMLLANEEFQNETGGYESESEEDEVEEEAEEFVPEKKTSKKRKASSKSLSSKRKKCKLATTEESPEERENTAVVKKKKKSLDVQRAENDEQDNGNTKPITKSKRSSQQEESKEPNDLCQQSTETKKTPSRSARRKKAKRQWLREKTKLEKEELQQKQLVVAPSQKPVITIDYQATEENHCEALENQQPDDLSDGVGDEVVPVEVRPGHIRFKPLTGTDEAPLESEPLVEKFLWNGNMTKKKGQKWGTEKSGFSKRYAQDFNEDTTYQTQPTEAETPAKGPIDYEQLVAYTGSVKKGDVIAYRLIELTSSWTPEVSSFRVGKISYYDPDSKKVTLMPVQEFPIEKKTEEDDDFSMEPDTALYKEDGSLEIEFSALLDVRSVKTSSSDSAEVAKSAPPEPDQSATKLKLSANKDLQTPIKENGKVNPWEELSEALSAKKAELSQANNGWNKKGSSSGGGSWSYKALRGSAMGPVMNYLRSQKEI >fgenesh2_kg.1__1411__AT1G13040.1 pep chromosome:v.1.0:1:5401916:5403469:1 gene:fgenesh2_kg.1__1411__AT1G13040.1 transcript:fgenesh2_kg.1__1411__AT1G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KPF1] MHQTLGAVRLAYRSRIANLVKSGMIDNAVQVFDEMRHSSYRVFSSDYNRFIGVLVKESRFELAEAIYRDMMPMGFSLIPFTYSRFISGLCKVKKFELIDALLRDMETLGFIPDIWAFNIYLDLLCRENKVGFAVQTFFCMVQRGREPDVVSYTILINGLFRAGKVTDAVEIWNAMIRSGVSPDNKACAALVVGLCHARKVDLAYEMVAEEIKSARVKLSIVVYNALISGFCKAGRIEKAEALKSFMSKIGCEPDLVTYNVLLNYYYDNNMLKRAEGVMTEMVRSGIQLDAYSYNQLLKRHCRVSHPDRCYSFMLKEMEPRGFCDVVSYSTLIETFCRASNTKKAYKLFEEMRQKGIVTNVVTFTSLIKAFLREGNSSVAKKLLDQMTELGLSPDRIFYTTILDHLCKSGNVDKAYGIFNDMIEHEITPDAISYNSLISGLCRSGRVTEAIKLFEDMKGKECCPDELTFKFIIGGLIREKKLSAAYKVWDQMMDKGFTLDRDVSDTLIKASCSMSADV >fgenesh2_kg.1__1412__AT1G13050.1 pep chromosome:v.1.0:1:5404879:5405832:1 gene:fgenesh2_kg.1__1412__AT1G13050.1 transcript:fgenesh2_kg.1__1412__AT1G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHHYETNPHFVQFSLQDQHPGGPSSSWNSPHNHQIPQAHSVAPPRVKIKTRGRHQTEPPETIHESPSSRPLPLRPEEPLPPRHNPNSARPLQLSPEEQRPPHHGYGSEPTPWRTAPRRPVHQPGPKRTKPMTLPATICCAILLIVLILSGLILLLVYLANRPRSPYFDISAATLNTANLDMGYVLNGDLAVVVNFTNPSKKSSVDFSFVMFELYFYNTLIATEHIEPFIVPKGMSMFTSFHLVSSQVPIQMIQSQDLQLELGTGPVLLNLRGTFHARSNLGSLMRYSYWLHTQCSISLNTPPLGTLRARRCSTKR >fgenesh2_kg.1__1413__AT1G13060.1 pep chromosome:v.1.0:1:5406781:5409056:1 gene:fgenesh2_kg.1__1413__AT1G13060.1 transcript:fgenesh2_kg.1__1413__AT1G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:D7KPF3] MKLDTSGFETSMPMIGFGSSSDMLDELSSVPSFDLPRTKEFDGFQKEAKDMLKHAKGTTTLAFIFKGGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVSGASKLLANMLYSYRGMGLSVGTMIAGWDETGPGLYYVDNEGGRLKGDRFSVGSGSPYAYGVLDSGYKYDMSVEEASELARRSIYHATFRDGASGGVASVYHVGPEGWTKLSGDDVGELHYHYYPVAPAIAEQVMEEATAE >fgenesh2_kg.1__1415__AT1G13080.1 pep chromosome:v.1.0:1:5424939:5426606:1 gene:fgenesh2_kg.1__1415__AT1G13080.1 transcript:fgenesh2_kg.1__1415__AT1G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B2 [Source:UniProtKB/TrEMBL;Acc:D7KPF8] MTILLCFFLVSLLTLVSSIFLKQIKNPKFNLPPSPLSLPIIGNLHHLAGLPHRCFHKLSIKYGPLVFLRLGFVPVVVVSSSEAAEAVLRTHDLECCSRPKTVGTGKLSYGFKDISFAPYGEYWREVRKIAVIELFSSKKVQSFRYIREEEVDFVVKKVSESALKQSPVDLSKTFFSLTASIICRVALGQNFHESGFVIDQDRIEELVTESAEALGSFTFSDFFPGGLGRFLDWLFQRHKSINKVFKELDAFYQHVIDDHLKPDGRKNQDIVTLILDMIDKQEISDAFKLNMDNLKAIVMDVFLAGIDTSAVTMIWAITELIRNPRVMKKAQENIRTTLGLKRERITEEDLGKVEYLNHIIKETFRLHPALPYVVPRETMSHIKIQGYDIPPKTQIQLNVWTIGRDPKRWTDPEEFIPERFANSSVDFRGQHFDLLPFGSGRRICPGMPMAIATVELALMNLLYYFDWSMPDGMKGEDIDMEEAGNISIVKKIPLRLVPVQHY >fgenesh2_kg.1__1416__AT1G13100.1 pep chromosome:v.1.0:1:5427224:5435704:1 gene:fgenesh2_kg.1__1416__AT1G13100.1 transcript:fgenesh2_kg.1__1416__AT1G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFLCFLFLIPLIFIFLKSIKPSKWKLPPGPKKLPIIGNLHQRRELHPRNRRDLSEKYGPIVFLRYGFVPVVVISSKEAAEEVLKIHDLECCSRPETAGTRAISYNFKDIGFAPYGEEWRAMRKLSVLPPGPPKLPIIGNLHQLQELPPRNLSHKYGPVMLLRFGFVPVVVISSKEAAEEVLKIHDLECCSRPETAGTRKISYNFKDIGFAPYGEEWKAMRKLSVVELFNAKKHQYFRSIREEENDLLVKTLTELALTRSPVNLKKTLFTLVGSIVCRIGFGLNLHECEFIDEDSISDLVDKSEILEMTSMFSDFFPGGIGRFIDWISGQNKRFNNVFSDLDTFFENILNDHLKPGIVESSDIIDGMINMMKKQERDGDSFKLTTDHLKGMISDIFIAGVSTSASTLIWAITELVRNPKVMKKVQDEIRTTLGDKKERITEQDLTKLHYFKLVVKEIFRLHPAVPFLLPRETLSHVKIQGYDIPAKTQIMINVYAIARDPELWINPDEFNPDRFLDSSIDYKGLNFELLPFGSGRRICPGMTMGITLVELALLNLLYFFDWGLPEKEEVDKIITDNEVALGLVQVLLH >fgenesh2_kg.1__1418__AT1G13110.1 pep chromosome:v.1.0:1:5446689:5448329:1 gene:fgenesh2_kg.1__1418__AT1G13110.1 transcript:fgenesh2_kg.1__1418__AT1G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B7 [Source:UniProtKB/TrEMBL;Acc:D7KPG1] MSILLCFLCLLPVFLVSLSIFSIKLKPSKWKLPPGPKTLPIIGNLHNLKGLPHTCFRNLSQKFGPVMLLHFGFVPVVVISSKEGAEEALKTQDLECCSRPETVATRMISYNFKDIGFAPYGEEWKALRKLVVMELLNTKKFQSFRYIREEENDLLIKKLTESALTQSQVDLKKTLFTLVASIVCRLAFGINIHKCEFVDEDNVADLVNKFEMLVAGVAFTDFFPGVGCLVDRFSGQNKTLNNVFSELDNFFQNVLDDHLKPGREVSESPDVVDVMIDLMKKQEKDGESFKLTTDHLKGIISDIFLAGVNTSAVTLNWAMAELIRNPRVMKKVQDEIRTTLGDKRERITEQDLNQLHYFKLVVKETFRLHPAAPLLLPRETLSHVKIQGYDIPAKTQMMINIYSIARDPKLWTNPDEFNPDRFLDSSIDYRGLNFELLPFGSGRRICPGMTLGITTVELGLLNLLYFFNWEVPVGKNVKDIDLEETGSIIISKKTTLELVPLVHH >fgenesh2_kg.1__141__AT1G02260.1 pep chromosome:v.1.0:1:560362:562206:-1 gene:fgenesh2_kg.1__141__AT1G02260.1 transcript:fgenesh2_kg.1__141__AT1G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPVIKLVLGSIAFAIFWVLAVFPSVPFLPIGRTAGSLFGAMLMVIFQVITPEQAYAAIDLPILGLLFGTMVVSIYLERADMFKYLGTLLSWKSKGPKDLLCRVCLVSAVSSALFTNDTSCVVLTEFVLKIARQKNLPPHPFLLALATSANIGSSATPIGNPQNLVIAVQSKIPFWEFLLGVFPAMIVGITVNAMLLLGMYWRLLSDHKEDEEEAGENADSEVLAVAEEDVTSHRFSPATFSPVASEESNFRMDPETLRNRAVSAGESELMSRDSNASREQTDAESQGESNVFHTKKWRRVLWKSSVYLITLGMLISLVMGLNMSWTAITAALALVVLDFKDARPSLEKVSYSLLIFFCGMFITVDGFNKTGIPTALWDLMEPYAKIDEAKGTAVLAVVILVLSNVASNVPTVLLLGARVAASAMGREEEKKAWLLLAWVSTVAGNLTLLGSAANLIVCEQARRAVSHGYTLTFTKHFKFGLPSTLIVTAIGLLLIK >fgenesh2_kg.1__1420__AT1G13130.1 pep chromosome:v.1.0:1:5455404:5458534:1 gene:fgenesh2_kg.1__1420__AT1G13130.1 transcript:fgenesh2_kg.1__1420__AT1G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 5 protein [Source:UniProtKB/TrEMBL;Acc:D7KPG3] MTSKEPKHMFCVFPFFCFFFSFIAQHTVPNMAYPLSTSSRWIVDENGQRVKLMCANWPSHLQPVVAEGLSKQPADAVAKKIVEMGFNCVRLTWPLDLMTNETLANNVTVRQSFQSLGLNDDIVGFQTNNPSIIDLSLIEAYKMVVTTLGNNDVMVILDNHLTKPGWCCANDDGNGFFGDQFFDPTVWVAALKKMAATFDGVSNVVGMSLRNELRGPKQNVNDWFKYMQQGAEAVHSSNKKVLVILSGLSFDADLSFVRSRPVNLSFTGKLVFELHWYSFSDGNSWAANNPNDICGRVLNRIGNGGGYLLNQGFPLFLSEFGIDERGVNTNDNRYFGCVTGWAAENDVDWSLWALTGSYYLRQGVVGMIEYYGVLDSDWISVRNSSFLQKISFLQSPLQGPGPRIDAYNLVFHPLTGLCIVRSLDDPKMLTLGPCNSSEPWSYTKKALRIKDQHLCLQSNGPKNPVTMTRTSCSTSGSKWQTISASRMHLSSTTSNKTSLCLDVDTANNIVANACKCLSKDSSCEPMSQWFKIIKATRPLKSSRLHKEISSML >fgenesh2_kg.1__1421__AT1G13140.1 pep chromosome:v.1.0:1:5459104:5460818:-1 gene:fgenesh2_kg.1__1421__AT1G13140.1 transcript:fgenesh2_kg.1__1421__AT1G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g13140 [Source:UniProtKB/TrEMBL;Acc:D7KPG4] MSLTERVYNHLCLSDVSLALLGLFVFCCVREKVTKKQGPTIWPVFGITPEFFFHRNDVYGWVTRCLKKCRGTFLYNGIWLGGSSGAVTCVPANVEYMLKTNFKNFPKGAFFKERFDDLLEDGIFNADAESWKEQRRIIITEMHSTRFVEHSFQTTQDLVRKKLLKVMENFASSQEAFDLQDVLLRLTFDNICIAGLGDDPGTLDSDLPLVPFAQAFEEATESTLFRFMIPPFIWKPLKFFDIGYEKSLRKAVEVVHEFVDKMVVDRICKLKEEGTLGNRSDVLSRIIEIENHKKTDEKDPSTIKFFRQFCTSFILAGRDTSSVALTWFFWVIQKHPEVENKIICEIKEILRQRGDSPTSNNESLFTVKELNDMVYLQAALSETMRLYPPIPMEMKQAIEDDVFPDGTFIRKGSRVYFATYAMGRMESIWGKDCESFKPERWIQAGNFVNEEQFKYVVFNAGPRLCLGKTFAYLQMKTVAASVLLRYSIKVAKDHIVVPRVTTTLYMKHGLKVTISPKSLEDKVHVQD >fgenesh2_kg.1__1422__AT1G13150.1 pep chromosome:v.1.0:1:5462247:5464070:-1 gene:fgenesh2_kg.1__1422__AT1G13150.1 transcript:fgenesh2_kg.1__1422__AT1G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP86C4 [Source:UniProtKB/TrEMBL;Acc:D7KPG5] MSLTERLYNHLSLFDLFLALLGLFVFCCLREKLTNKRGPMLWPVFGITLEFFFHTNDVYGWVTRSLKKCRGTFLYRGVWLDGSYGAVTCVPANVEYMLNTNFKNFPKGTFFKSRFNDLLEDGIFNADDESWKEQRRIIITEMHSTGFVEHSFQTTQHLVRKKLLKVMESFAKSQEAFDLQDVFLRLTFDIICIAGLGADPETLAADLPQVPFAKAFEEATESTLFRFMIPPFIWKPMRFLDIGYEKGLRKAVGVVHGFVNKMIVDRICELKEEETLDNRTDVLSRIIQIESHKKDNEIDPSTIRFFRQFCTSFILAGRDTSSVALSWFCWVIQKHPDVENKIICEIREILRQRGDSPTSKDESIFTVRELNNMVYLQAALSETLRLFPPIPMEMKQATEDDVLPDGTFIRKGSRVYFSIYAMGRMEAIWGKDCEVFRPERWIQAGKFVSDDQFKYVVFNAGPRLCIGKTFAYLQMKMIAASVLLRYSIKVAQDHVIVPRVTTNLYMKYGLKVTITPRSLEEKKLESCSM >fgenesh2_kg.1__1423__AT1G13160.1 pep chromosome:v.1.0:1:5464947:5468934:1 gene:fgenesh2_kg.1__1423__AT1G13160.1 transcript:fgenesh2_kg.1__1423__AT1G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7KQC5] MAGSLGLTPESLKASGRSSENLSLLILQGKIKRDPEGYETELQLIYKQFKTSVDLFQQQAALSFSSVGGIGSDPSVSKDLGDRAMFLAHVTPFYPKQLSEFPAQLTDLLRTSCLAMPSGLRNHVAQALILLMNRKSLVIEDLLALFLDIQTLGDKNLRALAFGHIVQTIRKMSITDPKHKSLQKIVISMLEQEDEAKAKRALATLCALHKKKIWLGDKNERVAIAICEACFHSSPRIMISALRFLLDYENIDDDDDSDAESDDDEDNKKIDQVVINRQAIYKANNKGTSSSKKKKQAKLQRAVKSIKRKQRSSSENTTSTFSPLNHLNDAQKFAERLFSRLQTIKGSGERVETRLMMIKVIARTIGLHKLHLLSFYSFLQNYAMPHVKDITQILAAAVQSCHDGVPSDAVEPLFKQIVNQFVHDKSRPEAIAVGLNVVREMCLRIHDLMTEELLQDLALYKKSHEKAISAAARSLIALFREINPSLLVKKDRGRPGATIAKPKQYGESNVFSNVPNVELLQESDNESGSDGDQDDDDVELPIGDDVEQELIPDDCGSEDEAEEDSNDGDDMNNTEDDSDIDTSIGGDEDEEVNDSDEADTDSENEEIESEEDDGEASDSSVEDNGNKEKAKGKKRKIVDFDANLLSADTSLRALKRFAEAKNEKPSFDESDGILSNEDFRKIKELQAKKEAKIALARKGFKVPDSDQLSKKRVDPAKLEAYIRHKLTKEQRLELVKAGREDRGKYKSKAAVKQKKTGGSSNKQKEHRKNMPLAAIRSKAGKSKRTKKMKNSISGSQFRGRKAWK >fgenesh2_kg.1__1424__AT1G13170.1 pep chromosome:v.1.0:1:5468982:5473025:-1 gene:fgenesh2_kg.1__1424__AT1G13170.1 transcript:fgenesh2_kg.1__1424__AT1G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7KQC6] MNPLCCIAPVSIDDRTNSVVAKSSGFEALPVTKSGSNHASKPSFSTQASWISQDQLERLSSEVVDDVNLEGKDASSSSSKGCFFFGNGVGAGAGVAGIMYKWVNYGKGWRARWFELEDGVLSYYKIHGPDKIVMNPSRDKGVRVIGEESVRYIRKASCGSSNRLGASAVAASRPCKPFGEIHLKVSSIRASKSDDKRLAIFTGTKTLHLRCVSRENRAAWVEALQVAKDLFPRVASSDILPSEDAVVSTEKLREKLLQEGVGETVVKDCEAIMLSEVSVLQNRLKVLTQKHIILLDTLRQLESEKIELETTVVDETKEHDSCCGQGRRFSDFYSVMSEVSASDSEADNESQDGADVESEEDDVAFFDTNDILSAEALRSASYRSREAEGNGSIYDKDPFFSDRLQVPARIPQYPYVRRRDNLPEPKEKEKPVGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLIDRALEWGKQGNELMRILNIAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMIVACHCEGQGWNFWGDSNIKGKFWGRSIQLDPVGVLTLKFDDGEIYQWSKVTTSIYNIILGKLYCDHYGTMRIKGGSNYSCRLKFKEQSVIDRNPRQVHGFVQDNRTGEKVAILIGKWDEAMYYVLGDPTTKPKGYDPMTEAVLLWERDKSPTKTRYNLSPFAISLNEITPGMIDKLPPTDSRLRPDQRHLENGEYESANAEKLRLEQLQRQARRLQEKGWKPRWFEKDEEGNYRYLGGYWEAREKKDWDRITDIFKKQQQRPSSSSSSTFL >fgenesh2_kg.1__1425__AT1G13180.1 pep chromosome:v.1.0:1:5475853:5479234:1 gene:fgenesh2_kg.1__1425__AT1G13180.1 transcript:fgenesh2_kg.1__1425__AT1G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTSRPAVVIDNGTGYTKMGFAGNVEPCFILPTVVAVNESFLNQSKSSSKATWQTQHNAGVAADLDFYIGDEALAKSRSSSTHNLHYPIEHGQVEDWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTPPESREYTGEILFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATHVVPVAEGYVIGSCIKSIPIAGKDVTLFIQQLMRERGENIPPEDSFDVARKVKEMYCYTCSDIVKEFNKHDKEPAKYIKQWKGVKPKTGAPYTCDVGYERFLGPEVFFNPEIYSNDFTTTLPAVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFGRRLQRDLKKIVDARVLANNVRTGGEITSQPVEVNVVSHPVQRFAVWFGGSVLSSTPEFFASCRTKEEYEEYGAGICRTNPVFKGMY >fgenesh2_kg.1__1426__AT1G13190.1 pep chromosome:v.1.0:1:5479596:5482265:1 gene:fgenesh2_kg.1__1426__AT1G13190.1 transcript:fgenesh2_kg.1__1426__AT1G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KQC8] MTEENDYGGNQKILHQGSGTIPALADEELMGDDDEYDDLYSDVNVGESFFQAHNQPQPPAQVGGTGNASLQAQTSHVAAEPRMGIVSGGTVEGKYRNDGGHNGISGPDTRSDVYPQASSFGAKGLNIDIQSNKIGQQGSTSVVLNNHGFSGNAVNVPELPVHNPYGAPPQGAQQIPVSQMSVNPNVMMNKSPTQPFVVDNGNTMLFVGELHWWTTDAEIESVLSQYGRVKEIKFFDERVSGKSKGYCQVEFYDSAAAASCKEGMNGYIFNGKACVVAFASPETLKQMGANFTGRNQGQNQIQNRRPLNEGMGRGNNNNNMNTQNGDGGRNYGRGGFARGGQGMSNRGGPWGGGMRGRGPNNMASGSGTGPYGPGLAGPAFGGMMHPQGMMGAGGFDPTFMGRGGGFGGYSGIAYPGMPHSYPGVNAMGMVGVAPHVNPAFFGTGMGTMGSAGMNGVHAAAMWSEANGGGGEEGGSEYGGYEDETQEKEEKPSRDKERATTERDWSENSGDRRHKSHREEKDSHREYKQQRDRDSDEFDRGQSSVKSRSRSRMSEDDHRSRSRDADYGKRRRGD >fgenesh2_kg.1__1428__AT1G13195.1 pep chromosome:v.1.0:1:5482269:5484298:-1 gene:fgenesh2_kg.1__1428__AT1G13195.1 transcript:fgenesh2_kg.1__1428__AT1G13195.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KQC9] MYNQLAISSSSSSSSSSSYYESLKILEADVQHANSLAEAIPMGKNNVRLQMKLVHSNFASLLLILLRWIDLSCSCLIPRYLNLFHVLVYKVQSDGQPKLTTHGRKATISEFYGVILPSLQLLHSNLDELVTADIGFDIKRLSKKITKESRSSRFSNTGLEREEECGICLETCTKMVLPNCCHSMCIKCYRNWNLKSQSCPFCRGSMKRVNSEDLWVLAGDNDVVDARTASREDLFRFYLYINSLPKDYPEALFVVYYEYSNLL >fgenesh2_kg.1__1429__AT1G13200.1 pep chromosome:v.1.0:1:5487085:5488383:1 gene:fgenesh2_kg.1__1429__AT1G13200.1 transcript:fgenesh2_kg.1__1429__AT1G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KQD0] MMEAEQQVVMASSSSLRKRKRRRMRRIIRRRRRRNEKRVKAPSSLPNDIVEEIFLRFPVKALIRLKSLSKYWKSTIESRSFEERYLKLAKQACMDHPKVMVITEEDPIREIGYRPFTDIGFRTICLESASLLSYTRLNFPQGFFHWIKISESCDGLFCIHSPKSHSVYVVNPATRWLRLLPPAGFQILIHKFNPTEREWNIEMKSIFHLAFVKATDYKLVWLYNCDKYIADASSPNVGVTKCEVFDFRVNAWRYLACTPSHRIFYDQKPASANGSVYWFTEPYNERIEVVAFDIHTETFRLLPKINPAIAGSDPHHIDMCTLDNSLCMSKRENDTMIQDIWRLKPSEDTWEKIFTIDLLSCSSSRKDLVEPSTPVAVCKNKKILLSHCYSRGLVKYDSLTKSLDSFSYILGLREKLLIFKV >fgenesh2_kg.1__142__AT1G02270.1 pep chromosome:v.1.0:1:562997:566008:-1 gene:fgenesh2_kg.1__142__AT1G02270.1 transcript:fgenesh2_kg.1__142__AT1G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7KB67] MQSHQNRSRLSLILRSRFIPSFSSPLSLFVVLAAVPFPIYFSGLLSGRNNKPLSVMRLNSNLASSMVESNISCTTFNILAPIYKRVDQKNHSIRESDSRSLWLARNQRILDLLIHQRSSVICLQEVWVGNEELVNMYHDQLGTSGYTIFQLARTNSRGDGLLTAIHKDHFKVVNYRELLFNDFGDRVAQLLHVKTVIPFPLNGKQDVQQEVIIVNTHLLFPHDSSLSIVRLHQVYKILEYLEAFQKENKLNHMPIILCGDWNGSKRGHVYKFLRSQGFISSYDDAHQYTDSDAHRWVSHRNHRGNICGVDFIWLCNPSDSRKPLRTSWVEAVFSIIKYQLHKASIAEDDVFAFLGANNHSDSLTYSDFCLALQKVNLTGIPHGLSFEETKELWVRADLDGNGVFDYEELKKIWNMTMVNEPGNCKESVMESDKDEGDDEAIGLKVNKAVLYPQEAEKGLWPENYNLSDHACLTVQFSPVKMLCS >fgenesh2_kg.1__1430__AT1G13210.1 pep chromosome:v.1.0:1:5492579:5497289:-1 gene:fgenesh2_kg.1__1430__AT1G13210.1 transcript:fgenesh2_kg.1__1430__AT1G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7KQD1] MTKCRRRRLHLSNIYAFKGRKSNFQEDHSHIGGPGFSRVVYCNEPNSPAAERRNYTGNYVRSTKYTPASFIPKSLFEQFRRVANFYFLVTGILSLTSLSPYSPISALLPLTFVIAASMVKEAIEDWGRKKQDIEMNNRKVKVHDGNGKFRREGWRNLKVGDIVRVEKDEFFPADLLLLSSSYEDSICYVETMNLDGETNLKVKQGLEATSSALHEDSDFKELKALVKCEDPNADLYAFVGTLHFEEQRLPLSITQLLLRDSKLRNTEYVYGAVVFTGHDTKVIQNSTDPPSKRSRIERKMDKIIYLMFGVVFLMSFIGSIVFGVETREDRVRNGGRTERWYLRPDEADIFFDPDRAPMAAIYHFFTAVMLYSYFIPISLYVSIEIVKVLQSVFINNDILMYYEENDKPAHARTSNLNEELGMVDTILSDKTGTLTCNSMEFIKCSIAGTAYGRGITEVERSMAMRSNGSNLVGDDLDVVVDQSGPKIKGFNFEDERVMKGNWVKQRDAAVLQKFFRLLAVCHTAIPETDEATGSVSYEAESPDEAAFVVAAREFGFEFFSRTQNGISFRELDLASGKTVERVYRLLNVLEFNSARKRMSVIVRDEDGRLLLLSKGADNVMFERLAKNGRKFEEKTREHVNEYADAGLRTLILAYREVDENEYIEFSKNFNEAKNSVTADRESLIDEITDQMERNLILLGATAVEDKLQNGVPDCIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQEMKQIIINLETPHIKALEKAGGKDEIEQASRESVVKQMEEGKALLTASSSVSSHEAFALIIDGKSLTYALEDDFKKKFLDLATGCASVICCRSSPKQKALVTRLVKSGTGKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYSRISSMICYFFYKNITFGVTVFLYEAYTSFSAQPAYNDWFLSLFNVFFSSLPVIALGVFDQDVSARYCYKFPLLYQEGVQNLLFSWKRIIGWMFNGVFTALAIFFLCKESLKHQLYNPNGKTAGREILGGTMYTCVVWVVNLQMALAISYFTWLQHIVIWGSVAFWYIFLMIYGAIAPSFSTDAYKVFIEALAPAPSYWLTTLFVMFFALIPFFVFKSVQMRFFPGYHQMIQWIRYEGHSNDPEFVEMVRQRSIRPTTVGFTARRAASVRRSGRFHDQLNKNFIAF >fgenesh2_kg.1__1431__AT1G13220.1 pep chromosome:v.1.0:1:5499076:5501019:1 gene:fgenesh2_kg.1__1431__AT1G13220.1 transcript:fgenesh2_kg.1__1431__AT1G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRSDTRKIGGVTNPRNVDRKGKAVAFSDDLVISTLPPPPIGTLTGEGVSRGYTDDMDMSDWRRFREVGLLNEASMEKKDQEALLEKISTLEKELYGYQHNMGLLLMENKELVSKHEQLNQAIQEAQEILKREQSSHLYALTTVEQREENLRKALGLEKQCVQELEKALRETQEENSKMRLTSEAKLVEANALVASVNGRSSDVENKIYSAESKLAEATRKSSELKMRLKEVETRESVLKQERLSFAKERESYEGTFHKQREYLNEWEKKLQEKEEIMTEQKRSLNQREEKVNEKEKKLKLKEKELEEWNRKVDLSMSKCKETEEDITKRLEELTTKEKEAHTLQSTLVAKENELRAFEEKLIAREGVSLRV >fgenesh2_kg.1__1433__AT1G13230.1 pep chromosome:v.1.0:1:5504319:5506209:1 gene:fgenesh2_kg.1__1433__AT1G13230.1 transcript:fgenesh2_kg.1__1433__AT1G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KQD3] MLWQTFFYSLLLLSLLFCCTGDESLPEVTDSEEAPMDKREREALYSAIQGFVGDSWNGSALYPDPCGWTPIQGVSCDIYNDLWYVTDLSLGLIYENSLACSSSLQIRPELFELKHLRSLSFFNCFISPMVIWINFASNLESLEFRSNPGLIGKLPETIGNLTKLKSLVVLGNGFNGELPASICNLKNLKRLVFAGNSFAGMIPNCFKGLKELLILDLSRNSFSGTLPSSVGDLVSLLKLDLSNNLLEGNLPQEIGLLKNLTLLDLRNNRFSGGLSKNIENIQSLTELVLSNNPMGEEDMMGTKWEKMKNLVVLDLSKMGLRGEIPTSLTNLKTLRFLGLNNNNLTGFVPSKKLEALPCLGALYINENNLTGELRFSTKFYEKMGRRFKASRNPNLCQPFEMVKSESHKHLLPRGVKPCT >fgenesh2_kg.1__1434__AT1G13250.1 pep chromosome:v.1.0:1:5512651:5514365:-1 gene:fgenesh2_kg.1__1434__AT1G13250.1 transcript:fgenesh2_kg.1__1434__AT1G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KQD5] MSSLRLRLCLLLLLPIAISCATVTLTDLPTFREAPAFRNGRECSRTAWSPSDRDHNPSIIHIAMTLDAIYLRGSVAGVFSVLQHASCPENIVFHFIATHRRSADLRRIISSTFPYLTYQIYHFDPNLVRSKISSSIRRALDQPLNYARIYLADLLPIAVHRIIYFDSDLVVVDDVAKLWRIDLRRHVVGAPEHCHANFTNYFTSRFWSSQGFKAALKGRRPCYFNTGVMVIDLGKWRERRVTVKLETWMRIQKRHRIYELGSLPPFLLVFAGDVEPVEHRWNQHGLGGDNLEGLCRNLHPGPVSLLHWSGKGKPWLRLDSRRPCPLDSLWAPYDLFRYSPLISDS >fgenesh2_kg.1__1437__AT1G13270.1 pep chromosome:v.1.0:1:5536148:5538530:1 gene:fgenesh2_kg.1__1437__AT1G13270.1 transcript:fgenesh2_kg.1__1437__AT1G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:D7KQD8] MASSVFLSSFSSSSSLQLSSSFNGDNLAPSRCFIGAPVTSSSLSISGKKNSYSPRKFYISAKKVSGLEEAIRIRKMRELETKSKVRRNPPLRRGRVSPRLLVPDHIPRPPYVESGVLPDISSEFQIPGPEGIAKMRAACELAARVLNYAGTLVRPSVTTNEIDKAVHDMIIEAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQSGDIINIDVTVYLDGYHGDTSKTFFCGEVDEGFKRLVKVTEECLEKGIAVCKDGASFKKIGKRISEHAEKYGYNVVERFVGHGVGPIFHSEPLIYHYRNDEPGLMVEGQTFTIEPILTIGTTECVTWPDNWTTLTADGGVAAQFEHTILITRTGSEILTKC >fgenesh2_kg.1__1438__AT1G13290.1 pep chromosome:v.1.0:1:5541448:5543087:-1 gene:fgenesh2_kg.1__1438__AT1G13290.1 transcript:fgenesh2_kg.1__1438__AT1G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KQE1] MYNNNQYSFSGDEDSVVLRLGPPGQPYPSHIKPTSTKPSSDHEFNHPLTNPNGVTVALHIGPPSSDKETLTGGNNQEGLTARQGQYWIPSLSQILVGPTQFSCSVCNKTFNRFNNMQMHMWGHGSQYRKGPESLRGTKSSSSILRLPCYCCAEGCKNNIDHPRSKPLKDFRTLQTHYKRKHGAKPFRCRKKCEKTFAVRGDWRTHEKNCGKLWFCVCGSDFKHKRSLKDHVKAFGDGHAAHTVGDRVVAIGDADEDDEEEEEEEEDDDDEEEDAHEENVRGEKNYGIRYDHFRRYGQISDDNY >fgenesh2_kg.1__1444__AT1G13340.1 pep chromosome:v.1.0:1:5596586:5598248:-1 gene:fgenesh2_kg.1__1444__AT1G13340.1 transcript:fgenesh2_kg.1__1444__AT1G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLDALLGRSFKTNKFKSLITLALTRLSILKNQRQARLSQAISDVTELLKLGHHENAYHRVDQVVKDQNTLDVLFFIHGYFTLCIDRIHLFEHNRDCPEELLEAVSGLLFAASRIGEFPELQEIRSVLISRFGKDLAARSIELRSNCGVDPKIIQKLSTRHPPKEVRMKALKEIAAENNIVLKLEEASTSTEGTTHMQGTSDVSKAKLTSEDERGEGYGLSDSVKRGKKKYKDVADAAQAAFESAAHAAEAARAAVELSQFSPRGHDSPGNIGGGNSFHGSENKESEQEQEGNDDSSEGEGDVRSESKRSMPDSEDIIDDLPVMSFREDPVKLLENDTVIYDSEEETQSSVETNTIPKSKDEPSLMVGSNREDTRHVDHMVHSIEDPIMRKAGLKGPVSVRTRQVRGY >fgenesh2_kg.1__1446__AT1G13380.1 pep chromosome:v.1.0:1:5616790:5618115:-1 gene:fgenesh2_kg.1__1446__AT1G13380.1 transcript:fgenesh2_kg.1__1446__AT1G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKASTLVFILVVALSLVAFGFSIAAERRRSIGKSIQDPITNTTFCVYDSDVATGYGVGAFLFLLSSESLLMGVTKCMCFGRPLAPGSDRAWSIIYFISSWMTFLVAEACVIAGATKNAYHTKYLSSQTFSCASLRKGIFIAGAVFIVATMVLNVYYYMYFTKSVSSPPAHKTNRSGSNIGMAGYA >fgenesh2_kg.1__1447__AT1G13390.2 pep chromosome:v.1.0:1:5620176:5622044:-1 gene:fgenesh2_kg.1__1447__AT1G13390.2 transcript:fgenesh2_kg.1__1447__AT1G13390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSCGIQQNAFEEMKRNAVVSDRRDAVICPKPRRLGVLNHHSSRSLRWQLNHQMESCESNSRSEILDFILTKGGGEQDETRRVMTPPLFFTGSPPSRVSNPLTKDTLFREELLVVASPTPSTPRATKPQPPSSPRNGSCVMAATSFGNNPVVRVVGFDCDRRRSNRSISTLA >fgenesh2_kg.1__1449__AT1G13400.1 pep chromosome:v.1.0:1:5628763:5630069:1 gene:fgenesh2_kg.1__1449__AT1G13400.1 transcript:fgenesh2_kg.1__1449__AT1G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KQF5] MRADDNNTLDLNNLPDDPSRDIFPFFEEGSSSSSSSGGFREKQTKDGKEYECRFCSLKFFKSQALGGHMNRHRQERETESLNKARELVLRNDSFPPHQGLPSFSYHQGDVHIGDLTSFKPMMYPPRLFSSSFSASSSALQLQPPFLYPPPSPPFPHHHTNDYYLGNNGSHHQILTNSVCGARALADSSYTFIGAPVANGSRVAPLPLPPHHGL >fgenesh2_kg.1__1451__AT1G13420.1 pep chromosome:v.1.0:1:5635551:5636687:1 gene:fgenesh2_kg.1__1451__AT1G13420.1 transcript:fgenesh2_kg.1__1451__AT1G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:D7KQF7] MGEIEIPRNLKDENEDLSESLISSLPSDRDCSGTKLFKYQGCWYDKDILQAILNYNKSFQPQETDIIVASFPKSGTTWLKALTFALAQRSKHPSDHHPLLSHNPHELVPYLELDLYLKSSKPDLTKLPSSYPRLFSTHMSFDALQVPLKESPCKIVYVCRNVKDVLVSLWCFENSMSGESNVSLEALFESFCSGVSLCGPLWENVLSYWRGSLDDPKQVLLLRYEELKTEPREQIKKLAQFLDCPFTKEEEDNGGVDKILELCSLRNLSGLEINKTGKLSEGVSFKSFFRKGEVGDWKSYMTPEMEKKIDMIVEEKLQGSGLKL >fgenesh2_kg.1__1452__AT1G13430.1 pep chromosome:v.1.0:1:5637155:5638303:1 gene:fgenesh2_kg.1__1452__AT1G13430.1 transcript:fgenesh2_kg.1__1452__AT1G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:D7KQF8] MDEKDIPRNLREEEEEEEENLSEETKSSISSLPWEIDYLGNKLFKYQGYWYYEDILQAIPNFHKSFQPQETDIIVAAFPKSGTTWLKALTFALIQRSKHPLEEDNHPLLSHNPHEIVPYLELDLYLKSSKPDLTKFLSSSLSPRLFSTHMSLDALKAPLKESSCKIVYVCRNVKDVLVSLWHFLNANKGVEWGDFSQSEKISGVDDHSFEAMFESFCNGVTLYGPFEDHALSYWQGSLEDPKHVLFMMYEEFKAEPRTQVKRLAEFLDCPFTKEEEDSGSVDKILELCSLSNLSSLEINKTGTLDGVDFKTYFRKGQVGDWKSYMTPEMVNKIDMIIEEKLKGSGLKF >fgenesh2_kg.1__1453__AT1G13440.1 pep chromosome:v.1.0:1:5638622:5640992:-1 gene:fgenesh2_kg.1__1453__AT1G13440.1 transcript:fgenesh2_kg.1__1453__AT1G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7KQF9] MADKKIRIGINGFGRIGRLVARVVLQRDDVELVAVNDPFITTEYMTYMFKYDSVHGQWKHHELKVKDDKTLLFGEKPVTVFGIRNPEEIPWGEAGADFVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEHEYKSDLDIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPSLNGKLTGMSFRVPTVDVSVVDLTVRLEKAATYDEIKKAIKEESEGKLKGILGYTEDDVVSTDFVGDNRSSIFDAKAGIALSDKFVKLVSWYDNEWGYSSRVVDLIVHMSKA >fgenesh2_kg.1__1458__AT1G13460.2 pep chromosome:v.1.0:1:5646634:5649276:1 gene:fgenesh2_kg.1__1458__AT1G13460.2 transcript:fgenesh2_kg.1__1458__AT1G13460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A regulatory subunit [Source:UniProtKB/TrEMBL;Acc:D7KQG1] MWKQILSKLPKKSSSKSHSSSSSSNASTSKSSDNGAGKPGNSHTKNAPAVKPAADSGFKDGNLKGNGNGFTPYEALPGFKDVPNAEKQNLFVKKLSLCCVTFDFSDPTKNVKEKEIKRQTLLELVDYVASPNGKFSETVIQEVVRMVSVNIFRTLNPQPRENKVIDALDLEEEEPSMDPTWPHLQLVYEILLRLIASPETDTKLAKKYIDQSFVSRILDLFDSEDPRERDCLKTVLHRIYGKFMVHRPFIRKSINNIFYRFVFETEKHNGIAEFLEILGSIINGFALPLKDEHKVFLVRALVPLHKPKSLQMYHQQLSYCITQFVEKDCKLADTVIRGLLKSWPVTNSSKEVMFLNELEEVLEATQPPEFQRCMVPLFRQVARCLNSLHFQVAERALFLWNNDHIENLIMQNRKVILPIIFPALERNTQKHWNQAVHSLTLNVQKIFNDIDAELFKECLAKFREDESKEAEIDAKRESTWKRLEEIGNQKQKSSL >fgenesh2_kg.1__1460__AT1G13470.1 pep chromosome:v.1.0:1:5652555:5653903:-1 gene:fgenesh2_kg.1__1460__AT1G13470.1 transcript:fgenesh2_kg.1__1460__AT1G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRRLSEYQRNRSELPESPNSGVLIIQDDESKPTCCFGSCYEAKLKGLPFPQNAKLSVYESRTFLEKTLTHLLSQSITYVPVEPVVFIPVLDQPVSSNRYYAIKGSGKHSGEASANAKEEDRVPCCFCFSYVPEAKPQEADPNDIYQQFQIHQKRSSSRCYTATSVAPNGIPPKFLKTKYWNVEYSTSKDFGLRDDAKGIYTEIRSELPNDVNTSVVVGKWYVPFIFVKEGNAKDQLKSSTYYSMILIKRWEEVYSCENAYNENREVVVNVEVETEVVKHEGQEIGKNTKSVDENGVVWFAVADKRIGLRSAVMERMKWEEERFGWKIEPQRAVVKKSERFDGGGSNWKSYRCYVFVESFELRRKDESLVLSFDFKHVDKLRTKWES >fgenesh2_kg.1__1464__AT1G13480.1 pep chromosome:v.1.0:1:5662109:5663980:-1 gene:fgenesh2_kg.1__1464__AT1G13480.1 transcript:fgenesh2_kg.1__1464__AT1G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRRLSEYQKKPSELKLLPPEGPNSGIMVIQDEESQATCCFGSCYEGRLKGLPFPQNVKLNVAYTSGGGEHQTTHLTPVVFIPVLDQPLSSNLYYVIQRRGKHTGKASASAKEEERVSSCFCFSYVPEAKPQEADPYDIYQQFEIHQRRSSSRYYSATSVASDGVPPDYLKRKDWWVSYSTSHDFGLRDDAKGINTKPRLDSELTSLGKSAEVGKWYVPFIFVMEGDVKDQMKQSTFYSVTLQQRWEEVFFCENISNEHFEVVVDVDVETELVKLEGQETQLREAKGDGVVWFSVLRDEKEDKKIGLGSVVVERMKWEEEKFGWLNKGGRFSIKKSERFEGGSSHWKSYRCYVLIESFELKRMDGSLVLTYEFRHVDKLKSKWD >fgenesh2_kg.1__1467__AT1G13540.1 pep chromosome:v.1.0:1:5678663:5680000:-1 gene:fgenesh2_kg.1__1467__AT1G13540.1 transcript:fgenesh2_kg.1__1467__AT1G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYITRRLSEYRRNPAELTQPPPEGPNSGILVIQDQDSQTRVTCCFSSWLVVDSSLSGLPLPQNLKLAVTFNIGGDDSTRDPVVFIPVLDKPLSSNCYYAIKRHGKHSGEASGSAKEEDKVSCCFCIRQVAEAKPKQLDPFDIYQQFEIHQKKPSSRCYYATSVAPDGVPPWFLKRKEWTVECLRSQDFELRDDAKGLNTELRAKLPGLGMSTVVGKWYVPFIFVKERDAKDQVKNSVYYSMTLEQNWKEVFSYENDKSENRDVVVDVEIETEVVKLEGQEIARGVEANGFVWFGVGEKKIGLGSVVVERMKWEEERFGWTCKGDKERSMTVKRLEKSADGSLWKRYHCYVLIESFVLKRMDKSLVLTYEFTHVDKLKTKWD >fgenesh2_kg.1__1469__AT1G13560.1 pep chromosome:v.1.0:1:5680822:5684417:-1 gene:fgenesh2_kg.1__1469__AT1G13560.1 transcript:fgenesh2_kg.1__1469__AT1G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGAHGVAALHRYKYSGVDHSYLAKYVLQPFWTRFVKVFPLWMPPNMITLMGFMFLVTSSLLGYIYSPQLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFEAMAFGSTAMCGRDTFWFWVISAIPFYGATWEHYFTNTLILPVINGPTEGLALIFVSHFFTAIVGAEWWAQQLGQSIPLFSWVPFVNEIQTSRAVLYMMIAFAVIPTVAFNVKNVYKVVQSRKGSMVLALAMLYPFVVLLGGVLIWDYLSPINLIATYPHLVVLGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPFALANALTARLNAGVPLVDELWVLLGYCIFTVSLYLHFATSVIHEITEALGIYCFRITRKEA >fgenesh2_kg.1__146__AT1G02310.1 pep chromosome:v.1.0:1:580593:583503:-1 gene:fgenesh2_kg.1__146__AT1G02310.1 transcript:fgenesh2_kg.1__146__AT1G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNILLFFFFFLPFLIGNNRICVAANTGFVGRNGTQFVLNGEQVYLNGFNAYWMMTTAADTAAKGRGIVTTALRQASAVGMNVARIWGFNEGDYIPLQISPGSYSEDVFKGLDFVVYEAGRFKIKLIISLVNNYEDYGGRKKYVEWAGLDEPDEFYTNSAVKQFYKNHVKTVLTRKNTITGRMYKDDPTIFSWELINEPRCNVTGSNILQNWVKEMASYVKSIDSIHLLEIGLEGFYGDSIPERTVYNPGGRVLTGTDFISNNQIPDIDFATIHIYPDSWLPLQSSRTGEQDTFVDRWIGSHIEDCNNIIMKPLLITEFGKSSKYPGFSLEKRNKFFKRVYDVIYDSARTGGSCTGGVFWQLTTNRTGLLGDGYEVFMQAGPNTTAQLIAEQSSKLRNLKYPPLVTHSAE >fgenesh2_kg.1__1470__AT1G13570.1 pep chromosome:v.1.0:1:5684576:5686641:-1 gene:fgenesh2_kg.1__1470__AT1G13570.1 transcript:fgenesh2_kg.1__1470__AT1G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KQH0] MGESPDFISDLPQSIIENILTRLSIRDAIRTSVLSSKWRYKWSTLTDLVFDEKCVSPANDRCVVETSLVRFITGVLLLHQGPIHKFQLSTSFLQCRPDIDQWLLFLSRNGIKELVLELGEGEFRVPSCLFNCLKLTRLELCHCEFDPPQYFKGFSSLKSLNLHQILVAPEVIESLISGCPLLEFLSLSYFDSLVLSISAPNLMYLYLDGEFKDIFLENTPKLVAISVSMYMHEDVTDFEQSSDYNLVKFLGGVPLLEKLVGYIYFTKYLSIGDDPGRLPITYIHLKTIELYQVSFEDANEILVLLRLVTHSPNLKELKVSASPIQLFPLEEEGFDLFERDYFDYKLPSLETVKMTDVSGIRNELEFIRFLLGTSPVLETVVVSSSLIDKEAKMDMVIELLRYPRVSPRAQFLFLQD >fgenesh2_kg.1__1471__AT1G13580.2 pep chromosome:v.1.0:1:5686806:5688893:-1 gene:fgenesh2_kg.1__1471__AT1G13580.2 transcript:fgenesh2_kg.1__1471__AT1G13580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLESVKSINWEHESSPVYQDFRVLPLFAVFFPTIRFLLDRFVFEKLAKHLIYGKHRQDMGDDTTERNKKIRKFKESAWKCVYYLSAEILALSVTYNEPWFMNTKYFWVGPGDQTWPDQQTKLKLKLLYMFVAGFYTYSIFALIFWETRRSDFGVSMGHHIATLILIVLSYVCSFSRVGSVVLALHDASDVFLEVGKMSKYSGAERIASFSFILFVMSWIILRLIYYPFWILWSTSYEVVLELDKDKHPIEGPIYYYMFNTLLYCLLVLHIYWWVLMYRMLVKQIQDRGKLSEDVRSDSEGEDEHED >fgenesh2_kg.1__1473__AT1G13590.1 pep chromosome:v.1.0:1:5690337:5691061:1 gene:fgenesh2_kg.1__1473__AT1G13590.1 transcript:fgenesh2_kg.1__1473__AT1G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVILREDGFAPPKPSPTTHEKARSSTKGDRDGVECKNLDSEEECLVKKTVAAHTDYIYTQDLNLSP >fgenesh2_kg.1__1475__AT1G13609.1 pep chromosome:v.1.0:1:5721470:5721895:1 gene:fgenesh2_kg.1__1475__AT1G13609.1 transcript:fgenesh2_kg.1__1475__AT1G13609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLRVIIAVLLAVLVFTATVSESAEEMGKGDVTISLKCKTKTECLKNIACEACVDCRCDSGTCKCHGFTAETNNPTV >fgenesh2_kg.1__1476__AT1G13610.1 pep chromosome:v.1.0:1:5722354:5724908:-1 gene:fgenesh2_kg.1__1476__AT1G13610.1 transcript:fgenesh2_kg.1__1476__AT1G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATSTMAAKLAFFPPNPPSYTVVTEESTGKMRISTNMPHYLRDENIEVVKIRTRRGNEIVAMYVKNPTAKLTVLFSHGNAADLAHIFYIFAELIQLNVNLMGYDYSGYGQSSGKPSEQETYADIEAAHNWLRETYGTKDERIILYGQSVGSGPSLELASRLPRLRALVLHSPFLSGLRVMYPLKHSFPFDIYKNIDKIHLVNCPVLVIHGTDDDVVNISHGKHLWGLCKEKYEPLWLKGRGHCDIETSPEYLPHLRKFISAIEKLPVPKFRRLSLADDHKKEKSTKSSNWIGSRHSIECVTTARDKSRKISIDHRFGKARNSVDSSDRARNSFDRLGEMVRSVRLCNVDCVKNAVAEV >fgenesh2_kg.1__1478__AT1G13620.1 pep chromosome:v.1.0:1:5727309:5728318:1 gene:fgenesh2_kg.1__1478__AT1G13620.1 transcript:fgenesh2_kg.1__1478__AT1G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVTSSFLCLLILLLFRLSFGYSLHGGKDEVSSVDVGSNAKVMKHLDDNDDDAMKKAQVRGRIGEEFSKEKTKMMMKKTTNKETSVEEEDDLVAYTADYWKPRHHPPKNN >fgenesh2_kg.1__1480__AT1G13635.1 pep chromosome:v.1.0:1:5733286:5734809:1 gene:fgenesh2_kg.1__1480__AT1G13635.1 transcript:fgenesh2_kg.1__1480__AT1G13635.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyladenine glycosylase family protein [Source:UniProtKB/TrEMBL;Acc:D7KQI2] MYKASPQRREIVEKSKNVREKETKQNSNFFAKHLKRIYPITLQRSTSSSFSISSISLSLSQNSTDSVSTDSNSTLEQKISLALGLISSPYRRETFVPKSIPQQLCQDFNSDEPKRCNWITKKSDEVYVTFHDQQWGVPAYDDNLLFELLAMSGMLMDYNWTEIIKRKELFREAFCEFDPNLVAKMGEKDITEIASNKAIMLQESRVRCIVDNAKCITKVVKEFGSFSSFIWGFMDYKPIINKFKYSRNVPLRSPKAEIISKDMIKRGFRFVGPVIVHSFMQAAGLTIDHLVDCFRHGDCVSLAERPWRHI >fgenesh2_kg.1__1481__AT1G13640.1 pep chromosome:v.1.0:1:5735161:5737837:-1 gene:fgenesh2_kg.1__1481__AT1G13640.1 transcript:fgenesh2_kg.1__1481__AT1G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-and 4-kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KQI3] MAMAVFKAPLKGEFHGARKMEGKQYKHHLLQQQSSGRRRVFVQTETGCVLGVELDRNDNVHTVKKRLQIAFNFPTEESSLTFGDMVLKNDLSAVRNDSPLLLKRNLMHRSSSTPCLSPTGNDLQRKDRSGPIEILSHSHCFMSLKQTANDIVKAMKMGVEPIPVNGGLGGAYYFRNEMGQSVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKPSVRVGETGFREVAAYLLDYDHFSNVPPTALVKITHSVFNVNDGMDGNKSREKKKLVSSKIASFQKFVPHDFDASDHGTSSFPVASVHRIGILDIRILNTDRHGGNLLVKKLDDGGVGRFGQVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSEEELDYIQSLDPVKDCEMLRRELPMIREACLRVLVLCTVFLKEAAVFGLCLAEIGEMMTREFRAGEEEPSELEMLCIEAKRLTSEKDVLSPKSDVEGETEFQFDIDYNDLDLVYGSETGTNEFFAKNSFSYGCSSLGELKESIAEEEEDDKDETILTISLSKLSTSMKNNNLSNTMGSGHLKPPRDNKTDKALVSHKSANVQLPVSANFVKLADMKEVEWVVFLERFQELLHSAFAERKTLTLRNTQRLGTSCKF >fgenesh2_kg.1__1483__AT1G13690.1 pep chromosome:v.1.0:1:5752201:5753273:1 gene:fgenesh2_kg.1__1483__AT1G13690.1 transcript:fgenesh2_kg.1__1483__AT1G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQQQQAMQKNTLYVGGLADEVNESILHAAFIPFGDIKDVKTPLDQANQKHRSFGFVTFLEREDASAAMDNMDGAELYGRVLTVNYALPEKIKGGEQGWAAHPLWADADTWFERQQQEKEMLKMQAENKAAMETAEELHRKKLAQDRQGEMEEDTETKDDPMARAEADALSHSDA >fgenesh2_kg.1__1485__AT1G13710.1 pep chromosome:v.1.0:1:5761925:5763835:-1 gene:fgenesh2_kg.1__1485__AT1G13710.1 transcript:fgenesh2_kg.1__1485__AT1G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP78A5 [Source:UniProtKB/TrEMBL;Acc:D7KQJ0] MSPEAYVLFFNSFNLVTFEAFASVSLFIATVAFFFSPGGLAWAWTGSSKSRVSIPGPSGSLSVLSGSNPHRVLAALAKRFKASPLMAFSVGFSRFVISSEPETAKEILNSSAFADRPVKESAYELLFHRAMGFAPYGEYWRNLRRISSTHLFSPRRIASFESVRVGIGMKMVKKIKSVVMSDAGGEVEVKKIVHFGSLNNVMTTVFGESYDFDEVKGSGCFLERLVSEGYELLGIFNWSDHFWVLRWFDFQGVRKRCRALVSEVNTFVGGIIEKHKMKKSNNLKGEENDFVDVLLGLQKEEKLSDSDMIAVLWEMIFRGTDTVAILVEWVLARMVLHQDIQDKLYKEIASATSNNTRSLSDSDIPELPYLQAIVKETLRLHPPGPLLSWARLAIHDVHVGPNLVPAGTIAMVNMWSITHNAKIWTDPEAFKPERFIGSEDMSIMGSDLRLAPFGSGRRVCPGKAMGLATVHLWIAQLIQNFEWVKGSCDVELAEVLKLSMEMKNPLKCKAVPRNVGFA >fgenesh2_kg.1__1486__AT1G13730.1 pep chromosome:v.1.0:1:5770841:5773526:1 gene:fgenesh2_kg.1__1486__AT1G13730.1 transcript:fgenesh2_kg.1__1486__AT1G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein [Source:UniProtKB/TrEMBL;Acc:D7KQJ1] MALESNAPVVDPNTIGNSFVEQYYNLLYKSPAVVHQFYLDDSVLGRPGADGEMVSVKSLKAINEQIMSFDYKISKIQILTADSQASYKNGVVTLVTGLLTVKEGERMRFSQSFFLVPHNGSYFVLNDVFRYVADEIVEPEANKKEVEEVIPQVVQSTVTVLAEPANEVAEPVTIPSQQPAAKHTTEDTVKKPERAVANGHPKTQEEKVVNDKSNAVDAPKKSYAGIVQSLAQNGATFNVKGSPAKPKSKPVTKPSAAPESKAPAPVSEHSSAETVDQPGCTIFVANLPMDATPEQLNETFKGFGSITKDGIQVRSYRLKGNCFGFVTFESAEAVKLVLKAHKGLAIRIGNRKVSIEEKRGNNDNGRPSMRNGGYRNENGYRNDGVRPRGNGFNGGRGYGRNGSERRGESRNGEAYNGDGKVHQNGMVKAGRENAQSRG >fgenesh2_kg.1__1487__AT1G13740.1 pep chromosome:v.1.0:1:5774777:5776524:1 gene:fgenesh2_kg.1__1487__AT1G13740.1 transcript:fgenesh2_kg.1__1487__AT1G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASRQQRAWNREMTVTTNLSLDIDKYPRDLLRGFMSENGGGRAFHGGELGCDDESAIELNLGLSLGGRFGVDKTPRKLKRSSSVLDTVPFNDSTVAEPENYTVGLERTTSLPAEMEEEWRKRKEMQSLREWKLREGDVRNRVSELGILMTKRCRLRMRDGLLRVKVASCRDIWFLLIDKCVLIELVGTRQQESASCNDERSPKIVAGCSSNSGSQGTEKPSVTRADKVNENEKGVRKEDSVDRKGKGMATSTGLVDMPCVFTKGDGPNGRRVDGILYKYGKGEEVRIMCICHGSFLTPAEFVKHGGGGDVDRPLRHIVVNTSSSTF >fgenesh2_kg.1__148__AT1G02335.1 pep chromosome:v.1.0:1:586907:588010:-1 gene:fgenesh2_kg.1__148__AT1G02335.1 transcript:fgenesh2_kg.1__148__AT1G02335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLRISIIIVLSCIITSTRAYDPDALQDLCVADKSHGTKLNGFPCKETSNITASDFFFAGISKPAAINSTMGSAVTGANVEKIPGLNTLSVSLARIDYAPGGLNPPHTHPRATEVVYVLEGELEVGFITTANKLFTKTIKIGEVFVFPRGLVHFQKNNGKSPASVLSAFNSQLPGTVSVAATLFAAEPALPEDVLTKTFQVGSKMVDKIKERLATKK >fgenesh2_kg.1__1491__AT1G13780.1 pep chromosome:v.1.0:1:5797784:5799391:1 gene:fgenesh2_kg.1__1491__AT1G13780.1 transcript:fgenesh2_kg.1__1491__AT1G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F16A14.1 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KQJ9] VMPGFDRISELPESLISQILLHLPTKDSVKTSVLSTRWKNLWLNVPGLDLNCRDFPFDNNNEKVLIDFIDRFLQFNNESRLLKFKVNYSRDEIIKFSDRIRDAVNRRIRVLDVESNTYYQDADDGLVYPCIEFMPLNLYTSKTLVSLKLSFSGLADPGFVYMPCLKFMHLREVRWHSSGTMNLEKLVSGCPVLEELIYLCDDELVVTRVRSRSLKRFSIPSEHSISCFRSVAQTFEIDAPGLEYMSLKEDHFDRFMVKNLTSLFMIDLDIKFIFGFGRMFDPEDLAKRNEIRDFLTGISIVRHMIISHQTVKALHIYSKVGSIPKFNNVSRLQAEFPSSLLQFLPAFLESFPNLKHLILKIVYPEEVMEELKLVNVPRCFVSTLERVEIKGLFDWGEEDMKIARYFLENSAVLEKLIVCFMGCPQHYSESDIYEELDKLTKRSLRCQIIIDAELE >fgenesh2_kg.1__1492__AT1G13790.1 pep chromosome:v.1.0:1:5800594:5803472:1 gene:fgenesh2_kg.1__1492__AT1G13790.1 transcript:fgenesh2_kg.1__1492__AT1G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XH/XS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KQK0] MYSRRELEDLEYRYYSEMKDGTRKVKFSESLFRCPFCYRDRKRDYQFDDLYRHASGIGGSSRTKDGREKARHLALERYMRKYLRPRERPDPTPTSDVSSLPKEEFTRKWKSTLLTAEEGEFISIEKSTSLHIVKAEPKSVSGDDPGRSGEKRPKFSDKPNPSFSNEDKSYPVKRPCLVSGAKEREEPVQQIGLSHGARFAPQKLVSLGAGNGDQMFVHPWKGILANMKRTLDEKTGKYAGESGSKIREELLNNGFNPHKVTPLWNGKFGFTGFAIVDFGKEWEGFRNATMFDKHFEVNQCGKRDHDSTRDPGDKLYGWVAKQDDYYSRTAIGDHLRKQGDLKSVSGKEAEDQRKTFTLVSNLENTLEDKNTNLQQMESIYKKTSSVLEKRMREKDEMIDTHNEKMSSMQQTARDYLASIYEEHEKASQHLEAQRKEYEDREKYLDKCQAKNKTERRKLQWQKQKNLMATQEQNKADEDMMRLAEQQQREKDELRKQVRELEQKLDAEQALELEIERMRGDLQVMGHMQEGEGEDSKIKEMIEKTKEELKEKEEDWEFQESLYQTLVVKHGYTNDELQDARKALIHSMRELTSRAYIGVKRMGALDEKPFQKLAKEKYPAEEADEKAAELCSLWEEHLGDSAWHPIKVVVKDGTAKEELNEEDEKLQELRKELGEEVYAAVTQALKERNEYNGSGRYIVPELWNFRENRKATIKEGVVYLLNSWKQKKPKPKRR >fgenesh2_kg.1__1496__AT1G13830.1 pep chromosome:v.1.0:1:5815544:5816717:-1 gene:fgenesh2_kg.1__1496__AT1G13830.1 transcript:fgenesh2_kg.1__1496__AT1G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLLGLLLLLALTKSSSAIYCLCKDGIGDTELQTSIDYACGTLADCNPIHDNGSCFQPNTIKSHCDWAVNSYFQNAAQVPGSCNFSGTAITNPNPPSNLANGCIYPSSASSTRSPPSTTPPTGSGTPPTNGTTPFPGTPFPGTPFPGTPPVFGPTGVFNPSNPGSGASSLVISSVYTLCFSLLVFLCGSDVRFGFSHV >fgenesh2_kg.1__1497__AT1G13860.3 pep chromosome:v.1.0:1:5821119:5824727:-1 gene:fgenesh2_kg.1__1497__AT1G13860.3 transcript:fgenesh2_kg.1__1497__AT1G13860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVASFFGLRPRISGLLFLIIGVIALITILVPNSDDSSTTLTTRVPPHNIYSNYGRIKEQAAIDYLDLRFFSLGVNRLKEFPLCGKERDNYVPCYNITETDRNCEFVREGERCVVRPPRDYKIPLRWPVGRDIIWTGNVKITKDQFLSSGTMTKRLMLLEENQITFHSEDGLIFDGVKDYAFQIAEMIGLGSDTEFPQAGIRTVLDIGCGFGSFGAHLVSLNVMPICIAEYEASGSQVQLALERGLPAIIGNFFSKQLPYPALSFDMVHCAQCGITWDIKDAMLLLEVDRVLKPGGYFVLTSPTSKAQGNSPETKKTSISTRVDELSKKICWSLSGQQDETFLWQKAADPNCYSSRSQASIPLCKDDDSVPYYQPLVPCISGTKTKRWIPIQNRSKASGTSLSELEIHGIKPEEFDEDIQVWRSALKNYWSLLTPLIFSDHPKRPGDEDPVPPFYMIRNAMDMNARYGNLNLAFLNQGKSVWVMNVVPVKTRNTLPIILDRGFAGVLHDWCEPFPTYPRTYDMLHANELLTHLSSERCSLMDLFLEMDRILRPEGWVVLSDKLGVIEMARTFAARVRWEARVIDIEDGSDQRLLVCQKPFLKK >fgenesh2_kg.1__1502__AT1G13880.1 pep chromosome:v.1.0:1:5827139:5829473:1 gene:fgenesh2_kg.1__1502__AT1G13880.1 transcript:fgenesh2_kg.1__1502__AT1G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELM2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KQK8] MGFKRTFDAEDVQELNVKHARQISYCNKLAKLDEGVPYRVSLEKSSFVGDDISDLYGYKCEDNLEKGFETNASFSWITTGLCEEDSQSGATTQSTLSHESPESDIPWRPVCPEEDVYWCPVSPRKTVPIGPDYQADIPECVKEEASDQSGQAAVDYDEEQVTGKCVIPMPDCETEVCKIGKGRKECICLDKDSIRCAQQHIMENREDLFETIGYDRCLNLGLYEMGEEVAGKLTEDEEDLFHEIVYSNPVSMDRDFWKHLKSAFPSRTMKEIVSYYFNVFILRRRAIQNRSKSLDVDSDDDEWQVEYDNTFYGAETPSDEKAEKSLSRDEEEEGNANEDSYMSFEYQSNAVYSRCPVRNREESNIGNYWRHCNDLVDQSYSFDPCDSVLPDHCWTKNIDLLPTSNIIDEIFGQDPWDDFSRGK >fgenesh2_kg.1__1505__AT1G13910.1 pep chromosome:v.1.0:1:5834246:5836494:1 gene:fgenesh2_kg.1__1505__AT1G13910.1 transcript:fgenesh2_kg.1__1505__AT1G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KBE8] MANLSLSLSLIFRICAFLLLINVSFAKTLKRDMKALNEVKRLVGWRLVYSWVGDDPCGDGVLPPWSGVTCSTVGDYRVVIKLEVYSMSIVGNFPKAVTKLLDLTVLDLHNNKLTGPIPSEIGRLKRLKTLNLRWNKLQHVLPPEIGGLKSLTNLYLSFNNFRGEIPKELANLHELQYLHIQENHFTGRIPAELGTLQKLRHLDAGNNNLVGSISDLFRIEGCFPALRNLFLNNNYFTGGLPNNLANLTNLEILYLSYNKMTGAIPAALANIPRLTNLHLDHNLFNGSIPEAFYKHPNLKDMYIEGNAFKPDVKAIGAHQVLELSDTDFLV >fgenesh2_kg.1__1507__AT1G13930.1 pep chromosome:v.1.0:1:5841629:5842275:1 gene:fgenesh2_kg.1__1507__AT1G13930.1 transcript:fgenesh2_kg.1__1507__AT1G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KBF1] MNFISDQVKKLSSSTPEEPDHKKPVDGTETATRPATNAELMASAKVVAEAAQAAASNESDKLDKGKVAGASADILDAAEKYGKFDEKSSTGQYLDKAEKYLNDYESSHSTGAGSGPPPPASQAEPASQPEPAAKKDDEESGGGLGGYA >fgenesh2_kg.1__1509__AT1G13950.1 pep chromosome:v.1.0:1:5855754:5857081:1 gene:fgenesh2_kg.1__1509__AT1G13950.1 transcript:fgenesh2_kg.1__1509__AT1G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5A [Source:UniProtKB/TrEMBL;Acc:D7KBF3] MSDEEHHFESSDAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTSKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGYVSLLTDNGSTKDDLKLPTDDTLLQQIKSGFDDGKDLVVSVMSAMGEEQINALKDIGPK >fgenesh2_kg.1__150__AT1G02370.1 pep chromosome:v.1.0:1:599259:601154:1 gene:fgenesh2_kg.1__150__AT1G02370.1 transcript:fgenesh2_kg.1__150__AT1G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KB79] MNFRNLITSGSRLGKRFCATVFAPASATGVVEASVSSPAAANGVEASVPAPTAASRQRELYKKLSKLSVAGGTVAETLNQFIMEGITVRKVDLFRCAKDLRKFRRHQHALEIFDWMEKRKMTFSVSDHAIRLDLIAKAKGLEAAENYFNNLDPSAKNHQSTYGALMNCYCVELEEGKAKAHFEKMDELNFVNNSLPFNNMMSMYMRLSQPEKVPVLVDAMKQRGISPCGVTYSIWMQSCGSLNDLDGLEKIIDEMGKDSEAKTTWNTFSNLAAIFTKAGLYEKAESALKSMEKKMNPNNRDSHHFLISLYAGISKGTEVYRVWESLKKARPEVNNLSYLVMLQAMSKLGDIDGIKKIFTEWESKCWAYDMRLANIAINTYLKGNMYEEAEKILDGAMEKSKGPFSKARQLLMIHLLENGKADLAMKHLETAVSDPAENKDEWSWSSELVSLFFLHFKRAKDVDGAEDFCKILSNWKPVDCETMSFLIKTYAAAEKTCPDMRERLSQHQIEVSEEIQDLLKTVCP >fgenesh2_kg.1__1511__AT1G13960.1 pep chromosome:v.1.0:1:5858986:5861764:1 gene:fgenesh2_kg.1__1511__AT1G13960.1 transcript:fgenesh2_kg.1__1511__AT1G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 4 [Source:UniProtKB/TrEMBL;Acc:D7KBF4] MSEKEEAPSTSKSAGAPSRPTLSLPPRPFSEMFFNGGVGFSPGPMTLVSNMFPDSDEFRSFSQLLAGAMTSPATATAATASDYQRISEGTNSSSGDVDPRFKQNRPTGLMISQSQSPSMFTVPPGLSPAMLMDSPSFLGLFSPVQGAYGMTHQQALAQVTAQAVQANANMQPQTEYPPSSQVQSFSSGQAQIPTSAPLPAQRETSDVTIIEHRSQQPLNVDKPADDGYNWRKYGQKQVKGSEFPRSYYKCTNPGCPVKKKVERSLDGQVTEIIYKGQHNHEPPQNTKRGNKDNTANLNGSSVNNNRGSSELGVSQFQTNSSNKTKREQHEAASQATTTEHLSEASDSEEVGNGETDVREKDENEPDPKRRSTEVRVSEPAPAASHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTAGCGVRKHVERAATDPKAVVTTYEGKHNHDLPAAKSSSHAAAAAQLRSDNRPGGLANLNQQQQQQPVARLRLKEEQTT >fgenesh2_kg.1__1512__AT1G13970.1 pep chromosome:v.1.0:1:5861892:5864963:-1 gene:fgenesh2_kg.1__1512__AT1G13970.1 transcript:fgenesh2_kg.1__1512__AT1G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSSQRKLSNKLQQKKQKRGRSGKCRSKISASMPDVPMKRMSNASVRDFAVSEYVHLDFDNCAAKMMCKRAAEMSNANFHLTQLQWNCSQIDGNRISHEEAWYDSFSYIDSDSDDGSNSSVFEDANASAMGQVIQYEEFYESYLKIDGNKGETYSSKNEVSIKRNQVADESHHETFKTTTCEDHQDHRKKSSKVVMVSVRRTSIDSKSTASEFSSAEKLLYRPKAGSVIQRSLGEKLTSQGSWSEVSPSSFKLRGLNFFRDKQKCPAPNCSPYIPIGVDLFACPKKINHIAQHIELPNPKPASSQVCDIPNLLIVNIQLPMYPTSMFGDYDGEGHSLVLYFKRNENYHKEISSHFKETIKRFMDDEMEKVKGFTRESTVPFRERLKIMAGLVNPEDLQLSSTERKLITAYNDRPVLSRPQHDFFQGPNYFEIDLDIHRFSYISRKGLESFRDRIKNGILDLGLTIQAQSPEELPEQVLCCVRLNKIDFVNHGQIPTLLTNKQ >fgenesh2_kg.1__1513__AT1G13980.1 pep chromosome:v.1.0:1:5870190:5876114:1 gene:fgenesh2_kg.1__1513__AT1G13980.1 transcript:fgenesh2_kg.1__1513__AT1G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKLHSGIKAIEEEPEDFESTDSSNTTTLACMIDTEIAAVLAVMRRNVRWGGRYMSGDDQLEHSLIQSLKALRKQVFSWNQPWHTISPMLYLQPFLDVIRSDETGAPITSIALSSVYKILNLNVIDQNTANIEDAMHLVVDSVTSCRFEVTDPASEEVVLMKILQVLLACMKNKASVMLSNQHVCTVVNTCFRVVHQAGMKGELLQRVARHTMHELVRCIFSHLPDVDRTESTLVNRAGSIKQEKAGVDSDYAIVSKPVEDGNANSEYDNENSVATFATGAQSLMDDGLVGPGSRKPASPYDLHIMTEPYGVPSMVEIFHFLCSLLNVVEHVGMGSRSNTIAFDEDVPLFALNLINSAIELGGSSIRHHPRLLSLIQDELFRNLMQFGLSMSPLILSMVCSIVLNLYQHLRTELKLQLEAFFSCVILRLAQGKYGPSYQQQEVAMEALVNFCRQKSFMVEMYANLDCDITCSNVFEELSNLLSKSTFPVNCPLSAMHILALDGLIAVIQGMAERISNGLTGLDLGPVHLDEYTPFWMVKCDNYSDPNHWVSFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAGTFDFQYMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYMQSPEILANKDAALVLSYSIIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPREFLSELFHSICNNEIRTTPEQGAGFPEMTPSRWIDLMHKSKKTAPYIMADSRAYLDHDMFAIMSGPTIAAISVVFDHAEHEDVYQTCVDGFLAIAKISACHHLEDVLDDLVVSLCKFTTLLNPSSVDEPVLAFGDDAKARMATITIFTIANKYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADESELSSEQGQGKPLANSLSSAHLQSMGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLQAESLLQLARALIWAAGRPQKGTSSPEDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIATIAQSTVMPCNLVDKAIFGLLRICQRLLPYKESLADELLRSLQLVLKLDARVADAYCEQIAIEVSRLVKANANHIRSQAGWRTITSLLSITARHPEASEAGFNAVSFVMSEGTHLYPANYVLCVDAARQFAESRVGQSERSIRALDLMGDSLEYLAKWALSAKENMGEEDFGKMSQDIGEMWLRLVQGLRKVCLDQREDVRNHALQALQKCLGGVDGINLAHSMWSQCFDKVIFTVLDDLLEIAGGSQKDYRNMEGTLLLAIKLLSKVFLQQLQELSQLSTFCKLWLGVLTRMEKYMKVKVRGKKSDKLQESVPELLKNILLVMKTKGVLLQRSALGGDSLWELTWLHVNNIAPSMRLELFPDQESTQLGDDETVSNGLSSPEAGANTTGS >fgenesh2_kg.1__1515__AT1G14000.1 pep chromosome:v.1.0:1:5878887:5881725:1 gene:fgenesh2_kg.1__1515__AT1G14000.1 transcript:fgenesh2_kg.1__1515__AT1G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KBF8] MSSDSPASGDGGEQAAAGTSVPSPSYDKQKEKARVSRTSLILWHAHQNDAAAVRKLLEEDPTLVHARDYDKRTPLHVASLHGWIDVVKCLLEFGADVNAQDRWKNTPLADAEGARKQKMIELLKSHGGLSYGQNGSHFEPKPVPPPIPKKCDWEIEPAELDFSNAAMIGKGSFGEIVKAYWRGTPVAVKRILPSLSDDRLVIQDFRHEVDLLVKLRHPNIVQFLGAVTERKPLMLITEYLRGGDLHQYLKEKGGLTPATAVNFALDIARGMTYLHNEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIKVQNSHDVYKMTGETGSYRYMAPEVFRHRRYDKKVDVFSFAMILYEMLEGEPPFANHEPYEAAKHVSDGHRPTFRSKGCTPDLRELIVKCWDADMNQRPSFLDILKRLEKIKETLPSDHHWGLFTS >fgenesh2_kg.1__1517__AT1G14020.1 pep chromosome:v.1.0:1:5892416:5895030:1 gene:fgenesh2_kg.1__1517__AT1G14020.1 transcript:fgenesh2_kg.1__1517__AT1G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKSESDNTQARSDNKLPPPSAVLKPRVQVWFVRVCSSILVWTCLIQLFAAGELWRSRIFTGFTNQISRFSAPVEPVPLPPHLPPPRNYTSNGILLVSCNGGLNQMRSAICDMVTIARLLNLTLVVPELDKTSFWADPSGFEDIFDVTHFIDSLRDEVRIIRKLPKRFTRKYGYQMFEMPPVSWSDEKYYLKQILPLFRKHKVIHFNRTDTRLANNGLPLAHQWLRCRVNFQGLKFTPQLEALGAKLVRILQQRGPFVVLHLRYEMDMLAFSGCTHGCTEEEAEELKKMRYTYPWWKEKEIVSEERRAQGLCPLTPEEVALVLKALGFEKNTQIYIAAGEIYGGEHRLSVLREAFPRIVKKEMLLESTELQQFQNHSSQMAALDFMVSVASNTFIPTYDGNMAKVVEGHRRYLGYKKTILLDRKRLVELLDLHHNKTLTWDQFAVAVKEAHERRAGAPTHRRVISDKPKEEDYFYANPQECLCEGTNCHDLFGIEI >fgenesh2_kg.1__1518__AT1G14030.1 pep chromosome:v.1.0:1:5894983:5897195:-1 gene:fgenesh2_kg.1__1518__AT1G14030.1 transcript:fgenesh2_kg.1__1518__AT1G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASVAVVSGFLRVPSIQKSRNPSLLFSRPKKSLVRPISALSSELPENVRNFWKWLGDQGVVSGKSPAEPAVVPEGLGLVARRDIGRNEVVLEIPKRLWINPETVTASKIGPLCGGLKPWVSVALFLIREKYEEESSWRLYLDMLPQSTDSTVFWSEEELAELKGTQLLSTTLGVKEYVENEFLKLEQEILLPNKDLFSSRITLDDFIWAFGILKSRAFSRLRGQNLVLIPLADLINHNPAITTEDYAYEIKGAGLFSRDLLFSLKSPVYVKAGEQVYIQYDLNKSNAELALDYGFVESNPNRNSYTLTIEIPESDPFFGDKLDIAETNKMGETGYFDVVDGQTLPAGMLQYLRLVALGGSDAFLLESIFNNTIWGHLELPVSRSNEELICRVVRDACKSALSGFSTTIEEDEKLLEEGKLDPRLEMALKIRIGEKRVLQQIDQIFKDRELELDVLEYYQERRLKDLGLVGEQGDIIFWETK >fgenesh2_kg.1__1525__AT1G14130.1 pep chromosome:v.1.0:1:5959439:5960607:-1 gene:fgenesh2_kg.1__1525__AT1G14130.1 transcript:fgenesh2_kg.1__1525__AT1G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELNGVIPTIDLEEVSDKILNQKIREASERLGCFRVINHGVSLSLMAEMKKTVIDLFQRPYEVKLRNTDVLLGSGYRAPNEINPYYEALGLYDMASPQVVNTFCDQLEASADQREIMVKYAKAINGLSMDLVRRLAESYGLVETDFFKGWPSQFRINKYHFRPETVGKLGVQLHTDSGFLTILQDDENVGGLEAMDSSSGTFFPIDPLPNTLAINLGDMATIWSNGRLCNVKHRVQCKEATMRYSIASFLLGPMNTDLEPPSEFVDAEHPRLYKPISHEGIRNIRMTKKLHDGEALKLITHEGLNK >fgenesh2_kg.1__1528__AT1G14150.1 pep chromosome:v.1.0:1:5963556:5964405:1 gene:fgenesh2_kg.1__1528__AT1G14150.1 transcript:fgenesh2_kg.1__1528__AT1G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTTNTPPPYLLRPNYYRRVNPPFSVVCCTGESQQDIFTRRRTLTSFITLTVIGRATSSALAQEKWGTRSFIKEKYFMPGLSPEDAAARIKQTAEGLRDMREMLEHMSWRYVIFYIRLKQAYLSQDLTNAMNILPESRRNDYVQAANELVENMSELDYYVRTPKVYESYLYYEKTLKSIDNVVELLA >fgenesh2_kg.1__152__AT1G02390.1 pep chromosome:v.1.0:1:605536:607891:1 gene:fgenesh2_kg.1__152__AT1G02390.1 transcript:fgenesh2_kg.1__152__AT1G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 2 [Source:UniProtKB/TrEMBL;Acc:D7KB82] MSGNKISTLQALVFFLYRFFILRRWCHRNPKQKFQKCPSHGLHQAQDLSNHTLIFNVEGTLLKSNSLFPYFMVVAFEAGGVIRSLFLFVLYPFISLMSYEMGLKTMVLLSFFGVKKESFRAGKAVLPKYFLEDVGLEMFQVLKRGGKRVGVSDLPQVMIDVFLRDYLEIEVVVGRDMKMVGGYYLGIMEDKKKHEFAFDKVVQEERLSSGRLIGITSFNSPSHRSLFSQICQEIYFVRNSDKKSWRTLPRDQYPKPLIFHDGRLAIKPTPLNTFVLFMWAPFATALAAARLVFGLNLPYSLANPFLAFSGIHLTLTVKDLITSDRKKGCLFVCNHRTLLDPLYISYALRKKNIKAVTYSLSRLSELLAPIKTVRLTRDRVKDGEAMERLLSQGDLVVCPEGTTCREPYLLRFSPLFSEVCDVIVPVAIDSHVTFFYGTTASGLKAFDPIFFLLNPFPSYTVQLLDPVSGSTSSTCPDPDNRKAKFDVANHVQHEIGNALGFECTNLTRRDKYLILAGNNGVVKKK >fgenesh2_kg.1__1532__AT1G14170.3 pep chromosome:v.1.0:1:5967421:5969969:-1 gene:fgenesh2_kg.1__1532__AT1G14170.3 transcript:fgenesh2_kg.1__1532__AT1G14170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLRNIHGKRSNLQSELTGNGGSKRRNLHDDTDQNVIGSEETVYRYLCPVKKTGSIIGKGGDIAKQIRSETKSNMRINEALPGCEERVVTIYSTNEELNHFGDDGELVCPALDALFKVHDMVVADIDHDDGNDDDDLGEKQTVTVRMLVPSDQIGCVIGKGGQVIQNLRNDTNAQIRVIKDHLPSCALTLSHDELLQIIGEPLVVREALYQVASLLHANPSRFQHLLLSSSSSMHQPGAMLMSAALTSSHRNYAVRRDIADAREFCVCFICPAENVGGVIGKGGGFINQIRQETGATIRVNTSETDEDDCIIVISSKEFYEDQSPAVNAAIRLQQRCSEKVGKDANDLAISTRLLVSSSQIGCLIGKGGAVISEMRSVTRANIRILQKEDVPKIAREDEEMVQITGNPDAAMKALTQVILRLRANSFDMDHGLVLLPTSFPYIPQVTENSSKSKYAKRDDHRKLNSNSKRRNYVS >fgenesh2_kg.1__1536__AT1G14205.1 pep chromosome:v.1.0:1:5979405:5980591:1 gene:fgenesh2_kg.1__1536__AT1G14205.1 transcript:fgenesh2_kg.1__1536__AT1G14205.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18 family protein [Source:UniProtKB/TrEMBL;Acc:D7KBH9] MAALSSLSFVQFRVSDILGTRLKPYPQFPIRITNMSVKPFVIEARGNSRVESPKTRNRRSRKKFNGTQTKPRLSVFCSDKQLYAMLVDDFNKKCLFYASTLQKSIRGDPPCTVIHLVGVCFVVYAVYSIGGIVFASLLNFDKSLAKWLDFIEVTASSSRYARVSLHVNLFQEAAKRVGEEIIKASVDLKINEISAYDRNGNKRGERMQAFEIAIAQHGFLP >fgenesh2_kg.1__1537__AT1G14210.1 pep chromosome:v.1.0:1:5980608:5981765:-1 gene:fgenesh2_kg.1__1537__AT1G14210.1 transcript:fgenesh2_kg.1__1537__AT1G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease T2 family protein [Source:UniProtKB/TrEMBL;Acc:D7KBI0] MRGIVIVNLLILQSLFVPSSPTAPDFNFFYWVNYWPGAICDSQRGCCPPTKGNTAPDFIIHGLWPQFNNGTWPAFCDQTNLFDISKISDLVCQVEKKWTEWGVWACPSNETNLWEHEWNKHGTCVQSIFDQHSYFLTNLKFRYKFNLLNILKQKGIKPNDGFYSLDEIKNAIKCVIGFAPGIECNEDVKGNKQLFQIYICLDNYAKEFVECPYVPDKSCASKIKFPKFPERDSLSESLSVIASVSTT >fgenesh2_kg.1__1540__AT1G14240.2 pep chromosome:v.1.0:1:5990881:5993896:1 gene:fgenesh2_kg.1__1540__AT1G14240.2 transcript:fgenesh2_kg.1__1540__AT1G14240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7KBI3] MTPEMDALKVQILPEHQSLPYTVTKPKSKPTKSLILLIVASVTVTLGLLYVFKSNSVLSSGSLLRRRCTLRYSVIIDAGSSGTRVHVFGYWFESGKPVFDFGEKHYANLKLTPGLSAYADNPEGASVSVTKLVEFAKKRIPTRMLRKSDIRLMATAGMRLLEVPVQEQILDVTRRVLRSSGFMFRDEWATVISGSDEGIYAWIIANYALGSLGTDPLETTGIVELGGASAQVTFVSSEHVPPEFSRTISYGNVSYTIYSHSFLNYGKDAALKRLLETLQNSANSTGEGIVEDPCTPKGYIYDTNSKKYSSGFLADESRLKGSLEAAGNFSKCRSATFALLQEGKENCQYEHCSIGSTFTPDLQGSFLATSNFYYTAKFFELEEKGWLSELIPAGKRYCGEEWSKLILEYPTTDEEYLRGYCFSSAYIISMLHDSLGIALDDERITYANEAGEKHIPLDWALGAFILDAVTPTSDYSGKSRKYLGF >fgenesh2_kg.1__1546__AT1G14260.1 pep chromosome:v.1.0:1:5998262:6000348:1 gene:fgenesh2_kg.1__1546__AT1G14260.1 transcript:fgenesh2_kg.1__1546__AT1G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNHTVVYVNRLIRPVPLPPVLAEAENNMRSESLVDIYDGDTTECRICQEECDIKTLESPCSCNGSLKYAHRKCVQRWCNEKGNTICEICHQPYQAGYTSPPPPPQSEETTIDIGGGWRISGLDLDDPRLLAIAEAERQILESEYDDFTASDTNGAAFFRSAALILMTLLLLRHALTIPDYADGEDDPSSILSLFLLRAASFLLPCYIMASAISILHRRRQRQEAAALATRFALVLSSRQPRAVINYLSMEP >fgenesh2_kg.1__1549__AT1G14270.1 pep chromosome:v.1.0:1:6000365:6002785:-1 gene:fgenesh2_kg.1__1549__AT1G14270.1 transcript:fgenesh2_kg.1__1549__AT1G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQIISCLSQSPSRLCFSERPILPNTYNRSGLSRFSPGFANRRLFTVNRKKWRSACIFNSGKEPGGEGKLQGGSSEWPILQRWEVPWGWQIVSLTSFACALSFVLTGLTEMAVIPFLGIDVEKLTLDDKAEILFLDQGLTTAVVLAVIFTVAKTFDPLPEDILRYDLRQPFNLQKGWLVWGGIGLVGAVGAIALTGVVLSVFRTEAPEREVDSLMKLLPLIGSSNISTLSLVGITGILAPLLEETVFRGFFMVSLTKWVPTPIAIIISSAAFALAHLTPGEFPQLFILGSVLGLSYAQTRNLITPMVIHGFWNSGVILLLTFLQIQGYDIKELLQAN >fgenesh2_kg.1__154__AT1G02405.1 pep chromosome:v.1.0:1:615826:616316:-1 gene:fgenesh2_kg.1__154__AT1G02405.1 transcript:fgenesh2_kg.1__154__AT1G02405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKTRRSKDLAATFLMAIVILASPITINAEVDVNCTPCLENPPPPPPPSCTPAPPPPSPPPPKKSYCPPSPLPPPPPPPPFTYIYAYPPPGDLYHPIDNYFGAAAAGESFSVVKLVVFGVIVFMIL >fgenesh2_kg.1__1557__AT1G14330.1 pep chromosome:v.1.0:1:6016510:6018641:-1 gene:fgenesh2_kg.1__1557__AT1G14330.1 transcript:fgenesh2_kg.1__1557__AT1G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KBJ1] MVEDRTYLISRVFSSSRLSESKWPYMYPVAEDSSETKLSNGKRSLDDVDELRQSKSLRLMGFSIQGNEAIEEEEQDQSDSNNNTDGDSLINDIGRDNSISCLIRCSRSDYGSVASLNRSFRSLVKTGEIYRLRRQNQVVEHWVYFSCQLLEWVAFNPVERRWMNLPTMPSGVTFMCADKESLAVGTDLLVLGKDDYSSHVIYRYSFLTNSWSSGTRMNSPRCLFGSASLGEIAIFAGGFDSLGKISDSAEMYNSELQTWTTLPKMNKPRKMCSGVFMDGKFYVIGGIGGSDSKVLTCGEEFDLETKKWTEIPQMSPPRSREMPAAAEAPPLVAVVNNQLYAADHADMEVRKYDKESKKWFTLGRLPERAGSVNGWGLAFRACGERLIVIGGPRSSGGGYIELNSWIPSSDRSPPLWTLLGRKHSSNFVYNCAVMGC >fgenesh2_kg.1__1561__AT1G14350.1 pep chromosome:v.1.0:1:6035721:6038622:1 gene:fgenesh2_kg.1__1561__AT1G14350.1 transcript:fgenesh2_kg.1__1561__AT1G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTKKKKKKNINKNQDSKKKERHIVTWSQEEDVTLRDQITKHGTENWAIIASKFKDKSTRQCRRRWYTYLNSDFKRGGWSPEEDMLLCEAQRVFGNRWTEIAKVVSGRTDNAVKNRFTTLCKKRAKHEAMMTKENNSNNKRMLFLDGISTPRKSENETPIAKKMRKSQILDLTEISNYGKAVSCVNQQIRSPFSVSARNATGIDSLEEQNQTSNVNESDGEGMFLKKDDPKVTALMQQAELLSSLAQKVNSDNTEQSMENAWKVLQDFLNKGKENDLFRYGIPDIDFKIEEFKDLIEDLRSGYEDNQPSWRQPDLHDSPASSEYSSGSTIMVDQSSDRTQPFSADDTQTEHKQVGEELLILKNPDENMPISGEEKFSSPIQVTPLFRSLADGIPSPQFSESERSFLLKTLGIESSSPCPSANPSKPPPCKRVLLHSL >fgenesh2_kg.1__1562__AT1G14370.1 pep chromosome:v.1.0:1:6043303:6045862:1 gene:fgenesh2_kg.1__1562__AT1G14370.1 transcript:fgenesh2_kg.1__1562__AT1G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLDSSAKVDNSNHSPHANSASSASKVSSKTSRSTVPSGLSTTSYSTDSSLGPLPTLRTEGEILSSPNLKAFTFNELKNATKNFRQDNLLGEGGFGCVFKGWIDQTSLTASRPGSGIVVAVKQLKPEGFQGHKEWLTEVNYLGQLSHPNLVLLIGYCAEGQDRLLVYEFMPKGSLENHLFRRGAQPLTWAIRMKVAVGAAKGLTFLHEAKAQVIYRDFKAANILLDAEFNAKLSDFGLAKAGPTGDNTHVSTKVIGTHGYAAPEYVATGRLTAKSDVYSFGVVLLELISGRRAMDKSNGGNEYSLVDWATPYLGDKRKLFRIMDTKLGGQYPQKGAFTAANLALQCLNPDAKLRPKMSEVLVTLEQLESVAKPGTKHTQMESPRFHHSSVMQKSPVRYSHDRPLLHITPGASPLPSYSQSPRVR >fgenesh2_kg.1__1566__AT1G14390.1 pep chromosome:v.1.0:1:6052905:6055846:1 gene:fgenesh2_kg.1__1566__AT1G14390.1 transcript:fgenesh2_kg.1__1566__AT1G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSKSQAFFLTVLLLLLLLLLLPLLSESQLISSESRTLLEIQKHLQYPPILRSWSNRTNFCYLPSSPSFKILCFNGHVTELTVTGNRTVKLPGRYSSDSLFTVLTKLSNLKTLSLVSLGISGPLPTKIIRLSSSLQSLNLSSNFISGKIPKEISSLKNLRSLVLANNLFNGSVPDLRGLSNLQELNLGGNKLGPEVLPSLASNLITVSLKNNSFGSKIPEQIKKLSKLQNLDLSSNKFTGSIPRFLFSLPSLQNLSLAQNLLSGSLPNSSLCSSKLRILDVSRNLLTGKLPSCFFSKKQTVLFTFNCLSIKGSPSAKYQRPVTFCENEAKQAVAAVKSDTKDQERKKEDTGIELGLVIGIIIGVVLVSAVLAGLILFRMRKSKSKEELFEANNVDKEVRSNTTRSTTSKTVPDPRRVPQTMRSAVIGLSPYRVFSLEELEEATNNFDAANLCGEQLYKGCLREGIAVTVRCIKLKQKNSTQNLAQQMEVLSKLRHMHLVSVLGHCIGTYQDHHPYAGSTIFIVQEYISNGSLRDYLIDWRKKEVLKWPQRMSIAIGVARGIQFLHTGVAPGIFGNNLEIENVLLDETLTVKLSGYTIPLPSKSFIFIYTESPSNDDGEKEDVYQFGVILLQIITGKVLAAASSELGSLKLQLENSLRDEPSVLRSLADPCVRGTYAYESLRTTVEFAINCLCEDQRNRPSIEDVVWNLQYTIQVQQGWTSSGNLGIGGSEM >fgenesh2_kg.1__1568__AT1G14400.1 pep chromosome:v.1.0:1:6056020:6057672:-1 gene:fgenesh2_kg.1__1568__AT1G14400.1 transcript:fgenesh2_kg.1__1568__AT1G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLSLQFSEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMYSESKREYNRRVRDVVEQSWTAD >fgenesh2_kg.1__1569__AT1G14410.1 pep chromosome:v.1.0:1:6058189:6059975:-1 gene:fgenesh2_kg.1__1569__AT1G14410.1 transcript:fgenesh2_kg.1__1569__AT1G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATWHY1/PTAC1 [Source:UniProtKB/TrEMBL;Acc:D7KBJ9] MSQLLSSPPIAVNSNSRFLSSSSVLVAGGFAVKRHGFALKPTTKTVKLFSVKSRQTDYFEKQRFGDSSSSSSQNAEGLPARFYVGHSIYKGKAALTMEPRAPEFVALDSGAFKLSKDGFLLLQFAPSAGVRQYDWSKKQVFSLSVTEIGTLVSLGPRESCEFFHDPFKGKSDEGKVRKVLKVEPLPDGSGHFFNLSVQNKLVNVDESIYIPITRAEFAVLISAFNFVLPYLIGWHAFANSIKPEDTNRANNAPPNYGGDYEWNR >fgenesh2_kg.1__156__AT1G02420.1 pep chromosome:v.1.0:1:620062:621534:1 gene:fgenesh2_kg.1__156__AT1G02420.1 transcript:fgenesh2_kg.1__156__AT1G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILKPLSSQCASNFRLSVSFLHSVALSCAKVPVEEEDVDAETVFRMINGSNLQGELKESLSSSGIHLSKDLIDRVLKRVRFSHGNPIQTLEFYRYAGARRGFYHSSFSLDTMLYILGRNRKFDQIWEILIETKRKDRSLISPRTMQVVLGRVAKLCSVRQTVESFWKFKRLVPDFFDTACFNALLRTLCQEKSMTDARNVYHSLKHQFQPDLQTFNILLSGWKSSEEAEAFFEEMKGKGLKPDVVTYNSLIDVYCKDREIEKAYKLIDKMREEDETPDVITYTTIIGGLGLIGQPDKAREVLKEMKEYGCYPDVAAYNAAIRNYCIARRLGDADKLVDEMVKKGLSPNATTYNLFFRVLSLANDLGRSWELYERMLGNGCLPNTQSCMFLIKMFKRHEKVDMAMRLWEDMVVKGFGSYSLVSDVLLDLLCDLAKVEEAEKCLLEMVEKGHRPSNVSFKRIKLLMELANKHDEVNNLIQKMAIFGTEIPR >fgenesh2_kg.1__1570__AT1G14420.1 pep chromosome:v.1.0:1:6060325:6062300:-1 gene:fgenesh2_kg.1__1570__AT1G14420.1 transcript:fgenesh2_kg.1__1570__AT1G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7KBK0] MAAVFLNLGGYVFVFFSSFLAIVAPQVRANVAVFDSYWTQRQSDALKQTMGSYDPNPINVTNHFNYHVNIAVDVSESNNDTRRELTQVRSGRKTKKHSGKCLAYNPIDKCWRCDRNWAKNRKKLADCVLGFGRRTTGGKDGPIYVVKDASDDDLINPKPGTLRHAVTRNGPLWIIFARSMIIKLQQELMITSDKTIDGRGARVYIMEGAGLTLQYVNNVIIHNIYVKHIVPGNGGLIRDSEDHIGLRTKSDGDGISLFGATNIWIDHVSMTRCADGMIDAIDGSTAVTISNSHFTDHQEVMLFGARDEHVIDKKMQITVAFNHFGKRLEQRMPRCRYGTIHVVNNDYTHWEMYAIGGNMNPTIISQGNRFIAPPNEQAKQITKREYTPYTEWKSWNWQSEGDYFLNGAYFVQSGKANAWSSKPKNPLPNKFAIRPKPGTMVRKLTMDAGALGCKQGKAC >fgenesh2_kg.1__1574__AT1G14450.1 pep chromosome:v.1.0:1:6080890:6082287:-1 gene:fgenesh2_kg.1__1574__AT1G14450.1 transcript:fgenesh2_kg.1__1574__AT1G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLGTTGEFFRRRDEWRKHPMLSNQMRHALPGLGIGVAAFCVYLVGEQIYNKALAPSKSSHHHQEQTAPSH >fgenesh2_kg.1__1577__AT1G14490.1 pep chromosome:v.1.0:1:6094451:6095281:-1 gene:fgenesh2_kg.1__1577__AT1G14490.1 transcript:fgenesh2_kg.1__1577__AT1G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:D7KBK8] METVGRPRGRPQGSKNKPKAPIFVTIDPPMSPYILEVPSGNDVVEALNRFCRRKAIGFCVLSGSGSVADVTLRQPSPAAPGSTITFHGKFDLLSVSATFLPPPPQTSLPPPFSNFFTVSLAGPQGQVIGGFVAGPLVAAGTVYVVATSFNNPAYHRLPAAEEEQRDSAEGEGQSPPVSGSGGESMYSCHMGGSDVIWSPNAKAPPSH >fgenesh2_kg.1__1579__AT1G14510.1 pep chromosome:v.1.0:1:6097916:6100446:-1 gene:fgenesh2_kg.1__1579__AT1G14510.1 transcript:fgenesh2_kg.1__1579__AT1G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KBK9] MEGIQHPIPRTVEEVFSDFRGRRAGLIKALSTDVEKFYHQCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWISLVAVHSDSWLISVAFYFGARFGFGKNERKRLFQMINDLPTIFEVVTGNAKQSKDQSANHNSSRSKSSSGKPRHSDTHTKASKMSPPPREEDESGDEEEDDEQGAVCGACGDNYGGDEFWICCDACEKWFHGKCVKITPAKAEHIKHYKCPSCSTSKKIKA >fgenesh2_kg.1__1582__AT1G14530.2 pep chromosome:v.1.0:1:6137193:6139709:-1 gene:fgenesh2_kg.1__1582__AT1G14530.2 transcript:fgenesh2_kg.1__1582__AT1G14530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGLEMMSSSAAAIVAFNLKEATNWWSDVNESPVWQDRIFHVLAVLYGIVSVIAVIQLVRIQLRVPEYGWTTQKVFHFLNFLVNGVRALVFVFRRDVQNMQPEILQHILLDIPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPSFFTINAVVYVIQIALWLVLWWKPVHLMVIISRMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFTCFLIRCIMMCFDAFDDAADLDVLDHPILNFIYYLLVEILPSSLVLFILRKLPPKRGITQYHQIQ >fgenesh2_kg.1__1592__AT1G14600.1 pep chromosome:v.1.0:1:6165784:6168840:-1 gene:fgenesh2_kg.1__1592__AT1G14600.1 transcript:fgenesh2_kg.1__1592__AT1G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7KBM4] MGRCGRSSDGRIGGVRPYVRSPVPRLRWTPELHRSFVHAVDLLGGQYKATPKLVLKIMDVKGLTISHVKSHLQMYRGSRLTLLGKPEESSSPSSRRRRQDNEEDHLHDNLSVHARNDCFLGFHSFNFREQTSATDNDDDDFLNIMNMERTKTFAGNGESIKFQSHHFLEAENTKNNWKSTWRENENEHEEEKLSLSLSLNHPHNHQQRWRSNASSSLSETSEAVSSSSAPFIFRDCFASSKIDLNLNLSFSLLHS >fgenesh2_kg.1__1593__AT1G14610.1 pep chromosome:v.1.0:1:6175329:6181672:-1 gene:fgenesh2_kg.1__1593__AT1G14610.1 transcript:fgenesh2_kg.1__1593__AT1G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFLRRAKPLFVSCSSASRSQATFLSPTLTNQLLRSFHGSRTMSESEKKILTEEELERKKKKEEKNKEKELKKQKALEKARLAELKAKQAKDGTNVPKKSAKKSSKRDVSEENPEDFVDPETPLGERKRLSSQMAKQYSPAAVEKSWYAWWEKSDLFKADAKSSKKPFVIVLPPPNVTGALHIGHALTAAIEDTIIRWKRMSGYNALWVPGVDHAGIATQVVVEKKLMRERGMTRHDVGREEFVKEVWKWKNQYGGTILTQLRSLGASLDWSRECFTMDEQRSKAVTEAFVRLYKEGLIYRDIRLVNWDCVLRTAISDEEVEYIDIKERTLLKVPGYEKPVEFGLLTSFAYPLEGGLGEVVVATTRVETMLGDTAIAIHPDDARYKHLHGKFAVHPFNGRKLPIICDGILVDPNFGTGCVKITPAHDPNDCEVGKRHKLEFINIFTDDGKINTNGGSDFAGMPRFAAREAVVEALQKQGLYRGAKNNEMRLGLCSRTSDVIEPMIKPQWYVNCSMIGKEALDVAITDENKKLEFVPKQYTAEWRRWLENIRDWCISRQLWWGHRIPAWYATLEEDQLKEVGAYSDHWVVARTEDDAQKEAAQKFAGKKFELTRDPDVLDTWFSAGLFPLSVLGWPDVTEDFKAFYPTSVLETGHDILFFWVARMVMMGMKLGGEVPFSKVYFHPMIRDAHGRKMSKSLGNVIDPLEVINGVTLEGLHKRLEEGNLDPKEVVVAKEGQVKDFPNGIPECGADALRFALVSYTAQSDKINLDILRVVGYRQWCNKLWNAVRFAMMKLGDDYTPPQTLSPETMPFSCQWILSVLNKAVSKTVESLDAFEFSDAATTVYAWWQYQFCDVYIEAIKPYFAGDNPTFASERAHAQHALWISLETGLRLLHPLMPFVTEELWQRLPSPKDTERKASIMICDYPSAIENWTNEKVESEMETILATVKCMRALRAGLLEKQKNERLPAFALCENNVTAEIVKSHELEIRTLANLSSLEVLLKGEHAAPPGSSVETVNENLKVYLKVDGAINTEAEQEKIRNKIGELQKQKEKLQKMMSVSTYEEKVPANIKEDNANKLTKILQEFDFFEKESARLAAETSNSGNQ >fgenesh2_kg.1__1599__AT1G14660.1 pep chromosome:v.1.0:1:6196487:6200892:-1 gene:fgenesh2_kg.1__1599__AT1G14660.1 transcript:fgenesh2_kg.1__1599__AT1G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATNHX8 [Source:UniProtKB/TrEMBL;Acc:D7KBM9] MTSIIGATLPYKSPEKAIASSSYSAENDSSPVDAVIFAGTSLVLGTACRHLFNGTRVPYTVVLLVIGIVLGSLEYGTNHNLGKLGHGIRIWNDINPDLLLAVFLPALLFESSFSMDVHQIKRCMVQMVLLAGPGVLISTFCLGALIKLTFPYNWDWKTSLLLGGLLGATDPVAVVALLKELGASKKMTTLIDGESLMNDGVSVVVFQLFFKMVMGHNSDWGSIIKFLVQNSFGAVGIGIAFGIASVFWLKFIYNDTVVQITVTLSASYFAYYTAQEWAGVSGILTVMILGMFFAAFARTSFKGDSHQSLHHFWEMAAYIANTLVFILSGVIIAEGILSGRTISYKGNSWGFLFLLYLYVQLSRCVVVGVLYPLLCRFGYGLDWKESIILTWSGLRGAVSLSLALSVKQSSGNSYLSSDTGTRFLFLTGGIVFLTLVVNGSTTQFLLHLLRMDTLTATKKRILEYTKIEMMKTALKAFENLGDDKELGSTDWPTVIRHISSLKDLEGRQINPHNGYEAGSLDPTNIMDIRIRLLNGVQAAYWEMLDDRRITQCTANVLMQSVDEALDLVSTSSLSDWRGLEPHVHFPNYYKFLQSKMIPRKLVTHLIVERLESACCISFAFLCAHRIARQQLHEFLGNSNIASTVINESEGEGDEAKQFLEDVRNSFPQVLSVLKTRQVTQYVLNHLNGYIKNLEKVGLLEGKEVSHLQDVVQSDLRKLLRNPPLLKLPNIDDLITSNPLSKDRSSFRSLAIGETDA >fgenesh2_kg.1__159__AT1G02450.1 pep chromosome:v.1.0:1:622888:623335:-1 gene:fgenesh2_kg.1__159__AT1G02450.1 transcript:fgenesh2_kg.1__159__AT1G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEENVESKETIRVDKRVREDEEEEEEKKIDTFFKLIKHYQEARKRRREELAENSGGVRKKSSGGERSGIVVPAFQPEDFSQCRTDLKPPLMIVSDHKEEDTKVKEEEETEDKALDLNLAL >fgenesh2_kg.1__1602__AT1G14685.1 pep chromosome:v.1.0:1:6206991:6208823:1 gene:fgenesh2_kg.1__1602__AT1G14685.1 transcript:fgenesh2_kg.1__1602__AT1G14685.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAGA-binding transcriptional activator [Source:UniProtKB/TrEMBL;Acc:D7KCI3] MDDDGFRNWGYYEPAAATFKGNLGLQLMSTIDRNTKPFLPGRDPNLMIGPNGSYHHQEPPIHMSYNWINQQKDKFFNMLPVTTAPNYGNVLPETSSAPSMQMNLHHHHQTEETPVKLEEEIVVQTKKRKTNAKAGSTAKAKKPRKPKDENSNSNNNNTNVSRVKPVKKSVDLVINGVSMDISGLPVPICTCTGAPQQCYRWGCGGWQSACCTTNISMHPLPMSTKRRGARISGRKMSQGAFKKVLEKLASDGFNFGNPIDLKSHWARHGTNKFVTIR >fgenesh2_kg.1__1606__AT1G14687.1 pep chromosome:v.1.0:1:6211557:6212345:1 gene:fgenesh2_kg.1__1606__AT1G14687.1 transcript:fgenesh2_kg.1__1606__AT1G14687.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHB32 [Source:UniProtKB/TrEMBL;Acc:D7KCI5] MQSSCVYRECMRNHAAKLGSYAIDGCREFSQSATGDLCVACGCHRSYHRRIDVISSAQVTHTRFPFTSLRRVKQLARLKWKTAEERNEEEEEDTEETSAEEKMTVQRRRKSKFTAEQREAMKEYAAKLGWTLKDKRALREEIRVFCEGIGVSRYLFKTWVNNNKKFYH >fgenesh2_kg.1__1610__AT1G14700.1 pep chromosome:v.1.0:1:6224337:6226588:1 gene:fgenesh2_kg.1__1610__AT1G14700.1 transcript:fgenesh2_kg.1__1610__AT1G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7KCI8] MAALKHKPVNLIFYVYNLIIIFSTHSSTAELRRLLQPAKPDGTVSFLVIGDWGRRGSYNQSRVAFQMGEIGDKLDIDFVISTGDNFYDNGLNSLHDPLFQDSFINIYTTPSLQKPWYSVLGNHDYRGDVRAQLSPMLRALDNRWVCMRSFIVNAEIVDFLFVDTTPFVDKYFIQPNKHVYDWSGVLPRQTYLNNLLKEVDVALRESVAKWKIVIGHHTIKSAGHHGNTIELEKHLLPILQANEVDLYVNGHDHCLEHISSVDSNIQFMTSGGGSKAWKGGDVNYLEPKEQMKFYYDGQGFMSVHVSEAELRVVFYDVFGHVLHHWKTYKEALYFAS >fgenesh2_kg.1__1612__AT1G14710.1 pep chromosome:v.1.0:1:6227296:6230700:-1 gene:fgenesh2_kg.1__1612__AT1G14710.1 transcript:fgenesh2_kg.1__1612__AT1G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPGNVTTPSEKLQFPPPPANWIPDERDGFISWLRAEFAAANAIIDSLCQHLQAIGDHNEYESVIGSIHHRRLGWSQVLTMQQFFPVADVSYNLQQIAWKRQQQMPPQRHYNSDQVGKFGGRRSGPGFNKHHGGGYRGADSMARNGHNSYTNSDFHSVSSDRVEHREEAKLASDVKALSVAEEKREGSEKPKSDSKVGKKLEKSETQEEIVNHKCNSASKDNSLSSEQKEEEKDKECPASMVKTFVVQEMYDAKMVNVVEGLKLYDKMLDAKEVSQLVSLVNNLRLAGRRDQLRSAYVGYKRPNRGHGREMIQLGLPIADTPPDDDNRRIEPIPSALSDIIERLVSKQIIPVKPDACIIDFFNEGDHSQPHMFVPWFGRPISVLSLSECDYTFGRVIVSDNPGDYKGSLKLSLTPGSVLLVEGKSANLAKYAIHSTRKQRILISFIKSKPRNSITGSNWGPPPSRSPNHFRHPTGPPIHYPVIPSTGVLPTPSHRPPNGAAQPIFIPPSPPLAPPLPFPCGVPTGPTVWPMLPPHPRHQPPPQPRMPIPGTGVFLPPGSNQELVKQGSLEKTDNSNGSSNTAEGKLDVKTKEEARNGIAGGECDGSNGKQSN >fgenesh2_kg.1__1613__AT1G14720.1 pep chromosome:v.1.0:1:6232731:6234684:-1 gene:fgenesh2_kg.1__1613__AT1G14720.1 transcript:fgenesh2_kg.1__1613__AT1G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7KCJ0] MGFITRFLVFMSLFTGLVSGFALQKLPFIQFDEGYTHLFGDQNLIVHRNGKSVRLTLDERTGSGFVSNDIYLHGFFSSSIKLPADYSAGVVIAFYLSNGDLYEKNHDEIDFEFLGNIRGKEWRIQTNIYGNGSTHLGREERYNLWFDPTEEFHQYSILWSLSHIIFYVDNVPIREVKRTASMGGDFPAKPMSLYATIWDGSKWATDGGKYGVNYKYAPYVAQFTDLILHGCAVDPTEKFPSCEDEEVENLHLASEITESQRNKMEIFRRKHMTYSYCYDHMRYKVALSECVVNPAEAKRLRVYDPVTFGGIPRGHRRGKHRSRAV >fgenesh2_kg.1__1614__AT1G14730.1 pep chromosome:v.1.0:1:6238454:6240136:1 gene:fgenesh2_kg.1__1614__AT1G14730.1 transcript:fgenesh2_kg.1__1614__AT1G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSGDRTTSKRHSSLPTLVAHFFGILAVVLMLIWLLHYREGIEYGSDNPLKVLNVHPFLMYCGFLFLVGQAMMTYKTAYASHQVQKMVHGGLHLIGLVLGIVGICAAFRFHDKLNLKDMVSLHSWIGLTTFILLGVQWLFGAFTFLAPQSSSGTRTRMMPWHVLGGRALLYMGIVAALTGLMERATMLGQSTNAESRLINFLGLAILLFGVSVDFSVALGRYN >fgenesh2_kg.1__1615__AT1G14740.1 pep chromosome:v.1.0:1:6240522:6243124:-1 gene:fgenesh2_kg.1__1615__AT1G14740.1 transcript:fgenesh2_kg.1__1615__AT1G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEKDLAGDGECSRTKTSKPRFSNLNNQTYQDDKTGQYHQKGVDFLNVRSNNPDGGFSSKSSPRSGNELTLSYLCENRELSGKIAESLGQKGKEVVTFSENSSYDDKWVERDFFNLREMNPNSSKRKAHEEEEEAEEEEEEDKKANKIETLNLSLALPDVSLSLTASNAVKRPRVTSERTTTSFSNDFTATAPSMSYSYSHPFSHNISCSMTRNSTDFDCSVGKDDHIWCAGEGTNGSVHSRFRPIGDGGVALANNPISGKPSSSADYSFFPSELPARPGNEVTISGDSRKKVANLEDNDAVRSERVLYDIVSKSISSVALIIQGMADETLESAKEYLRNLIDSPEKKEKLTNLQNQIDKRSDLSKETLSKCVKDQLDILVAVRTGLKYFLSGKIRIPMNELVEIFLFLRCRNVNCKSLLPVDDCECKICSNNKGFCSSCMCPVCLRFDSASNTCSWVGCDVCSHWCHAACGIQKNLIKPGHSLKGQRGTTEMMFHCIGCAHKSEMFGFVKDVFVCCAKNWGLETLIKELDCVRMVFRGSDDAKGKALYLKANEMVKKLESKQISPLDASNFIIQFFNYAESVPEIPDPPRELIVAAETSYRKDEASVTPSTSKDQKKKSFALTDAMMNSFDSLESMVRIKEAETRMFQKKADEARIEAESFKRMIEMKTEKMEEEYTEKLSRLCLQETEERRRNKLEELKKLENSHCDYRNMKLRMEAEIAGLLKRMEVTRQQLV >fgenesh2_kg.1__1616__AT1G14750.1 pep chromosome:v.1.0:1:6246497:6249522:-1 gene:fgenesh2_kg.1__1616__AT1G14750.1 transcript:fgenesh2_kg.1__1616__AT1G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIAMRNSKRKPEPTPFAGKKLRSTRLRRKRAQISPVLVQSPLWSKQIGVSAASVDSCSDLLFVADDNVSCGSSRVEKSSNPKKSLIEEVEVSKPGNNVKEVIGDSKFRRITRSYSKLYKEKEGDEIEVSESSCVDSNSGAGLRRLNVKGNEINDNDEISFSRSDVTFAGHVSNSRSLNFGSENKESDVVSVISGVECCSKFGSVTGGAENEEIEISKPSSFVEADSSLGSAKELKPELEIVGCVSDLACTEKFSDEEVSDSFDDELSEQRSEIFSQYSDFDYSDYTPSIFFDSGSEFSEKSSSDSPISHSRSLYLEFKEQFCRSTIPNDLGSSCQEEIHSELLRFDDEEVEESYLRLRERERSHAYMRDCAKAYCSMMDRTDLIPRLRSIMVQWIVKQCSDMELQQETLFLGVGLLDRFLSKGSFKSERTLILVGIASLTLATRIEENQPYNSIRKRNFTIQKLRYSRHEVVAMEWLVQEVLNFKCFTPTIFNFLWFYLKAARANPEVERKAKSLAVTSLSDHTQLCFWPSTVAAALVVLACIEHNKISAYQRVIKVHVRTTDNELPECVKSLDWLLGQ >fgenesh2_kg.1__1618__AT1G14755.1 pep chromosome:v.1.0:1:6250554:6251183:1 gene:fgenesh2_kg.1__1618__AT1G14755.1 transcript:fgenesh2_kg.1__1618__AT1G14755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLVNFAVLFMAIFIVSASGARETRMMEQTCPVFWPMVPCDAKKCDQMCRDYYGPVPSYCNRIGAPNAECACSLTPC >fgenesh2_kg.1__161__AT1G02470.2 pep chromosome:v.1.0:1:635225:636856:-1 gene:fgenesh2_kg.1__161__AT1G02470.2 transcript:fgenesh2_kg.1__161__AT1G02470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIASGTVPTTRSTVCFGKIPKSATFLSMLHRASSSSSSSPRMLLLLSSSSSSAKSAKILNSNNGSSISSSPKPFRPVMQWQDVTRVKMVVDAPASVAYKLYADREMFPKWMPFLSSVEAKEGSPDLSRYLVKLESFGQNIEYHFLAKNLQPIPDRKIHWRSIEGFENRGSVRFFPRGPSSCLVEISFSYEVPNAFAPVAFAMKPFMEKLIRGGLQRFATFVKTI >fgenesh2_kg.1__1620__AT1G14770.1 pep chromosome:v.1.0:1:6253791:6255297:-1 gene:fgenesh2_kg.1__1620__AT1G14770.1 transcript:fgenesh2_kg.1__1620__AT1G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYGGSDLSIEDLMDEYSSRPSQIAEWLWCIEYVAKFVKDISCLLDLMNMGYQYSNDYGRRINEVLSLRVLEFMFDPTKYDANGVGVASTSEARVEFDLSLSNTDVLRAILKEIPVSELRAGMPELSKFDVLPFIAHKKMCLPQCALEMLRDVSLMENQTSAAPSMETNDPVFRDDRSVHMDTCEEVTVGEQRVHIGNEKKPMDEQQVHIGLEQNIKEKDKVIAIDDEDEPMHTNDKGEVIVIDDDTERDQDTTAEPINNGNTTDETFSPSSRRSPKDARVKCTNDGTWLISGSDDESDMVKDPASIKKNPNTDLNLLPSSSPTRPENVCWKCEKEGTLLICSKSECAAKVHKECLNCPVNVDEGGNFLCPLCWYDRVAMEYNESKRLIGGAKRRLVKCFPVLSIRSKRLK >fgenesh2_kg.1__1622__AT1G14780.1 pep chromosome:v.1.0:1:6258141:6262691:1 gene:fgenesh2_kg.1__1622__AT1G14780.1 transcript:fgenesh2_kg.1__1622__AT1G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDGGGGGGDVIETAVKSLGKGFDLTADFRLKYCKDGDGAAGDDRLVVLDQTQNRELHIPGFGVFQNVSADINCDKGERTRFRSDILDFNKMSEYFNQRSSVTGKIPSGNFNATFGFQSGSWATDASDVKSLGLDASVVTLFNLHIHNPNRLRLTDRVRNAVPSSWDPQLLARFIERYGTHVITGVSVGGQDVVVVRQDKSSDLDNDLLRHHLYDLGDQLFTGSCLLSTRRLNKAYHHSHSQPKFPEAFNVFDDKQTVAFNNFSINSQNGITVICAKRGGDGRAKSHSEWLITVPQNPDAINFNFIPITSLLKDVPGSGLLAHAMSLYLRYKPPLMDLQYFLDFSGPRTWAPVHNDLPFGAAPNMASAYPALHINFMGPKLYVNTTPVTSEKNPVTGMRLFLEGKKCNRLAIHLQHLENTRTTVGEKITDEHIWRGSDQITDNDRYFESLNGKKFSHVCTAPVKYDPNWITTASNHKSQNDVAFIVTGAQLEVKKHGSKSVLHLRLRFTKVSDHYVVQNSWVHGPTGTSQKSGIFSSMSMPLTSGSVHHNMIQKDKNEVVLDSGVFPGGPPVPANNKIVKFVDLSQLCRGPQHSPGHWLVTGVRLYLDKGKLCLHVKFALLHRQRLLVSS >fgenesh2_kg.1__1623__AT1G14790.1 pep chromosome:v.1.0:1:6262513:6266573:-1 gene:fgenesh2_kg.1__1623__AT1G14790.1 transcript:fgenesh2_kg.1__1623__AT1G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:UniProtKB/TrEMBL;Acc:D7KCJ9] MGKTIQVFGFPNGVSAEEVKKFLERLTGSGTVYAIKVRQPKKGGPRVFAIVQFTNEIYARQIITGAAERRLYYGRSYLNAFEVEQDIVPKPRASLHNISGLKMYFGCQVSTQTLLTLWSAQDVSVSFGIGMRKLHFSFSWYQKDYRLELSYENIWQIDLHSPQGRSSKFLVIQVIGAPKIFEKEDQPINLLFGMMDFYSDGSDEQWIRTTDFTSSSCIGQSTAFCLELPVHLNVPDFRENFANYAEHRASTYLIESGSSYSSNANKLVPVVDPPPGFDLPFEILFKLNTLVQNACLPGPALDLDVYQLLNPKKIDRALIDHCLEKLFYLGESCYEPARWLRKQYKELSSKGKLPLSPTISLDDGLVYMYRVQVTPARVYFSGPEVNVSNRVLRHYSKYINNFLRVSFVDEDLEKVRSMDLSPRSSTQRRTKLYDRIYSVLRDGIVIGDKKFEFLAFSSSQLRENSAWMFAPIEGLTSAHIRAWMGDFDHIRNVAKYAARLGQSFSSSRETLNVRSDEIEVIPDVEIISLGTRYVFSDGIGKISAEFARRVAKKCGLTEFSPSAFQIRYGGYKGVVAVDPNSSKKLSLRKSMSKFESENTKLDVLAWSKYQPCYMNRQLITLLSTLGVEDSVFEKKQREVVDRLDAILTDPLEAHEALGLMAPGENTNILKALILCGYKPDAEPFLSMMLQNFRASKLLELRTKTRIFISGGRSMMGCLDETRTLEYGQVVVQYSDPMRPGRRFIITGPVVVAKNPCLHPGDVRVLEAVNVPALNHMVDCVVFPQKGLRPHPNECSGSDLDGDIYFVCWDQELIPPRTSEPMDYTPEPAQILDHDVTIEEVEEYFANYIVNDSLGIIANAHTAFADKEPLKAFSDPCIELARKFSVAVDFPKTGVAAEIPQHLYVKEYPDFMEKPDKPTYESKNVIGKLFREVKERAPPLISIKSFTLDVALKSYDKDMEVDGFEEYVDEAFHYKANYDFKLGNLMDYYGIKTEAEILSGGIMRMSKSFTKRRDAESIGRAVRALRKETLSLFNATEEENESAKASAWYHITYHSSYWGLYNEGLNRDHFLSFAWCVYDKLVRIKKTNAGRRQRQETLERLGHVLRLS >fgenesh2_kg.1__1629__AT1G14840.1 pep chromosome:v.1.0:1:6276570:6279971:-1 gene:fgenesh2_kg.1__1629__AT1G14840.1 transcript:fgenesh2_kg.1__1629__AT1G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Atmap70-4 [Source:UniProtKB/TrEMBL;Acc:D7KCK2] MEERGFMSPSLAISASYREGGSKGLSRRRSMRPSFDADNEFMNLLHGSDPVRIELNRLENEVRDKDRELSEGQAEIKALRLSERQREKAVEELTEELGKMAEKLKLVENLLESKNLEIKMINEEKKASMAAQFAAEASLRRVHAAQKDDDMPPIEAILAPLEAELKLARLEIAKLQDDNRSLDRLTKSKEAALLDAERTVQSALAKASMVDDLQNKNQELMKQIEICQEENRIIDKMHRQKVAEVEKLMQSVRELEEAVLAGGAAANAVRDYQRKFQEMNEERKILERELARAKVNANRVATVVANEWKDSNDKVMPVRQWLEERRFLQGEMQQLRDKLAIADRAAKSEAQLKEKFLLRLRVLEESLKGPASSSSRGTSVGRSSSNGPSRRQSLGGAETSPKITSNGSLIKRTPSSQFRSLTASASTVLKHAKGTSRSFDGGTRSLDRSKVLINGPRSNFPLNHQSSEGTSRGETPSSVKGKEESDDKSTNNDSVPGVLYDLLQKEVITLRKAAHEKDQSLRDKDEAIEMLAKKVETLTKAMDVEAKKMRREVAVMGKEVAAMRVDKGQQDSKTRRLSVSKGNTAQLLSGRVSGRIGMTRSTQ >fgenesh2_kg.1__1631__AT1G14860.1 pep chromosome:v.1.0:1:6291111:6292417:1 gene:fgenesh2_kg.1__1631__AT1G14860.1 transcript:fgenesh2_kg.1__1631__AT1G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLVSRTGRQSQRYNKGRRQVVGCIPYRLKISSDGTITDEFEVLVISSQKGHALMFPKGGWELDETIEEAASRESLEEAGVVGNVEKQLGKWDFLSKSRGTVYEGLMFPLLVKEELELWPEQHLRRRIWMKVDEARETCRDWWMKEALDVLVQRLSSPSLKPVEEDKTIPLISIC >fgenesh2_kg.1__1632__AT1G14890.1 pep chromosome:v.1.0:1:6313800:6314727:1 gene:fgenesh2_kg.1__1632__AT1G14890.1 transcript:fgenesh2_kg.1__1632__AT1G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7KCK7] MGSKLYTTTFLNLVTLLFIFRTISAVRFPPAQPTTDDLDFIRTSCNATLYPDVCFTSLAGYASAVQDNPARLAKLAIGVSLSRAKYTAAYLSKLSRRTASAAVHDCVSNVGDAVDQMRGSLRQLREMNHRRPGAPAFRFQMSNVQTWMSAALTDEETCTDGITEEMEDGETKTAICDRVADVKMFTSNALALVNTYANNGA >fgenesh2_kg.1__1634__AT1G14920.1 pep chromosome:v.1.0:1:6335675:6337673:1 gene:fgenesh2_kg.1__1634__AT1G14920.1 transcript:fgenesh2_kg.1__1634__AT1G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDHPQHHQDKKTMMMMNEEDDANGMDELLAVLGYKVRSSEMADVAQKLEQLEVMMSNVQEDDLSQLATETVHYNPAELYTWLDSMLSDLNPPSSNAEYDLKAIPGDAILNQFAIDSSSSSNQGGGDTNTANKRLKYSNGVVATTTTTAESTRPVVLVDSQENGVRLVHALLACAEAVQKENLTLAEALVKQIGFLAVSQIGAMRKVATYFAEALARRIYRLSPSQSPIDHSLSDTLQMHFYETCPYLKFAHFTANQAILEAFHGKKRVHVIDFSMSQGLQWPALMQALALRPGGPPVFRLTGIGPPAPDNFDYLHEVGCKLAHLAEAIHVEFEYRGFVANTLADLDASMLELRPSEIESVAVNSVFELHKLLGQPGAIDKVLEVVNQIKPEIFTVVEQESNHNSPVFLDRFTESLHYYSSLFDSLEGVPSGQDKVMSEVYLGKQICNVVACDGPDRVERHETLSQWRNRFGSAGFAAAHIGSNAFKQASMLLALFNGGEGYRVEESDGCLMLGWHTRPLIATSAWKLSTS >fgenesh2_kg.1__1637__AT1G14950.1 pep chromosome:v.1.0:1:6348174:6351906:-1 gene:fgenesh2_kg.1__1637__AT1G14950.1 transcript:fgenesh2_kg.1__1637__AT1G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGTYVTEVPLKGSAKNHYKRWKSENQLFPEAIGHHIQGVTVHEGDWDSHGAIKSWNYTCDGKQEVFKEKRELDDEKMAVTFRGLDGHVMEQLKVYDVIFQFIPKSQEGCVCKVTMIWEKRYEDSPEPIKYMKFVTSLAADMDDHILKNQNKA >fgenesh2_kg.1__1638__AT1G14960.1 pep chromosome:v.1.0:1:6353973:6354785:-1 gene:fgenesh2_kg.1__1638__AT1G14960.1 transcript:fgenesh2_kg.1__1638__AT1G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSGTYVTDVPLKGSAEKHYKRWRSENHLAPDAIGHLIQGVTVHEGDWDSHGTIKIWNYTRDGKEEVLKERIEIDDENMAVTINGLDGHVMELLKVYVTTFHFIPEFEDGCVCKITMIWEKRTEDSPEPIEFMKFVEKMIADMDDHILQNQE >fgenesh2_kg.1__1639__AT1G14970.1 pep chromosome:v.1.0:1:6363843:6366848:-1 gene:fgenesh2_kg.1__1639__AT1G14970.1 transcript:fgenesh2_kg.1__1639__AT1G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQVERDLSIQNRLPGSDHTTPSPPTSPHLCRSRSKSSASVQQQSRTVAHRLSWILLSVLLRRQGILLFAPLIYVSCMLFHLHAASFDASPIIHRRPAPGSVYRSPQVYARLRGEIEADNTTADAISTIWKRSYKGVEWKPCVNKSTGVLPESNGFIFIEANGGLNQQRTSICNAVAVAGYLNATLVIPNFHYHSIWKDPSKFGDIYDEEYFVDTLANDVRVVDTVPEYLMERFDYNLTNVYNFRVKAWAPTSYYRDSVLPKLLEEKVIRISPFANRLSFDAPRAVQRFRCLANNVALRFSKPILTQGETLVNKMKELSANNAGKYVSVHLRFEEDMVAFSCCVFDGGDQEKQDMIAARERGWKGKFTKPGRVIRPGANRLNGKCPLTPLEVGLMLRGMGFNKSTYIYLAAGPIYSANRTMAPLLEMFPNLQTKEMLASEEELAPFKNFSSRMAAVDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLFGGHSKTIRPDKRKLAVLFDNPKLGWKSFKRQMLSMRSHSDSKGFELKRSSDSIYIFPCPDCMCRKNKTTASAT >fgenesh2_kg.1__1640__AT1G14980.1 pep chromosome:v.1.0:1:6367531:6368583:-1 gene:fgenesh2_kg.1__1640__AT1G14980.1 transcript:fgenesh2_kg.1__1640__AT1G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRLIPTFNRILVQRVIQPAKTESGILLPEKSSKLNSGKVIAVGPGSRDKDGKLIPVSVKEGDTVLLPEYGGTQVKLGENEYHLFRDEDVLGTLHED >fgenesh2_kg.1__1641__AT1G14990.1 pep chromosome:v.1.0:1:6369152:6370222:-1 gene:fgenesh2_kg.1__1641__AT1G14990.1 transcript:fgenesh2_kg.1__1641__AT1G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLDVCAEVLKIQKLRRFVSYAGFYCFTAALTFFYTNNTTRAGYSRGDQFYASYPAGTELLTDTAKLYKAALGNCYESEDWGPVEFCIMAKHFERQGKSPYVYHSQYMAHLLSQGQLDGSG >fgenesh2_kg.1__1643__AT1G15010.1 pep chromosome:v.1.0:1:6373235:6373666:1 gene:fgenesh2_kg.1__1643__AT1G15010.1 transcript:fgenesh2_kg.1__1643__AT1G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding METILIISSSPLRFHPLRTSQKIATGTKRRRTTTVCADYYRGGRTVDENMVVLRKRIHEMKMVERNYEPPSHWMQWEKRLYCDYDATICDALSLLQTFLMNSRPSVAFGTLLLLLVSVPVSSAVLAFRILDITLWLLDAAHVV >fgenesh2_kg.1__1645__AT1G15020.2 pep chromosome:v.1.0:1:6375486:6378540:-1 gene:fgenesh2_kg.1__1645__AT1G15020.2 transcript:fgenesh2_kg.1__1645__AT1G15020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfhydryl oxidase [Source:UniProtKB/TrEMBL;Acc:D7KCM2] MALIHLFLFVGLVSLEAAASFSPGSRSILRDIGSNNSDQKDNALELNATNFDSVFQDSPAKYAVLEFFAHWCPACRNYKPHYEKVARLFNGADAVHPGVVLMTRVDCAIKMNVKLCDKFSINHYPMLFWAPPKKFVGGSWGPKQEKSEISVVDEWRTADLLLNWINKQIGSSYGLDDQKFGNLLTNISDQEQISQAIFDIEEATEEAFDIILSHKAIKSSETSASFIRFLQLLVAHHPSRRCRTGSAEILVNFDDLCPSGECSYDQESGGKDTLRNFHICGKDVPRGYYRFCRGSKNETRGFSCGLWVLMHSLSVRIKDGESQFAFTAICEFINNFFMCDDCRRHFHDMCLSVKTPFKKARDIVLWLWSTHNKVNERLKKDEDSLGTGDPKFPKMIWPPKKLCPSCYLSSTEKNIDWDHDEVYKFLKKYYGQKLVSVYKKNGDSVSKEEVVAAAEEMAVPTNALVVPVGAALAIALASCAFGALACYWRTQQKNRKYYHNPHYLKRYNSNYMVMNTFSNTESEREKER >fgenesh2_kg.1__1648__AT1G15040.1 pep chromosome:v.1.0:1:6382529:6384026:-1 gene:fgenesh2_kg.1__1648__AT1G15040.1 transcript:fgenesh2_kg.1__1648__AT1G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVANDLSPKIHPRVLIVSRRTLRKNKYVDFVGEYHLDLIVSSGAVPVIVPRVNGIHSMLQSFEPIHGVLLCEGEDVDPSLYADAESSGLSPEDMEEIKKVHAGDMTIDREKDSIELTLARLCLERNIPFLGICRGSQILNVAAGGTLYQDIDKELGTTTTTTKHIDYDNYDGHRHEARIVEETPLHTLFKEMEIMVNSYHHQGVKRLAQRFAPMAYAPDGLIEGFYDPNRYDPKEGQFLMGLQFHPERMRLSGSDEFDYPGCALVYQEFVKAVIAFQKKQVNATQMEMKRKTTTLVKSFSQAEFLEVNTVLSKQQENRLKQMGATVRNSCVYMKRMKRKEEQERAMDKFSAERLSDLLSFHHMMARLCSDAIKRKLLEPEATDRTIESSFFLNY >fgenesh2_kg.1__164__AT1G02500.1 pep chromosome:v.1.0:1:650407:652252:1 gene:fgenesh2_kg.1__164__AT1G02500.1 transcript:fgenesh2_kg.1__164__AT1G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase [Source:UniProtKB/TrEMBL;Acc:D7KB92] METFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMIVRDTCRAIGFVSDDVGLDADKCKVLVNIEQQSPDIAQGVHGHFTKCPEDIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYYNDKGAMVPIRVHTVLISTQHDETVTNDEIARDLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVANGMARRALVQVSYAIGVPEPLSVFVDTYETGLIPDKEILKIVKESFDFRPGMMTINLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWDKPQA >fgenesh2_kg.1__1652__AT1G15100.1 pep chromosome:v.1.0:1:6398539:6399210:-1 gene:fgenesh2_kg.1__1652__AT1G15100.1 transcript:fgenesh2_kg.1__1652__AT1G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring-H2 finger A2A (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KCM8] MGLQGQLSDVSSDSIPLMLLSLLAVFINHLRSFLLRLTAKSNHNLPVDDVSVASGLANIIVLADQLSLNRLFSYRCGDGGGSDCVVCLSKLKEGEEVRKLECRHVFHKKCLEGWLHQLNFTCPLCRSALVSDDCVSKTQRRVGRDL >fgenesh2_kg.1__1653__AT1G15110.1 pep chromosome:v.1.0:1:6406389:6409538:1 gene:fgenesh2_kg.1__1653__AT1G15110.1 transcript:fgenesh2_kg.1__1653__AT1G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl serine synthase family protein [Source:UniProtKB/TrEMBL;Acc:D7KCM9] MEPNGYRKERRKEQHLGRMNGGGDVESDLDPWTAWAYKPRTISLLLIGACFLIWASGALDPESTTSDDLVTSVKRGVWAMIAVFLAYSLLQAPSTVLIRPHPAIWRLVHGMAVIYLVALTFLLFQRRDDARQFMKFLHPDLGIELPEKSYGADCRIYVPDHPTNRFKNLYDTVFDEFFLAHIFGWWGKAILIRNQPLLWVLSIGFELLELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMNTVRYFDGKTYEWVGISRQPNIIGKVKRTLGQFTPAHWDKDEWHPLQGPWRFIQVLTLCIVFLTVELNTFFLKFSLWIPPRNPVILYRLILWWLIAIPTTREYNSYLQDRKPVKKVGAFCWLSLGICIVELLICIKFGSGLYPTEMPLWVVTLWGSVGLGLVAFLLCWTWKIQKILAQKRR >fgenesh2_kg.1__1656__AT1G15130.1 pep chromosome:v.1.0:1:6413227:6417312:-1 gene:fgenesh2_kg.1__1656__AT1G15130.1 transcript:fgenesh2_kg.1__1656__AT1G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7KCN2] MASSSLSNLMLAIHEKKTNSVDLYRPLRNYVTFTYSEREAQLIDDDLETLKQLRSDLERVPDPSPAARRDLLISYYKVLCLVETRFPISPDKDHVNAVSFLWYDAFKQRHKATQQNIHLEKAAVLFNLGATYSQIGLGHDRTTVDGRRQASHAFIAAAGAFSYLKENESTKATIGQSATVDVSVECVGMLERLMLAQAQECVFENTIAKGSTPGVCSKIARQVGIYYEEALAALITSPLKDHFDKGWISHVQLKAALFYSEACFRYGKELHEKEEIAEEIARLRSGASRLAEAKKSSRGAPAQLIEAMNTLESSINANLDRAVKENDRVYLMRVPSPSSLSPLPTFSMVKPMNMTEILDASKEKMFAILVPDSSAKALSRYTEMVDDVIRTQAERLQQASELTRVRLKEMDLPDSILAVDGNSALPVDLKEDVEAVQISGGPAGLEAELQQLRDLKRVNQELLVHTEELLQKEATEDSQFRSQFGTRWTRPQSSTLTKNLQDRLNRFAANLKQAGESDVKIERSVRDNSALMCILDRRPIESAVPTLARPIMSLDATEDAIVGTLKQSLRQLENLGAQRAGLEDMLKEMKRKDDILPKLMTITGSYEDMFRKEISKYDHICEDISQNIEVQEQLLMQIQAQNEEFSTLFNLEDYKASKEKCYKQIQAAIMKYREIKENINEGLKFYVTLQDAITNVKQQCSDFVMTRSIQCREMIEDVQRQMSGLSFQDHRSSGPYPSVHQPAASSPPPPPETQNPSHPHPHAPYYRPPEQMSRPGYTIPPYGPPPPYHTPHGQPQPYPPQAQQQPHPSWQQGSYYDPQGQQPRPPYPGQSPYPPPHQGGGYYRQ >fgenesh2_kg.1__1660__AT1G15150.1 pep chromosome:v.1.0:1:6420227:6422471:1 gene:fgenesh2_kg.1__1660__AT1G15150.1 transcript:fgenesh2_kg.1__1660__AT1G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7KCN4] MQNAQSSTTDPVDRVDKVTWRDLQDGSFTAELKRLLCFAAPMVAVVITQSMLQIITMVMVGHLGNLSLASASFAISFSNVTGFSFIMGLSSALDTLSGQAYGAKLYRKLGVQTYTAMFCLTLVCLPISLLWFNMGKLLVILGQDPSIAHEAGRFAAWLIPGLFAYAVLQPLIRYFKNQSLITPLFITSCVVFCLHVPLCWILVYKSGHGHLGGALALSLSYWVSASFLGSFMYYSSACSETRAPLSMEIFDGIGEFFKYALPSAAMLCLEWWSYELVILLSGLLPNPQLETSVLSVCLQTLSIAYSIPLAIAAAASTRISNELGAGNSRAAHIVVYAAMSLAVMDALMVSTSLLVGRNLFGHVFSSDKKTIDYVAKMAPLVSISLILDSLQGVFSGVASGCGWQHIGAYINFGAFYLWGIPIAASLAFWVHLKGVGLWIGILAGAVLQTLLLALFTGCTNWKNQAREARKRMAVAHESELTESELPL >fgenesh2_kg.1__1662__AT1G15170.1 pep chromosome:v.1.0:1:6424391:6426711:1 gene:fgenesh2_kg.1__1662__AT1G15170.1 transcript:fgenesh2_kg.1__1662__AT1G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7KCN5] MGDAESTTTKDSLLLPVERVENVTWRDLRDGSFTVELKRLICFAAPMAAVVIAQFMLQIVSMMMVGHLGNLSLASASLASSFCNVTGFSFIIGLSCALDTLSGQAYGAKLYRKLGVQTYTAMFCLALVCLPLSLIWFNMEKLLLILGQDPSIAHEAGRYATWLIPGLFAYAVLQPLTRYFQNQSLIAPLLITSCVVFCIHVPVCWLLVYNSGLGNLGGALAISLSNWLYAIFLGSFMYFSSACSETRAPLSMEIFDGIGEFFKYALPSAAMICLEWWSYELIILLSGLLPNPQLETSVLSVCLQTISTMYSIPLAIAAAASTRISNELGAGNSRAAHIVVYAAMSLAVIDALIVSMSLLVGRNLFGHIFSSDKETINYVAKMAPLVSISLMLDALQGVLSGIARGCGWQHIGAYINLGAFYLWGIPIAASLAFWIHLKGVGLWIGIQAGAVLQTLLLALVTGCTNWENQANEARKRMDLAYGT >fgenesh2_kg.1__1663__AT1G15180.1 pep chromosome:v.1.0:1:6428187:6430490:1 gene:fgenesh2_kg.1__1663__AT1G15180.1 transcript:fgenesh2_kg.1__1663__AT1G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7KCN6] MGDAESTSKTSLLLPVERVENVTWRDLPDGSFTVELKRLLCFAAPMAAVVTAQFMLQIISMVMVGHLGNLSLASASLASSFCNVTGFSFIVGLSCALDTLIGQAYGAKLYRKVGVQAYTAMFCLALVCLPLTLIWLNMETLLVFLGQDPSISHEAGRYAACFIPGLFAYAVLQPLTRYFQNQSMITPLLITSCIVFCLHVPFCWLLVYKSGLGNLGGALALSLSNCLYTIILGSFMCYSSACSETRAPLSMEIFHGIGEFFKYALPSAAMICLEWWSYELIILLSGLLPNPQLETSVLSVCLQTTATVYSIHLAIAAAASTRISNELGAGNSRAAHIVVYAAMCLAVMETLILSTSLLVGRNLFGHVFSSDKDTIDYVAKMAPLVSISLILDGLQGVLSGIARGCGWQQIGAYINLGAFYLWRIPIAASLAFWIHLKGVGLWIGIQAGALLQTLLLALVTGCTNWKNQADEARKRMALAYGT >fgenesh2_kg.1__1665__AT1G15190.1 pep chromosome:v.1.0:1:6430921:6432029:1 gene:fgenesh2_kg.1__1665__AT1G15190.1 transcript:fgenesh2_kg.1__1665__AT1G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KCN7] MANISSASCFRAIFLGALIILCLPHPSTGVPLEELERAIAVLRVRGRALFANAIITSDLLFDLLSDESLTLFAPTDSMLFALDMTHSLPFYVSTLRLHSVPLRLSLSDLRSLPNASSIPTLLPSHRLLLTKLSSSNDSIFLDGVQLLLPGLFDGQHIAVHGLADLLPLTAPSSPNRLVEDSAALAESPWFLGSRFSPAPEPYFAFMDLSPAESPTVEEVSPSPSWGEDFIVGD >fgenesh2_kg.1__1666__AT1G15200.1 pep chromosome:v.1.0:1:6432165:6434938:-1 gene:fgenesh2_kg.1__1666__AT1G15200.1 transcript:fgenesh2_kg.1__1666__AT1G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-protein interaction regulator family protein [Source:UniProtKB/TrEMBL;Acc:D7KCN8] MGDTALEKTAEELRHEIDELHRQQREITERLRDPRGLRRGGFSSVVPRNQGRRGFPRPAERNDAEDEPPAKRRLSSAVVKVDGEDVSKDGELPVDGNGTQVLVGENGTSDQSDKKLSVHHRGSWSQRDAEQRGTKKGFEAFALPEPSPRVLPKNEDPKLVNRNRRMLGNLLGTLEKFRKEDKQRSGTDAYARRTATLQRAEEKAREESERLRLQERENLTEKRRRDLTLRARVAAKAEQKKLELLFLQWSEHQKKLTNFIRTKAEPHIYYALVKPLEEDTSEVEKQKEQTFLEWKAARRQEVSEYQKEIEEQCLGNVEKELERWQNARKARKSNNEGMNLQETMDKELETHRMEHGPKKRKIPGGNEEEEDEVEDINGGEDEMIMDDLLEEGGDGNIKEEVATDTVKAEAVKEDIKPEVV >fgenesh2_kg.1__1667__AT1G15210.1 pep chromosome:v.1.0:1:6435319:6440555:-1 gene:fgenesh2_kg.1__1667__AT1G15210.1 transcript:fgenesh2_kg.1__1667__AT1G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPDR7/PDR7 [Source:UniProtKB/TrEMBL;Acc:D7KCN9] MDYDPAHAMSRGGSMRQTISRSVSKASRNMEDIFNTSSRRTKSVNEDEEALKWAAIEKLPTYSRLRTSLMPELGEDDVYGNQILNKEVDVTKLDGEERQKFIDMVFKVAEQDNERILTKLRNRIDRVGIQLPTVEVRYDHLTVKADCYTGDRSLPSLLNAVRNMGEAALGMIGIRLAKKAQLTILKDVSGIVKPSRMTLLLGPPSSGKTTLLLALAGKLDKSLDVSGEVTYNGYRLNEFVPIKTSAYISQNDLHVGIMTVKETLDFSARCQGVGTRYDLLNELARREKDAGIFPEADVDLFMKASAAQGVKSSLITDYTLKILGLDICKDTIVGDDMMRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTEATVLISLLQPAPETFDLFDDIILLSEGQIVYQGPRDHILEFFESFGFKCPERKGTADFLQEVTSKKDQEQYWVDPNRPYRYIPVSEFASSFKTFHVGSKLSNELSVPFDKSKSHKAALMFDKYSIKKTELLKSCWDKEWMLMKRNSFFYVFKTVQIIIIAAITSTLYLRTEMHTRNEIDANIYVGSLLFAMIVNMFNGLAEMAMTIQRLPVFYKQRDLLFHPPWTYTLPTFLLGIPISIFESTAWMVVTYYSIGYAPDAGRFFKQFLIIFLIQQMAAGIFRFIASTCRTMTIANTGGVLVLLVVFLTGGFLLPRGEIPVWWRWAYWVSPLSYAFNAITVNELFAPRWMNKMSANNATRLGTSVLNIWDVFDDKNWYWIGVGGLLGFTVIFNGFFTLALTYLDPLGKAQAILPKEEDEKAKQSGRKAGSSKETEMESVSAKKGMVLPFTPLAMSFDDVKYFVDMPAEMREQGVQETRLQLLKGVTSAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRVSGFPKKQETFARISGYCEQTDIHSPQVTVRESLIFSAFLRLAKEVSKEDKMMFVDQVMELVELVDLRDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGHVIYSGPLGRNSHKVVEYFEAFPGVPKIPEKYNPATWMLEASSLAAELKLGVDFAELYKASALCQRNKALVQELSVPPQGATDLYFATQFSQNTWGQFKSCLWKQWWTYWRSPDYNLVRFIFTLATSLMIGSVFWQIGGKRSNVQDLTMVIGAIYAAVVFVGINNCSTVQPMVAVERTVFYREKAAGMYSAIPYAISQVTCELPYVLIQTTYYSLIIYSMIGFEWKASKFLWFIFINYFSFLYWTYYGMMTVSLTPNQQVASIFASAFYGIFNLFSGFFIPRPKIPKWWVWYYWICPVAWTIYGLITSQYGDVETPIALLGGAPGLTVKQYIKDQYGFESDFMGPVAGVLVGFTVFFAFIFAFCIKTLNFQTR >fgenesh2_kg.1__166__AT1G02510.1 pep chromosome:v.1.0:1:652374:653539:-1 gene:fgenesh2_kg.1__166__AT1G02510.1 transcript:fgenesh2_kg.1__166__AT1G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETLLNENLLPPQDSSPEETQVTAVTTVSKSKWTILVLAMILLLIYLTFGVFTYSFFRDQFSGTETNLFVDAFYFSIVTFCTVGYGDIVPSTSTTKILTIVLVSTGVVFLDYLLNSVVSHVLSLQENAILDRINKTRNRAIRDHIAEDGKIRLKWKLCLAFCAVGLCVGSGALFLHVFERLDWLDSVYLSIISVTTVGYGDKTFKTLEGRGFAVLWLLLSTIAMATLFLYLAEMRIDRTTVMKLPTSESEFIVFKLRESGKISEDDIKQIVREFENLEKVPSSGS >fgenesh2_kg.1__1671__AT1G15220.2 pep chromosome:v.1.0:1:6443908:6445435:-1 gene:fgenesh2_kg.1__1671__AT1G15220.2 transcript:fgenesh2_kg.1__1671__AT1G15220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT1G15220) TAIR;Acc:AT1G15220] MEKTDEERKKAQMLDARARNISHNVRCTECGSQSIEDSQADIAILLRQLIRDEIGAGKTDKEIYNKLEDEFGETVLYAPKFDLQTAALWLTPVIIAGGTAAGLVYQKHRQRKNVDIMALNLIRGVPLTPKERVTILDVLIPPSPPPQGVASRLRRWLNR >fgenesh2_kg.1__1674__AT1G15260.1 pep chromosome:v.1.0:1:6453585:6454389:1 gene:fgenesh2_kg.1__1674__AT1G15260.1 transcript:fgenesh2_kg.1__1674__AT1G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPTSKSIQRVGRFLKKTLGSIKSTICSGDYKNLPNDTPLLSPYSCSRRCPEDSQTKESYTVICCDTAVADTTHQKSLSNQHKKKPKKVAVPFEDAKKRGDALAQKMKDLNMVDLRDVDHALDVREALRCYSSIRSPVYLDIVDNFFTDMYYEFSDPRTSAKINGSRKKAGSFRL >fgenesh2_kg.1__1675__AT1G15270.1 pep chromosome:v.1.0:1:6454489:6455885:-1 gene:fgenesh2_kg.1__1675__AT1G15270.1 transcript:fgenesh2_kg.1__1675__AT1G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQGGKAKPLKQPKADKKEYDETDLANIQKKKDEEKALKELRAKASQKGSFGGSGLKKSGKK >fgenesh2_kg.1__1677__AT1G15280.2 pep chromosome:v.1.0:1:6456103:6460398:1 gene:fgenesh2_kg.1__1677__AT1G15280.2 transcript:fgenesh2_kg.1__1677__AT1G15280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein [Source:UniProtKB/TrEMBL;Acc:D7KCP7] MATAEAAEYESDPEELNRSLATRRREASDDDSDDAESDRDVENKRAEVDSDLSDEQIDTVKYDNDEDGEDSFEEEEEEEERGGIDDDKRSGMMNGDEEKEKEKQQVTVPTGGAFYMHDDRFQEMSAGGNRRMRGGRRQWGSGEERKWGHDKFEEMNTGEKHYDQRMSRGRFRGRGRGRGQGRGYARGSSSNTLASNGQQIYVPKAVSRGRGPRKSETPLRNENLANSEQSKQLRNSNGSQNSREKMSHRSPTAPAKTENQGVHAKKNVAASSLSSASPPFYPSVTSSNVVHGIQVGMERLHTNESGAPSGKKYRNTKSGFSSVWTAKTHQSSNQGRGAPAAGNMFYPQSHSQGDRFSSQMQLNGDSKGTGQSFIRPSGQGFDQHSAVVRSLSSSPQKTSLSRNQYPPSEVESASKTGSLIAKGKETIRPSGSGSFMYSGSQMMGRPESLASADNSNFHTFLPVMQFGGQHGGVPTLGMAYPGYVQSENGVRNPEMTWMPVLNGPGALGASYSPLYAANQANKPGLPSSKDNNSANTLNDLVKPTKRPEVAENGVSQRQNNNPSKQPRRYSEMTFSK >fgenesh2_kg.1__167__AT1G02530.1 pep chromosome:v.1.0:1:655997:667583:1 gene:fgenesh2_kg.1__167__AT1G02530.1 transcript:fgenesh2_kg.1__167__AT1G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:p-glycoprotein 12 [Source:UniProtKB/TrEMBL;Acc:D7KB94] MNRDGAGEGDSVSHEPSTSKNDEKAKTVPFYKLFAFADSFDVLLMICGSIGAIGNGVCLPLMTLLFGDLIDSFGKNQNNKDIVDVVSKVCLKFVYLGLGTLGAAFLQVACWMITGERQAARIRSTYLKTILRQDIGFFDLETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFVGGFVLAFIKGWLLTLVMLTSIPLLAMAGAAMAIIVTRASSRGQAAYAKAATVVEQTIGSIRTVASFTGEKQAINSYKKFITSAYKSSIQQGFSTGLGLGVMFFVFFSSYALAIWFGGKMILEKGYTGGAVINVIIIVVAGSMSLGQTSPCVTAFSAGQAAAYKMFQTIKRKPLIDAYDVNGKVLEDIRGDIELKDVHFSYPARPDEDIFNGFSLFIPSGATAALVGESGSGKSTVISLIERFYDPKSGAVLIDGVDLKEFQLKWIRSKIGLVSQEPVLFSSSIMENIAYGKENATLQEIKAVTELTNAAKFIDNLPQGLDTLVGEHGTQLSGGQKQRIAIARAILKDPQILLLDEATSALDAESERVVQEALDRVMVNRTTLIIAHRLSTVRNADMIAVIHRGKMVEKGSHSKLLKDSEGAYSQLIRLQEINKGNDVKPSDVSAGSSFRNSSLKKSIEGSVISGGTSSVGNSSRHHSLNVLGLFAGLDLGSGSQRVGQEETGTASQEPLPKVSLTRIAVLNKPEIPVLLLGTVAAAINGAIFPLFGILISRVIEAFFKPVDQLKKESRFWAIIFVALGVTSLIVSPSQMYLFAVAGGKLIRRIQSMCFEKAVHMEVGWFDEPENSSGTMGARLSTDAALIRALVGDALSLAVQNAASAASGLIIAFTASWELALIILLMIPLIGINGFLQVKFMKGFSADAKSKYEEASQVANDAVGSIRTVASFCAEEKVMQMYKKQCEGPIKDGIKQGFISGLGFGFSFFILFCFYATSFYAAARLVEDGRTTFIDVFQIFFALTMAAIGVSQSSTLAPDSSKAKAAAASIFAIIDRKSKIDSSDESGTVLENIKGDIELRHLSFTYPARPDIQIFRDLCLTIHAGKTVALVGESGSGKSTVISLLQRFYDPDSGHITLDGVELKKLQLKWLRQQMGLVGQEPVLFNDTIRANIAYGKGSEEAATESEIIAAAELANSHKFISSIQEGYDTVVGERGIQLSGGQKQRVAIARAIVKEPSILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIAEKGTHGTLIKIDGGVYASLVQLHMTASN >fgenesh2_kg.1__1686__AT1G15350.3 pep chromosome:v.1.0:1:6482893:6484449:-1 gene:fgenesh2_kg.1__1686__AT1G15350.3 transcript:fgenesh2_kg.1__1686__AT1G15350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNITFPSQGSLSSNQTFDSQSGARNSNAPPEFVNQGLLLWNQTRERWVGKEKPNNPADRNHGTKLNWNTATYDSLLGSNKLFPQPIPLTEMVDFLVDIWEQEGLYD >fgenesh2_kg.1__1687__AT1G15360.1 pep chromosome:v.1.0:1:6488117:6489215:1 gene:fgenesh2_kg.1__1687__AT1G15360.1 transcript:fgenesh2_kg.1__1687__AT1G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTKKFRGVRQRHWGSWVAEIRHPLLKRRIWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPFNNNTGDTSEGKTDISSSSTISSSSSSSLSSILSAKLRKCCKSPSPSLTCLRLDTASSHIGVWQKRAGSKSDSSWVMTVELGAASSSQETTSKDSQDDLAPTTELEVGGRGEEGMDEEEKVALQMIEELLNTN >fgenesh2_kg.1__1688__AT1G15370.1 pep chromosome:v.1.0:1:6491405:6492969:1 gene:fgenesh2_kg.1__1688__AT1G15370.1 transcript:fgenesh2_kg.1__1688__AT1G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVLFANSVGNVLIERFNGVPAEERLHWRSFLVKLGADNLKGVKNEELLVACHKSVYIVYTMLGDVSIFLVGKDEYDELALAETIYIITAAVKDVCGKPPTERVFLDKYGRICLCLDEIVWNGLLENTDKDRIKRLIRLKPPSEV >fgenesh2_kg.1__1694__AT1G15400.2 pep chromosome:v.1.0:1:6502189:6502871:-1 gene:fgenesh2_kg.1__1694__AT1G15400.2 transcript:fgenesh2_kg.1__1694__AT1G15400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLQRSTISFRRQGSSGIVFDDRLIAELNKTGGNEQKDESQRDEQPKPMSESSEQVKPITGGDEKDKLRPIKTGGGAPGGIERSRSNGGGAQRHHRTTGRVSPAVDPPSPRISSCGCCSAFGKNPPGKKVNPRKRPPKRRSR >fgenesh2_kg.1__1695__AT1G15410.1 pep chromosome:v.1.0:1:6504177:6506880:1 gene:fgenesh2_kg.1__1695__AT1G15410.1 transcript:fgenesh2_kg.1__1695__AT1G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate-glutamate racemase family [Source:UniProtKB/TrEMBL;Acc:D7KCR1] MYLQIFNNPCHGLAHVCYSSRVSCKVRLSRVLAMPPSSILLHMDESNDLPKPKKGFCPSEDSRNSLNSLSADSLLRQANTVGIIGGVSTDSTLKFVKKLVDWSSKDGKSSLPFVLCSDPALNKELLLYEENSYPSLYHRAESTPVDPKLIVGNLRNKRRYLEKCGAKLILMPCHIAHIWYEEVCEGSSVPLLHMGECIAKELQEAKMKPLEAGNPLRVGVMATSATLSAGFYQEKLQSNGFEAVLPDKATMEHTVIPSIEAMKREDMEGARNLLRIALQVLLVQAVNVVMLGSDEMRDLLPGDDPLLKKCVDPMDALARSAIKWAENQCS >fgenesh2_kg.1__1697__AT1G15420.1 pep chromosome:v.1.0:1:6507609:6509395:-1 gene:fgenesh2_kg.1__1697__AT1G15420.1 transcript:fgenesh2_kg.1__1697__AT1G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDKLKPLPSSDTAGEIADTTPREKKHKKKSKKRAEPEPDIPSTRDFGLDEDRDGVLVDDTLNEPTIGDKLESLDLLNGEKVISEEIDRASAPGDDKPPTAASVNVLLRQALHADDRSLLLDCFYNRDEQVIANSVAKLNSAEVLKLLNALLPILQSRGAILACAIPWIKSLLLTHSSGIMSQESSLLALNTMYQLIESRVSTIHTAVEVSSGLDLIVDDLDEEEDEGPVIYEDKDSDEEEGEGIEEAMETDEEADDSADEAADGVNDFEGFDDMSD >fgenesh2_kg.1__1698__AT1G15430.1 pep chromosome:v.1.0:1:6510550:6512163:1 gene:fgenesh2_kg.1__1698__AT1G15430.1 transcript:fgenesh2_kg.1__1698__AT1G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F9L1.39 [Source:UniProtKB/TrEMBL;Acc:D7KCR4] MPVSSNINRVSPYPLRSCRNKKQKQVEASSPVELESVSEWEDVRCVICMEPPHNAVLLQCSSFSKGCRAYMCDTSARHSNCFKQYRRNNSSSRCSGKTLNCPYCRGEVQGTMKSTCARRFMNARPRSCSVDKCDFSGTYAQLKNHLKTEHPGFTPPKLDPWEQHMWEQLEREAEYIEMLNARQRWDAEQRLLATSLHQLPYRHPMIDLNFDAFIHNLFLGARTQASTDNYTAHIPRLEFHAPLYPRWTP >fgenesh2_kg.1__1702__AT1G15470.1 pep chromosome:v.1.0:1:6522346:6524687:1 gene:fgenesh2_kg.1__1702__AT1G15470.1 transcript:fgenesh2_kg.1__1702__AT1G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7KDG3] MGAPLVCHGHSRPVVDVAYSPVTPDGFFLISASKDSNPMLRNGETGDWIGTFEGHKGAVWSCSLDKNAIRAASASADFTAKIWNALTGDELHSFEHKHIVRACAFSEDTHCLLTGGMEKILRIFDLNRPDAPPKEVGNSPGSIRTVEWLHSDNTILSSCTDTGDIRLWDIRSDKIVHTLETKSPVTSAEVSQDGRYITTADGSSVKFWDAKNFGLLKSYDMPCNVESASLEPKHGNTFIAGGEDMWVHRFDFQTGEEIGCNKGHHGPVHCVRYAPGGESYTSGSEDGTVRIWVVGSVNHHEESNPSGHVKLVAEEVVRKAESLRINEKAAEAK >fgenesh2_kg.1__1703__AT1G15480.1 pep chromosome:v.1.0:1:6524833:6527415:1 gene:fgenesh2_kg.1__1703__AT1G15480.1 transcript:fgenesh2_kg.1__1703__AT1G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7KDG4] MFALSKVLRRSQSLRLGACNAVYSKLDIPLGERNSAIESNALIHDKHDGFPRFYELSWSSSTGRRSLSSDAGAKTTGDEDDLEDKNLDIATPNETSSNSEDDEEFSGDEGDIEGAELELHVPASKRPSELFKAIVSVSGLSVGSALDKWVEQGKDTSRTEFASAMLQLRKRRMYGRALQMTEWLDDNKQFEMKERDYASRLDLISKVRGLYKGEAYIETIPESFRGELVYRTLLSNYVATSNVRTAEAVFNKMKDLGFPLSTFTCNQMLILYKRVDKKKIADVLLLMEKENLKPNLNTYKILIDTKGLSNDITGMEQIVETMKSEGVEPDLRARALIARNYASAGLKEKAEKVLKEMEGESLEENRHVYKDLLSVYGFLQRADEVTRIWKICEEKPRYNESLAAILAFGKIDKVKEAEAVFEKMLKMSHRVSSNVYSVLLRVYVDHKMVSEGKDLVKQMLDSGCNIGALTLDAVIKLYLEAGEVEKAESSLSKAIQSKQIKPLMSSFMYVMGEYVRRGDVHNTEKIFQRMKQFGYQSRFRTYQALIQAYVNAKAPAYGMKDRMKADNIFPNKGLAAQLTKTDPFKKAPLSDLLD >fgenesh2_kg.1__1704__AT1G15490.1 pep chromosome:v.1.0:1:6527489:6530795:-1 gene:fgenesh2_kg.1__1704__AT1G15490.1 transcript:fgenesh2_kg.1__1704__AT1G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7KDG5] MAKSWLLVEKARRCLRTVFFMVAMLASLLVSSLPLLVAIGDVLVPSFLLSSFTCVTCYGAKEHLRRYGFKRSLTDIPIVSVARSFLVICVYLLSDVPALSHGPYLGTVSLCSVVSVLLLSVKACLFTVNSQLNNEASFSPSRQRLHLKKSWGMPVLFLSSVVFALGHTVVAYRTSCRARRKILYHRVDPEAVLSCKSIFSGHQKVPRSPTPVVGKASKFDGEARRKPLSHDEGELPVRLLADVDSLFITIRGLTVHYKLCAPGSPRQSISSNVLDANSSYNTPETMAGRLKFDRKVSSMVTKSQHHHHHRSYSSLFNNSSLHDPLLDGSPTSPLLFKEIQEGTSLEDNMNVFNFGAEEQNLGESGQFGVVLVHGFGGGVFSWRHVMGSLAQQLGCVVTAFDRPGWGLTARPHKNDLEERQLLNPYTLENQVEMLIAFCYEMGFSSVVFVGHDDGGLLALKAAQRLITTNDPIKVVVKGVVLLNTGLSREVVPAFARILLHTSLGKKHLVRPLLRTEIAQVVNRRAWYDPAKMTTDVLRLYKAPLHVEGWDEALHEIGRLSSEMVLAPQNATSLLKAVENLPVLVIAGAEDALVPLKSSQAMASKLLNSRLVAISGCGHLPHEECPKALLAAMSPFITRLVLRPDLQSQ >fgenesh2_kg.1__1705__AT1G15500.1 pep chromosome:v.1.0:1:6540742:6543309:1 gene:fgenesh2_kg.1__1705__AT1G15500.1 transcript:fgenesh2_kg.1__1705__AT1G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:D7KDG6] MEGLIQTRGILSLPSKPIGARRLLQPSHGLKQRLFTTNLPALSLSSNGHTKFQAFQSTPHGISVSHKERSRGFICKAEAAAAGGGNVFDEGDTAAISASPKIFGVEVTTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSKKALFYTVIVPFIVYFGAFGFVMYPLSNLIHPEALADKLLATLGPRFMGPLAIMRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKKFYPLFGLGANVALIFSGRTVKYFSNMRKNLGPGVDGWAVSLKAMMSIVVGMGLAICFLYWWVNRYVPLPTRSKKKKVKPQMGTMESLKFLVSSPYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSAFMGDFSTCTGIATFTMMLLSQYVFKKYGWGVAAKITPTVLLLTGVAFFSLILFGGPFAPLVAKLGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGIILLGIVTAWLAAAKSLEGQFNTLMSEEELEKEMERASSVKIPVVSQEDAPPGETTSQLSEKSSPTGI >fgenesh2_kg.1__1706__AT1G15510.1 pep chromosome:v.1.0:1:6543599:6546199:1 gene:fgenesh2_kg.1__1706__AT1G15510.1 transcript:fgenesh2_kg.1__1706__AT1G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KDG7] MASSAQSPHFYLNPGKSNSFQSKVHKHRNVNFYWNFGFRKLFLRKSRGLSVLSSSSSSTHFSNSQLHGLCANGKLEEAMKLLNSMQELRVAVDEDVFVALVRLCEWKRAHEEGSKVYSVALSSMNSLSVELGNAFLAMFVRFGNLVDAWYVFGKMSERNLFSWNVLVGGYAKQGYFDEAICLYHRMLWVGGVKPDVYTFPCVLRTCGGIPDLARGREVHVHVVRYGYELDIDVVNALITMYVKCGDVKSARLLFDRMPRRDIISWNAMISGYFENGMGHEGLKLFFAMRGLSVDPDLMTLTSVISACELLGDRRLGRDIHAYVITTGFAVDISVCNSLTQMYLYAGSWREAEKLFSRMDCKDIVSWTTMISGYEYNFLPEKAIDTYRMMDQDSVKPDEITVAAVLSACATLGDLDTGVELHKLAIKARLISYVIVANNLINMYSKCKCIDKALDIFHNIPRKNVISWTSIIAGLRLNNRCFEALIFFRQMKMTLQPNAITLTAALAACARIGALMCGKEIHAHVLRTGVGLDDFLPNALLDMYVRCGRMNIAWNQFNSQKKDVSSWNILLTGYSERGQGSVVVELFDRMVKARVRPDEITFISLLCGCGKSQMVRQGLMYFSKMEEYGVTPNLKHYACVVDLLGRAGELQEAHKFIQKMPVTPDPAVWGALLNACRIHHNIDLGELSAQRIFELDKGSVGYYILLCNLYADCGKWREVAKVRRMMKENGLTVDAGCSWVEVKGKVHAFLSDDKYHPQTKEINTVLDGFYEKMSEVGLTTSSESSSMDETEISRDEIFCGHSERKAIAFGLINSVPGMPIWVTKNLNMCESCHDTVKFISKTVRREISVRDSEHFHHFKDGECSCGD >fgenesh2_kg.1__1707__AT1G15520.1 pep chromosome:v.1.0:1:6546353:6552731:-1 gene:fgenesh2_kg.1__1707__AT1G15520.1 transcript:fgenesh2_kg.1__1707__AT1G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPDR12/PDR12 [Source:UniProtKB/TrEMBL;Acc:D7KDG8] MEGTSFQKASNSLRRNSSVWRKDSGMEIFSRSSREEDDEEALRWAALEKLPTFDRLRKGILTASHAGGAINEIDIQKLGFQDTKKLLERLIKVGDDEHEKLLWKLKKRIDRVGIDLPTIEVRFDHLKVEAEVHVGGRALPTFVNFISNFGDKFLNTLHLVPNRKKKFTILNDVSGIVKPGRMALLLGPPSSGKTTLLLALAGKLDLELKQTGRVTYNGHGMNEFVPQRTAAYIGQNDVHIGEMTVRETFAYAARFQGVGSRYDMLTELARREKEANIKPDADVDVFMKAMSTAGEKTNVMTDYILKILGLEVCADTMVGDDMLRGISGGQKKRVTTGEMLVGPSRALFMDEISTGLDSSTTYQIVNSLRNYVHIFNGTALISLLQPAPETFNLFDDIILIAEGEIIYEGPRDYVVEFFETMGFKCPPRKGVADFLQEVTSKKDQMQYWARRDEPYRFIRVREFAEAFQSFHVGRRIGDELALPFDKTKSHPAALTTKKYGVGIKELVKTSFSREYLLMKRNSFVYYFKFGQLLVMAFLTMTLFFRTEMQKKTVVDGSLYTGALFFLLMMLMFNGMSELSMTIAKLPVFYKQRDLLFYPAWVYSLPPWLLKIPISFIEAALTTFITYYVIGFDPNVGRLFKQYILLVLMNQMASALFKMVAALGRNMIVANTFGAFAMLVFFALGGVVLSRDDIKKWWIWGYWISPIMYGQNAILANEFFGHSWSRAVPNSSETLGVTFLKSRGFLPHAYWYWIGTGALLGFVVLFNFGFTLALTFLNSLGKPQAVIAEEPASDETELQSARTEGVVEASANKKRGMVLPFEPHSITFDNVVYSVDMPQEMIEQGTQEDRLVLLKGVNGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGNITISGYPKNQQTFARISGYCEQTDIHSPHVTVYESLVYSAWLRLPKEVDSNKRKIFIEEVMELVELTPLRQALVGLPGESGLSTDQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLLKRGGEEIYVGPLGHESTHLINYFESIQGINKITEGYNPATWMLEVSNTSQEAALGVDFAQLYKNSELYKRNKELIKELSQPAPGSKDLYFPTQYSQSFWTQCMASLWKQHWSYWRNPPYTAVRFLFTIGIALMFGTMFWDLGGKTKTTQDLSNAMGSMYTAVLFLGLQNAASVQPVVNVERTVFYREQAAGMYSAMPYAFAQVFIEIPYVFVQAVVYGLIVYAMIGFEWTAVKFFWYLFFMYGSFLTFTFYGMMAVAMTPNHHIASVVSSAFYGIWNLFSGFLIPRPSMPVWWEWYYWLCPVAWTLYGLIASQFGDITEPMADGTSVKQFIRDFYGYREGFLGVVAAMNVIFPMLFAVIFAVGIKSFNFQKR >fgenesh2_kg.1__1710__AT1G15550.1 pep chromosome:v.1.0:1:6561235:6562915:-1 gene:fgenesh2_kg.1__1710__AT1G15550.1 transcript:fgenesh2_kg.1__1710__AT1G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMLTDVFRGHPIHLPHSHQPDFTSLRELPDSYKWTPKDDLLFAAAPSPPTTGENIPLIDLDHPDAANQIGHACRTWGAFQIANHGVPLGLLQDIEFLTGSLFRLPVQRKLKAARSETGVSGYGVARIASFFNKKMWSEGFTITGSPLNDFRKLWPQLHLNYCDIVEEYEEQMKKLASKLMWLALKSLGVSEEDVEWASLNSDLNWAQAALQLNHYPVCPEPDRAMGLAAHTDSTLLTILCQNNTAGLQVFRDDLGWVTVPPVPGSLVVNVGDLFHILSNGLFKSVLHRARVNQTRARLSVAFLWGPQSDIKISPVPKLVSPVELPLYRSVTWTEYLRTKATHFNKALSMIRNHREE >fgenesh2_kg.1__1711__AT1G15570.1 pep chromosome:v.1.0:1:6575929:6579351:1 gene:fgenesh2_kg.1__1711__AT1G15570.1 transcript:fgenesh2_kg.1__1711__AT1G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCA2_3 [Source:UniProtKB/TrEMBL;Acc:D7KDH2] MGKENAVSRPFTRSLASALRASEVTSTTQNQQRVNTKRPALEDTRATGPNKRKKRAVLGEITNVNSNASAILEAKNIKQIKKGRGQGLASTSQLASSVTSEVTNLQSRTDAKVEVVSNTAGNLSVCKGTNAADNCIEKWNFTLPPRPLGRSASTAEKSAVIGSLTVPDIPKFVDIDSDDKDPLLCCLYAPEIYYNLRVSELKHRPVPDFMERIQKDVTQSMRGILVDWLVEVSEEYTLASDTLYLTVYLIDWFLHGNYVQRQQLQLLGITCMLIASKYEEIFAPRIEEFCFITDNTYTRDQVLEMENQVLKHFSFQIYTPTPKTFLRRFLRAAHASHLSPSLEVEFLASYLTELTLIDYHFLKFLPSVVAASAVFLAKWTMDQSNHPWNPTLEHYTTYKASDLKASVHALQDLQLNTKGCPLTAIRMKYRQEKFKSVAILTSPKLLDTLF >fgenesh2_kg.1__1712__AT1G15580.1 pep chromosome:v.1.0:1:6579628:6580427:-1 gene:fgenesh2_kg.1__1712__AT1G15580.1 transcript:fgenesh2_kg.1__1712__AT1G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7KDH3] MANESDNLGLEITELRLGLPGDIIVVSGQSILGKKRASPEVESNMKCEPATKSQVVGWPPVCSYRRKNSLEQTKSSYVKVSVDGAAFLRKIDLEMYKCYQDLASALQILFGCSINFDDTLKESECVPIYEDKDGDWMLAGDVPWEMFLGSCKRLRIMKRSCNRG >fgenesh2_kg.1__1714__AT1G15660.1 pep chromosome:v.1.0:1:6595454:6599380:1 gene:fgenesh2_kg.1__1714__AT1G15660.1 transcript:fgenesh2_kg.1__1714__AT1G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CENP-C [Source:UniProtKB/TrEMBL;Acc:D7KDH9] MADMSRSSSLDAEDPLQAYSGLSLFPRTLKSLSNPLPPPLHQSEDLQQTHTLLESMPFEVQNEHQEQAKAIFEDVNVDVKLNPIPNKRERRLGLDRNRKSFSLNLTSSQPPPVAPSFDGSKYPRPEDFFAEYDKFELANREWQKQTGSSVIDTQQNPPSRRPRRPGIPGRKRRPFEHTFTDSYFTDAINLEASEKENPIASEQSLERTTAAHVTTVDREVDDSTVDTDKDLNNILKELLASSRDELEGDAAVKRLEDVLQIKSLNVEKFSIPEFQDVRKMNMKASGSNPSNRKSLSDIQNILKGIHRVAGRKNSHSPSPQTRKHFSSPNPPVDQFSFPDIHNLLPGDQQPSEVDVQPLAKDIANTSPSNVGTVDVASPFNNSVEKRSGEDDSHIHSGIHRSHLRPDGNADICVMDSISNRNSAMLEVNVDMRTTGKEVDVPISESGANRNTGQRENDTDINEETDHLEMLAEYASKEATRPFTVEEDSIPYQQGTSSNSPNRAPEQYNTMDGPSEHAEHNQGLHEEENVNTDSASGLQENALQEAHNSSHKQTNKRRKRGSSDSNVKKRSKTVHGETGGDPQMKTLPHESGAKKQTKRKSNEREEKKPKNTRKTLTREGKLFSRRKSLAAAGTKMEGGVRRSTRIKSRPLEYWKGERFLYGRIHESLTTVIGIKYASPGEGKSDLRACKVKSFVSDEYKELVDFAASH >fgenesh2_kg.1__1715__AT1G15670.1 pep chromosome:v.1.0:1:6606219:6607447:1 gene:fgenesh2_kg.1__1715__AT1G15670.1 transcript:fgenesh2_kg.1__1715__AT1G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KDI0] MELIPDLPESVARECLLRASYQQFPLMASVCKLWQREIRLSDFFRHRKASGHSQELVVLSQARVDPVKELGSGNKTIPTPVYRISVLELGTGLRSELPPVPGQSNGLPLFCRLASVGSDLVVLGGLDPVTWRTSDSVFVFSFLTSTWRVGNSMPGGPRSFFACSSDSQRNVFVAGGHDEDKNAMMAALMYDVAEDKWAFLPDMGRERDECTAIFHAGKFHVIGGYATEEQGQFSKTAESFDVTTWRWSSQADEFLSSEMITWSPICAAGENGDLYACCRHDLMVMRDDTWHKVGNLPADVCNVSYVAVRRSGKLVVIGSARYGEPSVGYNWDMSNSRWVKLETHEKYEGHVQAGCFLEI >fgenesh2_kg.1__1716__AT1G15680.1 pep chromosome:v.1.0:1:6612784:6614025:1 gene:fgenesh2_kg.1__1716__AT1G15680.1 transcript:fgenesh2_kg.1__1716__AT1G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENREVNGMKSGCKRRIELPDEILAEIVARLPFRSVTRFKAVCKGWRSLIESTYFRRLFVFAHQKSSSSWSLMCGTFGWSVQEMAGFYGCKRYGLPRRLGSYIPPHGLVDKYKIIACADGLVLLRTVTKGEAFIVGSPVLRQWVQLPPHPWKGISSSVEVTGLVTRVEDSVVLEYKVVCMDNELGLEVESLIFEIYSSVTGRWTRKEVRCPRLIVSLSYQRCLSLNKMLHWLDNHYRSRSNVGAIVAYDFYAADDQQQCRVIPFPDQKACFRRAYTTSGGFLIYIDYINKIHLLLRLWRLEEYTSDSGRWQLTQEINLTSFGCDHRYFPVAMHPSETHIIYMGNPDKALVSIDLKTHKLTLHTKSSAYRDTMVYHYLRTVYLSVNAGFDHDVFYTPQFTLPTWMGSVPRAPSI >fgenesh2_kg.1__1721__AT1G15720.1 pep chromosome:v.1.0:1:6629367:6630662:1 gene:fgenesh2_kg.1__1721__AT1G15720.1 transcript:fgenesh2_kg.1__1721__AT1G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMDKWVAEFFLRRLQNPRVYASPLLSALKPVDSDDCLKLKVTAVLRDISNSLIRGTVDEGMLDLLEILEKLLLEEHSVIMGSLKSAYCWTAVECTLRFMWPVTASDGLFGDALERIWRNRIGILKERESDLVTRELLKWESDLIKAFEEPQIYQKIRESDLIRYNAISHLNQLLKEQWALLGCSSLESVAQKRFLKRKVNQQEQEHEPSLNKGNMLVARELKDFLLEIQRLIDPSTRHDQEHDNAMDQSVDVTPQPDGANRTEAEDSEGTSSSRRVRPHLPTPEPLNVSPLKKGRLERPRPRRPMKFWTPEEVAALREGVKEYGKSWKDIKNSNPVVLADRSEVDLKDKWRNLFGRQ >fgenesh2_kg.1__1723__AT1G15740.1 pep chromosome:v.1.0:1:6634192:6638544:1 gene:fgenesh2_kg.1__1723__AT1G15740.1 transcript:fgenesh2_kg.1__1723__AT1G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KDI8] MGGACSRKRDQQVEDILNRGVSGKYSKSSSSKWLATSLSRSGSDVKRKNGECPSLMELCIRKIQEVIDRYTKFSDLPRDISQQIFDELVYSQRLTLKSLEAFRDCAIQDLCLGEYPGVNDDWMDVISSQSTSLLSVDFSGSDITDSGLVSLKGCTNLESLNFNFCDQISNRGLEHLSGLSNLTSLSFRRNAAITAQGMRALSNLVNMKKLDLEKCPGIHGGLVHLRGLTKLESLNIKWCNCITDADMEPLSELTNLRSLQICCSRITDIGISYLKGLNKLNLLNLEGCRHVTAACLDTLTALTGLMFLNLNRCNFSDSGCEKFSDLINLKILNLGMNSITNSCLVHLRGLTKLESLNLDSCRIGDEGLVHLSGMLELKSLELSDTEVGSNGLRHLSGLSNLESINLSFTVVTDSGLRKLSGLTSLRTLNLDARHVTDAGLSALTSLTGLTHLDLFGARITDSGTNHLRNLKKLQSLEICGGGLTDTGVKNIKDLSSLTLLNLSQNSNLTDKTLELISGLTGLVSLNVSNSRVSSSGLRHLKPLKNLRSLTLESCKLSANDIRKLQATDLPNLVNFRPE >fgenesh2_kg.1__1727__AT1G15750.2 pep chromosome:v.1.0:1:6638525:6644633:-1 gene:fgenesh2_kg.1__1727__AT1G15750.2 transcript:fgenesh2_kg.1__1727__AT1G15750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wus-interacting protein 1 [Source:UniProtKB/TrEMBL;Acc:D7KDI9] MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRPKAVDILVKDLKVFSTFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPTLRNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGPPNGARAPSPVNNPLLGGIPKAGGFPPLGAHGPFQPTASPVPTPLAGWMSSPSSVPHPAVSAGAIALGGPSIPAALKHPRTPPTNAALDYPSADSEHVSKRTRPMGISDEVNLGVNMLPMSFSGQAHGHTPAFKAPDDLPKTVARTLSQGSSPMSMDFHPIKQTLLLVGTNVGDIGLWEVGSRERLVQKTFKVWDLSKCSMPLQAALVKEPVVSVNRVIWSPDGSLFGVAYSRHIVQLYSYHGGEDMRQHLEIDAHVGGVNDISFSTPNKQLCVITCGDDKTIKVWDAATGVKRHTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNMGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESFIVEWNESEGAVKRTYQGFHKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDTVQLLTAIDGDGGLQASPRIRFNKEGSLLAVSGNENVIKIMANSDGLRLLHTFENISSESSKPAINSIAAAAAAAATSAGHADRSANVVSIQGMNGDSRNMVDVKPVITEESNDKSKIWKLTEVSEPSQCRSLRLPENLRVAKISRLIFTNSGNAILALASNAIHLLWKWQRNERNATGKATASLPPQQWQPASGILMTNDVAETNTTEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMATFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSNVLNVLVSSGADAQLCVWNTDGWEKQRSKVLPLPQGRPNSAPSDTRVQFHQDQAHFLVVHETQLAIYETTKLECMKQWAVRESLAPITHATFSCDSQLVYASFMDATVCVFSSANLRLRCRVNPSAYLPASLSNSNVHPLVIAAHPQEPNMFAVGLSDGGVHIFEPLESESKWGVAPPAENGSASGAATAPSVGASASDQPQR >fgenesh2_kg.1__1728__AT1G15760.1 pep chromosome:v.1.0:1:6655768:6656364:1 gene:fgenesh2_kg.1__1728__AT1G15760.1 transcript:fgenesh2_kg.1__1728__AT1G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWWFSWLSRTNLEPSLIHGYGLSFSQNELEHEDISYFNHEFLQSMGISIAKHRLEILKLARRDRKNSHPLTSRSISRIVVAIKNTGKCFSDHVRAWIRREEEESSSRALVLVPKKTSSSGIGKWRGGFLKRNKRSVMPSNGNGGFEKQERLLLTNGTPCRIDSFSSPMVFDYSFKDEKLSAQDTKWDSMFQNLKPT >fgenesh2_kg.1__1729__AT1G15770.1 pep chromosome:v.1.0:1:6662631:6663917:-1 gene:fgenesh2_kg.1__1729__AT1G15770.1 transcript:fgenesh2_kg.1__1729__AT1G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KDJ3] IKSMKETSFRDLNEIYQIVVAKLQQEDSLPQQKLRPDQFENLKRGKTGLDNMLQFLSLSKSNIKPGLKEKEIIDFLNKQSLRKIVQKGQLSKAQIQPMQQPLSQTVQDQSHDNQTTMQIQSMSKQGAGSRTQQIKQGVVQSLEIGTPGISASPLLQELTSPDENIRYPLTSTCGKSSATELPIERLIRAVKSISPQALSSAVTGSVPGNDSRASVGEDLVAMNKCCLQERNFMMQEGMVASVKRKRQTTPMPLSVASVGGSVGDSYKQFAGL >fgenesh2_kg.1__172__AT1G02580.1 pep chromosome:v.1.0:1:677676:682114:1 gene:fgenesh2_kg.1__172__AT1G02580.1 transcript:fgenesh2_kg.1__172__AT1G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KB98] MEKDNHEDDGEDLPPDINQIKEQIEEERFLHIKKTFELRCIPSVAAHASHHQSFDLNQPLAEDDNEGDNKTLLSRMQNPLHHFSALSDSDTYEDQGCVFNKEAPLFPSVNLPVVEQLPRSLTWVFIKRHLMAESDSVIGKRQIYYLNGEALELSSEEDEEDEEEDEEEAKKEKCEFSKDVDRFIWTVGQDYGLDDLVVQRALAKFLEVEVSDILERYNELKLKNDETVGEASDLTSKTITTAFQDFADRRHCRRCLIFDCHMHEKFEPEFRPSEDKSGLFENEDREPCSEHCYLKVRSVTEADHAVDNDNSISNKNVVSDPNTTMWTPVEKDLYLKGVQIFGRNSCAITLNILRGLKTCLEVYNYMLEQDQCTMSLVLHKTTKTKNQVNKKVSRKGTRSVRKKSRLRKYARYPPALKKTTNGEAKFYKHYSPCTCKSKCGYQCPCLTNENCCEKYCGCPKDCNNRFGGCNCAIGQCTNRQCPCFAANRECDPDLCRSCPLSCGDGSLGEPSEQIQCKNMHFLLKKNKKILIGKSNVHGWGAFTPDSLKKNEFLGEYTGELITHEEANERGRVEDRIGSSYLFTLNDQLEIDARRYGNKFKFLNHSARPNCYAKLMIVRGDQRIGLFAERAIEQNEELFFDYCYGPEHADWSRGREPRKTGASKRSKEARPSR >fgenesh2_kg.1__1730__AT1G15780.1 pep chromosome:v.1.0:1:6668687:6674406:-1 gene:fgenesh2_kg.1__1730__AT1G15780.1 transcript:fgenesh2_kg.1__1730__AT1G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNWRPSLPNGEPAMDSGDWRTQLPPDSRQKIVNKIMETLKKHLPFSGPEGINELRRIAARFEEKIFSGAVNQTDYLRKISMKMLTMETKSQNAAGSSASIPAANNGTSIDSIPTNQGHLLPGSLPTNQSQAPQPLLSQTMQNNSASGMTGSTALPSSMPPVSSITNNNATSVVNQNANMQNVAGMLQDSSGQHGLSSNMFSGSQRQMLGRPHAMSSQQQQQPYLYQQQLQQQLLKQNFQSGNVPNPNSLLPSHIQQQQQNVLQPNQLHSSQQPGVPTSATQPSTVNSAPLQGLHTNQQSSPQLSSQQTTQSMLRQHQSSMLRQHPQSQQASGIHQQQTSLPQQSISPLQQQQTQIMRQQAANSSGIQQKQMMGQHVVGDMQQQHQQRLLNQQNNIMNIQQQQSQQQPLQQPLQQPQQQQKQQPPAQQQLMSQQNSLQATHQQPLGPQSNVAGLQQPQQQMLNSQVGNSSLQNNQHSVHMLSQPTVGLQRTHQAGHGLFSSQGQQSQNQPSQQQMMPQLQSHHQQLGLQQQPNLLQQDVQQRLQASGQVTGSLLPPQNVVDQQRQLYQSQRTLPEMPSSSLDSTAQTESANGGDWQEEVYQKIKSMKETYLPDLNEIYQRVAAKLQQDSLPQQQRSDQFEKLKQFKTMLERMIQFLSVSKSNIMPALKDKVAYYEKQIIGFLNMHRPRKPVQQGQLPQSQMQPMQQPQSQTVQDQSHDNQTNQQMQSMSMQGAGPRAQQSSLPNMQNNVLSSRPGVSASQQNIPSTIPASSLELGQGNALNTGQQVAMGSMQQNTSQQVNNSSASAQSGLSTLQTNVNQPQLSSSLLQHQHLKQQQDQQMTQQFKQQFQQRQMQQQQLQARQQQQQQQLQARQQAAQLQQMNDMNDLTSRQGMNVSRGMFQQHSLQGQRATYPLQQLKPGAVSSPQLLQGASPQMSQQHLSPQVDQKNLSTVNKMGTPLQPANSPFVVPSPSTPLAPSPMQVDSEKPGASSLSMGNIARQQATGMQGVVQSLAIGTPGISASPLLQEFTSPDGNILNPLTITSGKPSATELPIERLIRAVKSSSPQVLSSAVSDIGSVVSMVDRIAGSAPGNGSRASVGEDLVAMTKCRLQARNFMTQEGMMATKKMKRHTTAMPLSVASLGGSVGDNYKQFASSETSDLESTATSDGKKARTETEHALLEEIKEINQRLIDTVVEISDDEDAADPSEGAISSIGCEGTTVRFSFIAVSLSPALKAHLSSTQMSPIQPLRLLVPCSYPNGSPSLLDKLPVETSKENEDLSSKAMARFNILLRSLSQPMSLKDIAKTWDACARAVICEYAQQFGGGTFSSKYGTWEKYVAAS >fgenesh2_kg.1__1735__AT1G15820.1 pep chromosome:v.1.0:1:6687269:6688684:-1 gene:fgenesh2_kg.1__1735__AT1G15820.1 transcript:fgenesh2_kg.1__1735__AT1G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KDJ9] MAVSGAVLSGLGSSFLTGGKRSSTALGNGVGTGAQRVGRKTLIVVAAAAQPKKSWIPAVKGGGNFLDPEWLDGSLPGDYGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGVPWFEAGAQPEAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSRTAENFANYTGDQGYPGGRFFDPLGLAGKNRDGVYEPDVEKLERLKLAEIKHSRLAMLAMLIFYFEAGQGKTPLGALGL >fgenesh2_kg.1__1736__AT1G15825.1 pep chromosome:v.1.0:1:6688989:6689366:1 gene:fgenesh2_kg.1__1736__AT1G15825.1 transcript:fgenesh2_kg.1__1736__AT1G15825.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KDK0] MSGFGVTGIGLSGIVGMDSIGGANDVTGGCHGFVTSGGVVKTGVWGGKSPRAGGVENTGTVGGSCTVGDNVKTGGIS >fgenesh2_kg.1__1737__AT1G15840.1 pep chromosome:v.1.0:1:6692174:6693118:1 gene:fgenesh2_kg.1__1737__AT1G15840.1 transcript:fgenesh2_kg.1__1737__AT1G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KDK2] EVVTITAEVVSGGGGDGTKGGGNTITGGGGEGKKKNGGGEGGGGEGTSGEGGGGGGDGTKGGGDGTSGGRGGDGLGRSGGGGDGTKGGGRRGDGLGRGLGRGGGRGGWKGRKGFSGEGVVGKG >fgenesh2_kg.1__1741__AT1G15880.1 pep chromosome:v.1.0:1:6714594:6716376:-1 gene:fgenesh2_kg.1__1741__AT1G15880.1 transcript:fgenesh2_kg.1__1741__AT1G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPSSWDALRKQARKIEAQLDEQMHSYRRLVSTKALSKSDGSETDLEAGIDLLLRQLQQVNAQMQAWVSSGGSEMVSHTLTRHQEILQDLTQEFYRHRSSLRAKQEHASLLEDFREFDRTRLDLEDGYGSEQSLIKEHMGINRNTAQMDGVISQAQATLGTLVFQRSTFGGINSKLSNVASRLPTVNTILAAIKRKKSMDTIILSLVAAVCTFLIFIYWITK >fgenesh2_kg.1__1743__AT1G15910.1 pep chromosome:v.1.0:1:6730490:6734225:1 gene:fgenesh2_kg.1__1743__AT1G15910.1 transcript:fgenesh2_kg.1__1743__AT1G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XH/XS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KDL2] MGISSDEESEISESEIDDYSETPYLLLRNGSYKVKVNGQLRCPFCSGKKKQDYKYKELYAHATGVSKGSASRSAKQKANHLALAKFLENELAGYAEPVPRPPVVPPQFDETEPNPHDVYVWPWMAIVLNPLKETDDKELLLDSAFWLKTLSKFKPIEVNAFWLEQDSIVGVIAKFHSDWSGFASATELEKEFETQGSSKKEWTERSGDSESKAYGWCARAEDFNSQDPIGEYLSKEGQLRTVSDVLQEKVQDRNTVLDELSNMIAMTNEDLNKVQYSYNKTAMSLQRVLDEKKSLHEAFADETKKMQQMSLRHIQKILYDKERLSDELDRKMRDLESRAKQLDKQEALTELEKQKLDEDKRKSDAMNKSLQLASREQKKADESVLRLVEEHKRQKEDALNKILLLEKQLDTKQTLEMEIQELKGKLQVMKHLGDDDDEAVKKKMQEMNDELKEKKSELEGLEEMNSVLMTKERESNDEIQAARKKLIQGLTGLLGAETDIGVKRMGELNEKPFLDVCKLRYSANDATVEAATLCSTWQENLKNPSWQPFKREGTGDRAKEMVDEDDEQLKKLKGEWGEEVHNAVKTALGEMNEYNASGRYTTPELWNFKEGRKATLKEVITFISTEIKSLKRKRT >fgenesh2_kg.1__1748__AT1G15950.1 pep chromosome:v.1.0:1:6744230:6747533:1 gene:fgenesh2_kg.1__1748__AT1G15950.1 transcript:fgenesh2_kg.1__1748__AT1G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDEASPAGKTVCVTGAGGYIASWIVKILLERGYTVKGTVRNPDDPKNTHLRELEGAKERLILCKADLQDYEALKAAVDGCDGVFHTASPVTDDPEQMVEPAVNGAKFVINAAAEAKVKRVVITSSIGAVYMDPNRDPEAVVDESCWSDLDFCKNTKNWYCYGKMVAEQAAWETAKEKGVDLVVLNPVLVLGPPLQPTINASLYHVLKYLTGSAKTYANLTQAYVDVRDVALAHVLVYEAPSASGRYLLAESALHRGEVVEILAKLFPEYPLPTKCKDENNPRAKPYKFTNQKIKDLGLEFTSTKQSLYDTVKSLQEKGHLAPPPPSTSQGSIENGIKIGS >fgenesh2_kg.1__1750__AT1G15970.1 pep chromosome:v.1.0:1:6751729:6754253:-1 gene:fgenesh2_kg.1__1750__AT1G15970.1 transcript:fgenesh2_kg.1__1750__AT1G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyladenine glycosylase family protein [Source:UniProtKB/TrEMBL;Acc:D7KDL7] MSVPPRFRSVNSDEREFRSVLGPTGNKLQRKPPGMKLEKPMIEKKTIIESKDEETKKPTTPASPRTTLKQCSSLCSSILRKNSASMTASYSSDASSSCESSPLSVASSSSCKKVMRRSGSVSSTRKLSIGKEEDKVAGDCFADGRRRCAWITPKADPCYVAFHDEEWGVPVDDDKKLFELLCLSGALAELSWTDILSRRQLLREVFMDFDPVAVSEMNDKKLTAPGTAAISLLSEVKIRSILDNSRHVRKIIAECGSFKKYMWNFVNNKPTQSQFRYQRQVPVKTSKAEFISKDLVRRGFRSVSPTVIYSFMQAAGLTNDHLIGCFRFQDCCVDAETTTTTTKAKKKNEREGDK >fgenesh2_kg.1__1751__AT1G15980.1 pep chromosome:v.1.0:1:6754752:6756839:1 gene:fgenesh2_kg.1__1751__AT1G15980.1 transcript:fgenesh2_kg.1__1751__AT1G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLLPKPISPFFKTPPFSTSKQLVFLNFQTRLTSRSSDVSVNLKKKNNPWLDPFDSGEDPENEYGSLFVDGKQDEDPRPPDNPDNPYGFLKFPKGYTVELASLPLKIRGDVRRCCCVISGGVYENLLFFPTIQLIKDRYPGVQVDILTTDRGKQTYELNKNVRWANVYDPDDHWPEPAEYTDMIGILKGRYYDMILSTKLAGLGHAAFLFMTTARDRVSYIYPNVNSAGAGLMLSETFTAENANLSELGYSMYDQMEDWLGRPFRSVPRTPVLPLRVSISRKLKVVVAEKYRNAGAATGKFIVIHGIESDSKASMQSKGDSDSLLSLEKWAKIIKGIRGFKPVFVIPHEKEREKVEDFVGDDTSIVFITTPGQLAALINDSAGVIATNTAAIQLANARDKPSIGLFSSEEKGKLFVPYAEEKSNCVIIASKTGKLADIDIGTVKNAMQIFEGSLALV >fgenesh2_kg.1__1753__AT1G16000.1 pep chromosome:v.1.0:1:6759978:6760746:1 gene:fgenesh2_kg.1__1753__AT1G16000.1 transcript:fgenesh2_kg.1__1753__AT1G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KDM0] MGNETKTNNGGAASMAGGGGFRAKMEHYVYSGERKHVLVGIGIVTIIFGVPWYVMTQGSKHQSHQDYMDKADKARKARLSSS >fgenesh2_kg.1__1754__AT1G16010.1 pep chromosome:v.1.0:1:6760768:6763272:-1 gene:fgenesh2_kg.1__1754__AT1G16010.1 transcript:fgenesh2_kg.1__1754__AT1G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELKERLLPPRPASAMNLRDTTVTRPSASGRAPLLGVDVLGLKKRGQGLRSWIRVDTSGNTQVMEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDNYVLRYVVELQQRLKTSSVGEMWQQENAQLSRRRSRSFDNAFENSSPDYLPFEFRALEIALEAACTFLDSQASELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMEGSLYGDQSLLGYRSNDGLSVSAPVSPVSSPPDSRRLDKSLSIARSRHDSARSSEGVTENIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFEIDFFNQPGAFRWVLIITGVCGFVIFSAFVWFFKYRRLMPL >fgenesh2_kg.1__1757__AT1G16020.1 pep chromosome:v.1.0:1:6763664:6766744:1 gene:fgenesh2_kg.1__1757__AT1G16020.1 transcript:fgenesh2_kg.1__1757__AT1G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSSGDESLRLCAFDLRRGQTEGQELDKILFFYPAELDLSTQLSVIGLSEGLITFTRLFSPEAACEVIEAERHSHVFYEAEPDIWMVMVVEKNKETGAIWRIDALRRVLKEVHSLFVMFHGSIRALIEKEPTGGLTRSLLYPFITDYLSTFQKWSLSEDCCCDFFVGKKLQLPTFRETLRERGTVQMLTLARDIAVEVQSLVQVLDSCAGSLRCHSMILFQDLLVSTTLSADDTVDLFTFAVMRLTSKALSSDASSWSYLLKGSGSSEISSRSTLAPIGPIDSLHSRNGNDMHHVIRPLQNEKWTKGKDGFLITDIWGLETGGSPDSAIPTIWLQQTQERMYLLAYQHKSLTLLLLMPTNAIVNGDLSVSAVKQQVIEDASLRILKIEEKISRGWGGENTYHIKGYRYLVVDSDMEVSRASPSGKVTTLAKESLLALNKLREEVDLEKSRAKRQEKDMEICIRAKNNVWVIARVTRGKELYMALEKGSDTLLDTTDAVGRFSNRYCSGAFLMD >fgenesh2_kg.1__1758__AT1G16030.1 pep chromosome:v.1.0:1:6767700:6769960:-1 gene:fgenesh2_kg.1__1758__AT1G16030.1 transcript:fgenesh2_kg.1__1758__AT1G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP70B [Source:UniProtKB/TrEMBL;Acc:D7KDM4] MATKSDKAIGIDLGTTYSCVGVWMNDRVEIIPNDQGNRTTPSYVAFTDTERLIGDAAKNQVALNPQNTVFDAKRLIGRKFSDPSVQSDLVHWPFKVASGPGDKPMIVVSYKNEEKMFSPEEISSMVLVKMKEVAEAFLGHTIKNAVVTVPAYFNDSQRQATKDAGAISGLNVLRIINEPTAAAIAYGLDKKGTKAGEKNVLIFDLGGGTFDVSLLTIEEGVFEVKATAGDTHLGGEDFDNRLVNHFVAEFKRKHKKDISGNARALRRLRTACERAKRTLSSTAQTTIEIDSLHEGIDFYATISRARFEEMNMDLFRKCMDPVEKVLKDAKIDKSSVHDVVLVGGSTRIPKIQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGSDKVQDLLLLDVAPLSLGIETAGGVMSVLIPRNTTVPCKKEQVFSTYADNQPGVLIQVYEGERARTKDNNLLGTFELKGIPPAPRGVPQINVCFDMDANGILNVSAEDKTAGVKNQITITNDKGRLSKEEIEKMVQDAEKYKAEDEQVKKKVEAKNSLENYAYNMRNTIKDEKLAQKLDQEDKQKIEKAIDETIEWIEGNQLAEVDEFEYKLKELEGICNPIISKMYQGGAGGPTGGMPTDGGFSNSGGAGGPKIEEVD >fgenesh2_kg.1__175__AT1G02630.1 pep chromosome:v.1.0:1:695602:697254:-1 gene:fgenesh2_kg.1__175__AT1G02630.1 transcript:fgenesh2_kg.1__175__AT1G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEKVSVDEVETRDAYRVAYVIHFLLGAGSLIPWNALITAVDYFGYLYPDKHVEKTFTVAYMSCSVLVLVLMMTWNTRLSYRVRMNLGFSMFIIAMMISPFIDWVWKGEKGENVSYKLMVGSVVICGLADGLVGGSLIGSAGKLPRQYMQAIFAGTASSGIIISLLRIATKASLPQTPQGMRTSAHSYFIVSSTILVCCFICCNVLHKLPVMQQHLKFHQPLHSTLTIWMVGRKIKWPASGMLIIYTVTLSIFPGFIAENLKSQLLQSWYPILLITVYNISDFVGKSLTALYVWQSIKSATWACIVRLLFYPLFSACLRGPQWLRTEVPVVVLTFMLGLTNGYLTSVLMIMAPKTVHASEAELAAIFMVVFLGLGLVCGSVIGWLWLI >fgenesh2_kg.1__1760__AT1G16060.1 pep chromosome:v.1.0:1:6777947:6781250:1 gene:fgenesh2_kg.1__1760__AT1G16060.1 transcript:fgenesh2_kg.1__1760__AT1G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITRKSKKTSVENETGDDQSATSVVVKAKRKRRSQPRDAPPQRSSVHRGVTRHRWTGRYEAHLWDKNSWNETQSKKGRQGAYDEEDAAARAYDLAALKYWGRDTILNFPLCNYEEDIKEMESQSKEEYIGSLRRKSSGFSRGVSKYRGVAKHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAIAYDIAAIEYRGLNAVTNFDISRYMKLPVPENPIDAANNLLESPHSDSSPFINPTHESDLSQSQSSSDDNDDRKTKLLKSSPLNAEEVIGPSTPPEIAPPRRSFPEDIQTYFGCQNSGKLTTEEDDVIFGDLDSFLTPDFYSELNDC >fgenesh2_kg.1__1762__AT1G16070.1 pep chromosome:v.1.0:1:6781385:6783443:-1 gene:fgenesh2_kg.1__1762__AT1G16070.1 transcript:fgenesh2_kg.1__1762__AT1G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRKENDLLEENKENVDTVSRSFSTKKVEDKENVSPEKVSTSVETRKQDRALKSQSMKGNSGFPTEVTNFKSFSTGGRTALKQTSLQACMQKNSEVDKGSFGMKTWTSVDSEHSSSLKVWEFSDSEAAPASSWSTLPNRALLCKTLPLDVGRCTCLIVKEQSPEGLSGGSLYSLYTHEGRGRKDRKLAVAFHRRRNGKSIFRVAQNVKGLLCSSDESYVGSMTANLLGSKYYIWDKGVRVGSVGKMVKPLLSVVIFTPTITTWTGSYRRIRALLPKQQPMQKNNNKQVQQASKLPLDWLENKEKVQKLCSRIPHYNKITKQHELDYRDRGRTGLRIQSSVKNFQLTLTENPRQTILQMGRVDKARYVIDFRYPFSGYQAFCICLASIDSKLCCTV >fgenesh2_kg.1__1764__AT1G16080.1 pep chromosome:v.1.0:1:6783838:6785574:1 gene:fgenesh2_kg.1__1764__AT1G16080.1 transcript:fgenesh2_kg.1__1764__AT1G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASSTLFAVSCSASARFSFLRHSESLKPSVSRARFAAPMAMAAASAATAKKLAPAVIVGGGRVGRALQEMGNGEDLLVKRGEAVPVDFEGPILVCTRNDDLDAVLEATPQSRWKDLVFFQNGMMEPWFESKGLGDTDQVLAYFAVSKLGEPPVDGKTDTNPEGLTAAYGKWASEIAARLQSGGLSCKVLDKEAFQKQMLEKLIWICAFMLVGARHPGASVGTVEKEYRDEVSILIQELAAAAAAEKGLTFEENMVERLCAYSRAVSHFPTAVKEFKWRNGWFYSLSEKAIAEGKPDPCPLHTEWLKELKVI >fgenesh2_kg.1__1765__AT1G16090.1 pep chromosome:v.1.0:1:6785802:6787332:1 gene:fgenesh2_kg.1__1765__AT1G16090.1 transcript:fgenesh2_kg.1__1765__AT1G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRESFSICALLSLLVLLIHCSIAATTQDLTVLTIPYPFGIGKDCYLDNNEWYEVICNRTSGNPVPILNSINRELVNISLPDDSSDSFGLIRIKNPVTSSGCSNMEELSLVLNVTRSPFFLTGHNTLVAVGCNNKASMTDVKQQIGGCESTCDLWFGQRGQNTSCNGYRCCQAKIPSDPLMVTQRNQLDADEAYSPLNITEPELFYDKGYATVELGWFIDRLHNMSVDTGVCYSITEGTHGWSYSNYEACICRYGKYLERSYRSCRCNSGYRGNPYLPSGCTVSILMSVKKPKLKGETIVGKGMIVRIYQETLVVNPTKTKD >fgenesh2_kg.1__1766__AT1G16110.1 pep chromosome:v.1.0:1:6787946:6790332:1 gene:fgenesh2_kg.1__1766__AT1G16110.1 transcript:fgenesh2_kg.1__1766__AT1G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKTYNFLCIIELSVLTLQLINGSSSAATPPPPDVSIPFPFGIGRDCYLNGWYEVVCNTTTSGSSGTTVPFLSRINSEVVNISLPDGNKPYGVVHIKGPLTSLGCSSSSTSQVSEMSLPNLNVTGRGSPYFFTDENRLVVVGCGTKALMTDIESEILGCESSCEASKSSEEVTNSICDGYKCCQARIPLERPQVIGISIEKTDGTRGKGCSVAFLTNKRYTPINVTEPERFHAGGYAVVELGCYFDTSDSRFRNPLGCRNMTRYSSYSSFDSCSCEYDYFSGISYRICYCNCGYTGNPYLRHGCIDIDECEGHHNCGEGTCVNMPGSYSCEPKITKPEKASVIQGVLIGLGVLFFILGILRVYKFSKKRRRIIRSKNFFKRNGGLLLKQQLTTSKHGKVEMSRIFSSKELKKATDNFSMNRVLGQGGQGTVYKGMLVDGRIVAVKRSKVVGEDKMEEFINEVVLLSQINHRNIVKLMGCCLETEVPILVYEYIPNEDLFKRLHEKSESNDYTMTWEVRLRIAIEIAGALSYMHSAASFPIYHRDIKTTNILLDEKYRARVSDFGTSRSITIDQTHLTTLVAGTFGYMDPEYFLSSQYTDKSDVYSFGICPESGVKKEEDWQLISLRP >fgenesh2_kg.1__1768__AT1G16150.1 pep chromosome:v.1.0:1:6794228:6796666:1 gene:fgenesh2_kg.1__1768__AT1G16150.1 transcript:fgenesh2_kg.1__1768__AT1G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTETQNILCIPLVIFVLSLFINGVSSARKPPDLCNRVCGGISIPFPFGIGGKECYLNPWYEVVCNSTTSVPFLSRINRELVNISLPDSTEYYSNGVVHIKGPVTSSGCSTGRSQPLTPQPLNVAGLGSPYFLTDKNLLMAVGCNVKAVMSDIKSQIIGCESSCDEKNSSSQPVRNKICSGSKCCQTRIPEGQPQVIGVNIEIPEGKNTTEGGCRVAFLTSKKYSTFNITEPEEFHSDGYAVVELGWYFDTSDPRVLSPIGCKNVSDASQDGWYGSETMCVCSYGYFSGFSYRSCYCNSMGYAGNPFLPGGCVDIDECKLEEGRQRCKDQSCVNKPGWFTCEPKKPGQLKPVFQGKSQRNLSVVLKILLIWSVLYMLFFCWCRWSYRFGTVAFRLWNFWVVQFFRRNGGMLLKQQLARKEGNVEMSKIFSSNELEKATDNFNKNRVLGQGGQGTVYKGMLVDGRIVAVKRSKAMDEDKVEEFINEVVVLAQINHRNIVKLLGCCLETEVPVLVYEFVPNGDLCKRLRDESDDYTMTWEVRLHIAIEIAGALSYLHSAASFPIYHRDIKTTNILLDEKYQAKVSDFGTSRSVTIDQTHLTTHVAGTFGYVDPEYFQSSKFTDKSDVYSFGVVLVELITGDKPSSRVRSEENRGFAAHFVAAVKENRVLDIVDERIKDECNLDQVMAVAKLAKRCLNRKGKKRPNMREVSIELEGIRSSPCNSEIHNDDDDDEEDQAMEINIDETWEVGMTAPASMFNNRSPATDVEPLVPLRTW >fgenesh2_kg.1__1769__AT1G16170.1 pep chromosome:v.1.0:1:6800552:6801600:-1 gene:fgenesh2_kg.1__1769__AT1G16170.1 transcript:fgenesh2_kg.1__1769__AT1G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDGLVSSPLRRQQCLKKQWDELGSWSTLIQRHQYLLTALALLAFLCTVYLYFAVTLGASHSSCYGLTGKDKAMCQLQHVQAISKGKLKFF >fgenesh2_kg.1__176__AT1G02640.1 pep chromosome:v.1.0:1:698975:702489:1 gene:fgenesh2_kg.1__176__AT1G02640.1 transcript:fgenesh2_kg.1__176__AT1G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAAILFFLISSSSVCVQSRETFACDIKDAATATLRFCQLSVPITERVKDLIGRLTLVEKVSLLGNTAAAIPRLGIKGYEWWSEALHGVSNVGPGTKFGGVYPAATSFPQVITTVASFNASLWESIGRVVSNEARAMYNGGVGGLTYWSPNVNILRDPRWGRGQETPGEDPVVAGKYAASYVRGLQGNDRSRLKVAACCKHFTAYDLDNWNGVDRFHFNAKVSKQDIEDTFDVPFRMCVKEGNVASIMCSYNEVNGVPTCADPNLLKKTIRNEWGLNGYIVSDCDSVGVLYDTQHYTGTPEEAAADSIKAGLDLDCGPFLGAHTIDAVKKNLLRESDVDNALINTLTVQMRLGMFDGDIAAQPYGHLGPAHVCTPVHKGLALEAAQQGIVLLKNHGSSLPLSSQRHRTVAVIGPNSDATVAMIGNYAGIACGYTSPVQGITGYARTVHQKGCVDVHCMDDRLFDAAVEAARGADATVLVMGLDQSIEAEFKDRNSLLLPGKQQELISRVAKAAKGPVILVLMSGGPIDISFAEKDRKIPAIVWAGYPGQEGGTAIADILFGSANPGGKLPMTWYPQDYLTNLPMTEMSMRPIHSKRIPGRTYRFYDGPVVYPFGHGLSYTRFTHSIADAPKVIPIAVRGRNGTVSGKSIRVTHARCNRLSLGVHVDVTNVGSRDGTHTMLVFSAPPGGEWAPKKQLVAFERVHVAVGEKKRVQVNIHVCKYLSVVDRAGNRRIPIGDHGIHIGDESHTVSLQASTLGVIKS >fgenesh2_kg.1__1770__AT1G16180.1 pep chromosome:v.1.0:1:6802669:6805067:1 gene:fgenesh2_kg.1__1770__AT1G16180.1 transcript:fgenesh2_kg.1__1770__AT1G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMS membrane family protein [Source:UniProtKB/TrEMBL;Acc:D7KDN6] MFAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLIVSWILREVAAPLMEKLPWINHFHKTPDREWFETDAVLRVSLGNFLFFSILSVMMIGVKNQKDPRDGIHHGGWMMKIICWCILVILMFFLPNEIISFYESMSKFGAGFFLLVQVVLLLDFVHGWNDTWVGYDEQFWYAALLVVSLVCYLATFVFSGLLFHWFTPSGHDCGLNTFFIIMTLIFVFVFAVVVLHPAVGGSILPASVISLYCMYLCYSGLASEPRDYECNGLHKHSKAVSTGTMTIGLLTTVLSVVYSAVRAGSSTTLLSPPDSPRAEKPLLPIDGKAEEKEEKENKKPVSYSYAFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRVVTSWATAGLFIWSLVAPILFPDREF >fgenesh2_kg.1__1772__AT1G16210.1 pep chromosome:v.1.0:1:6808373:6809902:-1 gene:fgenesh2_kg.1__1772__AT1G16210.1 transcript:fgenesh2_kg.1__1772__AT1G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGLNSKAEVAKSRKNAAEAEQKDRQTREKEEQYWREAEGPKSKAAKKREEEAEKKAETAAKKAEAKRLAEQEEKELEKALKKPDKKANRVTVPVPKVTEAELIRRREEEQVALAKKAEDSKKKQTRMAGEDEYEKMVLVTNTNRDDSLIEAHTVDEALARITVSDNLPVDRHPEKRLKASFKAYEEAELPRLKEEKPGLTHTQYKDLIWKMWKKSPDNPLNQAAAAANE >fgenesh2_kg.1__1775__AT1G16240.3 pep chromosome:v.1.0:1:6813849:6819200:-1 gene:fgenesh2_kg.1__1775__AT1G16240.3 transcript:fgenesh2_kg.1__1775__AT1G16240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDSWMREYNDALKLSEEINGMISERSSSAVTGPDAQRRASAIRRKITIFGTRLDSLQSLLAKIHGKPISEKEMNRRKDMVGNLRSKANQMANALNMSNFANRDSLLGPEIKPDDSMSRVTGMDNQGIVGYQRQVMREQDEGLEQLEGTVMSTKHIALAVSEELDLQTRLIDDLDYHVDVTDSRLRSAEEPCCHEQEYEKWLLLHVNALVSAGDRRSCCCNMDVG >fgenesh2_kg.1__1778__AT1G16270.1 pep chromosome:v.1.0:1:6826152:6830703:1 gene:fgenesh2_kg.1__1778__AT1G16270.1 transcript:fgenesh2_kg.1__1778__AT1G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KDP4] MDRNRPPHPFQQHAMEPGYVNDSVPQGFTPDQTGISNANVRPHPADVKPGLHYSIQTGEEFSLEFLRDRVISQRSANPISAGDMNYPIGYNGHAGSEFGSDVSRMSMVGNGLTQYERTNPTGHEFGNKLGHIHSAPEALLCQDRSLGNFHGYASSSASGSLTAKVKVLCSFGGKILPRPGDSKLRYVGGETHIISIRKDISWQELRQKVLEIYYRTHVVKYQLPGEDLDALVSVSCDEDLLNMMEEYNEMENRGGSQKLRMFLFSVSDLDGALLGVNKNDVDSEFQYVVAVNDMDLGSRSNSTLNGLDSSSANNLAELDVRNTEGINGVGPSQLTGVDYQQSSIQYSESAPPTSFAQYSQSIPHNAAFQFQQAVPPNATLQYAQSNPPSSSIHYPQSILPNSTLQYPQSISSGSYGIYPQYYGEREQFPMQHHDPNSSNYSIPMPFQGQPYPHPGITQQNAPVQVEEPNIKPETKVRDYVEPENRQILANNHQNLPQADDTEVKNREASVATTVPSQDAAHMLPPRRDTRQNNPVKPSTYRDAVITEQVPLSGEDDQLSSSSGTCGLVHTDSESNLIDLDYPEPLQPTRRVYRSERIPREQLEMLNRLSKSDDSLGSQFLMSHSQASTGQQEPAKEAAGKSHEDSHIVNDVENISGKVGASNVTVDKITVNGGGIEPEARNLSHVDTEMSHDIPEKQTSSGVLIDINDRFPQDFLSEIFAKALSDDMPPGGNPYQHDGAGVSLNVENHDPKNWSYFRNLAEEQFSERDVAYIDRTPGFPSDMEDGGEIARLHQVAPLTENRVDPQMNVTESEEFGAMVENLRTSDCEHEDEKTETRNAGLPPVGPSLADYDTSGLQIIMNDDLEELKELGSGTFGTVYHGKWRGSDVAIKRIKKSCFAGRSSEQERLTGEFWGEAEILSKLHHPNVVAFYGVVKDGPGATLATVTEYMVDGSLRHVLLRKDRHLDRRKRLIIAMDAAFGMEYLHAKNIVHFDLKCDNLLVNLKDPSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPSYCDSDWRILMEECWAPNPTARPSFTEIAGRLRVMSTAATSNQSKPPAHKASK >fgenesh2_kg.1__1779__AT1G16280.1 pep chromosome:v.1.0:1:6830820:6832857:-1 gene:fgenesh2_kg.1__1779__AT1G16280.1 transcript:fgenesh2_kg.1__1779__AT1G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRSEDEGGITIMAKSRKNPKTVVQIQSQKLDSDQNAPQFEKFTNPNPSPVANFEGLGLAEWAVETCKELGMRKPTPVQTHCVPKILAGRDVLGLAQTGSGKTAAFALPILHRLAEDPYGVFALVVTPTRELAFQLAEQFKALGSCLNLRCSVIVGGMDMLTQTRSLVSRPHIVITTPGRIKVLLENNPDVPPVFSRTKFLVLDEADRVLDVGFQDELRTIFQCLPKSRQTLLFSATMTSNLQTLLEHSSNKAYFYEAYEGLKTVDTLTQQFIFEDKDAKELYLVHILSQMEDKGIRSAMIFVSTCRTCQRLSLMLDELEVENVAMHSLNSQSMRLSALSKFKSGKIPILLATDVASRGLDIPTVDLVINYDIPRNPRDYVHRVGRTARAGRGGLAVSIITETDVKLIHKIEEEVGKKMEPYNNKIITDSLEVTKVSKAKRVAMMRMLDNGFEDKVKDRRKLKRKTLADKGLLKKRSKRQKSTEN >fgenesh2_kg.1__177__AT1G02650.1 pep chromosome:v.1.0:1:703482:705257:1 gene:fgenesh2_kg.1__177__AT1G02650.1 transcript:fgenesh2_kg.1__177__AT1G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KBA4] MAIHPWWKRNRKKVDKYMKNAKDLITSQDPNDIVSALSLLNLTLSISPHHELALELKARSLLYLRRFEDVAVLLHDYIPSLRIDNEDVSSVVVASSELSSLRPLLPSGSPSHDSSFKCFSYSYLKKKVMAGLSNNSEVQGQWRYLVLGQACYHLGLMDDAMILLQTGKRLATAELRRESICWSDDSFILFTSESQPRPFTESEIVSQMLSQIKLFLRRRTAALAALDAGLYSESIRHFSKIIDSRRGAPHSFLVDCLIRRASAYKSASRIADSIADCNLTLALDPSCLEALETRAELFRSIRCFPDSLHDLEHLKLLFNSILRDRSLTGPVWKRHNVRYREIPAKLCELTSNIKQMKEKITNGKNGNEDYYSLMGIERGCSRSELNRAYLLLNLRHKSERSMTSIDRFDIIDEEELASVKNRARMSTLLLYRLIQKGYYAVMSDIETVEAVKAVIDNRRIETPMDGNKAVAVAVVRKSNVVKGVFCRDMVAVGTLISRAGLRQPITV >fgenesh2_kg.1__1781__AT1G16300.1 pep chromosome:v.1.0:1:6837031:6840145:1 gene:fgenesh2_kg.1__1781__AT1G16300.1 transcript:fgenesh2_kg.1__1781__AT1G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7KED9] MAFSSLLRSAATSAAAPRFQLYPSSSYDHSQVTSSLGFTHNLASSRFSGAAVSTGKCNAKSVQPIKATATEAPPIVHRSRSSGKTKVGINGFGRIGRLVLRIATFRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGNYKGTINVIDDSTLEINGKQVKVVSKRDPAEIPWADLGAEYVVESSGVFTTVGQASSHLKGGAKKVIISAPSADAPMFVVGVNEKTYQPNMDIVSNASCTTNCLAPLAKVVHEEFGILEGLMTTVHATTATQKTVDGPSMKDWRGGRGASQNIIPSSTGAAKAVGKFLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKDASYEDVKAAIKFASEGPLRGILGYTEEDVVSNDFLGDSRSSIFDANAGIGLSKSFMKLVSWYDNEWGYSNRVLDLIEHMALVAASC >fgenesh2_kg.1__1782__AT1G16310.1 pep chromosome:v.1.0:1:6841215:6843537:1 gene:fgenesh2_kg.1__1782__AT1G16310.1 transcript:fgenesh2_kg.1__1782__AT1G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:UniProtKB/TrEMBL;Acc:D7KEE0] MATEHIVRTKDEYNVELLPSDDDAPPLQSSWRLNLEAFQLPSSPPSSIGGRHDARTRFSRYFRTPRKERRVSEYYKKQERLLEGFNEMETIHETGFASGVPTEEEMKKLAKSERLAVHISNATNLVLFVAKVYASMESRSMAVIASTLDSLLDLLSGFILWFTANAMRKPNQFHYPIGKRRMQPVGIIVFASVMATLGLQVLLESGRQLVAKSGIHMNSTEEKWMIGIMVSVTIVKFLLMLYCRGFQNEIVRAYAQDHLFDVVTNSIGLATAVLAVKFYWWIDPSGAILIALYTIATWARTVLENVHSLIGRSAPPEFLAKLTFLIWNHHEQIKHIDTVRAYTFGSHYFVEVDIVLPEDMRLQEAHNIGETLQEKLEQLAEVERAFVHIDFEFTHRPEHKCN >fgenesh2_kg.1__1783__AT1G16320.1 pep chromosome:v.1.0:1:6843809:6845054:1 gene:fgenesh2_kg.1__1783__AT1G16320.1 transcript:fgenesh2_kg.1__1783__AT1G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPNLSSPSIHLQTGKYPNHKPIFSQSLSSSSSSVSYEFVEDNISTHSLLSIQSPPLKDTQVQTRHSSQDKHNNHDRDEFYINLGVAVRTLREDLPLLFTRDLNYDIYRDDITFVDPMNTFSGIDNYKLIFWALRFHGKILFRDISLEIFRVWQPSENMILIRWNLKGVPRVPWEAKGEFQGTSRYKLDRNGKIYEHKVDNLAFNFPQQLKPAASVLDLVTASPASSPNPTFFFSPVDSYSSSWIRFYQVVRGTLETEDIFVTDSLLTCT >fgenesh2_kg.1__1786__AT1G16360.1 pep chromosome:v.1.0:1:6857806:6859647:-1 gene:fgenesh2_kg.1__1786__AT1G16360.1 transcript:fgenesh2_kg.1__1786__AT1G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEM3 (Ligand-effect modulator 3) family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KEE5] KPNLLAEFASLDSRFTQQELPACKPILTPKWVILTFLVSGVVFIPLGVICLFASQGVIEIVYRYDIDCIPLSSRDNKVRYIQGLEDKRCNRTIMVTKTMKNPVYVYYQLENYYQNHRRYVKSRQDGQLRSPKDEHDVKSCAPEDTIDGEPIVPCGLVAWSLFNDTYDFTRNNQKLPVNKKGISWKSDRESKFGKNVFPKNFQKGSPIGGKSLDPDVPLSEQEDLIVWMRTAALPTFRKLYGKIDTDLQAGDTIKVLLQNNYNTYSFNGKKKLVLSTTSWLGGRNDFLGIAYLTVGSICLFLAVSFSVLYLAKPRQLGDPSYLSWNRSAGGGR >fgenesh2_kg.1__1787__AT1G16370.1 pep chromosome:v.1.0:1:6861813:6863469:1 gene:fgenesh2_kg.1__1787__AT1G16370.1 transcript:fgenesh2_kg.1__1787__AT1G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSEPLLSHFANDSGVNDKTALTFDKIVEQSLSDFGFWQFFQISLVGLALLFDAQQIFITVYTDAYPTWHCLNHTICDPSASDICNLPRSAWEWDGGSKGKSVISEFGLECSRSLLRGMPSSAFYIGAIVGGFFLALIPDDSLGRKKLVLFSTFAMSITSICVIFSTNIWIYTFLKFFIRFSRSQTWSYALVLISERVSTRWRPRATMIPFTLFVLGFMSLSGIAYLAQDSSWRYLYLYTSVPAIFYCIFLYLFALESPRWLHMQGNDKEAIDVLTKMSPKNKAYLESVVSKLPHEQENFEQVPTYSIKDFFFRKWAFQRILVVMIIMFGLGISYYGVPLAARDIDVNIYLSETLNALVELPTFVITPILLERFNRRSSVLVNTLLGGASGVLCFVLSILGTFFCARIGFNLMAVFMVEMFPTCVRSSATMMFRQALVVGGACCPLISSIGRYIPSVSFAIFGIAMSGLGLFVLILPETKGLSLCDSMEEQEKRDQAVNTSHVC >fgenesh2_kg.1__1789__AT1G16390.1 pep chromosome:v.1.0:1:6868257:6872598:1 gene:fgenesh2_kg.1__1789__AT1G16390.1 transcript:fgenesh2_kg.1__1789__AT1G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTRPLLSDSNSSESNLPPPKSLDETIEQCIGNFGWAQFLQAALVSFAWVFDAQQTFITVFTDSQPTWHCTDSDLVDSVCNTSSSNLCTLPNQTWSWDFNPHVSIISEWGLQCAGSFLKGLPASSFFLGCLIGGLVLSTLADSSLGRKNMLLLSCLIMSLSSMLTAFSTSIWVYAFLRFLNGCGRATIGTCALVLSTELVGKKWRGKVGAMGFFCFTLGFLSLPMLGYINNGNSWRYLYVWTSIPTLIYCCLIRIFVRESPRWLIVKGRKEEAVSILQSIASNAITMSFTNLCFDIEEDQSNSNPDVYDALKILVRKSWSFRRLLAAMVVGFGIGMVYYGMPLALTNLNFNLYLGVVFNALSEFPAFLITFFFIDKINRRDALIGFTALSGISSALIAVLGQQLGALQIVLELVSFFSACTAFNMTLIYTIEMFPTCVRNSAIAMVRQALVFGGVFSPVMVAAGRENQFWSYGLFGLVIALCGLFVFGLPETRGSVLCDTMDEEEYKTLAKRQFIG >fgenesh2_kg.1__1793__AT1G16420.1 pep chromosome:v.1.0:1:6884037:6885446:-1 gene:fgenesh2_kg.1__1793__AT1G16420.1 transcript:fgenesh2_kg.1__1793__AT1G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAVLIGINYPGTAVELRGCVNDVRRMQKCLIELYGFANKDITILIDTDKSCIQPTGKNIHDELTRLIASGQSGDFLVFHYSGHGTRIPPGIGELGDSTGFDECITPCDMNLIKDHDFREMVSHVKEGCQLTIISDSCHSGGLIEEVKEQIGESHVKPINEVKEQIDESHVKPPKLGIASYLLSIVMNLLATCGISKSQRDRGGGQESFSGEIELASDYETFDIKTRYLPFESYVSLLKQQTGQTNIESRRIRQTLLKLFGEDSSPIHQRGLSDLGNFDVNAGDSGAPGSIADKGILLSGCQTDQRSEDVYVTRTGKAYGAFSDAIQTILSTPRKEKKKITNKEVVSEARVLLKKRGFSQRPGLYCHDRYVNKPFIC >fgenesh2_kg.1__1794__AT1G16440.1 pep chromosome:v.1.0:1:6887771:6889149:1 gene:fgenesh2_kg.1__1794__AT1G16440.1 transcript:fgenesh2_kg.1__1794__AT1G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSNNSESTSSNNSSKPHTGGDIRWDAVNSLKSRGIKLGISDFRVLKRLGYGDIGSVYLVELKGANPTTYFAMKVMDKASLVSRNKLLRAQTEREILSQLDHPFLPTLYSHFETDKFYCLVMEFCSGGNLYSLRQKQPNKCFTEDAARFFASEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCSVNPTLVKSFNGGGTTGIVEDNAAVQGCYQPSTFFPRMLQSSKKNRKSKSDFDGSLPELMAEPTNVKSMSFVGTHEYLAPEIIKNEGHGSAVDWWTFGIFIYELLHGATPFKGQGNKATLYNVIGQPLRFPEYSQVSSKAKDLIKGLLVKEPQNRIAYKRGATEIKQHPFFEGVNWALIRGETPPHLPEPVDFSCYVKKEKESLPAAATEKKSKTCDEAQSGSDPDYIVFEYF >fgenesh2_kg.1__1795__AT1G16445.1 pep chromosome:v.1.0:1:6889332:6890727:1 gene:fgenesh2_kg.1__1795__AT1G16445.1 transcript:fgenesh2_kg.1__1795__AT1G16445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFFQAEMSMLSSTLARSYSIPIRKTLMTFGFRIAVQRNPCPRIRRSRVAAFSSSPSHSRDFPIRGVEDVFVGYLFGRKKATEVAHVVWEQVIQKGDMVIDATCGNGNDTLAMLKMVMNDSVGCGGYVYAMDIQKDAIESTSSLLDQAVGSKEKECVKLFNICHSKMGEIVPENSRVRMVAFNLGYLPGGNKSIITVSDTTLSALKAAERILMPGGLISLVVYIGHPGGREELEVVEAFGSGLPVSDWVCCKFQMLNRPLAPVLVFMFKREN >fgenesh2_kg.1__1797__AT1G16460.1 pep chromosome:v.1.0:1:6891032:6895644:-1 gene:fgenesh2_kg.1__1797__AT1G16460.1 transcript:fgenesh2_kg.1__1797__AT1G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfurtransferase [Source:UniProtKB/TrEMBL;Acc:D7KEF6] MASSGSGAKVNYATSSISTNEPVVSVDWLHSNLRDADIKVLDASWYMPHEQRNPIQEYQVAHIPGALFFDLDGISDQKTNLLHMLPSEEAFAASCSALGIENKDGVVVYDGMGLFSAARVWWMFRIFGHDKVWVLDGGLPKWRASGYDVESIASSDAILKASAATEAIEKINQGQTISPITFQTKFRSHLVLALDQVKKNIEDKTYQHIDARSKARFDGIAPEPWKGITSGHIPGSKCVPFSQMFDSSQTLLPAEELKKRFEQEDISLDSPIVASCGTGVTACILALGLYRLGKTDVAIYDGSWTEWATVPNLPIVGSSS >fgenesh2_kg.1__1799__AT1G16470.2 pep chromosome:v.1.0:1:6895820:6898353:1 gene:fgenesh2_kg.1__1799__AT1G16470.2 transcript:fgenesh2_kg.1__1799__AT1G16470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:D7KEF7] MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKASNGVVIATEKKLPSILVDETSVQKIQHLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYLRLYKEPIPVTQLVRETATVMQEFTQSGGVRPFGVSLLVAGYDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGFEGEISSKNIEIGKIGADKVFRVLTPAEIDDYLAEVE >fgenesh2_kg.1__1802__AT1G16500.1 pep chromosome:v.1.0:1:6914918:6916023:1 gene:fgenesh2_kg.1__1802__AT1G16500.1 transcript:fgenesh2_kg.1__1802__AT1G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVSSLVRILGMYKDDRTVVKDSTGPRSTVALMTRDLLGSGGCVGGGGGEGDEQSLELDLDLQVPNGWEKRLDLKSGKVYLQQQRTSTSSSSSSHHHHHHHADQTNQTGPRFQDLNVPPVSDKSPTKPLLSLFDDDDDTSLELKLVPSSLSRPLPPPLSSYSPNTSLSYLSSVCTLDKVKSALERAEKDTKKRPSPDDDGVYDGTASATTAAASQVAAGCPGCLSYVFVAKNNPKCPRCHSFVPLPAMKKPKIDLNISI >fgenesh2_kg.1__1803__AT1G16510.1 pep chromosome:v.1.0:1:6921466:6922233:-1 gene:fgenesh2_kg.1__1803__AT1G16510.1 transcript:fgenesh2_kg.1__1803__AT1G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7KEG2] MKHLIRRLSKVADSSSEFSIRRSTSSFRNRRGHHRLHAPPPQWSIYPARRVNTVPAGHVPVYVGEEMERFVVSAELMNHPIFVGLLNRSAQEYGYAQKGVLHIPCHVLVFERVVETLRLGAFEESGEVQDFVASLLSGDELIPETTE >fgenesh2_kg.1__1804__AT1G16520.1 pep chromosome:v.1.0:1:6925409:6927774:1 gene:fgenesh2_kg.1__1804__AT1G16520.1 transcript:fgenesh2_kg.1__1804__AT1G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDQLDFELPEEVLSVIPMDPFEQLDLARKITSMAIASRVSNLDSEVVELRQKLLEKESVVRELEEKSSRLERDCREADSRLKVVLEDNMNLTKEKDSLAKTVTKLTRDLAKLETFKRQLIKSLSDESGPQTEPVDIRTCDQSVPKDHADERTNAHSIKHAYSGSTDLNNPIVEASKYTGNKFSMTPYISPRLTPTATPKIISTSVSPRGYSAAGSPKRTSGAVSPTKATLWYPLSQQSSAANSPPRNRTLPARTPRMDGKEFFRQARSRLSYEQFSSFLANIKELNAQKQTREETLRKADEIFGGDNKDLYLSFQGLLNRNMR >fgenesh2_kg.1__1806__AT1G16540.1 pep chromosome:v.1.0:1:6939559:6945587:1 gene:fgenesh2_kg.1__1806__AT1G16540.1 transcript:fgenesh2_kg.1__1806__AT1G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdenum cofactor sulfurase [Source:UniProtKB/TrEMBL;Acc:D7KEG6] MEAFLKEFGDYYGYPDGSKNIKEIRDTEFKRLDKGVVYLDHAGSTLYSELQMENIFKDFTSNVFGNPHSQSDISSATSDLIADARHQVLEYFNASPEDYSCIFTSGATAALKLVGETFPWTQDSNFLYTMENHNSVLGIREYALAQGASACAVDIEEVANQPGQLTNSGPSIKVKHRAVQMRNTSKIQKEESRGNAYNLFAFPSECNFSGLRFNLDLVKLIKENPEIMLQGSPFSKSKRWMVLIDAAKGCATLPPDLLEYPADFVVVSFYKLFGYPTGLGALLVRNDAAKLLKKTYFSGGTVAASIADIDFVKRRERVEEFFEDGSASFLSIAAIRHGFKLLKSLTPSAIWMHTTSLSIYVKKKLQALQHGNGAGVCVLYGSENLKLSSHRSGPTVTFNLKRPDGSWFGYLEVEKLASLSGIQLRTGCFCNPGACAKYLDLSHSDLFSNVEAGHICWDDNDVINGKPTGAVRVSFGYMSTFEEAQKFIDFIISSFVSPPKKIGNGTVVSGRFSQLPSEELESKESFQSHYLKSITIYPIKSCAGFSVIRWPLCRTGLLHDREWMVQGLTGEILTQKKVPEMSLIRTFIDLEEGLLSVESSRCKDKLHIRIKSDSYNPRSDEFDSHANMLGNHNEETRINHWFTNAIGRQCKLLRYSSSTSKDCLNRNKSPGLCRDLESNINFANEAQFLLISEESVADLNRRLEAKDEDYKRAYEKLNPYRFRPNLVISGGEPYAEDKWRTVKIGDNTFTVSSLGGCNRCQMINISNEAGLVKKSNEPLTTLASYRRVKGKILFGTLLRYEIDEKRQCWIGAGEEVNPDIE >fgenesh2_kg.1__1809__AT1G16560.3 pep chromosome:v.1.0:1:6949771:6952192:1 gene:fgenesh2_kg.1__1809__AT1G16560.3 transcript:fgenesh2_kg.1__1809__AT1G16560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHYWTALFLLLSCLFTISNSSAGDADPDYRTCVSECEISGCVGQLCFPQCNSSSDGGPWYIQEPLYLQWKKWGCQGDCRYQCMVNRERERETLGQAPVKYHGKWPFKRVLGIQEPASVAFSVLNLAMHFHGWLSFFITLYYKLPLKQDRTAYYEYVGLWHIYGFLSMNSWFWSAVFHSRDVDLTERLDYSSAVAVLGFSLILAILRTFDIRVEATRVMVSAPILAFVTTHILYINFYKLDYGWNMIVCVTMGVTQLFLWARWAAVSSHPSNWKLWVVVIAGGLAMLLEIYDFPPYEGYFDAHSIWHAATIPLTILWWSFIRDDAEFRTSSLLKKTKTKAK >fgenesh2_kg.1__1815__AT1G16610.2 pep chromosome:v.1.0:1:6958508:6961527:-1 gene:fgenesh2_kg.1__1815__AT1G16610.2 transcript:fgenesh2_kg.1__1815__AT1G16610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KEH2] MAKPSRGRRSPSVSGSSSRSSSRSRSRSGSSPSRSLSRSRSRSRSRSLSSSSSPSRSVSSGSRSPPPRGKSPAGPARRGRSPPPPPPQSKRASSPSKKAVQESLVLYVDSLSRNVNEGHLKEIFGNFGEVIHVEIAIDRSVNLPKGHAYVEFKARADAEKAQLFMDGGQIDGKVVKATFTLPPRQKVSSPPKPVSTAPKRDAPKSDNAGADTEKDGPRRPRERLSPRRRSPLPRRGLSPRRFPDSPHRRRPGSPIRRRGDTPPRRRPASPSRGRSPSSPPPRRNRSPPSRGSPRRIRGSPVRRRSPPPMRRRSPPPRRLRSPPRRSPIRRRSRSPIRRPGRSRSRSISPRRGRGPAGRRGRSSSYSSSPSPRRIPRKISRSRSPRRPLRGKRSSSNSSSSSSPPPPPPPRKT >fgenesh2_kg.1__1816__AT1G16630.1 pep chromosome:v.1.0:1:6965996:6968724:-1 gene:fgenesh2_kg.1__1816__AT1G16630.1 transcript:fgenesh2_kg.1__1816__AT1G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPARNKISVSPSSLLSSSSPSMPSRPNPKLRNSETGDLMRRSFRGNPFPADSSRRNSIGRECNNRVEFGDKENQHDKDQICNVVKVPTKGSKHFMSPTISAVSKINPSPRKKILSDKNEVSRSFDKTHHQVQVKSSVSFSDVISIIGEDKDHEQTYIDEKKQLHEEESHDITVTDFDETIENKSNDDSSFKISPLPPSVPYTFPVFESHEVDPSVAPYDPKKNYLSPRPQFLHYKPNPRIDHHFDECKQLEELFISESSSSDTDLSAEESQQEEEVSSQEGVVAGEEDAEKIVDVDGDERLEAAVSDDDEEEVVGESIEEEETHQISKLSRFKTSKLLGWILALGVAYLLVVSSTTFAEQNISDSPFYQFHISPEIIMAARANFEQLGAKLRMWAESSLMYLDKLVSSLREEEGYVPFQFHNLTDVLEDKRLSDTVFQPTSGEISVAGFIVDSLEMDIEEETVGHQEPEKEPENSGEISLEAVYEEGDNEGEEVNSEIVDECDEQAEIKIATDTEVNGSERYSESLSEEGNGGQETDVVEGQGEYEENDEKNIQEAVSDAHQLDDVESVAIRGHHQEQTEVANVETVPEEEGVGEIAGSSRSVSEEATDFEHDGNDLEEEESGFAEVVNDAGSEEILLSNQKKVIVLFSTLMVLLAAAAAGFLLSKKKTNPVMLQHEDGEPTTISATKVVEHVPVENLIKERLSSLNFKEEEEVGDDRKREVSSFPSEMSFSFSKNKSLHSCSNNRNDLKEYQSGGEGKKSNDSCESMASSASEYSIGSVSYGSFTTYEKIQTRSGQKEKEMITPVRRSSRIRNNQHSGQ >fgenesh2_kg.1__1817__AT1G16670.1 pep chromosome:v.1.0:1:6983425:6985818:1 gene:fgenesh2_kg.1__1817__AT1G16670.1 transcript:fgenesh2_kg.1__1817__AT1G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSWFSCHRRGKATEVDEEIAAIDNVKIYKYREIRQATDDFSAENKIGEGGFGSVYKGCLKDGKLAAIKVLSAESRQGVKEFLTEINVISEIQHENLVKLYGCCVEGNHRILVYNFLENNSLDKTLLAGGYTRSGIQFDWSSRANICVGVAKGLAFLHEEVRPHIIHRDIKASNILLDKYLSPKISDFGLARLMPPNMTHVSTRVAGTIGYLAPEYAVRGQLTRKADIYSFGVLLMEIVSGRSNKNTRLPTEYQYLLERAWELYERNELVDLVDSGLNGVFDAEEACRYLKIGLLCTQDSPKLRPSMSTVVRLLTGEKDIDYRKISRPGLISDFMDMKVRGPVATKSEQVNRQNYTNPSSSSNASSRDHSNAYSSGASSANAGNTFSSTI >fgenesh2_kg.1__1819__AT1G16690.1 pep chromosome:v.1.0:1:6989991:6993635:1 gene:fgenesh2_kg.1__1819__AT1G16690.1 transcript:fgenesh2_kg.1__1819__AT1G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like protein [Source:UniProtKB/TrEMBL;Acc:D7KEH9] MSRLSFRPRPLDIHKKLPILKSFKDFEDEENPSSITRNSQLLRISAVEVVDNEVQPPVPSKKLVSEIPTPQYLVVDTYERDYSRTFNQPASYLRARGARAELGEFVEYDLDNDDDDWLYEYNKETMILSPEMLEIIIFKLEVLDHKARERAGVITPTLGFPVPVLLQLDAASEALALQSLPIKYGVFRAIYRYWKNKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNAQSFEKLRQVRRNLDQAKTILEALIKREEKKRDFMASEVSLQRIQLKYKNETELLEDSLALAGFPLSTSYRFGSSEDEFMDSDDPTTTQTCTRPSFTPHPRFTDSTLARAQAGSIKQEARRLGWLHKLNHNEPVMLFTKPLVPDKMAAAGIIPPSDARSGRARLQGRIGRGGRIVFDRWNPLNQAHINCGNTFYIAP >fgenesh2_kg.1__181__AT5G61710.1 pep chromosome:v.1.0:1:717526:718284:1 gene:fgenesh2_kg.1__181__AT5G61710.1 transcript:fgenesh2_kg.1__181__AT5G61710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKPSRGMHMFSVVMFMLRRRRRRKTFNTRFWRRVVESVPTEMGGDGGDNDNDDDDDDDDSGDRLSEAMEVFTAASSSSSSGISGYGSAMSLRDLDYPYDDDIDEEEDECYSDVEGGDDMIDEKAEEFIVRFYAQMKMQNQVYTDRCKAKGIMMN >fgenesh2_kg.1__1820__AT1G16700.1 pep chromosome:v.1.0:1:6993896:6996102:1 gene:fgenesh2_kg.1__1820__AT1G16700.1 transcript:fgenesh2_kg.1__1820__AT1G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMLO14 [Source:UniProtKB/TrEMBL;Acc:D7KEI0] MASLLARRSFSALRARHLAFSGQGLQGSHLCGLQSRAISYGSNKDDEEAEQLAKEISKDWSTVFERSMNTLFLTEMVRGLSLTLKYFFDPKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAVCPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >fgenesh2_kg.1__1822__AT1G16720.1 pep chromosome:v.1.0:1:7007514:7010837:1 gene:fgenesh2_kg.1__1822__AT1G16720.1 transcript:fgenesh2_kg.1__1822__AT1G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase/ transcriptional repressor [Source:UniProtKB/TrEMBL;Acc:D7KEI3] MVGSIVGSNMAATDARFLSSNFGNTFSINSRIHRFHDRSQIVIPRAQSSSSPSPSPPSDKKKTKTRPGTTTTKESEETVAKKLDVSPPPNAQSPPSPPTLKLDDVNPVGLGRRSRQIFDEVWRKFSGLGQMSRTTRPDEQETLDSLLIREGPMCEFAVPGAQNVTVLVVGATSRIGRIVVRKLMLRGYTVKALVRKQDEEVMSMLPRSVDIVVGDVGEPSTLKSAVESCNKIIYCATARSTITADLTRVDHLGVYNLTKAFQDYNNRLAQLRAGKSSKSKLLIAKFKSAESLDGWEIRQGTYFQDTTASKYDGGMDAKFEFTETERAEFSGYVFTRGGYVELSKKLSLPLGTTLDRYEGLVLSVGGNGRSYVVILEAGPSSDMSQSKQYFARISTKAGFCRVRVPFSAFRPVNPEDPPLDTFLVHTLTIRFEPKRQRPVDGLAAAQQDLRSFSLVFEYIKALPAGQETDFILVSCTGSGVEPNRREQVLKAKRAGEDSLRRSGLGYTIIRPGPLKEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCHEYVAEQGIELYELVAHLPDKANNYLTPALSVLEKNT >fgenesh2_kg.1__1825__AT1G16750.1 pep chromosome:v.1.0:1:7013441:7016197:-1 gene:fgenesh2_kg.1__1825__AT1G16750.1 transcript:fgenesh2_kg.1__1825__AT1G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDSLLTSKQGGSLSRFSQPKNVTKEFNGCDSPKSSKFHLYGFELEHDVQRLKDQLQKETALRALLLKASDQSHKIELSHASSLPRSVQELLSNIAAMEAAVSKLEQEIMSLHFLLIQERNERKLAEYNLTHSLSPPNALDLVRLSENNETLRSKHHRAQPRSKLAKSLQSFDNANELSKEMIRCMRNIFVSLGETSAGSKSSQETTSVSSRENPPSSSTSWWSPSEHSRISRWAQSPRIDIQKNSDVLATESNAFDPYTVQGKLSWADIGSYRSATEVASMSVEEKRLAYASDELWRFRNLVERLARVNPTELSHNEKLAFWINIHNAMIMHAYLAYGVPKTDLKLFSLMQKAAYTVGGHSYNAVTIEYMTLKMSPPLHRPQIALLLSILKLKVSDEQRQAGISTPEPLVSFALSCGMHSSPAVRIYTAENVGEELEEAQKDYIQASVGVSPRGKLIVPQMLHCFAKKSVDDCKVALWISRHLPPRQAAFVEQCIHRRQRWGFLGSSSSKCGVVPFDSRFRYLFLP >fgenesh2_kg.1__1827__AT1G16770.1 pep chromosome:v.1.0:1:7021744:7023117:1 gene:fgenesh2_kg.1__1827__AT1G16770.1 transcript:fgenesh2_kg.1__1827__AT1G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGREVKTRPDPQVEIQERGEIFFFYRPKVNKDEAHSVDDVQRLYIVMRPESGENPTQEKQDPLSGKEGSYKDSGDGDASSSSSGAKNQGEGGHGVEKVNIEEQLLLRFIVMGKKSLPDPSKKSQHFWGFVEMVTTNVEDVKTALKGDEYETKTRGHRHKPPARAVGEGIYRILRHKPSPTRKHHTHLVYKLEFPSDLQTRAHEPQESMNIEPEGSFLIQIRNPEQGGGGRSGFGGLQRKRKAQFPAHLQAHLGHTRFDPADPPDFLNYEGCELLLISASDDIEEELGMELEPEGDGDESTCDLLKTFGDDVKATPLLRGTWE >fgenesh2_kg.1__182__AT1G02700.1 pep chromosome:v.1.0:1:724801:726076:1 gene:fgenesh2_kg.1__182__AT1G02700.1 transcript:fgenesh2_kg.1__182__AT1G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQSRLLAFASAARSRVRPIAQRRLAFGSSTSGRTADPEIHSGNDGADPAIYPRDPEVMDDVANPKTAADEIVDDTPRPSLEEQPLVPPKSPRATAHKLESTPVGHPSEPHFQQKRKNSTASPSPPSLDSVSCAGLDGSPWPRDEGEAEEQRRREGETESDQEFYKHHKASPLSEIEFADTRKPITQATDGTAYAAGKDVIGWLPEQLDTAEESLMKATMIFKRNAERGDPETFPHSRILREMRGEWF >fgenesh2_kg.1__1831__AT1G16810.2 pep chromosome:v.1.0:1:7037416:7038142:1 gene:fgenesh2_kg.1__1831__AT1G16810.2 transcript:fgenesh2_kg.1__1831__AT1G16810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYDNVIGGKLKLKGKALDVKAGGVKKKKKHKRQEEQALKFTEHELIEGESTEALGKLIEGEEEGEELGRSDKASEDAKLQQQHDDDDLLTPAERRYIEQKQRLDVQKLAKEANKSHRNRIEDFNQYLANMSEHYDIPKVGPG >fgenesh2_kg.1__1834__AT1G16840.1 pep chromosome:v.1.0:1:7044789:7046165:-1 gene:fgenesh2_kg.1__1834__AT1G16840.1 transcript:fgenesh2_kg.1__1834__AT1G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSRSLSLSKGRFATGSSSLPPSSQLVSFRSQSSDRRVDLYEIDTAAASQSPSDPLIQKLEDAVHRIFVRRAQPDWLPFVPGASYWVPPPGSGSQSHGIAQLVVKLANPLTHEESLSTNSSHGWPSSDYFLKGVQPQLMETKTEAASNTESHSEDEEA >fgenesh2_kg.1__183__AT1G02710.1 pep chromosome:v.1.0:1:726305:726601:-1 gene:fgenesh2_kg.1__183__AT1G02710.1 transcript:fgenesh2_kg.1__183__AT1G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBB2] MEADTPGDASAAVIMIGGLGLFGTHSLQTGGIGGGSGGGGGGEGGGGEGGGGQKISSGGGGGGSGGGHRISSGGGGGGGEGDGGGG >fgenesh2_kg.1__1841__AT1G16870.1 pep chromosome:v.1.0:1:7062995:7065462:-1 gene:fgenesh2_kg.1__1841__AT1G16870.1 transcript:fgenesh2_kg.1__1841__AT1G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKFVGRLAAGNVKLNRMSSILNDTVCHFSAPTSAAVGTLFPSLDHVHRSFSSSKSTKSSITKTKKAEGKKSKPKGGDPGAAGADDGEFGAAGGDDLEAGRAKRLADDEKIPSLDVGPNGRPLFTPKDTTLSQLSHKDIGSYFKFDEAALKAVLPEGLASGIEDEFNESWRPALLVRKNFLALRDNFSRIADPPMWPSDGKGVKLKKQIVLDGPVKCGKSIALAMLVHWARDEGWLVLYAPKGRDWTHGGYFYKNPHTGFWDTPLQAENILKDFVKFNESRLRELRCKIHDPIVLGEGAGVGYLKGADTMPIPEDSTLYDLVQMGIKSTHAAVNVVVRLRKELSVVKDVPVLIVIDQYNSWFTFSEFEEPVTPRSCRPIHARELTTVNAFRSMMHEDMMVGAFSHSTAVGKLRKDLPDVPVDVRQQFPRYSLDEAEAVCYYYLRQRLVRREVFTEENWKKIYYLANGNGAEMRWLVPFMR >fgenesh2_kg.1__1842__AT1G16880.1 pep chromosome:v.1.0:1:7065895:7068567:1 gene:fgenesh2_kg.1__1842__AT1G16880.1 transcript:fgenesh2_kg.1__1842__AT1G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASASGSALCFTDASSSLALRRDCGALCLPPRTVTFGFVDKSLLNLERLRLSSLKPRASNATAVENGKQGESAADSDKVPTPVVIIDQDSDPDATVLEVTFGDRLGALLDTMNALKNLGLNVVKANVYLDSSGKHNKFAITKADSGRKVEDPELLEAIRLTVINNLLEFHPESSSQLAMGAAFGVLPPTEPIDVDIATHITIEDDGPNRSLLYIETADRPGLLVELVKIISDISVAVESGEFDTEGLLAKVKFHVSYRNKALIKPLQQVLANSLRYFLRRPSTDESSF >fgenesh2_kg.1__1846__AT1G16900.1 pep chromosome:v.1.0:1:7071423:7074953:-1 gene:fgenesh2_kg.1__1846__AT1G16900.1 transcript:fgenesh2_kg.1__1846__AT1G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KEK0] MDLTTTRQRRPLIRDSSSSSSTKSYSKTDKPGRPNGRDAEDGGLRWFLPFMALCYLRYMSATSNIIHDCDEVFNYWEPLHYLLYKSGFQTWEYSSNFALRSYLYILFHELAGRPASWWFGDDKVRVFYAVRLFLGLVSAVSDTVLVIALSRKYGKRLATYAVAMLCLTSGCFFASTSFLPSSFSMYAISLSSGLLLFEKYAMAVAVSVVGVILGWPFSILAFLPVVIYSLVKRFKQAFISGAVTSLFLLGVSLLVDYYYYKRWTSSVLNLLIYNVLGGGESHLYGTEGALFYIRNGFNNFNFCFVLAMLFVAIYPIIRRKYDRGLLVVISPMYIWLAFMSIQPHKEERFLYPIYPLICVSASAVIENIPELFREKYSSRESLLVTITKYMRPVILGFILCASHSRTFALINGYSAPLEVYKLLEHHDDAGPGSVLCVGSEWHRYPSSFFVPDYISEVRWIDDGFRGLLPFPFNSTLGGTAASPAYFNNKNQASEEQYLKNIETCTFLIELQLSRPYQYRGSDLSTWEAIAVLPYLDRELSPAKYRSFFIPYMWQGKNVFGKYVALRRVPK >fgenesh2_kg.1__1847__AT1G16905.1 pep chromosome:v.1.0:1:7075018:7076389:-1 gene:fgenesh2_kg.1__1847__AT1G16905.1 transcript:fgenesh2_kg.1__1847__AT1G16905.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar binding protein [Source:UniProtKB/TrEMBL;Acc:D7KEK1] MALASHILILLSLFLLISLARPQVPSLEQFRFLNNGDFGESTVDYGASYRDLGVIRNQFRLCFFNTTPNAFTLAIGMGTGSSDSIIRWVWQANPQNPVQEEASLSFGPEGNLVLAQPDGTVVWQTMTENKGVIGLTMNENGNLVLFDDGGWPVWQSFDFPTDTLLVGQSLTLDGSKNKLVSRNNGAFSLILEPDRLVLNHLVPRSNNKSVVYYVIEGRFIPSATLYAAKDQGTTTQLGLSTPGLRPEFPYKHFLARPRFNASQSFLRLDADGNLRIYTFDFKVTFLAWEVTFELFNHDNNNECCWLPSKCGEFGLCEDNQCVACPLEMGLLGWSKACKPKKVKSCDPKTFHYYRLGGVDHFMTKYNVGLALGESKCRSLCSRDCKCLGYFYDKSSFKCWIAHELGTLVKVSDSRKVAYIKTPNV >fgenesh2_kg.1__1848__AT1G16920.1 pep chromosome:v.1.0:1:7079669:7081544:-1 gene:fgenesh2_kg.1__1848__AT1G16920.1 transcript:fgenesh2_kg.1__1848__AT1G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRVEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATRTLKVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFENVDRWLKELKNHTDPNIVVMLVGNKSDLRHLLAVPTEDGKSYAEQESLCFMETSALEATNVEDAFAEVLTQIYRITSKKQVEAGEDGNASVPKGEKIEVKNDVSALKKLGCCSN >fgenesh2_kg.1__1852__AT1G16970.1 pep chromosome:v.1.0:1:7092856:7097967:-1 gene:fgenesh2_kg.1__1852__AT1G16970.1 transcript:fgenesh2_kg.1__1852__AT1G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDPDDVFRDEDEDPESEFFQEKEASKEFLVYLIDASPKMFSSTCPSEEEDKQESHFHIAVSCIALSLKSHIINRSNDEIAICFFNTREKKNLQDLNGVYVFNVPERDCIDRPTARLIKEFDLIEESFDKDIGSQTGIVSDSRENSLYSALWVAQALLRKGSSKTADKRMFLFTNEDDPFGNMRISVKEDMTRTTLQRAKDDLQDLGISIELLPLSQPDKQFNITLFYKVNLIGLNSDELTEFMPSVGQKLEDMKDQLKKRVLAKRIAKRITFVICDGLSIELNGYALLRPAIPGSITWLDSTTNLPVKVERSYICTDTGAIMQDPIQRIQPYKNQNIMFTVEELSQVKKISTGHLRLLGFKPLSCLKDYYNLKPSTFLYPSDKEVIGSTRAFIALHRSMIQLERFAVAFYGGTTPPRLVALVAQDEIESDGGQVEPPGMNMIYLPYANDIRDIDELHSKPGVAAPRASEDQLKKASALMRRLELKDFSVCQFANPALQRHYAILQAIALDENEVSETRDETLPDEEGMNRPAVVKAIENFKQSIYGDDPDEESDSGAKEKSRKRKAGNADDGKYDYIELAKTGKLKDLTVVELKTYLTANNLPLGGKKEVLINRILTHIGK >fgenesh2_kg.1__1858__AT1G17060.1 pep chromosome:v.1.0:1:7131921:7135282:-1 gene:fgenesh2_kg.1__1858__AT1G17060.1 transcript:fgenesh2_kg.1__1858__AT1G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP72C1 [Source:UniProtKB/TrEMBL;Acc:D7KEL4] MMEINIVRKVFLIGFLILILNWVWRAVNWVWLRPKRLEKYLKKQGFSGNSYRILMGDMRESNQMDQVAHSLPLPLDADFLPRMMPFLHHTVLKHGKKCFTWYGPYPNVIVMDPETLREIMSKHELFPKPKIGSHNHVFLSGLLNHEGPKWSKHRSILNPAFRIDNLKSILPAFNSSCKEMLEEWEKLASAKGTVELDSWTHCHDLTRNMLARASFGDSYKDGIKIFEIQQEQIDLGLLAIRAIFANKVQQEVERFERDMRAMFKAMIVTKEEEIKRGRAGQNVTSSLFVWTLVALSQHQDWQNKARDEVSQAFGNNEPDFEGLSHLKVVTMILHEVLRLYSPAYFTCRITKQEVKLERFSLPEGVVVTIPMLLVHHDPDLWGDDVKQFKPERFVNGVAGATKGRLSFLPFSSGPRTCIGQNFSMLQAKLFLAMVLQRFSVELSPSYTHAPFPAATTFPQHGAHLIIRKV >fgenesh2_kg.1__1859__AT1G17070.1 pep chromosome:v.1.0:1:7137667:7145414:1 gene:fgenesh2_kg.1__1859__AT1G17070.1 transcript:fgenesh2_kg.1__1859__AT1G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KEL5] MDEYQEMERFSMDNDYEGGRWEGDEFVYEKRKEKRKQTKNDATYGIFAESDSDSDDSGGGGSRRKRRKDRDSGRNADLTKPVNFVSTGTVMPNQEIDKGSREHNDEKDRDRIEDGDMIDEDVEVRGGLGMGSSGLGLGFNANGFDDEDNLLPGALGKKIADGAKMRGKAKMEKRGQEGGGAKGGKKNTLGSDIGKFEKSTKGIGMKLLEKMGYKGGGLGKNQQGIVAPIEAQLRPKNMGMGYNDFKEAKLPHLKKVEEKKIVGVSVSENEQSHGDRGGKNLWKKKKVRKAVYVTAEELLEKKQEQGFGGGQTIIDMRGPQVRVVTNLENLDAEEKAKEADVPMPELQHNLRLIVDLVEHEIQKIDRDLRNERESALCLQQEKEMLVNEEEKQKRHLDNMEYITDEISRIELENTAGNLTLDSLANRFEDLQACYPDDYKLCNLSTIACSLALPLFIRMFQGWDPLRDPVHGLKAISSWRKLLEVEEDQNIWVVSTPYSQLVSEVVLPAVRIAGINTWEPRDPEPMLRFLETWENLLPSSVLHTILDTVVLPKLSTAVEYWDPRRELVAIHVWVHPWLPILGEKLEFLYQIIQMKLSNVLDAWHPSDSSAYTILSPWKTVFDTSSWEQLMRRYIVPKLQLALQEFQINPANQNLERFHWVMKWASAVPIHIMADLMGRFFFPKWLDVLYLWLRSNPGFEEIHGWYLGWKELFPQELSANERIRIQLKRGLDMLMEAVEGVEVSQPRARANEHTQFEPSQAQAQAKAQMDSTEVLSLKEVLEVFAQEKELLFKPKPNRMHNGLQIYGFGNVSVIIDSVNQKLLAQKDGDWYLVTPDDLLRMHNNTTVSGKR >fgenesh2_kg.1__185__AT1G02720.1 pep chromosome:v.1.0:1:728324:730532:1 gene:fgenesh2_kg.1__185__AT1G02720.1 transcript:fgenesh2_kg.1__185__AT1G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KBB3] MHWITRFSAIFSAALAMILLSPSLQSFSPAAAIRSSHPYADEFKPQQNSDHSSFRESPLFRNAEQCRSSGEDSGVCNPNLVHVAITLDIDYLRGSIAAVNSILQHSMCPQSVFFHFLVSSEIQNLESLIRSTFPKLTNLKIYYFAPETVQSLISSSVRQALEQPLNYARNYLADLLEPCVKRVIYLDSDLVVVDDIVKLWKTGLGQRTIGAPEYCHANFTKYFTGGFWSDKRFNGTFKGRNPCYFNTGVMVIDLKKWRRFRYTKRIEKWMEIQKMERIYELGSLPPFLLVFAGHVAPISHRWNQHGLGGDNVRGSCRDLHSGPVSLLHWSGSGKPWLRLDSKLPCPLDTLWAPYDLYKHSH >fgenesh2_kg.1__1862__AT1G17100.1 pep chromosome:v.1.0:1:7150995:7151997:1 gene:fgenesh2_kg.1__1862__AT1G17100.1 transcript:fgenesh2_kg.1__1862__AT1G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soul heme-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7KEL8] MATGLSFFKLSFLLSLLSGGSDLLAPDAESGVAQIGKFPPSCNRIECPSYELVHSGNGYEIRRYNTTVWVSTEPIPDISLVDATRTAFFQLFAYIQGKNEYHQKIEMTAPVISQVSPSDGPFCESSFTVSFYVPKKNQPDPAPAENLHIQKWNPRYVAVRQFSGFVSDDSIGEEAAALDSSLKGTPWANAIEKSKEDGGVGSDSAYTVAQYNSPFEFTGRVNEIWLPFELDV >fgenesh2_kg.1__1864__AT1G17120.1 pep chromosome:v.1.0:1:7158226:7165375:1 gene:fgenesh2_kg.1__1864__AT1G17120.1 transcript:fgenesh2_kg.1__1864__AT1G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAHQQESRSDDLSQRRSYWRWRKQDFFPEFSFQSFSTYKSALSATCPRLADRLLSRSSDAYELDAARRESENPMRRCLTWWDLLWLSFGSVVGSGVFVITGQEARTGAGPAVVLSYAISGVSALLSVLCYAEFGVEIPVAGGSFSYLRVELGDFIAFIAAGNILLEAMVGAAGLGRSWSSYLASLVKNDSDYFRIKVDSFAKGFDLLDPVAVAVLLVANGIAMTGTKRTSWLNLITSMVTVCIIVFIVVVGFTHSKTSNLVPFFPYGAKGVVQSAAVVYWSYTGFDMVANMAEETEKPSRDIPIGLVGSMSMITVVYCLMALALTMMVKYTEIDANAAYSVAFTQIGMKWAKYLVGICALKGMTTSLLVGSLGQARYTTQIARSHMIPPWFALVHPKTGTPINATLLVTILSAIISFFTSLDVLSSVFSFATLFIFMLVAVALLVRRYYVKDVTPETGLLKFLGFLFLIIASSIGVSALWNAGVGGWIAYAVTGVLWFIGTLGLALLPKYRVPKVWGVPLVPWLPSFSIAMNLFLIGSLGYVAFLRFIICTMVMLLYYLFVGLHATYDVAHQPLEESKFEGER >fgenesh2_kg.1__1866__AT1G17130.2 pep chromosome:v.1.0:1:7165727:7168228:1 gene:fgenesh2_kg.1__1866__AT1G17130.2 transcript:fgenesh2_kg.1__1866__AT1G17130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPSKLQRLRRPKNQQIKVRMMLPMSVRCSTCGNYIYKGTKFNSRKEDVIGENYSIDLQTYLGIQIFRFYFKCTKCSAELTMKTDPQNSDYIVESGASRNYEPWRAEDEEVDKDKQKRDAEEMGDAMKSLENRTLDSKREMDIIAALDEMKSMKSRHATVSVDAMLEALQRTGAEKVKRIEEEDEAVIKSIFGKQKEVIRRIADEEIDDDDYDRDDSPSLQKEKIGSSSDLSKKRKTSEESQSNPTDILTSSSTENPKEPKKRATSKQPFKSVHITVIKKQSQPTSSTTPAPAKPEEKKGGDVANTSLASLFQNYGSDEDEE >fgenesh2_kg.1__1869__AT1G17145.1 pep chromosome:v.1.0:1:7171525:7174136:-1 gene:fgenesh2_kg.1__1869__AT1G17145.1 transcript:fgenesh2_kg.1__1869__AT1G17145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLGRKRQIVDERYTKPQQGLYMSKDVDVKKLKRLILDSKLAPCYPGLEETSSHHDLEECPICFLYYPSLNRSRCCMKSICTECFLRMKSPNSAQPTQCPFCKTSNYAVEYRGGKTKEEKSIEQIEEQQVIGAKIRMRQKEVEEDEERMQKRLESFSSSSSTSAATLDTEYGSAAEDDEEIVLSQDSCLLPSHPQVTRDGQFDFDLEDIMVMEAIWLSMQEPGIQRNTSPDDISEKDHNEEPSTPSSSSPSGGLACAIAVLAERQQMVGESSSNQNVNLASQNMVPDNCNNSHYNAIVQDSNHYLQGAGISYTRSDMSDDSGGETSREVTWQ >fgenesh2_kg.1__1870__AT1G17147.1 pep chromosome:v.1.0:1:7174442:7174941:-1 gene:fgenesh2_kg.1__1870__AT1G17147.1 transcript:fgenesh2_kg.1__1870__AT1G17147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGVRSEPMKVVFINTQYVQTDARSFKTVVQELTGKNAVVADGPLEFSGQGYGGKDSSQRFCSVGKEAEGGVETTEFDSFFREMPPVGELYNLWSDN >fgenesh2_kg.1__1871__AT1G17150.1 pep chromosome:v.1.0:1:7177261:7179067:1 gene:fgenesh2_kg.1__1871__AT1G17150.1 transcript:fgenesh2_kg.1__1871__AT1G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7KFC1] MECIDFVFMAFCLPLLFNAVTTSRPITGPKVFDVRRYGAKGDGKTDNTNAFTNAWKDACTWNGPSKMYIPKGRFYLGGVTFGGPCNGKISFVIDGTLLAPPNNDDIKKETWINFRYIDYLTVSGGGTVDGQGKRSWSLNDCHKNNNCPKLAINMGFDFVKKSRMNGITSLNSKAGHLNFFSVDHFDITGVGLKAPGNSPNTDGIKIALSSNMQISNTHISTGDDCIAMLSGNTNFDIYNVTCGPGHGISIGSLGKNKDEKNVKGLTVRDSVFTGTTNGIRIKTWESSASTIVISNLVYKNLQMIDVESPINIDQKYCPYPPCNKLGDSHIQIQNVTLKNIWGTSRNKVAVKFQCSKSFPCKDVQLVDINLTHNGVDGPAIALCDNVEGSATGRIIPPHCLN >fgenesh2_kg.1__1874__AT1G17170.1 pep chromosome:v.1.0:1:7184345:7185213:1 gene:fgenesh2_kg.1__1874__AT1G17170.1 transcript:fgenesh2_kg.1__1874__AT1G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVILLDFWASMFGMRIRIALSEKRVKYDYREEDLWDKSSLLLEMNPVHKKIPVLIHNGKPVCESLIQIEYIDETWPDKNPLLPSDPYKRAHAKFWADFIDKKVNVTARRIWAAKGEEQEAAKEFIEILKTLESELGDKKYFGDETFGYVDIALIGFYSWFGVYEKFGNISIESECSKLIAWAKRCLERESVAKALPESEKVTTFVSDRRKKLGLE >fgenesh2_kg.1__1876__AT1G17190.1 pep chromosome:v.1.0:1:7188548:7189679:1 gene:fgenesh2_kg.1__1876__AT1G17190.1 transcript:fgenesh2_kg.1__1876__AT1G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVILLDYWPSMFGMRTKMALAEKGVKYEYKETDPWVKTSLLLEMNPIHKKIPVLIHNAKPICESLIQLEYIDEVWSDAYTILPSDPYQKSQARFWADFIDKKFYDPSWKVWATMGEEHAAAKKELLEHFKTLETELGDKSYYGGEVFGYVDIALMGYYSWFKAMEKFGEFSIETEFPKLTTWTKRCLKRESVVKALADSDRIIEYVYVMRKKFGAE >fgenesh2_kg.1__1877__AT1G17200.1 pep chromosome:v.1.0:1:7195139:7196788:1 gene:fgenesh2_kg.1__1877__AT1G17200.1 transcript:fgenesh2_kg.1__1877__AT1G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 2A1 [Source:UniProtKB/Swiss-Prot;Acc:D7KFC7] MEKSNDHDKASHGGSGGGATEKWEETSPGIRTAETMLRLAPVGLCVAALVVMLKDSETNEFGSISYSNLTAFRYLVHANGICAGYSLLSAAIAAMPRSSSTMPRVWTFFCLDQLLTYLVLAAGAVSAEVLYLAYNGDSAITWSDACSSYGGFCHRATASVIITFFVVCFYILLSLISSYKLFTRFDPPSIVDSDKTLEVAVFGS >fgenesh2_kg.1__1878__AT1G17210.1 pep chromosome:v.1.0:1:7196919:7201380:-1 gene:fgenesh2_kg.1__1878__AT1G17210.1 transcript:fgenesh2_kg.1__1878__AT1G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7KFC8] MKEEDVSSQNVNPRSNRNSVASASASASATPVDRFRRRARSPSPPQTAAASSAGASSPAVLVNAGSVDWSGHGLALSVRSCRTWDRGDLLRRLATFKPSNWLGKPKTASSLACAQKGWVSVDLDKLQCEYCGSILHYSPPQDSLNHPEADTTREEFSKQLDDAHESSCPWVGKSCSESLVQFPPTPPSALIGGYKDRCDGLLQFYSLPIVSPSAIDQMRASRRPQIDRLLAHANDDLSFRMDNISAAETSKEEAFSNYSRAQKLISLCGWEPRWLPNIQDCEEHSAQSARNGCPSGPARNQSRLQDPGPSRKQFSASSRKASGNYEVLGPEYKSESRLPLLDCSLCGVTVRICDFMTTSRPVPFAAINANLPETSKKMGVTRGTSATSGINGWFANEGMEQQQNEDVDEAETSVKRRLVSNVGLSFYQTAAGASSSAQLNMSVTRDNYQFSDRGKEVLWRQPSGSEVGDRAASYESRGPSTRKRSLDDGGSTVDRPYLRIQNADSVEGTVVDRDGDEVNDDSAGPSKRTRGSDVHEAYPFLYGRDLSVGGPSHSLDAENEREVNRSDPFSEGNEQAMAFPGARDSTRASSVIAMDTICHSANDDSMESVENHPGDFDDINYPSVATAQSADFNDPSELNFSNQAQQSACFQPAPVRFNAEPGISSINDGEEVLNTETVTAQGRDGPSLGVSGGSVGMGASHEAEIHGADVSVHRGDSVVGDMEPVAEVIENLGQSGEFAPDQGLTDDFVPAEMDREGRLEDSQDRVSQSVVRADSGSKIVDSLKAESVESGEKMSNINVLINDDSVHPSLSCNAIVCSGYEASKEEVTQTWESPLNAGFALPGSSYTANDQGPPNGDSNDDIVEFDPIKYHNCYCPWVNENVAAAGCSSNSSGSSSFAEAVCGWQLTLDALDSFQSLENPQNQTMESESAASLCKDDHQTPSQKLLKRHSFISSHGKK >fgenesh2_kg.1__1879__AT1G17220.1 pep chromosome:v.1.0:1:7201820:7207117:1 gene:fgenesh2_kg.1__1879__AT1G17220.1 transcript:fgenesh2_kg.1__1879__AT1G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMLVLVGTMPSLASLVSLGGACASVSGTSSSDASYALVKRVSLSRRSVKGTKKWLCRYSVSSSTTTTTADFIAEQNNNSVSIDSNSFRGSKEGDDSEVVLKQTPKPVLKPPVARVERGLGVNTAPWSKDLSNGGKFDGEEERNKVIESLGEVLDKAEKLEIPKPGNKEGGEAVKPSQPSANSSNSRNGSYANASDGGTRKTKTMKSVWRKGDAVAAVQKVVKESPKIVNRGMQVEPRSKEDEEMNAKAGTQLAPPQPPFRPQPPVRPQPMLQGKPTVAQPPVKKSPILKDLGMAAKPLVSEEVDSSVKSKERKPILVDKFASKKKGVDPVASQAVLAPTKPGKGPPSNKFRVEHRNKKNASASPRRRIVAEDDGDEDTSISRSGRKGRKWSKASRKAVRLQAAKDAAPVKAEILEVDEEGMSIEDLAYNLAIGEGDILGYLYSKGIRPDGVQTLDREMVKMICRDYDVEVLDADSVKVEEMAKKRQTFDEEDLDKLEDRPPVITIMGHVDHGKTTLLDYIRKSKVAASEAGGITQGIGAYKVSVPVDGKLQSCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTNEAIAHAKAAAVPIVIAINKIDKEGASPDRVMQELSSIGLMPEDWGGDVPMVQISALKGENIDDLLETVMLVAELQELKANPHRNAKGIVIEAGLDKAKGPFATFIVQKGTLKRGDVVVCGEAFGKVRALFDHSGERVDEAGPSIPVQVIGLNNVPIAGDEFEIVSSLDVAREMAEARAVSLRDERISAKAGDGKVTLSSLASAVSAKKMSGLDLHQLNIILKVDVQGSIEAVRQALQVLPQENVTLKFLLQATGDVSNSDVDLASASEAIIFGFNVKASGSVKKAAENKGVEIRLYRVIYELIDDVRNAMEGLLESVEEQIPIGSAEVRATFSSGSGRVAGCMVNEGKFVKDCGIRVVRKGKTVHVGVLDSLKRVKENVKEVSAGLECGIGMDDYDDWIEGDIIEAFNAVQKRRTLEEASASMSAAIEEAGV >fgenesh2_kg.1__187__AT1G02740.1 pep chromosome:v.1.0:1:738034:740477:-1 gene:fgenesh2_kg.1__187__AT1G02740.1 transcript:fgenesh2_kg.1__187__AT1G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin binding protein [Source:UniProtKB/TrEMBL;Acc:D7KBB7] MGSPNAAAETDLTTVDFIGDTRRDSGSDTETNTDCDGEDLPLLLLPAPPGHFEEGERVLAKHSDCFYEAKVLKVEYKDNEWKYFVHYIGWNKSWDEWISLDCLLKHSEENIEKQKEQGLKQQGIKSAMAWRVSKMKPRSPNVARGRKRKQDSVDTEKNVVPSDNLLSFNIPPALRKQLIDDYEFVTQMQKLVQLPRSPNVDDILKKYIDSQMKKHSRVTDSLEEILKGLRCYFDKALPVMLLYNNERKQYEESVSADVSPSTVYGAEHLLRLFVKLPELLAHVNMAEETLKELQDNFVDILRFLRKNQSVFFVSAYKAVEEMEKKED >fgenesh2_kg.1__1881__AT1G17235.1 pep chromosome:v.1.0:1:7211995:7212359:1 gene:fgenesh2_kg.1__1881__AT1G17235.1 transcript:fgenesh2_kg.1__1881__AT1G17235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLILHSCTARLPHAQNLQIELKQDQKRSSLDSKPVKPNMGGFLAEKNSNSKIRNSFTSKCSSLMKRQHARLCIIRLCATMLLRSYIDHDDC >fgenesh2_kg.1__1885__AT1G17280.1 pep chromosome:v.1.0:1:7234445:7237352:-1 gene:fgenesh2_kg.1__1885__AT1G17280.1 transcript:fgenesh2_kg.1__1885__AT1G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 32 [Source:UniProtKB/TrEMBL;Acc:D7KFD7] MAEKACIKRLQKEYRALCKEPVSHVVARPSPNDILEWHYVLEGSEGTPFAGGFYYGKIKFPPEYPYKPPGITMTTPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDTSPTTGSVNTTVAEKQRLAKSSLAFNCKTPAFRKLFPEYVEKYNQQQLAEQAATQVTTSESPQKSDTKVESEKTIDPTKEDSEGGLKERKKNKKQGLPAWIILLLVSVFGVVMALPLLQL >fgenesh2_kg.1__1887__AT1G17285.1 pep chromosome:v.1.0:1:7238974:7239543:1 gene:fgenesh2_kg.1__1887__AT1G17285.1 transcript:fgenesh2_kg.1__1887__AT1G17285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYCSVALVLLLVAFFSSKYSVEGRSLLTMTHSSQAQRDLHVSKEMKKEPLKGEKDSFRRIPRSGSNPIQNKCNPPVDVKGSRNQQITASRKP >fgenesh2_kg.1__1889__AT1G17310.1 pep chromosome:v.1.0:1:7246136:7246774:-1 gene:fgenesh2_kg.1__1889__AT1G17310.1 transcript:fgenesh2_kg.1__1889__AT1G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box protein [Source:UniProtKB/TrEMBL;Acc:D7KFE1] MEEERETSTMTCLTPKDPLQSPNMLVSQPKKETTQTPKTTRGRQKIEIKIIEEETKRQVTFSKRRRGLFKKSAELSVLTGAKIAVITFSKCGRIYRFGHVDALIDKYLRKSPVKLEGYSGDNVADEESRRPWWERPVESVPEEELEEYMAALSMLRENIGKKIVAMGNDRTVEMVPAWPINVMGWKPPMDMQNLENLTDGITRCRVGDQNGD >fgenesh2_kg.1__188__AT1G02750.1 pep chromosome:v.1.0:1:740944:742742:1 gene:fgenesh2_kg.1__188__AT1G02750.1 transcript:fgenesh2_kg.1__188__AT1G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDMWCVSSSGSSRSYRSETAAKYQSGPYLDLEEFEEVDDIAVEYPCPFCASGYDIIELCHHIDEEHHLEANNGICPVCSKRVKMHMVDHITSHHRDKEMSYREDPYSSDKYFQPPLDESPPSMNRHHRTSNFVVSDQFLSFIDNSALPNQTKLVQPDSSVENKNLIKDSSTAKEGTSSSPLSDSDKLEKAKKCEFVQGLLSSAMFGDECDFF >fgenesh2_kg.1__1890__AT1G17330.1 pep chromosome:v.1.0:1:7248375:7250222:1 gene:fgenesh2_kg.1__1890__AT1G17330.1 transcript:fgenesh2_kg.1__1890__AT1G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTMRKAEELVEKAMKGNDASHDDWHVWRVRDLALSIAREEGLSSSSDSMEIVELAALLHDIGDYKYLRDPSEEKLVETFLDEEGIEETKKTKILTIINGMGFKDELAGLALCESLPEFGIVQDADRLDAIGAIGIARCFTFGGSRNRVLHDPEIKPRTELTKEQYIKKEEQTTINHFHEKLLKLKKLMKTEAGRRRAEKRHKFMEEYLKEFYEEWEGST >fgenesh2_kg.1__1891__AT1G17340.1 pep chromosome:v.1.0:1:7253508:7258378:1 gene:fgenesh2_kg.1__1891__AT1G17340.1 transcript:fgenesh2_kg.1__1891__AT1G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7KFE4] MGSEPRFEPRPELIDLPVLQKFKLYATPSNFYLIGRDENKSFRRILKIDRRDQNELNLFEDPTRYTKDEMRELKRRMIIGNEESGGFKAITTCYGIIGFVRFLEPYYMLVITKRKKVGEICGHTVYGIAESQMIAIPHPSIQTKVAKSEAEQRYKKLLSVVDLSKNFYFSYTYHLVYCLQKNIGNTERGNAHDNTMFVWNSFLTREIRKILQNSIWTVALVYGFFQQTKCSVSGEDFVFTIIARRSRHYAGTRYLRRGVNDIGRVANDVETEQIVSKVVPAGQKIPITSVVQIRGSIPLFWSQEASVFNPQPEIILNKKDANYVATQHHFENLRQRYGNRIIILNLLKTETGEKKHRETSLRAEFAKTIRFINKGMDKENRLKAIHFDLSKHYKKGADGAFNHLCIFARKALELTDLFYCKAPSGVGAEGVIYDSFFNNPIPSQDEEASSPDKEALKADIFMLQNGVLRTNCIDCLDRTNFAQYAHGLVALGHQLRTLGISGPPVVDLNNPLAIELMDAYQKMGNTLALQYGGSEAHSKMFCDLRGNWNMVMRQRDIFTAVRRYYSNAYQDSDKQNAINVFLGHFRPRLGKPALWELDSDQHNIGRSGSNLDIENMRPLIRRSFSDNILMDCDLNLEELVRENSQPSYEGLNGGVSGTNLEFPFYETEPASLSFLSVMRNEDLRRETGTGQMFEGSSSNSDSHTPNDIPGFAHSYATKFTPAEEIFERCSSKSVSSDNLFTDLDESVTSLTNTNSSFDFPIMGGSDLLPGFSNAFARWVFSARAW >fgenesh2_kg.1__1892__AT1G17345.1 pep chromosome:v.1.0:1:7260587:7261297:1 gene:fgenesh2_kg.1__1892__AT1G17345.1 transcript:fgenesh2_kg.1__1892__AT1G17345.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KFE5] MAIFGKLTKLKSAIKKWPSLTKNHHSTTVSTASTAASDVSKCGDLHVVYVGKSRRPYMLSSHVIAHPLFQELLDRSLRFAVEHHDQETVLVACEVVLFEHLLWMLKNSSSDHGDDEDDDDRERGSVEELA >fgenesh2_kg.1__1893__AT1G17350.1 pep chromosome:v.1.0:1:7262702:7265358:1 gene:fgenesh2_kg.1__1893__AT1G17350.1 transcript:fgenesh2_kg.1__1893__AT1G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRSLLQASVNATKKALTWNVEEWVPPAEKHIFKFNSKEDLKKWHLYSDSEYGGLSSASLEIPDKGDGSDCTGIFSGNLSLDLSEGSKWNISRSGFCGMRSKKFDGFIDLDGYDAIALRLRGDGRCYISTIYTENWVNSPGQSEDNSWQAFVFAPKDSWYTAKIPLARYLPTWRGNVIDVEMEMNPGRVLGMSLSVNAEGGAVGAKSGAGDFRVEIDWIKALRLP >fgenesh2_kg.1__1899__AT1G17400.1 pep chromosome:v.1.0:1:7283497:7285516:-1 gene:fgenesh2_kg.1__1899__AT1G17400.1 transcript:fgenesh2_kg.1__1899__AT1G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFGWMQNKLNGDHNRTSTSSASSHHVKQEPREEFRDWPHALLAIGTFGTTSNSVSENESKNVHEEIEAEKKCTAKSEQEEEPSSSVDLEDFTPEEVGKLQKELMKLLSRTKKRKSDVNRELMKNLPLDRFLNCPSSLEVDRRISNALSAVVDSSEENKEEDMERTISVILGRCKEISIESKKNKKKRDISKTSVSYLFKKIFVCANGISTAPSPSLRDTLQESRMEKLLKMMLHKKINAQASSKPTSSTTKRYLEDKKQLSLKSEEEEETSERRSSGDGYKWVKTDSDFIVLEI >fgenesh2_kg.1__189__AT1G02780.1 pep chromosome:v.1.0:1:744394:745999:-1 gene:fgenesh2_kg.1__189__AT1G02780.1 transcript:fgenesh2_kg.1__189__AT1G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 [Source:UniProtKB/TrEMBL;Acc:D7KBB9] MVSLKLQKRLAASVMKCGKGKVWLDPNESSDISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARKMKIAKMKGRHSGYGKRKGTREARLPTKVLWMRRMRVLRRLLKKYRESKKIDKHMYHDMYMRVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKHARREERLAKGPGGEAAPVAAPAPAATPAQTPDVPKKKSKK >fgenesh2_kg.1__1900__AT1G17410.1 pep chromosome:v.1.0:1:7294327:7295691:-1 gene:fgenesh2_kg.1__1900__AT1G17410.1 transcript:fgenesh2_kg.1__1900__AT1G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KFF1] MSGFTYQPMFLLLLVSVSLSPVRCLGNGASSEERTLAMIKPDGVSGNYTDEIKRIVVEASFNIVKEMLTQLDKDTASAFYDEHSSRSFFPDLVTYMTSGPVFVMVLEKQNAVSDWRGLIGPTDAQRAKISHPHSIRALCGKNSQKNCVHGSDSTSSAEREIKFFFKDVVSGDIASQHHDEL >fgenesh2_kg.1__1901__AT1G17420.1 pep chromosome:v.1.0:1:7301208:7305234:1 gene:fgenesh2_kg.1__1901__AT1G17420.1 transcript:fgenesh2_kg.1__1901__AT1G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:D7KFF2] MALAKELMGYPLITERSSLVSSASHFKKRTQTTQFSINPFDRRPRKTKSGVVAAISEDLVKTLRFSTTTGDRKSEEEEKAAVKFKVRAVVTVRNKNKEDLKQTLVNHLDAFADKIGRNIVLELISTQLDPKTKLPKKSNAAVLKDWSKKSKTKAERVHYTAEFTVDAAFGSPGAITVMNKHQKEFFLESITIEGFALGPVHFPCNSWVQSQKDHPEKRIFFTNQPYLPNETPGGLRVLRDKELKNLRGDGTGVRKLSDRIYDFDVYNDLGNPDKSSELSRPKLGGQEIPYPRRCRTGRQTTVSDKEAESRVEKPLPMYVPRDEQFEESKQDTFAAGRLKAVLHHLIPSLKASIVAEDFADFGEIDSLYKEGLLLKLGFQDDIFKKFPLPKVVVDTLQESTKGLLKYDTPKILSKDKNAWLRDDEFARQAIAGINPVNIERVKTYPPVSNLDPKIYGPQHSALTHDHIIGHLDGFSVQQALEENRLYMLDYHDIFLPFLDRINALDGRKAYATRTIFFLTRLGTLKPVAIELSLPPNGPKHRSKRVLTPPVDATSNWMWQLAKAHVSSNDAGVHQLVNHWLRTHACLEPFILAAHRQLSAMHPIFKLLDPHMRYTLEINALARQSLISADGVIEGGFTAGAYGMEMSAAAYKSSWRFDMEGLPADLIRRGMAIPDATQPHGLKLLIEDYPYANDGLLLWSAIQTWVRTYVERYYPNPSLIKTDSELQNWYSESINVGHADLRDADWWPELSTVDDLVSILTTLIWLASAQHAALNFGQYPYGGYVPNRPPLMRRLIPDESDPEYASFISDPEKYYFSSMPSLAQTSKFMAVVDTLSTHSPDEEYIGERQQPSIWTGDAEIVEAFYGFAAEIGRIEKEIEKRNADPDRRNRCGAGVLPYELLVPSSEPGVTCRGVPNSVSI >fgenesh2_kg.1__1902__AT1G17430.1 pep chromosome:v.1.0:1:7306187:7307842:1 gene:fgenesh2_kg.1__1902__AT1G17430.1 transcript:fgenesh2_kg.1__1902__AT1G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKHVHLFRRYLSIVLTLFQSLISCFFDFPILIKIADSFLSLYFLVLCDLRPVTVDLDDGETTVHFWISGHRRISRPNLVMLHGYGGNSKWQFVHQVSDLSKSFNLFIPDLVFFGKSYSKNPDRSIEIQARSIVGGLKKLGCVEGGGGGISVYSISYGGFVAYKMAKIWPEMVEKLVIVSSGVGFTQQQKTAELKKHGGDCSKILVPKTPMDLRLLIKISMNTGLTFVDWVPDFVLSQFIAVMYEKNRQELLELAKNLLEREEEPELPVISQKTLIVWGDKDKVFPLEHAYRLQRHLQSSRLEIIKETGHAVNIEAPTTLNNLITSFVLSV >fgenesh2_kg.1__1903__AT1G17440.1 pep chromosome:v.1.0:1:7307887:7317744:-1 gene:fgenesh2_kg.1__1903__AT1G17440.1 transcript:fgenesh2_kg.1__1903__AT1G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPIPSSSLSPKSLQSPNPMEPSPASSAPLPPSSSQQQQLMTAPVSNSVNSAASPAMTVTTTEGVVIQNNSQPIISSPNPTSNPAVGAQIPSPSPLSHPSSSLDQQTQTQQQIMHQISSSPIPQLSQQQQQILQQQQQQHMTSQQIPMSNYQIPQSLQRSPSISRLSQIQQQQQQQQQHQGQYGNVLRQQAGLYGTMNFGGSGSVQQSQQNQQMVNPNMSRAALVGQSGHLPMLNGAAGSAQMNIQPQLLAASPRQKSGLVQGSQFHPGSSGQQLQGMQAMGMMGSLNLTSQMRGNPALYAQQRINPGQMRQQLSQQNALTSPQVQNLQRTSSLAFMNPQLSGLAQNGQAGMMQNSLSQQQWLKQMSGITSPNSFRLQPSQRQALLLQQQQQQQLPSPQLHQSSMSLNQQQLSQIIQQQQQQSQLGQSQMNHSHSQQQLQQMQQQIQQQPQQQMQQQQQQQMQINQQQPSPRMSSHAGQKSVSLTGSQPDATQSGTTTPGGSSSQGTDATNQLLGKRKIQDLVSQVDVHAKLDPDVEDLLLEVADDFIDSVTSFACSLAKHRKSSVLEPKDILLHLEKNLHLTIPGFSSDNKRQTKTVPTDLHKKRLAMVRALLESSKAETNASNSKETIRQVMVNPNGPNHLLRPSPSSDQLVSQTSGPHILQHMTRY >fgenesh2_kg.1__1906__AT1G17455.1 pep chromosome:v.1.0:1:7327251:7328551:1 gene:fgenesh2_kg.1__1906__AT1G17455.1 transcript:fgenesh2_kg.1__1906__AT1G17455.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KFF6] MEGDVLSGFGDRHNMDGKLLQSFQKSFVDVQDILDQNRLLINEINQNHESKQPDNLGRNVGLIKELNNNIRRVASLYGDLSHSFARSVDASSEGESSGTLKSDGKA >fgenesh2_kg.1__1907__AT1G17460.1 pep chromosome:v.1.0:1:7328959:7332660:1 gene:fgenesh2_kg.1__1907__AT1G17460.1 transcript:fgenesh2_kg.1__1907__AT1G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNVEGYGDMFQDSIFQTRSGSLSSTNQIGNRVAYKLVRVAGDGTLVPATDEEMLKVKDLLENNEPDMPVLPEPIQTEKYIPDEGSPSQFLQLENFEGLFQSETAEAYTENMNSRHESKEELMYGTQMLFALPDTKFQISNELPGNVEQVQSEVLLSEPIIFSSNRCSMNQSTDDLYATGSRNEPKEAALSTAASKPEVSRVAGEICLDNLSIRALQETFRATFGRETTCKDNRWLKRRITMGLINSCVVPTTTLTINDNKLIGGDQDAIDAFSKDTLDEERAIESKDTPSSPDCIKGHLDDFGHTSVETFVDYYSGNEDFDGEHRSAKRVRKPTRRYIVETSETGERQQSDESMIPSKDQSSIQAVSSGGGVVVTRMVSLAGSRIQVPYVSHVRRSRPRENIMALGEFHSKSWEVKATPEKGNLNLSPSQLNNDVNRVPAVNSAPRLVQKEVSDKDHLKPIFTEVDQDMMEPELLDSSGDSSDDNCVDVPITQSEIRRKLHRAWTVSEVEKLVEGVSKYGVGKWSDIKRLSFSPYTHRTSVDLKDKWRNLQKASSSNRMGGGLKKHGSMAIPSHIMLQVRELAQKQSPISPVESKARVVKRSRSRNGFL >fgenesh2_kg.1__1908__AT1G17470.1 pep chromosome:v.1.0:1:7333471:7336481:1 gene:fgenesh2_kg.1__1908__AT1G17470.1 transcript:fgenesh2_kg.1__1908__AT1G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Developmentally regulated G-protein 1 [Source:UniProtKB/TrEMBL;Acc:D7KFF8] MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGASGGGEGFEVTKYGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGVIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKVSEGHRQILTKELEAVGLRLNKTPPQIYFKKKKTGGISFNTTAPLTHIDEKLCYQILHEYKIHNAEVLFRENATVDDFIDVIEGNRKYIKCVYVYNKIDVVGIDDVDRLSRQPNSIVISCNLKLNLDRLLARMWDEMGLVRVYSKPQGQQPDFDEPFVLSSDRGGCTVEDFCNHVHRTLVKDMKYALVWGTSTRHNPQNCGLSQHLEDEDVVQIVKKKERDEGGRGRFKSHSNAPARIADREKKAPLKQ >fgenesh2_kg.1__1910__AT1G17480.1 pep chromosome:v.1.0:1:7336898:7338532:-1 gene:fgenesh2_kg.1__1910__AT1G17480.1 transcript:fgenesh2_kg.1__1910__AT1G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 7 [Source:UniProtKB/TrEMBL;Acc:D7KFF9] MGGSGNWIRSLISHRKPVNDQQEKLSEKSSKKKWKLWRISSESFTSSSFKSRGSYAASSFGSEPPSFSADETFTTAMAALIRAPPKDFLMVKREWASTRIQAAFRAFLARQAFRALKAVVRIQAIFRGRQVRKQAAVTLRCMQALVRVQSRVRAHRRAPSDSIELNDPVKQTEKGWCGSPRSIKEVKTKLQMKQEGAIKRERAMVYALTHQSRTCPSPSGRAITHHGSRKSSPGWNWYEDVGTFSRKSSESSVISEYETVKVRKNNLSSTRVLARPPLLLPPVSSGTSYDSLHDETSTSSTSQSPVAFSSSVLDGGGYYRKPSYMSLTQSTQAKQRQSGLSCNGDARCSAGSDQCTDLYPPGIVTGRHVWAKSQRS >fgenesh2_kg.1__1912__AT1G17510.1 pep chromosome:v.1.0:1:7348052:7348736:-1 gene:fgenesh2_kg.1__1912__AT1G17510.1 transcript:fgenesh2_kg.1__1912__AT1G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKAGKVLRTATAAARKFQQKQFSATSSAPVSPSSGVGSFLGIPKIRRSESDLLISKYIRLAQGRLTKKGDFTEEKLTKMLSGEDRVGIGETMKLLEGRKTGVESSKKTNTARSNK >fgenesh2_kg.1__1914__AT1G17530.1 pep chromosome:v.1.0:1:7358279:7359115:1 gene:fgenesh2_kg.1__1914__AT1G17530.1 transcript:fgenesh2_kg.1__1914__AT1G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KFG5] MAINHSPDNESNENTRLYHPYQNYQVPIKAQYLYKLPTSPEFLFTEESLKQRRSWGENLTFYTGTGYLGGSVAGAAAGIVSGIKSFENGDTTKLKINRILNSSGQAGRTWGNRIGIVGLIYAGIESGVVAVTDKDDVWTSVVAGLGTGAVFRAARGVRSAAVAGAFGGIAAGAVVAGKQVFKR >fgenesh2_kg.1__1915__AT1G17540.1 pep chromosome:v.1.0:1:7359827:7363305:-1 gene:fgenesh2_kg.1__1915__AT1G17540.1 transcript:fgenesh2_kg.1__1915__AT1G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase [Source:UniProtKB/TrEMBL;Acc:D7KFG6] MATRRLKGKDSKAVTAIAIDKDKNSQHALKWAVENIIIDSPNCILLHVQTKLRIGAGENTEAPHDNQEEAHQFFLPFRGFCARKGIIATEILLHDIDISSAIVDYITNNSISNLVLGASARNSFLKKFKSADVPTTLLKTTPDTCAVFIVSKGKLLTSRSASRPQTPQGPQTPQGPHTSQGPLTPQGPQHAPHPLKHPVMMSDPGPTSSTSSESGRSPPALNGELSPPTPHYKQSFNRSSMSELSNESPSGHSAESNASFYSILGRSTYGGSSHSSMSEMTDGEESLSGGNITEHQNQNLEAEVRRLRLELHQFNASICRESAPHLQGPRATAETEKLEEAKAAREMLRALSEMDKQKTQSAIQATEMAQRLAEIETQKRRLVEMQAKFKEQNMADSISYRRYSIRDVEGATDGFSDALKIGEGGYGPVYKAVLENTSVAIKILKSDVSQGLKQFNQEIEVLSCMRHPNMVILLGACPEYGCLVYEYMENGTLEDRLFCKDNTPPLSWRARFRIAAEIATGLLFLHQAKPEPLVHRDLKPANILLDRYLISKISDVGLARLVPPTVADSFSNYHMTAAAGTFCYIDPEYQQTGMLGVKSDLYSFGVVLLQIITAMPAMGLSHRVEKSIEKKTFREVLDPKISDWPEEETLVLAQLALQCCELRKKDRPDLASVLLPALSKLREFATENHEVHNSDKTFHVSRAQNSVPLSPISSSQTDDAWENPFDRKIGTST >fgenesh2_kg.1__1922__AT1G17590.3 pep chromosome:v.1.0:1:7384095:7386445:-1 gene:fgenesh2_kg.1__1922__AT1G17590.3 transcript:fgenesh2_kg.1__1922__AT1G17590.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding transcription factor (CBF-B/NF-YA) family protein [Source:UniProtKB/TrEMBL;Acc:D7KFH2] MEKKDSAAHSTPSFLNTSISWGLSTESNVGGSAMRLKVDARPERLLNTNNFSFQDQDSSSTLSTAQSSTHVATSGDDDPSRHISFSAHSDIFKGFEETQRKQFANHIKSGSSTAGIADIHFSPSKANFSFHYADPHFGGVMPAAYLQQATIWNPQMVSRVPLPFHLIENEPVFVNAKQFHAIMRRRQQRAKLEAQNKLIRARKPYLHESRHVHALKRPRGSGGRFLNTKKLQESTDPKQDMPIQQQQAKGNMSRFVAHQLQTSKDRGCSTTSGSDITSASDGVDLFGHTEFQISDHPSQTNPTMYVHRQSNDMHGGGNTHHFSVHI >fgenesh2_kg.1__1923__AT1G17600.1 pep chromosome:v.1.0:1:7387052:7390362:-1 gene:fgenesh2_kg.1__1923__AT1G17600.1 transcript:fgenesh2_kg.1__1923__AT1G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAPRVSKYDVFLSFRGEDTRKTIVSHLYAALDSRGIVTFKDDQRLEIGDHISDELRRALGSSSFAVVVLSENYATSRWCLLELQLIMELMKEGRLEVFPIFYGVDPSVVRHQLGSFALEKYQGPEMADKVLRWREALNLIANLSGVVSSHCVDEAIMVGEIARDISRRVTLLHKIDSGNIVGMKAHMEGLNHRLDLESNEVLMVGIWGMGGIGKTSIAKCLYDQLSPKFPAHCFTENIKSVSKDIGHDLKHLQKEMLCNILCDDIRLWSVEAGCQEIKKRLGNQRVFLVLDGVDKVSQVHALAKDKNWFGPGSRIIITTRDMGLLNTCGVEIVYEVKCLDDKDALHMFKQIAFEGGLPPDSFEQLSIRASRLAHGLPSAIQAYALFLRGRTATPDGWEEALSALESSLDENIMEILKISYEGLPKPHQNVFLHVVCLFNGDTLQRITSLLHGPIPQSSLWIRVLAEKSFIKISTNGSVIMHKLVEQMGREIIRDNMSLARKFLRDPMEIPDALAFRDGGEQTECMCLHTCELTCVLSMEASVVGRMHNLKFLKVYKHVDYRESKLQLIPDQQFLPRSLRLFHWDAFPLRALPSGSDPCFLVELNLRHSDLETLRTCMLKSLKRLDVTGSKYLKQLPDLSSITSLEELLLEQCTRLDGIPECIGKRSTLKKLKLSYRGGRTAQQHIGLEFPDAKVKMDALINISIGGDISFEFCSKFRGYAEYVSFNSEQHIPVISTMILQQAPWVISECNRFNSLSIMRFSHKENGESFSFDIFPDFPDLKELKLVNLNIRRIPSGICHLELLEKLDLSGNDFENLPEAMNSLSRLKTLWLRNCFKLEELPKLTQVQTLTLTNFKMREDTVYLSFALKTARVLNHCQISLVMSSHDFETLPPSIRDLTSLVTLCLNNCKKLKSVERIPTSLQFLDAHGCDSLEAGCVEHFKDTPTKEVYTWILICLFYD >fgenesh2_kg.1__1924__AT1G17610.1 pep chromosome:v.1.0:1:7390647:7391887:1 gene:fgenesh2_kg.1__1924__AT1G17610.1 transcript:fgenesh2_kg.1__1924__AT1G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYSFLLAGRELDVFLSFSGKIALDVNFGYDLSRNGIKAFKSESWKESSFKPIDQRTLEALTESKVAVVMTSDEEASSVGFLEELIVIVEFQEKRSLTVIPIFLTKHPLDVEQVSQIFPERAIIWRTAIAKLDIIAAQYSFSRNLAVMHGTHRIKQIADDIRLMFLSSASSDFKGLAGMDRHMKAFYALLALESDKEVRTVGIWGSAGEHLTNLDHEMNEMAEAKKKHRKVLLIADGVNNIEQGKWIAEYANWFAPGSRVILIAQEKSLLVESGVNHVYEVGSLRYDEALQLFSRFAFTQSYPPPDFERLSVRAVQLAGFLPVAIRLFGSFLNGRDKEEWEATLLKLNAKQGKDITEVWKIMEALEDKDIVEASET >fgenesh2_kg.1__1926__AT1G17620.1 pep chromosome:v.1.0:1:7395832:7396913:1 gene:fgenesh2_kg.1__1926__AT1G17620.1 transcript:fgenesh2_kg.1__1926__AT1G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDRVYPASKPPAIVGGGGGATTNPTFPANKAQLYNANRPAYRPPAGRRRSSHSRGCCCRCCCWTIFVIILLILIAAAASAVVYLIYRPQRPSFTVSELKISTLNFTSATHLTTAISLSVIARNPNKNVGFSYDATDITLYKVSTGGDDDVVIGKGTISSFVHGKKNTTTLRSTIGSPPEDPDEISAGKLKGDLKAKKAVAIKIVLNSKVKVKMGSLKTPKSGIRVTCEGIKVVAPTGKKATTATTSAAKCKVDPRFKIWKITF >fgenesh2_kg.1__1929__AT1G17650.1 pep chromosome:v.1.0:1:7403220:7405580:-1 gene:fgenesh2_kg.1__1929__AT1G17650.1 transcript:fgenesh2_kg.1__1929__AT1G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSIFCPRIPLRFRPKPKSPFLSKPQICLSYRVYSSLQSTTPSTKDELGTVSIGFLGMGIMGSPMAQNLIKAGCDVTVWNRSKSKCDPLVRLGAKYKSSPEEVTATCDLTFAMLADPESAIDVACGKNGAVFGISSGKGYVDVSTVDAASSILISKQIKDTGALFLEAPVSGSKKPAEDGQLIFLTAGDKLLYEKAAPFLDIMGKSRFYLGEVGNGAAMKLVVNMIMGSMMASFAEGILLSQKVGLDPNVLVEVVSQGAINAPMYSLKGPSMIKSVYPTAFPLKHQQKDMRLALGLAESVSQSTPIAAAANELYKVAKSYGLSDEDFSAVIEALKAAKS >fgenesh2_kg.1__1931__AT1G17680.1 pep chromosome:v.1.0:1:7419201:7425296:1 gene:fgenesh2_kg.1__1931__AT1G17680.1 transcript:fgenesh2_kg.1__1931__AT1G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKGKGVVEGDEGNLEEGPSNMECDKQVLGGDDKDSDEEGLVDDDDDDDDDSDDDDEGDELEEEEDDFEAGSEIPNTFERFEYEALAERKRKALADSQRNATNISNSTSGVEGFMEFLSSGRRRKSRKYKKKGRRLGSKKEVAPDILKRFREALFLHAHGRDIEALPILVEVIKQAPAFDIAYYYLSRVSEQLGRAESSSTEALKIAANIKGSKSPFWKLLYERFKEQEDMAVARSYASKAIQADPDDIPLKYEYADLCLNAGKYREAAETYEQIFRRCPERIETLKWGIEYFLKSGEGERAASILEDHIKSHSSEVGHDILDLLASVFMQINVHDRALKYIHDVRQIYNVGKELSSSLKIRQAICHVHLEEMEQAESVLSILPQEAVSEHPELITNLADELTNIGNFHSALKYYLEVISEPVNNGYLFVKIARCYMSLAEREQAIVFYYKALNELSDTVDIRITLASLLLEDGKRDEAVLVLSPPENPDPDTAKLKAWWKNRKIRMNLCQIYHSEGMLEDFANTALQLVLKWVWRRTVKGKRKRLVLSEHQRNKKRRRPRDAQASQLRGGPKKWRKIRATLNETRRIRERAAIKAHNEDICSESEEEVIKDEEYHRLFVDLCKALASLQRYWEALEIVNLARRLDAKMLPVETKKELQSLGAKISCDTMDPKQWFDCVRSVIQQHPYRLNAWNCYYRVISRLGKRASSEAKFMHHLRSKYRDCVPPILIAGHHFTVTSRHQDAAREYLEAYKLMPDSPLINLCVGAALINLALGFRLKNRHECLAQGFAFLYNNLRICSDSQEALYNVARAYQHVGLVTLAASYYEKVLAIYEKEYLMPKLPNEDPNVAEERKPVNCDLRKEAAHNLHLIYKHSGAFDLARQVLKDHCSF >fgenesh2_kg.1__1933__AT1G17690.1 pep chromosome:v.1.0:1:7425931:7431420:-1 gene:fgenesh2_kg.1__1933__AT1G17690.1 transcript:fgenesh2_kg.1__1933__AT1G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGAGVMKRHRSHEKFDKKRDTKKHKHVEKAVVSNPSIEDPDSPEEDSIETESEAMLYREPTQYQHLLASLGSSNKVVADMNKRRQREEEGKSDTEEDEDEEENSGSEDLSSIDGDDDKIQGDDQETLRGLMMENNDNQSEEEDPDDYETDEEHDLSTNGQSFVDASSSVSAFSEHLSHKLSSEEVNTLPKGKWKFKWESLAFDMPNCRWKGTSENFLDGIQSDATYGLKPKLYKHWLQLYKKSGGKDLDSSKRRKFFSICNNYLDILHSNKKPFYHSGSDEDSSAMDAYLMHSLNHIFKTRDLVKKNESKIAKHREISEEEILSDDGFLDQGFTRPKVLILLPLRSIAFRVVKRLIQLTPESQRVSVEHLDRFNDEFGCEEATDDGDVEKNTSKKGNSTTQKSSKPSDWQSLFGASNNDDEFMLGIKHTRKSIRLYGDFYSSDIIIASPLKLQMTFGQAEENKERDVDYLSSIEVLVIDHADIISMQNWSFLATVVDHLNRLPSKQHGTNVMRIRPLYLDGHARFYRQSIILSSYLTPEMNSLFNRHCLNYKGKMKLACEYKGVLEKVLLPVRQIYERFDADSITQVDDARLEYFTKKIFPKIRDSVQGGVMIFIHSYFEFVRLRNFLNTQNTSFCLLGDYAKNADISRARERFFVGSRKVMLYTERAYFYKRYKIRGIKNLIFYSLPERKEFYPEIMNMLEEGSHEMMSTALFSRFDMLQLERIVGSTSAKRMISSEKNMFAFC >fgenesh2_kg.1__1938__AT1G17720.1 pep chromosome:v.1.0:1:7437949:7442977:-1 gene:fgenesh2_kg.1__1938__AT1G17720.1 transcript:fgenesh2_kg.1__1938__AT1G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:D7KFI7] MNGGDDASSSSATSGPPPSLEWRFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTKDHGGSRKDLEETDYPVRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQPANGALFLLSTNDKTIKYWKVQEKKIKKISEMNIDPSREGSSSIPPQLVTNGLPANKAHGYLSNEYSFPPGGIPSLRLPVVTSQETNLVARCRRVYAHAHDYHINSISNSSDGETFISADDLRVNLWNLEISNQSFNIVDVKPTNMEDLTEVITSAEFHPIHCNMLAYSSSKGSIRLIDMRQSALCDSHTKLFEEPEAPGSRSFFTEIIASISDIKFSKDGRYILSRDYMTLKLWDINMDSGPVASYQVHEHLRPRLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGASQGSTEAATLEASKNPMRRQIQTPARPSRSIGSMTRVVRRGSESPGTEANGNAYDFTTKLLHMAWHPTENSIACAAANSLYMYYA >fgenesh2_kg.1__193__AT1G02813.1 pep chromosome:v.1.0:1:759019:759536:1 gene:fgenesh2_kg.1__193__AT1G02813.1 transcript:fgenesh2_kg.1__193__AT1G02813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFMIFFLLLSTSLSVSGQKKPSVYQVLENYTLPRGILPEGVHDYELNRRTGVFKVRFNTTCSFSIESYKVKYKPTISGIITRGRVIRLIGVSVKVLFFWINISEVSRDGDDVEFFVGAASEEFSTKYFVDSPKCGCGFNCYRPISSF >fgenesh2_kg.1__1940__AT1G17745.1 pep chromosome:v.1.0:1:7451436:7455148:1 gene:fgenesh2_kg.1__1940__AT1G17745.1 transcript:fgenesh2_kg.1__1940__AT1G17745.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7KFI9] MAFSTSCSSVKAVNSRWTSPSPSPSSRFAVLPVFLHRRYATSVKLTATSAALKTVEQTTLTEDNQFSTIGSDSDEYNPTLPKPRILVAEKLGEAGVNLLREFGDVDCSYDLSPEDLKKKVAESDALIVRSGTKVTREVFEAAKGRMKVVGRAGVGIDNVDLQAATEHGCLVVNAPTANTVAAAEHGIALLACMARNVAQADASIKAGKWERSKYVGVSLVGKTLAVMGFGKVGTEVARRAKGLGMNVISHDPYAPADRARALGVDLVSFDQAISTADFVSLHMPLTPATKKVFNDETFSKMKKGVRLINVARGGVIDEDALVRALDAGIVAQAALDVFCEEPPSKDSKLIQHENVTVTPHLGASTKEAQEGVAIEIAEAVAGALRGELSATAVNAPMVDPEFYLTREVSCPISIWSKGVQSIRVVYRSARNRDDLDTRLLRAMITKGIIEPISDSYVNLVNADFIAKQKGLRISEERMVVDSSPEYPVDSIQVQILNVESNFAGAVSDSGDISIEGKVKYGVPHLTCVGSFGVDVSLEGNLILCRQVDQPGMIGQVGHILGEQNVNVNFMSVGRTVLRKQAIMAIGVDEEPDNKTLERIGGVSAIEEFVFLKL >fgenesh2_kg.1__1941__AT1G17750.1 pep chromosome:v.1.0:1:7460306:7463746:1 gene:fgenesh2_kg.1__1941__AT1G17750.1 transcript:fgenesh2_kg.1__1941__AT1G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLGFVEIALLSSLFVHFRIDSVSSLNSDGMALLSLLNHFDNVPLEVTSTWKNNTSQTTPCDNNWFGVICDHSGNVETLNLSASGLSGQLSSEIGELKSLVTLDLSLNTFSGLLPSTLGNCTSLEYLDLSNNGFSGEIPDIFGSLQNLTFLYLDRNNLSGLIPASIGRLIDLVDLRLSYNNLSGTIPESIGNCTKLEYMALNNNMFDGSLPASLNLLENLGELFVSNNSLGGRLHFGSSNCKKLVTLDLSFNDFQGGVPPEIGKCTSLHSLLMVKCNLTGTIPSSLGLLKKVSLIDLSGNGLSGNIPQELGNCSSLETLKLNDNQLQGELPPALGMLKKLQSLELFVNKLSGEIPIGIWKIQSLTQMLIYNNTVTGELPVEVTQLKHLKKLTLFNNSFYGQIPMSLGMNQSLEEMDFLGNRFTGEIPPNLCHGHKLRIFILGSNQLHGNIPASIHQCKTLERVRLEDNKLSGVLPEFPESLSYVNLGSNSFEGSIPHSLGSCKNLLTIDLSRNKLTGLIPPELGNLQSLGQLNLSHNHLEGPLPSQLSGCARLLYFDVGSNSLNGSVPSSFRSWKSLSTLVLSDNNFLGAIPPFLAELDRLSDLRMARNAFGGEIPSSVGLLKSLRYGLDLSGNVFTGEIPTTLGALINLERLNISNNKLTGSLSALQSLNSLNQVDVSYNQFTGPIPVNLISNSSKFSGNPDLCIQPSYSVSAITRNEFKSCKGQVKLSTWKIALIAAASSLSVVALLFAIVLFFCRGKRGAKTEDANILAEEGLSLLLNKVLAATDNLDDKYIIGRGAHGVVYRASLGSGEEYAVKKLFFAEHIRANRNMKREIETIGLVRHRNLIRLERFWMRKEDGLMLYQYMPKGSLHDVLHRGNQGEAVLDWSTRFNIALGISHGLAYLHHDCHPPIIHRDIKPENILMDSDMEPHIGDFGLARILDDSTVSTATVTGTTGYIAPENAYKTVRSKESDVYSYGVVLLELVTGKRAVDRSFPEDINIVSWVRSVLSSYEDEDDTVGPIVDPTLVDELLDTKLREQAIQVTDLALRCTDKRPENRPSMRDVVKDLTDLKSFVSTSGSVH >fgenesh2_kg.1__1942__AT1G17760.1 pep chromosome:v.1.0:1:7463772:7470099:-1 gene:fgenesh2_kg.1__1942__AT1G17760.1 transcript:fgenesh2_kg.1__1942__AT1G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor 77 [Source:UniProtKB/TrEMBL;Acc:D7KFJ1] MADKYNVEEAEALAKRALHLPIAQATPIYEQLLSLYPTSARYWKQYVEAHMAVNNDDATKQIFSRCLLTCLQVPLWQCYIRFIRKVYDKKGAEGQEETTKAFEFMLNYIGTDIASGPIWTDYITFLKSLPALNLNEDLHRKNALRKVYHRAILTPTHHVEQLWKDYENFENSVNRQLAKGLVNEYQPKFNSARAVYRERKKYIEEIDWNMLAVPPTGSSKEETQWVAWKKFLSFEKGNPQRIDTASSTKRIIYAYEQCLMCLYHYPDVWYDYAEWHVKSGTTDAAIKVFQRALKAIPDSEMLKYAYAEMEESRGAIQSAKKLYESILGVSTNSLAHIQFLRFLRRAEGVEAARKYFLDARKSPSCTYHVYIAFATMAFCIDKEPKVAHNIFEEGLKLYMSEPVYILEYADFLTRLNDDRNIRALFERALSTLPAEDSAEVWNRFIQFEQTYGDLASILKVEQRMKEALSGKGEEGSSPLESSLQDVVSRYSYMDLWPCTSNDLDHLARQELLVKNLNKKVGKTNLPHGPAAIGSVASSSKVVYPDTSQMVVQDPTKKSEFASSANPVAASASNTFPGIVTATATHGSASTFDEIPKTTPPALLAFLANLPIVDGPTPNVDVVLSICLQSDFPTGQIVKQSFAAKGNPPSQNDPSGPTRGMSQRLPRDRRATKRKDSDRQEDDDTATVQSQPLPTDVFRLRQMRKARGIATSSQTPTGSTSYGSAFSGELSGSTG >fgenesh2_kg.1__1947__AT1G17800.1 pep chromosome:v.1.0:1:7482644:7483372:1 gene:fgenesh2_kg.1__1947__AT1G17800.1 transcript:fgenesh2_kg.1__1947__AT1G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSGHVSYVAATVPITIAMTVLCMFLANAVTYARRPTTYFVGGDDGWDPVVPMDTWARGKTFYAGDILEFKYDDQRFNLIVVNRTGYETCEANVGAIEYSSGDDKIQLHYGYNYFIGTYTPEDCSTGLKMAIKALAPK >fgenesh2_kg.1__194__AT1G02816.1 pep chromosome:v.1.0:1:759661:760663:1 gene:fgenesh2_kg.1__194__AT1G02816.1 transcript:fgenesh2_kg.1__194__AT1G02816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPISIFFFFFFFFLSLIAAAAADDDKPTAYTLLQSFNFPVGILPKGVVSYDLDPSTGKFHAYFNKSCSFALQGSYQLDYKSTISGYISENKITKLTGVKVKVLFLWLNIVEVIRNEDELEFSVGITSANFEIDEFYESPQCGCGFDCKTKTKTLGRNPFVSSV >fgenesh2_kg.1__1950__AT1G17830.1 pep chromosome:v.1.0:1:7492326:7494941:-1 gene:fgenesh2_kg.1__1950__AT1G17830.1 transcript:fgenesh2_kg.1__1950__AT1G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERMWWWSSSSTKLRSNLERFLRGITPKPPSFSLSQSCKNDVNSLWIHENKDEIEYFRLSDLWDCFDEPSAYGLGSKVDLNNGESVMQYYVPYLSAIQIYTNKSTAISRNQSDVVDCESECWSDDSEIEKLSRSLSSGSSKIWDSVSDDSGDEIDGVSSLMRDKLGSIDFQYFESAKPHLRAPLTAKVNELAEKYPGLATLRSVDLSPASWMAIAWYPIYHIPSRKMDTDLSTCFLSYHTLSSAFQGNLIEGEDEINETMEEETLCFDDEPVTKRLLLAPFGLVSYKMQGDLWSNQESCDQERLVYLHSAADSWLKQLNVHDHHDHSFFSMNMSL >fgenesh2_kg.1__1951__AT1G17840.1 pep chromosome:v.1.0:1:7504112:7508021:1 gene:fgenesh2_kg.1__1951__AT1G17840.1 transcript:fgenesh2_kg.1__1951__AT1G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7KG85] MEIEASRQQTTVPVSVGGGNFPVGGLSPLSEAIWREKAPTEFVGDVSARLTWQDLTVMVTMGDGETQNVLEGLTGYAEPGSLTALMGPSGSGKSTMLDALASRLAANAFLSGTVLLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETIWYSARVRLPDKMLRSEKRALVERTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRALSRDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGQASDAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVRASLKGSMKLRFEASDDPLEKITTAEAIRVLVDYYHTSDYYYTAKAKVEEISQFKGTILDSGGSQASFLLQTYTLTKRSFINMSRDFGYYWLRLLIYILVTVCIGTIYLNVGTSYSAILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVAAFVIANTLSATPFLIMITFISGTICYFMVGLHPGFTHYLFFVLCLYASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGFFRLPNDIPKPFWRYPMSYISFHFWALQGQYQNDLRGLMFDSQGSAFKIPGEYVLENVFQIDLHRSKWINLSVILSMIIIYRIIFFIMIKTNEDVTPWVRGYIARRRMKQKNGTQNTTVAPDALTQSPSLRNYIATRTDGARRW >fgenesh2_kg.1__1956__AT1G17890.1 pep chromosome:v.1.0:1:7515821:7517311:-1 gene:fgenesh2_kg.1__1956__AT1G17890.1 transcript:fgenesh2_kg.1__1956__AT1G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTGSEMKSGSFMLEKSAKIFVAGHRGLVGSAIVRKLQDQGFTNLVLRTHSELDLTSQSDVESFFATEKPVYVILAAAKVGGIHANNTYPADFIGVNLQIQTNVIHSAYKHGVKKLLFLGSSCIYPKFAPQPIPESALLTGPLEPTNEWYAIAKIAGIKMCQAYRLQHQWDAISGMPTNLYGQNDNFHPENSHVLPALMRRFHEAKANNADEVVVWGSGSPLREFLHVDDLADACVFLMDQYSGFEHVNVGSGVEVTIKELAELVKEVVGFKGKLVWDCTKPDGTPRKLMDNSKLASLGWTPKISLKDGLSQTYEWYLENVVQQKQ >fgenesh2_kg.1__1959__AT1G17910.1 pep chromosome:v.1.0:1:7518155:7520696:1 gene:fgenesh2_kg.1__1959__AT1G17910.1 transcript:fgenesh2_kg.1__1959__AT1G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KG91] MRGNKNYYFLILLYFLNLHILHSRSCTHKCGDIQIPFPFGIGEKGCYLDEWYQVECLPNAISRKVFPFLPKLNMEVVNISLPWANYNGFSSDVKVSSIRVKSPIASMGCSSDGKDHGLTLNLTDTPFFFGDQNNLVAVGCNNKASLTNVEPKMVGCESTCTTSTNSQSIPFFDKIRCSICNGNGCCQAKVPVGAQQLIGVTISNTSNGNLTTGGGCKVAFLTDEVYTLSNATNPEQFFSKGYAIVSLGWFIQTKKLLFLESLGCQNREEFDQSKTSTAKCTCDNYTASGINYASCACARGYKGNPYLTVDDCQDINECADRNNRCWNTDILYRKKCKNTPGDYDCVDYHIPETMLGLGAGFFVLIVAGGIWWLKKLLRKKRMTKRKRKFFKRNGGLLLQQQLTTTQGRVEKTKIFSSRELEKATDNFNENRVIGQGGQGTVYKGMLVDGRSVAVKKSNVVDEDKLQEFINEVIILSQINHRHVVKLLGCCLETEVPVLVYEFITNGNLFQHLHEEFDDYTVLWGVRMRIAVDIAGAFSYLHTAACSPIYHRDIKSTNILLDEKYRAKVSDFGTSRSVSIDHTHWTTVISGTVGYVDPEYYGSSHFTEKSDVYSFGVVLVELITGEKPVITLSETQEITGLADYFRLAMKENRLFEIIDARIRNDCKLEQVIAVANLALRCLKKTGKTRPDMREVATALERICSSPEDFQVQIQIDGEEETMKLFTGYSGSTASARTM >fgenesh2_kg.1__195__AT1G02830.1 pep chromosome:v.1.0:1:763612:764069:-1 gene:fgenesh2_kg.1__195__AT1G02830.1 transcript:fgenesh2_kg.1__195__AT1G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGIAAKSSGGAKKKGVSFVIDCSKPVDDTIMEIATLEKFLQERIKVGGKAGSLGNSVSITRDNVKITVNADSNFSKRSTISVIASNKDKNVYEVRYFRIDDEVASAEED >fgenesh2_kg.1__1964__AT1G17960.1 pep chromosome:v.1.0:1:7542877:7547517:-1 gene:fgenesh2_kg.1__1964__AT1G17960.1 transcript:fgenesh2_kg.1__1964__AT1G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNHHPKDEAYLSSVIPKRIRIFEEIQAEQLEQLQSRPHDPIKITLLPDGIVKEGKRWETTPMDIAVQISKGFAKSALVSSVDHVLWDMNRPLEGDCSLEIFGFDSDQGRDTFWHSSALILGHALEQEYGCKLCIGPCKTIDEGFFCDAFYHGELGLNDNHFPNIEAELPIRMADFGVLHRNEESGALSGMTRVRRFVQDDAHIFCRVDQVGEEVKGVLDFIDYVYKIFGFTYELKLSTPEKKYIGDLETWDKAEKDLEKALDNFGKPWVINKGDGAFYGPKIDITVSDAMKRKFQCATLQLDFQLPACFKLKYITKKNEKERPVMIHRAVLGSIERMFAILLEHYKGIWPFWLSPRQAIVCSLSEDYSSYAKQVQKQIQEAGYYVDIDESDRSICKKVADARAAPYNYILVVGQKEATTGQVTVRLREDPAGRKDLPEMSIDSLLDEFKFKTINFL >fgenesh2_kg.1__1966__AT1G17980.1 pep chromosome:v.1.0:1:7551298:7555242:-1 gene:fgenesh2_kg.1__1966__AT1G17980.1 transcript:fgenesh2_kg.1__1966__AT1G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KG97] MASVQQNGQRFGITEPISLGGPTELDVIKTRELEKHLQDVGLYESKEEAVRREEVLGILDQIVKTWIKTISRTKGLNDELLHLANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREGDFFGELQRMLSEMPEVTELHPVPDAHVPLMGFKLNGVSIDLLYAQLPLWVIPEDLDISQDSILQNADEQTVRSLNGCRVTDQILRLVPNIQNFRTTLRCMRFWAKRRGVYSNVSGFLGGINWALLVARICQLYPNALPNMLASRFFRVYNQWRWPNPVLLCSMDEGSFGLQVWDPRRNPKDRLHIMPIITPAYPCMNSSYNVSASTLRIMTGEFQRGKEICEAMEANKADWDTLFEPFAFFEAYKNYLQIDISAANVNDLRKWKGWVESRLRQLTLKIERHTYDMLQCHPHPHDFQDASRPLHCSYFMGLQRKQGVPAAEGEQFDIRRTVEEFKHTVNAYTLWIPGMEISVSHIKRRSLPNYVFPGGVRPSHTSKGTWDSNRRSEHRNSSTSSALAAATTTTEMSSESKAGSNSPVDGKKRKWGDNETLTDQLRKSKHIAVSVPVENCEGGSPNPSVGSICSSPMKDYCTNGKSEPISKDPPENVVAFSKEPAESLPIEKIATPQAPSQETEELEESFDFGNQVVEQISHKVAILTATATIPPFEATSNGSAFPNEAVEELEVLPMRQPDAAHRPSVQQRKPIIKLSFTSLGKTNGK >fgenesh2_kg.1__1968__AT1G18000.1 pep chromosome:v.1.0:1:7556483:7558148:1 gene:fgenesh2_kg.1__1968__AT1G18000.1 transcript:fgenesh2_kg.1__1968__AT1G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKNEEKIPAENHGGGEDIKPPGNKKWRFNSPFVQVSLMGFVCFCCPGMFNALSGMGGGGQVDPTAANNANTAVYTAFTVFGLLGGAFYNVLGPRLTLAAGCSTYVLYAGSFLYYNHHHHQAFAIVAGALLGCGAGLLWAGEGAVMTSYPPPHRKGTYIALFWSIFNLGGVIGGLIPFILNYHRSSAASVNDSTYIAFMCFMFAGVLLSLGILPATSVIRNDGSRCSAVKYSRPSTEAAAVLRLFLDRKMLLIVPAAWASNFFYSYQFNNVNGILFNLRTRGFNNVFYWGAQMAGSIAIGYVMDFSFKSRRARGFAGISLVAVIGTIIWAGGLANQHGYSLNNLPGKKLDFKDSGIEFAGPFVLYMSYGLLDAMYQSMVYWLIGALADDSQTLSRYSGFYKGVQSAGAAVAWQVDTRKVPLMSQLIVNWSLTTVSYPLLVLLVYFYVKNDNDDDSNDKV >fgenesh2_kg.1__1975__AT1G18040.1 pep chromosome:v.1.0:1:7571771:7574397:-1 gene:fgenesh2_kg.1__1975__AT1G18040.1 transcript:fgenesh2_kg.1__1975__AT1G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase D1_3 [Source:UniProtKB/TrEMBL;Acc:D7KGA1] MPEMEQPKKVADRYLKQEVLGQGTYGVVFKATDTKTEQTVAIKKIRLGKQREGVNITALREIKMLKELKHPHIILLIDAFPHKENLHLVFEFMETDLEAVIRDSNIFLSPADIKSYLLMTFKGLAYCHDKWVLHRDMKPNNLLIGVDGQLKLADFGLARIFGSPNRKFTHQVFARWYRAPELLFGAKQYGAAVDVWAVGCIFAELLLRRPFLQGNSDIDQLSKIFAAFGTPKADQWPDVTKLPDYVEYQFVPAPSLRSLFPAVSEDALDLLSKMFTYDPKARISIKQALEHRYFTSAPAPTDPAKLPKPVHKQDGKSSYGKHEAITVLSPPRKLRRVMPERGRVDSLKAHVDKDQQAPMSLDFTILAERPPNRPTITSADRSHLKRKLDLEFQ >fgenesh2_kg.1__1976__AT1G18070.1 pep chromosome:v.1.0:1:7580651:7585689:-1 gene:fgenesh2_kg.1__1976__AT1G18070.1 transcript:fgenesh2_kg.1__1976__AT1G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAEIRALQLDSADENNGVVIPEDHNSDEVENLDKAPEEDLKDEVQESVPVPDEQQASEDHDEVMLHPVHNPAKAKEKAAQDKAAKEEAEDEAEANKKRHLNVVFIGHVDAGKSTIGGQILYLSGQVDERQIQKYEKEAKDKSRESWYMAYIMDTNEEERLKGKTVEVGRAHFETQSTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLIVVVNKMDDPTVNWSKERYDEIEQKMVPFLKASGYNTKKDVVFLPISGLMGKNMDQRMGQNVCPWWSGPSFFEVLDSIEIPPRDPNGPFRMPIIDKFKDMGTVVMGKVESGSIREGDSLVVMPNKEHVKVVAIYCDEDKVKRAGPGENLRVRITGIEDEDILAGFVLSSIVNPVPVVTEFVAQLQILELLDNAIFTAGYKAILHIHAVVEECEIIELKSQIDLKTRKPMKKKVLFVKNGAAVVCRIQVTNSICIEKFSDFPQLGRFTLRTEGKTIAVGKVTELISAASSA >fgenesh2_kg.1__1986__AT1G18160.1 pep chromosome:v.1.0:1:7627753:7632931:1 gene:fgenesh2_kg.1__1986__AT1G18160.1 transcript:fgenesh2_kg.1__1986__AT1G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KGB3] MKKFLKKLRITPNQRDDGEGSVSNRSNKSSDAEPSPSDSLRSQDNTEFKPFSGLSNWFSSVAHRKSPSSSNATNSKEDETTMEHGGPVGSESGRMQGLGSSNSKDPEVEEEYQIQLALELSAREDPEAAQIEAMKQFSLGSRPSAPENSPAELMAYRYWNYNCLGYDDKIVDGFYDLCGVMNESSLERIPPLVDLQGTLMSDGVTWDAVLVNRSQDSNLLRLEQMALDIAAKSKSASSSGFVNSELVRKLAVLVADYMGGPVVDPDSTLRAWWSLSYSLKATLHSMVLPLGSLTIGLARHRALLFKVLCDSVGVPCRIVKGQQYTGSDDVAMNSIKTDDGREYIVDLMGDPGTLIPADAAGLQMDYDDSVYTASPRDVDSSHVASSSSGVESSIEEHAESWSAEHRSKTKGYREENQSAGGGDLMIRPNIFREFVGSQKAPVQHHSSKPTHSFTHARSPSWTEGVSSPAGRRMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPRNLFSEVYSESMEATGEIKSVAESSDEKGKDFGTIQQGRNQSNIGPVRFLPPLPRPQSKAYTHDLREHSGPGLGHLSHHCNIDGQSDSSHSETSTDYPRNVPVAVAAAAVVASSMVVAAAKSANSDSSTLELSAAAAAAVVATAAAVSRQLELDSLSNGDAGSGGIHGVDSGGERISDRSTGNESSKSDSAIDDVAECEILWEEITVAERIGLGSYGEVYRGDWHGTAVAVKKFIDQDITGEALEEFRSEVRMMRRLRHPNIVLFMGAVTRPPNLSIVTEFLPRGSLYRLIHRPNNQLDERKRLRMALDAARGMNYLHSCNPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKVSTYLSSKSTAGTAEWMAPEVLRNEPADEKCDVYSYGVILWELFTLQQPWGKMNPMQVVGAVGFQHRRLEIPEFVDTGIADIIRKCWQTDPRLRPSFAEIMASLKQLQKPMMGPNIQRATVPSSSALLTTDEQEQ >fgenesh2_kg.1__1996__AT1G18265.1 pep chromosome:v.1.0:1:7660317:7661215:-1 gene:fgenesh2_kg.1__1996__AT1G18265.1 transcript:fgenesh2_kg.1__1996__AT1G18265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIASFLKLLSETDGFGFGIFVFGCSSQFFNLVFLCCLLLLGLKFLFFKGTSLFLQYLEKIYRISSKIECFNAFDREHKDCNNNGFISKSNLVDGLDQKKPIILHWSSDSTNGLSCDHIKNRDSTVALSETELDEKNYYGEEEESEDEEESRPRSDEDQVFDVITLRKMVKRERKRGDCMKKELEKERRAAESAAEEAMAMLLKLRMEKSVVDMEAKQYKRVAEQKQVYDQQVIQSLQWMLMKPDDEDKIQD >fgenesh2_kg.1__1998__AT1G18270.2 pep chromosome:v.1.0:1:7661414:7671896:-1 gene:fgenesh2_kg.1__1998__AT1G18270.2 transcript:fgenesh2_kg.1__1998__AT1G18270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketose-bisphosphate aldolase class-II family protein [Source:UniProtKB/TrEMBL;Acc:D7KGC3] MGGVVGFVGLDSYSFELASSLLRSGFKVQAFEISTELVEKFTELGGHKCDSPADVGKGAAAVVVLLSHPDQVQDVIFGDEGVMKGLQKDTVLLLSSTISTLQLQKLEKQLTENREQIFVVDAYVLKGMSELLDGKLMIIASGRSDSITRAQPFLTAMCQKLYTFDGEIGAGSKVKMVNELLEGIHLVAAVEAISLGSQAGVHPWILYDIISNAAGNSWIYKNHIPLLLKDDIEGRFLNVLAQNLGIVEDKAKSLPFPVPLLAVARQQLISGISQMQGDDTATSLAKIWEKVLGVGILEAANRELYKPEDLAKEITSQAKPVNRVGFIGLGAMGFGMAAHLLKSNFSVRGYDVYKPTLVRFENAGGLVANSPAEVTKDVDVLVIMVTNEVQAEDVLYGHLGAVEAIPSGATVVLASTVSPAFVSQLERRLENEGKDLKLVDAPVSGGVKRAAMGELTIMASGTDEALKSAGLVLSALSEKLYVIQGGCGAGSGVKMVNQLLAGVHIASAAEAMAFGARLGLNTRKLFNVISNSGGTSWMFENRVPHMLDNDYTPYSALDIFVKDLGIVTREGSSRKVPLHISTVAHQLFLAGSAAGWGRIDDAGVVKVYETLAGIKVEGRLPVLKKQDLLNSLPSEWPLDPTADIHRLNMGNSKTLVVLDDDPTGTQTVHDVEVLTEWSVESISEQFRKKPACFFILTNSRSLSPEKASALIKDICSNLCAASKEVGNADYTIVLRGDSTLRGHFPQASLEADAAVSILGEMDAWIICPFFLQGGRYTINDVHYVADSDRLVPAGETEFAKDASFGYKSSNLREWVEEKTAGIIPANSVQSIPIQLLRKGGPDAVCEFLCSLKKGSTCIVNAASERDMAVFAAGMIQAELKGRSFLCRTAASFVSALIGIIPKDPVLPKDFASNKESSGALIVVGSYVPKTTKQVEELQSQHKQKLRSIEISVEKVALKSSEVREEEIRRAVEMADAFLRAGRETLIMSSRELITGKTSSESLDINSKVSSALVEVVSQISTRPRYILAKGGITSSDTATKALKARRALVIGQALAGVPVWKLGPESRHPGVPYIVFPGNVGNSTALAEVVKSWSVVAGRSTKELLLNAEKGGYAVGAFNVYNLEGVEAVVAAAEEENSPAILQVHPGAFKQGGIPLVSCCISAAEQARVPISVHFDHGTTKHELLEALELGLDSVMVDGSHLSFTENLSYTKSITELARSKNIMVEAELGRLSGTEDGLTVEDYEAKLTNVHQAEEFMETGIDALAVCIGNVHGKYPESGPKLKLDLLKELHALSSKKGVILVLHGASGLSEKLIKECIENGVRKFNVNTEVRTAYMEALSSGKKTDLVDVMSATKAAMKAVITDKIRLFGSAGKA >fgenesh2_kg.1__19__AT1G02090.1 pep chromosome:v.1.0:1:46396:48761:1 gene:fgenesh2_kg.1__19__AT1G02090.1 transcript:fgenesh2_kg.1__19__AT1G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQKQAEIIDQLVKRASTCKPEALGPLIIEATSHPSLFAFSEILALPTVAQLQGTTDSVYLDVLRLFAHGTWGDYKCNASRIPQLSPDQILKLKQLTVLTLAESNKVLPYDTLMVELDVTNVRQLEDFLINECMYAGIVRGKLDQLKRCFEVPFAAGRDLRPGQLGNMLDTLSSWLNTSENLLISIQDKIKWADSMSEMDKKHRKEAEEGVEEVKKSLSMKGDVDIRGNKEMFGEPSGVMDYEEDGIRPKRRRHPVTR >fgenesh2_kg.1__2000__AT1G18290.1 pep chromosome:v.1.0:1:7677961:7682169:1 gene:fgenesh2_kg.1__2000__AT1G18290.1 transcript:fgenesh2_kg.1__2000__AT1G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTSSCAPLIISTNSSSGVVKILAPFTGTLEVFPKPIKTSDIVSRRSGHFITDSTLLQIGQRVTAVSPNEFLRPRRHLYLLLPTDMLFSVLTHEELALISDKAAETLNESRYSHLKRIFPVCIFPATGDKRRNSSSANDDDNHDHDGVEIRETLDEKVLYESKHGSWRPKLETIVES >fgenesh2_kg.1__2002__AT3G10110.1 pep chromosome:v.1.0:1:7685062:7686526:1 gene:fgenesh2_kg.1__2002__AT3G10110.1 transcript:fgenesh2_kg.1__2002__AT3G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g10110 [Source:UniProtKB/TrEMBL;Acc:D7KGC8] MADSNTETTGASSGSVGADENATQIQPIRMPTIEEIRAQEVWNNCAVRAVTSGVMGGGLGLMMGLFLGALDNPIMHDTMTARQQFVFTAKQMGQRSWNSCKTFAVMGLVFSAAECIVEKARAKHDTTNTAIAGCVTGGSMSARGGPKAACIGCAGFATFSVLIEKFFDRHT >fgenesh2_kg.1__2004__AT1G18330.2 pep chromosome:v.1.0:1:7686780:7688783:-1 gene:fgenesh2_kg.1__2004__AT1G18330.2 transcript:fgenesh2_kg.1__2004__AT1G18330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-phytochrome-responsive1 [Source:UniProtKB/TrEMBL;Acc:D7KGC9] MAAEDRSEELSSNVENGSCNSNEGTNPETSSHWIENIVKVRKPYTVTKQREKWSEEEHDRFLEAIKLYGRGWRQIQEHIGTKTAVQIRSHAQKFFSKMAQEPDNRSEGSVKAVVIPPPRPKRKPAHPYPRKSPVPYSQSPSSNLSAMEKGTKSPTSVLSSFASEDQINRCSSPNSCTSDIQSIGATSIDKKNDYTTSKQSFKEDSDIGSIPMSSITLFGKIVLVVAESHDKPSSYRDDDDPKSMTDQENHYSGIKNSSLHIDTNLSLGVWETSCTSSNAFGSVTEVSENLEKSAEPISCSWKQLSSLENQGSCNPATASGFRPYKRCLSEREVTSSLSLVASEEQNSQRRARIC >fgenesh2_kg.1__2008__AT1G18340.1 pep chromosome:v.1.0:1:7699380:7701768:-1 gene:fgenesh2_kg.1__2008__AT1G18340.1 transcript:fgenesh2_kg.1__2008__AT1G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVVSKQYSDDVSLLVLLLDTNPLFWSTTSITFSQFLSHVLAFLNAVLGLNQLNQVVVIATGYSSCDYIYDSSLTSNHGNLESNGTGMPALFGSLLKKLEDFVTKDEELSREEVSEDRIPSCLLSGSLSMALCYIQRVFRSGHLHPQPRILCLQGSPDGPEQYVAVMNSIFSAQRLMVPIDSCYIGVQNSAFLQQASYITGGVHHTPKQLDGLFQYLTTIFATDLHSRSFVQLPKPIGVDFRASCFCHKKTIDMGYICSVCLSIFCEHHKKCSTCGSVFGQSKLDDASSVSDKKRKAPST >fgenesh2_kg.1__2009__AT1G18350.1 pep chromosome:v.1.0:1:7707700:7708716:1 gene:fgenesh2_kg.1__2009__AT1G18350.1 transcript:fgenesh2_kg.1__2009__AT1G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRERRRINLRLPLPPLYDRLPCFSFASSTAAVTSKLDVINNGISASDIEKLHVLGSGTGGIVYKVHHKTTGELYALKTVNGDMSSTFTRQLTREMEILRRTESPYIVRCHGIFEKPIAGEVSILMEYMDGGNLESLRGAVTEKQLAGFSRQILKGLTRRDLTPSVAGENSDVYAGDIWSFGLMMLELFVGHFPLLPQGQRPDWATLMCAVCFGEPPRTPEGCSDEFRSFVDCCLRKESSERWTASQLLGHSFLREVPIACDVTGLDLFSG >fgenesh2_kg.1__2011__AT1G18370.1 pep chromosome:v.1.0:1:7712301:7716411:-1 gene:fgenesh2_kg.1__2011__AT1G18370.1 transcript:fgenesh2_kg.1__2011__AT1G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7KGD6] MTIKTPGTPVSKMDRTPAVTPGGSSRSREEKIVVTVRLRPLNKKELLAKDQVAWECVDDHTIVSKPQVQERLHHQSSFTFDKVFGPESLTENVYEDGVKNVALSALMGINATIFAYGQTSSGKTYTMRGVTEKAVNDIYNHIIKTPERDFTIKISGLEIYNENVRDLLNSDSGRALKLLDDPEKGTVVEKLVEETANNDQHLRHLISICEAQRQVGETALNDTSSRSHQIIRLTIQSTHRENSDCVRSYMASLNFVDLAGSERASQSQADGTRLREGCHINLSLMTLTTVIRKLSVGKRSGHVPYRDSKLTRILQHSLGGNARTAIICTLSPALAHVEQSRNTLYFANRAKEVTNNAHVNMVVSDKQLVKHLQKEVARLEAERRTPNPSSAKDFKIQQMEMEIEELRRQRDDAQSQLEELRQKLQGDQPQNKGLNPFESPDPPVRKCLSYSVVVTPSTENKTLNRNERTRKTTIRQSMIRQSSTAPFTLMHEIRKLEHLQEQLGEEATKALEVLQKEVACHRLGNQDAAQTIAKLQAEIREMRTVKPSAMLKEVGDVIAPNKSVSANLKEEITRLHSQGSTIANLEEQLESVQKSIDKLVMSLPSNINAGDETPRTKNHHHQSKKKKLLPLTPSSVSNRQNFLKSPCSPLSASRQVLDCDAENKAPQENNISATRGATTPQGSEKETPQKGEESGDVSSRESTPGYRRSSSVNMKKMQQMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANDGAGYSVENEENTIMEDEEQSQVAWHITFIEERQQIIELWHVCHVSIIHRTQFYLLFKGDQADQIYMEVELRRLTWLEQHLAEVGNATPARNCDESVVSLSSSIKALRREREFLAKRVNSRLTPEEREELYMKWDVPLEGKQRKLQFVNKLWTDPYDSRHVQESAEIVAKLVGFCESGNISKEMFELNFAVPSDRRQWNIGWDNISNLLHL >fgenesh2_kg.1__2016__AT1G18440.1 pep chromosome:v.1.0:1:7742306:7744199:1 gene:fgenesh2_kg.1__2016__AT1G18440.1 transcript:fgenesh2_kg.1__2016__AT1G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7KGE1] MKSVAFPAKIANLSFPSGCSSLFFSPALPCRKLTKGTRGVGGLMSQCLSSSSQSLSSLSNSFSSQPESELLQALPSSKPKSPPPQLPWLIVGLGNPGKKYQGTRHNVGFEMVDALANAEGISMNTVNFKALFGKGVIGNIPIMMAKPQTFMNLSGESVGQIVSFYKIPLKQVLVVYDDLDLPFGKLRLLPKGGHGGHNGMRSIIDRLKGSRDFPRLRIGIGRPPGKMDTANFVLRQFNRQEQEELDYTFQTGIEAIRILLLEGINKSATFVNTRKSMEQLS >fgenesh2_kg.1__2017__AT1G18450.1 pep chromosome:v.1.0:1:7744448:7748322:1 gene:fgenesh2_kg.1__2017__AT1G18450.1 transcript:fgenesh2_kg.1__2017__AT1G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVVDLGSHTCKAGYAGEDAPKAVFPSVIGAVDGIEAMDVDADSTKTNSNSEDSKTESEKEKGKRKLYVGSQAMSYRRDHMEVLSPIKDGIVSDWDLVDNIWEHAFKSCLMIDPTEHPMLLAEPPLNTQQQREKAAELMFEKYKVPALFMAKNPVLTSFATGRATSLVVDCGGGSTTISPVHDGYVLQKAVVSSPLGGEFLTDCLLKSLESKGIKIRPRYSFKRKEIRAGEFQVEDVDIPNTTESYKLFCQRMIVGDIKDSICRVPDTPYDDKSYSNIPTTSYELPDGQMLEIGADRFKVPDVMFNPSIVQTIPGMEKYADMIPSVRGLPHMVMESINKCDVDIRRELYSSILLAGGTSSMQQLKERLEKDLIEESPHSARVKVLASGNTTERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGASYIQRKCP >fgenesh2_kg.1__2018__AT1G18460.1 pep chromosome:v.1.0:1:7749228:7752758:1 gene:fgenesh2_kg.1__2018__AT1G18460.1 transcript:fgenesh2_kg.1__2018__AT1G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase family protein [Source:UniProtKB/TrEMBL;Acc:D7KGE3] MQRIVDNALAVTKESVKTLTYESLNNIARCINGFSALLLTLLPGKSNVLEGLHGWELRPTLRGPRLPRWMHNGVSSFNHFIHELSVDSDTSSLDYSSGEDDSDGISTPPSPLSQSSLRSWASLPTNYESHWTDWITFIVWWVLLPARILLWLPLYLLRLLGTRNSRMSPMSPGRYQHSSRPYFSKAIPGKEHDVPNRTTDKRRGVIEDLQLGIEIFIETIFDFFHKAAHLLLSPSETFGIVLSWFSSSSHSSKGNYGDASDDEIVQTAILGDSDSSPTERRTTTSLYNTDTRTCQDVITELGYPYEAIRVVTSDGYGLLLERIPRRDARKAVYLQHGVMDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNFRGLVSRDHVNKNISSKDFWRYSINEHATEDIPAMIKKIHEIKTSELKLYQPTMEEVVNEEQPYKLCVISHSLGGAAVLMYVITRKIEEKPHRLSRLILLSPAGFHDDSNMCFTLMEYTFLLLGPVLSRIVPAFYIPTKFFRMLLNKLARDFHNYPAVGGLVQTLMSYVVGGDSSNWVGVMGLPHYNMNDMPGISFRVAQHLAQIKHSGKFKMFDYGSSSANMEVYGSPEPLDLGEFYGLIDVPVDLVAGKKDKVIRPSMVRKHYRVMRDSGVDVSYNEFEYAHLDFTFSHREELLAYVMSRLLLVEPTPTQTNHKKGMKLKKKMETGKPHL >fgenesh2_kg.1__2020__AT1G18470.1 pep chromosome:v.1.0:1:7752827:7756945:-1 gene:fgenesh2_kg.1__2020__AT1G18470.1 transcript:fgenesh2_kg.1__2020__AT1G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KGE4] MVFFPLWAFHAVVARGRFSLPAPVAPRNRHWAPCHAVVATPLLVAFELLLCIYLESSYARWPPAVSLKIAFVPLFAFELTILVDNLRMCRALMPGDDDSITDDAIWEALPHFWVAISMVFTLAATFFTLLKLSGDVVALGWWDLFINFGIAECFAFLVCTKWSNPVIHRSSRARETGSSSTTIRYLDWNSGLVVAPEEDRHQDRWCGLQDIGGHMLKIPVIVFQVVLCMYLEGTPERAKDISIPLLFSPLFLLQGLGVLFAASKLIEKIVLLLRGEAGPGLYFRFSSSAHDCLGFLHHGSRLLGWWSIDEGSREEQARLYFDQESGYNTFSGHPPEVVKKMPKEDLAEEVWRLQAALGEQTEITKFSQQEYERLQNEKVLCRVCFEKEISLVLLPCRHRVLCRVCADKCTTCPICRIDIEKRLSVYDV >fgenesh2_kg.1__2021__AT1G18480.1 pep chromosome:v.1.0:1:7760349:7761551:1 gene:fgenesh2_kg.1__2021__AT1G18480.1 transcript:fgenesh2_kg.1__2021__AT1G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRENPSGICKNIPNLISSFVDTFVDYSVSGIFLPQDPSPQNDILQTRFDKPERLVAVGDLHGDLDKSREAFKIAGLIDSSDRWTGGSTMVVQVGDVLDRGGEELKILYFLEKLKREAERAGGKVLTMNGNHEIMNIEGDFRYVTKTGLEEFQVWADWYCLGNKMKTLCSGLDKPKDPYEGIPMSFPRMRADCFDGIRARIAALRPEGPISKRFLTKNQTVAVVGDSVFVHGGLLAEHIEYGLERINEEVRGWINGLKGGRYAPVYCRGGNSVVWLRKFSEEMAHKCDCAALEHALSTIPGVKRMIMGHTIQDAGINGVCNDKAIRIDVGMSKGCADGLPEVLEIRRDLGVRILTSNPLYKENPYSHLAPDSKTGLGLLVPVPKQVEVKA >fgenesh2_kg.1__2022__AT1G18485.1 pep chromosome:v.1.0:1:7761901:7764803:1 gene:fgenesh2_kg.1__2022__AT1G18485.1 transcript:fgenesh2_kg.1__2022__AT1G18485.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KGE6] MASVLLPLPQLSVLFDYRRSRKESSFPRADYNSNAISSNSTNANHFLRRISNFCETGDLDKSFRVVQEFAGDDESSSDVFLLVREALGLLLQASGKRKDIEMGRKIHHLVSGSTRLRSDDVLCTRIITMYAMCGSPDDSRSAFDALRSKNLFQWNAVISSYSRNELYHEVLEMFIKMISKTHLLPDNFTFPCVIKACAGISDVGIGLAVHGLVVKTGLVEDLFVGNALVSFYGTHGFVSDALKLFDIMPERNLVSWNSMIRVFSDNGDDGAFMPDVATVVTVLPVCAREREIGVGKGVHGWAVKLSLDKELVVNNALMDMYSKWGCIIDSQMIFKLNNNKNVVSWNTMVGGFSAEGDIHGTFDLLRQMLAGSEDVKADEVTILNAVPVCFDESVLPSLKELHCYSLKQEFVYDELLANAFVASYAKCGSLSYAQRVFHGIRSKTLNSWNALIGGYAQSSDPRLSLDAHLQMKNSGLLPDNFTVCSLLSACSKLKSLRLGKEVHGFIIRNWLERDLFVYLSVLSLYIHCGELCTVQVLFDAMEDNSLVSWNTVITGHLQNGFPERALGLFRQMVLYGIQPCGISMMTVFGACSLLPSLRLGREAHAYALKHLLEDNAFIACSIIDMYAKNGAITQSSKVFNGLKEKSAASWNAMIMGYGMHGRAKEAIKLFEEMQRTGRNPDDLTFLGVLTACNHSGLLHEGLRYLDQMKSSFGLKPNLKHYACVIDMLGRAGQLDNALRVAAEMSEEPDVGIWNSLLSWCRIHQNLEMGEKVAAKLFVLEPEKPENYVLLSNLYAGLGKWDDVRQVRQRMKEMSLRKDAGCSWIELNGKVFSFVVGERFLDGFEEIKSLWSILEMKIWKMGYRPDTSSVQHDLSEEEKIEQLRGHSEKLAITYGLIKTSEGTTLRVYKNLRICVDCHNAAKLISKVMEREIVVRDNKRFHHFNKGFCSCGDYW >fgenesh2_kg.1__2023__AT1G18490.1 pep chromosome:v.1.0:1:7767429:7769691:1 gene:fgenesh2_kg.1__2023__AT1G18490.1 transcript:fgenesh2_kg.1__2023__AT1G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLFKAGEKVLLNLVSKKDLHMASRNQEKSPKVQELYDLCKETFTGKAPSPASMAVQKLCSVLDSVSPADVGLEEVSQDDDRGYGVSGVSRFNRVGRWAQPITFLDIHECDTFTMCIFCFPTSSVIPLHDHPEMAVFSKILYGSLHVKAYDWVEPPCIITQDKGVPGSLPARLAKLVGDKVLTPQSEIPVLYPKTGGNLHCFTALTPCAVLDILTPPYKESVGRSCSYYMDYPFSTFALEEGMKKVEGKEDEYAWLVQIDTPDDLHMRPGSYTGPTIRV >fgenesh2_kg.1__2024__AT1G18500.1 pep chromosome:v.1.0:1:7769931:7773827:1 gene:fgenesh2_kg.1__2024__AT1G18500.1 transcript:fgenesh2_kg.1__2024__AT1G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRNPNLYSSTTITTAPFLPTVSSNPTPVSSFRFQPSHHRSISLRSQTLRLSCSISDPSPLPPHTPRRRRPEYIPNRISDPNYVRVFDTTLRDGEQSPGATLTSKEKLDIARQLAKLGVDIIEAGFPAASKDDFEAVKTIAETIGNTVDENGYVPVICGLSRCNKKDIERAWDAVKYAKRPRIHTFIATSDIHLEHKLKKTKAEVIEIARNMVRFARSLGCEDVEFSPEDAGRSEREYLYEILGEVIKAGATTLNIPDTVGITLPNEFGQLITDLKANTPGIENVVISTHCQNDLGLSTANTLSGAHAGARQVEVTINGIGERAGNASLEEVVMAIKCRGDHVLGGLFTGIDTRHIVMTSKMVEEYTGMQTQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIICPEEIGLERSNDAGIVLGKLSGRHALKDRLTELGYQLDDEQLSTIFWRFKTVAEQKKRVTDADIIALVSDEVFQPEAVWKLLDIQITCGTLGLSTATVKLADTDGREHVACSIGTGPVDSAYKAVDLIVKEPATLLEYSMNAVTEGIDAIATTRVLIRGSNKYSSTNAITGEEVQRTFSGTGAGMDIVVSSVKAYVGALNKMMDFKENSPTKIPSQKNRVPA >fgenesh2_kg.1__2031__AT1G18570.1 pep chromosome:v.1.0:1:7789691:7791515:1 gene:fgenesh2_kg.1__2031__AT1G18570.1 transcript:fgenesh2_kg.1__2031__AT1G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPCCKAELGLKKGAWTPEEDQKLLSYLNRHGEGGWRTLPEKAGLKRCGKSCRLRWANYLRPDIKRGEFTEDEECSIISLHALHGNKWSAIARGLPGRTDNEIKNYWNTHIKKRLIKKGIDPVTHKGLNSDTDKSENLPEKQNVNQTTSDDDLDNDKAKKNNKNSGLSSARFLNRVANRFGKRINQSVLSDIIGSGGPLATTSHTTTTTTTSVSVDSESDKSTSSSFAPTSNLLCHGTVATTPVSSNFNVDGNLNPTSSSSTFSDASVNNPLMYCDNFVGNNNVDDENTIGFPTFLNDEDFMMLEESCVDNTAFMKELTRFLYEDENDVVDVPPVYERRDMFDEIDNYFG >fgenesh2_kg.1__2033__AT1G18590.1 pep chromosome:v.1.0:1:7806964:7808302:1 gene:fgenesh2_kg.1__2033__AT1G18590.1 transcript:fgenesh2_kg.1__2033__AT1G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:D7KGF6] MEAKTINDAVVSESNHELAFSSPSEFEKNQKHYQEIIAKLPHKDGWRPKDPFVEYGGHWWLQPLLEGLLHAQNFFKARPNDFFVCSYPKTGTTWLKALTFAIANRSKFDDSTNPLLKRNPHEFVPYIEIDFPFFPSVDVLKDQGNTLFSTHIPYDLLPESVVKSGCKIVYIWRDPKDTFVSMWTFAHKERSQQGSLICLEEAFDKYCQGLSAYGPYLDHVLGYWKAYEANPNQILFLKYETMRADPLPYVKRLAEFMGYGFTKEEEEEKVVEKVVKLCSFETLKNLEANKGEKDREDRPAVYANSAYFRKGKVGDWQNYLTPEMVARIDGLMEEKFKGTGFLTSTT >fgenesh2_kg.1__2034__AT1G18600.1 pep chromosome:v.1.0:1:7808843:7811082:1 gene:fgenesh2_kg.1__2034__AT1G18600.1 transcript:fgenesh2_kg.1__2034__AT1G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid family protein [Source:UniProtKB/TrEMBL;Acc:D7KGF7] MKAIFNRRVVVDSSSRLTKLLTNPTTHSHPNRQTFISLYKPNQSRHFRTHYLPSTPSSPPVSRFDPSQLWRSETIRGFFATALGNKAVKLGNLVESRVGFIGSQFPKKGFEFERFSGFQRRGWKHWLQGLSDRDVVLGLVIANTGVFMMWRVFNQQFMMKNFMISLDNFTSGRLHTLITSAFSHIDIGHIVSNMIGLYFFGTSIARNFGPQFLLKLYLAGALGGSVFYLIHHAYMATTSPKGQGAFMRDPSRTPGLGASGAVNAIMLLDIFLHPTATLYLEFIIPVPAMLLGIFLIGKDILRITEGNSNISGSAHLGGAAVAAIAWARIRKGRFRF >fgenesh2_kg.1__2037__AT1G18620.2 pep chromosome:v.1.0:1:7816494:7820901:1 gene:fgenesh2_kg.1__2037__AT1G18620.2 transcript:fgenesh2_kg.1__2037__AT1G18620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVLFFLGLRFTGSTKESRHCHYRGKSSNSLLFSPFMAAKLLLHTLADENSDLQKKIGCINGIFQIFDRHHVLTSRRQSLTLGNAHVNSINFERGSVDAICQHRSAFQFQDSNLISGNGSSEKLTRVSTESSRVSFSSSCSSFSPLSSEVNREVQFEISADDRVIFPESPTSDPVMSQGSGARMGLDLRDVVRDSMYREARGLSEVCRQNRREDSPRPYGLKQSTPVDFNESCRALAKLRKTSHHYYNEVDMKDTSRYYVDSRGKSKSGKKLKELPRLSLDSRDHIDLKSGNLLSESFSRSSSMNKVSGSPKRPPSVVAKLMGLETLPSSPLNREKFNMFDDNSDPFSRSLRENSLNRSLRFSPSSPRSLGKDPAASSSSPRWRSSEFVMKPLSSLRYPIEPAPWKQTDRNRFSQKQACRSVKSLSQSMEGRLKDLELKHSGKDLRALKDILEAMQSKGLFDTRKQPQCSNLEAQRDYELADSTTSNHDSIDLRNPVMPSNIRGPIVIMKPARLVEKSGIPSSSLIPIHSLSGLNKTCREEPANARRSSTSRKAAKDRSPGNQRAEPCISSDKISSSRNVRSSQVSKESTSKNSGPTSPKLQRMKHEKDKRSRPPASPSDSSKLRKQINRQPVESTTSPGGRRSRPRDQRSMQQNDGQLSQMSNKSRTKTEAPVSIENGGKSPSVIEAAKAVVSNLIQNKSSPTFSDGSSEHPSPVSVLNAEIYREIKPSPVKIQASEGSVNGPIDLGVEHCEEDQWNPAYSFSKTTTSFSPEMNRKKLQNVEHLVQKLRRLNSSHDETSQDYIASLCENSDPNTDHRYISEILLASGLLLRDLGSGLTTFQLHPSGHPINPELFLVLEQTKGCSSSSSNEKLNRKLVFDAVNEMLVKKLPFVESNVDPWMKQAKTRKRVLSAQNLLKELCSEIETLQKQAKKRSEDLLEEEEEEDFLKCILDEDMAIRSGKWTDFDGAIPGVVLDMERLLFKDLVNEIVHGEIGRLQGNSRRQKMVLADE >fgenesh2_kg.1__2039__AT1G18640.2 pep chromosome:v.1.0:1:7822878:7825185:-1 gene:fgenesh2_kg.1__2039__AT1G18640.2 transcript:fgenesh2_kg.1__2039__AT1G18640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoserine phosphatase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KGG1] MEAIATSRVVPVQLPCRRQLSSLFANSSCIELRRYPCRGLVSIMHHPKLLRPVTASVQPQELSALGNESNVVPSKEILDLWRSVEAVCFDVDSTVCVDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEEALAARLSLFKPSLSKVEEYLENRPPRLSPGIEELVKKLRANNIDVYLISGGFRQMINPVASILGIPRENIFANNLLFGNSGEFLGFDENEPTSRSGGKAKAVQQIRKGRLYKTMAMIGDGATDLEARKPGGADLFICYAGVQLREAVAAKADWLIFKFESLINSL >fgenesh2_kg.1__2042__AT1G18660.4 pep chromosome:v.1.0:1:7831316:7836048:1 gene:fgenesh2_kg.1__2042__AT1G18660.4 transcript:fgenesh2_kg.1__2042__AT1G18660.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KGG4] MSNEDSLPAFTLFGLDDVENYGLVTEADNSLPLDIHNQVFQLVEKGNQSFKESRFEEAISSYSKANSIKPLDPIVLGNRSAAYIRFGQYLKQRSASISEYKPLNGFDMSMLGELALKDADKLMNLQSSSVKSYNTKACALMLLERYEVARDTILSGLQIDPFSDPLRSNLQELEKVMPNSMRKTHGKAERSDDFDCTVCLKLLYEPATTPCGHTFCRSCLFQSMDRGNKCPLCRTVIFMTPRTCAVSVTLNNIIQKNFPEEYAERKSEQDTLVHLGNESMPLFVMDVIIPCQKLSLHIFEPRYRLMVRRIMEGNHRMGMVALDSATGSPVDVACEVEITECDPLPDGRFVLELESHRRCRIVKAWDQDGYRVAEVEWVTDIPPQSEQGKADLRDLTTSAASFARSWLDRAKEAARQGDRRRLEILLNVESMIPTPQDPERFSFWLATLTDRRPSERLELLRLQDTGEFYQSFSFDC >fgenesh2_kg.1__2047__AT1G18700.1 pep chromosome:v.1.0:1:7847030:7852681:1 gene:fgenesh2_kg.1__2047__AT1G18700.1 transcript:fgenesh2_kg.1__2047__AT1G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KH57] MAKETPSSSPTSIPSGLKAYAVPIFLFVLAMFFQLFLLPRSFPPSHYDVLGVKMYSSVDDVKDAYETIASKWDSGSGDSLPADFIKIQYAYELLTNLVWKRDYDLFGIDESVHIIEELEKQYAVEDFAKIKLPLLEVVSYEPEREGFMSITSQDFASKFQDSKPWLIQVYSSGSKSSAHFTTAWRRIAVALLDGVANHAMLELGDVQLVTYLAEKKPTGQVFFRKGLPSIFSFPPNCKTADCLIRFEGELSADAITDWFATTVLGLPRVFYHTKETLVPKFLSKVPPNKVKVILFSQTGERATPIVRQAAKDYWNFASLSHVLWREEDASFWWNALEVESAPAIVIMKDPGSKPVVYHGSGNRTWFLDILEQNKQLQLPQLRSTTSMELGCDARGYSRAGYDKATWYCAILVGRQSVELNKMRETMCRVQDALSKYDESDEASKDPLITPAASAHKTKRLSFAWLDGEAQNKYCFFYVQSETSYDTCGTRRAPIDVPRILIVRYHRNATETANVEQKSSKWPKTVWQSEADDVDPAAQLVVSYDGPAETPEIIKWLSKMVDDGDNRNLPFYRAKTPELVPENAEPMRSGIPKSIKATQKLLSLWNRIKDYLADPRMGPTLLLGALLSAGNVWWMRSRTSTPQPAQTNQPSPNQPDDNVEEKKKKERKREQRRKNAKEKEVPASITDNEPKDAVQILSSGSDSD >fgenesh2_kg.1__2052__AT1G18730.3 pep chromosome:v.1.0:1:7896757:7898447:1 gene:fgenesh2_kg.1__2052__AT1G18730.3 transcript:fgenesh2_kg.1__2052__AT1G18730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAFTSFTFTNLHIPSSSSHSPKQISGPKHGYSLSMKVNEKREKNLMRGSLCVRKALPHDLPLMAVMVQQIEGMRDIITEKHVWHLSDKAIKNVYMFYIMFTCWGCLYFGSAKDPFYDSEEYRGDGGDGTGYWVYETQEDIEEKARAELWREELIEEIEQKVGGLRELEEAVTK >fgenesh2_kg.1__2054__AT1G18740.1 pep chromosome:v.1.0:1:7902907:7905285:1 gene:fgenesh2_kg.1__2054__AT1G18740.1 transcript:fgenesh2_kg.1__2054__AT1G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDFQGSFGRSLLSLRRDQVDSTTLVAGSSSLHEPSNMEVELDSFQRQVAEKFIDLNASSYDLLSLEWIGKLLDSFLCCQEEFRAIVFNHRSQISKSPMDRLISDYFERSIKALDVCNAIRDGIEQIRQWEKLADIVISALDSHRPIGEGQLRRAKKALIDLAIGMLDEKDHPSGTNLAHRNRSFGRVKDSHHRSIGHFRSLSWSVSRSWSASKQLQALANNLATPRPNDVVASNGLAVPVYTMTSVLLFVMWVLVAAIPCQDRGLQVNFFVPRHFQWAAPVMSLHDKIVEESKRRDRKNCCGLLKEIDMIEKSSRLMNELIDSIHFPLNDQKEIEVKQRVDELVQVREALKNGLDPFERKVREVFHRIVRSRTESLDSL >fgenesh2_kg.1__2055__AT1G18750.1 pep chromosome:v.1.0:1:7906168:7908577:1 gene:fgenesh2_kg.1__2055__AT1G18750.1 transcript:fgenesh2_kg.1__2055__AT1G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL102 [Source:UniProtKB/TrEMBL;Acc:D7KH66] MGRVKLKIKRLESTSNRQVTYTKRKTGILKKAKELSILCDIDIVLLMFSPTGRATAFHGEHSCIEEVISKFAQLTPQERTKRKLESLEALKKTFKKLDHDVNIHDFLGARNQTIEGLSNQVAIYQAQLMECHRRLSCWTNIDRIENTEHLNLLEESLRKSIERIQIHKEHYRKNQLLPIECATTQFHSGIQLPMAMGGNSSMQEAHSMSWLPDNDNQQTILPGDSSFLPHREMDGSIPVYSSCFFESTKPEDQICSNPGQQFEHLEQQGNGCLGLQQLKEEYSYPTQFGTTLRMEDDQEKKIKSEMELNNLQQQQQQQQQDPSMYDPMANNNGGCFQIPHDQSMFVNDHHHHHHHHHQNWVPDSMFGQTSYNQVCVYTSIGTM >fgenesh2_kg.1__2056__AT1G18770.1 pep chromosome:v.1.0:1:7910795:7930844:-1 gene:fgenesh2_kg.1__2056__AT1G18770.1 transcript:fgenesh2_kg.1__2056__AT1G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KH67] MRVYLYHNIIRNPQPENAGTITINAKIDGYNSTPASKLVVKSLARKIYKTTTSSTGERCTICLEEFNDGRRVVTLPCGHEFDDECVLTWCETNHDCPLCRFKLPCGDQ >fgenesh2_kg.1__2057__AT1G18790.1 pep chromosome:v.1.0:1:7936149:7937491:-1 gene:fgenesh2_kg.1__2057__AT1G18790.1 transcript:fgenesh2_kg.1__2057__AT1G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWP-RK domain-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KH70] MMKSFCKLEYHQVFGKEDISFSFLNHSSLYSNQSELANPFFELEDEILPSATSSNYFTSASSFLALPDLEPISIVSHEADILSLYGSASWTEEESMLDSDFAKKSETTTTKKKRCREECVSSCSVSKTLSKETISLYFYMPITQAARELNIGLTLLKKRCRELGIKRWPHRKLMSLQKLISNVKEIEKMEGEENEDRLRNALEKLEKEKKTIEKLPDLKFEDKTKRLRQACFKANHKRKRRSGMSTPITSSSSSASSSS >fgenesh2_kg.1__205__AT1G02860.1 pep chromosome:v.1.0:1:777262:779272:1 gene:fgenesh2_kg.1__205__AT1G02860.1 transcript:fgenesh2_kg.1__205__AT1G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYEEYMQGQKEKKNLPGVGFKKLKKILKRCRRNHLPSRMSFTETINHNCSRECPVCDGTFFPELLKEMEDVVGWFNENAQKLLELHLASGFTKCLTWLRGNSRKKDHLGLIQEGKDLVNYALINAVAIRKILKKYDKIHESRQGQAFKTQVQKMRIEILQSPWLCELMAFHINLKESEKESGATVASPPPVHALFDGCALTFDDGKPLLSCELSDSVKVDIDLTCSICLDTVFDPISLTCGHIYCYMCACSAASVNVVDGLKTAEATEKCPLCREDGVYKGAVHLDELNILLKRSCRDYWEERRKTERAERLQQAKEYWDYQCRSFTGI >fgenesh2_kg.1__2060__AT1G18830.1 pep chromosome:v.1.0:1:7952326:7957188:1 gene:fgenesh2_kg.1__2060__AT1G18830.1 transcript:fgenesh2_kg.1__2060__AT1G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCINSIDRSAFVAIAPESPFIATGTMAGVVIAKALSGLIDSLREVMDLDLMVTSPVASLTGTSVYGIQFEFYVRGLEFNVKSPNKLASGTDDGTVCIWDLTNPSKPCHYLKGTGSYMQSEISSISWNREFQHVLASTSYKGTTVIWDVNNEKVITDFKNTVRCSILQWDPDNYNQIMVASDEDSSPNVKLLDIRYLQSPVRTFIGHQKGVIAMEWCPSDSLYLLTWAKDSRTICWNTKTRKIAAELPTGQNWNFDVHWYPKMPGVISASSVDGKINIYNLEGCSSYGTVENNLDSEPPTSLLIPSSCCRSFNSTKMLISFNKNLPEASEVFLHTLATEQSLVNRISKFEAALENGEKTSIREKETWGLLKIMLEEDGNAKTKLRTHLGFSLPSDEKDQTVNEPHATCSSTNEEETKKMPEPEGESSYPTFDDAIQRSLIVGDYKGAVAQCLSANKMADALVIAHVGGTELWESTRNIYIYEDEQCTLHEGISTLLDMFVVSAMMNNDLMTFVHTRPPKSWKETLALICTFAEGDEWISLCDALTSNLMDPGFTLAATLCYICSGNVDKTVDIWSRSLEKESDGKSYAERVQDATLVLALVTGNKRFSASLRKLFESYAEILASQGLLATAMKFLKFLESGDFSPELSILHNQIALYAEPEAANTSASENTQSKISMPYQKKYFLPAPLSNAQPSRTTFVPLNPPRELKNADQYQQPTMDSYSFNRSADPAYNASPGPGSHRSIPSQVGPYINSKIPQTVAPPMGHMNPTHQVAMQPAPVAPPPTVQTADTSNVPAHQKPIVSTLTRLFKETFELLGVEDNSRKLGALFLKLNSGDISKNAAGKLTQLCQALNKNNLGAALQIQVAMTNTEWYECSFWLPTLKQIIKGKQNVQ >fgenesh2_kg.1__2061__AT1G18835.1 pep chromosome:v.1.0:1:7959342:7959636:-1 gene:fgenesh2_kg.1__2061__AT1G18835.1 transcript:fgenesh2_kg.1__2061__AT1G18835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRQVVIKQRKISYTMTSSSSNVRYVECQKNHAANIGGYAVDGCREFMASGGDDALTCAACGCHRNFHRREVDTEVVCEYSPPNAND >fgenesh2_kg.1__2064__AT1G18850.1 pep chromosome:v.1.0:1:7968984:7970577:-1 gene:fgenesh2_kg.1__2064__AT1G18850.1 transcript:fgenesh2_kg.1__2064__AT1G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLEAISNAVANQDKVDSQSDYPFPLSHDGIFANLKPKLENPNLGTLINPISGWGISGSDVEVIDLGKKFSSKLKRKLKDTNGFVKDEFVKMLKQFLEKIGEKVGISEAEPGMVLEDQAGPEIQILMEKNGFLMGRDVSGLVLKGCISLEMWELVEILISNSLVDHSSYSYLVSNLVEKQRSDLLCVVIKEASDLGATELLLILKYFLYPSKEAITTMAKVRVEWESQAMLAIEKVSNTELSKKSKVAEEASILLMVAHDGFSTSELCLHYLLASRNVDEVMFASAVSKLNGNEMSSFIRYLSKWMKKYEMFPQAGPCPKAASKLGLKLCNWVPELADITKCLGLIIDENFSTLVLYSDLHEELKSIARVADGLASESKLCCFVANVVESLKLGAARN >fgenesh2_kg.1__2066__AT1G18880.1 pep chromosome:v.1.0:1:7996531:7999034:1 gene:fgenesh2_kg.1__2066__AT1G18880.1 transcript:fgenesh2_kg.1__2066__AT1G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7KH80] MEVEKTEKNITEDDESKIIYRGWKVMPFIIGNETFEKLGIVGSSSNLVIYLTTVFNMKSITAAKVVNIYGGTSNFGTIVAAFLCDSYFGRYKTLSFAMIACFLGSVAMDLTAVFPQLHPAKCAKEIGSVCSGPSIGQIMFLAGAMVLLVIGAGGIRPCNLPFGADQFDPKTKEGRRGIESFFNWYFFTFTFAQMVSLTLIVYVQSNVSWSIGLAIPAILMLLGCIIFFAGSKLYVKVKASGSPIHSITRVIVVAIKKRRLKPVGSNELYNYIGKDFKNSKLGHTEQFRFLDKSAIQTQDDKLNKDGSPVDPWKLCSLQQVEEVKCVIRVLPVWLPAALFYLAYIQQTTYTIFQSLQSDRHLGSGSFQIPAATYTVFLMLGMTIFIPIYDRVLVPLSRKYTGKDGGITQLQRVGAGMFLCITSMMVSAIVEQYRRKVALTKPTLGFAPRKGAISSMSGMWLIPQLVLMGIADALAGVGQMEFYYKQFPENMRSFAGSLYYCGIGLASYLGTFLLSAVHDTTEGFSGGSWLPEDLNKGRLEYFYFLVAGIMTLNFAYFLLVSHWYRYKDVVAKDKDMDKSSDEFDKVSV >fgenesh2_kg.1__206__AT1G02870.1 pep chromosome:v.1.0:1:779234:780531:-1 gene:fgenesh2_kg.1__206__AT1G02870.1 transcript:fgenesh2_kg.1__206__AT1G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRRKYRNSRAKVRVALPKKNPNIFKPAFNFPPKLRALMADDVPEWDDQASVIQNYKSFGVLSNPNLLGIRSRTDHMIQDDSLNVPPPVEPPTDDPIAKEFEPIDSGSELEEDDLKTALGKQRKDGKSAPLQPLTTMQRTRIRRLVEKYGDDIEGMYRDRKLNSMQHTVATLRKLCTRYQIYKDKNPILVP >fgenesh2_kg.1__2070__AT1G18910.1 pep chromosome:v.1.0:1:8009165:8014809:-1 gene:fgenesh2_kg.1__2070__AT1G18910.1 transcript:fgenesh2_kg.1__2070__AT1G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F14D16.3 [Source:UniProtKB/TrEMBL;Acc:D7KH83] MGVGYPLPLPPDKNRREVNKPPDIASTSSSSASAVNARLSDAPILLFVYFHKAFRAQLAELHFLAGDTVRSGSDLAVELRYKFDFLKLVYKYHSAAEDEVIFSALDTRVKNIVFNYSLEHDATDDLFTSVFHWLNVLEEEKGNRAHVLREVVLCIGTIQSSICQHMLKEERQVFPLMIENFSFEEQASLVWQFICSVPVMVLEEIFPWMTSLLSPKEKSEVENCVKEVVPKEVTLQLVINSWLVDDIPSSLTALTKIMKGVQYVEVSVNMNNSSSSSGMFQRFWQWSKMSFSSPNTGHTLVHGIQLWHNAIKKDLVDIQKGLCQLTFPSLSLDLNVLVVRLNFLADVLIFYSNALKKFFYPVFEEMVDQQHSSFSKQFTIDDHVENFKKSLDLETRTGSDNFVITLQEKLESLILTVTKQFSIEETEVFPIISKNCNIEMHRQLLYRSLHVLPLGLLKCVIMWFSAQLPEDECHSIIHFLSSEDSFLNKPFAHLLLQWFRFGYSGKTPVESFWNELSFMFKPRCSVEEEHTEEASGSFVHQSQPQLCKGSDPYLLKNKSSTYFQSMDPPLGYMNETPYSSAMNQQILIPGKLRPLQHLPDIFGNKNIGEHLNMDLKPIDLIFFFHKAMKKDLDYLVCGSARLATDCSFLGEFHQRFHLIKFLYQIHSDAEDEIAFPALEAKGKLQNISQSYSIDHELEVEHLDKVSFLLNEMAELNMLVLDHKNVKYEKLCMSLQDICKSIHKLLSEHLHREETELWCLFRDCFTIAEQEKIIASMLGRISGEILQDMIPWLMESLIPDEQHAVMSLWRQATRKTMFGEWLTEWYNSHIVEEETEEANKDPSENSDPLDIVWSYLVEGAADEDKVSICSKPLEETELKGLMNKPLGNASPNNKGEFGNKEENHREISESKKVCTGADERKYKEQTDSNAQAFQMLQNTSQSGHDSRYECLLSMSQEDVEATIRRISRDSALDPQKKSYIIQNLLMSRWIATQRIYNLEPSILSSNREAVPGQNPSYRDPHKLIFGCKHYKRSCKLLAPCCNKLYTCIRCHDEEVDHLLDRKQITKMMCMKCMIIQPVGASCSNTSCNSSMGKYYCKICKLFDDDREIYHCPYCNLCRLGKGLSIDYFHCMKCNACMSRTLVEHVCREKCLEDNCPICHEYIFTSNSPVKALPCGHVMHSTCFQEYTCSHYTCPICSKSLGDMQVYFRMLDALLAEQKMPDEYLNQTQIILCNDCGRKGNAPYHWLYHKCSSCASYNTRLF >fgenesh2_kg.1__2072__AT1G18950.1 pep chromosome:v.1.0:1:8018830:8024385:1 gene:fgenesh2_kg.1__2072__AT1G18950.1 transcript:fgenesh2_kg.1__2072__AT1G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase family [Source:UniProtKB/TrEMBL;Acc:D7KH85] MGSSSDIVPDRSPADDVAPVTDTKIPKEEPSTLRRTRPSRACTVRAQQRLQELQAAERKLKPPKKEYKREQHRRREEVVEEDEDVDDDDDQEQEEDGNDESKPQHVAGGSSAKIITSLVPPPEPSQMPRWNLRSMWELASVLNFLHVFRPLLKINAEFSAEEFETALLTPNDTLSDIHIPLLKAIPPVTRMALTRDTWVTVLCRKIRDCWHWVAEGDLPIVASQGREIEAYKNLDPAIRVVILKALCDIRVEQEIIRSYIDNSLKTGVHLSVFRKDRVGGDSHGVNFWYEDDPLIGHRLYREIRKAEVLKVKTKGSKILPNITYQWETVATNFDEFQDVSEKLLQSSSRIEVSLGKKLVKDMLPEIEKEHKRKEKLLKKQHRQALLLDNFVVVDGLAGRSLRDRKPVRYTFGNVNDLIIADDYDKSINDAIKTTKKKHPSPEPPLNRRESARLDALANGRSTSSTHPTEPVNDTASGRSSDFADYDDFDEHRDESLDRRQDTVRRQRPQRYSATDFVETVSDNEVEFQSDDDIYGEAVYDEEYLRKRKQKKLSSGSEGDEEYKWDEDNAEYEEEEEEEEEEDSLSASEEDSDEPRRAKKMPRRETKLRSRSNDFRPGLRRSKRATRIDYQQYELSDSDKEATGLAKRKRLVEPDEPSDETGNGDFTMGSQDSEENANDPETKSGEEEVEEEEPREVNDNAETTNGKENNQLNKSNGTTDQEEVEGVVGKRRYLDLNELAPVSGFDDGPSTVLKDDDKTDNS >fgenesh2_kg.1__2073__AT1G18960.1 pep chromosome:v.1.0:1:8025193:8026239:1 gene:fgenesh2_kg.1__2073__AT1G18960.1 transcript:fgenesh2_kg.1__2073__AT1G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSCSSKSKNPWANEEDTAQKFVFASASKNGSAAPKKIGLRRCGKSCRVRKTDHSGTKHESFTSEEEDLIIKMHAAMGSRWQLIAQHLPGKTEEEVKMFWNTKLKKKLSEMGIDHVTHRPFSHVLAEYGNINGGGNINPNPLNQAGSLGRNHSLNDDAHQQQQPDDSGDLMFHLQAIKLMTESSNQVKPESTFVYASSSSSNSSPPLFSSTCSTIAQENLEVNFTWSDFLLEQETFHENQQSHPQELDSLFGNDFSEAAA >fgenesh2_kg.1__2075__AT1G18980.1 pep chromosome:v.1.0:1:8029423:8030150:-1 gene:fgenesh2_kg.1__2075__AT1G18980.1 transcript:fgenesh2_kg.1__2075__AT1G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLQISSSLFRYFFLTLCLFAIPSLSSDSDPLQDFCVGDLKASPSINGFPCKSSVSASDFFFSGLGGPLNTSNANGVSVAPANVLTFPGLNTLGLSMNNVEFAPGGVNPPHSHPRATEAGIVIEGSVFVGFLTTNNTLFSKVIQAGEMFVVPRGLVHFQWNVGKVKARLITSFNSQLPGSAVLPSTLFGSNPTIPNAVLTKTFRTDDVTVNKLKSKFAV >fgenesh2_kg.1__2076__AT1G18990.1 pep chromosome:v.1.0:1:8030858:8032627:-1 gene:fgenesh2_kg.1__2076__AT1G18990.1 transcript:fgenesh2_kg.1__2076__AT1G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRSFKNFIEEELGTFPQFLIYALLEWILIIILFIDGFLAFFSNQIAKFFDLKIPCLLCTRLDHVLVRRNPDFYYNDSICDAHKKNVSSLAYCHVHKKLSEIKRMCEGCLLSFATEKESDVDTYKSLIGILHKDLELLIDDERELQLAFPAAGSKKDENFYQVDQRTNNSNDRFHKQQRCSCCGQLLKLKSEKPKNNNQSFFAAPSPSPRVSFNQRTLDLSNIKYTDSPEDDDAVNTKGASVDSVDDRTPSFVKGGNKFFGIPLSDSAQNSPRWSVRSMKKSLIDQNGSESEVLDGDSILQHLNRQVRLDRKSLMDLYMELDEERSASAVAANNAMAMITRLQAEKAAVQMEALQYQRMMDEQAEYDQEALQSMNGLLVKREEEMKELEAEIEVYRLRYGLLREGGEAEEFLDEETKPVSELPVCSSNHEEDLEQMKDSAEDSKANNGVMIIEEEKENGSRKDVLVKEISEITERLNAIESKGELLQQISDVLDVSEGEAILLQISQNLHMLRSFIEMPSES >fgenesh2_kg.1__2077__AT1G19000.2 pep chromosome:v.1.0:1:8032888:8034976:-1 gene:fgenesh2_kg.1__2077__AT1G19000.2 transcript:fgenesh2_kg.1__2077__AT1G19000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7KH90] MAAVSSSSETGDCGAIGKRDEIMLFGVRVVVDPMRKCVSLNNLSDYEKSSPEDEIPKIVVAGAGDGEDKNEADATVIVADGYASANDAVQISSSSGRRKRGVPWTENEHKRFLIGLQKVGKGDWKGISRNFVKSRTPTQVASHAQKYFLRRTNLNRRRRRSSLFDITTETVTEMHMEQDPTQENSPPPETNTSSGHQVMQVFPEVAVPTKTENAPQTFHLNDPYLVPVTFQAKSAFNLNTDAAPLSLNLSLASSFNLNEQPNSIHSAFAMMPSFSNGDSNSSIIRVA >fgenesh2_kg.1__2081__AT1G19025.1 pep chromosome:v.1.0:1:8042466:8044481:1 gene:fgenesh2_kg.1__2081__AT1G19025.1 transcript:fgenesh2_kg.1__2081__AT1G19025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEMPRGLPFAVDTFGPYTTTKRIKRHHFLTHAHKDHTVGISPSNIVVFPIYSTSLTISLLIQRHPQLDESFFVRIEIGQSVIVDDPDGQFKVTAFDANHCPGAVMFLFEGSFGNILHTGDCRLTLDCLQSLPEKYVGRRHGVAPKCCLDYIFLDCTFGKSSHSQRFPSKHSAIRQVINCIWNHPDAPVVYLACDMLGQEDVLLEVSRTFGSKIYVDKATNLECFRSLMVIVPEIVSEDPSSRFHIFSGFPKLYERTSAKLAEARSKLQSEPLIIRPSAQWYVCDDEDDWESGSIQKQRKVRFSEAVKDEFGLWHVCYSMHSSREELESAMQLLSPKWVVSTVPSCRAMELNYVKKNCFISRFSPDDPFWKLLDIDMEVSPVAAADTHTVALSCCLMSEEIILDSAKLKLEPVIESSSTKKKLLSLSPEKNLPVTLFGRARLSSQESDQLHERKVIHTQCVFTKSSPVLEKLNVQEVIESLQDDTKEETIEKESCTSFSTSKEACKDLSGDLRKLYRSMNAPVPRPLPSLMELMNARKRSRNHLSF >fgenesh2_kg.1__2083__AT1G19090.1 pep chromosome:v.1.0:1:8056078:8058649:1 gene:fgenesh2_kg.1__2083__AT1G19090.1 transcript:fgenesh2_kg.1__2083__AT1G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQICASIAQFLAWVSFLVLLATVGSSSSTKSLLNCHPLDQLLVNPSRQLDFLRAMSSVNDYITEDKLWVVSSITDLSPPIYVFLQCREDLSVSDCRHCFNESRLELERKCSGSGGRIHSDGCFLRFDDRDFSEEFVDPRFDRAKCEETEPGFGDFWKYLDEALVNVTLKAVKNGGFGAAAVTRAEAVYALAQCWQTLDENSCRECLVNARSSLRACGGHEASAFFTGCYLKYSTHKFFDDAAEHKPDDDQRNFIRSSLFPHLSDHDITKLAIAAISLSFLTSLGAFISYRRVSRKRKAQVPSCSNFKYETLEKATESFHDSLKLGQGGAVKKLFFNTREWADQFFNEVNLISGVQHKNLVRLLGCSIEGPKSLLVYEYVHNRSLDQILFMKNTVHILSWKQRFNIIIGISEGLEYLHRGSEVKIIHRDIKTSNILLDRNLSPKIADFGLIRSMGTDKTQTNTGIAGTLGYLAPEYLIKGQLTEKADVYAFGVLIIEIATGKKNNAFTQGTSSVLYSVWEHFKANTLDRSIDPRLKGSFSEEEALKVLQIGLLCVQSSVELRPSMSEIVFMLKNKDSKFECPKQPPFLSASVLMPDEETRV >fgenesh2_kg.1__2084__AT1G19100.1 pep chromosome:v.1.0:1:8067447:8074056:1 gene:fgenesh2_kg.1__2084__AT1G19100.1 transcript:fgenesh2_kg.1__2084__AT1G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNTSINVSHDAVDVKPERGTMLQSFSPRSHGSKGYSLPQDSEENRGSVAQSAGQSSTSVVDQVRSPADDAGVTSSSTICPAPVCRQFWKAGNYNDELSSKSQQPNGKNYLHVHPMFLHSNATSHKWAFGAVAELLDNAVDEIQNGATFVIVDKTTNPRDGTTALLIQDDGGGMDPQAMRHCMGFGFSDKKSDSAIGRYGNGFKTSTMRLGADVIVFSRHSKNQTLTQSIGLLSYTYLTRTGHDRIVVPILDYEFKASAGEFKPLQDRDHFISSLSILLEWSPFSTEAELLQQFDDVGPHGTKVIIYNMWLNSDAKLELDFDSVAEDILIEGSIKKTGSKIVNDHIASRFSYSLRVYLSILYLRIPETFKIILRGKVVEHHNVADDLMHPQYILYKPQATGSEEAVVVTTIGFLKEAPKVNLHGFCVYHKNRLIMPFWQVISYSSSRGRGVVGVLEANFVEPTHNKQDFEKTVLLQKLENRLKEMTVEYWSCHCVLIGYQVNKKPRLQIPQNVQPAGRQALSPLPGFQAVFPQGNSTSLPRFSAKPVLLEKRKERPDSVASAASKRKVGNDGFTVPGQNRVEQFIHGSASQSQDIETVKLMEKNKNLRAKCLDHKVRSQNLEVKAMNLRSELENVKSEYERLMVELQALDLVKGEHRRNVNT >fgenesh2_kg.1__2085__AT1G19110.1 pep chromosome:v.1.0:1:8075832:8079770:1 gene:fgenesh2_kg.1__2085__AT1G19110.1 transcript:fgenesh2_kg.1__2085__AT1G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDFARAVDDGLKLAKRIYFGKDRAVAAPRPPAPMERSSTTQSYLPTAPMVYAVIPDPGIVDNPDLPSYQPHVHGRCDPPALIPLQMNSIELDVDCYLDTALVTVTGSWRVHCVMGSKRCDCRIAIPMGEQVCSILGVEVEIPRKSYTTQLITAEDGNEFEKTALPETGGFLKPNIFILTIPQVDGGTNLSIKMTWSQKLTYNEGQFFLDIPFNFPEYVTPAVKKISKREKIYLSVNAGTGTEVLCKGCSHQLKEKLRSAGKLRFVYEADVLKWSNTDFSFSYTASSSNIVGGLFLQSAPVHDDDQRDVFSFYLFPGKQQKTKAFKREVVFVVDISKSMTGKPLEDVNNAISTALSKLSPGDSFNIITFSDDTSLFSTSMESVTSDAVERGIEWMNKNFVVADGTNMLLPLEKAVEMLSNTRGSIPMIFFVTDGSVEDERHICDVMKKHLASAGSVCPRIHTFGLGIFCNHYFLQILANLSCGQHESVYNTDHIEERMDKLFTKALSTILVNITIEPLQSLDEVEVYPSNIPDLTSASPLMIYGRYRGKFPENVIAKGLLGDLSSFSTDLTVRSAKDMPLDKVFAKNVIDLLTAEVWLSEDKQLKEKIAKLSIQTGVPSEYTRMIQLENTEELKPSETGVKKKTTSNGEKQKMISRTIPLQSLGIGFGDKTATRENVPPGFGEQKAPDAAEKFVKAASSCCVSLCNKCCCMCCVQCCSKLNDQCVLVFTQLFTAIACIACFECCSTVCCAGDDG >fgenesh2_kg.1__2087__AT1G19115.2 pep chromosome:v.1.0:1:8080108:8081719:1 gene:fgenesh2_kg.1__2087__AT1G19115.2 transcript:fgenesh2_kg.1__2087__AT1G19115.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSWVQRKLSGKKHEPTSDSSQESLGPPLSKEVQGLPQDEETFLAIGTLGNNLCPKEEEETDSSKLDLTAVNTDVTIGKKKSLSFLLKKMFVCTSGFKTPPPLLDLSRGDSLHNTRMEKMLRTILNKKIHPQRSNSIAKKYLENHHKIVDEARSSVDANKWVKTDSECEIF >fgenesh2_kg.1__2089__AT1G19120.1 pep chromosome:v.1.0:1:8082516:8083886:1 gene:fgenesh2_kg.1__2089__AT1G19120.1 transcript:fgenesh2_kg.1__2089__AT1G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAAPDDIFFSTSLAAYLDKKLLVLLRDGRKLMGLLRSFDQFANAVIEEAYERVIVGDLYCDIPLGLYIIRGENVVLIGELDVEKEELPAQMVQVSEAEIKRAQKAEKEEMLLKGTMRKRMEFLDLD >fgenesh2_kg.1__2092__AT1G19140.2 pep chromosome:v.1.0:1:8085313:8087045:-1 gene:fgenesh2_kg.1__2092__AT1G19140.2 transcript:fgenesh2_kg.1__2092__AT1G19140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTAAKRLLAGGGFTTTRILRYPKLRSTIIPSSYTSGLCTSSMGGGNTESPMGNQSVNPNQSGPTAGTGEGQRRHESRKPRAEFQEEQARVLSASLRHVPRLGWTEEAMMAGSRDVGVSPSIVGSFSRKEAALVQFFMDECLQLLMDRIDSGLDLQNLIPSERIAKLIRIRLEMQVPYMSKWPQALSIQAHPLNVPTSFKQRAMLVDEIWHAVGDGASDLDWYVKRTILGGVYSTTEIYMLTDNSLAEHRDTWTFLNDRVKDAFDLKKSIQEAKYFAEDIGAGVGKSVQGLMNGVMQTMSRRSGGSAF >fgenesh2_kg.1__2093__AT1G19150.1 pep chromosome:v.1.0:1:8087282:8088615:1 gene:fgenesh2_kg.1__2093__AT1G19150.1 transcript:fgenesh2_kg.1__2093__AT1G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KHA6] MAFAIASALTSTLTLSTSRVQNATQRRPLVASASPTGGRMSERLVVARAGKEVSSVCEPLPPDRPLWFPGSAPPEWLDGSLPGDFGFDPLGLGSDPDTLKWFAQAELIHSRWAMLAVTGILIPECLERLGFIENFSWYEAGSREYFADSTTLFVAQMVLMGWAEGRRWADLIKPGSVDIEPKYPHKVNPKPDVGYPGGLWFDFMMWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFCFQATYTSQDPIENLMAHLADPGHCNVFSAFTSH >fgenesh2_kg.1__2094__AT1G19170.1 pep chromosome:v.1.0:1:8094644:8096938:1 gene:fgenesh2_kg.1__2094__AT1G19170.1 transcript:fgenesh2_kg.1__2094__AT1G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7KHA8] MFENLLPSRFQFHLQRLDPKRRLTSFLASHKTLFTFLWITAFGSVFLWQRTAYIEGGSGAGPIGGKFTIFGKIKPIQPLPRLRPVVFDLKDFGGVGDGVTLNTEAFERAVISISKLERNSGGGQLNVPPGRWLTAPFNLTSHMTLFLAEDSEILGVEDEKYWPLMPPLPSYGYGREHPGPRYGSLIHGQNLKDIVITGHNGTINGQGQSWWKKYQRRLLNYTRGPLVQIMWSSDIVIANITMRDSPFWTLHPYDCKNVTIRNVTILAPVTGAPNTDGIDPDSCEDMVIEDCYISTGDDAIAIKSGWDQFGIAYGRPSTNILIRNLVVRSVISAGVSIGSEMSGGISNVTIENLLIWNSRRGIRIKTAPGRGGYIRNITYKNLTLDNVRVGIVIKTDYNEHADDNYDRKAYPILSGFSFAGIHGQGVRVPVRIHGSEQIPVRNVTFRDMSVGLTYKKKHIFQCSFVKGRVFGSIFPRPCENFDVYDEEGRLVKPGAESNVTDIDYDI >fgenesh2_kg.1__2096__AT1G19180.2 pep chromosome:v.1.0:1:8100622:8101768:1 gene:fgenesh2_kg.1__2096__AT1G19180.2 transcript:fgenesh2_kg.1__2096__AT1G19180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFPCEASNMDYMAAPVQDVKPTNLFPRQLSFSSSSSSVPKEDVLKMTQTTRSVKPESQTAPLTIFYAGQVIVFNDFSAEKAKEVMNLASKGTANSFTGFTSNVILAKNQSEIRSNIATITNQVPHPRKTPTQEPIQSSPTPLTELPIARRASLHRFLEKRKDRVTSKAPYQLCDPAKASSKPQTADNMSWLGLAAEI >fgenesh2_kg.1__2098__AT1G19210.1 pep chromosome:v.1.0:1:8105037:8105734:-1 gene:fgenesh2_kg.1__2098__AT1G19210.1 transcript:fgenesh2_kg.1__2098__AT1G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSSSSMQSKYKGVRKRKWGKWVSEIRLPNSRERIWLGSYDTPEKAARAFDAALYCLRGNNAKFNFPDNPPVINDGRNLSRSEIREAAARFANSSEDDSSGGGAGYEIRQESTSTSMDIDSEFLTMLPTVGSGNFASEFGLFPGFDDFSDEYSGDRFREQLSPTQDYYQGEEDYEGGSMFLWNF >fgenesh2_kg.1__20__AT1G02070.1 pep chromosome:v.1.0:1:55814:56468:-1 gene:fgenesh2_kg.1__20__AT1G02070.1 transcript:fgenesh2_kg.1__20__AT1G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVCCMCGDVGFSDKLFSCGHCRCRLQHSYCSNYYSEFAEPAKICDWCRSDDRKLGNVARHGGSSSKKSSSSVNYENDEFTNRPDYSSGREINHNNNHHGQVAEGVAGAGGGVPSPKTATRRYKLLKDVMC >fgenesh2_kg.1__2101__AT1G19240.1 pep chromosome:v.1.0:1:8139540:8140751:-1 gene:fgenesh2_kg.1__2101__AT1G19240.1 transcript:fgenesh2_kg.1__2101__AT1G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLEKFYSKMVKDAKSAVSSSSSSLSDFADNLMQDKRGAGSNLTSYDAGSGVLVNRPPSGVSVWTCSKLCAVFFVAGVFVGYTLKRRVRRWASKLLRRIKDD >fgenesh2_kg.1__2103__AT1G19270.1 pep chromosome:v.1.0:1:8156271:8159849:1 gene:fgenesh2_kg.1__2103__AT1G19270.1 transcript:fgenesh2_kg.1__2103__AT1G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin interaction motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KHB8] MGWFNKIFKGSNQRLRVGNNKHHHNVYYDNYPTASHDDEPSADTDADNDEPHTQEPSTSEDNTSNDQENEDIDRAIALSLLEENQEQTSISGKYSTPPVDEDEQLARALQESMVVGNSPRHKNGSTYDNGNAYGTGDLYGNGHMYGGGNVYANGDIYYPRPITFQMDFRICAGCNMEIGHGRFLNCLNSLWHPECFRCYGCSQPISEYEFSTSGNYPFHKACYRERYHPKCDVCSHFVPTNHAGLIEYRAHPFWAQKYCPSHEHDTTPRCCSCERMEPRNTRYVELNDGRKLCLECLDSAVMDTMQCQPLYLQIQDFYEGLNMKVEQEVPLLLVERQALNEAREGEKNGHYHMPETRGLCLSEEQTVSTVRKRSKHGTGNWAGNITEPYKLTRQCEVTAILILFGLPRLLTGSILAHEMMHAWMRLKGFRTLSQDVEEGICQVMAHKWLEAELAAGSTTSNAASSSSSSQGLKKGPRSQYERKLGEFFKHQIESDASPVYGDGFRAGRLAVHKYGLRKTLEHIQMTGRFPV >fgenesh2_kg.1__2104__AT1G23280.1 pep chromosome:v.1.0:1:8161470:8163364:-1 gene:fgenesh2_kg.1__2104__AT1G23280.1 transcript:fgenesh2_kg.1__2104__AT1G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAK16 homolog [Source:UniProtKB/TrEMBL;Acc:D7KHC0] MQHDEVIWQVIRHKHCSYMAKIETGIFCRNQYNVTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHMPKNLWERVKLPVNYEMALEMIDKHLLYWPKLLQHKVKQRLTKMTQMRIRMRKLALKTREVVVPRPRRQIKRELRREDKAKIAAQLDKAIENELMERLKTGIYPTDIYNFSQRAFDKLINKEIELNQEVEEEEEEEGVVEYVEGDDELEAEEEEDMEDFSGLPSKESYLEGDDHDSDDEENDDAEEQVVIHKKGRVLKKSDDNGKAKKKSRVVVEVEQEDGDTRRSLKSLKL >fgenesh2_kg.1__2106__AT1G19300.1 pep chromosome:v.1.0:1:8171236:8172672:-1 gene:fgenesh2_kg.1__2106__AT1G19300.1 transcript:fgenesh2_kg.1__2106__AT1G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KHC2] MSQHLLLLLILLSLLLLHNPISASPIIQKFKEAPQFYNSADCPPIDDSDDDVAAKPIFCSRRAVHVAMTLDAAYIRGSVAAVLSVLQHSSCPENIVFHFVASASADASSLRATISSSFPYLDFTVYVFNVSSVSRLISSSIRSALDCPLNYARSYLADLLPPCVRRVVYLDSDLILVDDIAKLAATDLGRDSVLAAPEYCNANFTSYFTSTFWSNPTLSLTFVDRKACYFNTGVMVIDLSRWREGAYTSRIEEWMAMQKRMRIYELGSLPPFLLVFAGLIKPVNHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWARLDAGRPCPLDALWAPYDLLQTPFALDS >fgenesh2_kg.1__2108__AT1G19320.1 pep chromosome:v.1.0:1:8181055:8181961:1 gene:fgenesh2_kg.1__2108__AT1G19320.1 transcript:fgenesh2_kg.1__2108__AT1G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KHC4] MAIFSKLHLLFFSFIIATCIISVSGTDFTLTNHCSSTIWPGILTANGTPIGDGGFALAAGSSVTLTVSAGWSGRFWGRTGCNFDASGSGKCTTGDCGGKLKCAGAGGAPPATLAEFTIGSSGKKNAVQDFYDVSLVDGYNVQMKITPQGGSGNCQTAGCVSDVNAICPNELRVTDAAGVVACKSACEAFNKPEYCCTGAYSTPATCPPTNYSKIFKQACPSAYSYAYDDASSTFTCTNANYVIS >fgenesh2_kg.1__2109__AT1G19330.1 pep chromosome:v.1.0:1:8182931:8185918:-1 gene:fgenesh2_kg.1__2109__AT1G19330.1 transcript:fgenesh2_kg.1__2109__AT1G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAVDSSGVVNGGFPQIQSFYGDCSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVKLTNGIEVKLQRNALSVLEHPTGNEEDDDLDFENTQRNGSDMIVSFPASEDTLKPHKSKQRGQRSSRSSHKTMSRSLSSDSQLKSSGFTPRENMKVDLSKLEMPALLNYWRHFNLVDAIPNPSKEQLIDIVQRHFMSQQMDELQVIVGFVQAAKRMKKACKLQSKEARNTDLNCIS >fgenesh2_kg.1__210__AT1G02880.2 pep chromosome:v.1.0:1:784225:786151:-1 gene:fgenesh2_kg.1__210__AT1G02880.2 transcript:fgenesh2_kg.1__210__AT1G02880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphokinase [Source:UniProtKB/TrEMBL;Acc:D7KBD4] MDVMLHSSSFLLPSNPVDDTTGTRYALIVLNQSLPRFAPLLWEHAKLRLCADGGANRIYDELPLFFPHEDALAIRNRYKPDVIKGDMDSIRRDVLDFYVNLGTKVIDESQDQDTTDLDKCILYIRDSTLNQESSRLHILATGALGGRFDHEAGNINVLYRYPDTRIVLLSDDCLIQLLPKSHRHEIHIQSSLEGPHCGLIPIGTPSAKTTTSGLKWDLANTEMRFGGLISTSNLVKEEKITVESDSDLLWTISIKKTGLSIEDHTP >fgenesh2_kg.1__2111__AT1G19340.1 pep chromosome:v.1.0:1:8187135:8189444:1 gene:fgenesh2_kg.1__2111__AT1G19340.1 transcript:fgenesh2_kg.1__2111__AT1G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTDKLAQFLDSGIYESDDSNWFFLDPVRITNRSYTRFRVSPSAYYSRFFNSKQLNQQSSDSNPRKRKRKQKDPSFHLPSVGEQASNLRHQEARLFLSKAHESFLKDVELVNLTKGLSDDDSSLLNKCCDDELSFIELGGVWQAPFYEITLNFNLCCDNEGETCNEQRVFQVFNNLVVNETGEEVGAEFSNRRYIMPRKSCFYMSDLLHIRNLVPGKSEEGFNLIVIDPPWENASAHQKSKYPTLPNRYFLSLPIKQLAHAEGALVALWVTNREKLLSFVEKELFPAWGIKYVATMYWLKVKPDGTLICDLDLVHHKPYEYLLLGYRYTELPGSEHRSDFKLLDKNQIIMSIPGDFSRKPPIGEILLKHTPGSQPARCLELFAREMAAGWTSWGNEPLHFQDSRYFLKD >fgenesh2_kg.1__2112__AT1G19350.4 pep chromosome:v.1.0:1:8190819:8192643:1 gene:fgenesh2_kg.1__2112__AT1G19350.4 transcript:fgenesh2_kg.1__2112__AT1G19350.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bri1-ems-suppressor 1 [Source:UniProtKB/TrEMBL;Acc:D7KHC7] MTSDGATSTSAAAAAAMATRRKPSWRERENNRRRERRRRAVAAKIYTGLRAQGNYNLPKHCDNNEVLKALCSEAGWVVEEDGTTYRKGHKPLPGDMAGSSSRATPYSSHNQSPLSSTFDSPILSYQVSPSSSSFPSPSRVGDPHNISTIFPFLRNGGIPSSLPPLRISNSAPVTPPVSSPNSRNPKPLPTWESFTKQSMSIAAKQSMTSLNYPFYAVSAPASPTHHRQFHAPATIPECDESDSSTVDSGHWISFQKFAQQQPFSASMVPTSPTFNLVKPAPQQLSPNTAATQEIGQSSEFKFENSQVKPWEGERIHDVAMEDLELTLGNGKSS >fgenesh2_kg.1__2117__AT1G19360.1 pep chromosome:v.1.0:1:8192678:8194684:-1 gene:fgenesh2_kg.1__2117__AT1G19360.1 transcript:fgenesh2_kg.1__2117__AT1G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KHC8] MAGRRDRSQQLRGSRIAIAILIGIFIGCVCAVMFPYGFFNSSSSLKVNERLSKSSDQVGSSACESPERVNMLKSDFASLSEKNAELKKQVRELTEKLRLAEQGSDNARKQVLALGTQIKAGPFGTVKSLRTNPTILSDESINPRLAKILEEIAVDKEVIVALANANVKAMLEVQIASIKRVGITNYLVVALDDYIENFCKEKDVAYYKRDPDKDVDTVGKTGGNHAVSGLKFRVLREFLQLGYGVLLSDVDIVFLQNPFSHLYRDSDVESMSDGHNNHTAYGFNDVFDEPAMGWARYAHTMRIWVFNSGFFYLRPTIPSIELLDRVADRLSKAKVWDQAVFNEELFYPSHPNYTALHASKRVMDMYEFMNSKVLFKTVRKNHELKKKVKPVIVHVNYHPDKLNRMQAVVEFYVNGKQDALDTFPDGSE >fgenesh2_kg.1__2119__AT1G19380.1 pep chromosome:v.1.0:1:8201103:8201812:1 gene:fgenesh2_kg.1__2119__AT1G19380.1 transcript:fgenesh2_kg.1__2119__AT1G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEESKTLLPVRKPVNDRKSAGYKLWVLIALLLLAFGSMLTGSVSLKGIGLFHSVDGTNAFSVGDDLDVLEIEERERVVRQMWDVYGRSGGVKLPRFWREAFEAAYEFLISDSPAVRNGAVSDIAKLSLVRFVKSESTPAQPNPR >fgenesh2_kg.1__2121__AT1G19400.2 pep chromosome:v.1.0:1:8210400:8212575:-1 gene:fgenesh2_kg.1__2121__AT1G19400.2 transcript:fgenesh2_kg.1__2121__AT1G19400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPCETLDNGEAYQISRYHNYNQHSSRSLSPWLDLRVFYVRISNFMVEDSTPEVLTINHIPLDPNTVLEINGVRMGMYWEGGSSQLRRDRVDKKSEEATYVSTDNIRLTGSVKFEVFDKTELVLSGTLEMCGSNGLTGESKNRWKMNCEAEISAGSGFFKEKSINGQELLSPLPTIEVYVTGCFLGTPIILTKTLQLGLRKKQSRRMALDSIPEYETAEPQKEYTSSALDLQATEYANYKEEYEGDMYWRSECIDGEMTWFNAGVRVGVGIGLGVCVGLGIGVGLLVRTYQSTTRTFRRRLL >fgenesh2_kg.1__2122__AT1G19410.1 pep chromosome:v.1.0:1:8212694:8214547:-1 gene:fgenesh2_kg.1__2122__AT1G19410.1 transcript:fgenesh2_kg.1__2122__AT1G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKINTDDLLVKILSFLPTKVVVTTSILSKRWKFHWMRVPKLETLPLHRVPVLERLRLELSSLPFQPEDIKLWVEVAVSLSVLELSIDYYSHQYKSATLPFCLYTCKSLETLALFDAILMVVPRMACLPSLKNLLLCGVRYVDESFQLLDVSRTVCFPSLETLFLKDVVFSDEKYLDRFLPIALILNIWSWNYMNMILWELLLLVIDCDHSPDVVEIVAPSLEYIKLNDHNNNKFLIENMPNLEEAYIDANIFECEKFLGAFTSVKRLNLCLINAPKIREQARNICTCKPYWSVILLRLLKGSPNLKVLEVDGDNNIPECFKAPLVCWDQVTPVPECLLTSLETFKWTGIPSRQEARDMRTVLILKDEMVKLLNDKIVRSERKESSSSTSLSSQITVFYVFPLRHHYISSTGSIVILGHALRSH >fgenesh2_kg.1__2123__AT1G14840.1 pep chromosome:v.1.0:1:8242741:8246705:1 gene:fgenesh2_kg.1__2123__AT1G14840.1 transcript:fgenesh2_kg.1__2123__AT1G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGFMSPSLAISASYRQGGSKGMSRRRSMRPSLDADNEFMNVLHGSDPVRIELNRLENEVRDKDRELSEGQAEIKALRLSERQREKAVEECITILRLCQRILLCHINSLKSWERWRKNSKLIENLLESKNLEIKKINEEKKASMAAQFAAEASLRRIAKLQDDNKSLDHLTKSKEAALLDVEKTVQSALAKASMVDDLQNKNQELMKQIEICQEENKIIDKMHRQKVAEVEKLMQSVRELEEAVLAGGAAANAVRDYQRKFQEMNEERKNLERELARAKVNANRVATVVANEWKDTNDKVMPELLLLLIFGEILLLLRVLEESLKGPTSSSSRGTSVGRSSSNGPSRRQALGGAETSPKITSNGSLIKRTPSSQLRSLTASASTVLKHAKGTSRSLMGTPLDTRSLDRSKVLINGPRSNFSLNHQSSEGTSRGETPSSIKGEAEADDKSTNNDSVPSVLYDLLQKEVITIRKAAHDKDQSLRDKDEAIEMLAKKVETLTKAMDVEAKKMRREVAVMGKEVAAMRVDKGQQDSKTRRLSASKGNTAQLLSGRVSGRIGMTRSTQ >fgenesh2_kg.1__2124__AT1G14830.1 pep chromosome:v.1.0:1:8248836:8252883:1 gene:fgenesh2_kg.1__2124__AT1G14830.1 transcript:fgenesh2_kg.1__2124__AT1G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKSLIGLINKIQRACTVLGDHGGEGMSLWEALPTVAIVGGQSSGKSLVLESVVGRDFLPRGSGIVTRRPLVLQLHKTEEGITEDAEFLHAPKKRFADFAAVRKEIEDETDRITGKSKQISNIPIQLSIYSPNVVNLTLIDLLGLTKVAVDGQPDSIVQDIEYMVRSYVEKPNCIILAISPANQDIATSDAIKLAREVDPTGKRTFRVATKLGIMDKGTDCLDVLEGRSYRLQHPWVGIVSRSQADINKRVDMIAAQNLAKLLSQHLETVIGQKIPSIVALINKSIDEINAELDRIGRSIAVDSGSLSNYDSRPNFTQFWNSAGHLIVSLRSTWMEDRIYGVFDHQLPAALNKLPFDRHLSTKNVQKVVSEADGYQPHLIAPEQGYRRLIDGSISYFKGSAEATVDAVHFVLKELVRKSISETEIQRREQENGSAAVLQDEPEKEKPNPRIASPPNADPYSDNHFRKIGSNVSAYTNMVCDTLRNSLPKAVVYCQVREAKRSLLNFFYAQVGRKEKEKLGVMLDEDPQLMEQRGTLAKRLELYKQDRDDIDAVAWK >fgenesh2_kg.1__2125__AT1G14810.1 pep chromosome:v.1.0:1:8254905:8256413:1 gene:fgenesh2_kg.1__2125__AT1G14810.1 transcript:fgenesh2_kg.1__2125__AT1G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KHE2] SKCLSKNLRLPSPSLELPEPFGQEFLSVLSDRDFPYSSVKMLASKRSAGKRLAFDGREYTVEELTAESFDGVDKALFSAGGSISKEFGTYQAASGAGAAAMEELVSRLASDYVLEGKPPTCIIFGQQYAFNLFRIMLLFLTTETRKISNDTEVKVTATCIRVPVMRAHAESVNLQFENPLNLYFKFLKHRCSVFTNGYSTVCEFRLDIFICGDQIRKGAKN >fgenesh2_kg.1__2127__AT1G19440.1 pep chromosome:v.1.0:1:8277029:8278914:1 gene:fgenesh2_kg.1__2127__AT1G19440.1 transcript:fgenesh2_kg.1__2127__AT1G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7KI29] MDGAGESRVGGGGGDGSVGVQIRQTRMLPDFLQSVNLKYVKLGYHYLISNLLTLCLFPLAVVISVEASQMNPDDLKQLWIHLQYNLVSIIICSAILVFGLTVYVMTRPRPVYLVDFSCYLPPDHLKAPYARFIEHSRLTGDFDDSALEFQRKILERSGLGEDTYVPEAMHFVPPRISMAAAREEAEQVMFGALDNLFANTSVNPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSYNLGGMGCSAGVIAVDLAKDMLLVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGSAVLLSNKSRDKRRSKYRLVHVVRTHRGADDKAFRCVYQEQDDTGRTGVSLSKDLMAIAGETLKTNITTLGPLVLPISEQILFFMTLVVKKLFNGKVKPYIPDFKLAFEHFCVHAGGRAVIDELEKNLQLSPVHVEASRMTLHRFGNTSSSSIWYELAYIEAKGRMRRGNRVWQIAFGSGFKCNSAIWEALRHVKPSNNSPWEDCIDKYPVTLRY >fgenesh2_kg.1__2128__AT1G19450.1 pep chromosome:v.1.0:1:8279554:8282963:-1 gene:fgenesh2_kg.1__2128__AT1G19450.1 transcript:fgenesh2_kg.1__2128__AT1G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRDDTEEGRNDLRRPFLHTGSWYRMGSRQSSMLESSQVIRDSSISVLACVLIVALGPIQFGFTCGYSSPTQAAITKDLGLTVSEYSVFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLSISFAKDTSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQHMRGALGSVNQLSVTIGIMLAYLLGLFVPWRILAVLGVLPCTLLIPGLFFIPESPRWLAKMGFTDDFETSLQVLRGFDTDITVEVNEIKRSVASSSKRSAIRFVDLKRRRYYFPLMVGIGLLVLQQLGGINGVLFYSSTIFESAGVTSSNVATFGVGVVQVVATAVATWLVDKSGRRLLLMISSIGMTISLVIVAVAFYLKEFVSPDSNMYNILSMVSVVGVVAMVISCSLGMGPIPWLIMSEILPVNIKGLAGSIATLLNWFVSWLVTMTANMLLAWSSGGTFTLYALVCGFTVVFVSLWVPETKGKTLEEIQALFR >fgenesh2_kg.1__2133__AT1G19520.1 pep chromosome:v.1.0:1:8303680:8306373:1 gene:fgenesh2_kg.1__2133__AT1G19520.1 transcript:fgenesh2_kg.1__2133__AT1G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F18O14.27 [Source:UniProtKB/TrEMBL;Acc:D7KI34] MKSFLVSRQAIHRISLLTSKTPTFCRNFSAITSSISHSDRHLRSYDEQTHFQNEKIPRPISSFNRYFHFTRESRLSESSAAIDDSNDQEEDDEEEDGTTNEFLSRFVWIMRGKVSEAYPDCDKKMVDGMLLLIVEKVVEEIERGGFNKVGSAPPSPSSEFSDDLWATIWEVSNTVLKDMEKERKKEKMKQYIQSPEVMEMCRFAGEIGIRGDLLRELRFKWAREKMEDAEFYESLEQQRDLDNAIRDSETVDGEVEEEGFVPSDEIESRSISLPKRKGKFKYKSYGLELSDPKWVEMADRIHEAEEEADWREPKPVTGKCKLVMEKLESLQEGDDPSGLLAEWAELLEPNRVDWIALLNQLREGNTNAYLQVAERVLDEKSFNASISDYSKLIHIHAKENHIEDVERILKKMSQNGIFPDILTATSLVHMYSKSGNLERATEAFENLKSYGLRLDEKIYEAMIMGYVNAGKPKLGERLVKEMQAKELKASEEVYMALLRAYAQMGDANGAAGISSSMLYASNDPLSFEAYSLFVEAYGKAGQVDKAKSNFDEMRKLGHKPDDKCIANLVRAYKGENSLDKALRLLLQLEKDGIEIGVITYTVLVDWMANLGLIEEAEQLLVKISQLGEAPPFELQVSLCCMYSSVRNEKKTLQALGVLEAKRDQMGPNEFDKVITALKKGGFEKDARRMYKYMEARKFLPSQRLQMDMVAPPRAFGSGSGRVRR >fgenesh2_kg.1__2134__AT1G19540.1 pep chromosome:v.1.0:1:8311910:8314103:1 gene:fgenesh2_kg.1__2134__AT1G19540.1 transcript:fgenesh2_kg.1__2134__AT1G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKILVIGATGLIGKVFVEGSAKSGHATFALVREASLSDPVKAQLVESFKDLGVTILYGSLNDKESLVKAIKQVDVVISTVGRPQILDQTNIIDAIKESGNVKRFLPSEFGNDVDRTVASGPTLSEFISKAQIRRAIEAAKIPYTYVVSGCFAGLFVPCLGQCHLLLRSPPRDKVSIYDSGNGKAIVNTEEDIVAYTLKAVDDPRTLNKILYIHPPKNIVSQNDMVRLWEEKIGKTLDKSYVSEEELLKTIQETGPPMDFLVGLIHTILVKSDFTSFTIDPSFGVEASELYPEVKYTSVNEFLNRFV >fgenesh2_kg.1__2135__AT1G19570.1 pep chromosome:v.1.0:1:8314053:8315265:-1 gene:fgenesh2_kg.1__2135__AT1G19570.1 transcript:fgenesh2_kg.1__2135__AT1G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEICVKAAVGAPDHLGDCPFSQRALLTLEEKNLTYKIHLINLSDKPQWFLDISPQGKVPVLKIDDKWVTDSDVIVGILEEKYPDPPLKTPPEFASVGSNIFSTFGTFLKSKDSNDGSEQALLHELEALENHLKSHDGPFIAGERVSAVDLSLAPKLYHLQVALGHFKSWSVPASLPHVHNYMKDLFSLDSFEKTKTEEKYVISGWAPKVNP >fgenesh2_kg.1__213__AT1G02890.1 pep chromosome:v.1.0:1:789508:796443:-1 gene:fgenesh2_kg.1__213__AT1G02890.1 transcript:fgenesh2_kg.1__213__AT1G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:UniProtKB/TrEMBL;Acc:D7KBD7] MVETRRSSSASKRFSAETSSSSRLTKRSKRAAEPAASSSASEVPIENQGPVSDPGSESGEQELRTSDPQSNDAERPVNNTAVPAMETDTNPEVEGLVTPTPAGEVVVEAEKSKSSKKRIAKAPWAKLLSQYPQNPHCVMRGSVFTVGRRGCDLCIRDHSMPNVLCELRQSEHGGPSVASLEIIGNGVLVQVNGKIYQRSTCVHLRGGDEIIFSTPGKHAYIFQPLKDENLATPDRASSLSIFEAQSAPLKGLHVETRAGDSSSLLASISKLHNVPFLPPTAKSVKIQQNSEVPVLPSSCNDCILDVDMNDDDSHNDHAAIASTEKTVASTSCAANDDLNADGNGMDPFQEAEGGNIPGSGYEIRPILSLLGDPSEFDLTGSISKILVEERREVREMLKENERPSASVLTRRQAHKDSLRGGILKPQDIEVSFENFPYFLSGTTKDVLMISTYAHMKYGREYAKYASDLPTACPRILLSGPSGSEIYQEMLAKALAKKFGAKLMIVDSLLLPGGSTPKEADSTKESSRRERLSVLAKRAVQAAQAAVLQHKKPISSVEADITGGSALSSQAVPRQEVSTATSKSYTFKAGDRVRFLGPSTSSLASLASLQAPPRGPATGFQGKVLLAFEGNGSSKIGVRFDRSIPDGNDLGGLCEEDHASSLRLESSSSDDADKLAINEIFEVAFSESERGSLILFLKDIEKSVSGNTDVYITLKSKLENLPENIVVIASQTQLDSRKEKSHPGGFLFTKFGSNQTALLDLAFPDNFGGRLQDRNKEMPKSVKQITRLFPNKVTIQLPEDEALLVDWKDKLERDTEILKAQANITSIRAVLSKNHLVCPDLETLCIKDQTLPSDSVEKVVGFAFNHHLMNCAEPTVKDDKLIISAESITYGLELLHGIQNENKSTKKSLKDVVTENEFEKKLLSDVIPPSDIGVSFSDIGALENVKDTLKELVMLPLQRPELFGKGQLTKPTKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMINWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDSANRSKILSVILAKEEMAEDVDLEAIANMTDGYSGSDLKNLCVTAAHLPIREILEKEKKERSVAQSESRPMPQLYSSRDIRPLNMNDFKAAHDQVCASVSSDSSNMNELQQWNELYGEGGSRKKTSLSYFM >fgenesh2_kg.1__2140__AT1G19610.1 pep chromosome:v.1.0:1:8322076:8322628:-1 gene:fgenesh2_kg.1__2140__AT1G19610.1 transcript:fgenesh2_kg.1__2140__AT1G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 78 [Source:UniProtKB/TrEMBL;Acc:D7KI40] MASSYTLMLFLCLSIFLIASTEMMAVEARICERRSKTWTGFCGNTRGCDSQCKSWERASHGACHAQFPGFACFCYFNC >fgenesh2_kg.1__2141__AT1G19630.1 pep chromosome:v.1.0:1:8341776:8344214:-1 gene:fgenesh2_kg.1__2141__AT1G19630.1 transcript:fgenesh2_kg.1__2141__AT1G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP722A1 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KI42] MEHLCVCLVLCAAMLALGKFLKIMFQDRKKSTADVPPGSDGFPVIGETLQFMLSVNSGKGFYEFVRSRRIRYGGCFRTSLFGETHVFLSTTDSARAVLNNESGMFTKRYIKSIGELVGDRSLLCASQHHHKILRSRLINLFSKRSTALMVRQFDELVVDALGGWEDRGTVVLLTDLLQITFKAMCKMLISLEDEEELGSMQKDVGFVCEAMLAFPLNLPWTRFHKGIMARGRVMEMLEKIIRERRNEINSQNNHHEDFLQQLLAVDNYTPKLTDAEIKDNILTMIIAGQDTTASALTWMIKYLGENQKVLDILIEQSQLTNNKASNKPFLELEDLSEMPYASKMVKESLRMASVVPWFPRLVLQDCEMEGYKIKKGWNINIDARSIHLDPTVYNEPHKFNPLRFEEEAKANSFLAFGMGGRTCLGLVMAKAMMLVFLHRFITTYRLVRFF >fgenesh2_kg.1__2142__AT1G19640.1 pep chromosome:v.1.0:1:8345223:8348394:-1 gene:fgenesh2_kg.1__2142__AT1G19640.1 transcript:fgenesh2_kg.1__2142__AT1G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine:jasmonic acid carboxyl methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KI43] MEVMRVLHMNKGNGETSYAKNSTVQSNIISLGRRVIDEALKKLMMRNSEISSIGIADLGCSSGPNSLLSISNIVDTIHNLCPDLDRPVPELRLSLNDLPSNDFNYIFASLPEFYDRINNNKDGLGFGRGEGESCFVSAVPGSFYGRLFPRRSLHFVHSSSSLHWLSQVPCREVEKEDRAITADLDNMGKIYISKTSPKSAHKAYALQFQTDFLVFLRSRSEEMVPGGRMVLSFLGRSSLDPTTEESCYQWELLAQALMSMAKEGIIEEEKIDAFNAPYYAASSEELKMVIEKEGSFSIDRLEISPVDWEGRSISEESYDLVVRSKPEALASGRRVANTIRAVVEPMLEPTFGENVMDELFERYAKIVGEYFYVSSPRYAIVIVSLVRTG >fgenesh2_kg.1__2143__AT1G19650.1 pep chromosome:v.1.0:1:8354278:8357753:-1 gene:fgenesh2_kg.1__2143__AT1G19650.1 transcript:fgenesh2_kg.1__2143__AT1G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLERFTSPCFSNNGERREKKSDFEVSEDDDKKTRVGGIFRKKSSKTKFRHSLKRKGSSSRTRSIDRTLSLTFEDIHDAEELRYVSQFRQSLISDHLLPPNLDDYHIMLRFLFARKFDLGKAKLMWTNMIHWRRDFGTDTILEDFEFPELEQVLKYYPQGYHGVDKEGRPVYIERLGKVDASKLMQVTTLERYLRYHVKEFEKTITVKFPACCIAAKRHIDSSTTILDVQGLGLKNFTKTARDLIIQLQKIDSDNYPETLHRMFIINAGSGFKLLWGTVKSFLDPKTVSKIHVLGNKYQNKLLEVIDASQLPDFLGGTCTCADQGGCMRSDKGPWKDPEILKMGRSGGAFCRHAGAFLSSDFQISSSDKQTYSLKVSDTSTAESGSELEEMASPKTNMNNHVPKLTPVSENANGSRSPTVLSEYEECVPMVDKVVDVAWRPQEMSNASEGPQYSSSLGKIGSVSHIWKFLTAFFINFSTLLASLALPQTKEFSQLHSSSARAELCDERVARESRPPSPSRSTITERVIISSVLSRLGDLEKQIETLHLRKSEMPQEKEELLNAAVYRVDALEAELITTKKALHEALMRQEELLGYIDRQEEAKYRRKKFCW >fgenesh2_kg.1__2146__AT1G19660.1 pep chromosome:v.1.0:1:8358031:8360630:-1 gene:fgenesh2_kg.1__2146__AT1G19660.1 transcript:fgenesh2_kg.1__2146__AT1G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7KI45] MRSLQAPIVCPSVRPRQLGVSASLVNCSVSKTRSLRNQFWGNQTRNVKSQVATVNLRRRSKSIQCLFSSHSDGTGSTAENFNENDEDYVKSSVLEAVEVKSGPDGFMVKMKDGRQLRCVHNNPQGGNLPNYAPHSAIVLKMEDGTGLLLPIIVLEMPSVLLMAAITNVQIARPTMYQVVKEMVDKMGYEVRLVRVTTRVHEAYFAELYLSKVGNKLECVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIDSGKLSKQTPASDGLLFTELDRPNGQPCFDTKEFDLLRNMMQAVDEERYDEAAEWRDKLGQFQAKRKLRKYT >fgenesh2_kg.1__2147__AT1G19670.1 pep chromosome:v.1.0:1:8361664:8362947:-1 gene:fgenesh2_kg.1__2147__AT1G19670.1 transcript:fgenesh2_kg.1__2147__AT1G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coronatine-induced protein 1 [Source:UniProtKB/TrEMBL;Acc:D7KI46] MATIEDSPTFFSAATPAAFEVGSLPTTEIPVEPLENDSAAPPKPVRITCPTVAGTYPVVLFFHGFYLRNYFYSDVLNHVASHGYILVAPQLCKLLPPGGQVEVDDAGSVINWASENLKAHLPTSVNANGKYTSLVGHSRGGKTAFAVALGHAATLDPSITFSALIGIDPVAGTNKFMRTDPHILTYKPESFDLDIPVAVVGTGLGPKWNNVMPPCAPTDLNHEEFYKECKATKAHFVAADYGHMDMLDDDLPGFVGFMAGCMCKNGQRKKSEMRSFVGGIVVAFLKYSLWGEKSEIRLIVKDPSVSPATIDPSPELEEASGIFV >fgenesh2_kg.1__2148__AT1G19680.1 pep chromosome:v.1.0:1:8363249:8365732:1 gene:fgenesh2_kg.1__2148__AT1G19680.1 transcript:fgenesh2_kg.1__2148__AT1G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7KI47] MGSVCCVAAKDRNLPSGAIHNPVCSPPWSFRRDNRRRVADEIKHSSNHNDKLSLGLERGPPSERDLASHASQKPADSEMGTASMITAPLTGKSLTIQSPSDVSLTSPVHVEVKNTVRSPDIVSSVLPNPSSSTSVSDLPSGHTHSLPPRSTPTRRARGSPGQQLFRQVSDSQILGLKSPNNYSTFEGRSSFVLSTCSNDTATGSHNASSEGGWSMNAFCELVAQSQMERWSFESEHLGSGIRRLSGCSSSRFSCSPSVDQQTCGNCSKLLTERSSIARFDLPISAVLACGHVYHAECLETMTSETEKYDPTCPICAETQVTKLSRKALKAEQELKATSYKRCKDRVVDSCVRSECEDLVFQKLGKREGKGLKIDPSSSTKGSTSKSFMKWHFGSVSAKWSKVRDSTSKKSFWPRHSNKRLSHTSSSVEGLNQTT >fgenesh2_kg.1__2150__AT1G19700.1 pep chromosome:v.1.0:1:8367705:8370662:-1 gene:fgenesh2_kg.1__2150__AT1G19700.1 transcript:fgenesh2_kg.1__2150__AT1G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYPSNVGCYQQEPIFLNHQQQQASSSSAAASFTVTGSDNVRNEMVFIPPTTTGDVVTGNGAVSSSDLSFHDGQGLSLSLGTQISVAPFHFHQYQLGFTQNPSTSVKETSPFNVDEMSVKSKEMMLLSQSDPSSGYAGSGFYNNYRYNETSGGFMSSVLRSRYLKPAQNLLDEVVSVKKELNQMGKKKMKVNDFNNGSKEIEGGGSGELSNDLNGKSMELSTVEREELQNKKNKLLTMVDEVDKRYNQYYHQMEALASSFEIVAGLGSAKAYTSVALNRISRHFRALRDAIKEQIQIIREKLGEKGGESLDEQQGERIPRLRYLDQRLRQQRALHQQLGMVRPAWRPQRGLPENSVSVLRAWLFEHFLHPYPKESEKIMLAKQTGLSKNQVANWFINARVRLWKPMIEEMYKEEFGDESELLISKSSQEPNSTNQEDSSSQQQQQQENNNNLTYSSADTTNIVFSSETKPDRVLGNDNEPQQPQINRSSDYDTLMNYHGFGVDDYRYISGSNQQESRFSNSHHLHDFVV >fgenesh2_kg.1__2152__AT1G19715.1 pep chromosome:v.1.0:1:8374956:8377766:-1 gene:fgenesh2_kg.1__2152__AT1G19715.1 transcript:fgenesh2_kg.1__2152__AT1G19715.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin lectin family protein [Source:UniProtKB/TrEMBL;Acc:D7KI52] MSVEGKPASVGPWGGQCGHAWDDGMYTTVKQIIIAHGSGIDSIQVEYDKNGSSVWSEKRGGKGGKKFDKVKLDYPHEYLISINGTYGSFDVWGTLCVRSLTFESNRRKYGPFGVESGTFFALPKSGSKIVGFHGKAGWYLDAIGVHIQPVPKENNPSSKILLHSHQSFPQGDKKHEYSVIQGSVGQNFDIVVALRKKDPTLPSFESRDSAGAEITKHKLVTDTEKSQSKVEGGAKTYGPWGGTGGIMFDDGIYTGIRQINLSRSVGIVSIKVCYDFRGQAVWGSKHGGMGGFKHDKIVFDYPSEVLTHVTGTYGPLMYMGPNVIKSLTFRTNRGKHGPYGEEQGPSFTHQIDEGKVVGFLGREGLFLDSIGVHVMECKISSLKPASPNNSIVPHNNSGTAQIENSPWANKLVLAANGHGEEVDRGVVKEPTPSGSGPWGGDGGQAWDDGVFSGIKQIFVTRGNDVISSIQVEYDRNGQSVWSTKHGGDNNGVATHRIKLEYPNETITCISGYYGPLNNSDRYNVVKSLSFYTSRGKYGPYGEETGTFFTSTTTQGKVLGFHGRSSSHLDAIGVHMQHWLGNNKPYYSRASCFKLFS >fgenesh2_kg.1__2155__AT1G19740.1 pep chromosome:v.1.0:1:8382507:8383586:1 gene:fgenesh2_kg.1__2155__AT1G19740.1 transcript:fgenesh2_kg.1__2155__AT1G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent protease La domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KI54] MALPSTVSSPSFKSTPPYLSAFNPKPLHLSATYRSINRRSCKLLSFRCSSSSFSEKHHNANPPKSDDLVELPLFPLPLVLFPGATIPLQIFEFRYRVMMQTLLQSDLRFGVVYSDAVSGSAAGIGCVGEIVKHERLVDDRFFLICKGQERFRVTDLVRTKPYLVAKVTWLEDRPSGEENLDELANEVEVLMKEVIRLSNRLNGKPDKESQDLRKNQFPTPFSFFVGSTFEGAPMEQQALLELEDTAARLKRERETLRNTLNYLTAASAVKDVFPSQP >fgenesh2_kg.1__2156__AT1G19770.1 pep chromosome:v.1.0:1:8385085:8386573:1 gene:fgenesh2_kg.1__2156__AT1G19770.1 transcript:fgenesh2_kg.1__2156__AT1G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPUP14 [Source:UniProtKB/TrEMBL;Acc:D7KI55] MAQNQQPIFQTNPPEQFVQIPINIERDSSTTRMNQTGNTNRKPNHWPTITISIIFVIIGQSIAKLLENFYYDKINRSEYNENRQNDGVWTQSLLQTVGFPLLLLPFIIFITKNKRNHHQQPPITSDSIHVKSLAVIYICIGIIMAVQGRLAAMGKLEIPFGVFTLIYTTQLFFTPIFAAFINKIKFNRWVVISVILAIITGALTLSSSFGGEPDEAEENYARGSWAALFAGICFALLLCNIQNVFDSYIFKRTESTNKKPSFASVFEVIIFSSLVATIISVVGLLIAGEQHELKREMNGFSKGKGSYVMAMVGQAVSWQVYWVGIVGLVYSVSSVLSNVISVITWPIVSVLVVIFFNFMDDEFDAFKGVALVTAVLSAAAYFFRLHKENRMAN >fgenesh2_kg.1__2159__AT1G19790.1 pep chromosome:v.1.0:1:8391565:8393781:-1 gene:fgenesh2_kg.1__2159__AT1G19790.1 transcript:fgenesh2_kg.1__2159__AT1G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFYLGGRDHNKQDHHQDKDHNEDKSNNYLYLYKDEIYNNNKGFEIFPPQYFQQQQQQNHVAAPTNLYSFGMVPSGGININNNRSTNRSLYFNVVSDHEPVRSSTGGFTVTRQGNMNCQDCGNQAKKDCPHMRCRTCCKSRGFDCQTHVKSTWVSAAKRRERQAQLAVLPAKRIRDTNSSVGGDDDDDREDEKNGGGDDSCGGGSALACTRVVNGNSSGLESSHLPPEISSPAVFRCMRVSSIDDEEEEYAYQTAVSIGGHVFKGILYDQGPSDHHRYSSSVNAETSQHHLNLMDSIASAATTTAVTAVNSNNGSIDPSSIYTAVAAPFNAYVTAGTSFFAPPRS >fgenesh2_kg.1__215__AT1G02900.1 pep chromosome:v.1.0:1:798586:799140:-1 gene:fgenesh2_kg.1__215__AT1G02900.1 transcript:fgenesh2_kg.1__215__AT1G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KBD8] MAKSFPLFLSLTILIIFIISSPPVQAGFANNLDGLEWATNGVHGSGCHGSIAECIGAEEEEMDSEINRRILATTKYISYQSLKRNSVPCSRRGASYYNCRNGAQANPYSRGCSAISRCR >fgenesh2_kg.1__2160__AT1G19800.1 pep chromosome:v.1.0:1:8403028:8404749:1 gene:fgenesh2_kg.1__2160__AT1G19800.1 transcript:fgenesh2_kg.1__2160__AT1G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTCCIHQSFCFPHRVLPRFDASIGIKPPKLCQVGFTGKTQSYGISNLLRRRRLYVNLNANDGHPSMSMLEEETSTEISEPTQEAELPFSKWSPSKYIWRGLSVPIIAGQVVLRILKGKIHWRNTLQQLERTGPKSLGVCLLTSTFVGMAFTIQFVREFTRLGLNRSIGGVLALAFSRELSPVITSIVVAGRMGSAFAAELGTMQVSEQTDTLRVLGADPIDYLITPRVIASCLALPFLTLMCFTVGMASSALLSDAVYGISINIIMDSAHRALRPWDIVSAMIKSQVFGAIISVISCSWGVTTTGGAKGVGESTTSAVVMSLVGIFIADFVLSSFFFQGAGDSLKNCV >fgenesh2_kg.1__2161__AT1G19830.1 pep chromosome:v.1.0:1:8406713:8407400:1 gene:fgenesh2_kg.1__2161__AT1G19830.1 transcript:fgenesh2_kg.1__2161__AT1G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIINRSKLTQTTMIKQILKRCSSLGKKQSSEYNDEHEHAGDSLPLDVPKGHFVVYVGGNRVRYVLPISFLTRPEFQLLLQQAEEEFGFEHNMGLTIPCEEVAFKSLITSMLQSTYI >fgenesh2_kg.1__2164__AT1G19850.1 pep chromosome:v.1.0:1:8472972:8477457:1 gene:fgenesh2_kg.1__2164__AT1G19850.1 transcript:fgenesh2_kg.1__2164__AT1G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:D7KI68] MASLSCVEDKMKTSCLVNGGGTITTTTTSQSTLLEEMKLLKDQSGTRKPVINSELWHACAGPLVCLPQVGSLVYYFSQGHSEQVAVSTRRSATTQVPNYPNLPSQLMCQVHNVTLHADKDSDEIYAQMSLQPVHSERDVFPVPDFGMLRGGSKHPTEFFCKTLTASDTSTHGGFSVPRRAAEKLFPPLDYTAQPPTQELVVRDLHENTWTFRHIYRGQPKRHLLTTGWSLFVGSKRLRAGDSVLFIRDEKSQLMVGVRRANRQQTALPSSVLSADSMHIGVLAAAAHATANRTPFLIFYNPRACPAEFVIPLAKYRKAICGSQLSVGMRFGMMFETEDSGKRRYMGTIVGISDLDPLRWPGSKWRNLQVEWDEPGCNDKPTRVSPWDIETPESLFIFPSLTSGLKRQLHPSYFAGETEWGSLIKRPLIRVPDSANGIMPYASFPNMASEQLMKMMMRPHNNQNVPSFMSEMQQNVVMGHGGLLGDMKMQQPMMMSRKSEMLQPQNKLTVNPSASNSSGQEQNLSQSMSAPAKPDNSTLSGCSSGRVEHGLEQSMEQASQATTSTVCNEEKVNQLLQKPGASSPVQADPCLDISQQIYPPQSDPINGFSFLETDELTSQVSSFQSLAGSYKQPFMLSSQDSSAVGLPDSTNSPVFHDVWDNQLNGLKFDQFSPLMQQDLYASQNICMSNSTTSNILDPPLSNTVLDDFCAIKETDFQNHPSSCLVGNNNTSFAQDVQSQITSASFADSQAFSRQDFPDNSGGTGTSSSNVDFDDSSLLQNSKGSSWKKIATPRVRTYTKVQKTGSVGRSIDVTSFKDYKELKSAIECMFGLEGLLTQPQSSGWKLVYVDYESDVLLVGDDPWEEFVGCVRCIRILSPTEVQQMSEEGMKLLNSAGINDLKTSVS >fgenesh2_kg.1__2165__AT1G19860.1 pep chromosome:v.1.0:1:8477765:8480934:-1 gene:fgenesh2_kg.1__2165__AT1G19860.1 transcript:fgenesh2_kg.1__2165__AT1G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7KI69] MRALHKRVSWPPDFKLCQVRLFISEDSPSQVGSESQDHLQAKSPLASHPSDDNLPPGFGGPLSANQSQIKLSDIPVIKWKSSVRILLDEEWRVVAGDESKEVEVQNQRELRVLEAFYPGASSIPPNPSVPADVENHHDDQQTIVIPILPVEDDDIAMDSASDFPTQSGVDVGTDPSITDENTSTSSILPAGPEIMAALSAISNSKEQGSSMIDQDLLIKILSNPKLVENLVANSGSAGSVSSNAGSLYPSSTHEANGVVTTAPTYSNGQFYPQPSITHIPPMAYTPLDQPNYGAPPARDASYYKNLIQQHGGDRQEMPPVQQHLGYRYNLQPGGPNPEMVNSNNNNQRPRDSKPKIMKPCMYFNSTRGCRHGANCLYQHDVTTPYQPRNLNNGNINTSEMQNAKRMRFDRD >fgenesh2_kg.1__2168__AT1G19890.1 pep chromosome:v.1.0:1:8492033:8493018:1 gene:fgenesh2_kg.1__2168__AT1G19890.1 transcript:fgenesh2_kg.1__2168__AT1G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKGPRKELATKAARKTVRRPYRGGVKRAHRFRPGTVALREIRKYQKSTDLLIRKLPFQRLVREIAQDHKVDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPRDIQLARRIRGERA >fgenesh2_kg.1__2169__AT1G19900.1 pep chromosome:v.1.0:1:8494136:8495966:-1 gene:fgenesh2_kg.1__2169__AT1G19900.1 transcript:fgenesh2_kg.1__2169__AT1G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARATFIFYALFLTSIQLLLTYHVSSAARGLWKYIAPNVGISAMHMQLLHNDRVVMYDRTNFGPSNISLPNGNCRDNPNDIVSKRDCTAHSIEYDVAMNTVRPLTVQSNTWCSSGSVRPDGVLVQTGGDRDGELKARTFSPCDNNQCDWVEINNGLTKRRWYASNHILPDGKQIVIGGQAQFNYEFFPKTTNPNVVALPFLAETHDQGQENNLYPFVFMNTDGNLFIFANNKAILLDYVKNTVVKTFPAIPGGDPRNYPSTGSAVLLPLKNLEADQIETEVLVCGGAPKGSYNLAFRKKTFVEALDTCARIKINDANPQWTVENMPHARVMGDMILLPNGDVLIINGGSFGTAAWELGREPVLAPDLYHPENPVNSRFESLRPTTIPRMYHSAAILLRDGRVLVGGSNPHAFYNFTGVLFPTELSLEAFSPVYLQREFSDLRPKIISPKPQSTIKYGMNLKLKFTVTGEVTTPVKVTLVFPTFTTHSFAMNQRVLVLDNVKLTRKGKSPTYEVQVRTPKSANIAWPGYYMIFVVNQNIPSEGVWVRLQ >fgenesh2_kg.1__2170__AT1G19910.1 pep chromosome:v.1.0:1:8500880:8502070:1 gene:fgenesh2_kg.1__2170__AT1G19910.1 transcript:fgenesh2_kg.1__2170__AT1G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:D7KI74] MASSFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >fgenesh2_kg.1__2171__AT1G19920.1 pep chromosome:v.1.0:1:8502107:8504340:-1 gene:fgenesh2_kg.1__2171__AT1G19920.1 transcript:fgenesh2_kg.1__2171__AT1G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLIRSSSYVSHLTLFQPRNSKPSSFTNQISFVSSFNQNPFLNLVYKRNPIMQSVSKMTVKSSLIDPDGGELVELIVPESEIGAKRAESETMPKVKLTKIDLEWVHVISEGWASPLKGFMREDEYLQSLHFNSLRLKNGTFVNMSLPIVLAIDEETKEQIGSSKNVALVSPQGDIIGSLRSVEIYKHNKEERIARTWGTTSPGLPYVEEYISPSGNWLIGGDLEVFKPIKYNDGLDHYRLSPKQLREEFDNRQADAVFAFQLRNPVHNGHALLMNDTRKRLLDMGYKNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGVLDPKTTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLNMAPGLEKLNILPFRVAAYDTIEKKMAFFDPSRAKEFLFISGTKMRTYARTGENPPDGFMCPSGWNVLVKYYESLQESEAKQQAVVSA >fgenesh2_kg.1__2172__AT1G19940.1 pep chromosome:v.1.0:1:8504973:8506986:-1 gene:fgenesh2_kg.1__2172__AT1G19940.1 transcript:fgenesh2_kg.1__2172__AT1G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7KI76] MVAKPRSRCCCCSWFIGIIVLVAVILAVIFTFRHRASHSDDNPGSIDKNYANALKIAMQFFDIQKSGKLENNKISWRGDSGLKDGSEANIDLSKGLYDAGDHMKFGFPMAFTATVLSWSILEYGDQMASVNLLDHAKDSLKWTTDFLINAHPSPNVLYIQVGDPVTDHKCWDRPETMTRKRTLTKIDTETPGTEVAAETAAAMAAASLVFKESDTKYSSTLLKHAKQLFDFADNNKGSYSANIPEVQPYYNSTGYGDELLWAASWLYHATEDKTYLDFVSENGEEFGNFGSPSWFSWDNKLPGTHILLSRLTFFKKGLSGSQGLQGYKETAEAVMCGLIPSSPTATSSRTDGGLIWVSEWNALQHPVSSAFLATLYSDYMLTSGIKELSCSDQSFKPSDLRKFARSQADYMLGKNPEKMSYLVGYGEKYPEFVHHRGASIPADANTGCKDGFKWLDSDEQNPNVAYGALVGGPFLNDTFIDARNNSMQNEPSTYNSALVVGLLSSLVTTSSSLESF >fgenesh2_kg.1__2174__AT1G19960.1 pep chromosome:v.1.0:1:8514856:8515278:1 gene:fgenesh2_kg.1__2174__AT1G19960.1 transcript:fgenesh2_kg.1__2174__AT1G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPSGQSSTTTVGGSGGGSGSNGGSGGSGGGSGGSFGNQPRRSKVRKQVWAGVLGISSDSTNN >fgenesh2_kg.1__2175__AT1G19968.1 pep chromosome:v.1.0:1:8517601:8518236:1 gene:fgenesh2_kg.1__2175__AT1G19968.1 transcript:fgenesh2_kg.1__2175__AT1G19968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPFQRAHPNTHSFTIHINEPLNHHRYLDHHHNHNNKTFIGLSSSMKLFSKFRKIFMRIIFTFPSSSATVRPHKTADSGNGCERLETPKISCSNSYYSSHSHYSEAISDCIDFFNKSQQESEDRIVHDHDGFYV >fgenesh2_kg.1__2179__AT1G20000.1 pep chromosome:v.1.0:1:8524735:8525202:-1 gene:fgenesh2_kg.1__2179__AT1G20000.1 transcript:fgenesh2_kg.1__2179__AT1G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KI82] VLSGMKHSKDPFEAAPVEEDERAVKRCKTSVMANKMQTILSQFTEDQMSRYESFRRSAFKKSDMEKLVQRITGGPKIDDTMNIVVRGITKMFVGDLVETARVVMRERKESGPIRPCHIRESYRRLKLQGKVPQRSVQRLFR >fgenesh2_kg.1__217__AT1G02920.1 pep chromosome:v.1.0:1:803529:804614:-1 gene:fgenesh2_kg.1__217__AT1G02920.1 transcript:fgenesh2_kg.1__217__AT1G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase 11 [Source:UniProtKB/TrEMBL;Acc:D7KBE0] MAGIKVFGHPASTATRRVLIALHEKNLDFEFVHIELKDGEHKKEPFIFRNPFGKVPAFEDGDFKLFESRAITQYIAHFYSDKGNQLVSLGSKAIAVIAMGIEIESHEFDPVGSKLVWEQVFKPLYGMTTDKTVVEEEEVKLAKVLDVYEHRLGESKYLASDHFTLVDLHTIPVIQYLLGTPTKKLFDERPHVSAWVADITSRPSAQKVL >fgenesh2_kg.1__2180__AT1G20010.1 pep chromosome:v.1.0:1:8525488:8528228:-1 gene:fgenesh2_kg.1__2180__AT1G20010.1 transcript:fgenesh2_kg.1__2180__AT1G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:D7KI83] MREILHIQGGQCGNQIGSKFWEVICDEHGIDSTGRYSGDTADLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCSLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYISLTVPELTQQMWDSKNMMCAADPRHGRYLTASAIFRGQMSTKEVDEQILNIQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMAATFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEGEYEDEEEEEEAYET >fgenesh2_kg.1__2181__AT4G31400.1 pep chromosome:v.1.0:1:8533818:8535589:-1 gene:fgenesh2_kg.1__2181__AT4G31400.1 transcript:fgenesh2_kg.1__2181__AT4G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKINSFFIFYLFPTVWENNRNAIVNTYERRSAIPDRSQVLKGCIGKPLKKGSSSVPKNLKKKRNYTQFHLELGQSDFLLRHCAQCGAKYAPGDELDEKNHQSFHKDYMYGIPFKGWQNENRIIMVSENDSPAHRNKVVKMMEVELGEDWILHQHCKYFDVYYVEPIGLSIHIHSEDLRMSCRASNDRLDYGAIVCEEEAKPAVCGIRAIWASPSNRRKGIATWLLDTTRESFCNNGCMLEKSQLAFSQPSTMGRSFGSNYFGTCSFLVYKSQLIDTHFS >fgenesh2_kg.1__2182__AT1G20020.3 pep chromosome:v.1.0:1:8550875:8553237:1 gene:fgenesh2_kg.1__2182__AT1G20020.3 transcript:fgenesh2_kg.1__2182__AT1G20020.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATLFNR2 [Source:UniProtKB/TrEMBL;Acc:D7KI86] MTTTMNAAVSLTSSNSSSFPATSCAIAPERIRFSKGAFYYKSNNVVTSKRVFSIRAQITTETDTPTPAKKVEKVSKKNEEGVIVNRYRPKEPYIGKCLLNTKITADDAPGETWHMVLSHEGKIPYREGQSVGVIADGIDKNGKPHKVRLYSIASSALGDLGNSETVSLCVKRLVYTNDQGETVKGVCSNFLCDLAPGSDVKLTGPVGKEMLMPKDPNATVIMLATGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTTSSLLYQEEFDKMKAKAPENFRVDYAISREQANDKGEKMYIQTRMAQYAAELWELLKKDNTFVYMCGLKGMEKGIDDIMVSLAANDGIDWFDYKKQLKKAEQWNVEVY >fgenesh2_kg.1__2185__AT1G20050.1 pep chromosome:v.1.0:1:8561131:8562496:1 gene:fgenesh2_kg.1__2185__AT1G20050.1 transcript:fgenesh2_kg.1__2185__AT1G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAHPYVPRDLKLPGYVPISMSMSSIVTIYLGSSLLVVFLVWLLFGRKKAKVEKLLMCWWAFTGLTHIILEGYFVFSPEFFKDNTSCYLAEVWKEYSKGDSRYAGRDSAVVSVEGITAVIVGPACLLAIYAIAKEKSYSYVLQLVISVGQLYGCLVYFITAILEGDNFATNSFYYYSYYIGANGWWVLIPSLISFRCWNKICAAANNNVEIKTKKKTR >fgenesh2_kg.1__2187__AT1G20065.2 pep chromosome:v.1.0:1:8568826:8569119:1 gene:fgenesh2_kg.1__2187__AT1G20065.2 transcript:fgenesh2_kg.1__2187__AT1G20065.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KI92] MEKSRESGFLFCNLCGTMLVLKSTKYVECPLCETTRNAKEIIDKNISYTVSAEVCVFLYSALWIL >fgenesh2_kg.1__2189__AT1G20090.1 pep chromosome:v.1.0:1:8579805:8581769:1 gene:fgenesh2_kg.1__2189__AT1G20090.1 transcript:fgenesh2_kg.1__2189__AT1G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENIAKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFIDHPGAVPITTNQGEDLKKLIGSAVYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKKNKNRCAFL >fgenesh2_kg.1__218__AT1G02930.1 pep chromosome:v.1.0:1:806284:807466:-1 gene:fgenesh2_kg.1__218__AT1G02930.1 transcript:fgenesh2_kg.1__218__AT1G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATGSTF6 [Source:UniProtKB/TrEMBL;Acc:D7KBE1] MAGIKVFGHPASTATRRVLIALHEKNVDFEFVHVELKDGEHKKEPYILRNPFGKVPAFEDGDFKIFESRAITQYIAHEFSDKGNNLLSAGKGMAIIAMGIEIESHEFDPVGSKLVWEQVLKPLYGMTTDKTVVEEEEAKLAKVLDVYEHRLGESKYLAADHFTLVDLHTIPVIQYLLGTPTKKLFDERPHVSAWVADITSRPSAQKVL >fgenesh2_kg.1__2190__AT1G20100.1 pep chromosome:v.1.0:1:8581959:8583816:-1 gene:fgenesh2_kg.1__2190__AT1G20100.1 transcript:fgenesh2_kg.1__2190__AT1G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYFTSPPPVYARNWANGQNLVESTKIERQIVDSKKVHRKEKKEKKKLKEVKKSLEQQYSPTKTVSDESEQLEKSCLTEEHELLHSVGYLSDGSQNSKKRIRETSPAVVESQIKATPVAGKPLRIRFVFKKPKEASEVVPQEEDRVCSTSGTERPSEIPSSVSLPKTCDHDENILSSSLESDKIAILSESKKRKKHKPSRESRYNSLFDEWVPPCNSLEEDDSNSDDWLFGTSRKENVSSAKSSNKTDEDMIMSMQTSADCSSYPRALLLSGVGIFSLPYTVPF >fgenesh2_kg.1__2200__AT1G20160.2 pep chromosome:v.1.0:1:8610051:8613171:-1 gene:fgenesh2_kg.1__2200__AT1G20160.2 transcript:fgenesh2_kg.1__2200__AT1G20160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase [Source:UniProtKB/TrEMBL;Acc:D7KIA1] MGSASSAANANRAQILINTMFKRRANDLVHTYKHGFSGFAARLTAEEAKVIAKKPGVVSVFPDPNFQLHTTHSWDFLKYQTSVKIDSGPPSSASDGSYDSIVGILDTGIWPESESFNDKDMGPIPSRWKGTCMEAKDFKSSNCNRKIIGARYYKNPDDDSEYYTTRDVIGHGSHVSSTVAGSAVENASYYGVASGTAKGGSQNARIAMYKVCNPGGCTGSSILAAFDDAIADGVDVLSLSLGAPAYARIDLNTDPIAIGAFHAVEQGILVICSAGNDGPDGGTVTNTAPWILTVAANTIDRDFESDVVLGGNKVIKGEGIHFANVSKSPVYPLIHGKSAKNVDASEGSARACDSGSLDQEKVKGKIVLCENVGGSYYASSARDEVKSKGGIGCVFVDDRTRAVASAYGSFPTTVIDSKEAAEIFSYLNSTKDPVATILPTATVEKFTPAPAVAYFSSRGPSSLTRSILKPDITAPGVAILAAWTGNDSSISLEGKPASQYNVISGTSMAAPHVTAVASLIKSQHPTWGPSAIRSAIMTTATQTNNDKGLITTETGAAATPYDSGAGELSSTASMQPGLVYETTEIDYLNFLCYYGYNVTTIKAMSKALPQNFTCPADSNLDLISTINYPSIGISGFKGNGSKTVTRTVTNVGGDGVVVYTVSVETPPGFNVEVTPEKLQFTKDGEKLTYQVIVSATASLKQDVFGALTWSTAKYKVRSPIVISSEYSRTN >fgenesh2_kg.1__2201__AT1G20180.1 pep chromosome:v.1.0:1:8615509:8617243:1 gene:fgenesh2_kg.1__2201__AT1G20180.1 transcript:fgenesh2_kg.1__2201__AT1G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVKNFLGSRLKSFPTRKGKSEKECCRSLTSKLSVNEEYKEAFRTNSYLEIRTKAEDQLGITTSCSKLSSSSPSSSSDLSFHSHFTDYLLDPPQETLDALMQDSIIDNLLVNFFDFSSEACDVCETLLQCIQQIKINHNKIKRVITIGKRVCNGAKTLECGPEKLCALIFQELSRFAALKNPLCCIINEAQFRVVHDANSDLLTKLTSKRRRIRRKIRFFRFCKKLGGYSLVITHSAIVITLLIIALHSIIGVLAAPALLGLCSLGLLRKKKAKGRMHKSKTDTTLEKLGTQIDIAAKGMFILMKDLDTLSRLSGRLCDEIEHRKTVAAMCAKSRKIEVLKEALREFNGHEEKFSDQLQELEEHLYLCFHTINRSRRLVLAQITGQSS >fgenesh2_kg.1__2203__AT1G20190.1 pep chromosome:v.1.0:1:8617796:8619383:-1 gene:fgenesh2_kg.1__2203__AT1G20190.1 transcript:fgenesh2_kg.1__2203__AT1G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-expansin 11 [Source:UniProtKB/TrEMBL;Acc:D7KIA3] MSKSLAGLAVLAVLFIAVNAFKPSGLTNGHATFYGGSDASGTMGGACGYGDLYSAGYGTMTAALSTALFNDGASCGECYRITCDYAADSRWCLKGASVVITATNFCPPNFALPNNNGGWCNPPLKHFDMAQPAWEKIGIYRGGIVPVVFQRVSCYKKGGVRFRINGRDYFELVNIQNVGGAGSIKSVSIKGSKTGWLAMSRNWGANWQSNAYLDGQSLSFSITTTDGATRVFLNVIPSSWSFGQIYSSNVQF >fgenesh2_kg.1__2204__AT1G20200.1 pep chromosome:v.1.0:1:8621734:8624782:-1 gene:fgenesh2_kg.1__2204__AT1G20200.1 transcript:fgenesh2_kg.1__2204__AT1G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2719 [Source:UniProtKB/TrEMBL;Acc:D7KIA4] MTQDVEMKDNNTPSQSVISSSTSTMQHLKEIAALIDTGSYTKEVRRIARAVRLTIGLRRKLTGSVLSSFLDFALVPGSEAHSRLSSFVPKGDEHDMEVDTASSATQAAPSKHLPAELEIYCYFIVLLFLIDQRKYNEAKACSSASIARLKNVNRRTIDVIASRLYFYYSLSYEQTGDLAEIRGTLLALHHSATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPIAALGFRIQCNKWAILVRLLLGEIPERSIFTQKGMEKALRPYFELTNAVRIGDLELFRTVQEKFLDTFSQDRTHNLIVRLRHNVIRTGLRNISISYSRISLPDVAKKLRLNSENPVADAESIVAKAIRDGAIDATIDHKNGWMVSKEIGDIYSTNEPQTAFNSRIAFCLNMHNEAVRALRFPPNTHKETESDEKRRERKQQEEELAKHMAEEEDDDF >fgenesh2_kg.1__2208__AT1G20240.1 pep chromosome:v.1.0:1:8633204:8635803:-1 gene:fgenesh2_kg.1__2208__AT1G20240.1 transcript:fgenesh2_kg.1__2208__AT1G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7KIA7] MCVGFAVNRNRTTMRFKIVCILEMQTVYKFEISDGYSWRLSETTITPSSKSDLMTRMKPVYLDNTLHWLRNDGSIIAFNPETEQARLIPSRFRFDDNYMVIKPLFAANEDINRLTLISMAIKRISVYTLLQDSKWALAKRIKYISTDRREIIASDVVAYDGKCLVLRQMKKDDPHWRSVVHVYDMEANCWRGLGAICQYAIVYELYKITPSLFFVEEDDEKQKVVVASNHQHMYLTSVLGAIDTTNYGATYIFCQPLVSSRDSMSPGNEVNLFREPCYIFGSCSGLLLLYIGGLFVVNPLTKRFRLLDRSGSKLLPIIVGGDRNVAGTERAMCVGFTVNGNRTTKRFKIVCIHEMETVYAFEISDGYSWRLSETTITNSSKSDLTLRNDGSIIAFNPETEQARLIASIFHQKPEMKLFSAADDKLNRLTLISGTGEEITVYTLLENSKWTLARQIKNVSMKESELVCWNIVVYDGKNLVVREMKDRLKGVVHVYDMEVNSWGVIGSTTSWSTRVPDFYKFTPTLFFVEEDEQHKVVVAYNDQHVSYLTAIMGLVDTT >fgenesh2_kg.1__2210__AT1G20260.2 pep chromosome:v.1.0:1:8637168:8640785:1 gene:fgenesh2_kg.1__2210__AT1G20260.2 transcript:fgenesh2_kg.1__2210__AT1G20260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETGIDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGSTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKTENLIQEDHGEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRKDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVMQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDSTS >fgenesh2_kg.1__2211__AT1G20270.1 pep chromosome:v.1.0:1:8640878:8643087:-1 gene:fgenesh2_kg.1__2211__AT1G20270.1 transcript:fgenesh2_kg.1__2211__AT1G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7KIA9] MAKLRHSRFQARKWSTLMLVLFMLFMLTIVLLMLLAFGVFSLPINNDESSPIDLSYFRRAATERSEGLGKRGDQWTEVLSWEPRAFVYHNFLSKEECEYLISLAKPHMVKSTVVDSETGKSKDSRVRTSSGTFLRRGRDKIIKTIEKRIADYTFIPADHGEGLQILHYEAGQKYEPHYDYFVDEFNTKNGGQRMATMLMYLSDVEEGGETVFPAANMNFSSVPWYNELSECGKKGLSVKPRMGDALLFWSMRPDATLDPTSLHGGCPVIRGNKWSSTKWMHVGEYKI >fgenesh2_kg.1__2212__AT1G20300.1 pep chromosome:v.1.0:1:8648607:8650840:1 gene:fgenesh2_kg.1__2212__AT1G20300.1 transcript:fgenesh2_kg.1__2212__AT1G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KIB0] MALLRSKLHLSRTLSFISPRLPKPFSTSPTSLLNDHENDESAATVTGVVSVPVSSLLTPEDTQTVEKFHSIIKDHYRKNPTNPNDAVLNPSLTLPALSLDFSQIDASRISPSVVRCVIEKCGSVRHGIPLHQSLAFFNWATSRDDYDQKSPHPYNEMIDLAGKVRQFDLAWHLIDLMKSRNVEISIETFTILIRRYVRAGLASEAVHCFNRMEDYGCVPDQIAFSIVISNLSRKRRASEAQSFFDSLKDRFEPDVIVYTNLVRGWCRAGEISEAEKVFKDMKLAGIEPNVYTYSIVIDALCRCGQISRAHDVFADMLDSGCAPNAITFNNLMRVHVKAGRPEKVLQVYNQMKKLGCEPDTITYNFLIETHCRDENLENAVKVLNTMIKKKCEVNASTFNTIFRYIEKKRDVNGAHRMYSKMMEAKCEPNTVTYNILMRMFAGSKSTDMVLKMKKDMDDKEVEPNVNTYRLLVTMFCGMGHWNNAYKLFKEMVEEKCLTPSLSLYEMVLAQLRRAGQLKKHEELVEKMIQKGLVTRPL >fgenesh2_kg.1__2213__AT1G20310.1 pep chromosome:v.1.0:1:8651765:8652704:1 gene:fgenesh2_kg.1__2213__AT1G20310.1 transcript:fgenesh2_kg.1__2213__AT1G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASNNNKSAKFSKMLQRALSIGHSAAPFSPGRDFHQYRTTSTANRGYFFSSPLVPTAARVRRSTKNEAVFAEPTSPKVSCIGQVKLAKPKCPETKIKAPKNLKAASSLSSCVIREEDNGSFSKLKRLFSTRSYPSGKSNSTAAAREHPVAAVEAVTAAPSLGKMKKFASSREALGGFDWTVQMKRDEEEVTIPCSVSMPLTQLEGLSLCPKPKSEVNLWRRRTMDRPKRLQVMK >fgenesh2_kg.1__2214__AT1G20320.1 pep chromosome:v.1.0:1:8653266:8654505:-1 gene:fgenesh2_kg.1__2214__AT1G20320.1 transcript:fgenesh2_kg.1__2214__AT1G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIENSCLEPESKRAILNCGHFFVRYGICCNCRSKVDREYGRAFDYLVHGLQLSHKAVAVTKSLTTQLACLNERKLHVVLDLDHTLLHSVMVSRLSEGEKYLLRESDLREDLWTLDREMLIKLRPFVHEFLNEANEFFSMYVYTMGNRDYAQAVLKLIDPKKVYFGDRVITRDESGFSKTLDLVLADECGVVIVDDTRHVWPDHERNLLQITKYSYFRDYNQEDSKSYAEEKRDESRSQGSLANVLKVLKKIHQEFFSGGIEKLDSKDVRLLLQEQHIAI >fgenesh2_kg.1__2215__AT1G20330.1 pep chromosome:v.1.0:1:8656959:8658332:-1 gene:fgenesh2_kg.1__2215__AT1G20330.1 transcript:fgenesh2_kg.1__2215__AT1G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KIB3] MDSLTLFFTGALVAVGIYWFLCVLGPAERKGKRAVDLSGGSISAEKVQDNYKQYWSFFRRPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSIPGKSHKDATRLHEEMAVDLIQVKPGQKILDVGCGVGGPMRAIASHSRANVVGITINEYQVNRARLHNKKAGLDALCEVVCGNFLQMPFDDNSFDGAYSIEATCHAPKLEEVYAEIYRVLKPGSMYVSYEWVTTEKFKAEDDEHVEVIQGIERGDALPGLRAYVDIAETAKKVGFEIVKEKDLASPPAKPWWTRLKMGRLAYWRNHIVVQILSAVGVAPKGTVDVHEMLFKTADYLTRGGETGIFSPMHMILCRKPESSSPPPEESS >fgenesh2_kg.1__2216__AT1G20340.1 pep chromosome:v.1.0:1:8661487:8662388:-1 gene:fgenesh2_kg.1__2216__AT1G20340.1 transcript:fgenesh2_kg.1__2216__AT1G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin [Source:UniProtKB/TrEMBL;Acc:D7KIZ8] MASVTSATVAIPSFTGLKASTIKSSATVRIQTAAVASPKLTVKSSLKNFGVAAVAAVASISLAGNAMAIEVLLGGGDGALAFIPNDFSVAKGEKIVFKNNAGFPHNVVFDEDEIPSGVDVAKISMDEQDLLNAAGETYEVALTEPGTYSFYCAPHQGAGMVGKVTVN >fgenesh2_kg.1__2217__AT1G20350.1 pep chromosome:v.1.0:1:8662741:8663453:1 gene:fgenesh2_kg.1__2217__AT1G20350.1 transcript:fgenesh2_kg.1__2217__AT1G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPESSREPCPDRILDDLGSAFGMGAVGGSAFHLIKGIYNSPAGARLSGGVQAIRMNGPLVGGSFAVWGGLFSTFDCAMVYARQKEDPWNSILSGAATGGFLSLRQGLGASSRSALVGGVFLALIEGAGIMLNKVQSAMQNEQFMEEHAASSLPYGVNMGQIFGQPVPVLETSSASGSEAGSGSWFGSLFKKKKETEDHHSESKTHILESFDAPPVPTYEFK >fgenesh2_kg.1__2218__AT1G20370.1 pep chromosome:v.1.0:1:8677774:8680070:-1 gene:fgenesh2_kg.1__2218__AT1G20370.1 transcript:fgenesh2_kg.1__2218__AT1G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA pseudouridine synthase family protein [Source:UniProtKB/TrEMBL;Acc:D7KJ05] MENQEPDIEKLPRDDVPDREPDHKKLKISTTGQEIDSSAVTAGGSNVAERRAPKYRRRKVAIVFAFCGVGYQGMQKNPGAKTIEGELEEALFHAGAVPDADRNKPRNYEWARSARTDKGVSAVGQVVSGRFYVDPPGFVERLNSKLPDQIRVFGYKRVAPSFSSKKLCDRRRYVYLIPVFALDPCVHSEAEMVRTDLGYEYVKCVECFEKGYKIPVGIQSDISLSNFDALGTDVKCETLSSSVPNVESNLNSEVLDVADVSATTEKNPDSSSKAEDMEESNLDSSKESNILAKEEMNNGEGGDMTESKFCYGEKEKERFNRILSYYVGSHNFHNFTTRTKAADPAANRYILSFNANTVINLDGKDFVKCEVVGQSFMLHQIRKMIGLAVAVMRNYAPESLIETAFKKDVRINVPMAPEVGLYLDECFFTSYNKRFKGSHEEVSMEEFKEVAEEFKWKYVYSHIGSAEEKDGAVAIWLHSLNQRNYPDLRSNEYKPNEVIVYKKIGEASEENIHEEKITVKENTNGNAELFVVDKVNDETSEGTIMEERTTLEEKATG >fgenesh2_kg.1__2219__AT5G38270.1 pep chromosome:v.1.0:1:8681459:8682679:-1 gene:fgenesh2_kg.1__2219__AT5G38270.1 transcript:fgenesh2_kg.1__2219__AT5G38270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KJ06] MDTPNDLMREEIRLKVSENHNWSKLCPDLLRSILESLSSIDFYRAKTVCLDWYSIWKTCVKPLCLWRIIYVKDSLMLFKPGEDKIYKGTNVGLSNDSYYMASSGNWLLMVDSHLGFYIFNMLTSKRIDLPSMESSIRGGNVRFERNHEHGFIWGHFVEPSRKADVSKAAIIRGRTVVLWIDERTGDFLVAWIFNYRYLFSYKKGDDSWWNWNNHWNMENLNFIFWDLACRYNKLYLYTTNGHIKVIDFSGNDPIEVIEKNPYWEHPFRYLTKQEESILHSRIAIQKSGDVLIILSIVSISSTEIPLFYIFKMNLASKIWERVESIGDDEMLIFGHGVTIRAPVQDVGDGIKSGSIYFVKEDLWTIDFHAVSNCGVFDLATSKIKWSKENSFNNKYVTTRWFVPGFS >fgenesh2_kg.1__2221__AT1G20380.1 pep chromosome:v.1.0:1:8714352:8717759:1 gene:fgenesh2_kg.1__2221__AT1G20380.1 transcript:fgenesh2_kg.1__2221__AT1G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSAFEERLQYPTAKRDESVVEDYHGVNVSDPYRWLEDPDAEEVKEFVEKQVKLSESVLKTCETKEKLHDKFTEFIDYPRYDTPFKRGNSYFYFHNSGLQAQSVLHVQDDLESEAEVLLDPNTLSDDGTVSLNTLSISEDAKYLAYGLSSSGSDWVTIKVMKIEDKKVESDSLSWVKFSGITWTHDGKGFFYSRYPAPQEGEKIDAGTETNSNLYHELYYHFLGMDQSEDVLCWRDQDNPKHMFGSKVTDDGKYLIMSIEEGCDPVNKVYHCDLSSLPKGLEGFRGSNALLPFVKLIDTFDAQYIAIANDATLFTFLTNKDAPKYKLVRVDLKEPSSWTDVIAEHEKDVLSTASAVNGDQLVVSYMSDVKHILQIRDLKSGSLLHRLPVDIGSVCGVFARRKDTIFFFRFTSFLTPGVIYKCDLSHEAPEVTVFREIGVPGFDRTAFQVTQVFYPSKDGTEIPMFIVARKDIKLDGSHPCLLYAYGGFSVSMTPFFSATRIVLSRHLGTVFCFANIRGGGEYGEEWHKSGALANKQNCFDDFISGAEYLVSAGYTQPRKLCIEGGSNGGILVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSEFGCSDKEEEFHWLIKYSPLHNVKRPWEQKTDRFVQYPSTMLLTADHDDRVVPLHTYKLLATMQYELGLSLENSPQTNPIIARIEVKAGHGAGRPTQKMIDEAADRYSFMAKMVDASWID >fgenesh2_kg.1__2223__AT5G01040.1 pep chromosome:v.1.0:1:8731365:8734547:-1 gene:fgenesh2_kg.1__2223__AT5G01040.1 transcript:fgenesh2_kg.1__2223__AT5G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:D7KJ16] MPRLHHYLRNQVFLVFFLFSSIASAATVEHVFQVKDVMVKPLCKEQMIPAVNGNLPGPTINVREGDTLVVHVINNSTYNVTIHWHGVFQLKSVWMDGANMITQCPIQPSYNFTYQFDITGQEGTLLWHAHVVNLRATLHGALLIRPRSGRPYPFPKPYEEVPLIFQQWWDTDVRLLEIRPAPVSDAYLMNGLAGDSYPCSKNRMFNLKVVQGKTYLLRIINAALNTHLFFKIANHNVTVVAVDAVYTTPYLTDVMILTPGQTVDALLTADQPIGKYYMATIPYISAIGIPTPDTNPTRGLIVYEGATSSSPVKPLMPAPNDIPTAHRFSSNITSLVGGPHWTPVPRHIDEKMFITMGLGLDPCPSNTKCIGPLGQRYAGSLNNRTFMIPERISMQEAYFYNITGVYTDDFPNQPPVKFDYTRFEQRTNSDFKMMFPERKTSVKTIRCNSTVEIVLQNTAILTRESHPMHLHGFNFYVLGYGFGNYDPVRDARKLNLFNPQMRNTVGVPPGGWVVLRFIANNPGIWLFHCHMDAHLPYGIMMAFIVQNGPTPETSLPSPPSNLPQCTRDPRIYDSPMTNVDLSY >fgenesh2_kg.1__2225__AT1G20430.1 pep chromosome:v.1.0:1:8736346:8736937:-1 gene:fgenesh2_kg.1__2225__AT1G20430.1 transcript:fgenesh2_kg.1__2225__AT1G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPQGSADPLTGKDPAKALTAVASGFFENVKKNKQSFFQFFAMTGILLLSFRSVSQKYRIHDLEEDTAVLKKEQDSLTDRMSKIKSDLLHQASIDSTGVFASRLRLLFGDDKK >fgenesh2_kg.1__2227__AT1G20450.2 pep chromosome:v.1.0:1:8741907:8743305:-1 gene:fgenesh2_kg.1__2227__AT1G20450.2 transcript:fgenesh2_kg.1__2227__AT1G20450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYKNNVPEESSTTTPEVKERGLFDFLKKKEEVKPQETTTLASEFEHKTQISEPEPSVAKHEDEELKEHKPTLLEKLHQKHEEEEENKHGLLDKLHRSNSSSSSVKGEDGEKRKKKKEKKKIVEGDVKTEEEENQGVMDKIKEKFPYGEKPEGHDVPVVTTMPAPHLVDDKKPEEEEKKGLMDKIKEKLPGHSKKPEESQVVNTAPLVETATPIAEHPEEKKGFMDKIKEKLPGYHAKTSGEEEKKDKESL >fgenesh2_kg.1__222__AT1G02950.2 pep chromosome:v.1.0:1:810333:811616:-1 gene:fgenesh2_kg.1__222__AT1G02950.2 transcript:fgenesh2_kg.1__222__AT1G02950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase 31 [Source:UniProtKB/TrEMBL;Acc:D7KBE3] MDCLQMVFKLLPNWKREAEVKKLVAGYKVHGDPFSTNTRRVLAVLHEKGLSYEPITVKLQTDEHKREPFLSLNPFGQVPVFEDGNVKLYESRAITQYIAYVHSSRGTQLLNLRSHETMATLTMWMEIEAHQFDPPASKLTWEQVIKPIYGLETDQTIVKENEAILEKVLNVYEKRLEESRFLACNSFTLVDLHHLPNIQYLLGTPTKILFEKRTKVRKWVDEITSREAWKMACDQEKSWSNKQRN >fgenesh2_kg.1__2230__AT1G20470.1 pep chromosome:v.1.0:1:8747912:8748523:1 gene:fgenesh2_kg.1__2230__AT1G20470.1 transcript:fgenesh2_kg.1__2230__AT1G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7KJ22] MSLKKRSCSRLRLTDLMEKWRKCKKGHFAVYTREGRRFVLPLDYLKHPIFQVLLEMAEEEFGSTICGPLQVPCDGGLMDHILMLLRNRNLSDHDDDGGDDGVKKKTMNQDVSPMSTSCKGASSVSYFFPLFRCNAAHDQSKLQSLVF >fgenesh2_kg.1__2232__AT1G20510.1 pep chromosome:v.1.0:1:8757044:8759363:-1 gene:fgenesh2_kg.1__2232__AT1G20510.1 transcript:fgenesh2_kg.1__2232__AT1G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Opc-8:0 CoA ligase1 [Source:UniProtKB/TrEMBL;Acc:D7KJ24] MASVNSRSGFCNSNSTFYSKRTPIPLPPNPSLDVTTFISSQAHRGRIAFIDASTGQNLTFSELWRAVESVSDCLSEIGIRKGHVVLLLSPNSILFPVVCLSVMSLGAIITTTNPLNTSNEIAKQIKDSNPVLAFTTSQLLPKIAAAAKKLPIVLMDEERVDSVGKVRRLVEMMKKEPSGNRVMERVDQDDTATLLYSSGTTGMSKGVISSHRNLIAMVQTIVNRFGSDDGEQRFICTVPMFHIYGLAAFATGLLAYGSTIIVLSKFEMHEMMSAIGKYQATSLPLVPPILVAMVNGADQIKAKYDLSSLHTVLCGGAPLSKEVTEGFAEKYPTVKILQGYGLTESTGIGASTDTVEESRRYGTAGKLSASMEGRIVDPVTGQILGPNQTGELWLKGPSIMKGYFSNEEATSSTLDSEGWLRTGDLCYIDEDGFIFVVDRLKELIKYKGYQVAPAELEALLLTHPEITDAAVIPFPDKEVGQFPMAYVVRKTGSSLSEKSIMEFVAKQVAPYKRIRKVAFVSSIPKNPSGKILRKDLIKLATSNSKL >fgenesh2_kg.1__2237__AT1G20540.1 pep chromosome:v.1.0:1:8774928:8777651:1 gene:fgenesh2_kg.1__2237__AT1G20540.1 transcript:fgenesh2_kg.1__2237__AT1G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7KJ27] MQGGSSGIGYGLKYQARCISDVKADTDYTSFLTGTLSLKEENEVHLLRLSSGGSELICEGLFSHPNEIWDLASCPFDQRIFSTVFSTGESFGAAIWQIPELYGQLNSPQLERVASLDAHVGKINCVLWWPSGRCDKLISIDEQNLFLWSLDCSKKSAEVLSKDSAGMLHSLSGGAWDPHDVNAVAATGESSVQFWDLRTMKKVKSIEHAHVRGVDYNPKREHILVTAEDESGIHVWDLRKAKVPVQELPGHTHWTWAVKCNPEYDGLILSAGTDSAVNLWFASASSSDDKTSESPVESTRQRVNPLLNSYTDYEDSVYGLAWSSREPWVFASLSYDGRVVIESVKPFLPRI >fgenesh2_kg.1__2240__AT1G20560.1 pep chromosome:v.1.0:1:8782100:8784239:-1 gene:fgenesh2_kg.1__2240__AT1G20560.1 transcript:fgenesh2_kg.1__2240__AT1G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine monophosphate binding protein 1 AMPBP1 [Source:UniProtKB/TrEMBL;Acc:D7KJ29] MEGTIKSPANYVPLTPISFLDRSAVVYADRVSVVYGSVKYTWRQTRDRCVRIASALSQLGISTGDVVSVLAPNIPAMVELHFGVPMAGALLCTLNIRHDSALVAVLLRHSGTKVIFADHQFLQIAQGACEILSKKGDKVPILVLVPEPLTQSVSGKKRSEEDIMEYEDVVAMGKSDFEVIRPTDECDPISVNYTSGTTSSPKGVVYSHRGAYLNSLAAVLLNEMHSSPTYLWTNPMFHCNGWCLLWGVTAIGGTNICLRNVTAKAIFDNVSQHKVTHMGGAPTILNMIINAPESEQKSLPGKVSFITGAAPPPAHVIYKMEELGFSMFHSYGLTETYGPGTICTWKPEWDSLPREEQAKMKARQGVNHIGIEEIQVKDPVTMRTLPADGVTMGEVVFRGNTVMNGYLKNPEATKEAFKGGWFWSGDLGVKHPDGYIELKDRSKDIIISGGENISSIEVESTLFTHPCVLEAAVVARPDEYWGETACAFVKLKDGSMASAEELISYCRDRLPHYMAPRSIVFEDLPKTSTGKVQKFVLRTKAKALGSISKKGRSKL >fgenesh2_kg.1__2241__AT1G20570.1 pep chromosome:v.1.0:1:8784553:8789138:-1 gene:fgenesh2_kg.1__2241__AT1G20570.1 transcript:fgenesh2_kg.1__2241__AT1G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:D7KJ30] MSESLLRVDRACLETLIPGAMCSRRVWTHSELTPPSVSVNESDLVKGLLQALQGFSSPFIFWDQKEQTFRAKSEIRVSHLSQPSLHVLLAGFLYAATCLKLVESIVAGINTSLRSPPTLMGFSNSVSGWLEANIALNEEVKINDFNVAVTPTLLGLTTSLSSLCSGAEYLFQVVRGAIPHAYFESTSAISTAEIAVHVLDYLYKRLDEVCLVQGGEVVAVEGFHVLLQIFAGSLLPYVEGLDSWLFEGTLDDPFEELFFSANQSVSVSDAEFWEKSYLLTRVLGPKSNVTSLNQKKGMSGNDSNSVSDKDKEQNNRVLCPLFIKDICKSIVSAGKSLQLMRHIPSTSSEKCGKIQYHGQNDFGNSACGILLAGRNSFRSTADLALSEIFCLSLAGLIGHGDHVSRYLWKDETDEWEISPTLPLYISGRLVDGTGDRDISALTYSDRMWYKLLVGAVQEKRSIDAKSELQSPCYVSHVKDEKNVLVAEKVLQRLFCHENPVVSVSKMDLERNKNAWNVLNLSQNYCLPSLNDESLLSAVFEGSGVADTGLTGTNYKFGFQFGRSEYLSNQDDTQILETLFPFPTLLPSFQPKLHMSEFLPFQKNSTLPSRVLSWMLKAEPRDTPLPVVIMQECLTIYIRRQVDDIGKVILSKLMNDWKLMHELAVLRAIYLLGSGDLLQHFLTVIFDRLSKGESSNDDFELNIILQESIRNSADAMLLSSPDALVVSISSEGSLDRDKDDKGEVKPRSSTRKSRVNNFGIDCLESLKFTYKVPWPLELIANSEAIKKYNQVKRAKYVLDKARRWMWKGKGSATKIRKHHWLLEQKLLNFVDAFHQYVMDRVYHTAWRELCEAMVKAGSLDEVIDVHETYLLSIQRQCFVVQEKLWVIIASRINMILGLALEFYSIQQTLSSGGAVSAIKARCEMEIDRIEKQFEDCIAFLLRVLTSKLNVGHFPHLADLVTRINYNYHYMSDTGSLMTVSGS >fgenesh2_kg.1__2244__AT1G20610.1 pep chromosome:v.1.0:1:8793368:8796391:-1 gene:fgenesh2_kg.1__2244__AT1G20610.1 transcript:fgenesh2_kg.1__2244__AT1G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCB2_3 [Source:UniProtKB/TrEMBL;Acc:D7KJ33] MVRSDENSLGLIGSMNLQGGGGKIKTTATKGPTRRALSTINKNIIEAPSYPYAVNKRSLSERDGICNKPPVHRPVTRKFAAQLADQKPQIREEETKKPDSVSSEEPERIIIDGDDSETEGGDFNEPMFVQHTEAMLEEIDQKEKEIEMEDADKEEEPVIDIDACDKKNPLAAVEYIHDMHTFYKNFEKLSCVPPNYMGNQQDLNERMRGILIDWLIEVHYKFELMEETLYLTINVIDRFLAVHQILRKKLQLVGVTALLLACKYEEVSVPVVDDLILISDKAYTRREVLDMEKLMANTLQFNFSLPTPYVFMKRFLKAAQSDKKLEVLSFFMIELCLVEYEMLEYLPSELAASAIYTAQCTLKGFEEWSKTCEFHTGYKEEQLLECARKMVAFHHKAGTGKLTGVHRKYNTSKFCHAARTEPAGFLL >fgenesh2_kg.1__2251__AT1G20640.2 pep chromosome:v.1.0:1:8829102:8832981:1 gene:fgenesh2_kg.1__2251__AT1G20640.2 transcript:fgenesh2_kg.1__2251__AT1G20640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWP-RK domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KJ39] MEDSFLQSENAVMDADFMDGLLLDGCWLETTDGSEFLNIAPSTSSVSPFDPSSFMWSPTQDTSALCTSGVVSQMYGQDCVERSSLDEFQWNKRWWIGPGGGGSSVTERLVQAVEYIKDYTTERGSLIQLWVPVNRGGKRVLTTKEQPFSHDPLCQRLANYREISVKYQFSAEQDDSKALTGLPGRVFLGKLPEWTPDVRFFKSEEYPRVHHAQDCGVRGTLAIPVFEQGSKICLGVIEVVMTTEMVKLRPELESICRALQAVDLRSTELPIPPTLKGCDLSYQAALPEIRNLLRCACETHKLPLAQTWVSCQQQNKSGCRHNDENYIHCVSTIDDACYVGDPTVREFHEACSEHHLLKGQGVAGQAFLTNGPCFSSDVSNYKKSEYPLSHHANMYGLHGAVAIRLRCIHTGPADFVLEFFLPKECDDLEEQRTMLNALSTIMAHVPRSLRTVTDKELEEESEVIEREEIVTPKIENASELHGNSPWNASLEEIQRSNNTSNPQNLGLVFDGGEPHDGFGLKRGFDYTMDSNVNESSTFSSGGFSMMAEKKRTKADKTITLDVLRQYFAGSLKDAAKNIGVCPTTLKRICRQHGIQRWPSRKIKKVGHSLQKIQRVIDSVQGVSGPLPIGSFYANFPNLVSQSQEPSQAKTSPPPPPPVQLAKSPVSSYSHSSNSSQCCSSETQLNSGATTDPPSTDVVGSLKKTSSEIELQSSSLDETILTLSSLENIPQGTNLLSSQDDDFLRIKVSYGEEKIRLRMRNSRRLTDLLWEIGKRFSIEDMSRYDLKYLDEDNEWVLLTCDEDVEECVDVCRTTPSHTIKLLLQVSSHHFPERSSATEYSLWH >fgenesh2_kg.1__2253__AT1G20650.1 pep chromosome:v.1.0:1:8833026:8835277:-1 gene:fgenesh2_kg.1__2253__AT1G20650.1 transcript:fgenesh2_kg.1__2253__AT1G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase [Source:UniProtKB/TrEMBL;Acc:D7KJ40] MTCCFSCLNPRTKDIRVDIDNARCNSRYQTDSSVHGSDTTGTESISGILVNGKVNSPIPGGGARSFTFKELAAATRNFREVNLLGEGGFGRVYKGRLDSGQVVAIKQLNPDGLQGNREFIVEVLMLSLLHHPNLVTLIGYCTSGDQRLLVYEYMPMGSLEDHLFDLESNQEPLSWNTRMKIAVGAARGIEYLHCTANPPVIYRDLKSANILLDKEFSPKLSDFGLAKLGPVGDRTHVSTRVMGTYGYCAPEYAMSGKLTVKSDIYCFGVVLLELITGRKAIDLSQKQGEQNLVTWSRSYLKDQKKFGHLVDPSLRGKYPRRCLNYAIAIIAMCLNEEAHYRPFIGDIVVALEYLAAQSRSHEARNVSSPSPEVTRTPPRDL >fgenesh2_kg.1__2254__AT1G20670.1 pep chromosome:v.1.0:1:8840183:8844000:-1 gene:fgenesh2_kg.1__2254__AT1G20670.1 transcript:fgenesh2_kg.1__2254__AT1G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding bromodomain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KJ43] MGEVADTMTKKKKKGRPSLLDLQKRAIKQQQLQQQQQHKNHQEDDDHNHNNRSGSKNPNSLNHNPNSASRSKRRNPNSNGVSSDSPWIKDEAAEEDDDERREKKHKLLHGLNSHSHRHSPNSQSGVSVCLFLTYYLSVPCVNGEKASKATDILQGSPVESGPTTSLPDKKLLVFILDRLQKKDTYGVYSDPVDPEELPDYHEIITNPMDFSTLRKKLDSGAYATLEQFERDVFLICTNAMEYNSADTVYYRQARAIQELAKKDFENLRQDSDDEEPQSQQQQQQQPKVARRGRPPKKQPEPSSIDRTASEISADALIPGDSSNKFSGAYNLRKAPPSYKFRQAESSVRINHNSETQSGWSVDWENEFPSSVVKAVNKYGMKHFNVDDNRRDTYNHLSTSTQEPSVLTTLEDELKQLIPVGLNMEYGYAKSLARYAANIGPVAWKIASRRIETVLPSGIKFGQGWVGENPAGPEEDDSQKQNLLMSSGKQKCSNDLASDDHSNRILSPTASVSSAFIGNRHSSSQPIEETAPPPANPEIDHPSSSSHQAGPLIKTESSNGLIRGFSHNANQMLGIARQQQPKVSNEATPGSQQQGSLFPYTKQEFHRFPPDLNARLVSPNSPGSNQQTGSSSSQHPDLALQL >fgenesh2_kg.1__2255__AT1G20693.2 pep chromosome:v.1.0:1:8859957:8861908:1 gene:fgenesh2_kg.1__2255__AT1G20693.2 transcript:fgenesh2_kg.1__2255__AT1G20693.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKSKTETRSSKLSVTKKPAKGAGRGKAAAKDPNKPKRPASAFFVFMEDFRETFKKENPKNKSVATVGKAAGDKWKSLSDSEKAPYVAKAEKRKVEYEKNIKAYNKKLEEGPKEDEESDKSVSEVNDEDDAEDGSEEDDD >fgenesh2_kg.1__2258__AT1G20696.3 pep chromosome:v.1.0:1:8862638:8864615:1 gene:fgenesh2_kg.1__2258__AT1G20696.3 transcript:fgenesh2_kg.1__2258__AT1G20696.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KJ48] SIMKGGKSKTETRNAKLSVTKKPAKGGKGAAKDPNKPKRPSSAFFVFMEDFRETYKKEHPKNKSVAAVGKAGGEKWKSLSDSEKAPYVAKADKRKVEYEKNMKAYNKKLEEGPKEDEESDKSVSEVNDEDDAEDGSEEVWG >fgenesh2_kg.1__225__AT1G02960.2 pep chromosome:v.1.0:1:812207:815218:-1 gene:fgenesh2_kg.1__225__AT1G02960.2 transcript:fgenesh2_kg.1__225__AT1G02960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSNLPVNPDSDQSISEEEEEEQNDLWPSENAKEEEGGLLLQTKLEKLIGCGELNYARDPGDVTLEATLRKISSDFVQVPDSPEETCFTSSRRTGFACISAQESGSDDEIPHDEQVATWSAISKETKSLTHLNGIASVASSHLCGFRAKRSSKVVKDHVRPKFSFNSHTHGEASSKISDMAEIFEPDAEDQAIEEDPIVECPNSFDERSGNRQGVSVAESREVLHGCTEDAIPKLLEIPPDKIRLIKRSSKLYSRHEAKSRKFAHKGNSSNFQDSDTDDELPGPMDSGSSSDDEPSYRSSVPNISNQKKQFVGDRFDEAIKASSLSKEGLLFGSPRLSGGFSLYGKLQQIIKQEKETEMEITRKLQGGIEQADTSSYLDVEIISRHLEGKLVVCKCSIIDLSGDSLLLKNTQALAAKETETTIVFSPKVCADVDIEIGNFIRVYAPWKELEVKKTNDVIVLSSYFSSL >fgenesh2_kg.1__2264__AT1G20740.1 pep chromosome:v.1.0:1:8878456:8879620:1 gene:fgenesh2_kg.1__2264__AT1G20740.1 transcript:fgenesh2_kg.1__2264__AT1G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRKHTDAAAEQRELAIKASLKFFRRVRSIRKAAWERDETGTYQILSGRCEETDGTWLGISIRGRVAFLVDAWPLLVNDRFIGAERRTLEFLESNESPEDFAKSLAADTGHTARNTQISYQLIVADIASNSMFYISKPSLSENGIVHIEPVGPGVHTLSSDGLDSEDGRRDLHLKNSFGEMINRERLPPIRELARIMYDPVRAYERVPLMSIFVVDMRIGSEHYGTRSTTALVVKRTNDVMFFERYREKFNDNWEDHDFAFTII >fgenesh2_kg.1__2265__AT1G20750.1 pep chromosome:v.1.0:1:8882971:8888741:-1 gene:fgenesh2_kg.1__2265__AT1G20750.1 transcript:fgenesh2_kg.1__2265__AT1G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATSKSDSKEEVKQTLNSKNPKNVYQIGGLQVEFPYQPYGTQLAFMSRVISTLDRAQRDGHSHALLESPTGTGKSLSLLCSVLAWQKSYKSRFPNGNLTHSRTHPSDNAASCNVEPPEPAIPTIYYASRTHAQITQVIREYRKTGYRVPMTVLGSRKRYCTNSHVQGKENVDEKCRLLLKDKKNIKCAEFKGVGQILAYPSLQQNGHNGVHDIEDLVKIGKTVTGCPYFASWKMLEVAQLVFCPYSYIIDPVIRGGVNLQGAIIIFDEAHNMEDIAREAGSINMEEDILFKLKNELEQVSENEPEIYESLYIVVEGLISWIGRKKDSLVKRDSEHYFSNWTGDRALKELKEFNITPENFPNLEACFNQAITKSEASEMDPDKPYLSGISVSTLEELFATLTYFFSRNGSHVLDYEMGLQRSAKRGTRDNGWTHTFSLWCMNPSVVFKDLADLSLSIILTSGTLSPMNSFSSELGMQFGTCLEAPHVIDPNMQVWAGAISSGPGNFPLNASYKTADAYAFQDALGKSLEEICSIVPGGSLVFFPSYKLMEKLCTRWHETGQWSRLCLKRDFFIEPRGGAKDDFETVLKEYYDSISGNNRSNGRNSRVKKAGSVITEAQDDSKRGSAFLAVCRGKVSEGLDFSDDNARAVIIVGIPFPNLGDILVELKRKYNDTNKSSKKLLGGSEWYCQQAYRALNQAAGRCIRHRFDYGAIIFLVMIDLRLSCFGVPDERYRQPRNRASISKWLRQSIKVYDNFEASMEGLRYFFNSVKETVDSKILESHEQNLFPKNQSNADPEKETSGISAASPCSSSKNESSSLETGLRSLRSPDQFLKRHVSTSNFGRPPLGAESSVIVTPERYSIGDTRSSILEAESPLNMSVNSHALKRRKFTTSPIIIDLEEENSNSPDTRPEDHTRFTRRIEFGFPEVDQRVMRISCSLCRSSLGHPENHSYPKCLLTSSSKMYLLSLLKETSGIGSAEMPTSVSVIMTDCSLVNQRLCRSSEISKGQGIWSEQDGCVFKTIFCPFCSVPNTCLGVQVMATDSSNAQFMSKILFFADHLEVTNDAASKQTTLTHKFGINGIQSGINFILLKHNHYLLVFFWYNIYDLLQVTTDFRPLNIAYGKQTLVQIGTLCSIDPT >fgenesh2_kg.1__2266__AT1G20760.1 pep chromosome:v.1.0:1:8889169:8894811:1 gene:fgenesh2_kg.1__2266__AT1G20760.1 transcript:fgenesh2_kg.1__2266__AT1G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7KJ55] MAGQNPNMDQFEAYFKRADLDGDGRISGAEAVGFFQGSGLSKQVLAQIWSLSDRSHSGFLDRQNFYNSLRLVTVAQSKRDLTPEIVNAALNTPAAAKIPPPKINLSAIPAPRSNPTATTVGPVSGFGGPGAPNANVNQNYFPPQQNQQIRPNQGISGLTSLRPAAGPEYRPSALPGQFQPVLVGSVARPQPVPTSVSGPGSSTLNLNNLYAVAGNTSGYSSGFGGGSFAAPSPGLKPDSQIDPKALVVSGNGGDMFSSFQQKHEPTLSNSSISSAIVPTSAGIQPPTKPNALDSLQNTFSMLPPGNQRQQPRPAASSQPAVSLQGPSSGLPPGSAVGSGHSTPAENNQPPWPKMKPSDVQKYTKVFMEVDSDKDGKITGEQARNLFLSWRLPREVLKHVWELSDQDNDTMLSLREFCISLYLMERYREGRPLPTALPSSIMFDETLLSISGAPTHGYANAGWGSGQGFVQQPGMGVRPITPTTGMRPPVPAPGPHPGSGIPPNQLSNGYSASSNLPEAAADEEKVDEKQNAYMDSREKLEYYRTKMQDIVLYKSRCDNRLNEISERASADKREAETLAKKYEEKYKQVAEIGSKLTIEEARFREIEGRKMELSQAIVNMEQGGSADGLLQVRADRIQSDLEELMKALTERCKKHGLEVNSKALVDLPAGWQPGIQEGAALWDEEWDKFEDEGFGNEITFDKSKEQNSSREKENGTVDDGSGLPDSPTHIDENYGPFSETSDRRSEDESGRSPRDSPVSRTATEIPSPDYSQGKNSEFFDDSNWASAFDTNDDVDSVWGFDASKSQDGDYYGSGGDFGGNTGRADSPSSRSFGAQRKSPFAFDDSVPSTPLSRFGNSPPRFSDASARDNNFDSFSRFDSFNTSEAGAGFSSQPERLSRFDSINSSKDFGGAAFSRFDSINSSRDVTGAEKLSRFDSINSSKDFGGPSLSRFDSINSTKDFSGSHGYSFDDADPFGSTGPFKVSSDESPKKRSDNWNSF >fgenesh2_kg.1__2267__AT1G20770.1 pep chromosome:v.1.0:1:8894888:8896751:-1 gene:fgenesh2_kg.1__2267__AT1G20770.1 transcript:fgenesh2_kg.1__2267__AT1G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIFEEGDESMDVNNEAEVKPEVGKEGGDENVLQFLDSLDGYLTLMDSLNSKLREGWFDLASARHSMGTLRINSTLLDLKYHPAASTLQVTDQEVESLGSVPHFALSKWASKGGSGKGKDLSTDTDSEIGSPLSPQLRHRGFSEEKPSAKDETILVADEEIKKERAKSLSVFGGLVSPKLRGAQLSFETALETLVEIANMRASMLSAFERIKEK >fgenesh2_kg.1__2269__AT1G20801.1 pep chromosome:v.1.0:1:8909662:8910195:-1 gene:fgenesh2_kg.1__2269__AT1G20801.1 transcript:fgenesh2_kg.1__2269__AT1G20801.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F2D10.31 [Source:UniProtKB/TrEMBL;Acc:D7KJ60] MDRLPFHILEEILFRLDPKSLAMMQCTDRSINSHITDDPLFKSVYKKDHYDEGVVHGNDLKANKWGVMDWIPRWCDGKRDFYQSKPSLTSSSMELDENVDVDMINHDDDKRISSLSKITRLISGISPYAQSLFKKKGKLLGKRLMIEEETKLKMMIDEPNSSKFLDVERINKRRRVK >fgenesh2_kg.1__2270__AT1G20810.1 pep chromosome:v.1.0:1:8910575:8912179:1 gene:fgenesh2_kg.1__2270__AT1G20810.1 transcript:fgenesh2_kg.1__2270__AT1G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7KJ61] MASISSLHSWASTQHSRLPRIISEADQPRPIKQFVVFPVPISRRDASIILLGSLPLTSFFVIPPSSSEARERRSRKVIPLEEYSTGPEGLKFYDIEEGKGPVATKGSTAQVHFDCRYRSITAISTRESKLLAGNRSIAQPYEFKVGSTPGKERKREFVDNPNGLFSAQAAPKPPPAMYYITEGMKVGGKRTVIVPPEAGYGQKGMNEIPPGATFELNIELLRVTPPPEE >fgenesh2_kg.1__2272__AT1G20823.1 pep chromosome:v.1.0:1:8917301:8918160:1 gene:fgenesh2_kg.1__2272__AT1G20823.1 transcript:fgenesh2_kg.1__2272__AT1G20823.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KJ63] MARLLFRLLVESNTPSPAIDNSNAALNSDLVVILAALLCALICVLGLIAVSRCVWLRRFAAGNRTASGSQGQSPPPPVAAANKGLKKKVLQSLPKLAFSPESPESEKFVECAICLAEFSAGDELRVLPQCGHGFHVSCIDTWLGSHSSCPSCRQILVVARCHKCGGLPGSSSSGPESEPEIEIRIKQGEDDPSSFLP >fgenesh2_kg.1__2273__AT1G20830.1 pep chromosome:v.1.0:1:8921164:8923077:1 gene:fgenesh2_kg.1__2273__AT1G20830.1 transcript:fgenesh2_kg.1__2273__AT1G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDSLQFHSLCNLQSSIGRTKLQIPSSLVIFRRRPVNLNWVQFETKRRFACKAIDNSSTPDEEIQNTQNDNNVVVVTAATAQSDIIPHDSEYSVSRFRSMITTFPPLVFVMKKCSGNSIWIGICITATVLVAAIRAYVVRKSRDNQRAGSVTDLVRRGQLRSGDRRGISKSLNYEDPFNNPFVKLDKGSSTVEMCGKVYRLAPVTLTEKEQTIHQKRRSRAYQWKRPTIFLKEGDSIPPDVDPETVRWIPANHPFATTVSDIDQDLAQNNVYQKQGVPFRIRAEHEAMQKKLEALQNEEKLNNLGIDSQNARDFQRPYKFSAKLEGENIQKNSQDNHTGNSSSEETNQS >fgenesh2_kg.1__2274__AT1G20840.1 pep chromosome:v.1.0:1:8923147:8926381:-1 gene:fgenesh2_kg.1__2274__AT1G20840.1 transcript:fgenesh2_kg.1__2274__AT1G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGATLVALAATIGNFLQGWDNATIAGAMVYINKDLNLPTSVQGLVVAMSLIGATVITTCSGPISDWLGRRPMLILSSVMYFVCGLIMLWSPNVYVLCFARLLNGFGAGLAVTLVPVYISETAPPEIRGQLNTLPQFLGSGGMFLSYCMVFTMSLSDSPSWRAMLGVLSIPSLLYLFLTVFYLPESPRWLVSKGRMDEAKRVLQQLCGREDVTDEMALLVEGLDIGGEKTMEDLLVTLEDHEGDALETVDEDGQMRLYGTHENQSYIARPVPEHQSSVGLRSRHGSLANQSMILKDPLVNLFGSLHEKMPEAGGNTRSGIFPHFGSMFSTNADAPHGKPAHWEKDIESHYNKDNDDYATDDGAGDDDDSDNDLRSPLMSRQTTSMDKDMIPHPTSGSTLSMRRHSTLMQGNGESSMGIGGGWHMGYRYENDEYKRYYLKEDGAESRRGSIISVPGGPDGGGSYIHASALVSRSVLGPKSVHGSAMVLPEKIAGSGPLWSALLEPGVKRALVVGVGIQILQQFSGINGVLYYTPQILERAGVDVLLSSLGLSSISASFLISGLTTLLMLPAIVVAMRLMDVSGRRSLLLWTIPVLIVSLVVLVISELVHISKVVNAALSTGCVVLYFCFFVMGYGPIPNILCSEIFPTRVRGLCIAICAMVFWIGDIIVTYSLPVLLSSIGLVGVFSIYAAVCVISWIFVYMKVPETKGMPLEVITDYFAFGAQAQAQASAPSKDI >fgenesh2_kg.1__2275__AT1G20850.1 pep chromosome:v.1.0:1:8933529:8935069:1 gene:fgenesh2_kg.1__2275__AT1G20850.1 transcript:fgenesh2_kg.1__2275__AT1G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSPSRILCFPLALSAATLSLSVAASHDYSIVGYSPEDLESHDKLIELFENWISNFEKAYETVEEKLLRFEVFKDNLKHIDETNKKVKSYWLGLNEFADLSHEEFKKMYLGLKTDIVRRDEERSYAEFAYRDVEAVPKSVDWRKKGAVAEVKNQGSCGSCWAFSTVAAVEGINKIVTGNLTTLSEQELIDCDTTYNNGCNGGLMDYAFEYIVKNGGLRKEEDYPYSMEEGTCEMQKDESETVTIDGHQDVPTNDEKSLLKALAHQPLSVAIDASGREFQFYSGVSVFDGRCGVDLDHGVAAVGYGSSKGSDYIIVKNSWGPKWGEKGYIRLKRNTGKPEGLCGINKMASFPTKTK >fgenesh2_kg.1__2277__AT1G20870.1 pep chromosome:v.1.0:1:8938280:8939927:-1 gene:fgenesh2_kg.1__2277__AT1G20870.1 transcript:fgenesh2_kg.1__2277__AT1G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCSDGLSSDQYFLVNFIMSNYLGPDVYSDNPRCSASQRLAKGSPPYTLMHIGSSSLTVAQLQNLYYNVLKYAKSSLVLHPDMIYMYLKGYLPLEPSGKFPQFTHFFPTNLHPQKRYSPSHEIVKGIVVIDDPAVAFINKEELQRFRYLSRLDDLKIDRVVSLSPRGVKLDESRETELDYSRNGEATTANGLVRNEDYNSSGELQETCKKKKGEEAVASCVISEPERLLGDLPESQEMKQDCSRNGELAFNGIVSDQEYYSFVEPRETCKRKNEEEEAVTGHAVCGISKTPERFRETYKRKRFKNSSKKATNKNGETSLEREKTDKPIPVPPEMKESDAEPSVVTTGTASKETLGTSVGVVDIGVNKVAYFFQVALPGVRKDYGEFSCEIESDGKVILEGSTTTGEKTIKRHSRVFEMNIRKLCPPGPFKLCFNLPGPVDPRLLSPNFRSDGIFEAVIIRHKNS >fgenesh2_kg.1__2278__AT1G20880.1 pep chromosome:v.1.0:1:8942289:8945583:-1 gene:fgenesh2_kg.1__2278__AT1G20880.1 transcript:fgenesh2_kg.1__2278__AT1G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KJ69] MAYHSIPSSGFHYLNSPFGDTTFTKVFVGGLAWETQSETLRRHFEQYGDILEAVVITDKNTGRSKGYGFVTFRDPEAARRACVDPAPIIDGRRANCNLASLGRPRLPMQYAVIPHVPGRIRPVSPYLGSVQSPRGSLFGSHPYHQPPAYNYQQGVVYPYGVTPYGPDYMYSQSQGFYGPYMGQQYLQVYGVPGAVNSPGYQYGQYSQTIPGGHGYTAVQGYSHPGSHVLQLGATSPMAAIQSPYPSASTPMQQRIIVQTPPQYMQSSGSDQTTG >fgenesh2_kg.1__2280__AT1G20900.1 pep chromosome:v.1.0:1:8953661:8955171:1 gene:fgenesh2_kg.1__2280__AT1G20900.1 transcript:fgenesh2_kg.1__2280__AT1G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:D7KJ71] MEGGYEQGGGASRYFHNLFRPEIHHQQLQPQGGINLIDQHHHQHQQHHQQQQQQQKSDDSRESDHSNKDHHQQGRPDSDPNTSSSAPGKRPRGRPPGSKNKAKPPIIVTRDSPNALRSHVLEVSPGADIVESVSTYARRRGRGVSVLGGNGTVSNVTLRQPVNPGNGGGVSGGGGVVTLHGRFEILSLTGTVLPPPAPPGAGGLSIFLAGGQGQVVGGSVVAPLIASAPVILMAASFSNAVFERLPIEEEEEEGGGGGGGGGGGPPQMQQAPSASPPSGVTGQGQLGGNVGGYGFSGDPHLLGWGAGTPSRPPF >fgenesh2_kg.1__2281__AT1G20910.1 pep chromosome:v.1.0:1:8958204:8962626:-1 gene:fgenesh2_kg.1__2281__AT1G20910.1 transcript:fgenesh2_kg.1__2281__AT1G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KJ72] MVDTEMQEQDVTFGAEDVLVETKYLEEEPLEPENHQNPSEIPQPLVLGDGQANNGHGMNGGVGVVDHSERKTRKVQILSPKTEVENTRKRKTWLLDSEAQGIDEAGTPVEQVAFLREVEAFYKENFLEFKPPKFYGQPLNILKLWRAVVNLGGYEVVTTNKLWRQVGESFHPPKTCTTVSYTFRNFYEKALLEYEKCLRKNGELNLPGSTLPLSSSLEKEASSHQGPGSGRARRDSAARAMQGWHAQRLVGSGEVSAPVVKDKGLNSTPKHKKLKSIGLQKHKPQNSMDLFVSNEADKQSAAEVVDVGPLADWVKINVKETKDSFEIFALVPGLLRKEVRIQSDPAGKVVITGQPEQLDNPWGITPFKKIVDLSARIDPLNTSAVMSMHGRLFIRVPFEQ >fgenesh2_kg.1__2282__AT1G20920.2 pep chromosome:v.1.0:1:8964208:8968945:1 gene:fgenesh2_kg.1__2282__AT1G20920.2 transcript:fgenesh2_kg.1__2282__AT1G20920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDEETKPENDGDAKMVDLENETAATVYESGGDGAADEEEIDPLDAFMNTMVLPEVEKLSNGAPPPAVNDGILDSKMNGKESDDQPKKGFNKALGRIIQGEDSDSDYSEPKNDDDPSLDEDDEEFMKRVKKTKAEKLSLVDHSKIEYEPFRKNFYIEVKDISRMTQEEVNTYRKELELKVHGKDVPRPIKFWHQTGLTSKILDTMKKLNYEKPMPIQTQALPIIMSGRDCIGVAKTGSGKTLGFVLPMLRHIKDQPPVEAGDGPIGLVMAPTRELVQQIHSDIRKFSKPLGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIIQNIRPERQTVLFSATFPRQVETLARKVLNKPVEIQVGGRSVVNKDITQLVEVRPESDRFFRLLELLGEWYEKGKILVFVQSQEKCDALYRDMIKSSYPCLSLHGGKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVVNFDAPNHYEDYVHRVGRTGRAGRKGCAVTFISEDDAKYAPDLVKALELSEQPVPDDLKALADGFMAKVKQGIEQAHGTGYGGSGFKFNEEEEEVRKAAKKAQAKEYGFEEDKSDSEDENDVVRKAGGGEISQQQATFAQIAAIAAAAKAAAAPVSAPVTANQLLPNGGGLAAMPGVLPVTVPTLPSEGAGRAAAMVAAMNLQHNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGQFYPTGRIPGPGERKLYLFIEGPSEKSVKQAKVELKRVLEDITNQAMSSLPGGATGRYSVL >fgenesh2_kg.1__2285__AT1G20930.1 pep chromosome:v.1.0:1:8971969:8974075:-1 gene:fgenesh2_kg.1__2285__AT1G20930.1 transcript:fgenesh2_kg.1__2285__AT1G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase B2_2 [Source:UniProtKB/TrEMBL;Acc:D7KJ75] MDNNGVKPAVSAMEAFEKLEKVGEGTYGKVYRAREKATGMIVALKKTRLHEDEEGVPPTTLREISILRMLARDPHIVRLMDVKQGINKEGKTVLYLVFEYVDTDLKKFIRSFRQAGQNIPQNTVKCLMYQLCKGMAFCHGHGVLHRDLKPHNLLMDRKTMTLKIADLGLARAFTLPMKKYTHEILTLWYRAPEVLLGATHYSTAVDMWSVGCIFAELVTKQAIFAGDSELQQLLRIFRLLGTPNEEVWPGVSKLKDWHEYPQWKPLSLSTAVPNLDEAGVDLLSKMLEYEPAKRISAKKAMEHPYFDDLPDKSSL >fgenesh2_kg.1__2287__AT1G20950.1 pep chromosome:v.1.0:1:8979218:8983371:-1 gene:fgenesh2_kg.1__2287__AT1G20950.1 transcript:fgenesh2_kg.1__2287__AT1G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:D7KJW3] MDSDFGIPRELSPLQQLRSQYHPELPPCLQGTTVRVELGDGTTVAEAADSHTMARAFPHTLGQPLAHFLRETAQVADAHIITELPSVRVGIVFCGRQAPGGHNVIWGLFEALKVHNTKSTLLGFLGGSEGLFAQKTLEITDDILQTYKNQGGYDLLGRTKDQIKTTEQVNAALKACTDLKLDGLVIIGGVISNTDAAHLAEFFAAAKCPTKVVGVPVTTNGDLKNQFVEANVGFDTICKVNSQLISNACTDALSAEKYYYFIRLMGRKHSHVALECTLQSHPNMVILGEEVSASKLTIFDIAKQICDAVQARAVEDKNHGVILIPEGLIVSIPEVYALLKEIHGLLRQGVAADKISTQLSPWSSALFEFLPPFIKKQLLLHPESDDSAQLSQIETEKLLAYLVETEMNKRLKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHICYHALAAGLNGYMATVTNLKSPVNKWKCGAAPITAMMSVKHWSQDASYTLTSIGRPAIHPAMVDLKGKAYDLLRQNAQKFLMEDLYRNPGPLQYDGPGADAKAVSLCVEDQDYMGRIKKLQEYLDQVRKIVKPGCSQDVLKAALSVMASVTDVLTTISSTGGQ >fgenesh2_kg.1__2288__AT1G20960.1 pep chromosome:v.1.0:1:8983745:8992266:-1 gene:fgenesh2_kg.1__2288__AT1G20960.1 transcript:fgenesh2_kg.1__2288__AT1G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1507 [Source:UniProtKB/TrEMBL;Acc:D7KJW4] MANLGGGAEAHARFKQYEYRANSSLVLTTDNRPRDTHEPTGEPETLWGKIDPRSFGDRVAKGRPQELEDKLKKSKKKERDVVDDTANVRQSKRRRLREESVLTDTDDAVYQPKTKETRAAYEAMLSLIQQQLGGQPLSIVSGAADEILAVLKNDTFRNPEKKMEIEKLLNHIENHEFDQLVSIGKLITDFQEGGDSGGGKADEEEGLDDDLGVAVEFEENEEDDDESDPDMVQEEDDEEDEEPTRTGGMQVDAGINDQDAGDANEGANLNVQDIDAYWLQRKISQAYEQQIDPQQCQVLAEELLKVLAEGDDRVVENKLLMHLQFEKFSLVKFLLRNRLKVVWCTRLARAEDQEERKRIEEEMRGLGPELTAIVEQLHATRATAKEREENLQKSINEEARRLKDETGGDGGRRRRDVADRDSESGWVKGQRQMLDLESLAFDQGGLLMANKKCDLPPGSYRSHGKGYDEVHVPWVSKKVDSNEKLVKITEMPDWAQPAFKGMQQLNRVQSKVYDTALFKAENLLLCAPTGAGKTNVAMLTILQQLEMNRNKDGTYNHGDYKIVYVAPMKALVAEVVGNLSNRLKDYGVTVRELSGDQSLTGREIEETQIIVTTPEKWDIITRKSGDRTYTQLVRLLIIDEIHLLHDNRGPVLESIVARTLRQIETTKENIRLVGLSATLPNYEDVALFLRVDLKKGLFKFDRSYRPVPLHQQYIGISVKKPLQRFQLMNDLCYQKVLAGAGKHQVLIFVHSRKETAKTAKAIRDTAMANDTLSRFLKEDSVTREVLQSHVDIVKNGELKNILPYGFAIHHAGLTRGDREIVENLFAQGHVQVLVSTATLAWGVNLPAHTVIIKGTQVYNPEKGAWMELSPLDVMQMLGRAGRPQYDRHGEGIIITGYSELQYYLSLMNEQLPIESQFISKLADQLNAEIVLGTVQNAREACHWLGYTYLYIRMVRNPTLYGLAPDALAKDVVLEERRADLIHSAATILDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTIATYNEHLKPTMGDIDLYRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKETLEEPSAKINVLLQAYISQLKLEGLSLTSDMVYITQSAGRLVRALYEIVLKRGWAQLAEKALNLSKMVGRRMWSVQTPLRQFHGIPNDILMNLEKKDLVWERYYDLSSQELGELIRSPKMGRPLHKFIHQFPKLTLSAHVQPITRTVLKVELTVTPDFLWDEKIHKYVEPFWIIVEDNDGEKILHHEYFLLKQQYIDEDHTLNFTVPIFEPLPPQYFVRVVSDKWLGSETVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPNYEILYQDFKHFNPVQTQVFTVLYNTNDNVLVAAPTGSGKTICAEFAILRNHQEGPDATMRVVYIAPLEAIAKEQFRIWEGKFGKGLGLRVVELTGETALDLKLLEKGQIIISTPEKWDALSRRWKQRKYVQQVSLFIVDELHLIGGQGGPVLEVIVSRMRYISSQVNNKIRIVALSTSLANAKDLGEWIGASSHGLFNFPPGVRPVPLEIHIQGVDISSFEARMQAMTKPTYTAIVQHAKNKKPAIVFVPTRKHVRLTAVDLMAYSHMDNPQSPDFLLGQLEELDPFVSQIREETLKETLRHGIGYLHEGLSSLDQEIVTQLFEAGRIQACVMSSSLCWGTPLTAHLVVVMGTQYYDGRENSHSDYPVPDLLQMMGRASRPLLDNAGKCVIFCHAPQKEYYKKFLYEAFPVESQLQHFLHDNFNAEVVAGVIENKQDAVDYLTWTFMYRRLPQNPNYYNLQGVSHRHLSDHLSELVENTLSNLEASKCIEIEDEMELSPLNLGMIASYYYISYTTIERFSSLLSSKTKMKGLLEILTSASEYDMIPIRPGEEDTVRRLINHQRFSFENPKCTDPHVKANALLQAHFSRQNIGGNLAMDQRDVLLSATRLLQAMVDVISSNGWLNLALLAMEVSQMVTQGMWERDSMLLQLPHFTKDLAKRCQENPGKNIETVFDLVEMEDEERQELLKMSDAQLLDIARFCNRFPNIDLTYEIVGSEEVNPGKEVTLQVMLERDMEGRTEVGPVDSLRYPKTKEEGWWLVVGDTKTNQLLAIKRVSLQQKAKVKLDFTVPSEPGEKSYTLYFMCDSYLGCDQEYSFSVDVKGSGAGDHMEE >fgenesh2_kg.1__2289__AT2G06550.1 pep chromosome:v.1.0:1:9007884:9008626:-1 gene:fgenesh2_kg.1__2289__AT2G06550.1 transcript:fgenesh2_kg.1__2289__AT2G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KJW7] MGYYLTDGIYPKWATFIQSITRPQGPKASLFASCQEAVRKDVERAFGVLQARFAII >fgenesh2_kg.1__2290__AT2G06555.1 pep chromosome:v.1.0:1:9009450:9010136:-1 gene:fgenesh2_kg.1__2290__AT2G06555.1 transcript:fgenesh2_kg.1__2290__AT2G06555.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KJW8] CYEFASRTPRSGENEDDIIVLAYKLYHQDQKNKFSLEHVWRILKTDQKWCNWCETKLPAKKKAKLSSVEEESLQRPIGVKAAKALAKSKVKEKDLAVKERLSKQKLLDSLLGRSDGLSEMEIELKNTLIKEYLSGSNVFVSENEYSVLSDILCLFMNGLSGSVASMSSSMTFFIRM >fgenesh2_kg.1__2291__AT1G20970.1 pep chromosome:v.1.0:1:9017387:9023588:1 gene:fgenesh2_kg.1__2291__AT1G20970.1 transcript:fgenesh2_kg.1__2291__AT1G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEVERDQGEVSVKVDFENATEIKPEVVIPATKEDVVNGISHGGNNGNGNDTDGSYVFITENDTVGDDIVESDSVKHVDDANVEKDLKEGENVKVEATNIGDDDVLGVSQVSQTLENSERERTDDGPEEVVRIPKAEIEDSLEKSVDQQHPGNGHLEIGLEGKVESKEEVEQLQDSEVGSRDLTKINAEEKSEGKIEPDSKTDVEGHQGDRIEAQEKSDLDVDISEVEKHPVDSDEVKESELVTAKVSPTDPSDGGMDLGQPTVTDQAETINGSESVNDRNGSESVAVLESVSVENGHPPIESELERTSDVPFTSEAEKVNASDGEVLPDSRTVDVAVSEVSSDVPAETQAFTAISLDSQPSGKDSVVENGNSKSESEDTKMQSEIGAGDDVSVSDGSINTHPESQYASDPTCDQDGKQHIASEVKEVLDALALEERNDAVIVAKENVSEAAISDGLSCTNQQRSESDEISGLVEKLPSHTLHEVAPSGNDTSVIVSDDIKSQGLSEDHGVDTNQKIQDDCSAKLEEIADVNVKHAPNEKVQGDNSEGNLNVKDCVDSQPAENKEGNAVDRTDDKVASTGEVSVPDASEVLTVAAEIEKRPVYFLPRVPRYDNEKLAEQLKHAEEQVDQKTQSRDALRADIQKIRAICKDYDISYKAAMTEERSARKAMHSKRQEIEALQSMISRVKSAASVDDIDSRVHNMEHMMQHTTLSLNEEKGFMREIKQLKQLREQISSSMGTKDEVKQALDEKEKTEERLKVLRKELDALRNNLSKAETITKAAKKKCDEEWEAQSKLQEQFRAADAVREEAFVHLQDLKKQQREKNKYFFKYRDNSRAASEMALKKDRAALQSLCSDQVENFMNMWNNDEEFRKYYVKSNTRSTFRRLGTLDGRSLGPDEEPPRITYAPRMDKLRTSSDRAEKHEAVQPVPAQQERVVKFEGSKVENNGKAVAKPTEQKSQTTKSKKAVKPDQPPPNVTELVSGKKEIEKSATPEEEEPPKLTKEEEELIKKEEEKRKQKEAAKMKEQHRLEEIAKAKEAMERKKKREEKAKARSVLKAQKEAEEREKERERKLRKKERRKGIFTSEETAIENPIPTSETVVETPREIEIPKKQTVEESQQIKKSHKTSSQFLKQNKSKSVPLPLRNRGSKRKLRQWMWIGLIVVIILALFLLGNANLSSPANLWFT >fgenesh2_kg.1__2292__AT1G20980.1 pep chromosome:v.1.0:1:9028519:9033361:1 gene:fgenesh2_kg.1__2292__AT1G20980.1 transcript:fgenesh2_kg.1__2292__AT1G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVGAQVAAPMFIHHPMGKKRDLYYPMSNRLVQSQPRSDEWNSKMWDWDSRRFEAKPVDVEVLRLGNEAQEFDLTLRNRSGEERGLDLNLGSGLTAVEDLTTTTTQNGRPSKKVRSGSPGGNYPMCQVDNCTEDLSHAKDYHRRHKVCEVHSKATKALVGKQMQRFCQQCSRFHLLSEFDEGKRSCRRRLAGHNRRRRKTTQPEEVASGVVVPGNRDNNNNTSTTNMDLMALLTALACAQGKNAVKPAGSPAVPDREQLLQILNKINALPLPMDLVSKLNNIGSLARKNMDHPTVNPQNDMNGASPSTMDLLAVLSTTLGSSSPDALAILSQGGFGNKDSEKTKLSSYEHGVTTNLEKRTFGFSSVGGERSSSSNQSPSQDSDSRGQDTRSSLSLQLFTSSPEDESRPTVASSRKYYSSASSNPAEDRSPSSSPVMQELFPLQTSPETMRSKNHNNTSPRTGCLPLELFGASNRGAANPNFKGFRQQSGYASSGSDYSPPSLNSDAQDRTGKIVFKLLDKDPSQLPGTLRSEIYNWLSNIPSEMESYIRPGCVVLSVYVAMSPAAWEQLEQNLLQRLGVLLQNSSSDFWRNARFIVNTGRQLASHKNGKVRCSKSWRTWNSPELISVSPVAVVAGEETSLVVRGRSLTNDGISIRCTHMGSYMSMDVTGAVCRQAIFDKLNVDSFKVQNVHPGFLGRCFIEVENGFRGDSFPLIIANESICNELNRLEEEFHPKSQDMTEEPAQSSNRGPTSREEVLCFLNELGWLFQKNQTSEPREQSDFSLTRFKFLLVCSVERDYCALIRTLLDMLVERNLVNDELNREALEMLAEIQLLNRAVKRKSTKMVELLIHYSVNPSALSSFKKFVFLPNRTGPGGITPLHIAACTSGSDDMIDLLTNDPQEIGLSSWNTLCDATGQTPYSYAAMRNNHNYNSLVARKLADKRNRQVSLNIENEIVDQTGLSKRLSSEMNKSSTCASCATVALKYQRRVSGSHRLFPTPIIHSMLAVATVCVCVCVFMHAFPIVRQGSHFSWGGLDYGSI >fgenesh2_kg.1__2297__AT1G21060.1 pep chromosome:v.1.0:1:9074138:9076408:1 gene:fgenesh2_kg.1__2297__AT1G21060.1 transcript:fgenesh2_kg.1__2297__AT1G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLCSPSPSSNDCSSSSPRNWEKQNVIVASPSRFSTYNTKPSLSIEKRLLTYQDSNCNIMPKSSEDLRKEIASLEFEILRTEQYLLSLYRTAFDEQVSSFSPHTEKSLVSNQFCPKSEQSDVTSVFSYHYQASPASECSSSCPPRSFQASLKALSAREKSRYVVSGNHTTLGDLLGSSLIVDNIVNPSRLSEDILRCICSVYCTLSSTARTNSCLQASSSSPSSVSSKTTFDSWNSRHEERKEANVPGVVVIESLELHLDDGSFNHAALMLQNFRSLVQKLEKVDPSRMKREEKLAFWINIHNALTMHAYLAYRTHNRARNTSVLKAAYDVGGYRVNPYTIQSSILGIRTHFSPPLLQTLFSPSRKSKTCNVKHIYALEYPEALAHFALSSGASTDPPVRVYTADCVFRDLRKSKEEYIRYNVRIHNETKILLPKIVHYYAKDMSLDASAVMETTVKCLPDSTKRIAQKLLKKKSRNIEYSPENSSFRYVIIEEPPTRRHDSTI >fgenesh2_kg.1__2298__AT1G21065.1 pep chromosome:v.1.0:1:9076535:9078100:1 gene:fgenesh2_kg.1__2298__AT1G21065.1 transcript:fgenesh2_kg.1__2298__AT1G21065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMVKVKGPIGRFWFGVGGGILLAIVVVIIGLRGTLKAMQSSTILFSGVVPLRVRSVLTPANPATDRASMASSSGPKWAQKTITLPPLRRGCHLITPKIVKEIGQDLSDFNCGLAHVFLQHTSASLTINENYDPDVQADTETFLNRIVPEGNSAPWRHTMEGPDDMPAHIKSSMFGCQLTIPITKGKLNMGTWQGIWLCEHRDAPTARRVVVTLNGI >fgenesh2_kg.1__2300__AT1G21080.1 pep chromosome:v.1.0:1:9080956:9084537:-1 gene:fgenesh2_kg.1__2300__AT1G21080.1 transcript:fgenesh2_kg.1__2300__AT1G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KJY1] MVKETEFYDVLGVSPTATEAEIKKAYYIKARQVHPDKNPNDPQAAHNFQVLGEAYQVLSDPGQRQAYDTCGKSGISTEIIDPAAIFAMLFGSELFEEYIGQLAMASMASLDIFTEGDQIDTKKIIEKMRAVQKEREDKLAQILKDRLNLYMTNKDEFISNAEAEVTRLSNAAYGVEMLNTIGYIYVRQAAKELGKKAIYLGVPFVAEWFRTKGHFIKSQVTAATGAYALFQLQEEMKRQLSVEGNYTEKELEEYMKTHKKVMIDSLWKLNVADIESTISRVCELVLQDPIAKKEELRARAKGLKTLGKIFQKNKIASESDPLVRAELHKLNGNGQEHDHASTSPKSDEASRSTFGPQEPQSPYVETPKLGEEQFNHYFPRPAPPPGAQRHS >fgenesh2_kg.1__2303__AT1G21110.1 pep chromosome:v.1.0:1:9095593:9097035:-1 gene:fgenesh2_kg.1__2303__AT1G21110.1 transcript:fgenesh2_kg.1__2303__AT1G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLFEETLSSNPKTPIVIDDDNELGLMAVRLANAAAFPMVLKASLELGVFDTLYAEASRTDSFLSPSEIASKLPTPPRNPGAPVLLDRMLRLLASYSMVKCGKVSEGKRERVYRAEAICRFFLKNNIQDIGSLASQVIVNFDSVFLNTWAQLKDVVLEGGDAFGRAHGGMKLFDYMGTDERFSKLFNQTGFTIAVVKKALEVYHGFKDVNVLVDVGGGVGNTLGVVTSKYPSIKGINFDLTCALAQAPSYPGVEHVAGDMFVDVPTGDAMILKRILHDWTDEDCVKILKNCWKSLPDNGKVVVIELVTPDDAENGDINANIAFDMDMLMFTQCSGGKERSRAEFESLAAASGFTHCMFVCQAYHCWIIEFCK >fgenesh2_kg.1__2306__AT1G21130.1 pep chromosome:v.1.0:1:9099626:9101131:-1 gene:fgenesh2_kg.1__2306__AT1G21130.1 transcript:fgenesh2_kg.1__2306__AT1G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFIGETVSSNSKTPFVIDDDNDLGLMAVRLANAAAFPMVLKAALELGVFDTLYAEASRTDSFLSPSEIASKLPTTPRNPEAPVLLDRMLRLLASYSVVNCGKVSEGKGERVYRAEPICRFFLKDNIQDIGSLASQVIVNFDSVFLNTWAQLKDVVLEGGDAFGRAHGGMKLFDYMGTDERFSKLFNQTGFTIAVVKKALEVYQGFKDVNVLVDVGGGVGNTLGVVTSKYPNIKGINFDLTCALAQAPSYPGVEHVAGDMFVDVPAGDAMILKRILHDWTDEDCVKILKNCWKSLPENGKVVVIELVTPDDAENGDINANIAFDMDMLMFTQCSGGKERSRAEFEALAAASGFTHCKFVCQAYHCWIIEFCK >fgenesh2_kg.1__2311__AT1G21190.1 pep chromosome:v.1.0:1:9128850:9129932:-1 gene:fgenesh2_kg.1__2311__AT1G21190.1 transcript:fgenesh2_kg.1__2311__AT1G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEEDATVREPLDLIRLSIEERIYVKLRSDRELRGKLHAFDQHLNMILGDVEEVITTVEIDDETYEEIVRTTKRTVPFLFVRGDGVILVSPPLRTTT >fgenesh2_kg.1__2312__AT1G21200.1 pep chromosome:v.1.0:1:9132206:9136052:1 gene:fgenesh2_kg.1__2312__AT1G21200.1 transcript:fgenesh2_kg.1__2312__AT1G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNFPQGGVVRGGAASYGGFDLQGSMRVQHQDSMNQQHRHNPNSRPLHEGLPFTMVTGQTCDHHQNMSMSEQQKPEREKNPVSDDDEPSFTEEGGDGHNEANRSTKGSPWQRVKWTDKMVKLLITAVSYIGDDSSMDSGSRRKFAVLQKKGKWKSVSKVMAERGYHVSPQQCEDKFNDLNKRYKKLNDMLGRGTSCQVVENPALLDSIGYLNDKEKDDVRKIMSSKHLFYEEMCSYHNGNRLHLPHDLALQRSLQLALRNRDDHDNGDSRKHQMEDLDDEDHDGDGDEHDEYEEQHYSYGECRGNHYGGGGGPLKKIRQSHSHEDADHPSHVNSLECNKVSLPQMPFSQADVNQGGAESARAASVQKQWIESRTLQLEEQKLQIQVELLELEKQRFRWQRFSKKRDQELERMRMENERMKLENDRMGLELKQRELGVEL >fgenesh2_kg.1__2315__AT1G21245.1 pep chromosome:v.1.0:1:9163260:9163759:1 gene:fgenesh2_kg.1__2315__AT1G21245.1 transcript:fgenesh2_kg.1__2315__AT1G21245.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KJZ6] MVQGTLGYLDPEYYTTGLLNEKSDVYSFGVVLMELLSGQKALCFERPENSKHLVSYFVFATKENRLHEIIDDQVLNENNQREIHEAARVAIECTRLKGEERPRMKEVVVELETLSVKITKHKWSDQYPEENEHLLGSNIVSAQGDTISRGYDNIKNVALLDIEAGR >fgenesh2_kg.1__2317__AT1G21270.1 pep chromosome:v.1.0:1:9165660:9172679:1 gene:fgenesh2_kg.1__2317__AT1G21270.1 transcript:fgenesh2_kg.1__2317__AT1G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated kinase 2 [Source:UniProtKB/TrEMBL;Acc:D7KJZ7] MKVQEGLFLVAIFYLAYTQLVKGQPRKDCQTRCGDVAVEYPFGTSPGCYYQGDDSFNLTCNEKELFFGNMPVINISLSGQLRVRLVRSKVCYDSQGKQTDYMDRKTSLGNLTLSEHNKFTVVGCNSYAFLRTSGVEKYSTGCISLCDSVPSKKGSCSGEGCCQTSVPRGSYFVRVKPHSFDNHTTVHLFNPCTYAFLVEDGKFDFYALEDLNNLRYVTTFPVVLDWSIGNETCEQVVGRNICGGNSTCFNSTRGTGYNCKCLEGFEGNPYLPNGCQDINECISNRHNCSEPSTCENMRGSFTCNCPSGYRKDSPNSCTRKVRPEYFRWTQIFLGTTIGFSVILLGIICVQQKIRHQKDTELRQKFFEQNGGGMLIERVSGAGPSNVDVKIFTEEGMKEATNGYDESRILGQGGQGTVYKGILPDNSIVAIKKARLGDCSQVEQFINEVLVLSQINHRNVVKLLGCCLETEVPLLVYEFITNGTLFDHLHGSMFDSSLTWEHRLRIAIEIAGTLAYLHSSASIPIIHRDIKTANILLDENLIAKVADFGASRLIPMDKEQLTTMVQGTLGYLDPEYYNTGLLNEKSDVYSFGVVLMELLSGQKALCFERPQCSKHLVSCFASATKENRLHEIIDGQVMNEDNQREIQEAARIANKCTRLTGEERPRMKEVAAELEALKVKTTKHKWSDQYPETGEIEHLLGVQILSTQDDTSSRGYDSIKNVAISDIEAGR >fgenesh2_kg.1__2319__AT1G21320.1 pep chromosome:v.1.0:1:9192009:9197046:-1 gene:fgenesh2_kg.1__2319__AT1G21320.1 transcript:fgenesh2_kg.1__2319__AT1G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRSPRSRGILGPRPTPLKVRGDSHKIIKKPPLPPQPQPQPLQIHQQEPSESQPPRGPVIIYTVSPKIIHTHPNNFMTLVQRLTGKTSTSTNSSSSSSSTSAPKDKSTTIDTSHGLISPAARFAVTENANTSNELGTFVGGVGAMDQYYYHHQQQHQNRGFERPSFHHAGILSPGPTSLPSISPDFFSTVGPTDPQEGTPYVMPSGGMHSYLSRDEDRGIPHSVKDTRTIGSAYDQYLNNQTPSVPSEEAGPFNGAGMGRQGGNNMMPGPPMGELMAGRGGSFPSDFRPNGRAIGREPLPPDVSNTLYVEGLPSNCSRRENVLSCSLSLTVFRPFVGYREVRLVTKDSKHRSGDPVVLCFVDFENPACAATALSALQGYRMDEAESDFKILRLQFSRNPGPRPGQRGGRR >fgenesh2_kg.1__231__AT1G03000.1 pep chromosome:v.1.0:1:835648:840282:-1 gene:fgenesh2_kg.1__231__AT1G03000.1 transcript:fgenesh2_kg.1__231__AT1G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRNPLVLSSTRSTLRSVLNSLQTSSADGDRVLNHDGIVLGGSDLSRGNVNLSAGILRWRMDGENVSDAKLDSLDDSALVGLSTQLLKRLSINSGSLVVIKNIEIGIQRVAQVVVLDPPKTTLEDASVSELPVSDSLHTMLVFPTYDLMAQQLLDQEVAYLSPMVAFNLSLHISCLKSLVHRGNGVLEKYFEAKFDEEFIGKPAADGLKIGLGLEPVSDVPGYASHLRVSFVKIPECGTIQSLKVNSSFEAEERQGLIDSALHKYFGTDRQLSRGDIFRIYIDWNCGSSICIPCSQRLCSESDDYIYFKVIAMEPSNERFLRVNHSQTALVLGGTVSSGLPPDLLVYRSKVPMPLQEETVNILASVLSPPLCPSALASKLRVAVLLHGLPGCGKRTVVNFVARRLGLHVVEYSCHSLLASSERKTSTALAQTFNMARRYSPTILLLRHFDVFKNLGSQDGSLGDRVGVSSEIASVIRELTEPVSNGENSSMEEKPNSNFSEDEVGKFRGHQVLLIASAESTEGISPTIRRCFSHEIRMGSLNDEQRSEMLTQSLQGVSQFLNTSSDDFMKGLVGQTSGFLPRDLRALVADAGANLYISQESETKKVNSLSDNLHGVDVHQASQLGNSSDALTAKEDFTKALDRSKKRNASALGAPKVPNVKWDDVGGLEDVQTSILDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLSDSSQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNADASYRERVLKALTRKFKLSEDVSLYSIAKKCPSTFTGADMYALCADAWFQAAKRKVSKSDSVEFPPEDDPDSVVVEYVDFIKAMDQLSPSLSITELKKYEMLRDQFQGRSS >fgenesh2_kg.1__2326__AT1G21370.2 pep chromosome:v.1.0:1:9216022:9217912:1 gene:fgenesh2_kg.1__2326__AT1G21370.2 transcript:fgenesh2_kg.1__2326__AT1G21370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYSFGSSKAFHAYSRSSDFDLESGTIRKSRKPKNSFSKMIKSLGNRLHHMFKLHPILVFIFCLSFGITVLILLSSIYENHFGSTVTYYKKKDLDNDGYPFANLKNLVMVAGHSVYTSSDCGKVDKEDSWFLESYQKNPGQAATFLSHIQEGVEAAGKDDESLLLFSGGETRKDAGPRSEAQSYWAVAESKGWFGKDEVRSRALTEEHARDSFENLLFSVCRFRELTGSYPQNITVVSYDFKEKRFAHLHRSAMGFPESRFFYLGTPASLSSKEGALKGEAMVRSQFQEDPYGCVGSLWRKKLKRDPFHRSIPYPNGCPEIGGLFRYCGSAPFPGSLPWD >fgenesh2_kg.1__2328__AT1G21380.1 pep chromosome:v.1.0:1:9217886:9220993:-1 gene:fgenesh2_kg.1__2328__AT1G21380.1 transcript:fgenesh2_kg.1__2328__AT1G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KK05] MANNAAACAERATNDMLIGPDWAINIELCDIINMEPSQAKEAVKVLKKRLGSKNSKVQILALYALETLSKNCGESVYQLIVDRDILPDMVKIVKKKPDLTVREKILSLLDTWQEAFGGSGGRFPQYYNAYNELRSAGVEFPPRTESSVPFFTPPQTQPIVAQAVASDEDAAIQASLQSDDASALSMEEIQSAQGSVDVLTDMLGALDPSHPEGLKEELIVDLVEQCRTYQRRVMTLVNTTSDEELMCQGLALNDNLQRVLQHHDDKAKGNSVPATAPTPIPLVSINHADDDDESDDDFSQLAHRSKRESARGAGQGNFNPILPPPPSTMRPVHVDSGAMDFLSGDVYKPQETFESVKPPSTSQSSNHDYSAPIFDEPVPQSKSPEQALFTKPVYDQTEQLPPAPWDTQEPRKYPPSMSARTNKRPEYFQHSVPQHSSSGSESSYDDLVGQSRNLSLNPTASAAAVTPPKKDDKPEDILFKDLMEFAKTRTSSSSSSKPNNQNNKPF >fgenesh2_kg.1__2329__AT1G21390.1 pep chromosome:v.1.0:1:9221438:9222971:-1 gene:fgenesh2_kg.1__2329__AT1G21390.1 transcript:fgenesh2_kg.1__2329__AT1G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPSAKSFNTHLCFPSIPNDDHSDSGVCSPTLWRTSPPESPPFHRPEDYWSLSPDSKAQAIARGQRELMEMVSKMPESCYELSLKDLVEVKVNQENERKVFDELPKRTIRQNKVVRKTKSDKRIDPKRSGGGNNSGFLLKMMFPVSLGTKKDTTKKKKKKEEACKVSPRPSISEETVKAEDKEWWNRMSESSTKRSGSSSSNNSNRSRSSLRDEKSSCFSFLTFKRLIPQYLKQSFLGLRSRA >fgenesh2_kg.1__2330__AT1G21395.1 pep chromosome:v.1.0:1:9224397:9224621:1 gene:fgenesh2_kg.1__2330__AT1G21395.1 transcript:fgenesh2_kg.1__2330__AT1G21395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKIMSVVWITMLLMALITMGGDAKSDKECQLLCHKHCLPTSIVAECLKCLGICGRTPAVAVGLGTMESGEHK >fgenesh2_kg.1__2332__AT1G21410.1 pep chromosome:v.1.0:1:9231634:9233667:1 gene:fgenesh2_kg.1__2332__AT1G21410.1 transcript:fgenesh2_kg.1__2332__AT1G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KK09] MVMGGEASMELDQCFQKMKMEGISIKEWRDIPVELLMRILSLVDDRNVIVASGVCCGWRDAFSFGLTRLRLSWCNNNMNSLVLSLAPKFVKLQTLILRQDKPQLEDNAVEAIANHCHELQELDLSKSLKITDRSLYALAHGCPDLTKLNLSGCTSFSDTAIAYLTRLCRKLKVLNLCGCVKAVTDNALEVNIGNNCNQMQSLNLGWCENISDDGVMNLAYGCPDLRTLDLCGCVLITDESVVALADWCVHLRSLGLYYCRNITDRAMYSLAQSGVKNKPGSWKSVKKGKYDEEGLRSLNISQCTALTPSAVQAVCDSFPALHTCSGRHSLVMSGCLNLTTVHCACILQAHRAHNAVPHPAH >fgenesh2_kg.1__2334__AT1G21440.1 pep chromosome:v.1.0:1:9237066:9240904:-1 gene:fgenesh2_kg.1__2334__AT1G21440.1 transcript:fgenesh2_kg.1__2334__AT1G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mutase family protein [Source:UniProtKB/TrEMBL;Acc:D7KK11] MLMAAKSTSLYSSNPTISARIGQNPRAVRSVYPTVRMQSRVHRLIEEQGAVLIPGVYDALSAAIVQQTGFSAALISGYALSAVTLGKPDFGLITPPEMAATARSVCAAAPTIPIIADADTGGGNALNVQRTVKDLIAAGAAGCFLEDQAWPKRCGHMRGKEVIPAEEHAAKIASARDAIGDADFFLIARTDARALSAKTGLSDAIDRANLYMEAGADASFVEAPRDDDELKEIGRRTKGYRLCNMLEGGRTPLHTPDELKEMGFHLIAHPLTSLYASTRALVDVLKILKDKGTTKDHLEKMITFEEFNSLLDLDSWYELETKYSNLRNALGTTK >fgenesh2_kg.1__2335__AT1G21450.1 pep chromosome:v.1.0:1:9246511:9249262:1 gene:fgenesh2_kg.1__2335__AT1G21450.1 transcript:fgenesh2_kg.1__2335__AT1G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQTVVREHIKARVMSLVRSAEPSSYRNPKLYSLNENGNNNGVTSAQIFDQDRSKNPCLTDDSYPSQSYEKYFLDSPTDEFVQHPIGSGASVSSFGSLDSFPYQSRPVLGCSMEFQLPFDSTSTSSTRLLGDYQAVSYSPSMDVVEEFDDEQMRSKIEELERALLGDEDDKMIGIDNLMEIDSEWSYQNESEQHQDSPKESSSADSNSHVSSKEVVSKATPKQILISCARALSEGKSEEALSMVNELRQIVSIQGDPSQRIAAYMVEGLAARMAASGKFIYRALKCKEPPSDERLAAMQVLFEVCPCFKFGFLAANGAIIEAIKGEEEVHIIDFDINQGNQYMTLIRSVAELPGKRPRLRLTGIDDPESVQRSIGGLRIIGLRLEQLAEDNGVSFKFKAVPSKTSIVSPSTLGCKPGETLIVNFAFQLHHMPDESVTTVNQRDELLHMVKSLNPKLVTVVEQDVNTNTSPFFPRFVEAYEYYSAVFESLDMTLPRESQERMNVERQCLARDIVNIVACEGEERIERYEAAGKWRARMMMAGFNPKPMSARVTNNIQNLIKQQYCNKYKLKEEMGELHFCWEEKSLIVASAWR >fgenesh2_kg.1__2337__AT1G21480.1 pep chromosome:v.1.0:1:9257627:9260201:-1 gene:fgenesh2_kg.1__2337__AT1G21480.1 transcript:fgenesh2_kg.1__2337__AT1G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7KK15] MASLTSNKPRNFGAYSHYATPCTRTHQIGALFLVVSTFFVTRLFDQWFSESNSVSPVIDLRRTSSSYGITTYNGILRWPERGYGSHLSLKIYVYDENEIDGLKELLYGRDGSVKTTACLKGQWGSQVKIHKLLLESKFRTIKKDEADLFFVPAYVKCVRMLGGLNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWSTFINRSIILTPEADRTDKKDTTAFNTWKDIIIPGNVDDAMTKNGQPDVQPLPLSKRKYLANYLGRAQGKAGRLKLIDLSKQYPDKLECPDLKFSGTEKFGRTTYFEHLRNAKFCLAPRGESSWTLRFYESFFVECVPVLLSDHAELPFQNVIDYAQVSIKWPSTRIGAEFLDYLASISDKDIEGMIARGREIRCLFVYGPDSAPCSAVKGILWELQRKVRHFQQSTETFWLHNGSFVNRELVQFSSWRPPMPLP >fgenesh2_kg.1__2340__AT1G21500.1 pep chromosome:v.1.0:1:9293025:9294114:-1 gene:fgenesh2_kg.1__2340__AT1G21500.1 transcript:fgenesh2_kg.1__2340__AT1G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHSLVPLSPAAHATRLSSPSPRSLPQAPPVVLVVPPINRRTILVGLGGALWSWNALTAKEEAMAAARRPPPPPPKEKKDPTVSGVQAKVLASKKRKEEMKASIAKLREKGKPVVEAKPSSSSSE >fgenesh2_kg.1__2341__AT1G21510.1 pep chromosome:v.1.0:1:9294603:9295709:-1 gene:fgenesh2_kg.1__2341__AT1G21510.1 transcript:fgenesh2_kg.1__2341__AT1G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCISKCSPKTKDFKEAEESHEKYCYVPEKLFMSRCPSRVSPLNLPEKNRFNPIPVPDKFIHIPDKLLPLSPPVKLASFSPIQPSTTSNSTLSSSSSSLSTASSVSVSKERSFSNDFLRACYQENSHVARIHSLREASLSMKTTKPGYPSRFDSPVLPYRYSTTPNRANEDPKRGSNCSKRTREPSPNHRALTRQKSFRQDQERVIMSSSSNSLTKGKYFKSPSPSRRYEGNFLKSPSPSRRFGMTATDLTVKSVSSCVRKDSLDLSGRKTCQKSNRSEPRIHRISSKIDEKIIREVITNHKEPVVPIFEEVGNPLIDLDCFIFL >fgenesh2_kg.1__2343__AT1G21525.1 pep chromosome:v.1.0:1:9300467:9300928:-1 gene:fgenesh2_kg.1__2343__AT1G21525.1 transcript:fgenesh2_kg.1__2343__AT1G21525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGEGSSSTAEREVKKQAASVIPVKRKLVKTMAAKAIISALTPSGCGRNSDSTGDGKGNGGRVYPGTHR >fgenesh2_kg.1__2345__AT1G21550.1 pep chromosome:v.1.0:1:9321958:9322583:-1 gene:fgenesh2_kg.1__2345__AT1G21550.1 transcript:fgenesh2_kg.1__2345__AT1G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSFISRDDLQRMFKKLDKNQDGLVTLHELHWILEKLGWPEHTPDELELIVGKQSLDIDEFLRFYNDAVLDSKGTKKNTDEAIARAFSVFDVNGDGYISAEELRDVLERLGFEEEARAWDCGRMIRVHDKNLDGYVDFEEFKNMILHV >fgenesh2_kg.1__2349__AT1G21590.1 pep chromosome:v.1.0:1:9338610:9342314:-1 gene:fgenesh2_kg.1__2349__AT1G21590.1 transcript:fgenesh2_kg.1__2349__AT1G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KK28] MTENGVVPGGGGTVIVGVKFDESSNELLDWALVKVAEPGDTVIALHVLGNEIVDRADNSSLISLVKNFDSVLQVYEGLCKLKQVELKLKLSRGSSTTRKILVREAKLCSASKLVVGISRRFHTIHSSVSVAKYLARKVSKDCLVLAVDNGKVMFQKDGSSSIIHYSKGKSDSRRNTLSSFFQMPVTLRKNTKVVNHSEVEEEEAEEDHSNGQSLRRSLVYACLGNCSVRDMNSLPSPGNLSRSSSCDGDQDDNADFHKAMALVPAKFPEDLTPFITMLVKELPEFRPGWPLLCRVASSDVLASAPRSSSFRKIPVVQWVLKLPSRTNSVVGSTDTKQIGFDSSESEDYDKLSSSNAESRAIVPDDSMIVKCSLDHSSGRFPENVEGLQARISTSCQFFKYKELVSVTSNFSADNFIGKGGSSRVFRGYLPNGREVAVKILKQTECVLKDFVAEIDIITTLHHKNVISLIGYCFENNNLLLVYNYLSRGSLEENLHGNKKDLVAFRWNERYKVAVGVAEALDYLHNSAPQPVIHRDVKSSNILLSDDFEPQVSDFGLAKWASESTTQIICSDVAGTFGYLAPEYFMYGKMNNKIDVYAYGVVLLELLSGRKPINSESPKAQDSLVMWAKPILDDKEYSQLLDSSLEDDNNGDQMERMALAATLCIRHNPQSRPTMGMVLKLLKGDVEILKWAKLQVSNHLEDSKLLKDEKLRRSNLQSHLNLAFLDMEDDSLSMGSMEQGISVEEYLKDRTSRSSSFN >fgenesh2_kg.1__234__AT1G03030.1 pep chromosome:v.1.0:1:849099:851591:1 gene:fgenesh2_kg.1__234__AT1G03030.1 transcript:fgenesh2_kg.1__234__AT1G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase/uridine kinase [Source:UniProtKB/TrEMBL;Acc:D7KCA6] MEVSSFSTVPRYCNSRSFVAELSRFRGFNVHLWDQSLVPLHLSFRKRKTTPRFLISCSQKKDVTVVDGSCMDEIYDKLAERLVPTAAAMFSPNLKRLVGLAGPPGAGKSTLANEVVRRVNKLWPQKASSFDAEVKPSDVAIVLPMDGFHLYRSQLDAMEDPKEAHARRGAPWTFDPALLLNCLKKLKNEGSVYVPSFDHGVGDPVEDDIFVSLQHKVVIVEGNYILLEEGSWKDISDMFDEKWFIDVNLDTAMQRVENRHISTGKPPDVAKWRVDYNDRPNAELIIKSKTNADLLIRSVNI >fgenesh2_kg.1__2350__AT1G21600.2 pep chromosome:v.1.0:1:9343471:9346188:-1 gene:fgenesh2_kg.1__2350__AT1G21600.2 transcript:fgenesh2_kg.1__2350__AT1G21600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAASPSLSLLSFTSKPPSSSATQRLFASFRTDGGFAPLTLKSRRGRGIIVKVDDVDADGGGPDEYDMDDEEVEEVDNKKDYDVEYDPLAAAMAAAGGGGDGDIAFVQSKSFISTQGWDSEMVVDYRINEDEFHKISLMDCDFFIRKPPDPDNDVYDFREMYVTPPDTDIYSIPRVLAPMPQKYIRCAMSDYGCYNVTEPPIDAPRDPLYKSEREISKVFLTKHYRNRRTNDPEFVLDLEEIYVIDSKTKSITRARVLVTVPGGRKRDRKDDLLVIRDNGTSFKIIHVGERDDPTTVIEREEWSKTREDMEKHLRKLRDFSVSNWF >fgenesh2_kg.1__2352__AT1G21610.1 pep chromosome:v.1.0:1:9346262:9351247:1 gene:fgenesh2_kg.1__2352__AT1G21610.1 transcript:fgenesh2_kg.1__2352__AT1G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7KK30] MSEVKEISGGSIGGESLGASPKVLTAGDRKLLKVELRPGDTTYVSWKKLMRDVGKVNGSSASVPDPPPNANPNLESRIAPGHPAEIETNEQPHSNHFNAVIEKIERLYKGNDSSDGEELDGAPDDDEYDTEDSFIDDAELDEYFEVDNSTVKHDGFYVYRGKLERMEPSTTSDQQPKKRRRKDSTKPCGDAVDVSDKHTKLSITARRKDQSTASGSSLKRKYNVARKTQESPLPFGAQAANTSVSLDDVKHSDRANHQSKNDTSHKSRETGSSSALHPKYSNKSLHQQSKSLPGKSPTNVFAEATVVLQKENNGMHQLANAMGSRQSSQASKKDGSNVKSKTSILEKAIRELEKVVAESRPPAITENQEADTSSQAVKRRLPRDVKLKLAKVARIAQASQGKHSTELINRLMSIVGHLIQLRSLKRNLKIMIDMGDSATREKDTRFQQINNEVLDMIKAKVSLMESQAIKPEGGTSDDFQDSVEKPSLKKFVMDAALEDKLCDLYDIFIDGLDDEDQGPQKRKLYVNLAELWPNRLMDNHGIKRAICRAKERRKALNGNLAKEMDRRKQLVPRIDWTAQPNTELVVQRQHSGEKKMIVDPNATSTSVVTSQTMVDRSNQQHEKLKGSSSSCKPIEETRVVKRKTEAVMAEKQVVLALKKPEHPQTRVIPAPQNLNIPQTTPDLNLPS >fgenesh2_kg.1__2354__AT1G21630.1 pep chromosome:v.1.0:1:9359682:9366750:1 gene:fgenesh2_kg.1__2354__AT1G21630.1 transcript:fgenesh2_kg.1__2354__AT1G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7KK32] MAAPRPTGGQDLFDTYFRRADLDGDGHISGAEAVAFFQGSNLPKHVLAQVWSYADSKKAGYLGRAEFYNALKLVTVAQSRRELTAEIVKAAIYSPASANIPAPKINLAATPSPQPRGVLPATQAQGVTSMPSVAAGVRGPQMGGTVSTNNQQVAPGQQNQFTGLPPSQTQQNFQSPGMPAGGTNAPRPANQPMPSDWLSGRSVGPSGNVNSQIPSSQSTYGLTAPNSTANHVPKPHFTPAVISSTTARPQESAPVHNPQESSATFGVRVSDVPSKQLVAKDPKELAASGNGFASDSLFGDVFSVTSTQPKQHTTGTATTMGISSVPAGTVAGPEITQSVVRQSSIPQPGALSQHAVGVQNQLTGQSGQPYAPSGAASGPPGSTVGVGISASSQLSQRPPHPHPHPQPQPRPQVQSQPPWPKMTPADVQKYTKVFVQVDTDRDGKITGNQARNLFLSWRLPREALKQVWDLSDQDNDSMLSLREFCIAVYLMERYREGRPLPPMFPSSIIHSESMFTSPGQSVAPHGNASWGHPQGFQQQPHPGALRPPAGPKGKPPRPVPLSPSDGMVQPTQPKRKMPVLEKPLVDQLSKEEQDSLNSKFEEATAVDKKVDELEKEIADSKQKIDFFRAKMQELVLYKSRCDNRYNEIAERVSGDKRELESLAKKYEEKYKKAGNVGSKLTIEEATFRDIQEKKMELYQAIVKFEEGKLDDSVVKERTEHIQSGLEELIKNLNERCKQYGVRGKPTSLVELPFGWQPGIQEGAADWDEDWDKLEEEGFTFVKELTLDIQNVIAPPKEKSSAWKKEVAVSSKEGEDVSSSDADSKTGKKQGSGEEASEKEPTSEQSEGKTSNVDARDKNGSLDDSNVRKGIEADSSPRTKEKSENGHDDGESTASAGKTVNYDSHDETDSVSSLNPDGKDKDHEKYGSGFGFGFGFDDFSIKPIKTGSTISNDFLPPPKLSIFADSVPSTPANASDVSPTKPSLFADSVPSTPATNNASYSGQKSFFDDSVPSTPAYPGNLFAEKKSFFDDSVPSTPAYPGNLFAEKKSFFDDSVPSTPAYSTSDFSGKPFASETPRSDNLFPGRSPFMFDSVPSTPAHDDFSNNSFSRFDSFNNNDAFSLSRTDSMRSTSEPDPFASRFDSFNYQRYDSFNAQSFDSSSNNNASETPKASLTRFDSIGSTRDSDYSHGFGFDDHDPFGSTGPFKTTTTTAETPRSSDNWNAF >fgenesh2_kg.1__2358__AT1G21660.1 pep chromosome:v.1.0:1:9391215:9394656:1 gene:fgenesh2_kg.1__2358__AT1G21660.1 transcript:fgenesh2_kg.1__2358__AT1G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7KK36] MDEFGVLTERYGIKPQGKSAPMAASKRNPNNNGQSWNFGTGSGLNAKSTSFSSNSSWNKESSNGSLYDGDDIFFPANSTNRNGSNDFDVFSGLKKSSSSGDNSKSSLNDDLLFSNFGNLGKKTSDNDDLFGGVMPGSKISASVKNDDLFGSFSSSEKQYAAAVDDLLGGKNEAGYDGLIPGFGGSSQTTISKTTPLNFDATDPFVVLESTTSTGLFVDPLEEFAASVSSQGKKPSNTSQTSTKLKPPPKPTQKVNRGKSPEMSSIDELEDFAMGTMRRSASASDTASKYREAEDAGTKNKQFGVDDLDSFVSAGPRSSSVPKSRTTTETTCKQASNTPKKTPNGVSNAKKPPAPANLVDDFSALFGEDPIFRQFEEIPGESEERRKARWDREQRTKSRVAQAVADMNNRDHQSRVEQEQRTRISETVDAEIRRWATGKEGNMRALLSSLQIVLWPGCGWETVSLTDLITSSAVKKVYRKATLYVHPDKVQQKGATLEQKYIAEKVFDILKEAWNKFNKEELS >fgenesh2_kg.1__2359__AT1G21670.1 pep chromosome:v.1.0:1:9396117:9398328:1 gene:fgenesh2_kg.1__2359__AT1G21670.1 transcript:fgenesh2_kg.1__2359__AT1G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNNSTLFFFLVSLLSLSSASSNKPQLSNGSTILFTTIGRPTFEFDIFTLPTSHRPPSPADEHRLTDAKSVNFNGHFASPSPALISLLPNKSQIQPQDLHLIYVTERDGTPSLYYDVVHDDKSGSRVQVPLFSGEEQQSGMNVNSMKDTPVLTSDYLVYVSTHENPGKPMASWAAVYSTELQTKSTRRLTPPGIADFSPAVSPSGKWTAVASYGEKGWTWSLVEKEISSDIYVFLTRDGTQRVKVVEQGGWPRWVDDSTLYFHRKSDDGWICVYRAIIPKTGAVTTKTVTIQRVTPPGLHAFTPATSPNNNNFIAVATRRPGSEIRHVELFDLKKNEFIELTRLVSPKSQHFNPFLSPDSSRVGYHSCRGDATGRKTPRNLLQSLKTTSNDLSLFRFDGAFPSISPEGDRFAFVSFTGVFVVKPDGSGLRQLLPQMGFGTVWDPIRHGIVYTSSGPALAPGKSQIDILAINVDAPNPSTAVKKLTTTGENNAFPWPSPDGKRIVFRSSRSGTKNLYIMDAEKGESGGLFRLTNGNWNDTIATWSPDGNWIVFASNREFPGTLLMNIYVVHPDGTGLRKLAQNLTGGVSMHPMFSPDSKRIVFTTIYAGISAEQIGNPHFNVPSSEIFTVNLDGSGMTRLTHNSVEDGPPMWFPKIKATGDVAWPKRFGPSCSIQDFKTQNTTVKMKMNKPVTMSSMCVVPSQ >fgenesh2_kg.1__235__AT1G03040.1 pep chromosome:v.1.0:1:851718:854466:-1 gene:fgenesh2_kg.1__235__AT1G03040.1 transcript:fgenesh2_kg.1__235__AT1G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7KCA7] MANNNNNPHDSISDPSPTDDFFEQILGLSNFSASSGSGLSGIGGGLSGVGPPPMMLQLGSGDEGNHNHMGAIGGGGPVGFHNQMFPLGLSLDQGKGHGFLKPDGIHGTGKRFQDDVLDNRMKPVFHGQPMSQPAPPMPHQQSTIRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPTVNKTDRAAMIDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTEMPLSSSTEDETQAVWEKWSNDGTERQVAKLMEENVGAAMQLLQSKALCIMPISLAMAIYHSQPPDTSSSIVKPEMNPPP >fgenesh2_kg.1__2360__AT1G21680.1 pep chromosome:v.1.0:1:9398687:9400988:1 gene:fgenesh2_kg.1__2360__AT1G21680.1 transcript:fgenesh2_kg.1__2360__AT1G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAQIIFFASLLLPLHATTLEHQNSNAGDGDTIIFTTLGRSRYEFDIFTLSTTHPPSDSNELRITDGESVNFNGYFPSPTPALISLLPDETLIQMEDSSPLHLIYVTERNGTSSIYYDLIYGGDSDSKMKRRSVLEAPSRVQVPLLSGFDHQSGMTVNSFKDKPSLSGEFLVYVSTHENSGEPRTSWTAVYSTELKTGLTRRLTPSGVADFSPAVSPSGNLTAVASYGERGWTGEVEELSTDIYVFSTRDGTHRVKVVEHGGWPCWVDETTLYFHRRSEEDGWISVYRAILPENGPLTTESVTIQRVTPPGVHAFTPATSPNNHEFIAVATRRPGSDYRHVELFDLKRNEFIELTRLVAPKSYHLNPFLSPDSSRVGYHSCRGEANGRRSPLLFLENIQTTTTDLSLFRIDGSFPSFSPGGDRIAYVKMPGVFVVKPDGSGQREVYNGMAFSTAWDPVRPGVVYSSSGPTFATERTEVDVISIEVDAADKSSSVRRLTTNGKNNAFPWPSPDGKRIVFRSGRTGHKNLYIMDAEKGESGGLWRLTEGAWTDTMCNWSPDGEWIAFASDRESPGSGSFELFLIHPNGTGLRKLIQSGTGGRTNHPIFSPDSKSLVFTSDYAGISAEPISNPHHYQPYGDIFTVKLDGSNLRRLTHNSYEDGTPAWAPRFIHPNNVVLQRRNNSRCSFEDCHWLNKYPTLKGRKISC >fgenesh2_kg.1__2361__AT1G21690.1 pep chromosome:v.1.0:1:9401086:9403950:1 gene:fgenesh2_kg.1__2361__AT1G21690.1 transcript:fgenesh2_kg.1__2361__AT1G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1968 [Source:UniProtKB/TrEMBL;Acc:D7KKT1] MAPVLQSSQPWVEKYRPKQVKDVAHQEEVVRVLTNTLQTADCPHMLFYGPPGTGKTTTALAIAHQLFGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGSNHRQSGYPCPSFKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEVMSNRILHICNEEGLSLGGEALSTLSSISQGDLRRAITYLQSATRLFGSTITSTDLLDVSGVVPLEVVNKLFTACKSGDFDIANKEVDNIVAEGYPASQIINQLFDIVAEADSDITDMQKAKICKCLAETDKRLVDGADEYLQLLDVASNTILALSEMAQDF >fgenesh2_kg.1__2363__AT1G21695.1 pep chromosome:v.1.0:1:9404842:9405766:1 gene:fgenesh2_kg.1__2363__AT1G21695.1 transcript:fgenesh2_kg.1__2363__AT1G21695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGDDSFKRPGAVPFKWEIRPGVPKTRPGDDLLQPPNKLPPVKLKPFPPSNSPSPSSSFISESRSRPVSPFAPPPSSFKLKSPSDSEFNCSGPPTPYFRSSSPRAGGSFPRCFFPKSLFGLKKSKSGDLKKTGQESSESDNFYESGTTFSPSEDSSHGSAVSSRWGSPISSFSSRRGSPLKRTDSDLSSYEKKTILMMARSRLG >fgenesh2_kg.1__2364__AT1G21700.1 pep chromosome:v.1.0:1:9405829:9410024:-1 gene:fgenesh2_kg.1__2364__AT1G21700.1 transcript:fgenesh2_kg.1__2364__AT1G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSWI3C [Source:UniProtKB/TrEMBL;Acc:D7KKT3] MPASEDRRGKWKRKKRGGLSAARKPKQEEEDMEEEEEDNNNNNEEMDDVENADELHQNGGATPDPGLGIGEVVEDSGSRISDFPAVVKRVVIRPHASVMAVVAAERAGLIGETRGQGLLPALENISFGQLQALSTVPADSLDGSSSAYVISPPPIMEGEGVVKRFGDLVHVLPMHSDWFAPNTVDRLERQVVPQFFSGKSPNHTPESYMEFRNAIVSKYVENPEKTLTISDCQGLVDGVDIEDFARVFRFLDHWGIINYCATAQSHPGPSRDVLDVREDTNGEVNVPSAALTSIDSLIKFDKPNCRHKGGEVYSSLSSLDGDSPDLDIRIREHLCDNHCNHCSRPLPTVYFQSQKKGDTLLCCDCFHHGRFVVGHSCLDFVKVDPTKFYGDQDGDNWTDQETLLLLEAVELYNENWVQIADHVGSKSKAQCILHFLRLPVEDGLLDNVEVPGVTNTENPTNGYDHKGTDSNGDLPGYSEQGSDTEIKLPFVKSPNPVMALVAFLASAVGPRVAASCAHESLAVLSEDDRMKSEGVQGKEVSLLDGENQQQDGAHKTSSQNGAEPPTPLPQDKVMAAFRAGLSAAATKAKLFADHEEREIQRLSANIVNHQLKRMELKLKQFAEIETLLMKECEQVEKTRQRFSAERARMLTARFGSPGGISPQTNNNNLQGMSLSTGGNNINSLMHQQQQQQQQQASATSQPSIIPGFGNNPQVHAQMQFMARQQQQQQQQAFSFGPRLPLNAIQTNAGSTASPNVMFGNNQLNTPAAGAASINQPSFSHPMVRSSTGSGSGSGLGLN >fgenesh2_kg.1__2366__AT1G21730.1 pep chromosome:v.1.0:1:9418051:9424474:1 gene:fgenesh2_kg.1__2366__AT1G21730.1 transcript:fgenesh2_kg.1__2366__AT1G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7KKT8] MSATRSQRSSTISPARPRRSPATIPMKRPETPSSSHFSASPATSSSPLLRSSPSPSTSSAAASGTVVASTKLKENITVTIRFRPLSPREVNNGDEIAWYADGDYTIRNEYNPALCYGFDRVFGPPTTTRRVYDIAAQQVVSGAMSGINGTVFAYGVTSSGKTHTMHGEQRSPGIIPLAVKDVFSIIQETPEREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDSQGTYVEGIKDEVVLSPAHALSLIASGEEHRHVGSNNVNLFSSRSHTMFTLTIESSPHGKGDDGEDVTLSQLHLIDLAGSESSKTEITGQRRKEGSSINKSLLTLGTVISKLTDTKAAHIPYRDSKLTRLLQSTLSGHGRVSLICTITPASSTSEETHNTLKFAQRCKHVEIKASRNKIMDEKSLIKKYQKEISCLQEELTQLRRGNQEDLADRKLQVKLQSRLEEDEEAKAALMGRIQRLTKLILVSTKSSLQAASVKPDHIWRQAFGEDELAYLPDRRRENMADDGAVSTVSEHLKEPRDGNSSLDEMTKDRKRNKTRGMLGWLKLKKSDGVAGTLPTDGNQSQASGSPSSSSKCAQTKTTRRENAAAIKSFTEKTVAGDLFSTTVGPGDPYPIGTTIADQMDLLHEQTKILLGEVALRTSSLNRLSEQAACNPEDFHIRDQIQKLEDEISEIKDQIRVLEQRIIEIFGMTPYAADSLGMSQVLSKLTMQLNEKIFEHEIKSADNRILQEQLQMTKSENAEMQETIILLRQQLDSLAERQSTQQIAGDESSGKNTHNRNCEESEIYSGAGTPTSVMSLNRVFAQEETKEINNETALNSQALEIENLKKDKMRLIEEKDELGKLNKKLTEEASYAKELASAAAVELQNLAEEVTRLCNENAKLSR >fgenesh2_kg.1__2367__AT1G21740.1 pep chromosome:v.1.0:1:9437967:9441393:1 gene:fgenesh2_kg.1__2367__AT1G21740.1 transcript:fgenesh2_kg.1__2367__AT1G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKVDDQPLVILCRERKELIKAASHHRCALAAAHLSYFQSLCDVGESIKRFVDEELVVVGTSSSSTPDSPVLTLPSDEGKSHKHKISSSSTSVSHSVIEDDGEEEGEDQHLHLSSGSELDSGSESGSDGSLGHIHIETSPKVKEKETRLPENYQPGYPPGFTPGYQYQPGYSAGYQYPVEGWGFMGENPDLNPYPNPNPGMYFMKKSAPPSRPVVFQPENHRVENGQWLPENGFGYSSYFPGNANTGYFGYPEQRREPPSPVRPTPAPPSPPRISSWDFLNVFDTYDYIRVGGGESSGAGVGFSPAMGGGKSNSSSPDSREVREREGIPELEEETEQEVIIGQTFKHMKRKGIEKVKEQHRPENEIHERKIKKRGDSGEGTSRAVPMVERATESSFGSKTVSSFSSSEEESEFHHVNAGEGKSSSNDLSGHETVATKSVGEVEEEYVRKKGVSFELDENATTSFDVESSKISSLSALSVHATRDLREVVKEIKSEFEVASSHGKEVAVLLEVSKLPYQQKSSGLKVIFSRIMYLVAPSTVSSRSQPQPSIRLTSRILKIAKSYNGQDIREGLSGNLSSTLEQLYAWEKKLYKEVKDEEKLRVVYEEKCRTLKKLDSLGAESSKIDTTRAAIRKLLTKLDVCIRSVDSISSRIHKLRDEELQPQLTQLIHGLIRMWRSMLKCHQKQFQAIMESKVRSLRANTGLQRDSGLKAILDLEMELREWCISFNHWVNTQKLYVESLNGWLSRCLHYEPESTEDGIAPFSPSRVGAPQVFVICKDWQEAMARISGENVSNAMQGFASSLHDLWERQDEEQRQRVKAEYVSHDFEKRLNDLRMERARVRMRNDQLQDGASERSVVLSESGISALDDLKVDLDSMRKKLEEERARHKETIKLVNNAASSSLQAGLVPIFEALGNFTSQVVKAHEDVRFQQQQPQSED >fgenesh2_kg.1__236__AT1G03050.1 pep chromosome:v.1.0:1:855547:857859:1 gene:fgenesh2_kg.1__236__AT1G03050.1 transcript:fgenesh2_kg.1__236__AT1G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKFKRAIGAVKDQTSVGLAKVNGRSASLSELDVAIVKATRHEEFPAEEKYIREILSLTSYSRSYINACVNTLSRRLNKTKCWTVALKTLILIQRLLGEGDQAYEQEIFFATRRGTRLLNMSDFRDVSRSNSWDYSAFVRTYALYLDERLDFRMQARHGKRGVYCVGGEAEEGEQDQAAADLSTAIVVRSQPIAEMKTEQIFIRIQHLQQLLDRFLACRPTGNARNNRVVIVALYPIVKESFQIYYDVTEIMGILIDRFMELDIPDSIKVYDIFCRVSKQFEELDQFYSWCKNMGIARSSEYPEIEKITQKKLDLMDEFIRDKSALEQTKQSKSVNSEADEEEDDARTAEVNEEQEDMNAIKALPEPPPKEEEDEKPEEEAKEEVIIEKKQEEMGDLLDLGNTNGGEAAQAEDSLALALFDGPYASGSGSESGPGWEAFKDDSADWETALVQTATNLSGQKSELGGGFDMLLLNGMYQHGAVNAAVKTSTAYGASGSASSMAFGSAGRPAATMLALPAPAMANGNSGNNNSPVPMDPFAASLEVAPPPYVQMNDMEKKQRMLMEEQIMWDQYSREGRQGHMNLRQNQNQPYSYTPQY >fgenesh2_kg.1__2372__AT1G21790.1 pep chromosome:v.1.0:1:9450958:9452753:1 gene:fgenesh2_kg.1__2372__AT1G21790.1 transcript:fgenesh2_kg.1__2372__AT1G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGIGNTVVKKNGAGEFFLATLLLWLVSVVFEIAFNLRTELLWVICGGCFFQLMNWVVRSWISRDPLFVNTSVSLLHSIITSASVVFILLNQCLAKGLDEMFDHSELVGGTWKWAYPALCFSCGYFAYDQWDMLQYRLYSGLIPSILVHHLVLLVCFTLALYRNVTINYLILTLICEMHSIFLHVRKLRRMAGIRDSNTALVKLEWVLNWTAFVFARCIPHILITIKLIKDAHKFGKGVELPLALSGMAGMNILNVGLGLDLFHAFRRERSNRRNQENSNHNGHGE >fgenesh2_kg.1__2373__AT1G21810.1 pep chromosome:v.1.0:1:9455000:9457436:-1 gene:fgenesh2_kg.1__2373__AT1G21810.1 transcript:fgenesh2_kg.1__2373__AT1G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T26F17.2 [Source:UniProtKB/TrEMBL;Acc:D7KKU7] MMTGTTLILEPAMDSKDELVKQHAKVAEDAVAGWEKAENEVVELKQKLEDAVDKNIVLEDRVSHLDGALKECVRQLRQFRDEQEKKIQEAVTESTKELQSANTGLERRVLELQKEAEAAKSEIMMMRREFLRQRGELEIVMIERDLSTQAAETASKQHLDSIKKVAKLEAECRKLRILAKSSSSNQSLDSHSDGGRERVEGSCSDSWASSTFISELDQFKNEKGGDRSLQGTTSSTEIDLMDDFLEMERLVALPAETQPKNSKTEYEMSLMEKLEKLQAEKDELENEVQSCREAEKRLSLELEAAICDKMEFEVILKKTEAEKAELQISFDVIKHRYQESRDCFQEVEMKMKKLQAEKDDFETEVECCRESEKRLNLELEAIVGHKTELEEKLEKMEAEKTELKISFDLIKDQYQESRVCFQEVEMKLEEIKRELKLANESKTQAEFQFIRMEAEVRKERCVSDELREKCEAFEEELRREIEEKTIIKGEKIEPKIKQEDIATAAGKFADCQKTIASLGKQLQSLAKLEDFLMDTASIPGSARSVHKKEVFLGKEPHECIKTTNGRSLEFLAIKNSNNNTSPPCSSSSDSTTVSLIMTSNRGSSEKNRNGFATVFTRSRNAIHLGI >fgenesh2_kg.1__2374__AT1G21840.1 pep chromosome:v.1.0:1:9465034:9466191:1 gene:fgenesh2_kg.1__2374__AT1G21840.1 transcript:fgenesh2_kg.1__2374__AT1G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDERSDIVMSRTASCMQWSQWQLLDSILPTGGFAHSFGLEAAVQTRLVSSPEDLETHIIHLLDNTASLLLPFVYSALKSPDIETWHKLDGILNATLTNQVSSKASVSQGSALFRIAASVFTEIPNLKMIRDASLGSKNVYFHHAPIFGLVCGLLGMDPETSQRAYLFVTLRDVLSAATRLNIVGPMGASVMQHRIAIVAETVLEKWMDREASEACQTSPLLDVVQGCHGYLFSRLFCS >fgenesh2_kg.1__2378__AT1G21880.2 pep chromosome:v.1.0:1:9473563:9475728:1 gene:fgenesh2_kg.1__2378__AT1G21880.2 transcript:fgenesh2_kg.1__2378__AT1G21880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding LysM domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KKV4] MKNPEKPIFFFFVSLILASTLVFTATAKSTIEPCSSNDTCNSLLGYTLYTDLKVSEVASLFQVDPISVLLANAIDISYPDVENHILPSKLFLKIPITCSCVDGIRKSVSTHYKTRPSDNLGSIADSVYGGLVSAEQIQEANSVNDPSLLDVGTSLVIPLPCACFNGTDNSLPAVYMSYVVREIDTLVGIARRYSTTITDLMNVNAMGAPDVSSGDILAVPLSACASKFPRYASDFGLIVPNGSYALAAGHCVQCSCALGSRNLYCEPASLAVSCSSMQCRNSNLMLGNITVQQSSAGCNVTTCDYNGIANGTILTLLTRSLQPRCPGPQQFAPLLAPPDTVPKDVMYAPAPSPDFDGPGSIASSPRSSVLPSGGDSVPGNPANGPAGSISIASASSVSYFFITFLISIASFSLDLSS >fgenesh2_kg.1__237__AT1G03055.1 pep chromosome:v.1.0:1:857844:859616:-1 gene:fgenesh2_kg.1__237__AT1G03055.1 transcript:fgenesh2_kg.1__237__AT1G03055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKLSLSQTKILTFTTRFNDTRSGLDRRSSISPTLSSKPVYSGELKAAKETARIEPSNTKNASIQDSFFSKIAINYLSKNLQDAAGISSSSKSTDYDRLVDTATRVARNFDTKQQHEFVLSSLDRALPTVISSLIKMAFPPSKLSRELFALFTTISFVWLVGPSEVRETEVNGRKEKSVVYIEKCRFLEQSNCVGMCTHICKIPSQIFIKNSLGMPIYMEPDFNDLSCKMMFGREPPEIEDDPAMKQPCFEFCKSNKSYGVKH >fgenesh2_kg.1__2381__AT1G21910.1 pep chromosome:v.1.0:1:9490926:9492049:1 gene:fgenesh2_kg.1__2381__AT1G21910.1 transcript:fgenesh2_kg.1__2381__AT1G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 domain-containing transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7KKV7] MVKQERKIQTNTKKEMPLSSSPSSSSSSSSSSSSSSCKNKNKKSKIKKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDVALLCLKGPQANLNFPTSSSHHHLLDDLLDEKTILSPKSIQRVAAQAANSPDLFAPSSSAVSSPSDHDQHHDDGMQSLMGSFVDNHVSLMNPSSSWYDDHNGMFLFDDGAPFNYSPQLNSTTMLDEYFYEDADIPLWSFN >fgenesh2_kg.1__2382__AT1G21920.1 pep chromosome:v.1.0:1:9500345:9502012:-1 gene:fgenesh2_kg.1__2382__AT1G21920.1 transcript:fgenesh2_kg.1__2382__AT1G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQAKLTRTQSSLLRSSSNLRSSFQSLSSIVEGEQDLEAGEKEEKQRRKPPKPFGSSSPKSGLTRINPGLAFTMVSLSFLSLSSFFFFVVFSQTDEILTSENLLLALIFVAVALFFASKNIALLNQTVIAIKNFGFQSRNSKSKPVQWYIGDDTKPEKKIIKRFIKEGVQFYSNGDFYEGEFHKGKCNGSGVYYYFVRGRYEGDWIDGRYDGHGIESWARGSRYKGQYRQGLRHGYGVYRFYTGDCYAGEWFNGQSHGFGVQSCADGSSYVGESRFGVKHGLGSYHFRNGDKYAGEYFGDKIHGFGVYRFANGHCYEGAWHEGRKQGFGAYSFRTGDAKSGEWDSGKLVTSLPLTSEPVSRAVQAARETANKAVNRRRVDEQVSRAVAAANKAATAARVAAVRAVQNQMEGKFCQS >fgenesh2_kg.1__2383__AT1G21930.1 pep chromosome:v.1.0:1:9508000:9508983:1 gene:fgenesh2_kg.1__2383__AT1G21930.1 transcript:fgenesh2_kg.1__2383__AT1G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKW1] MGSQENVKHLEECTVSNALGTWVFSVLGALVAIPVGIKRKSLGPLVFFGTTGTMLDIIIGVSQCEREHAEHQMKLLQDSQNATTTTNTETEDSSSMT >fgenesh2_kg.1__2385__AT1G21960.1 pep chromosome:v.1.0:1:9602467:9602947:1 gene:fgenesh2_kg.1__2385__AT1G21960.1 transcript:fgenesh2_kg.1__2385__AT1G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KKY0] YEGVISSYPNDLKKLTDWLYLAGLSDQYVDIANSQLTERICKSIIMVDSPVCATLVYMYCKVIVPSPPWIDSFDETTNNIRLIRPASKLAVGRLARKIYKNNKKKTSKFDASMCAICLEGFEKGEIVVTLPCGHEFDDGCIVKWFLKDHVCPLCRFELPC >fgenesh2_kg.1__2386__AT1G21970.1 pep chromosome:v.1.0:1:9605508:9612646:-1 gene:fgenesh2_kg.1__2386__AT1G21970.1 transcript:fgenesh2_kg.1__2386__AT1G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAPFYHHQLPKSISELNLDQHSNPNPMTSSVVVADASDNNKGIVAQQQLPCMAREQDQYMPIANVIRIMRKILPSHAKISDDAKETIQECVSEYISFVTGEANERCQREQRKTITAEDILWAMSKLGFDNYVDPLTVFINRYREIETDRGSALRGEPPSLRQAYGGNGIGFHGPPHGLPPPGPYGYGMLDQSMVMGGGRYYQNGSSGQDESSVGGGSSSSINGMPGFDSYGQYK >fgenesh2_kg.1__2387__AT1G21980.1 pep chromosome:v.1.0:1:9621812:9625401:1 gene:fgenesh2_kg.1__2387__AT1G21980.1 transcript:fgenesh2_kg.1__2387__AT1G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPIP5K1 [Source:UniProtKB/TrEMBL;Acc:D7KKY5] MSESEEDEEEEASEVILSRNVVEKKKKKNLRFGEEEEERRDGLVLLAQSTQMVRSRSQGTTRRVTPTPLLDVEKPLPNGDLYIGSFSGGFPHGSGKYLWKDGCMYEGDWKRGKASGKGKFSWPSGATYEGEFKSGRMEGFGTFTGADGDTYRGTWVADRKHGHGQKRYANGDFYEGTWRRNLQDGRGRYVWRNGNQYTGEWRSGVISGKGLLVWPNGNRYEGLWENGIPKGSGVFTWRDGSSCVGAWNESKIMRSFFNGVEKNDLIVGNRKRSSVDSGAGSLGGEKVFPRICIWESDGEAGDITCDIIDNVEASMIYRDRISVDRDGFRQFKKNPCWFDGEAKKPGETISKGHKKYDLMLNLQLGIRYSVGKHASIVRDLKQNDFDPKEKFWTRFPPEGTKTTPPHQSVDFRWKDYCPLVFRRLRELFQVDPAEYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLLRMLPSYYKHVCQYENSLVTRFYGVHCVKPVGGQKTRFIVMGNLFCSEYRIQRRFDLKGSSHGRSTAKPEGEIDETTTLKDLDLNFAFRLQRNWYQELMKQIKRDCEFLEAERIMDYSLLVGVHFRDDNTGEKMGLSPFVLRSGRIDSYQNEKFMRGCRFLEAELQDMDRILAGRKPSIRLGANMPARAERMARRSDFDQYSSGGANYPSHGEMYEVVLYFGVIDILQDYDITKKIEHAYKSLQVDPASISAVDPKLYSKRFRDFISRIFIEDG >fgenesh2_kg.1__2388__AT1G22010.1 pep chromosome:v.1.0:1:9634840:9635209:1 gene:fgenesh2_kg.1__2388__AT1G22010.1 transcript:fgenesh2_kg.1__2388__AT1G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KKY7] MAEKVSLKHEIDYNPKPEDAGRIKVYVSILISEAPIRDFRPVLAFSLPAKEFRDSFTRYRWEQLNCLEDDERLDVSQVNLARTELSRLVTHVMFYFVNYLDCILSIYITFKPMRRPPAMIVEE >fgenesh2_kg.1__2389__AT1G22015.1 pep chromosome:v.1.0:1:9636209:9638590:-1 gene:fgenesh2_kg.1__2389__AT1G22015.1 transcript:fgenesh2_kg.1__2389__AT1G22015.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KKY8] MKHNKVSKRLTMTWVPLLCISCFFLGAIFTSKLRSASSDSGSQLILQHRRDQELKIVSQDYAHEKKKSQEKDVMEEVLKTHKAIESLDKSVSMLQKQLSTTHISQQIVDASSTNSSTEGNQRNKVFMVIGINTAFSSRKRRDSLRETWMPQGEKLEKLEKEKGIVIKFMIGHSSTPNSILDKEIDSEDAQYKDFFRLDHVEGYYNLSAKTKSFFSSAVAKWDAEFYVKIDDDVHVNLGTLASTLARHRSKPRVYIGCMKSGPVLTKKTAKYREPEFWKFGEEGNKYFRHATGQIYAISKDLATYISNNQPILHKYANEDVTLGSWFIGLEVEQIDDRNFCCGTPPDCEIRAEAGEMCVASFDWKCSGVCRSVDRMWMVHVMCGEGDKAVSDANLKLS >fgenesh2_kg.1__2390__AT1G22020.1 pep chromosome:v.1.0:1:9639933:9642974:1 gene:fgenesh2_kg.1__2390__AT1G22020.1 transcript:fgenesh2_kg.1__2390__AT1G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KKY9] MDRIAQSDLSLGFGSSHALPHPPRIPIADDSITLQIDSSFRPSSNPMPPVPLQLLEQRFDVTGSCSRVVEEDDDVVGDYDEDDDDDQRQFILLGHSLKLKRCRGGNSYPMSSSSKRFVVDSGIEGRRAAVRAWGNQSIEEADPEIHEFMEKEKQRQFRGIELIASENFVCRAVMEALGSPLTNKYSEGMPGARYYMGNQYIDQIEILCQERALAAFGLHHEKWGVNVQPYSCTSANFAVFAGLLMPGERIMGLDSPSGGHMSHGYYTPGGKKVSGASIFFESFPYKVDPRTGYIDYDKLEEKALDYRPKILICGGSSYPRDWEFPRFRHIADKCGAVLMFDMAQISGLVAAKESPNPFDYCDIVTSTTHKSLRGPRGGIIFYRRGLKPKKQSMNLNHCESNIQYDFEEKINFSVFPSLQGGPHNNHIAALAIALKQAASPEYKLYMRQVKKNAKALASALISRKCKLITGGTDNHLLLWDLTPLSLTGKVYEKVCEMCHITVNKVAIFSENGVISPGGVRIGSPAMTSRGCLEPEFETMAEFLYRAAQIASAAQREHGKLQKEPLKSIYHCKEIADLRNQVEAFATQFAMPAFDM >fgenesh2_kg.1__2392__AT1G22040.1 pep chromosome:v.1.0:1:9661728:9663779:1 gene:fgenesh2_kg.1__2392__AT1G22040.1 transcript:fgenesh2_kg.1__2392__AT1G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KKZ2] MGSAMSLRCSKRKATSQDVEYSSESRKRRKICSENDEGECCRLIPSLPDELSIQILARLPRICYSSVRLVSRRWRSAVSTSEVYILRKELRRTEEWLYVLTKGQEDKLLWYALDPVSTKWQRLPPMPAVVYEEEPRRSLSGLWNMISPSFNVADIVRSFLGRKDASEQMPFCGCAIGAVDGCLYVIGGLSRSKTVSCVWRFDPILNSWSEVSSMLASRAYSKTGVLNKKLYVVGGVDRRRGGLSPLQSAEVYDPSTDAWSEVPSMPFSKAQVLPNAFLADLLKPIATGMTCYNGRLCVPQSLYSWPFFVDVGGEVYDPETNLWVEMPSGMGEGWPARQAGTKLSVVVDGELYAFDPSSSMENGKIKVYDQKEDTWKVVIGEVPVYDLTDSESPYLLAGFHGKLHFITRDPNHNVTVLRADVPNIPVSSSSASSSSVSGSRSSSEKTNAPNKSDTVTWKLIATKDFGAAELVSCQVIDI >fgenesh2_kg.1__2393__AT1G22050.1 pep chromosome:v.1.0:1:9665470:9666936:1 gene:fgenesh2_kg.1__2393__AT1G22050.1 transcript:fgenesh2_kg.1__2393__AT1G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:D7KKZ3] MAGEEDWIELKFRLADGTDIGPSKYNQSMTVSSLKEKLISQWPKDKENTPKTVNDMKLINAGKILENNRTLAESRLPVCELPGMVITMHVVLRLPTLDKKSEKQQNDPPMKNRCVCTIL >fgenesh2_kg.1__2395__AT1G22070.1 pep chromosome:v.1.0:1:9688320:9691079:1 gene:fgenesh2_kg.1__2395__AT1G22070.1 transcript:fgenesh2_kg.1__2395__AT1G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMSSSSSTTQVVSLRDMGMYEPFQQLSGWENPFKSDINNNLSSNQNNNQSSSTTLEVDARPEADDNNRANYTSVYNNNSVEAEPSSNNDQDEDRINDKMKRRLAQNREAARKSRLRKKVHVQQLEESRLKLSQLEQELVRARQQGLCVRNSSDTSYIGPAGNMNSGIAAFEMEYTHWLEEQNRRVSEIRTALQAHIGDIELKMLVDTCLNHYANLFRMKADAAKADVFFLMSGMWRTSTERFFQWIGGFRPSELLNVVMPYVEPLTDQQLLAVRNLQQSSQQAEEALSQGLDKLQQGLVESIAFQIEVIESANHGVQMVSAMENLQALESFVNQADHLRQQTLQQMSKILTTRQAARGLLALGEYFHRLRALSSLWAARPREHT >fgenesh2_kg.1__2398__AT1G22110.1 pep chromosome:v.1.0:1:9700867:9704757:-1 gene:fgenesh2_kg.1__2398__AT1G22110.1 transcript:fgenesh2_kg.1__2398__AT1G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAFSSSDSSSSMPSSSDQSLSINSDQTSPFVTSSMLSRTSSSSSSAVGDYIGTESCFDVLSADEENDVVSVTSESVKSRFRYGGRRREEREARAAAAREFPPPIPLLAQTGNLLPHMPWVLKRVVTSDGRLILREEKVRHHEYFRANRSNGRLTLHLVPLDDDVFELPQEPSHYQSDDDNDDEEDGDDQCDDQDECDDDQHQEVEDDLDDLADKINKDVASNASNDDDKGNVHGDSEDGYRKVILAAVGEETVGESGVMVGGGGSPRGKCLKSCFVGMRVHEIRPVLS >fgenesh2_kg.1__23__AT1G02065.1 pep chromosome:v.1.0:1:74785:76652:-1 gene:fgenesh2_kg.1__23__AT1G02065.1 transcript:fgenesh2_kg.1__23__AT1G02065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYEWDNPSSLVLSGDERNPDSDPTTRSSLSFFDPISHYNNDHRHITISPPLLSSFSNNQQQHHLTLYGQSSSNNNLMFHHHHLYDPRTTTPYGASDPMYHPHSSAPASLFSYDQTSGSGSSYNFLIPKTEVDFTSNRIGLNLGGRTYFSAADDDFVSRLYRRSRPGESGMGNSLSTPRCQAEGCNADLSHAKHYHRRHKVCEFHSKASTVVAAGLSQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKCHQSASATQDAAKPTPKSPKDSGVKASPSPSNAPTTISLECFRQRQFQTTASSSTSASSSSNSMFFSTG >fgenesh2_kg.1__2403__AT1G22160.1 pep chromosome:v.1.0:1:9731356:9732163:1 gene:fgenesh2_kg.1__2403__AT1G22160.1 transcript:fgenesh2_kg.1__2403__AT1G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRQRPPIKRTTSLSEIKFDLNQPSEQEPSNHQIQLVTIDEHRQVHQRLLDQRLLAMVSPRGTQRRHSSDYSEDFLRSCSLCKRLLVPGRDIYMYRGDRGFCSLECRQQQITVDEKKGSVRSTVVVAAGTTTGERVSAAV >fgenesh2_kg.1__2404__AT1G22170.1 pep chromosome:v.1.0:1:9734964:9736993:1 gene:fgenesh2_kg.1__2404__AT1G22170.1 transcript:fgenesh2_kg.1__2404__AT1G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATFHQSIVSFASPSSTISQCGFKIDSSLSFTSKKINFCKIKAMASVSYNNTLLSPSKTIPDNSLKKSNEAALILIRHGESLWNEKNLFTGCVDVPLTEKGVEEAIEAGKRISNIPVDVIFTSSLIRAQMTAMLAMIQHRRKKVPIILHDESEQAKTWSQVFSDETKNQSIPVIPAWQLNERMYGELQGLNKQETAERYGKQQVHEWRRSYDIPPPKGESLEMCAERAVAYFQDNIEPKLAAGKNVMIAAHGNSLRSIIMYLDKLTCQEVISLELSTGIPLLYIFKEGKFMKRGSPVGPTEAGVYAYTKRLAQYRQKLEEDSEVICS >fgenesh2_kg.1__2408__AT1G22190.1 pep chromosome:v.1.0:1:9746247:9747720:1 gene:fgenesh2_kg.1__2408__AT1G22190.1 transcript:fgenesh2_kg.1__2408__AT1G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSMDFYCNKTFQQSDPFGGELMEALLPYIKSPSNDSSAFAFSLPAPIPYGSDLRSFSHHLSPKPVSMKQTGSSAPKPTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDKAAYKLRGDFARLNFPNLRHNGEYQPLQSSVDAKLEAICQNLAEMTQKQGKSTKKSSFRKRSSTAAAKQPEEDYSSAGSSPPLTESYGSGGSSSPLSDLTFCDTEEEIQPPWNEFALEKYPSYEIDWDSILQCSSHVN >fgenesh2_kg.1__240__AT1G03070.1 pep chromosome:v.1.0:1:878780:880389:1 gene:fgenesh2_kg.1__240__AT1G03070.1 transcript:fgenesh2_kg.1__240__AT1G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate binding protein [Source:UniProtKB/TrEMBL;Acc:D7KCB1] MYKWNLPYRKDDVETGGGGERSLYPTMLESPELRWGFIRKVYSIIAFQLLATIAVAATVVFVRPIAVFFATTSAGLALWIVLIITPLIVMCPLYYYHQKHPVNYLLLGIFTVALAFAVGLTCAFTSGKVILEAAILTTVVVLSLTFYTFWAAKKGYDFNFLGPFLFGALIVLMVFALIQIFFPLGRISVMIYGCLAAIIFCGYIVYDTDNLIKRYSYDEYIWAAVSLYLDIINLFLALLTIFRAAE >fgenesh2_kg.1__2410__AT1G22200.1 pep chromosome:v.1.0:1:9748049:9751131:-1 gene:fgenesh2_kg.1__2410__AT1G22200.1 transcript:fgenesh2_kg.1__2410__AT1G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVMNRLRNLDAYPKINEDFYRRTLSGGVITLVSSFVMLILFFSELQLYIHPVTETQLRVDTSRGEKLRINFDVTFPALQCSIISLDSMDISGERHLDVRHDIIKRRLDSSGNVIEAKQDGIGHTKIEKPLQKHGGRLEHNETYCGSCFGAEASDDACCNSCEEVREAYRKKGWALSDPESIDQCKREGFVQKVKDEEGEGCNVHGFLEVNKVAGNFHFIPGQSFHQSGFQFHDMLLFQQGNYNISHTVNRLAFGDFFPGVVNPLDGVQWNQGKQSGVYQYFIKVVPSIYTDVHQNTIQSNQFSVTEHFQNMEAGRMQSPPGVFFYYDLSPIKVIFEEQHVEFLHFLTNVCAIVGGIFTVSGIVDSFIYHGQRAIKKKMEIGKFN >fgenesh2_kg.1__2412__AT1G22220.1 pep chromosome:v.1.0:1:9757191:9758334:1 gene:fgenesh2_kg.1__2412__AT1G22220.1 transcript:fgenesh2_kg.1__2412__AT1G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFDGLPDPIIVDILNKVGDVKTLLRCTSLSKRFSSLVPQSESLILRLDHPDSDSPFTSIFQSLFKSFHGLFSLFSKPAKPIPVTALSPSLPSKILSPFDKIRSLDVELHGGDVKLEKGAAVKWKAEFGKTLKSCVVVAFRSAATVSSPVAVDGESDAEFVTGLKTRVVWTISALMAASSRHYLMREVVKEHVEMESLIMRDVEGEGTVVMDAEGLKEFRNTEARVEDEERVVKNSRSVVPSVRMSMRHAPSLKLKSGICLESATLVIIRPSGEYSDVVGDDAELATEAFAGDCMYGEAVVALLKCKKNALEMNSF >fgenesh2_kg.1__2413__AT1G22230.1 pep chromosome:v.1.0:1:9759905:9761097:1 gene:fgenesh2_kg.1__2413__AT1G22230.1 transcript:fgenesh2_kg.1__2413__AT1G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGGGGKSSGYSADLMVCFPSRTHLSLPSKSISSPSHSFNRRQNAPHHRRSISKLSGSGGGVRQSRGGGREVVEEPTSPKVTCAGQIKVRSSKRDGGSKNWQSLMAEIEKIHRSKSESKFFGIKRDVMGFLTCLRDFDFRCFGAFPPVDIISDDDEEDEEEEEEEEEDEDESSGTVFSKWLMVLHEKQNNEECVNEKENAFSDVETAVPPPNALLLMRCRSAPVKNWLEEKKEETEEGENRVKQSGEEEEEEEEEEEKERVRNKKDLRSLMEEEKKMNLVVMNYDTNYYKLSTDIAKETWVVGGIQDPLFRSRSWKK >fgenesh2_kg.1__2417__AT1G22270.1 pep chromosome:v.1.0:1:9784736:9785382:1 gene:fgenesh2_kg.1__2417__AT1G22270.1 transcript:fgenesh2_kg.1__2417__AT1G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLITHNMLSCNIKGVTNGFPLRIEAGNVIEKEVDFNPDFIRHMFAKIEWKALVEGARSMGYAELPEDSPDAAVLKSDEPFLKKLHHALLELHLEEGALVCPETGRKFPVNKGIPNMLLHEDEV >fgenesh2_kg.1__241__AT1G03080.1 pep chromosome:v.1.0:1:880540:886200:-1 gene:fgenesh2_kg.1__241__AT1G03080.1 transcript:fgenesh2_kg.1__241__AT1G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase interacting family protein [Source:UniProtKB/TrEMBL;Acc:D7KCB2] MTALVNGNSKRYSWWWDSHISPKNSKWLQENLTDMDSKVKQMIKVIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVIRHAQQTMAEAFPNQDPMMFGEESPLASSTDDFDPQTPESYPPIRAPVYPDDLRKGTLGISSSHLSTVKRNIAFMEDPQSVSSGKGFKTAKARKGLNFNDVDGKERNAKVLSESERASKAEAEIVALKDALSKVQAEKEASLAQFDQNLEKLSNLESEVSRAQEDSRGLVERAIRAEAEVETLRESLSKVEVEKESSLLQYQQCLQNIADLEDRISVAQKEAGEVDERANSAKAETLALKQSLVRSETDKEAALVQYQQCLKTISNLEERLHKAEEDSRLTNQRAENAEGEVESLKQKVSKLIEENEAYELQYQQCLDTIADLKLKLFHAQEETQRLSREIEDGVAKLKFAEEKCVVLERSNQNLHSELDGLLEKLGNQSHELTEKQKEMGRLWTCVQEEHLRFMEAETAFQTLQQLHSQSQEELSTLALELQNRSQILKDMEARNNVLQEEVQEAKDQNKSLNELNLSSAASIKSLQEEVSKLRETIQKLEAEVELRVDQRNALQQEIYCLKEELSQIGKKHQSMVEQVELVGLHQEGFASSVKELQEENSKLKEIKERESIEKTALLEKLEMMEKLVQKNLLLENSISDLNAELETIRGKLKTLEEACMSLAEEKSGLHSEKDMLISRLQSATENSKKLSEENMVLENCLFNVNAELEELKSKLKSLEESCHLLNDDKSTLTSERESLLSHIDTMRKRIEDLEKEHAELKVKVLELATERESSLQKIEELGVSLNAKDCEYASFVQFSESRMNGMESTIHHLQDENQCREREYQVELDRAHDAHIEIIVLQKCLQDWLEKSSSLIAENQNIKEASKLLEKLVSELKEENIGKQVQIDSSINCIKILRTGIYQVLKKLDIIPGIGSGDENSRDQKNMHDILNRLDDMQTMLLRIRDENQHSAIENLVLIEFLRQLKSEAVGIETEKKILEEELESQCQQLLFSRDETQKLIFVNGELTTKVNQGVNREKVLTVEIEDLHRQVLQIRDDYTILQGDNYKTLDEKAYLTKSTLQLEEEKRKLEEDISLLLSETIYQSNLIILLEDVVLEKLSGAMKLNEDLDRLSIVKCKLEEELREVGDKLKSADIANFQLQGVLEKSNAELLSAKSANVHLEHEIANVKDQLDQKEKELLEAMLMISIMQNEKSELSKAVEGLECRYKEAKAIEEDKDKQVLRLRGDYDEQVKKNSHLNEANLKLEADLMNSVIELEEINVEKENLNQELFKERNEIELWESQSATLFGELQISAVHEILLEGLTHELAEACKNLESRSTLKDREIEQFKGRLNNLEDANKGQNDLMCKYAQAISLLKESIQSLEKHAMPHEFENEPATDTASLVDNNDGILEIQELRLRIKAIEEAVTKKLAIEELKTSSARRSRRRSGSLRKQNHEIYSEETEMITKDIVLDQVSDCSSYGISTRDILKIEDGHSLEVKSQNPPKGKSLSEESLVVDKLEISDRFTDPNKDANKRKVLERLDSDLQKLANLHVAVEDLKSKVETEEKDEKGKENEYETIKGQINEAEEALEKLLSINRKLVTKVQNGFERSDGSKSSMDLDENESSRRRRISEQARRGSEKIGRLQLEIQRLQFLLLKLEGDREDRAKAKISDSKTRILLRDYIYSGVRGERRKRIKKRFAFCGCVQPPPSP >fgenesh2_kg.1__2421__AT1G22280.1 pep chromosome:v.1.0:1:9793375:9795367:1 gene:fgenesh2_kg.1__2421__AT1G22280.1 transcript:fgenesh2_kg.1__2421__AT1G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFCCFTSASEVVGGQSSSRSGKGRSDEGMIKYGFSLVKGKANHPMEDYHVANFINIQDHELGLFAIYDGHMGDSVPAYLQKRLFSNILKEGEFWVDPRRSIAKAYEKTDQAILSNSSDLGRGGSTAVTAILINGRKLWIANVGDSRAVLSHGGTITQMSTDHEPRTERSSIEDRGGFVSNLPGDVPRVNGQLAVSRAFGDKGLKTHLSSEPDIKEATVDSQTDVLLLASDGIWKVMTNEEAMEIARRVKDPQKAAKELTAEALRRESKDDISCVVVRFR >fgenesh2_kg.1__2425__AT1G22310.2 pep chromosome:v.1.0:1:9800171:9802385:-1 gene:fgenesh2_kg.1__2425__AT1G22310.2 transcript:fgenesh2_kg.1__2425__AT1G22310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG-binding domain 8 [Source:UniProtKB/TrEMBL;Acc:D7KLQ0] MDNGDLGNNHHNFHDGADNRLSAESLPLIDMRLLSQSELRALSHCSSLSPSSSASLATSAGGDDDLTPKIDRSVFNESAGSRKQTFLRLRLARHPQPTEKPPSPQRQRDDSSIEEQTQVAPLLRSLFNVDSIQSKEEEDEGEEEVEENEGQIHYNSYVYQRPNLDSVQNVLIQGTSGNEIKRKRGRPRKIRNPSEEDTEVLDLTGEASAYVFVDKTSSNLGIESRFGSSGISMDSNSVKRKRGRPPKNKEEIMNLENRDSAIVNSSALDKEELVKLENREGAIVDLSALASVSEDPYEEELRRITVGLKTKEEILVFLEQLNGEWVNIGKKKKVVRACDYGGYLPRGWKLMLYIKKKGSSLLLACRRYISPDGQQFETCKEVSTYLRSILESPSKNRHYYLQSDNKTLGQQPVTANDSSLGNSNSMDLPVPDSEKMQYLESGRTGSEVFEEANGDEADGVKTSLVEKDDNADFLNGDDHDHTKKRDGNMENLAALSKAMSMPTDELQQYFN >fgenesh2_kg.1__2430__AT1G22360.2 pep chromosome:v.1.0:1:9818240:9821296:-1 gene:fgenesh2_kg.1__2430__AT1G22360.2 transcript:fgenesh2_kg.1__2430__AT1G22360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KLQ4] MGSHVAQKPHVVCVPYPAQGHINPMMKVAKLLYAKGFHVTFVNTVYNHNRLLRSRGSNAVDGLPSFRFESIPDGLSETDVDVTQDIPTLCESTMKHCLAPFKELLRQINAGDDVPPVSCIVSDGCMSFTLDAAEELGVPEVLFWTTSACGFLAYLFYYRFIEKGLSPIKDESYLNKEHLDTKIDWIPSMKNLRLKDIPSFIRTTNPDDIMLNFIIREADRAKRASAIILNTFDDLEHDVIQSMQSIVPPVYSIGPLHLLEKQEISEDSEIRRMGSNLWREETECLNWLNTKARNSVVYVNFGSITVLSAKQLVEFAWGLAATGKEFLWVIRPDLVAGDEAMVPPEFLTETADRRMLASWCPQEKVLSHPAIGGFLTHCGWNSTLESLCGGVPMVCWPFFAEQQTNCKFSCDEWELGIEIGGDLMDGEKGNKMREKAGEWRRLAKEATEHKHGSSKLNFEMVVNKILLGE >fgenesh2_kg.1__2432__AT1G22370.2 pep chromosome:v.1.0:1:9821745:9823492:-1 gene:fgenesh2_kg.1__2432__AT1G22370.2 transcript:fgenesh2_kg.1__2432__AT1G22370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KLQ5] MASHAVSSGKKPHVVCVPFPAQGHINPMLKVAKLLYARGFHFTFVNTNYNHKRLIRSRGPNALDGLHSFRFESIPDGLPETNKDVMQDVPHLCESTMKNCLAPFKELLWRINTREDVPPVSCIVSDGVMSFTLDAAEELGVPDVLFWTPSACGFLAYLHFYRFIEKGLIITTKRDESYLATKIDWIPSMRNLRLKDIPSFIRATNLEDIMTFLPMRPTEPNVLRLSFSTHSIVLSMMPSNLFNLSFLKLNQEIDEESDIGQMGTNMWREEMECLDWLDTKSPNSVVYVNFGSITVMSAKQLVEFAWGLAATKKDLVAGDVPMLPPKFLLETADRRMLASWCPQEKVLSHPAIGGFLTHSGWNSTLESLSGGVPMVCWPFFAEQQTNCKYCCDEWEVGMEIGGDVRKEEVEELVRELMDGDKGKKMREKTEEWRRLAEEATKHMCGSSELKFQMVVDKVLLGGVERLHGDYNLL >fgenesh2_kg.1__2434__AT1G22400.1 pep chromosome:v.1.0:1:9828225:9831154:-1 gene:fgenesh2_kg.1__2434__AT1G22400.1 transcript:fgenesh2_kg.1__2434__AT1G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KLQ7] MGSQIVHNSQKPHVVCVPYPAQGHINPMMKVAKLLHARGFHVTFVNTVYNHNRFLRSRGSNALEGLPSFRFESIPDGLPETDMDATQDITALCESTMKNCLAPFRELLQQINAGDNVPPVSCIVSDGCMSFTLDVAEELGVPEVLFWTTSGCAFLAYLHFYLFIEKGLSPLKDESYLTKEYLDDTVIDFIPTMKNLKLKDIPSFIRTTNPDDVMINFALHETERAKRASAIILNTFDDLEHDVVQTMQSILPPVYSVGPLHLLANREIEEGSEIGMMSSNLWKEEMECLDWLDTKTKNSVIYINFGSITVLSVKQLVEFAWGLAGSGKEFLWVIRPDLVAGEEAVVPPEFLTETKDRSMLASWCPQEKVLSHPAIGGFLTHCGWNSILESLSSGVPMVCWPFFADQQMNCKFCCDEWDVGIEIGGDVKREEVETVVRELMDGEKGKKMRQKAVEWRRLARGATEHKLGSSVVNFETVISKYLVGQK >fgenesh2_kg.1__2435__AT1G22410.1 pep chromosome:v.1.0:1:9834281:9837169:1 gene:fgenesh2_kg.1__2435__AT1G22410.1 transcript:fgenesh2_kg.1__2435__AT1G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:D7KLQ8] MALMNGTMNLSSVKSSMINHRQPNFSSAVARPTSFRISAVQTDPKTPAASSAAAAATTPAALTKTVGVNVGKGKWAPESWRTKKALQQPEYPDLAELEAVLETIEAFPPIVFAGEARLLEERLGQAAMGEAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQVPVVKVGRMAGQFAKPRSDSFEEKDGVKLPSYRGDNINGDAFDSKSRIPDPQRMIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTERSEQGDRYRELANRVDEALGFMHAAGLTLDHPIMQTTDFWTSHECLLLPYEQSLTRLDSTSGLYYDCSAHMIWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPKELVKLIEILNADNKPGRITIITRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPCGLKTRPFDAILAEVRAFFDVHEQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLGSRYHTHCDPRLNASQSLELSFIIAERLRKRRIKSQQAFAV >fgenesh2_kg.1__2436__AT1G22430.1 pep chromosome:v.1.0:1:9863816:9866542:1 gene:fgenesh2_kg.1__2436__AT1G22430.1 transcript:fgenesh2_kg.1__2436__AT1G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTSFSSNEGKPIRCKAAICRKAGEALVIEDIHVDPPQPYEVRIKILCTSLCHTDLTFWKLDFGPISRFPRILGHEAVGVVESIGENVVGFKQGDVVLPVFHPYCEECKDCKSSKTNWCERYAEDFISNTRRYGMASRFKDSSGEVIHHFLFVSSFSEYTVVDIAHLVKISPEIPVDKAALLSCGVSTGIGAAWKVANVEEGSTVAVFGLGAVGLAVAEGARLRGAAKIIGIDTNPDKFELGKKFGFTDFINPTLCGEKKVSEVVKEMTKGGVDYSFECVGLASLLNEAFISTRTGTGKTVMLGMEKHAAPISLGSFDLLRGRNICGSLFGGLKSKLDIPILVDHYLKKELNLDSFITHELNFKEINKAFALLEEGKSLRCILWMDK >fgenesh2_kg.1__2438__AT1G22440.1 pep chromosome:v.1.0:1:9868262:9870483:1 gene:fgenesh2_kg.1__2438__AT1G22440.1 transcript:fgenesh2_kg.1__2438__AT1G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASLSSTEGKPIRCKAAILRKAGEALVIEEIQVDPPQAYEVRIKILCTSLCHTDVTFWKLESGPLARFPRILGHEAVGVVESIGANVDGFKQGDVVLPVFQPQCEECKECKSPKSNWCTRYTNDFLSNTRRYGMTSRFKDSFGEVIHHFIFVSSFTEYTVVDIAHLVKISPEIPVDIAALLSCGVATGIGAAWKVADVEEGSTVAIFGLGAVGLAVAEGVRLRGATKIIGVDLNPAKFEIGKRYGMTDFVNPALCGEKTISEVIREMTEVGADYSFECIGLASLMEEAFNSTRPGSGKTVILGMEQKALPVSLGSYDLLRGRTICGTLFGGLKPKLDIPILVDRYLKKELNLDGLITHELSFEEINKAFDLLAEGNSIRCVVWMDK >fgenesh2_kg.1__243__AT1G03090.2 pep chromosome:v.1.0:1:890089:894796:1 gene:fgenesh2_kg.1__243__AT1G03090.2 transcript:fgenesh2_kg.1__243__AT1G03090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-methylcrotonyl-CoA carboxylase 1 [Source:UniProtKB/TrEMBL;Acc:D7KCB3] MSMMTVWALRRNVRRKNYSMLLRYISDSASIKPKDRCIEKILVANRGEIACRIMRTAKRLGIQTVAVYSDADKDSLHVKSADEAVRIGPPSARLSYLSGATIMEAASRTGAQAIHPGYGFLSESSDFAQLCEDSGLTFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGHEQDIDHMKSEAEKIGYPIIIKPTHGGGGKGMRIVQSEKDFADSFLGAQREAAASFGVNTILLEKYITRPRHIEVQIFGDKHGNVLHLYERDCSVQRRHQKIIEEAPAPNISEKFRANLGQAAVSAARAVGYYNAGTVEFIVDTESDQFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGEPLPINQSEVPMSGHAFEARIYAENVPKGFLPATGVLNHYRPVAVSPSVRVETGVEQGDTVSMHYDPMIAKLVVWGGNRGEALVKLKDCLSNFQVAGVPTNINFLQKLASHKEFAVGNVETHFIEHHKSDLFADESNPAATEVAYKAVKHSAALVAACISTIEHSAWNESSHGKLPSIWYSHPPFRVHHEAKQTIEIEWNNECEGAGSNLISLGVISRPDGSYLIQEGNDSPSLEVRVTREGKCNFRVEAAGLSMNVSLAAYLKDCYKHIHIWHGSEHHQFKQKVGIEFSEDEEGVQHRTSSETSSHPPGTIVAPMAGLVVKVLVENEAKVDQGQPVLVLEAMKMEHVVKAPSSGSIQDLKVKAGQQVSDGSALFRIKG >fgenesh2_kg.1__2440__AT1G22460.1 pep chromosome:v.1.0:1:9873179:9876378:-1 gene:fgenesh2_kg.1__2440__AT1G22460.1 transcript:fgenesh2_kg.1__2440__AT1G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRKTVVVAVVIRRVLICAICVMTLLCFLTVHIYVAPFNRLPRRLHLNHHNTRRGDIIDYNKSITEQSLTRNLSRLESNEASSLITKPKENEIQYKSSISEHIKNTELVPPHFSTSPSSSKLNMTSGIPDFDKLWKPPPNRDFVPCVNPNPSYTSSLESRGYLLVHTNGGLNQMRAGICDMVAIARIINATLVVPELDKRSFWQDTSKFSDVFDEDHFINALSKDIRVIKKLPKGIDGLTKVVKHFKSYSGLSYYQNEIASMWDEYKVIRAAKSDSRLANNNLPPDIQKLRCRACYEALRFSTKIRSMGKLLVDRMRSYGLYIALHLRFEKDMLAFSGCNHGLSASEAAELRKIRKNTAYWKVKDIDGRVQRLKGYCPLTPKEVGILLTALGYSSNTPIYIAAGEIYGGESRLADLRSRFSMLTSKENLATSEELKPFMNHSTQMAALDYIVSVESDVFIPSYSGNMARAVEGHRRYLGHRKTISPDRKGIVRLIDRIGRGAEKDNRKVYERIIDIHKTRQGSPKRRKGPVSGTKGLERHRSEESFYENPLPECLCQRDPSKAR >fgenesh2_kg.1__2442__AT1G22480.1 pep chromosome:v.1.0:1:9880239:9881328:-1 gene:fgenesh2_kg.1__2442__AT1G22480.1 transcript:fgenesh2_kg.1__2442__AT1G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLGCLVLIFFSMVAPASSATLTVNWSLGTDYTPLATGKSFAVGDTIVFNYGAGHTVDEVSENDYKSCTLGNSITSDSSGTTTIALTTTGPRYFICGIPGHCAAGMKLAVTVASASSNVVGGGTTTPTPFTGGSGYNPTTTQAIPCGAWTVSCPLGALVATWAVVFYALSLS >fgenesh2_kg.1__2444__AT1G22500.1 pep chromosome:v.1.0:1:9912506:9917343:1 gene:fgenesh2_kg.1__2444__AT1G22500.1 transcript:fgenesh2_kg.1__2444__AT1G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KLS5] MVVMSRLSFYSSFVLLLLQLAVAQTEFANDGGRTNISPSMVILMIVLVSVFFGIGCISVSMRSCIERATGLGGYSRQGNWRNVRQTTARGLDASVIETFPTFRYSTVKTLRIGKEALECPVCLNEFEDDESLRLIPQCCHVFHPGCIEAWLRSQTTCPLCRANLVPVPGESVSLEIPGLARETGQSSLGTPIDDNGKRVLASPDERLIDSVAWTGNQSMPCKSMSTGWKLAGLFSPTSSPGQPEENLDRFTLRFPQEIHDQLVKSSRGNQGSKDHVALPQARSSVRGYRTKSLGTEKNYFYSERFDQDGRLDRRPFSITPPYHTRSIQSPDEIINGSGNYQERPGAPKGLLLAIRSPFDRLFTKKNNVGERSYLRSSDASPA >fgenesh2_kg.1__2446__AT1G22520.1 pep chromosome:v.1.0:1:9919318:9920694:-1 gene:fgenesh2_kg.1__2446__AT1G22520.1 transcript:fgenesh2_kg.1__2446__AT1G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTKSNNTDSDVNAKWDACLDLTARRFVYSSLGGAFSGLLFFRSPVTRWASIAFGAGIGIGSAYTDCSRVFDASSSTSATLLAASKSTETSVSQAAEE >fgenesh2_kg.1__2447__AT1G22530.1 pep chromosome:v.1.0:1:9922456:9925344:-1 gene:fgenesh2_kg.1__2447__AT1G22530.1 transcript:fgenesh2_kg.1__2447__AT1G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEEIQKPAASITASVPVKEDTPAPVKEVEVPVATERAVAAPAPEEKVVSEKEVPVVAVPETEVTAVKEEEVVTGKEILQSESFKEEGYLASELPEAEKNALAELKEMVREALNKREFTAPPPAPAKEEKVEEKKTEETEEKSVEAETKTEEKSAAPATVETKKEEILAAPAPIVAETKKEEKSVAPTPVEATPAAPAVAETKKEEISAAPTPVEIKPAAPVTTETKVEEKVVPVETTPAAPVVTETKEEEKAAPVVDETKKEEKASASAPVKRAVSKFIKDIFVSVTTTEKKKEEEKPAVVTIEKAFAADQEEETKTVEATEESIVSITLPETAAYVEPEEVSIWGIPLLEDERSDVILLKFLRARDFKVKEAFTMLKNTVQWRKENNIDDLVSEDLEGSEFEKLVFTHGVDKQGHVVIYSSYSEFQNKEIFSDKEKLSKFLKWRIQFQEKCVRSLDFSPEAKSSFVFVSDFRNAPGLGQRALWQFIKRAVKQFEDNYPEFVAKELFINVPWWYIPYYRTFGSIITSPRTRSKMVLSGPSKSAETIFKYVAPEVVPVKYGGLSKESPFTVEDGVTEAVVKSTSKYTIDLPATEGSTLSWELRVLGADVSYGAQFEPSNEASYTVIVSKNRKVGLTDEPVITDSFKASEPGKVVITIDNQTFKKKKVLYRSKTQA >fgenesh2_kg.1__2448__AT1G22540.1 pep chromosome:v.1.0:1:9931199:9933812:1 gene:fgenesh2_kg.1__2448__AT1G22540.1 transcript:fgenesh2_kg.1__2448__AT1G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7KLS9] MAISGAVDEAGTPLLAVTVDGSVDFRSKPAVRSSSGGWRSAGFIIGVEVAERFAYYGISSNLITYLTGPLGQSTAAAAANVNAWSGTASLLPLLGAFVADSFLGRFRTILAASALYILGLGLLTLSAMIPSDCKVSNLLSSCSPRFQVITFFSALYLVALAQGGHKPCVQAFGADQFDEKEPEECKAKSSFFNWWYFGMCFGTLTTLWVLNYIQDNLSWALGFGIPCIAMVVALVVFLLGTCTYRFSIRREDRSPFVRIGNVYVAAVKNWSVSASAVAAAEERLGLVSRNSSQQFSFLNKALVAKTGSCSIDELEEAKSVLRLAPIWLTCLVYAVVFAQSPTFFTKQGATMERSITPGYKISPATLQSFISLSIVIFIPIYDRVLIPIARSFTHKPGGITMLQRIGTGIFLSFLAMVIAALVEMKRLKTAADYGLIDSPDATVPMSVWWLVPQYVLFGITDVFAMVGLQEFFYDQVPNELRSVGLALYLSIFGIGNFLSSFMISIIERATSQSGQVSWFANNLNQAHLDYFYWLLACLSFIGLASYLYVAKSYVSKRLNTS >fgenesh2_kg.1__2449__AT1G22550.1 pep chromosome:v.1.0:1:9933911:9936022:-1 gene:fgenesh2_kg.1__2449__AT1G22550.1 transcript:fgenesh2_kg.1__2449__AT1G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7KLT0] MAIAEEEAALIEDSVSDSVDHRGLPAGKSSTGGWRSAWYIIGVEVGERFAYFGIGSNLITYLTGPLGQSTATAAVNVNTWSGTASMLPVLGAFIADAYLGRYRTIVIASLIYILGLGLLTLSSIFILMRLSEQRNDASARPLFWVNLLFFCSLYLVAIGQGGHKPCVQAFGADQFDVEDPKERISRGSFFNWWFLSLSAGITLSIIVVVYVQDNVNWALGFGIPCLFMVMALALFLLGRKTYRYPRRDHEEKKNAFARIGRVFLVAFKNRKLKLTHSGQLEDGSSEKCKGLLEFLAKASLSGEGGLEPCNSRDVEDTMALVRLIPLWITSVVSTIPYAQYATFFTKQGVTVDRKILPGLEIPPASFQALIGLSIFISVPTYERVFLPLARLITKKPSGITMLQRIGAGMVLSSLNMVVAALVEMKRLETAKEHGLVDRPDATIPMSIWWFVPQYLLLGMIDVFSLVGTQEFFYDQVPTELRSIGLALSLSAMGLASFLSGFLIAVINWATGKNGGGSWFNTNLNRAHVDYFYWLLAAFTAIGFLAFLFFSRLYVYRRVDQI >fgenesh2_kg.1__2451__AT1G22590.1 pep chromosome:v.1.0:1:9951031:9954720:1 gene:fgenesh2_kg.1__2451__AT1G22590.1 transcript:fgenesh2_kg.1__2451__AT1G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRWFKTNKSTKSVRIACRCLRSPLVRRLSELPVEKQTKYMMDQKDLMNKMIQDAKKKLEKEKMHTRAMKLGLMAASNDLITDTDCSEELAKAADVVDKKHKAIIERIKAVKAGAPILKRE >fgenesh2_kg.1__2453__AT1G22600.1 pep chromosome:v.1.0:1:9957373:9959226:-1 gene:fgenesh2_kg.1__2453__AT1G22600.1 transcript:fgenesh2_kg.1__2453__AT1G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLALCLVLSTLFSMGHCAVSEGDIIVKDGHRVVVVEYDGDVETNTRVLISPPGKEEEKRRDEGEVFGNEKKETASSLPEEEEREHHASPGELICDAIGKCKHKMATVLGRVKDRTASDLSEEKIGREARDVEEKVSGTAHEAKETVKERATKKAHRVQKVLENSKVAVRGIGTAVAAALGLTKIGSVVGIVGIAAAYGMCVWVTFVSGYVLASVLGEQQFGVVQSKMYPVYFKAVTVGILVGLLGHVISRRRKVFTDAVDMWQAVNLLASILMVEANASFVDPRATKAMFELIKAEKEEGRGLDTSETQSSETAARTRGKKKVTEKMDQDAVKQRLTNLSERMYRLNAYSSRLNLLTLMSLTWHFVYLGDRLSLTC >fgenesh2_kg.1__2455__AT1G22620.1 pep chromosome:v.1.0:1:9967914:9973130:-1 gene:fgenesh2_kg.1__2455__AT1G22620.1 transcript:fgenesh2_kg.1__2455__AT1G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSENSTTSTFSSFAKIQPSNDAESDPDSYALEKFKLYETRARFYLVGSDRNKRFFRVLKIDRSEPSELNISEDPVVYSPQEIKSLLQRIAEGNRATGGLAFVAKVYGIAGCAKFMESYYLILVTKRRQIGCICGHAIYAIDESQMISVPHATIQSDVANSKTELRYKKLLSSVDLTKDFFYSYTYPIMQSLQKNVLSSGEEGMPYDNIFVWNSYLTQPIRSRCNNTIWTLALVHGHFKQIRLSIYGRDFSVTLVSRRSRHFAGTRYLKRGVNDRGRVANDVETEQLVLDDEAGSCKGKMSSVVQMRGSIPLFWSQEASRFSPKPDIFLQRYDPTYESTKMHFEDLVNRYGNPIIVLNLIKTVEKRPREMVLRREFANAVGYLNSIFREENHLKFIHWDFHKFAKSKSANVLAVLGAVASEALDLTGLYFSGKPKIVKKKAKQLSHASTGREPSLRDLRAYSAELSRGESSNDILSALANREKEMKLSQQKKDEETDSSAPRYQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLSDTPKIDPDSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNTYTDGEKQDAINLFLGYFQPQEGKPALWELDSDYYLHVSGIGDDIFPDIGVQSTAKPMSGIGVNLAPVPAFRDDFSRKKLTSFDKLIEQTCSSIKNVRLCSETDQRPGGNTGSTGVAPDAAEIQLKSPNWLFGSRKPEESSSATKSGADDSEKGVTSTERVNDFCNLDWLSKSDRHQEDIFQRYLSITSTNEANGWYGGTLLGDQDENSEIYRHYAQFCQCPAMEPFENDHELEQNFAEVLRMNTVDVMDIEEEKTEMESAFSEYTQIGSDLGIIPMQCKHFAVDPCWLARWLVGDDKVPKVI >fgenesh2_kg.1__2456__AT1G22630.1 pep chromosome:v.1.0:1:9973491:9974422:1 gene:fgenesh2_kg.1__2456__AT1G22630.1 transcript:fgenesh2_kg.1__2456__AT1G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFPLCCSELSLEPKVLAFERQLVSGRRDSIKVSAGKIGNFSLGSIFKSCETCGAKGAIECPGCKGTGKNKKNGNMFERWKCFDCQGFGMKSCPNCGKGGLTPEQRGER >fgenesh2_kg.1__2457__AT1G22640.1 pep chromosome:v.1.0:1:9976831:9978010:1 gene:fgenesh2_kg.1__2457__AT1G22640.1 transcript:fgenesh2_kg.1__2457__AT1G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHMNKGAWTKEEDQLLVDYIRKHGEGCWRSLPRAAGLQRCGKSCRLRWMNYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLSRGIDPNTHRLINESAVVSPSSLQKDVVETLNLDFAGKVKPEPVREETGGINNCTSSGTTSEKDYGNEEDWVLNLELSVGPSYRYESTRKVSVVDSAESTRRWGSELFRTQSDAVCLCCRVGLYHNESCRNCRVSDVRTH >fgenesh2_kg.1__2458__AT1G22650.1 pep chromosome:v.1.0:1:9986351:9989308:-1 gene:fgenesh2_kg.1__2458__AT1G22650.1 transcript:fgenesh2_kg.1__2458__AT1G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVNSSSSISDLDDLARLLDRPRLNIERKRSFDERSFSEMGIFDNVNSPGGWETPVSSARNSFEPHPMVAEAWDALRRSLVYFRGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTIQIQGREKRIDRFKLGEGAMPASFKVIHDPIKKTDTIIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDTSLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCSMVDRRMGVYGYPIEIQALFFMALRSAMSMLKHDAEGKEFMERIVKRLHALSFHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSFPEWVFDFMPLRGGYFIGNVSPARMDFRWFALGNCVAILASLATPEQSAAIMDLIEERWEELVGEMPVKICHPAIESHEWRIVTGCDPKNTLWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAEARLLKDGWPEYYDGKSGRFIGKQARKFQTWSIAGYLVAKMLLEDPSHLGMISLEEDKQTKPVIKRSYSWT >fgenesh2_kg.1__2463__AT1G22690.1 pep chromosome:v.1.0:1:10002338:10003129:1 gene:fgenesh2_kg.1__2463__AT1G22690.1 transcript:fgenesh2_kg.1__2463__AT1G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMNVVAFVMLIISFLLLSQVLAELSSSSNNETSSVSQTNDENQTAAFKRTYHHRPRINCGHACARRCSKTSRKKVCRRACGSCCAKCQCVPPGTSGNTAACPCYANIRTHGNKLKCP >fgenesh2_kg.1__2466__AT1G22700.2 pep chromosome:v.1.0:1:10003632:10005487:-1 gene:fgenesh2_kg.1__2466__AT1G22700.2 transcript:fgenesh2_kg.1__2466__AT1G22700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KLU5] MLLQTLSSSSPPIHRLYLHHSQILPSSGSPKKISLQIHGRTLAIRSFHEISARGLPALNKASLKKLPIKGSTFLLGQSMLMVSAYPQMAAAAEIIKPEPIYEVGELFELSIQLSYLLLLLGLLGVGTFYVIRQVLVRRELDLSAKELQEQVRSGDASATELFELGAVMLRRKFYPAANKFLQQAIQKWDGDDQDLAQVYNALGVSYVREDKLDKGIAQFEMAVKLQPGYVTAWNNLGDAYEKKKELPLALKAFEEVLLFDPNNKVARPRRDALKDRVKLYKGVVSVKSKKR >fgenesh2_kg.1__2467__AT1G22710.1 pep chromosome:v.1.0:1:10006293:10008713:-1 gene:fgenesh2_kg.1__2467__AT1G22710.1 transcript:fgenesh2_kg.1__2467__AT1G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-proton symporter 2 [Source:UniProtKB/TrEMBL;Acc:D7KLU6] MEKAANGASALETQTGELDQPERLRKIISVASIAAGVQFGWALQLSLLTPYVQLLGIPHKWASLIWLCGPISGMLVQPIVGYHSDRCTSRFGRRRPFIVAGAGLVTVAVFLIGYAADIGHSMGDQLNKPPRTRAIAIFALGFWILDVANNTLQGPCRAFLADLSAGNAKKTRTANAFFSFFMAVGNVLGYAAGSYRNLYKVVPFTMTESCDLYCANLKTCFFLSITLLLLVTFVSLCYVKEKPWTPEPTADGKASNVPFFGEIFGAFKELKRPMWMLLIVTALNWIAWFPFLLFDTDWMGREVYGGDSDAKATAVAKKLYNDGVRAGALGLMLNAIVLGFMSLGVEWIGRKLGGAKRLWGIVNFILAICLAMTVVVTKQAENHRRDHGGAKTGPPGNVTAGALTLFAVLGIPQAITFSIPFALASIFSTNSGAGQGLSLGVLNLAIVVPQMVVSVGGGPFDELFGGGNIPAFVLGAIAAAVSGVLALTVLPSPPPDAPAFKATMGFH >fgenesh2_kg.1__2473__AT1G22750.4 pep chromosome:v.1.0:1:10035564:10037486:1 gene:fgenesh2_kg.1__2473__AT1G22750.4 transcript:fgenesh2_kg.1__2473__AT1G22750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSLVTGLKVTLPVMFCVMLATLVYTIITDGLPLPDRHDVFTPWFVTTILDFYINIVPIAVWIVYKESTWSGSILWTILLLIFGSLTTCVYVFLQLLKLTNQEASEDPMYYLLLRDSIKDGVGLRDKNSLVVTARFVFGALGCVMLGALIYTCFTYGSPFHMELLYPWMVVLLVNFYINVAVLSVWVVYKESSWIVGILWVALLIGLGSVGTSVAIVVQLFRLSPLDPLYLVLVNNSNRAGDMYERTHSAVLRM >fgenesh2_kg.1__2476__AT1G22780.1 pep chromosome:v.1.0:1:10054592:10055995:1 gene:fgenesh2_kg.1__2476__AT1G22780.1 transcript:fgenesh2_kg.1__2476__AT1G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEEFQHILRVLNTNVDGKQKIMFALTSIKGIGRRLANIVCKKADVDMNKRAGELSAAEIDNLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >fgenesh2_kg.1__2480__AT1G22830.1 pep chromosome:v.1.0:1:10063933:10066398:1 gene:fgenesh2_kg.1__2480__AT1G22830.1 transcript:fgenesh2_kg.1__2480__AT1G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KMJ9] MPSSPSRSILRGLTVSEIRKFIPQSWKQPPRPISETPKTHDESVPQVLFESFRHCIAHGQFYEAFRTFSLLRYQSGSHEFVLYSAASLLSTCVGFSEFVPGQQIHAHCISSGLEFDPVLVPKLVTFYSAFNLLDEAQTITENSDILHPLPWNVLIDSYVRNKRFEESVSVYKRMMSKGIQPDEFTYPSVLKACGALLDFAYGRVVHGSVEVSSHRCSLYVCNALISMYKRFGKVDVARKLFDRMSERDAVSWNSVINCILLKDFEKLLSFLILSTLWCRSEYCNLEHISWRLFADRKLHRGFELCYSVAMINGLKACSHIGALQWGKVFHCFVIRSCCHEIDNVRNSLITMYSRCSDLRHAFIVFQQIEANSLSTWNSIISGYAHNERSEETSFLLKEMLLSGFHPNYITLASILPLCARVANLQHGKEFHCYILRRQSYKDCLILWNSLVDMYAKSGEIIAAKRVFDSMSKRDKVTYTSLIDGYGRLGKGEVSLAWFKDMNRSGIKPDHVTLVAVLSACSHSNLVPEGHRLFTKMETGFGIRPRLEHYSCMVDLYCRVGDLAEARKIFRKIPCEPTSAMCATILKACLIHGNTDIGIWAADKLLLETKPEHLGHYMLLADMYAVTGSWNKLVTVKTFLSDLGVQKAHEFALMETDSGLDEEINKPMIDSAVNQEQSSDEERLVEVG >fgenesh2_kg.1__2484__AT1G22850.1 pep chromosome:v.1.0:1:10067666:10070146:-1 gene:fgenesh2_kg.1__2484__AT1G22850.1 transcript:fgenesh2_kg.1__2484__AT1G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLTTLGLTLRSSSSSSLPFTCNFRFFSPPSSSRLYRRFHFLKPCSSLKQTKKKKQQSLPSTAPPPQSLRWFFNPKSSDDDNDEDDAKSESDDGGGSEGDAAIQGTILAGVLLIGTVGGFAGVGYVYRDQINTFLTQFSTYIEGYGTAGYALFIAVYAGLEASFFILFHILAIPALPLTMSAGLLFGPLIGTIIVSISGTMAASVAFLIARYFARERILKLVEDNKKFLAIDKAIGENGFRVVTLLRLSPLLPFSLGNYLYGLTSVKFVPYVLGSWLGMLPGSWAYVSAGAFGRAIIQEESNVGLPGGNGQLLTLGVGLLVTALAATYVTGLAKDAIKDIDDDDK >fgenesh2_kg.1__2485__AT1G22860.1 pep chromosome:v.1.0:1:10071135:10076929:1 gene:fgenesh2_kg.1__2485__AT1G22860.1 transcript:fgenesh2_kg.1__2485__AT1G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSRAVVELTARFDLGGDDKIRALSLSPISDSQTLVYLGTSSGSLILLSLDTLTNIVSRLGSVSLSASPVESIFVLGEERGKVLALCNGYLFLMDSLLSQPTKRLGGVLKGINVVARRVRGRDSSSTDLLPSEISTDSSSSKKFLQLLGAGNLVNDVKGKDFPHDRVHQGHYVFAVAIGERMLLIELQCDEKEGLSSSFVVLKEILGIGGIKTLVWLDDYVIAGTVKGYSLISCVTGQSGVIFTLPDVSGPPLLKLLCKEWKVLLLVDNVGVVVDTNGQPIGGSLVFQRRPDSVGELSFYLVTVGDGKMEIHQKKSGACVQSVSFGPQGCGPSLLAADEAGDGNLLAVTTLSKLIFYRRVPYEEQIKDLLRKKRYREAISLVEELDSQGEISKDMLSFLHAQIGYLLLFDLRFEEAVNQFLKSEEMEPSEVFPFIMRDPNRWSLVVPRNRYWGLHPPPAPFEDVVDNGLMAIQRANFLRKAGMDTPVDEEFFSNPPSRADLLDSAIKNITRALNRIEDMENLASSENNCVVVEELETLLTESGHLRTLAFLYATKGMSAKALAIWRLFTKNYSSGLWQDSDDLVPYLHDNELIRLSGKEAAAAEAARILEEPCDPELTLQHLSWISEINPLFAIQVLTSDKRTEELSPEQVIQAIDPKKVEIIQRYFQWLIEERDYTDPQLHTSYALSLAKSALECVEVQNGIPEADAGGREAHDCNVGSISLLESDVRERLQTFLQSSDLYDPEEILELIEGSELWLEKAILYRRIGQETLVLQILALLLDMYLDPQNGKEPMFKAAVRLLHNHGASLDPLQVLESCIMSNLQKLSPDMPLKLASDTILRMLRARVHHHRQGQIVHNISRALDVDSRLARLEERSRHMQINDESLCDSCYARLGTKLFAMYPDDTIVCYKCYRRLGESKSVTGRDFKRDVLIKPGWLVNR >fgenesh2_kg.1__2486__AT1G22870.1 pep chromosome:v.1.0:1:10078709:10083317:1 gene:fgenesh2_kg.1__2486__AT1G22870.1 transcript:fgenesh2_kg.1__2486__AT1G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KMK3] MSINMRTLTQALAKTAAVIEKTVQTTVQEVTGPKPLQDYDLLDQIGSGGPGLAWKLYSAKARDSTRPHQYPTVCVWVLDKRALSEARARAGLSKAAEDAFLDLIRADAGKLVRLRHPGVVHVVQALDENKNAMAMVTEPLFASVANAVGNVDNVDNVPKDLKAMEMSLLEVKHGLLQIAETLNFLHNNAHLIHRAVSPENVFITSAGSWKLAGFGFAISEAQNRNFDNLQSFHYSEYDVEDSILPLQPSLNYTAPELVRSKTSSAGVSSDIFSFGCLAYHLVARKPLFDCHNNVKMYMNTLNYLTNETFSSIPSDLVSDLQRMLSMNESYRPTALDFTGSNFFRSDTRLRALRFLDHMLERDNMQKSEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNLGMQPMILPMVLTIAESQDKNDFELTTLPALVPVLSTATGDTLLLLIKRAELIINKTNAEHLVSHVLPLLLRAYNDNDVRIQEEVLKRSTSVAKQLDGQVVRQAILPRVHGLALKTTVAAVRVNALLCLAELVQTLDKLAVTEILQTIQRCTAVDRSAPTLMCTLAIANAILKQYGVEFTSEHVLPLIIPLLTAQQLNVQQFAKYILFVKDILRKIEEKRGVTVNDSGVPEVKPGSVADGLQFQTPTQKTEKVASAAKNSPAWDEDWALPTKISAPRDPEPTNSQFNNSTVQSQSSNRTSVPTTCPAVDLEWPPRQSSNATAQPANDEIRINEAGTSSTPSFDDLDPFANWPPRPNGAPTASGGFHNNTTTQPPLNNSGSGLSNNLTVGRQFQTANNDFWAFGNASLSSMQSQQETPGISASNPHPMNSFGIQNQNQGMPSFGSSSYGNQKPPADISSIFSSSRTEQSAMKLAPPPSIAVGRGRGRGRSGTSTSKPSGSKQQQTEQPSLLDLL >fgenesh2_kg.1__2490__AT1G22885.1 pep chromosome:v.1.0:1:10093952:10094674:1 gene:fgenesh2_kg.1__2490__AT1G22885.1 transcript:fgenesh2_kg.1__2490__AT1G22885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKASNLVVFLLSLLLLLLLISFQVGVADAKRNKRHDQLLDYPRPPTAPIYLPPSKSRKGKGP >fgenesh2_kg.1__2491__AT1G22890.1 pep chromosome:v.1.0:1:10095176:10095804:1 gene:fgenesh2_kg.1__2491__AT1G22890.1 transcript:fgenesh2_kg.1__2491__AT1G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTSNLVALLLSLFLLLLFVSSSQVGVAEAKRHLRNKLRLDCVSDPSPPPPRRSMAPPIFLPPSRSHKGKGP >fgenesh2_kg.1__2495__AT1G22920.1 pep chromosome:v.1.0:1:10106104:10108530:-1 gene:fgenesh2_kg.1__2495__AT1G22920.1 transcript:fgenesh2_kg.1__2495__AT1G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSAIARKTWELENNILTVEPTDSASDSIFHYDDAAQAKIQQEKPWASDPNYFKRVQISALALLKMVVHARSGGTIEIMGLMQGKTEGDTIIVMDAFALPVEGTETRVNAQADAYEYMVEYSQTNKLAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQYQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGHKISDDHVSEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAESQLTHSRFGGIAPAGHQRRKEDEPQLAKITRDSAKITVEQVHGLMSQVIKDILFNSARQSKKSPNDSSDPEPMITS >fgenesh2_kg.1__2499__AT1G22940.1 pep chromosome:v.1.0:1:10117270:10119953:1 gene:fgenesh2_kg.1__2499__AT1G22940.1 transcript:fgenesh2_kg.1__2499__AT1G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLGGIRSWPANWRSTTALMTTTENVRKVPQVLTVAGSDSGAGAGIQADLKVCAARGVYCASVITAVTAQNTRGVQSVHLLPPEFVSEQLKSVLSDFEFDVVKTGMLPSTEIVEVLLQNLSDFPVRALVVDPVMVSTSGHVLAGSSILSIFRERLLPIADIITPNVKEASALLGGFRIETVADMRSAAKLLHEMGPRFVLVKGGDLPDSSNSVDVYFDGKEFHELRSPRVATRNTHGTGCTLASCIAAELAKGSSMLSAVKVAKRFVDNALDYSKDIAIGSGMQGPFDHFFGLKKDPQSSRCSIFNPDDLFLYAVSDSRMNRKWNRSIVDAVKAAIEGGATIIQLREKEAETREFLEEAKACVDICRSHGVILLINDRIDIALACNADGVHVGQSDLPVDLVRSLLGPDKIIGVSCKTPEQAHQAWKDGADYIGSGGVFPTNTKANNRTIGLDGLKEVCDASKLPVVAIGGIGISNAESVMQIDAPNLKGVAVVSALFDQDCVLTQAKKLHKTLKESKREI >fgenesh2_kg.1__249__AT1G03160.1 pep chromosome:v.1.0:1:915290:920350:1 gene:fgenesh2_kg.1__249__AT1G03160.1 transcript:fgenesh2_kg.1__249__AT1G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLISHRQCVTSPFLISAASPPFPGRCFQLSSFTAPRHRRLPSLSIRNVSHESADQTSSSRPRTLYPGGYKRPELAVPGVLLRLDADEVMSGNREETVDLVDRALAKSVQIVVIDGGATAGKLYEAACLLKSLVKGRAYLLIAERVDIASAVGASGVALSDEGLPAIVARNTLMGSNPDSVVLPLVARIVKDVDSALSASSSEGADFLILGSGEDKQVGLLSDSLLKSVKIPIFVTCSSKREELQLLKSGASGFVISLKDLRSSRDVALRQCLDGAYVVNHETQNKNESILNDKTLVETSDLPEKNNSAGFIKLEDKQKLIIEMEKSVLGETIEIIQKAAPLMEEVSLLIDAVSRIDEPFLMVIVGEFNSGKSTVINALLGKRYLKEGVVPTTNEITFLCYSDLESEEQQRCQTHPDGQYVCYLPAPILKDINIVDTPGTNVILQRQQRLTEEFVPRADLLVFVLSADRPLTESEVAFLRYTQQWKKKFVFILNKSDIYRDARELEEAISFVKENTRKLLNTENVILYPVSARSALEAKLSTASLVGRDDLEVSDPGSNWRVQSFNELEKFLYSFLDSSTATGMERIRLKLETPMAIAERLLASVESLVRQDCLAAREDLASADKIINRTKEYALKMEYESISWRRQALSLIDNARLQVVDLIGTTLRLSSLDLAVSYLFKGENSASIAATSKVQGEILAPALTNAKELLGKYAEWLQSNTAREGSLSLKSFENKWPTYVNSKTQLGIDTYDLLRKTDKFSLKTIQNLSAGTTSKRLEQDIREVFVVTVGGLGAAGLSASLLTSVLPTTLEDLLALGLCSAGGYVAIANFPYRRQAIIGKVNKVADALAQQLEDSMRKDLSDATDNLVNFVNIVAKPYREEAQLRLDHLLGIQKELSDIRSKLQLLQVDIDNLHVSRDEMRL >fgenesh2_kg.1__2502__AT1G22970.1 pep chromosome:v.1.0:1:10125718:10127207:-1 gene:fgenesh2_kg.1__2502__AT1G22970.1 transcript:fgenesh2_kg.1__2502__AT1G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKKEDLNQFLIPRLNTIYDTLQLFDRTASPTVEKVNWNDVLQMSDHLSKQATIVGMLWTGESPKAESLKETMESYFNALQGFLLCCHGSTVGAGPTLSSIIHVSVKQIVDSSFRLLQGSVSLYEGSYEKDKKPSIPQLSGVVWEACSSFKKVPATNIVAIGRAITQVAVSMKDVLREMKEVKPACHSAECEASGDNMSGDDDDDLGDDLSPEEMEVARMVAEIVSETIMVIKELIRVITGMIKMENPNDNSGFVESLEKLLKLCQGTGVQIDELGACVYPPQEMNKMKQTVKIIQGNLNEFETEVERLKSSSDGCSGACEKLRNSLKHMETELDKRCEAELVVEMQNVTLGN >fgenesh2_kg.1__2503__AT1G22985.1 pep chromosome:v.1.0:1:10130188:10130749:-1 gene:fgenesh2_kg.1__2503__AT1G22985.1 transcript:fgenesh2_kg.1__2503__AT1G22985.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KML6] TEATDSSSSEDESPPSRRRGKKLVKEIVIDPSDPPEVGKTRFKIRIPARFLAPKTTTNKKKFRGVRQRPWGKWAAEIRCGRAHGGGKGRPERVWLGTFETAEEAALAYDNAAIQLIGPDAPTNFRRPDVDSPVVKQDSDAGGASAASGEDV >fgenesh2_kg.1__2504__AT1G22990.1 pep chromosome:v.1.0:1:10135432:10136402:1 gene:fgenesh2_kg.1__2504__AT1G22990.1 transcript:fgenesh2_kg.1__2504__AT1G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDSLSEYFSNHFYVSIRKRRKRKLMQTVNIKVKIDCDGCERKIKNAVSSMKGAKSVEVNRKMHKVTVSGYVDPKKVLKRVQSTGKKKAELWPYVPYTMVAYPYAAGAYDKKAPPGFVRKSEHAQAQPGSTDDKLMSLFSDENPNACTVM >fgenesh2_kg.1__2505__AT1G23010.1 pep chromosome:v.1.0:1:10145354:10147856:1 gene:fgenesh2_kg.1__2505__AT1G23010.1 transcript:fgenesh2_kg.1__2505__AT1G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi-copper oxidase type I family protein [Source:UniProtKB/TrEMBL;Acc:D7KML9] MESLLCRRRIKRVMVLIITLTWLGSTCGELEDQLFEVGKLKMFVDDLPDMPRLYGFNSVHDIIKPTSLQIGMFSTKWKFHRDLPATPVFAYGTSRSKATVPGPTIEAVYGVDTYVTWRNHLPSSHILPWDRTISPATPKHGGIPTVVHLHGGIHEPTSDGNADAWFTAGFRETGPKWTKTTLHYENKQQPGNMWYHDHAMGLTRVNLLAGLVGAYILRHHAVESPLQLPTGDEFDRPLIIFDRSFRKDGSIYMNATGNNPSIHPQWQPEYFGDVIIVNGKAWPRLNVRRRKYRFRIINASNARFFKFFFSNGLNFIVVGSDSAYLSKPVMTKSILLSPSELVDVIVDFSKSPSRTVVLANDAPYPYPSGDPVNEENGKVMKFIINNEREDDTCTIPKKLINYPHADMSNAVLRRYISMYEYVSNSDEPTHLLVNGLPYDAPVTETPKSGTTEVWEVINLTEDNHPLHIHLGLFKVVEQTALLAAGLEEFKECMTKQNDAVKCQISKYALGKKTAVTALERGWKNVFKMMPGHVTRILVRFSYIHTNASYPFDPTLEPGYVYHCHILDHEDNMMMRPLKVIN >fgenesh2_kg.1__2506__AT1G23020.1 pep chromosome:v.1.0:1:10148897:10152187:-1 gene:fgenesh2_kg.1__2506__AT1G23020.1 transcript:fgenesh2_kg.1__2506__AT1G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATFRO3/FRO3 [Source:UniProtKB/TrEMBL;Acc:D7KMM0] MVLGEMNKKVIKNVINLLTMVILMGTVVIWIMMPTSTYKKIWLKSMRAKLGKSIYFGKPGVNLLVYMFPMILLAFLGSIHLHLKKQTTVNQFNSGGVERKKRDKFGALKRPMLVKGLGIVTVTEVMFLTMFMSLLLWSLANYFYYTFVTITPQTIPIDGHNLWQARLDSIAVRLGLTGNICLGFLFYPVSRGSSLLAAVGLTSESSTRYHIWLGHLVMTLLTSHGLCYCIYWISTNQVSQMLEWDRTGISHLAGEITLVAGLVMWATTFPAIRRRFFEVFFYTHYLYIVFMLFFVFHVGISYALISFPGFYIFIVDRFLRFLQSRNNVKLVSARVLPCETVELNFSKSPMLMYSPTSILFVNIPSISKLQWHPFTIISSSKLEPKKLSVMIKSQGKWSTKLNHMLAYSDQIDHLAVSVEGPYGPASTDYLRHQSLVMVSGGSGITPFISIIRDLLYVSSTSACKIPKITLICAFKTSSDLSMLNLILPVSTEISSYVDIQIKAFVTRDKVSTCNMNIIKTLCFKPHSSDQPISPILGPNSWLWLATILSSSFVIFIIIIAIISRYHIYPIDQSSKKYTSAYTSLIYLLAISISVVATSTVAMLCNKKSYYNKKDQNIDDLLSLLMIESSPGQLLPKFTNIHYGERPNLNKLLVGLKGSSVGVLVCGPRKMREEVAKICSFGSAENLQFESISFSW >fgenesh2_kg.1__2507__AT1G23030.1 pep chromosome:v.1.0:1:10155856:10158303:1 gene:fgenesh2_kg.1__2507__AT1G23030.1 transcript:fgenesh2_kg.1__2507__AT1G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7KMM1] MAGGIVSPATLLDLITDIVEIPLNAGIFKKDCADLTRRVCLLTHLLEEIRDSTPIDSAASSSSENDWWSDLVAGLQAAKRLLSTARFQARDSSDGAAKRISFQFQCVTWKLEKALSNLPYDLYDISDEVGEQVELARSQLRRAMQRYGSLNSNKFSSALSEPMERDGLSNVIKIKAEEKLESVSETLHFAEEEEKQDSPPLRRSSSISLAYYLSKDADTDRLDKMVNKNTDESKKSDKLTIPVDFLCPVSLELMKDPVIVATGQTYERAYIQRWIDCGNLTCPKTQQKLENFTLTPNYVLRSLISRWCTEHNIEQPAGYINGRSKNSGDMSVIRALVQRLSSRSTEDRRNAVSEIRSLSKRSTDNRILIAEAGAIPVLVNLLTSEDVATQENAITCVLNLSIYENNKELIMFAGAVTSIVQVLRAGTMEARENAAATLFSLSLADENKIIIGGSGAIPALVDLLENGTPRGKKDAATALFNLCIYHGNKGRAVRAGIVTALVKMLSDSTRHRMVDEALTILSVLANNQDAKSAIVKANTLPALIGILQTDQTRNRENAAAILLSLCKRDTEKLVSIGRLGAVVPLMDLSKNGTERGKRKAISLLELLRKACQ >fgenesh2_kg.1__2508__AT1G23040.1 pep chromosome:v.1.0:1:10164420:10165275:1 gene:fgenesh2_kg.1__2508__AT1G23040.1 transcript:fgenesh2_kg.1__2508__AT1G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KMM3] HRRGSVVIFTVMMITLLTCPILIKASSSSDASLAARKLDEVDPIKCSPSCIQNPPPPSPPPPSPPPPACPPPPALPPPPPKKVSSYCPPPPPPANFLYITGPPGNLYPVDEQFGAAAGKSFTVVKLSGLIAFGIMGFLIL >fgenesh2_kg.1__2509__AT1G23050.1 pep chromosome:v.1.0:1:10167701:10168517:1 gene:fgenesh2_kg.1__2509__AT1G23050.1 transcript:fgenesh2_kg.1__2509__AT1G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KMM4] MNTNDHGLRLLSLFFIFSLVYFPYLAISETPCPYPCYPTPPIGGGSSTPSMTQPPPYPPPAVNYPSPAGNLPNYPPPVGNIPDYPSPPYGGGDGSGSNFYGPPPPDAILPYFPYYYRKPPHQTDQTSSSSHVAVAGKLTVRIVAVANLVVVGVLGNIL >fgenesh2_kg.1__2510__AT1G23060.1 pep chromosome:v.1.0:1:10168903:10171177:-1 gene:fgenesh2_kg.1__2510__AT1G23060.1 transcript:fgenesh2_kg.1__2510__AT1G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGEVQEPFNLSLLANSIHSGSVSFGRFEKESLSWEKRSSFSHNRYLEEAEKFSKPGSVTQMRAHFEAHFKKKGIRFPSSVEAQTWGEVVHHQTCTEKDENTSQFGDSCVSYDETILVNSDDDVDTSISVALEKTEIGQSEDEKETSSSSSATRLKPLKNVHKSVPCSATKASTKKHVIIAKGSSPSCNTKTSIDTKRQKELKPKRVVKTIASQSPTTSKKSEILTTPLVATREKRTTTNGFSFRSNERAEKRKEEKVKAVVPKGHNLKARPLPKSTQTRPQHTSTGQAKAKAKARDDHSYIASCDRSLANGMAKSKLIINKQKADIQRSLNGIRPKTSDQTARNNTHRRSLPVRRSAVEVAL >fgenesh2_kg.1__2513__AT1G23080.1 pep chromosome:v.1.0:1:10181404:10184448:-1 gene:fgenesh2_kg.1__2513__AT1G23080.1 transcript:fgenesh2_kg.1__2513__AT1G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:D7KMM7] MITWHDLYTVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISSNNPYAMNLRFIAADTLQKLIMLTLLIIWANFTRSGSLEWSITIFSLSTLPNTLVMGIPLLIAMYGEYSGSLMVQIVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETGASIVSFKVESDVVSLDGHDFLETDAQIGDDGKLHVTVRKSNASRRSFYGGGGTNMTPRPSNLSGAEIYSLNTTPRGSNFNHSDFYSMMGFPGGRLSNFGPADMYSVQSSRGPTPRPSNFEESCAMASSPRFGYYPGAPGSYPAPNPEFSTGSKIPKENHHPVGKSNSHDAKELHMFVWGSNGSPVSDRAGLQVDNGVNEQVGKSDQGCAKEIRMLISDPTQNGENKAGPMNGDYGCEEESEKVKEVPNGLHKLRCNSTAELNPKEAIETSETVPVKHMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWALVAFRWDVAMPKIIQQSISILSDAGLGMAMFSLGLFMALQPKLIACGNSTATFAMAVRFFTGPAVMAVAAMAIGLRGDLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLVYYILLGL >fgenesh2_kg.1__2516__AT1G23090.1 pep chromosome:v.1.0:1:10186066:10190650:-1 gene:fgenesh2_kg.1__2516__AT1G23090.1 transcript:fgenesh2_kg.1__2516__AT1G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHKVVAPPHKSTVAKLKTRLKETFFPDDPLRQFRGQPNRTKLIRAAQYIFPILQWCPEYSFRLLKSDVVSGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLILGSMLRQQVSPVDNPLLFLQLAFSSTFFAGLFQASLGILRLGFIIDFLSKATLIGFMGGAAIIVSLQQLKGLLGITHFTKQMSVVPVLSSVFQHTNEWSWQTIVMGVCFLLFLLATRHLSMKKPKLFWVSAGAPLLSVIVSTLLVFVFRADRHGISVIGKLQEGLNPPSWNMLQFHGSHLALVAKTGLVTGIVSLTEGIAVGRTFAALKNYHVDGNKEMIAIGLMNVVGSATSCYVTTGAFSRSAVNNNAGAKTAVSNIVMSVTVMVTLLFLMPLFEYTPNVVLGAIIVTAVIGLIDLPAACQIWKIDKFDFFVMLCAFFGVIFLSVQNGLAIAVGLSLFKILMQVTRPKMVIMGNIPGTDIYRDLHHYKEAQRIPGFLVLSIESPVNFANSNYLTERTSRWIEECEEEEAQEKHSRLQFLILEMSAVSGVDTNGVSFFKELKKTTAKKDIELVFVNPLSEVMEKLQRADEQKEFMRPEFLFLTVAEAVASLSLKGPPLNNV >fgenesh2_kg.1__2517__AT1G23100.1 pep chromosome:v.1.0:1:10197041:10197753:1 gene:fgenesh2_kg.1__2517__AT1G23100.1 transcript:fgenesh2_kg.1__2517__AT1G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPTLNRVLVEKILPPSKTVSGILLPEKSSQLNSGKVIAVGPGARDRAGNLIPVSVKEGDNVLLPEFGGTQVKLGEKEFLLYRDEDIMATLHD >fgenesh2_kg.1__251__AT1G03180.1 pep chromosome:v.1.0:1:928527:930332:1 gene:fgenesh2_kg.1__251__AT1G03180.1 transcript:fgenesh2_kg.1__251__AT1G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEGTTEENYDVDIATTASSLGGSGVFHIINDIVGFVLYMHQQIPSVIQDMSLEFDGLQTELTDLEANLTQPQVKPLVRRKLVSRKREVKNEIKKLEKLMKTISSLRSALQLMIREAPDIQKVVLILGGSPLRPQKAYELLFTQHSDSLLGYEGDFAKSKAAEALSKKTIRALISAGAGSTSYPGPMRLFILVHAPPTLNLPQHFLPKRDFRYNRKFVPSKLRFKCRTQDNATNSPPTNDLIWFQCRHVIKGLAFHQPVEE >fgenesh2_kg.1__2520__AT1G23110.3 pep chromosome:v.1.0:1:10197898:10199176:-1 gene:fgenesh2_kg.1__2520__AT1G23110.3 transcript:fgenesh2_kg.1__2520__AT1G23110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQNVSKSSNNALEGIHGVHVTSHSPFSFEKTTQVSDSKSTDMESNQRLLIERFWQQRPPCLRPICCSIRGDQSVLETAANVATSLPFIFLGLQAPRKNLNTKVYANSLIGVGIASSLYHASRGKLRKYLRWVDYTMIATTTICLSRALRNENPKFLMAASALVLPFQPLMVSAVHTGMMEVAFAKRSLKDPDLKTAHNVHKMSSLLGGALFIADDFFPETPFIHAGWHLAAAIGVGTCNKLLE >fgenesh2_kg.1__2521__AT1G23120.1 pep chromosome:v.1.0:1:10200826:10201630:1 gene:fgenesh2_kg.1__2521__AT1G23120.1 transcript:fgenesh2_kg.1__2521__AT1G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVQTLELEIQVNMTAERFFKTFKKKEGNFTDKTEAVSVHREDPTSNSSIQIWNFIVDGKMEQIKEKIEVDEENKSVSFVALEGDVLKQYKSYKITLDVVPKGDQVCIAKWTWEYEKLNDDVPPPTRYTAFVADYTRDLETRLLFES >fgenesh2_kg.1__2523__AT1G23140.1 pep chromosome:v.1.0:1:10238799:10239701:-1 gene:fgenesh2_kg.1__2523__AT1G23140.1 transcript:fgenesh2_kg.1__2523__AT1G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KMP4] MENLVGLLRIRVKRGINLVSRDSNTSDPFVVVTMGSQKLKTRGVENSCNPEWDDELTLGINDPNQPVILEVFDKDTFTSHDTMGDAEIDIKPFFEAQGTDIQELSDGTEIHRVKPSGDNCLAEESRIIFSNGKIVQDMILKLRNVESGEVEIQVEWIDVSGSSDL >fgenesh2_kg.1__2524__AT1G23145.1 pep chromosome:v.1.0:1:10248078:10248389:1 gene:fgenesh2_kg.1__2524__AT1G23145.1 transcript:fgenesh2_kg.1__2524__AT1G23145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRPMLVAILVLSCVFMNIMKVEAQKEIGYPAIGRDGAHGCSPKYPSVPCRPKQPENPYKRGCEKITRCKRDGDGDKQAALLNPQKVLDVVAVMTKAKQLF >fgenesh2_kg.1__2527__AT1G23160.1 pep chromosome:v.1.0:1:10253195:10256557:1 gene:fgenesh2_kg.1__2527__AT1G23160.1 transcript:fgenesh2_kg.1__2527__AT1G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KMP8] VLEDLTSNVTQLQDNEILTLNANTNYLQSFFRGRFDKEIFKKNVPVVTYDDPSNVISAQPITGFVLSSGTSGGAQKMMPWNEKYLDSCMIFGCINVKNVEKGKAMMFYFTKLESITPSGLPARVASSSYLKSNYFKNRPSNWYYTYTSPDELTLCPDNKQNLYCHLLCGLVQRNEVTRMGSIFASVMKELWSNIRSGQLSEWITDIVANTIEKICNQKCWKGIVTRLWPKAKYIETIVTGSMVQYVPTLNYYSNDMLPLISTIYASSETQFGLNLNPMCKPEDVSYTFMPNVSYFEFIPVDGDKNNVVDLADVKLGCSYEAVVTNFRIRVGDILVVTGFHNKAPQFRFIRRDNVVLSIDLDKTNEDDLFKAVNRGKLTLDSSDLMLAMLISPLSRDKNKDKKSKKHIELKQETFSECCLLMEDSLDEVYKNCRFKEEWTFDSLMDFFISQGASIGQYKTPRCIKSAKALEVIEKMW >fgenesh2_kg.1__2530__AT1G23190.1 pep chromosome:v.1.0:1:10264995:10269641:1 gene:fgenesh2_kg.1__2530__AT1G23190.1 transcript:fgenesh2_kg.1__2530__AT1G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKVSTVSTSPIDGQKPGTSGLRKKVKVFKQPNYLENFVQATFNALTAQKVKGATLVVSGDGRYYSKDAVQIIIKMAAANGVRSVWVGKNTLLSTPAVSAVIRERSGADGSKATGAFILTASHNPGGPTEDFGIKYNMENGGPAPESITDKIYENTKTIKEYPIADDLPNVDISTIGVTSFEGPDGKFDVEVFDSADDYVKLMKSIFDFESIRKLLSSPKFTFCYDALHGVAGAYAHRIFVEELGAQESALLNCTPKEDFGGGHPDPNLTYAKELVARMGLSKSDTGGEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAIGAIPYFSSGLKGVARSMPTSAALDVVAKSLNLKFFEVPTGWKFFGNLMDAGMCSVCGEESFGTGSDHIREKDGIWAVLAWMSILAHKNKGNIDGNAKLVSVEDIVRQHWATYGRHYYTRYDYENVDAGKAKELMEHLVKLQSSIPEVNKIVKGIRSHVANVASADEFEYKDPVDGSISKHQGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDASKTGRESQEALSPLVDLALKLSKMEEFTGRSAPTVIT >fgenesh2_kg.1__2532__AT1G23205.1 pep chromosome:v.1.0:1:10288840:10291451:-1 gene:fgenesh2_kg.1__2532__AT1G23205.1 transcript:fgenesh2_kg.1__2532__AT1G23205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSLHQPLLFFFLATVLPLILTVHPQSDDSDFIRTSCNTTLYPDLCFSSLSSFSSSVHNDPALLARAAISVTLTKTLDLASYLANVTTLQPESNEDGAHHPTAAAVFHDCFDNLKDAVEEMRGSMKQMRELVSTGSLESFRFQMSNVQTWLSAALTDEETCTDGFKDIHDEPRKDDICARVDDVKKMTSNALALVNRCVDKAIH >fgenesh2_kg.1__2534__AT1G23220.1 pep chromosome:v.1.0:1:10296585:10317709:1 gene:fgenesh2_kg.1__2534__AT1G23220.1 transcript:fgenesh2_kg.1__2534__AT1G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein [Source:UniProtKB/TrEMBL;Acc:D7KMR0] MEGVELELERRSKFLNSLIQKKKAKEQQDQKDEFNVRVRASDMPLVQQNRAFSLSREILNATPGKADNKRLALTLKKDFDSAYGPAWHCIVGTSFGSYVTHSIGGFIYFQIDKVYVLLFKTAVEPLDQK >fgenesh2_kg.1__2535__AT1G23230.1 pep chromosome:v.1.0:1:10317787:10325237:1 gene:fgenesh2_kg.1__2535__AT1G23230.1 transcript:fgenesh2_kg.1__2535__AT1G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSQRTVAATPSSSRSYQFHPARAAIIDLFNLYLGRGSRQKPDESLRDPPNKSQKRVHAPNGDLPPRNEQFILDFEQLQSQFNDPEQLRTITESVLISLVVQCSNHAPRAEFLLFALRTLCRISYINWDTFLPSLLSSVSAAEASLSQGVQAAATAASSATSSQSLVPVSANPVSSSSNYHSTNPTSLLPSAHGIGSPSASGNEPGSLTTFAQVKSLENGQQIARAGQTVRENAMRNSQRIRAAAINSLRQLSCKIILIGVEFSLKPVTHAEIFQYMLNWLVNWDRRDLGTEDSAGTSWRSEKTLAEWLRSCLDVIWLLVKEVESRIPFYELLRSGLQFIENIPDDEALFTLIMEIHRRRDAMAMHMLMLDQHLHCPTFGTHRIVSQVTANVSAEAVQHLRHSPITYPSVLGEPLYGEDLAMFIPKGSLDWERAVRCIRHAIRTTPSPDWWKRVLVVAPCYRPSTQPGPIPGAVFTSDMICEAIIDRIVELLKLTNSGNDCFGIDLVSVTFSPLYADANCWQEWLVFSDIFFFLIKSGCTDFVDFIDKLVSRLNGVDNHILRTNHVTWLLAQIIRVELVMTALNSDAKKVETTRKILSFHREDRNSDPNNPQSVLLDFVSSCQNLRIWSLSTTTRAYLNNEQLLKGKQIDEWWRSKGERMMDYMNMDDRSIGMFWVVSYTMAQPACETVINWLSSAGMAELPGLQPNDRVMMTQEVTPLPMSLLSGFSMNLCLKLALQMEEALFVSQVVPSIAMVETYTRLLLISPHSMFRSHFSQLAQRNASLLSKPGVTLLVLEILNYRLLPLYRYQGKSKTLMYDVTKIISALKGKRGDHRIFRLAENLCMNLILSLRDFFSVKREGKGPTEFTETLNRITIMTLAITIKTRGIADPDHLVYLQTMLEQILATSQHTWSEKTMRHFPSLLRDTLNVRVDKRGLSIQAWQQAETTVINQCTQLLSPSAEPAYVSTYLSHSFPQHRQYLCAGACLLMQGHAENINSTNLARVLREVSPEEVTANIYTLVDVLLHHVHVDLQQGQSLEAVLDKAGANLAFFFWTHEMLPLDIFLLALIDRDDDPHALIIAMSLLKTPDLLLRIKNYCQNRGSPEHWLVTQVFKRNELQKALGNHLSWKDRYPTFFDDIAARLLPVIPLVVYRLIENNAMEQADNLLLAHSHFLAYHPLRFTFVRDILAYFYGHLPGKLVMRMLKVLDLSKIPFSESFPQYISPAGAAVCPPLDYFASLLLNLVNNVIPPLSSSSNCSSRSGSMADILNSSARPLHGKTPGTSQPGPANASEGQKAFYQIQDPGTYTQLVLETAVIEILSLPVSAAQIVSSLVQIIVNIQSTLIQSGNGFHGAANGVGQGSVLPTSPSGGSTDSMSASRSTCLIPGINTASFVSRSGYTCQQLSCLLIQACGLLLAQLPPDFHTQLYLEAARVTRETWWLKDGKRAQGELDSAVGYALMDPTWAAQDNTSTAIGNIVALLHAFFSNLPQEWLDGTNAIIKNLRPVTSVAMLRVVFRIMGPLLPRLASTHTLFNKTLTLLLTALVDVFGKNAQTTAPVEASKIADLIDFLHHIIHYEGQGGAVQTSSKPRPDILALIGRAADSLRPDVQHLLAHLKTNPNSSIYAAAHQQNTAKTNTS >fgenesh2_kg.1__2537__AT1G23240.1 pep chromosome:v.1.0:1:10326608:10328662:-1 gene:fgenesh2_kg.1__2537__AT1G23240.1 transcript:fgenesh2_kg.1__2537__AT1G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQAVELLSVSPKSKGKGGKSDKKELTALEKHVSFFDRNKDGTVYPWETYQGFRALGTGRLLAAFVAIFINMGLSQKTRPGKRFSPLFPIDVKNSHLCMHGSDTDVYDDDGRFVESKFEEIFKKHARTHKGALTAEEIQKMLKTNRDPFDITGWLSDYGEWKILHNLAKDKNGLLSKDSVRDIYDGSLFEKLEKKNSSSSRGKKQKLP >fgenesh2_kg.1__253__AT1G03190.1 pep chromosome:v.1.0:1:930976:935010:1 gene:fgenesh2_kg.1__253__AT1G03190.1 transcript:fgenesh2_kg.1__253__AT1G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKIEDVTVYFPYDNIYPEQYEYMVELKRALDAKGHCLLEMPTGTGKTIALLSLITSYRLSRPDSPIKLVYCTRTVHEMEKTLGELKLLHDYQVRHLGAQAMILAIGLSSRKNLCVNTKVLAAENRDSVDAACRKRTASWVRALSTENPNVELCDYFENYEKAADNALLPPGVYTLEDLRAFGKNRGWCPYFLARHMVQFANVIVYSYQYLLDPKVAGIISKELQKESVVVFDEAHNIDNVCIEALSVSVRRVTLEGANRNLNKIRQEIDRFKATDAGRLRAEYNRLVEGLALRGDLSGSDQWLANPALPHDILKEAVPGNIRRAEHFVHVLRRLLQYLGVRLDTENVEKESPVSFVSSLNSQAGIEQKTLKFCYDRLQSLMLTLEITDTDEFLPIQTVCDFATLVGTYARGFSIIIEPYDERMPHIPDPILQLSCHDASLAIKPVFDRFQSVVITSGTLSPIDLYPRLLSFTPVVSRSFKMSMTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGKLLVEMVSIVPDGVVCFFVSYSYMDGIIATWNETGILKEIMQQKLVFIETQDVVETTLALDNYRRACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVVMYGVPFQYTLSKILRARLEYLHDTFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLRDAHLNLSTDMAIHIAREFLRKMAQPYDKAGTMGRKTLLTQEDLEKMAETGVQDMAY >fgenesh2_kg.1__2541__AT1G23290.1 pep chromosome:v.1.0:1:10338912:10339462:1 gene:fgenesh2_kg.1__2541__AT1G23290.1 transcript:fgenesh2_kg.1__2541__AT1G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPL27A [Source:UniProtKB/TrEMBL;Acc:D7KNE3] MATALKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFFCPIVNLDKLWSLVPEDVKAKSSKDNVPLIDVTQHGFFKVLGKGHLPENKPFVVKAKLISKTAEKKIKEAGGAVVLTA >fgenesh2_kg.1__2543__AT1G23310.1 pep chromosome:v.1.0:1:10349932:10353346:-1 gene:fgenesh2_kg.1__2543__AT1G23310.1 transcript:fgenesh2_kg.1__2543__AT1G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine-2-oxoglutarate aminotransferase 1 [Source:UniProtKB/TrEMBL;Acc:D7KNE6] MALKALDYDTLNENVKKCQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGMLFPADAIARAKHYLSLTSGGLGAYSDSRGLPGVRKEVAEFIQRRDGYPSDPELIFLTDGASKGVMQILNCVIRGDGDGILVPVPQYPLYSATISLLGGTLVPYYLDESQNWGLDVNNLRQSVAQARSQGITVRAMVIINPGNPTGQCLSEANIREILKFCYNEKLVLLGDEVYQQNIYQDERPFISSKKVLMEMGSPFSKGVQLVSFHTVSKGYWGECGQRGGYFEMTNLPPRVVEEIYKVASIALSPNVSAQILMGLMVSPPKPGDISYDQFARESKGILESLRRRARIMTDGFNSCKNVVCNFTEGAMYSFPQIRLPPGAIQAAKQAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEEMPEIMDSFKKFNDEFMTQYDNNVGYSRM >fgenesh2_kg.1__2546__AT1G23330.1 pep chromosome:v.1.0:1:10358663:10360950:-1 gene:fgenesh2_kg.1__2546__AT1G23330.1 transcript:fgenesh2_kg.1__2546__AT1G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPWLMVSAIQVAELSVSSVVHMFYGLYIFSSAVAGDLTQSLSESIFKPKATIEVRQIDQEKTKDVNDLPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDERVLVPDLGSLTSVHDRARELFYYLKGGLVDYGEEHSKACGHSQFGRFYEKGEYQEWDEDHPIHFVGHSAGAQVVRVLQQMLADKMFEGYENTNENWVLSLTSLSGALNGTTRTYIDGIQPEDGKSLKPISLLQICKLGVIMYDWIDIPWLKSYYNFGFDHFNMSRKKTGVRGLVDLLLGNAGPFAASGDWILPDLSIQSSMKLNASLQTFPNTFYFSYATKRTTKPLGMMTVPSGVMGIHPLLFIRVLQMSQWQFPRDIPLPYKGYRDEDWQDNDGALNTISMTHPRIPVEHRSLILRSDSDCLPLLPGIWYYKIVEADHIMFIINRERAGVEFDLIYDSIFERCRKHVFRKAPQTLPNEAQHHERGDQEE >fgenesh2_kg.1__2547__AT1G23340.1 pep chromosome:v.1.0:1:10365695:10368660:-1 gene:fgenesh2_kg.1__2547__AT1G23340.1 transcript:fgenesh2_kg.1__2547__AT1G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSCLIFIFILLLCLFSSSASPPNSTSETEILRPLKEIQKLKLIRKQLQKINKPAIKTIHSSDGDTIDCVPSHNQPAFDHPLLQGQRPMDPPEMPIGYSQENESYENFQLWSLSGESCPEGTIPIRRTTEQDMLRASSVRRFGRKIRRVRRDSSSNGHEHAVGYVSGSQYYGAKASINVWTPRVISQYEFSLSQIWVIAGSFADDLNTIEAGWQISPELYGDTNPRFFTYWTSDAYQATGCYNLLCSGFVQTNNRIAIGAAISPVSSYKGGQFDISLLIWKDPKHGHWWLQFGSGTLVGYWPVSLFTHLREHGNMVQFGGEIVNTRPGGSHTSTQMGSGHFAGEGFGKASYFRNLQMVDWDNTLIPISNLKVLADHPNCYDIRGGVNRVWGNYFYYGGPGKNSKCP >fgenesh2_kg.1__2549__AT1G23350.1 pep chromosome:v.1.0:1:10371254:10371879:-1 gene:fgenesh2_kg.1__2549__AT1G23350.1 transcript:fgenesh2_kg.1__2549__AT1G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7KNF0] MSINPYMTIFLFAATSSQSMAALSLSLADPCTVSDFPALCRGTIKGQTNVNAATDVAIRELMKRTRQAKEIAEKELKRDGGVATCLSNFNSAFDNLDKALTNIKENDGFSLNINLSAALTDYDTCSDAMKETREINVIYKSAGVLYKMADNCLALSTLVKH >fgenesh2_kg.1__2552__AT1G23360.1 pep chromosome:v.1.0:1:10374286:10375978:-1 gene:fgenesh2_kg.1__2552__AT1G23360.1 transcript:fgenesh2_kg.1__2552__AT1G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phytyl-1,4-beta-naphthoquinone methyltransferase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KNF1] MAALVLGIVSPVTFTGKLLPNSRSRRRMVVKCSNERRILFNRIAPVYDNLNDLLSFGQHRIWKNMTVSWSGAKTGDNVLDLCCGSGDLAFLLSEKVGSTGKVLGLDFSSEQLAVAASRQNLKARSCYKCIEWIEGDAIDLPFDDCEFDAITMGYGLRNVVDRLRAMKEMYRVLKPGSRVSILDFNKSNQSVTTFMQDWMIDNVVVPVATVYGLAKEYEYLKYSINGYLTGEELETLALEAGFSSACHYEISGGFMGNLVAER >fgenesh2_kg.1__2553__AT1G23380.2 pep chromosome:v.1.0:1:10376310:10381590:-1 gene:fgenesh2_kg.1__2553__AT1G23380.2 transcript:fgenesh2_kg.1__2553__AT1G23380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMYNFHSAGDYSDKSVLMMSPESLMFPSDYQALLCSSAGENHVSDVFGSDELLSAAASALSSEAASIAPEIRRNDDNVSLSVIKTKIACHPSYPRLLQAYIDCQKKQVGAPPEIACLLEEIQRESDVYKQEVVPSYCFGADPELDEFMETYCDILVKYKSDLARPFDEATCFLNKIEMQLRNLCTGVESARGVSEDGAISSDEELSGGDHELAEDGKQRCEDRDLKDRLLRKFGSRISSLKLEFSKKKKKGKLPREARQALLDWWNLHYKWPYPTEGDKIALADATGLDQKQINNWFINQRKRHWKPSENMPFAMMDDSSGSFFTEE >fgenesh2_kg.1__2555__AT1G23390.1 pep chromosome:v.1.0:1:10391625:10392826:-1 gene:fgenesh2_kg.1__2555__AT1G23390.1 transcript:fgenesh2_kg.1__2555__AT1G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGEEVSIDGDILESILSHLPLLDLDSACQVSKSWNRAVFFSLRRLKTMPWLFVYNQRNSPPYTMPAMAVAYDPKSGEWIEIKNESSSPVEHVSVARSSHSALLYALSPARFSFSIDAFHLTWQHVAAPRVWRIDPIVAVVGRNLIVAGGVCDFEEDRFAVELLDVESGDGEWERCESLPDFLYESASATWLSIAASSEKMYLTEKRSGVTCSFDPETRSWTKLLDLCPGEYSLYSRSIGFARNRLIMAAIIGDEDNPTGIELWEVIVSDESPMNLKFESIGSMPKTCLDKLRGTDSDWPLTSIAFNAVGDMVYIHDAAENGGDIVAAEIDGGKLCKWRTLPNADATWINKSHAGERVIVACSNFGFSDLKLAFRNHLSFSTSKY >fgenesh2_kg.1__2557__AT1G23410.1 pep chromosome:v.1.0:1:10396888:10397506:1 gene:fgenesh2_kg.1__2557__AT1G23410.1 transcript:fgenesh2_kg.1__2557__AT1G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLAVLQFYKVDGSGKVQRLRKECPSVSCGPGTFMASHFDRHYCGKCGTTYVFKKADEE >fgenesh2_kg.1__2566__AT1G23490.1 pep chromosome:v.1.0:1:10417145:10419176:1 gene:fgenesh2_kg.1__2566__AT1G23490.1 transcript:fgenesh2_kg.1__2566__AT1G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIAGKA >fgenesh2_kg.1__2567__AT1G23510.1 pep chromosome:v.1.0:1:10419513:10420686:-1 gene:fgenesh2_kg.1__2567__AT1G23510.1 transcript:fgenesh2_kg.1__2567__AT1G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KNG2] MGVFPGFGSWINQNTQQLPSIKAESERYENVKFKSKSETNTHEERDEMKHQLKLWRDAEQKEQWNDDPPKVKVERRNDLEWGMSTIEMQFTLGLPPQAAYDVLTNPDNQPYSRIIKGRQLLENISRKVVSPDTGKGQLVDTEKAVAWNFLWLSGTIPIIANFIENRQFLTPLYVDSELFCKHEKPRSTEEYEKCSRGQGRIGSKVTLDQMFKPSFLFNLPPISWYVRRITIKTMKTLIEDLQITSAVMRGI >fgenesh2_kg.1__2569__AT1G23520.1 pep chromosome:v.1.0:1:10421454:10424275:-1 gene:fgenesh2_kg.1__2569__AT1G23520.1 transcript:fgenesh2_kg.1__2569__AT1G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILPGFDGLINQNTQQLPKAESKRSENVKSKSRSEISTHEERDEMKEQLKLWRHAEKKEQWQDVPAKVKVETENGLCHVDMVFTLGLPPQAAYDVLTNPDNQPYSRIINHRHELLDNVSRKVLTDDGSSQTVEAEKAVAWKFLSWSGTIPISLDFVENRKNLYAVYMKRKMMFMKTFEGSWKLEPIYVDSERLCKGIDCSSMKPKSREEYRKCSGGQGKIASKVTMNQTFQPSFPFNLPPLSWYIREITIKVTKALIEDLQDMDAKLRGV >fgenesh2_kg.1__256__AT1G03230.1 pep chromosome:v.1.0:1:947348:948799:1 gene:fgenesh2_kg.1__256__AT1G03230.1 transcript:fgenesh2_kg.1__256__AT1G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDGP [Source:UniProtKB/TrEMBL;Acc:D7KCC9] MASSPIIFSVLLLFIFSLSSSAQTSFRPKALLLPVTKDQSTLQYTTVINQRTPLVPASVVFDLGGRELWVDCDKDYVSSTYQSPRCKSAVCSRAGSNSCGTCFSPPRPGCSNNTCGGIPDNTVTGTATSGEFALDVVSIQSTNGSNPGRVVKIPNLIFDCGATFLLKGLATGTVGMAGMGRHNIGLPSQFAAAFSFNRKFAVCLTSGRGVAFFGNGPYVFLPGIQISGLQTTPLLINPVSTASAFSQGEKSSEYFIGVTAIKIVEKTVPINPTLLKINASTGFGGTKISSVNPYTVLESSIYNAFTSEFVKQAAARNITRVASVKPFSACFSTKNVGVTRLGYAVPEIQLVLHSNDVVWRIFGANSMVSVSDDVICLGFVDGGVNARTSVVIGGFQLEDNLIEFDLASNRFGFSSTLLGRRTNCANFNFTSTA >fgenesh2_kg.1__2570__AT1G23530.1 pep chromosome:v.1.0:1:10425137:10426192:1 gene:fgenesh2_kg.1__2570__AT1G23530.1 transcript:fgenesh2_kg.1__2570__AT1G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQGVQQMQQPIVVYPNAYNKQYPDPSSSKKPSSSSGSSGNFGTVFIVLAVIFVLSALACVFGRLCNRESRAAKQQHSKQPKHDKASSKKSREIRPVEREPRERGDMEFGFEMKRPEPIEKPSGRDDERHREDIEFGFDNKRGERGEGRGGGGPPPPSIKHAVRFKLPENGEHHSKGEIRRGGPDFEFRPGH >fgenesh2_kg.1__2571__AT1G23540.1 pep chromosome:v.1.0:1:10426387:10429354:-1 gene:fgenesh2_kg.1__2571__AT1G23540.1 transcript:fgenesh2_kg.1__2571__AT1G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGDSPSSSPPADSAPPPDTSSGNSAPPPVDSSPPSPPADSSPPQPSEPSPPPPDSQLPPLPSILPPITDSPPPPSDSSPPADSTPSPPPPTSNESPSPPADSETPPAPPNEPNDNNPPPSQHVQSPPPSSPSVGPTNPESPPSQSPPAPPSSDPTNSPPAPPSDPTKPPPIQPSGPTTSPPANPKAPPSPFYTAPPKTASGGPIVSPSLTVPSKGTPSSNQGNGDGGGYQGKTMVGMAVAGFAIMALIAVVFLVRRKKKRNIDSYNHSQYLPHPNFSVKSDGFLYGQDPGKGYSSGPGGSMYNSQQQHSSMGNSFGTQGGYPHHQMQSSGTPDSAILGSGQTHFSYEELAEITQGFARQNILGEGGFGCVYKGTLQDGKVVAVKQLKAGSGQGDREFKAEVEIISRVHHRHLVSLVGYCISDQHRLLIYEYVSNQTLEHHLHGKGLPVLEWSKRVRIAIGSAKGLAYLHEDCHPKIIHRDIKSANILLDDEYEAQAIMKSPFLYTHLMTLKVADFGLARLNDTTQTHVSTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELVTGRKPVDQSQPLGEESLVEWARPLLLKAIETGDLSELIDRRLEQHYVEQEVFRMIETAAACVRHSGPKRPRMVQVVRALDCDGDSGDISNGIKVGQSTTYDSGQYNEDIMKFRKMAFGVDNSVESGLYSGNYSAKSSSDFSGNESETRPFNNRRF >fgenesh2_kg.1__2573__AT1G23560.1 pep chromosome:v.1.0:1:10433491:10435116:-1 gene:fgenesh2_kg.1__2573__AT1G23560.1 transcript:fgenesh2_kg.1__2573__AT1G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEISRSEEKSGIGFVKLFENNLVLPGFLVWMNQTIQEPIKAELKRLRNVKELGLVKSVSKIETIYDEHRDEEKLEKQLQAWRDNPSWIDQPPKVVVKSQNGLFCHLNVEADVGLPPELVYNIFTHPDNKRYFKNIKENISRKVLISEGLKQTVEVKQAAAWKFLWWAGTCPIHLIVEENRKNLTSKYKQETTMFMKVFEGCWKVEPLFIDEHLCERSKPKSQEDYHSCSNGRGRIGSKVTMDQMFQPSALLTPPPLSWYIRGITIKTTESMIEDLFAEASRLRGGKGGGYIADQEENNVVFEKSKADDIKERWRSHRRTKRRRFTNPRTM >fgenesh2_kg.1__257__AT1G03250.1 pep chromosome:v.1.0:1:949709:951670:-1 gene:fgenesh2_kg.1__257__AT1G03250.1 transcript:fgenesh2_kg.1__257__AT1G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNVMRRDESLLIDPLRGDTSVSRGLSLEKKIEALESLAGQVSNRRSRRWLNDRILMELVPRLDAQEIRGLFAPPPWGDDVPPSAFSLTNVGEWDKFRNIDMDKEANIMDSLNRSSVRQKGRVDADKTAVLNAWRRIDCRTREALRRSFLPELIEGYENCISQFIEEGGEGDVLDLKVQDPFHRLLLHGVCEYHNLVSTTATEQIGRIAMKTTTIKWKKSGDSGEKPSISLAHFLRMSKEGAW >fgenesh2_kg.1__2582__AT1G23670.2 pep chromosome:v.1.0:1:10456467:10462002:-1 gene:fgenesh2_kg.1__2582__AT1G23670.2 transcript:fgenesh2_kg.1__2582__AT1G23670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFPGLINQNTQQPPEVQSKKSGNVKPKSVSETYPEDRQELKKQLKLWRASEKDSAWYDYPPKLKVTKEKDLYHLNMKFTIGLPPEAVFDILTSYENPSYFTMMKKGKPQEHVSSKVFSDLGLTEKHVRVEKAAPWRFLWWSGSIPVHLTFNESRKDFSPLYMIPKKNVMFMKRFYGKWQIEPWYVDNMRFCKPRLPKNREEYRQCTGGKGLIGSRVTLDQSFQPSSYLNLPPISWYIRRATVKTTKALVEDLQIQAAVIRSV >fgenesh2_kg.1__2587__AT1G23710.1 pep chromosome:v.1.0:1:10478219:10479329:1 gene:fgenesh2_kg.1__2587__AT1G23710.1 transcript:fgenesh2_kg.1__2587__AT1G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAFPSSPPAKCIGDDRLTEISIDFTKLGFPEEEEINKLERSWSKLEESVEFNEDEDDEEDEEEEEFSFACVNGEGSPITADEAFEDGQIRPVFPLFNRDLLFDYENDDDKSDDVSVTDENRPRLRKLFVEDRNGDGDGEETEDSEKEPLGPYCSWSGGTVAEASPETCRKSNSTGFSKLWRFRDLVLRSNSDGRDAFVFLNNNNNVGDKTRTRSSSSSSTAAAENEKKVIITEKKKGKEKTTTTETKKKTMTKSAHEKLYMRNRAMKEEVKHRSYLPYKQVGFFTNVNGLSRNIHPF >fgenesh2_kg.1__2589__AT1G23740.1 pep chromosome:v.1.0:1:10491698:10493348:-1 gene:fgenesh2_kg.1__2589__AT1G23740.1 transcript:fgenesh2_kg.1__2589__AT1G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7KNI6] MNTALATTTATTPILRRDTPLLHHCSLTAKSPVFRLNGVRFGSCVQTVSKKFLRISASSQSTSAAVNVTADASIPKEMKAWVYSEYGGVDVLKLESDIAVPEVKEDQVLIKVVAAALNPVDAKRRQGKFKATDSPLPTVPGYDVAGVVVKVGAAVKDFKEGDEVYANVSEKALEGPKQFGSLGEYTAVEEKLLALKPKNIDFAQAAGLPLAIETADEGLVRTEFSAGKSILVLNGAGGVGSLVIQLAKHVYGASKVAATASTGKLELVRSLGADLAIDYTKENIEDLPDKYDVVFDAIGMCDKAVKVIKEGGKVVALTGAVTPPGFRFVVTSNGDVLKKLNPYIESGEVKPVVDPKGPFPFSRVADAFSYLETNHATGKVVVYPIP >fgenesh2_kg.1__2591__AT1G23760.1 pep chromosome:v.1.0:1:10496406:10498525:1 gene:fgenesh2_kg.1__2591__AT1G23760.1 transcript:fgenesh2_kg.1__2591__AT1G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNFVFLLPFLSRLFHVVMAGGERLTNGGFSPEMNPFTPKASLVRYWNKQIQHQSPGSAFLLSKASPLTAVASATFAKLASENALPDRLPDFCSAANLFCFPDLGPTLEKHSNDVKFSVYDQRNFTNYGGTIHPGGADSFKKYSQNGNVVTDSFRRYSRDAAGHEDKFTGYADNSNVVEENFNSYGTSATGGSGDFKNYQSGVNNPTSRFKAYSDDGNGRAQTFKTYTHEANAGPGQSFTSYGKNGNGAPNEFSSYGVSSNVIGSDFSNYGENSNAANDTFKGYGGDGNVPRNNFKSYGASGNAAVDTFLNYRDKANVGDDSFASYAKNSNFEKVDFVNYGKSINPGSESFTGYGKGAEGNKIGFKTYTQNSTFKDYAKTGVEFAKYNQSRLGGGKTVNKWVEPGKFFRESLLKESSLIWMPDIKDKMPKRSFLPRNIVSKLPFSTSKIAEIKRVFHAKDNSTMAGIITDAVTECERSPTIGETKRCVGSAEDMIDFATSVLGRNVVLRTTESVAGSKQKVMIGKVKGINGGRVTKSVSCHQSLYPYLLYYCHSVPKVRIYESDLLDPKSKAKINHGIAICHMDTSAWGANHGAFMSLGSRPGQIEVCHWIFENDMNWAIAD >fgenesh2_kg.1__2592__AT1G23770.1 pep chromosome:v.1.0:1:10499503:10500463:-1 gene:fgenesh2_kg.1__2592__AT1G23770.1 transcript:fgenesh2_kg.1__2592__AT1G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KNI9] MEGPVPMDVVELAATKSKRLSIPFFLKNVLLEKCGDTSDLTALALSVHAVMLESGFVLLNHGSDKFSFSKELLSVSLRYTLPELIIRKDTNTIESVTVKFQNLGPRLVVYGTLGGYGGRVHMTYLDKRRFLPVIDSVVDTLKFEKQGSSSYYREVFMLWRMVKDDLVIPLWIGLCDKAGLESPPCLMLLPTELKLKILESLPGVSIGTMACVCTEMRYLASDNDLWKQKCLEEGKDCLWKLLTGNVDWKRKFASFWREKRLSLLARRNPSNPRFPPIIRDRGDPRYPFDRLVPRDPFDRFSPRDPFYHFGPRDPRDLGPF >fgenesh2_kg.1__2595__AT1G23800.1 pep chromosome:v.1.0:1:10514446:10517333:-1 gene:fgenesh2_kg.1__2595__AT1G23800.1 transcript:fgenesh2_kg.1__2595__AT1G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVSSLLSRSFMSSSPSLFSLRGMNRGAQRYSNAAAAVENTITPPVKVEHTQLLIGGRFVDAVSGKTFPTLDPRNGEVIAQVAEGDAEDVNRAVAAARKAFDEGPWPRMTAYERSKILFRFADLIEKHNDEIAALETWDNGKPYEQSVQIEVPMLARVFRYYAGWADKIHGMTMPGDGPHHVQTLHEPIGVAGQIIPWNFPLLMLSWKLGPALACGNTIVLKTAEQTPLSALLVGKLLHEAGLPDGVVNIVSGFGATAGAAIASHMDVDKVAFTGSTDVGKIILELASKSNLKAVTLELGGKSPFIVCEDADVDQAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFVEKAKARALKRNVGDPFKSGIEQGPQVDSEQFKKILKYIKYGVEAGATLQAGGDRLGSKGYYIQPTVFSDVKDDMLIATDEIFGPVQTILKFKDLDEVIARANNSRYGLAAGVFTQNLDTAHRLMRALRVGTVWINCFDVLDASIPFGGYKMSGIGREKGLYSLNNYLQVKAVVTSLKNPAWL >fgenesh2_kg.1__2598__AT1G23830.1 pep chromosome:v.1.0:1:10522214:10523304:-1 gene:fgenesh2_kg.1__2598__AT1G23830.1 transcript:fgenesh2_kg.1__2598__AT1G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKSEEKLSVIELLKLAAKLLFGNSNLLLFLCLCSLPLFCFLIFFELSLQTTVSLTSQFLFKLLTLGEDLPENDLILISEKKNDMIPWLIQTSLLYFFPYTILDLLTTTTIVAASSIVYTSKEEPLGLLHLVGRSVKICQNRVGGCLITSLYVLLWSTSVFLFLFLFFFLQFLSGSTNYVSIPYLSREYKRFHYQAAGLFDVVVVLTLLIQCTLFIVLTAKLSKWSSGWNMGLVVSVLEEDEDDQGIYGSDALSLSAWYRKGHEKRDLWLMLMFLVFSLAMRMPCLYSKCSASGNGVMYTGFYVGLICVGNLLKWVTCLACYHDCKTMVSRKKHDVEQAKILAA >fgenesh2_kg.1__25__AT1G02050.1 pep chromosome:v.1.0:1:80941:82330:1 gene:fgenesh2_kg.1__25__AT1G02050.1 transcript:fgenesh2_kg.1__25__AT1G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone synthase family protein [Source:UniProtKB/TrEMBL;Acc:D7KP48] MSNSRMNGVEKLSSISTRRVANPGKATLLALGKAFPSQVVPQENLVEGFLRDTKCEDAFIKEKLEHLCKTTTVKTRYTVLSREILDKYPELTTEGSPTIKQRLEIANEAVVEMALEASLGCIKEWGRPVEDITHIVYVSSSEIRLPGGDLYLSAKLGLRNDVNRVMLYFLGCYGGVTGLRVAKDIAENNPGSRVLLTTSETTILGFRPPNKARPYDLVGAALFGDGAAAVIIGADPRECEAPFMELHYAVQQFLPGTQNVIDGRLTEEGINFKLGRDLPQKIEENIEEFCKKLMGKAGGDESMEFNDMFWAVHPGGPAILNRLETKLKLEKEKLESSRRALVDYGNVSSNTILYVMEYMREELKKKGDEAQEWGLGLAFGPGITFEGLLIRSLTSP >fgenesh2_kg.1__2600__AT1G23860.3 pep chromosome:v.1.0:1:10526414:10528324:-1 gene:fgenesh2_kg.1__2600__AT1G23860.3 transcript:fgenesh2_kg.1__2600__AT1G23860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVYVGNLDPRVTERELEDEFRIFGVLRNVWVARRPPGYAFLEFDDERDALDAIRALDRKNGWRVELSHKDKGGRGGGGGRRGGIEDSKCYECGELGHFARECRRGRGSVRRRSPSPRRRRSPDYGYGRSYSRSPPYRGSRRDSPRRRDSPYGRRSPYANG >fgenesh2_kg.1__2603__AT1G23880.1 pep chromosome:v.1.0:1:10537804:10540994:1 gene:fgenesh2_kg.1__2603__AT1G23880.1 transcript:fgenesh2_kg.1__2603__AT1G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KNK0] MSRHFLFLGIIILILSGFVASAPSPILLAEIVNSFISNHGTSLMKWLWSLSFKTTTITAVSTKSMVKFENGYSVETVLDGSKLGIEPYSLQVLPNGELLILDSQNSNIYKISSSLSLYSRPRLITGSPEGYPGHVDGRLRDARLNNPKGLTVDDRGNIYVADTVNNAIRKISEAGVTTIAGGKMVHGGGHVDGPSEDAKFSNDFDVVYLGSSCSLLVIDRGNQAIREIQLHFDDCADQYGSGFPLGIAVLVAAIFFGYMLALLQRRLSSIISYHTDQEVFEAVPDQDPIKPVRPPLIPTGDEQEKQEESFLGTLQIFISNVWVFSVELFSGMFPGLRKKQTVGFNFNHQETKHSAFSTTSWPIQESFVIHNKDEPPPIESRNPTPGKIYPFMSKDAAEKMQQLRQSRALYRSLDAEFLQEQQQQKHQQHHRRHHATIPHTVYERSSEKSNEIVFGPGQEQDHMNTHQNIHYRAHQFVSYPYGYYS >fgenesh2_kg.1__2605__AT1G23890.2 pep chromosome:v.1.0:1:10541153:10543097:-1 gene:fgenesh2_kg.1__2605__AT1G23890.2 transcript:fgenesh2_kg.1__2605__AT1G23890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KNK1] MAWGFSSVSLFLFVVFNLVSGKIVLEDGYEVTTVVDGHKSGLNPYTIHALPGSSNLIVLDSSGSTFYTTSFPLSFDSVIHRFAGDGTSGYVDGKAGNSRFKKPRGFAIDAKGNVYVADRSNKAIRKISSSGYVTTIAGGISKEFGHRDGPAQNATFSSDFEITFVPQRCCLLVSDHGNEMVRQINLKEEDCLESSHSNLGAYSLWSIGIFLSCILGVAIGFAVRPYIIRHEEVNHLSFIMTGKLLLTKLGEQVLTFFSYIRNRVAESTVYSVLSRLVMMIVSHLSLMYSALSRLVSSMVFSLFFMCQPNNVAILDKTCSVSDPKSPGCGNPKPPLSLKPSDDHMDLISFDDEQEPDKDCSNEETLPHATIDDIIKVHVEGFSKMAEKDAATHGSSSTE >fgenesh2_kg.1__2606__AT1G23900.2 pep chromosome:v.1.0:1:10543431:10549776:1 gene:fgenesh2_kg.1__2606__AT1G23900.2 transcript:fgenesh2_kg.1__2606__AT1G23900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAMMA-ADAPTIN 1 [Source:UniProtKB/TrEMBL;Acc:D7KNK2] MNPFSSGTRLRDMIRAIRACKTAADERAVVRKECADIRALINEDDPHDRHRNLAKLMFIHMLGYPTHFGQMECLKLIASPGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYVVGLALCALGNICSAEMARDLAPEVERLIQFRDPNIRKKAALCSTRIVRKVPDLAENFVNAAASLLKEKHHGVLITGVQLCYELCTISDEALEYFRTKCTEGLIKTLRDMTNSAYQPEYDVAGITDPFLHIRLLRLLRVLGQGDADASDLMTDILAQVATKTESNKNAGNAVLYECVETIMAIEDTNSLRVLAINILGRFLSNRDNNIRYVALNMLMKAITFDDQAVQRHRVTILECVKDPDASIRKRALELVTLLVNENNVTQLTKELIDYLEISDEDFKEDLSAKICFIVEKFSPEKLWYIDQMLKVLCEAGKFVKDDVWHALIVVISNASELHGYTVRALYKAVLTYSEQETLVRVAVWCIGEYGDLLVNNVGMLGIEDPITVTESDAVDVIEEAITRHNSDSTTKAMALVALLKLSSRFPSISERIKDIIVKQKGSLLLEMQQRAIEYNSIVDRHKNIRSTLVDRMPVLDEATFNVRRAGSFPASASTMAKPSVSLPNGVEKPVVAPLVDLLDLGSDDILATPSSSGPDFLQDLLGVDLGASSSQPGATLAPRTGTDLLMDILSIGTPSPAQNSTSSVDLLSTADVNSNPSIALDTLSSPAPPHVATTSSNGMFDLLHGLSPSPSKEATNGPAYPPIVAYESSSLKIEFTFSKAPGNLQSTNVQATFTNLSPNTFTDFIFQAAVPKFLQLHLDPASSNTLPASGNGAITQNLRVTNSQHGKKSLVMRMRIGYKLNGKDVLEEGQVSNFPRGL >fgenesh2_kg.1__2612__AT1G23970.1 pep chromosome:v.1.0:1:10562797:10589812:-1 gene:fgenesh2_kg.1__2612__AT1G23970.1 transcript:fgenesh2_kg.1__2612__AT1G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEEESLSTAEEESLSTAEEGYSVQRDFWRQAAESDGFDLENVKLRPGMNGVVTGLIPYDCQLYKRYPYRILVNLYAKVGLHRYNLFKGTSFELATLMKFNMLQNYMSSFYITLLAHDPDPAASSLQKTFQVRVDEQDYGTLHIRCSIARPKAEVSTEMSFRPHFHGGALADGIFKGELPDWPSDDALNDGKRFYVSGKPMIGFLCGARAYGIFKGELPDWPSDDAFNDGKRFYLVKESEWQSTDWISMYLELVITTTDRSITETRQKTEVLSQLEIVKVAIETANEDVEPPNERLKAKSAHVYITFKGLAEPRAPRRVFENGEHVERQAIVRRVMDHTGYLTLKGKLCGGEYIKKRSLALKSGEESQDCKKQARVG >fgenesh2_kg.1__2615__AT1G23980.1 pep chromosome:v.1.0:1:10608168:10609476:-1 gene:fgenesh2_kg.1__2615__AT1G23980.1 transcript:fgenesh2_kg.1__2615__AT1G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKIHNFQLQNENLNQQISPSPSPITVNHQSNDSSSSSSSSGGNNRISPIVLFIIVLLSVIFFICSILHLLVRYYLKKKRSSLSSSPNESDQNPEFSESDTYQRQLQQLFHLHDSGLDQALIDALPVFLYKEIKGTKEPFDCAVCLCEFSEDDKLRLLPNCSHAFHIDCIDTWLLSNSTCPLCRGTLFSLGHQFEYPDFNFGFFAGDDGGVRVSPVQKPADNEIGKRVFSVRLGKFRSSNINNNGEVVVGGGGETSGGCVSSSSLDNRRCFSMGSYQYIVAESDLVVALCPNNEGLKNVKEGESNNKDVEGKKINMRSKGESFSVSKIWQWSNKRSKFPNNPSETNLVVCGSSSSYACSGSGSAVAAGLALNGRRFQGP >fgenesh2_kg.1__2616__AT1G24020.1 pep chromosome:v.1.0:1:10624801:10625768:-1 gene:fgenesh2_kg.1__2616__AT1G24020.1 transcript:fgenesh2_kg.1__2616__AT1G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I allergen family protein [Source:UniProtKB/TrEMBL;Acc:D7KNK9] MGLSGVLHVEVEVKSPAEKFWVALGDGINLFPKAFPNDYKTIQVLAGDGNAPGSIRLITYGEGSPLVKISAERIEAVDLENKSMSYSIIGGEMLEYYKTFKGTITVIPKDGGSLLKWSGEFEKTSHEIDDPHVIKDFAVKNFKEIDEYLLKQSIA >fgenesh2_kg.1__2617__AT1G24030.2 pep chromosome:v.1.0:1:10628969:10631208:1 gene:fgenesh2_kg.1__2617__AT1G24030.2 transcript:fgenesh2_kg.1__2617__AT1G24030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KNL0] MHFPLVSAWNKRRRSKSYDTDPYGIKGSTEQQSAGKSKIKRLSQGSEDSGHQFIPSRRWRRPQVLSAMRSPRQRRLWSSIQRHSKNRRGFVMLQTLFYGRGAIRVVAIKKMDLPTFKKADGEREFRVEVDILSRLDHPNLVSLIGYCADGKHRFLVYEYMQNGNLQDHLNGIKEAKISWSIRLRIALGAAKGLAYLHSSSSVGIPIVHRDFKSTNVLLDSNYDAKISDFGLAKLMPEGKDTCVTARVLGTFGYFDPEYTSTGKLTLQSDIYAFGVVLLELLTGRRAVDLTQGPNEQNLVLQVRNILNDRKKLRKVIDAELPRNSYSMEAIAMFADLASRCIRIESKERPSVMDCVKELQLIIYTNSKGGLGGTIPTFRRL >fgenesh2_kg.1__261__AT1G03290.1 pep chromosome:v.1.0:1:970279:973622:1 gene:fgenesh2_kg.1__261__AT1G03290.1 transcript:fgenesh2_kg.1__261__AT1G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGSVYRSLTEIFPQIDARILRAVAIEHPKDADEAAAVVLSEIIPSFSSNLSHNLTQSSNKSSGSISDREVERGLEDVVSRCRPFLGASGSKPSTSSSCSSSSSETLPLVVVRDHNTRALSTDLVSNMNEPTNLQPNVGLDVCHKDLESEEVQSLKKARGKEHGNYDFFGRCFDVKSNAKLGLLVPEDDIASVVSAISLDNIKLTSDFWEDLCFGMTWNQAENAVSKLVDSTPGDTTTTTQQGSCFEVDSGSTNLVDETSNRSLVSENGDTEIGDTFSTSTHVCSVDHLEEIIEDAKSNKKTLLTEMETVTNLMREVELQEKDAEKSKEEAARGGLDTLQKVEELKKMLEHAKEANDMHAGEVYGEKSILATEVKELENRLLNLSEERNKSLTILDEMRGSLEIRLATALEMKKTAEQEKKNKEDSALQALVEQEANMEKVVQESKLLQQEAEENSKLREFLMDRGQIVDSLQGEISVICQDVKLLKEKFENRVQLTNLISSSLTSSCGSSMRSLVLGNPSERLNGVPETSSNKNFPEAAASFMNKEKDDCRDLLEDGWDIFDKETEQVVWY >fgenesh2_kg.1__2621__AT1G24060.1 pep chromosome:v.1.0:1:10646188:10646490:-1 gene:fgenesh2_kg.1__2621__AT1G24060.1 transcript:fgenesh2_kg.1__2621__AT1G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHRNILLGFSVYLSAGTKLTIPPEAKQHIAPSDNNKRKRAKCNDPAMIKITSDNNKRKRAKCIDPAMIKIASDNTDNNKRKRAKCDDPDYDPDSEKKLK >fgenesh2_kg.1__2626__AT1G24120.1 pep chromosome:v.1.0:1:10664031:10666998:-1 gene:fgenesh2_kg.1__2626__AT1G24120.1 transcript:fgenesh2_kg.1__2626__AT1G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHRSKSEKKDADKQLRRDSYEVLGVLRNSTDQEIKSAYRKLALKYHPDKTANDPVAADMFKEVTFSYNILSDPEKRRQYDSAGFEAVEAESQELELDLSSLGAVNTVFAALFSKLGVPIKTSVSATILEEALNGRVSVDPLVIGQAVSKKVEKQCAHFYAVTISEEEVSAGLVCRVESSSKSKFKLLYFDQEANSGLSLALQEDSKRTGKITSAGMYFLGFPVYRLDHTVNSMAQAKDPETAFFKKLDGFQQCEVTELKAGTHVFAVYGDNFFKSVSYTIQVLCAAAFTQEKEELRSVEAQILTKRAELAKFETEYREVLAQFTDMTSRYAQEMQSIDELLKQRNEIHSAYTTIPLMKRSSSKNRLRKSSFKKAAAKAPAPTEQEEDEEEEEHEEEESSRQKNKKPSTCDRSEALKKKSKWFNLHLKLDKKKPC >fgenesh2_kg.1__262__AT1G03310.2 pep chromosome:v.1.0:1:976879:979676:1 gene:fgenesh2_kg.1__262__AT1G03310.2 transcript:fgenesh2_kg.1__262__AT1G03310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATISA2/BE2/DBE1/ISA2 [Source:UniProtKB/TrEMBL;Acc:D7KCD8] MAAWSPSGVTRTWKFPSARFFTGKNKIKLGSETLMFTRKRFMGDLVTSALKSYQLSKICASKTSIELREALSTKRAQVDDLKKVTSYSFRTKSGALVKVKVEQKREKYSIMVYVSSLELTGDDKSRLVMVWGVYRSDSSCFLPLDFENSSQDSQTHTTETPFVKSSLSELMLGLEFDGKESPFYLSFHLKLVSGRDPDGQEMLTHRDTDFCIPVGFTAGHPLPLGLSSGPDDDSWNFAFFSRNSTNVVLCLYDDTTTNKPALELDLDPYVNRTGDVWHASIDNTWDFVRYGYRCKETAHSEEDVDVEAEPIVLDPYATVIGKSVSQKFLGSLFKNPSFDWGEDVSPNIPLEKLIVYRLNVKGFTQHKSSKLPSNVAGTFSGVAEKVSHLKTLGVNGVLLEPIFSFSEQKGPYFPFHFFSPMDIYGPSNSLESAVNSMKEMVKKLHSQGIEVLLEVVFTHTTDSGALHGIDDSCYYYKGRANDLDYKSYLNCNYPVVQQLILESLRYWVTEFHVDGFCFINASSLLRGVHGEQLSRPPLVEAITFDPLLAETKLISDCWDPLEMMPKEVRFPHWKQWAELNTRYCRNVRNFLRGRGVLSDLATRICGSGDIFTDGRGPAFSFNYISRNSGLSLVDLVSFSGPELASELSWNCGEEGATNKSAVLQRRLKQIRNFLFIQYISLGIPVLNMGDECGISIKGSPLLESRKPFNWNLLASAFGTQITQFISFMTSVRERRSDVFQRRNFLKPENIVWYANDQTTPKWEDPTSKFLALEIKSESEEEETASLVEPTEPKSNDLFIGFNASDHPESVILPSLPDGSKWRRLVDTALPFPGFFSVEGETVVVAEEQLQQLIVYEMEPYSCTLFETINATA >fgenesh2_kg.1__2631__AT1G24160.1 pep chromosome:v.1.0:1:10708060:10710923:-1 gene:fgenesh2_kg.1__2631__AT1G24160.1 transcript:fgenesh2_kg.1__2631__AT1G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMQVGVATIDDKGFYGLMEDNPVNAVASSNPSLQVSVSFGRFENDSLSWEKFSAFSPNKYLEEVGKCATPGSVAQKKAYFEAHYKKIAERKAEIIDQEKQMDKNASFRSIVSDQGSVERENGGLVVDSEVDDGSNGQFTCDEDKHVTDIAAEVNELSFDESNEETIVVKECQSSVDQVKEEVKDTVDSPVLEKSAEIGLMDKKSEVVVHTQEKPEEVLQVDEKEETEVREEVRDNISLPNDTEDTNETPMKVVKKEKKPNLIKKNDGNVRINPTRGSPKPNQVTKKPETNKIVRKTPPSKEIRNMMKATKKPATPISKAPQGFSAPRVYKPAPQKTSLSTSHSSMKKEKVSPLLSKKQTAPKSLHISMNLDPPASDPTALTSTRKSLIMERMGDKDIVKRAFKSFQKSFDFKSSDDVINTAVKQNPAKPTSIPSVATRQKENGRPTKASSMEKRSGTTAYRSPSHGLKSNETTEKQKKELSKSGARPVEKTGLQKNPKAGGVIDVKTRRDSLNPKAKPVQGSLPMRTLPKSSLDKVL >fgenesh2_kg.1__2632__AT1G24170.1 pep chromosome:v.1.0:1:10711837:10713424:-1 gene:fgenesh2_kg.1__2632__AT1G24170.1 transcript:fgenesh2_kg.1__2632__AT1G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KPH2] MSSRFSLTVVCLIVLLPFAVGIRLIPARITSVGGGGGGGNGFSKLGPFMEAPDYRNGKECVSSSVNRDNFVSSSSNDPSLVHIAMTLDSEYLRGSIAAVHSVLRHASCPENVFFHFIAAEFDSASPRVLSQLVRSTFPSLNFKVYIFREDTVINLISSSIRQALENPLNYARNYLGDILDRSVERVIYLDSDVITVDDITKLWNTVLTGSRVIGAPEYCHANFTQYFTSGFWSDPALPGLISGQKPCYFNTGVMVMDLVRWREGNYREKLEQWMQLQKKKRIYDLGSLPPFLLVFAGNVEAIDHRWNQHGLGGDNIRGSCRSLHPGPVSLLHWSGKGKPWVRLDEKRPCPLDHLWEPYDLYKHKIERAKDQSLLGFASLSELTDDSSFL >fgenesh2_kg.1__2634__AT1G24190.1 pep chromosome:v.1.0:1:10717990:10724441:-1 gene:fgenesh2_kg.1__2634__AT1G24190.1 transcript:fgenesh2_kg.1__2634__AT1G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSAQKLTTNDALAYLKAVKDKFQDQREKYDEFLEVMKNFKSQRVDTAGVITRVKELFKGHQELILGFNTFLPKGFEITLQPEDGQPPLKKRVEFEEAISFVNKIKTRFQGDDRVYKSFLDILNMYRKDSKSITEVYQEVAVLFRDHTDLLVEFTHFLPDTSATPSIHSVKTSARDRGMSLPDKKDRIITPHPDHDYGTEHMDRERPMKKENKEHMRGNNNENEQRDARDLEPDSKKEQFLNKRQKIHIRGDDSAEISNQVREGNKFFGAVPSSSTYDEKGAMKSYSQDLAIVDRVKGKLNASEYQEFLRCLNLFSKEIISRPELQSLVGNLIGVYPDLMDSFIEFLVQCEKTEGLLSGILTKKSLWSEGKYPQPSLDNDRDQEHKRDDGFRDRDHDKERLEKAAANLKWAKPISELDLSNCEQCTPSYRLLPKNYPISIASQKTELGKLVLNDHWVSVTSGSEDYSFSHMRKNQYEESLFKCEDDRFELDMLLESVNSTTKHVEELLAKINSNELKTNSPIRVEDHLTALNLRCIERLYGDHGLDVMDVLKKNVSLALPVILTRLKQKQEEWARCRSDFDKVWADIYAKNYYKSLDHRSFYFKQQDSKSLSMKALLAEIKEITEKKREDDSLLAFAAGNRLSISPNLEFDYPDPDLHEDLYQLIKYSCAEMCSTEQLDKVMKIWTTFVEQIFGVPSRPQGAEDQEDVVKSINQNVKSGSLSAGESEGSPHNYASVADSRRSNTSQKANEHNQLGQPSNSVRNGAAVRTSDALCETAQHEKMLKNVVTSGERPESKQAVSIEHVQDSTALAVDGLLDQSNGGSSIVHMTGHNNNNLKPVTCGTELELKMDDGNGPKLEVGNKRLLSNGISVEITSTQELVGNSKVEREEGELSPNGDFEEDNFAVYEKTGLETTSKANDNTGNNISGDRSREGEPSCLETGAENDAEGDENVAKSSEDSRNAYENGDVSGTESGGGEGPEDDLDRNSKGESECEAEGMADAHDAEEDGSALPFSARFLLHVKPLAKYVPSTIALHDKEKDSLKNSQVFYANDSFYVLFRLHRILYERILSAKVNSSSPEGKWRTSNTKNPTDSYARFMTALYNLLDGTSDNAKFEDDCRAIIGTQSYILFTLDKLIHKFIKHLQGVAADEMDNKLLQLYAYEKSRRPETIFDAVYYDNARVLLPDENIYRIECRLSTPAKLSIQLMCNGLDKPDVTSVSIDPTFAAYLHNDFLSIQSNAREDRRIYLNRNKRKVCGKDEQLYSTDGVKIKNGLECKIACGSSKVSYVLETEDLLVRVKKRRKTVCYNEHSWVWQMRLQCYQTNFL >fgenesh2_kg.1__2636__AT1G27240.1 pep chromosome:v.1.0:1:10789440:10790312:-1 gene:fgenesh2_kg.1__2636__AT1G27240.1 transcript:fgenesh2_kg.1__2636__AT1G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRVQVEPSLSDAHSYITAVKEAFHDEPTKYEEFIKLMIDIRDHTVDKATGLSNMTELIKGHPRLLRGLSFFFPQVKRSISPKANNTIHHEANRTIILKAEATNPPEAEHRGAKSKYTIRKRIEHDWENFMNMLKTRFRSLDTHVVESFLKIMIMHNEGKKSEKEVQEEVVDLLYYHEDLIDKFFRYFNMRK >fgenesh2_kg.1__2642__AT1G27150.1 pep chromosome:v.1.0:1:10868381:10871462:-1 gene:fgenesh2_kg.1__2642__AT1G27150.1 transcript:fgenesh2_kg.1__2642__AT1G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7KPK2] MEKTLRCVRWGYEVNTSSDDCIDAINSYFQQVLSYGRKRKVILEAPLYDNDCVLGNILAAHYLSSSDPSRANSYVEAAASNLEQSTPYEQAVYEAVTYLISEDRDDDLAFEMHTKLLNRFPKDLASLKRAQLLCFYMGQPEPFLGLVQQVLPANQEESYIHGILAFPLLELGRMEEAAAASKKGYEINKEDSWAHHCLCHVLQHECRFKEAVEFMEALAGSWPSCSSFMYTHNWWHVALCYLEGGSPMSKVEEIYDHHIWKELEKDDAVPPEVYLNALGLLLRLDVRDALDGFEDRLKNLAVRLTNQANWYLEWHLDILIVWALAKVGETSRAHELLEGLKSRLSRMNKKKQQVMQKGIQLGEAVFEFARGNYEKALELFGSEFNAIGYKIVGASDEQIDVFNEMWCQLLLKTGQSSTAKEVIRERIKIRDGVPFMWRLLEKSYSMEGNAEAESSAGERAKKLESCYF >fgenesh2_kg.1__2643__AT1G27135.1 pep chromosome:v.1.0:1:10874301:10874677:-1 gene:fgenesh2_kg.1__2643__AT1G27135.1 transcript:fgenesh2_kg.1__2643__AT1G27135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQTALICIVIFSFFAMHECERLEAIEKENSRKIYVPPCYKTICSFTLKKDCWCCFEPVVHKDLCWGVKDYPNAKELCFDECSKKI >fgenesh2_kg.1__2644__AT1G27130.1 pep chromosome:v.1.0:1:10876818:10878232:-1 gene:fgenesh2_kg.1__2644__AT1G27130.1 transcript:fgenesh2_kg.1__2644__AT1G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase 12 [Source:UniProtKB/TrEMBL;Acc:D7KPK4] MAQNETVKLIGSWSSPYSLRARVALHLKSVKYEYLDEPDVLKEKSELLLKSNPIHKKVPVLLHGDLSICESLNVVQYVDEAWPSVPLILPSDAYDRASARFWAQYIDDKCFAAVDAVVGAKDDEGKMAAAGKLMECLAILEETFQKSSKGLGFFGGETIGYLDIACSALLGPISVIEAFSGVKFLRQETTPGLIQWAERFRAHEAVKPYMPTVEEVVAFAKQKFNVQ >fgenesh2_kg.1__2645__AT1G27120.1 pep chromosome:v.1.0:1:10879588:10882397:-1 gene:fgenesh2_kg.1__2645__AT1G27120.1 transcript:fgenesh2_kg.1__2645__AT1G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KPK5] MKKSKLENSSSQIRFGLVQFLLAVLLFYFLCMSFEIPFIFRTGSGSGSDDGSSSSFADALPRQMVVGGSSREANWVVGEEADPHRHFKDPGRVQLRSPERKMREFKSVSEIFVNESFFDNGGFSDEFSIFHKTAKHAISMGRKMWDGLDSGLIKPDKAPVKTRIEKCPDMVSVSESEFVNRSRILVLPCGLTLGSHITVVATPHWAHVEKDGRDKTAMVSQFMMELQGLKAVDGEDPPRILHFNPRIKGDWSGRPVIEQNTCYRMQWGSGLRCDGRESSDEEEFVDGEVKCERWKRDDDGGGNNGDGFDESKKTWWLNRLMGRRKKMITHDWAYPFAEGKLFVLTLRAGMEGYHISVNGRHITSFPYRTGFVLEDATGLAVKGNIDVHSVYAASLPSTNPSFAPQKHLEMQSIWKAPSLPQKPVELFIGILSAGNHFAERMAVRKSWMQQKLVRSSKVVARFFVALHARKEVNVDLKKEAEYFGDIVIVPYMDHYDLVVLKTVAICEYGVNTVAAKYVMKCDDDTFVRVDAVIQEAEKVKGRESLYIGNINFNHKPLRTGKWAVTYEEWPEEYYPPYANGPGYILSYDIAKFIVDDFEQKRLRLFKMEDVSMGMWVEKFNETRPVAVVHSLKFCQFGCIEDYFTAHYQSPRQMICMWDKLQRLGKPHCCNMR >fgenesh2_kg.1__2646__AT1G27100.1 pep chromosome:v.1.0:1:10892812:10896928:1 gene:fgenesh2_kg.1__2646__AT1G27100.1 transcript:fgenesh2_kg.1__2646__AT1G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFTKGNNVKLRSHLDKFLVADDDQETIRQSRKGDARRAVWTVEPVVDKPNLIRLRSSHGTYLTASNKPLLLGMTGEKVTQTASFNKLMDWQTQWEPERDGFQVKLKSWCGKWMRANGGTPPWRNSVTHDEPHTSKTKNWLIWDVITLDGSDLENMSDGDESSVSSPVGSEFGSEPGSPAHGVSTKSSISRFASLGLPMSPRWSSKPKSTTSSFNQKETTVSVSAMEFFQRAKAIRMRNSHNKYLTADDDEETITQNRNGSTKNARWIVEPVRDSFHVIRLKSCYGKYLTASNERFLLGATGKKVIQLKLSRPDSSVEWEPLREGSKIKLRTRSGNFLRANGGLPPWRNSVTHDVPHLSATQDSISWDVDVVEILTDSEFKTESPKAPPKTTSPPPHRRPTNSPLSAESPRTSSSLSDRSDSDSVESPPKSDGRTIHYHIADEEGHVEDETTVGYAFTFKGNSVAELTQTLREETCMEDAVVCTRSQINGKLFPLRLQLPPNNGTLHVVVLPSSASL >fgenesh2_kg.1__2647__AT1G27090.1 pep chromosome:v.1.0:1:10898030:10900401:1 gene:fgenesh2_kg.1__2647__AT1G27090.1 transcript:fgenesh2_kg.1__2647__AT1G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSEASEGPVMGLINKRLRALRKKYNRITQMEESISQGKTLNKEQEEVLRSKPAVVILIEELEKIRAPLSAAVAEEISLATQHNRAPSDQTTAPEQKEVTDIPQEVSGGDGGAKLEDLVNLLYFGSLFDVKSQNEFTSIMLTRTHERSCCLSYDYVTDDATDLLGDRDLDSISQLWSLMVSRPVDSSLSHKNALERCVEHAKLWLANSEQPIESNCNISYAALREKLKKIMGSDYFTTTPEMKAPVDVAAAAGNYTSYQVPVDVEASGHYQQKEEDASNSKEEESVVNDQSPQDERQKVESVTEGEVIHGQQEQGYTQVGAENAKRDYVPRGSYQNQRGRRGARRGGGGGYQNGRGGRGGGGGYQNGRYETYDQSGGNGYQRNYYNNRGRGRGGGGGGNGHSYNNYQDPNVTVAS >fgenesh2_kg.1__264__AT1G03320.1 pep chromosome:v.1.0:1:979972:980689:1 gene:fgenesh2_kg.1__264__AT1G03320.1 transcript:fgenesh2_kg.1__264__AT1G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGCCSKCQVKAIKKKNSKKTEEESREEDVKEKQKVSESENPKKDSNEEKGSHEKTDQKDKKKKSILTWQHSSTKKEKDVGKKKDLVSEKNDVNPPCYTAKSMIYHGGPNPLNRWPISAAPRSHYPPFSMGAMYGPYGGCGPCGGPIYPYQSMPRATMFNGVHISPYPPMAAAYPPMAAAGPFPYWQTRPYMDTNPMKRYTSYADNYSYCFI >fgenesh2_kg.1__2653__AT1G27020.1 pep chromosome:v.1.0:1:10944262:10946850:-1 gene:fgenesh2_kg.1__2653__AT1G27020.1 transcript:fgenesh2_kg.1__2653__AT1G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDLPYASSFKGGSETFLQNVFESILKTYLRKNPMAKTIWELVKSVDNEKISYDHFFFRTFKVDGYGIDSLSSFFMDYGYKVGGRLDFPKKKVQVLWLSPPDIHVPDNGYGIGNGPLPRLVIAELLVDELSHESQEIIRKYLKPEGGKQAVLSSTLGSIIWEKPTSTDFNQLAKESEFAAWTLVHGYTMNHLAFAVHRLKHRFSDIKCVKEYFEENGFELNKDGGVLKVSQDGLLLQVSAMSEKLVVEFADGVTQTVPASYIEFVERLVLPQFKDMPRDEIKELHRREGLEQASAYHIMESTRFTA >fgenesh2_kg.1__2656__AT1G26970.1 pep chromosome:v.1.0:1:10979512:10981412:-1 gene:fgenesh2_kg.1__2656__AT1G26970.1 transcript:fgenesh2_kg.1__2656__AT1G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFDSSAKVDSRESPCRGLSRISAKRSQSSRFSSLTIQSPSYNDDSSLASLQMPRSEGELLASPTLKAFTFNELKTATRNFRPDSVIGEGGFGYVYKGWIDERTLSPSKPGSGMVVAVKKLNEDGFQGHRQWLAEVDCLGRLHHINLVKLIGYCSKGDFIRLLVYEYMPKGSLENHLFRRGAEPIPWKTRMKVAISAARGLAFLHDAQVIYRDFKASNILLDSEFNAKLSDFGLAKVGPTGDRTHVSTQVMGTQGYAAPEYVATGRITAKSDVYSFGVVLLELLSGRPSIDKSKVGVERNLVDWSRPYLGDKRKVFRIMDTKLGGQYPHKGACLAANTALQCLNQEPKLRPKMYDVLSTLEELEMTLKSDSTSNSVMTLTSSSSSLTAKQRVRAPVADAVLSTRRCRRVQ >fgenesh2_kg.1__2657__AT1G26960.1 pep chromosome:v.1.0:1:10987510:10989364:-1 gene:fgenesh2_kg.1__2657__AT1G26960.1 transcript:fgenesh2_kg.1__2657__AT1G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHB23 [Source:UniProtKB/TrEMBL;Acc:D7KPM4] MSCNNNGLAFFPENFTLQNHHQEEEDHPQLLQDFHGFLGKRSPMNNVQGFCNLDMNGDEEYSDDGSKMGEKKRRLNMEQLKALEKNFELGNKLESDRKLELARALGLQPRQIAIWFQNRRARSKTKQLEKDYDMLKRQFESLRNENEVLQTQNQKLQAQVMALKSRETIESINLNKETEGSCSDRSENISGDIIPPEIDSQFAVGHPPTTTTMQFFHNSSSEQRMVKEENSISNMFCGIDDQSGFWPWLDQQQHYN >fgenesh2_kg.1__2658__AT1G26945.1 pep chromosome:v.1.0:1:10991723:10993034:-1 gene:fgenesh2_kg.1__2658__AT1G26945.1 transcript:fgenesh2_kg.1__2658__AT1G26945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSRSRQSGSSRISDDQISDLVSKLQHLIPELRRRRSDKVSASKVLQETCNYIRNLHREVDDLSDRLSELLASTDDNSAEAAIIRSLLNY >fgenesh2_kg.1__2659__AT1G26940.1 pep chromosome:v.1.0:1:11000355:11002440:-1 gene:fgenesh2_kg.1__2659__AT1G26940.1 transcript:fgenesh2_kg.1__2659__AT1G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase cyclophilin-type family protein [Source:UniProtKB/TrEMBL;Acc:D7KPM6] MGNKRILVVGLACLAFVSIVKALSHEPELGSARVVFQTSYGDIEFGFYPTVAPKTVDHIFKLVRLGGYNTNHFFRVDKGFVAQVADVASGRSAPMNEEQRKEAEKKIVGEFSDVKHVRGTLSMGRYDDPNSAQSSFSMLLGNAPHLDRQYAVFGKVTKGDETLSKLEEVPTRREGIFVMPTERITILSTYYYDTKMESCEEERSVLRRRLQASFVEVERQRMKCFP >fgenesh2_kg.1__265__AT1G03330.1 pep chromosome:v.1.0:1:980962:982500:-1 gene:fgenesh2_kg.1__265__AT1G03330.1 transcript:fgenesh2_kg.1__265__AT1G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sm-like protein LSM2 [Source:UniProtKB/TrEMBL;Acc:D7KCE0] MLFFSYFKDLVGQEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPKDGVDVDLLHDAARREARGG >fgenesh2_kg.1__2660__AT1G26930.1 pep chromosome:v.1.0:1:11008703:11010319:1 gene:fgenesh2_kg.1__2660__AT1G26930.1 transcript:fgenesh2_kg.1__2660__AT1G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KPM7] MFEGGRPRDSCLVSTLFTMPSHQETKWSFLVSGKRSFLNNDESDLHFKKMYKLTDSSEGGGDNGSSSDSGTLIPGMNKDDSLSCLIRCSRADYCSIASVNRSLRSLIRSGEIYRLRRLQGTLEHWVYFSCHLNEWEAFDPRSKRWMHLPSMPQNECFRYADKESLAVGTDLLVFGWEVSSYVIYRYSLLTNSWSTGKSMNMPRCLFGSASYGEIAVLAGGCDSNGRILDTAELYNYEDQTWSVLPGMNKRRKMCSGVFMDGKFYVIGGIGIGEGNEPKVLTCGEEFDLKTRKWTEIPEMSPPRSNQGNGMSAAAMAPPLVAVVNDQLYAADHAGMAVRRYDKEKRVWNKVGNLPEQAGSMNGWGLAFRACGDRVIVIGGPKAPGEGFIELNSWVPSDATPEWHLLGKKQSVNFVYNCAVMSC >fgenesh2_kg.1__2661__AT1G26920.1 pep chromosome:v.1.0:1:11015541:11016344:-1 gene:fgenesh2_kg.1__2661__AT1G26920.1 transcript:fgenesh2_kg.1__2661__AT1G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISDVSPLKRYREQETLAEEETTKRQKPASSSSSSSYNQILCLLNDSDEQNQHNNDLTSFINALQQEISSDDQNAVVSETINVEDSSSSSCVSSKEEDVEDDNKEKVMQHLLEASDDELGIPHTDFGESNYEKTNESYVYGDSLLDGFGDAFWELEDEAANYYTLLQSELFM >fgenesh2_kg.1__2664__AT1G26830.1 pep chromosome:v.1.0:1:11033374:11036223:1 gene:fgenesh2_kg.1__2664__AT1G26830.1 transcript:fgenesh2_kg.1__2664__AT1G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCUL3/ATCUL3A/CUL3/CUL3A [Source:UniProtKB/TrEMBL;Acc:D7KPN4] MSNQKKRNFQIEAFKHRVVVDPKYADKTWQILERAIHQIYNQDASGLSFEELYRNAYNMVLHKFGEKLYTGFIATMTSHLKEKSKLIEAAQGGSFLEELNKKWNEHNKALEMIRDILMYMDRTYIESTKKTHVHPMGLNLWRDNVVHFTKIHTRLLNTLLDLVQKERTGEVIDRGLMRNVIKMFMDLGESVYQEDFEKPFLDASSEFYKVESQEFIESCDCGDYLKKAEKRLTEEIERVAHYLDAKSEEKITSVVEKEMIANHMQRLVHMENSGLVNMLLNDKYDDLGRMYNLFRRVTNGLVTVRDVMTSHLREMGKQLVTDPEKSKDPVEFVQRLLDERDKYDKIISTAFGNDKTFQNALNSSFEYFINLNARSPEFISLFVDDKLRKGLKGIADVDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDEAERSLIVKLKTECGYQFTSKLEGMFTDMKTSEDTMRGFYGSHPELSEGPTLIVQVLTTGSWPTQPAVPCNLPAEVSVLCEKFRSYYLGTHTGRRLSWQTNMGTADIKAIFGKGQKHELNVSTFQMCVLMLFNNSDRLSYKEIEQATEIPAADLKRCLQSLACVKGKNVIKKEPMSKDIGEEDSFVVNDKFTSKFYKVKIGTVVAQKETEPEKQETRQRVEEDRKPQIEAAIVRIMKSRKILDHNNIIAEVTKQLQPRFLANPTEIKKRIESLIERDFLERDSTDRKLYRYLA >fgenesh2_kg.1__2665__AT1G26840.1 pep chromosome:v.1.0:1:11036440:11038393:1 gene:fgenesh2_kg.1__2665__AT1G26840.1 transcript:fgenesh2_kg.1__2665__AT1G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATORC6/ORC6 [Source:UniProtKB/TrEMBL;Acc:D7KPN5] MDISDIARKLSLDNNKLLIRKAAEIRRLCDAQFDSSIIGVGEICKAVICLEIAASRLQIIFDRQAAIKLSGMSEKAYSRSFNSLQNVIGIKIKLNVRELAVQFGCVRIIKSVQNVLSSYKERFLASLPASRRANADFTRPVFTAAAFYLCAKKQKLKVDKLRLIEVCGTSESEFSCVSTSMTDLCFDCVGISKEKKDAKDVKGNRDLLDVLPGKRRLEDGGYSSDDESSCYKRHKKMEEAKYEDWKSTVVNSIKQNPEKGTKRVIQASLNFPKKSDTKELQVDS >fgenesh2_kg.1__2668__AT1G26850.2 pep chromosome:v.1.0:1:11041803:11045156:-1 gene:fgenesh2_kg.1__2668__AT1G26850.2 transcript:fgenesh2_kg.1__2668__AT1G26850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7KPN7] MALKSSSADGKTRSSVQIFIVFSLCCFFYILGAWQRSGFGKGDSIALEMTNSGADCNIVPSLNFETHHAGESSIVGASEAAKVKAFEPCDARYTDYTPCQDQRRAMTFPRDSMIYRERHCAPEKEKLHCLIPAPKGYVTPFSWPKSRDYVPYANAPYKALTVEKAIQNWIQYEGDVFRFPGGGTQFPQGADKYIDQLASVIPMENGTVRTALDTGCGVASWGAYLWSRNVRAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPTRAFDMAHCSRCLIPWGANDGMYLMEVDRVLRPGGYWILSGPPINWKVNYKAWQRPKEDLQEEQRKIEEAAKLLCWEKKYEHGEIAIWQKRVNDEACRSRQDDPRANFCKTDDSDDVWYKKMEACITPYPETSSSDEVAGGELQAFPDRLNAVPPRISSGSISGVTVDAYEDDNRQWKKHVKAYKRINSLLDTGRYRNIMDMNAGFGGFAAALESQKLWVMNVVPTIAEKNRLGVVYERGLIGIYHDWCEAFSTYPRTYDLIHANHLFSLYKNKCNADDILLEMDRILRPEGAVIIRDDVDTLIKVKRIIAGMRWDSKLVDHEDGPLVPEKVLIAVKQYWVTNSTSTH >fgenesh2_kg.1__2670__AT1G26880.1 pep chromosome:v.1.0:1:11056516:11057770:-1 gene:fgenesh2_kg.1__2670__AT1G26880.1 transcript:fgenesh2_kg.1__2670__AT1G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLVYRSRHSYATKSNQHRIVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPSEYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKLQKAKEKVAPKA >fgenesh2_kg.1__2672__AT1G26820.1 pep chromosome:v.1.0:1:11059037:11060336:1 gene:fgenesh2_kg.1__2672__AT1G26820.1 transcript:fgenesh2_kg.1__2672__AT1G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFICLLALQQLYVQSVAQDFDFFYFVLQWPGAYCDSIHSCCYPKTGKPAADFGIHGLWPNYKTGGWPQNCNPDSQFDDLRVSDLMNDLQREWPTLSCPSNDGMKFWTHEWEKHGTCAESELDQHDYFEAGLKLKQKANLLHALTNAGIKPDDKFYEMKDIENTIKEVVGFAPGIECNKDSSHNSQLYQIYLCVDTSASKFINCPVMPHGRCDSRVQFPKF >fgenesh2_kg.1__2673__AT1G26810.1 pep chromosome:v.1.0:1:11134360:11137639:1 gene:fgenesh2_kg.1__2673__AT1G26810.1 transcript:fgenesh2_kg.1__2673__AT1G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KQL3] MKRFYGGLLVVSMCMFLTVYRYVDLKTPVEKPFITAAASVVVTPNTTLPMEWLRITLPDFMKEARNTQEALSGDDIAVVSGLFAEQNVSKEEREPLLTWNRLESLVDNAQSLVNGVDAIKEAGIVWESLVSAVEAKKLADVNENQTRKGKEELCPQFLSKMNATEADGSSLKLKIPCGLTQGSSITVIGIPDGLVGSFRIDLTGQPLPGEPDPPIIMHYNVRLLGDKSTEDPVIVQNSWTASHDWGAEERCPNFDPDMNKKVDDLDECNKMVGREVNRTSSTSLQSNTSRVVPVAREASKQERYFPFKQGFLSVATLRVGTEGMQMTVDGKHITSFAFRDTLEPWLVSEVRITGDFRLLSILASGLPTSEESEHVVDLEALKAPPLSPLRPLDLVIGVFSTANNFKRRMAVRRTWMQYDDVRSGRVAVRFFVGLHKSPLVNLELWNEARTYSDVQLMPFVDYYSLISWKTLAICIFGTEVDSAKFIMKTDDDAFVRVDEVLLSLSMTNNTRGLIYGLINSDSQPIRNPDSKWYISYEEWPEEKYPPWAHGPGYIVSRDIAESVGKLFKEGNLKMFKLEDVAMGIWIAELTKYGLEPHYENDGRIISDGCKDGYVVAHYQSPAEMTCLWRKYQETKRSLCCREW >fgenesh2_kg.1__2674__AT1G26800.1 pep chromosome:v.1.0:1:11138096:11140684:1 gene:fgenesh2_kg.1__2674__AT1G26800.1 transcript:fgenesh2_kg.1__2674__AT1G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KQL4] MATEQEAEVGTETSSTSGRFLRNRDLYLFLPFLLGFSDQEPSNGDDDDVASSRERIILVNPFTQGMIVLEGSSGMNPLLRDILESREEGRPPASKASIDAMPIVQIDGYEGECVICLEEWKSDEMVKEMPCKHRFHGGCIEKWLGFHGSCPVCRYEMPVDGDEVGKKRNDGSEIWVRFSFNDGPRIRDSSGQEGGNNDGVESED >fgenesh2_kg.1__267__AT1G03350.1 pep chromosome:v.1.0:1:987314:988809:-1 gene:fgenesh2_kg.1__267__AT1G03350.1 transcript:fgenesh2_kg.1__267__AT1G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFKSVFSDDLDPPGTESESDSPKHSEEKEHPEQSDPNDDGGWSFGGLMKTIATRSESVIETYRRDLEEFGTGLKKEIEVAQGSLGTVGHAIDELGNTVLKGTAEIIAQGKEAILAAGNESDSSDNNSSQSFGRRDSFSSKPYSRFDAQIRAVQGDLNTYCEEPEDSADYQKWESGVSLDEKAEEMEKLFEENGDMKGVYKRVVPSMVDHETFWFRYFYKVHKLKQAEDLRANLVKRAISLDDEEELSWDIDDEEESSEKVVEATKEVSRLKLEGNDGMGSGDVSETVKDEVKSTDSVAKVSTQEEVKSADSVTEVSNVGLKTDTDSEGKKESDEEEVPEAKPVVEAASPASVEAPTQDLVKPKSDEAAPSQESTKPDVAASSSTQQPSEEDLGWDEIEDMSSIDGKDTRRSGGSPNRAELRKRLSAAEEDEDLSWDIEDEEEEESSSSKA >fgenesh2_kg.1__2682__AT1G26770.2 pep chromosome:v.1.0:1:11168935:11169975:-1 gene:fgenesh2_kg.1__2682__AT1G26770.2 transcript:fgenesh2_kg.1__2682__AT1G26770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLGFLVMVMVGVMASSVTGYGGGGGWINAHATFYGGGDASGTMGGACGYGNLYSQGYGTSTAALSTALFNNGLSCGSCFEIRCENDGKWCLPGSIVVTATNFCPPNNALANNNGGWCNPPLEHFDLAQPVFQRIAQYRAGIVPVSYRSFPCRRRGGIRFTINGHSYFNLVLITNVGGAGDVHSAAIKGSRTGWQAMSRNWGQNWQSNSYLNGQALSFKVTTSDGRTVVSYNAAPAGWSYGQTFAGGQF >fgenesh2_kg.1__2685__AT1G26750.1 pep chromosome:v.1.0:1:11183142:11184533:-1 gene:fgenesh2_kg.1__2685__AT1G26750.1 transcript:fgenesh2_kg.1__2685__AT1G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWMKGDLLSKTRRLVGGLATREPVWLKAMEASPPPVFPRSNGKIQRIVLPEDPYVRKFANKHPGTKIDDPAKISAFVPDQARVYGCRVLELKEHGISEDDAMSVANMEYLSERKEMKKAYKRLKELAKMQDKDPPPKPYPSAKKGLITQSKKSAKDRFQTPSVRRLVNQLKTEKDVLLQDRTGGSANQDNWIDE >fgenesh2_kg.1__2686__AT1G26740.1 pep chromosome:v.1.0:1:11184762:11186275:1 gene:fgenesh2_kg.1__2686__AT1G26740.1 transcript:fgenesh2_kg.1__2686__AT1G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLTSLKRAAVESYRILETRSFSHVAAMPSPLNGAFDRPISMPPPVLPEFDQNQPGLIDEKSFGFGLPSFAFNGSMELMAVPKKKISKHKRGIRNGPKALKPVPVIIRCRSCGRVKLPHFFCCSGERLNPSE >fgenesh2_kg.1__2689__AT1G26710.1 pep chromosome:v.1.0:1:11198266:11199111:1 gene:fgenesh2_kg.1__2689__AT1G26710.1 transcript:fgenesh2_kg.1__2689__AT1G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPTYNLLCIGSFMFLLCFSITFGRKNLEDKLGDTLFAPKPVEATELRAALVTNSEATDISSSIGLGEVGSQEMHHIYIETSKDSSLGNKQDNINSMPRKVIRGIVSISFSFPIIKIGVPIPKPNITVAAINQLNEEEMIKGIVITPTKTNELCISLGNDGLELSYS >fgenesh2_kg.1__268__AT1G03360.1 pep chromosome:v.1.0:1:989104:990861:1 gene:fgenesh2_kg.1__268__AT1G03360.1 transcript:fgenesh2_kg.1__268__AT1G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRRP4 [Source:UniProtKB/TrEMBL;Acc:D7KCE4] MVLRKLQLPLSQTQKVRFERAIERLQSLSSTANSDASVIVTDSIPVNHDDAFLKGHGTSEVDGELLATVCGVVERVDKLVYVRTLRARYKPEVGDIVVGRVIEVAQKRWRVELNFTQDGVLMLSSMNMPDGIQRRRTSVDELNMRNIFVEHDVVCAEVRNFQHDGSLQLQARSQKYGKLEKGQLLKVDPYLVKRSKHHFHYVESLGIDLIIGCNGFIWVGEHVEVRDPMAIDDQKDEEMISSSSTGKEQNHIPPETRQTICRIGNVIRVLSNLGFTVTLEVIIETVNLSNSKNIDIQDMLGSEFHVVVAENEAERRRTKRKK >fgenesh2_kg.1__2690__AT1G26700.1 pep chromosome:v.1.0:1:11199201:11203027:-1 gene:fgenesh2_kg.1__2690__AT1G26700.1 transcript:fgenesh2_kg.1__2690__AT1G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:D7KQM5] MREETEPSERTLGLTPTWSVATVLTVFVFVSLIVERSIHRLSNWLQETKRKPLFAALEKMKEELMLLGFISLLLTATSSTIANICVSSSFHNDRFVPCTRSEINDQELESTISSVKRTRLTRSLFFHIMRRRLSGIGENTCSEGHEPFLSYEGMEQLHRFIFIMAVTHVTYSCLTMLLAIVKIHRWRIWEDEVHMDRNDCLTVVAREKIFRRQTTFVQYHTSVPLVKNRLLIWVICFFRQFGHSVVRSDYLTLRKGFIMNHHLTLTYDFHSYMIRSMEEEFQKIVGVSGPLWGFVVGFMLFNIKGSNLYFWLAIIPITLVLLVGAKLQHVIATLALENAGITEYASGIKLRPRDELFWFKKPELLLSLIHFIQFQNAFELASFFWFWWQFGYNSCFLRNYLLVYLRLILGFSGQFLCSYSTLPLYALVTQMGTNYKAALLPQRVRETINGWGKATRRKRRHGLYGDDSTIRTETSTIASVDEYNDQVLDVSETSPVQGNELELQLIRGSCENSSSVETPILRPCASISSTTFSRLQTETTESLSRSSSLPMRREC >fgenesh2_kg.1__2693__AT1G26670.1 pep chromosome:v.1.0:1:11215990:11217947:-1 gene:fgenesh2_kg.1__2693__AT1G26670.1 transcript:fgenesh2_kg.1__2693__AT1G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-SNARE AtVTI1b [Source:UniProtKB/TrEMBL;Acc:D7KQN1] MSDVFEGYERQYCELSTNLSRKCHSASVLSDGEEKKGKIAEIKSGIEEADVLIRKMDLEARSLQPSAKAVCLSKLREYKSDLNQLKKEFKRVSSADAKQSSREELMESGIADLHAVSADQRGRLAMSVERLDQSSDRIRESRRLMLETEEVGISIVQDLSQQRQTLLHAHNKLHGVDDAIDKSKKVLTAMSRRMTRNKWIVTSVIVALILAIILIISYKLSH >fgenesh2_kg.1__2697__AT1G26650.1 pep chromosome:v.1.0:1:11222092:11223436:-1 gene:fgenesh2_kg.1__2697__AT1G26650.1 transcript:fgenesh2_kg.1__2697__AT1G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETNQVLRKPELKSYSHKQFHSSNALEILRETVRILRYNLGAFMITTAVLICPVSALLLPNFLVDQSLVNKLTLKLLLVAKSSGLPLQPFVKHSCQKFAETAVSSAMCFPLFITVSLLSKAAVIYSVDCTYSREIVDISKFLGTLQKIWRRVVFTYLWICILIVGCFTFFCVLLVAICSSFSVLGFSPDFNVYGAMLVGLAFSVVFANAIIICNTAIVISVLEDVSGLGAMMRASDLIKGHIQVGLLMFLGSTLGLAFVEGLFDHRVKKVSYGDGSSRLWEGPLLVLMYSFVTLIDSMMSAVFYFSCRVYYSMEASRGETQPIMETVAVVDAE >fgenesh2_kg.1__2698__AT1G26640.1 pep chromosome:v.1.0:1:11223609:11226037:1 gene:fgenesh2_kg.1__2698__AT1G26640.1 transcript:fgenesh2_kg.1__2698__AT1G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KQN4] MELNISEGRSRSIRCIVKLGGAAITCKNELEKIHDENLEVVACQLRQAMMEGSASSKVIGMDWSKRPGSSEISCDVDDLGDQESSEFSKFVVVHGAGSFGHFQASRSGVHKGGLEKPIVKAGFVATRISVTNLNLEIVRALAREGIPTIGMSPFSCGWSTSKRDVASADLSTVAKTIDSGFVPVLHGDAVLDNILGCTILSGDVIIRHLADHLKPEYVVFLTDVLGVYDRPPSEPDAVLLKEIAVGEDGSWKVVNPVLEHTNKKVDYSVAAHDTTGGMETKISEAAMIAKLGIDVYIVKAATTHSQRALNGDLRDSVPEDWFGTIIRFSK >fgenesh2_kg.1__2699__AT1G26630.1 pep chromosome:v.1.0:1:11226152:11227625:-1 gene:fgenesh2_kg.1__2699__AT1G26630.1 transcript:fgenesh2_kg.1__2699__AT1G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5A [Source:UniProtKB/TrEMBL;Acc:D7KQN5] MSDEEHHFESSESGASKTYPQQAGNIRKGGHIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTAKKLEDIVPSSHNCDVPHVNRVDYQLIDITEDGFVSLLTDSGGTKDDLKLPTDDALTAQMRSGFDEGKDVVVSVMSSMGEEQICAVKEVGGGK >fgenesh2_kg.1__2702__AT1G26610.1 pep chromosome:v.1.0:1:11236864:11238734:-1 gene:fgenesh2_kg.1__2702__AT1G26610.1 transcript:fgenesh2_kg.1__2702__AT1G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KQN7] MEQLKERRFVCKFCSKRFPCGKSLGGHIRTHMNENSADSDEDEANKLKMIDENGGQSSYGLRENPKKNKRFVDHRQMMALKQQQQQQLQQLLCCRECGKGFVSSKALCGHMASHSEREKIVMDSQSDTEASSSPIRRRSKRVVVKHHHKDAFVVGGNGIMNQSISASSDASEIEPEQEEMARSLMMLSRDSSFKKEHNSLAESSDNNSVILETKSSSGEQLKMFNVKNVEEYCKKNKLVVDNQMKAGEDNGDVLYDSDNSDSGYFRNGPKKLDSDVSVDGFFRNKAVMGSGSGFNSSPTKQNMNMNRFKKEWYKEGGSGSGSGRSSTKYDLRNSKRGFPSYGRKKIKYEFTESVYDSGEHSLETDSCADTNRTIKIHSKSAMVNKASGAKKKNKGHECPICFRVFKSGQALGGHKRSHFIGNQEHRTLVIQHQVSHEMHTLIDLNLPAPI >fgenesh2_kg.1__2703__AT1G26600.1 pep chromosome:v.1.0:1:11239824:11240508:-1 gene:fgenesh2_kg.1__2703__AT1G26600.1 transcript:fgenesh2_kg.1__2703__AT1G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTHLNRLILISLFLVFFLLKTSTASSTVVEGNRTSRNFRYRTHRFVPRVNHHPYHVTPHRSCDSFTRPYARSMCIELQRIHRSSRKLPLLSPPPPEIDPRYGVDKRLVPSGPNPLHN >fgenesh2_kg.1__2704__AT1G26590.1 pep chromosome:v.1.0:1:11241285:11242406:-1 gene:fgenesh2_kg.1__2704__AT1G26590.1 transcript:fgenesh2_kg.1__2704__AT1G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKEKLFTCKYCHKKFPSGKSLGGHIRIHTNENSVGSDRYNAKKKKKRLVDQRKMMAQKQKQQQQVGCRECGKVFVSLKALRGHMACHHCEGKKMLMDSQSDTETETSSAPIRKRSKRVVMKRSNSESFSNGSSSFVSEIDQEVRDAADTLMFLSSDSRSFKKRRDLVMNSLGESSDNDSSVVETKSSSGDELKIFNVKNQVLETGKVGVDDHLRSDDDDDGVSLCDSDDSDSDYFINGPSKSDSDISVDKNTGFGSGFNNSLNRFRNSNEGGSKYELSKSKRALFSYETESCADTNSKIHHRFRDSKSSVVKKESDEKKTSKGHECPICFKMFKSGQALGGHKRSHSIANQVADTRNQIDLNLTDSDTDE >fgenesh2_kg.1__2705__AT1G26580.1 pep chromosome:v.1.0:1:11243485:11246200:-1 gene:fgenesh2_kg.1__2705__AT1G26580.1 transcript:fgenesh2_kg.1__2705__AT1G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKRPFEDEKFHELPLKHSRQLGYTDKSTQFEEVSPHHAGFQKTVATVNEGNLCKSQGGESSDADMFGEESNFVYPVHDMDDTFTWDTKGCGGRDATNSPHSGKYFELDIPPRVFAPVETFYSFLLDQPARKKVPIGPGYQAEIPEWEGSQTGNTEPSGMSVQNHISGCADGDKLFGTSVIPMPGLTTFAHMDDIVGKGRKFCVCRDMGSVRCVCQHIKEAREDLVKTFGNETFKGLGLCDMGEEVARNWSDEDAQLFHEVVYSNPVTLGRNFWKHLEAAFCSRTQKEIVSFYFNVFVLGRRAIQNRAFILDIDSDDDEWHGCYGGSSGFPYVEEDEEDSAIESPLHQGTEKVYPLHHEEDEEDANNSGNDEDDAYVDTRESGTGLYDEHTMNSTVEYMDRFSGNNGERLNLEDDSCTSFELAHDASIRRSVMIQWTDTKVWADSSCLNVSTNGKDLQPTRRIMEENIW >fgenesh2_kg.1__2706__AT1G26570.1 pep chromosome:v.1.0:1:11246501:11248587:-1 gene:fgenesh2_kg.1__2706__AT1G26570.1 transcript:fgenesh2_kg.1__2706__AT1G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7KQP1] MVKICCIGAGYVGGPTMAVMALKCPEIEVAVVDISEPRINAWNSDRLPIYEPGLEDVVKQCRGKNLFFSTDVEKHVFESDIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSSKIVVEKSTVPVRTAEAIEKILTHNSKGIEFQILSNPEFLAEGTAIKDLYNPDRVLIGGRDTAAGQKAIKALRDVYAHWVPVKQIICTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVTQVAHAVGTDTRIGPKFLNSSVGFGGSCFQKDILNLIYICECNGLPEAANYWKQVIKVNDYQKIRFANRVVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCNRLVADKAKLSIYDPQVLEEQIRRDLSMARFDWDHPVPLQQIKAEGISEQVNVVSDAYEATKDAHGLCVLTEWDEFKSLDFKKIFDNMQKPAFVFDGRNVVDAVKLREIGFIVYSIGKPLDSWLKDMPAVA >fgenesh2_kg.1__2707__AT1G26560.1 pep chromosome:v.1.0:1:11249370:11252736:-1 gene:fgenesh2_kg.1__2707__AT1G26560.1 transcript:fgenesh2_kg.1__2707__AT1G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRFIMMMIKMVIMVMMMMMDKTCICADISRGSFPKGFVFGTASSAFQYEGAVKAEGRGPTIWDTFSHTFGKITDFSNADVAVDQYHRYEEDVQLMKNMGMDAYRFSISWTRIFPNGVGQINEAGIDHYNKLINALLAKGIEPYVTLYHWDLPQALHDRYLGWLNPQIINDFAAYAEVCFQRFGDRVKHWITFNEPHTFAIQGYDVGLQAPGRCTILFKLTCRAGNSSTEPYIVGHNVILTHATVSDIYRKKYKAKQGGSLGIAFDVMWFEPESNKTEDIEAAQRAQDFQLGWFLDPLMFGDYPSSMRSRVGSRLPVFTGSQSALVKGSLDFVGINHYTTYYARNNATNLIGTLLHDAISDSGTVTLPFKGLSTIGDRASSIWLYIVPRGMRSLMNYIKHRYGNPPVFITENGMDDPNSILISRKDALKDAKRIRYHHDYLSSLQASIKEDGCNVKGYFVWSLLDNWEWAAGYSSRFGLYFVDYRDNLKRYPKDSVHWFTSFLNSTS >fgenesh2_kg.1__2709__AT1G26540.1 pep chromosome:v.1.0:1:11261210:11264363:1 gene:fgenesh2_kg.1__2709__AT1G26540.1 transcript:fgenesh2_kg.1__2709__AT1G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KQP5] MEQRTMMMMKDCEVEVSSEEEGFEGAWFRAMLEENPGNSARRKLRVRYLTLLDMNGSSPLIEHIEQRFIRPVPPVENQQKGAVLEEGSLVDADHKDGWWTGVVVKKLEDDNYLVYFDLPPDIIQFERKQLRTHLIWTGGTWIQPEIEELDKSMFSSGTMVEVFSTKDAVWSPAMVVKEIGVDDKKKYIVKDWNRYLSCNGDDARPNKTVDSRRVRPIPPPSSVDQYTLLECVETFCGLGWHKGQVRKLLSDNRYSVILEATKQESTIKHSDLRPVMVWEDGVWHNDPKPKPIKETPPNILKRKPMRSCSAAKSMTPNSATKNLRSSLNPDEISETLTKAKSVAATEELGKKKADAVMYDKTHLVITPQVTSIAPVITATPLKQLEAETEGNKSPKKTLEPMKNQNGLENSSTQHEMPEEENSNEKSRKRKREQNQNSDLNETDETCNGSKAGINGTSDNIRVDDVDDQPLSAWINIPTVLSSDQSSNVADNSAADVEETQAKGTLIIEPFTKNLPFWKTYETEKGYKTVPQNPHFSPLFEFKEDIREWSAVGMMVSFYGLLEEVKNLQLDVSSSKLSSLSSSFAELEKHGFDVATPQSRINKVLSLQVGRAKKVEERKCLEKRIEAEETEMQKFEHAMVEVERKLLELKRQAEVAKEKKEAKNKMIVEMKSCAETIDQEIADVELEFITSVLAPW >fgenesh2_kg.1__2711__AT1G26520.1 pep chromosome:v.1.0:1:11266207:11268774:1 gene:fgenesh2_kg.1__2711__AT1G26520.1 transcript:fgenesh2_kg.1__2711__AT1G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEEAEGVKMEDDDEEPPMAIQIQPDVSVRKILSSSDTVSVGVSVITGYLGAGKSTLVNYILNGKHGKRIAVILNEFGEEIGVERAMINEGEEGAIVEEWVELANGCVCCTVKHSLVQALEQLVQRKDRLDHILLETTGLANPAPLASILWLDDQLESEVKLDCIVTVVDAKNLRFQLNERRDSSSFSEAFNQIAFADTIIMNKVDLISQEESDELEKEIHSINSLANVIRSVRCQVDLSNILNCQAYDSNHVSRLESLLETNKSLTTTDLHDSGIRTLCISEPQPINLDKVRLWLEEILWDKKSEMDVYRCKAVLSIQNSDQMHILQAVREIYEIVPARKWSEEENRTNKIVFIGHKLDEEVLRSGLRDCRP >fgenesh2_kg.1__2722__AT1G26510.1 pep chromosome:v.1.0:1:11275706:11280848:1 gene:fgenesh2_kg.1__2722__AT1G26510.1 transcript:fgenesh2_kg.1__2722__AT1G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KQP8] MRTRSKKTKTVNNNKNLQKSEDKNTFDQLPLDLLIEISRRLPLKSVARFLTLSKLCATTIRSPSFIKSFPSQPCTLIAVAPVINFPPRRFKSRGHQNLYFFSSSSSFLSRLTCPSPYPNYVEYHYHYVNGLISVGYGREQIVTNPSTGRFISLPSVRTRRRVVKSFFGYDPVTDQYKVLSMTERLHGHNQDPSSQHQVFTLGEKKPWRMIDSTSIPDHHGVVYYVAKTGQEIRTPTIYSDTLINYKGKLAMAAPVTSFTFDVWVLDQDKCLKKITFSIEPWKSLFRCLRVRGTTCTVYYLNALFVYMEIGHSNQRLIMRTRSKKIKTVNNNKNLQKSEKKNKFDQLPLDLEIEIFKRLPLKSVARFLTLSKLCATTIRSPSFITSFPSQPCTLIASAPIIRTCHNLPSSFKSKDQRLYFFSSTSFLSRLTCPSSPYPNLIEYYYHYANGLISVGCGREQIVTNPSTGRSITLPSVRTRRMGIKSFFGYDPVSDQYKVLCMTERLHGLRQDPSSQHQVFTLGEKKPWKMIDSTSIPNHRPWSNGICIDGLVYYVAKTGQGISQLSLMRFDLRADNMNLFTSLPEEIRTPTIYSDTLLNFEGKVAIAIPTTSYIFDVWVMNQDGAKHEWLKKITFSIEPWKSLFRYLYVRGTTHTDKEKTSNLYLQC >fgenesh2_kg.1__2725__AT1G26470.1 pep chromosome:v.1.0:1:11284471:11285615:-1 gene:fgenesh2_kg.1__2725__AT1G26470.1 transcript:fgenesh2_kg.1__2725__AT1G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRTDGSGGGGKEKEEEQDGLSVHSPCKALPSSASSLSKEQSQVELELRLLEALEIYPPVKLRGIHRHFVLYGLMEYLGRSFDRPFTADQVLQLLDRFYNIEMLKSDDEDIDILNHEEDFTLPQSYFDKEEE >fgenesh2_kg.1__2726__AT1G26460.1 pep chromosome:v.1.0:1:11286253:11288961:-1 gene:fgenesh2_kg.1__2726__AT1G26460.1 transcript:fgenesh2_kg.1__2726__AT1G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KQQ2] MASHLFTRSRISLLKTLKPNPFTSTSPIRAISGTPFLSQDPQLATDSTDHDPSNHQSTSTPLPPNPATGSPLYQENWRSPIPNTPSFNQSLVPLGFLNQAPAARIRALSETLDMNSLLNMFADWTASQRWSDMKQLFEVWVRSLDKNGKPNKPDVNLYNHYLRANLMMGASAGDMLDLVAPMEEFSVAPNTASYNLVLKAMYQARETDAAMKLLERMLLLGKESPPDDESYDLVIGMHFGVGKNDEAMKVMDTALKSGYMLSTTVFTECVRSCVAKGRTDTLVSIIERCKAVDRNKSLCPSWILCNYIAEVAIQEDNSKLAFYAFEFMFKWITRGEMARPSVILSVDEGLVVAGLATAARTCSSSLVEGSWTILKQSLRGRKAANPASYIAKINAYASLGNLQKAFTSLHELETAYADSEKEVVEEMLSPFTSLYPLVVACSKKGFETLDEVYFQLESLSRGDTPYKSVAALNCIILGCANTWDLDRAYQTFEAISASFGLTPNIDSYNALLYAFGKVKKTFEATNVFEHLVSIGVKPDSRTYSLLVDAHLINRDPKSALTVVDDMIKAGFEPSRETLKKLRRRCVREMDYENDDQVEALAKKFQIRMGTENRRNMLFNIDYSRGRAINR >fgenesh2_kg.1__272__AT1G03440.1 pep chromosome:v.1.0:1:1012017:1013648:1 gene:fgenesh2_kg.1__272__AT1G03440.1 transcript:fgenesh2_kg.1__272__AT1G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KCE9] MMSFTTLIWCLMILLRFGLFTKAILDPVDFLALQAIRKSLDDLPGSKFFESWDFTSDPCGFAGVYCDGEKVISLNLGDPRAGSPGLSGRIDPAIGKLSALTELSIVPGRIMGALPATISQLKDLRFLAISRNFISGEIPASLGEVRGLRTLDLSYNQLTGTISPSIGSLPELSNLILCHNHLTGSIPPFLSQTLTRIDLKRNSLTGSISPASLPPSLQYLSLAWNQLTGPVDHVLLRLNQLNYLDLSLNRFTGTIPGRIFAFPITNLQLQRNFFYGLIQPANQVTIPTVDLSYNRFSGGISPLLSSVENLYLNSNRFTGEVPASFVERLLSASIQTLYLQHNFLTGIQISPAAEIPVSSSLCLQYNCMVPPLQTPCPLKAGPQKTRPTTQCNEWRG >fgenesh2_kg.1__2732__AT1G26420.1 pep chromosome:v.1.0:1:11326694:11328280:1 gene:fgenesh2_kg.1__2732__AT1G26420.1 transcript:fgenesh2_kg.1__2732__AT1G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KQQ7] MKEALSVLCLVLLVSVLEAAVTKPKFGDFIECLRYRTSSENPITNAISIADNTTTFLSSYLSYTKNKRYSSPNFKKLLAIVAAKHVSHVQATVVCAKTNGIQLRIRSGGHDLEGLSYRSSVPFVILDMFNLRSITVDVSSKKAWVQAGATLGELYVKINEASQTLAFPAGVCPTVGVGGHISGGGYGNLMRKFGITVDHVIDAQLIDVNGKLLNRATMGEDLFWAIRGGGGASFGVILSWKINLVEVPKILTVFKVNKTLEQGGTDVLYKWQLVATKFPDDLFMRAWPQTVNGTKRGERTIAVVLYAQFLGPADKLMAIMNQSLPDLGLKREDCHEMSWFNTTLFWADYPAGTPKSVLLDRPTNPGFFKSKSDYVKTPIPKEGLEKLWKTMFKFNNIVWMQFNPYGGVMDRIPATATAFPHRKGNMFKVQYSTTWLDANATETSLSMMKELYEVAEPYVSSNPREAFFNYRDIDIGSNPSGETAVDEAKIYGYKYFLGNLKRLMQVKAKYDPENFFKNEQSIPPVRVM >fgenesh2_kg.1__2733__AT1G26410.1 pep chromosome:v.1.0:1:11329977:11331737:1 gene:fgenesh2_kg.1__2733__AT1G26410.1 transcript:fgenesh2_kg.1__2733__AT1G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KQQ8] MKEALVFLLFLTKKFPEKFNSCSKKKETLYVLCLVLLISILEAPVTKPNFGKFIECLRDRTTPENPITDAISIADNTTTFLSSYVSYTKNKRFSSPNFKKLLAIIAAKHVSHVQATVVCAKSNGIQIRIRSGGHDNEGFSYVSSVPFVILDMHNLRSIDVNVTSKNAWIQAGATLGELYVKINEASQTLAFPAGVCPTVGAGGHISGGGFGNLMRKFGITVDHVIDAQLIDVKGKLLNRAAMGEDLFWAIRGGGSSFGVILSWKINLVEVPKILTVFKVNKTLEQGGTDVLYKWQLVANKLPDSLFITAWPRTVNGPKPGERTIAVVFYAQFLGPTDKLMEIMDQSFPELELSREDCHEMSWINTTLFWANYPTGTPKSVLLDRPPTNSVSFKSKSDFVKKPIPKKGLEKLWKTMFKFNSSVSLQFNPYGGVMDRIPATATAFPHRKGNLFKVQYSTMWFDANATESSLAMMKELFEVAEPYVSSNPREAFFNFRDVDIGSNPSGETNVDEAKIYGYKYFLGNLKRLMDVKAKYDPENFFKNEQSIPPVRVK >fgenesh2_kg.1__2734__AT1G26390.1 pep chromosome:v.1.0:1:11351003:11352655:1 gene:fgenesh2_kg.1__2734__AT1G26390.1 transcript:fgenesh2_kg.1__2734__AT1G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KQR2] MKEALSVLCLVLVVSVLEAAVTKPKFEDFIGCLRYRTSPENPITDAISIADNTTAFLSSYVSYTKNKRFLSPNYKKLLAIVAAKHASHVQATVVCAKSNGIQLRIRSGGHDYEGLSYMSSVPFVILDMYNLRSITVDVSSKKAWVQAGATLGELYTKVNDVSQTLAFPAGVCATVGAGGHISGGGYGNLMRKYGITVDNVIDAQIVDVNGKLLNRLTMGEDLFWAIRGGGGGSFGVILSWKINLVEVPKIVTVFKVNKTLEQGGTDVLYKWQLVASKFPESLFVRAMPQVANGTKRGERTIAVVFYAQFLGRTDELMAIMNQNWPELGLKREDCQEMSWLNSTLFWADYPAGTPTSILLDRPSSPGDFFKSKSDYVKKPIPKEGLEKLWKTMLKFNNNIVWMQFNPYGGVMDRIPATATAFPHRKGNLFKIQYFTTWFNANATESSLSQMKELYEVAEPYVSSNPREAFFNYRDIDVGSNPSGETNVDEAKIYGYKYFLGNLKRLMDVKAKYDPENFFKNEQSIPPVRVM >fgenesh2_kg.1__2735__AT1G26380.1 pep chromosome:v.1.0:1:11354069:11355815:1 gene:fgenesh2_kg.1__2735__AT1G26380.1 transcript:fgenesh2_kg.1__2735__AT1G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KQR3] MKEAFYVLYLVLLVSGLEALVTKPNSGNFIECLRYRISPENPITDAIFTADNTTTFLSSYVSYTKNTRFSSPNYKKLLAIVVANDVAHVQATVVCAKSNGIQIRIRSGGHDNEGLSYTSSVPFVILDMHNLRTITVDVSSKKAWVQAGATLGELYVKINEASQTLAFPAGICATVGAGGHISGGGYGNLMRKFGITVDHVIDAQLIDVNGKLLNRSTMGEDLFWAIRGGGGASFGVILSWKIKLVEVPKILTVFKVNKTLEQGGTDVLYKWQLVANKFPDSLFLRAMPQVVNGTNHGERTIAIVFWAQFVGRTDELMAITKQSFPELGLKREDCQEMSWLNTTLFWAMLPAGTPKTVLLDRPTDPVFFKSKSDYVKKPIPKEGLEKIWKTMLKFNNIVWLHFNPYGGMMDRIPSNSTAFPHRKGNLFKVQYYTTWLDPNATESNLSMMKELYEVAEPYVSSNPREAFFNYRDIDIGSNPSGETNVDEAKIYGYKYFLGNLKRLMDVKAKYDPENFFKNEQSIPP >fgenesh2_kg.1__2738__AT1G26340.1 pep chromosome:v.1.0:1:11366040:11367079:-1 gene:fgenesh2_kg.1__2738__AT1G26340.1 transcript:fgenesh2_kg.1__2738__AT1G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B5 #6 [Source:UniProtKB/TrEMBL;Acc:D7KQR5] MPTLTKLYSMEEVATHNKQDDCWVVIDGKVYDVTSYMDEHPGGDDVLLAVTGKDATDDFEDAGHSKDARELMEKYFIGELDESSLPEIPELKIYKKEQPTDSVQKLVDLTKQYWLVPVSIITISVAVSVLFSRKT >fgenesh2_kg.1__273__AT1G03445.1 pep chromosome:v.1.0:1:1015118:1020354:-1 gene:fgenesh2_kg.1__273__AT1G03445.1 transcript:fgenesh2_kg.1__273__AT1G03445.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7KCF0] MASQSYSYHQYPSPSYESIQTFYDTDEGWPGPRCGHTLTGVFVNNSHQLILFGGSSTAVTTHDSSLPEISLEGVTNSVHSFDVLTRKWTRLNPDGDVPSPRACHAAALYGTLLLIQGGIGPSGPSDGDVYMLDITNNKWLKLLVGGEAPSPRYGHVMDIAAQRWLVIFSGNNGNEILADTWALDTRGSFTWDRLNPSGNQPSGRMYASGTSREDGIFLLCGGIDHSGVTLGDTYGLKMDSVSQCAWTPVPGVAPSPRYQHTAVFGGPKMHVIGGVLNRARLIYGEAVAAVLDTETGEWLDADRPETSMSGAYRQNQYQLMRRYHHAAASFGTHMYVHGGIRDDVLLDDLLVAETSQSSSPELEEDNPENYMLLDDFLMDEIPKPLGSEPEAPSFIRRSSSESAMERLAEAYNLPTIENAFYDSEIEGYVPLQHGAESDGNRGGLVRTNSTQDLHKKVISTLLRPKTWMPPANRDFFLSYLEVKYLCDEVEKIFKHEPTLLQLKVPIKVFGDIHGQYGDLMRLFHEYGYPSVEGDITHIDYLFLGDYVDRGRHSLETIMLLFALKIEYPKNIHLIRGNHESLAMNRIYGFLKECKERMGDSDGFEAWLKINQVFDHLPLAALLEKRVLCVHGGIGRADTVEQIEKIKRPVFPDTTESIVLKDILWSDPTRNDTVLGIVENPRGEGVVSFGPDIVKAFLERNGLEMILRAHECVIDGFERFAQGRLITVFSATNYCGLAQNAGAILVIGRDMVIYPKMIHPNPPPISSSEEDSPDKAGMQELNIEKPPTPARGESSE >fgenesh2_kg.1__2740__AT1G26320.1 pep chromosome:v.1.0:1:11374200:11377117:-1 gene:fgenesh2_kg.1__2740__AT1G26320.1 transcript:fgenesh2_kg.1__2740__AT1G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTEAATVTNKQIIFRDYVSGFPRESDLNLTTTTIDLRLPEGSTSVLVKNLYLSCDPYMRICMGKPDPLSSSLVPPYKTGVPIIGLGVSKVIDSGHPDYKKGDLLWGLVGWEEYSVITLTHYSHFKIQHTDVPLSYYTGLLGMPGMTAYAGFYEICSPKKGETVFVSAASGAVGQLVGQFAKLMGCYVVGSAGSKEKVDLLKTKFGFDDAFNYKEEKDFSAALRRYFPEGIDIYFENVGGKMLDAVLINMRLHGRVAVCGMISQYNLEDPEGVHNLPTILYKRIQLQGFGVCDFYDKYSKFLDFVLPYIREGKIRYVEDIAEGFESGPSALLGLFEGKNVGKQLFVVARE >fgenesh2_kg.1__2743__AT1G26300.1 pep chromosome:v.1.0:1:11384728:11386513:1 gene:fgenesh2_kg.1__2743__AT1G26300.1 transcript:fgenesh2_kg.1__2743__AT1G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KQR9] MEDLWKRAKSFAEEAGKKSQNITQSSSATFVNLVTETAKKSKELALEASKKADQLNVSDFVAETAKKSKEFAAEASKKADQFKVAALKQADQIQNIKSIADIIGSGSGSGSGSEAELLEFGVTDDLREFVEGLTSATFQAFPEQDQPDEVADVTTNSSNVRKDLSDWQERHATLVLTSVKQISKLRYELCPRFMKERRFWRIYFTLVSTHVSPYERRYMEALKTKAEHESEEAKNAPVTGETETVEKNVAISRTSTATSEQDLDTFLLGDLEDSDGTPDDGDGSLEDDFDKIENSDVEDEKQSTKATTNAGN >fgenesh2_kg.1__2744__AT1G26270.1 pep chromosome:v.1.0:1:11399608:11402570:1 gene:fgenesh2_kg.1__2744__AT1G26270.1 transcript:fgenesh2_kg.1__2744__AT1G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-and 4-kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KQS1] MAVALVKSPLSGEFREFNKVGMKSPVGRRRVFVQTETGCVLGLELDRSDNAHTVKRKLQVALNFPIEESSLTFGDLVLKNDLTAVRSDAPLLLTRNNFHRSSSTPCLSPMRADLQQRRDESSPVEILGNSVSFSFVRQMAKDITKAVKRGIDPVAVHSGLGGAYYFKNSRGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGYREVAAYLLDKEHFANVPPTALVKITHSIFNVNDGVKASKPMEKMLVSKIASLQQFIPHDYDASEHGTSNFPVSAVHRIGILDIRILNTDRHSGNLLVRKLDGDGMFGQVELVPIDHGLCLPETLEDPYFEWIHWPHASIPFSEDELKYIANLDPFGDCEMLRRELPMVREASLRVLVLCTIFLKEAAADGLCLAEIGEMMTREVRPGDEEPSEIEVVCLEAMSLIGEKDAESPRSDLGSESSDVEFQFDIDCEEATDCTKKLAFSLGLTFGNARSQLSKVEETTEEDEEEEDDKEEEENDRANLEKMPTITKLSMSLKSTLLGEKSQKYQKHPGARVESAYASSAHRSADEQILSSTSFVKLSDMSEEEWTIFLEKYQELLYPAIEKRKSVTLGQKQRQRLGTSCQF >fgenesh2_kg.1__2745__AT1G26260.3 pep chromosome:v.1.0:1:11402687:11404847:-1 gene:fgenesh2_kg.1__2745__AT1G26260.3 transcript:fgenesh2_kg.1__2745__AT1G26260.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7KQS2] MDEFAAKKKDLVNTPVDLYPPENPMSGPSQMMDSFRETLWNDGGFNVHTDAGTSFRESAGLFLQDTMIPSGSKLDNGSLKDASKLVKDRSINVSEDSQSSGCNGHDDAKCGVTSSKGFNSKKRKRIGKDCEGEEDKMQKDEQSSNSNVNKTNSEKQASDSLKDGYIHMRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCDKVTGKAVMLDEIINYVQSLQCQIEFLSMKLSAVNPVLDFNLESLLAKDALQSSAPTFPQNMSMLYPPLSYLSQTGFMEPNRSSMSLLNGGLKRQETHGYESDHHNVVHMNHETGTAPDHEDATGESKSPILSYCCNQILKNR >fgenesh2_kg.1__2748__AT1G26218.1 pep chromosome:v.1.0:1:11422464:11423672:-1 gene:fgenesh2_kg.1__2748__AT1G26218.1 transcript:fgenesh2_kg.1__2748__AT1G26218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGGTISTPPASLRLRSTLNPQNAVTQSSSQATFPAAMQRKPPSYSISDEDLESRGFILRRTAEGLNLDQLNSVFVAVGFPRRDTAKIEVALQHTDALLWVEYEKTRRPVAFARATGDGVFNAIIWDVVVDPSFQSCGLGKAVMERLIEDLQAKGICNIALYSEPRVLGFYRPLGFVSDPDGIKGMVFIRKQRNKK >fgenesh2_kg.1__2751__AT1G26210.1 pep chromosome:v.1.0:1:11426458:11427616:-1 gene:fgenesh2_kg.1__2751__AT1G26210.1 transcript:fgenesh2_kg.1__2751__AT1G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRNHGGSEEEEYSSCESGWTMYIEDAFHGNDHSSVVVDDDDDDTQVKEAHDGYENDDGDNSDDGGDEESDDSMASDASSGPSNQLPKNINKHAARKNGSKQVYLQKRQHTEKTLSNEGEKSDLKAKTRTSAASRVQSRGKVSKTK >fgenesh2_kg.1__2753__AT1G26190.1 pep chromosome:v.1.0:1:11436664:11440630:1 gene:fgenesh2_kg.1__2753__AT1G26190.1 transcript:fgenesh2_kg.1__2753__AT1G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase/uridine kinase [Source:UniProtKB/TrEMBL;Acc:D7KQS7] MGQDSNGIEFHQKRHGLLKDQVQLVKRRDSVRYEIVPIQDRLSFEKGFFAVIRACQLLSQKNDGIILVGVAGPSGAGKTVFTEKILNFLPSVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLKNLEDLKEGKQVEVPIYDFKSSSRIGYRTLDVPASRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQQPEEIIHQISETVYPMYKAFIEPDLQTAQIKIINKFNPFTGFQSPTYILKSRKDVSVDQIKAVLSEGHTETKEETYDIYLLPPGEDPESCQSYLRMRNKDGKYSLMFEEWVTDTPFVISPRITFEVSVRLLGGLMALGYTIATILKRNSHVFATDKVFVKIDWLEQLNRHYMQVQGKDRQLVQSTAEQLGLEGSFIPRTYIEQIQLEKLINEVMALPDDLKNKLSLDEDFVSSSSPKEALLRASADRVAMRNKNLKRGMSHSYSTQRDKNLSKLAGYSSSDRRYEERNHDSPANEGFMTQLSEQISSLNERMDEFTSRIEELNSKLSCNKNSPTQQSLSIQTEVCNGSAPTSYFISGLDNGCLTNSIMPHSSSSSQLAKDSPLMEEISTISRGQRQVMHQLDNLCNLMRESSAERSRLARTGSSNSSNRGRSSKSSFLSNVESKKLPLMLTVAFCSIGIVLIKSYINKRQ >fgenesh2_kg.1__2754__AT1G26170.1 pep chromosome:v.1.0:1:11442967:11450569:1 gene:fgenesh2_kg.1__2754__AT1G26170.1 transcript:fgenesh2_kg.1__2754__AT1G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin beta-2 subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7KQS9] MVVDQDQQWLLGCLSASLDPNQNVRSFAETSLNQASLQPGFGSALCRVAANKDLSLGLPAVLLKQFIKKHWRENEEAFEYPLVSNEEKALIRGQLLGSLDDSHRKICTAISMDISSIATYDWPEEWPELVPFLLKLISDPSNTNGVHGALRCLALLSGELDDKEVPTLVPVLFPCLHAVVSSPQSYDKYIRGKALSIVYSCIYVLGAMSGVYKMETTTLVTPVLKVWMNQFSLILEHPVQREDPDDWSLRMEVLKCLNQFVQNFPSLIESELMAIMRPLWHTFESSLQVYLRSSIDGAEDSYDGRYDSDGEEKSLDTFVIQLFEFLSTIVSSRRLAKTIAGNVRELVYQTVAFLQITEQQVHTWSMDVNQFVADEDEGSYSCRISGILLLEEVINAFGSEGINSVVDATGKRFQESQGEKAAGSPSWWRIREAVLFALASLADQLVEAEDLRTDPANLAKFVEQLIMEDTGIGYHECPFLYARIFTAVAKFSSVINPGILEHFLNAAVRVITMDVPPPVKVGACRALLQLLPDMNSSVILPQIMNLFSSLTDLLHQASDETLVLVLETLQQAIKAGHEASASIESIISPVILNVWVAHVSDPFMCIDVIDVLEAIKNSPGCLHPLTSRILPFIGPILNKPHQQPAGLAIGSLDLLTMLLKAIFLGAPSDIVKNAYEFCFDAVIRIILHSEDHGELQNATECLAAFISSGRQELLTWSGDPSFTMRSLLDATSRLLNPDLECSGSLFAGKYILQLILHLPSEMAPHVQDLVAALVRRLQSADISALKGSLLLIFARLVHMSFPNVDQFINLLVSIPAEGHENSFTYVMTEWTKQQGKHLYPWEIQSAYQIKVTTSALALLLSTRHSEFAKVNVPGSPIQSNGGITTRSKARSAPELWTIISLPMKILALLADTLIEMQEQVLSSENEDSEWEEVHEGDAKAEKDLLRSAGASQFSKPTYDQLEAMARFENQDDEVDDNLLGTDPLNEINLASYVADFLLKFSSEDRPLFDNLCQGLTNAQRNVIHMALNR >fgenesh2_kg.1__2755__AT1G26160.1 pep chromosome:v.1.0:1:11455574:11458262:1 gene:fgenesh2_kg.1__2755__AT1G26160.1 transcript:fgenesh2_kg.1__2755__AT1G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent phosphohydrolase HD domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KQT0] MAVISPATRFAPPLNRPFHHRSSLASLHCSSRNFLFLGKPTPSSTIVAVRCQKPASDGISSMESMNHVSSSIDFLTLCHRLKTTKRKGWINQGINGSESIADHMYRMALMALIASDLTGVDRERCIKMAIVHDIAEAIVGDITPSDGVPKEEKSRREKAALKEMCEVLGGGLRAEEITELWLEYENNASLEANIVKDFDKVEMILQALEYEAEHGKVLDEFFISTAGKFQTEIGKSWAAEINARRKSQLINRQR >fgenesh2_kg.1__2756__AT1G26150.1 pep chromosome:v.1.0:1:11459624:11463234:1 gene:fgenesh2_kg.1__2756__AT1G26150.1 transcript:fgenesh2_kg.1__2756__AT1G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAQAPTKQVPLSPPLASPPPLSQQPPPMALPPPQPSFPGDNATSPTREPTHGNPPDTPNTPAQSSPPPPPVTPVSSPPPEPSPPPPSPTGAPPPAILVSSPPPEPSPPPPPPTEAPPTPTTSPSPPTNPSPPPLETSPPPRPPPDQPSVPPPESPPSPPAPDPPRNPPPTPTFDPPSLLPPRRSPPPPTSLLPPPQHPKLPEKPPPPPPGSKRPAPSPPSPSDSKSPVHPSPPSPSDSKRPVHPSPPSPPEETLPPPKPSPDPLPSNSTSSPTFPPPPSVVSPHLAPQKSVPGPDNPSRNNPTPVTDNSSNSGVSTAAVVGVSIGVALVLLSLIGVIVWCLKKRKKRLSTIGGGYVMPTPMDSSSPRSDSGLLKTQSSAPLVGNRSSNQTYFSQSEPGGFGQSRELFSYEELVIATNGFSDENLLGEGGFGRVYKGVLPDERVVAVKQLKLGGGQGDREFKAEVETISRVHHRNLLSMVGYCISENRRLLIYDYVPNNNLYFHLHAAGTPGLDWAIRVKIAAGAARGLAYLHEDCHPRIIHRDIKSSNILLEDNFHALVSDFGLAKLALDCNTHITTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDTSQPLGDESLVEWARPLLSHAIETEEFTTLADPKLGRNYVGVEMFRMIEAAAACIRHSAAKRPQMSQIVRAFDSLAEEDLTNGMRLGESEIINSAQQSAEIRLFRRMAFGSQNYSTDSFTRNSNISKDENV >fgenesh2_kg.1__2759__AT1G26120.1 pep chromosome:v.1.0:1:11473378:11476341:1 gene:fgenesh2_kg.1__2759__AT1G26120.1 transcript:fgenesh2_kg.1__2759__AT1G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQILQISHLPPKSSPSTEMMFKSLIYDDPSTTLLSSSSRFCDDHHTISATVKPLLSRSSSYNGGVTAKKTSSSSGGGLAGWYQNRWRRSNSDNCLSAFPDGTNGTDGGSNSGDRQTTIGLEVGHAAAETFLLTRLCLKLLSYLGVGYRWITRFMALGCYAFLLMPGFIQVGYYYFFSPYVRRSIVYGDQPRNRLDLYLPKNSNGPKPVVAFVTGGAWIIGYKAWGSLLGQQLSERDIIVACIDYRNFPQGSISDMVKDASSGISFVCNHIAEYGGDPDRIYLMGQSAGAHIAACTIVEQVIKESGEGDSVSWSSSQINAYFGLSGGYNLLSLVDHFHSRGLYRSIFLSIMEGEESLRQFSPELVVQNPNLKHIIDRLPPFILFHGTDDYSIPSDASKSFAETLQRLGAKAKVILYEGKTHTDLFLQDPMRGGKDEMFEDIVSVVLGDNQELIGKSVDRRRLVPEFMLKLAHWVSPF >fgenesh2_kg.1__275__AT1G03457.2 pep chromosome:v.1.0:1:1021964:1025404:-1 gene:fgenesh2_kg.1__275__AT1G03457.2 transcript:fgenesh2_kg.1__275__AT1G03457.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETMENEERVKLFVGQVPKHMTEIQLLTLFSEFSIVDEVNIIKEKTTRVPRGCCFLTCPSREEADKVINGFHNKKTLPGASSPLQVKYADGERERLDVLDCSCNPEHKLFVGMLPKNVSETEVQSLFSEYGTIKDLQILRGSLQTSKGCLFLKYEFKEQAVTAMEALNGRHIMEGANVPLIVKWADTERERQARRLQKVQSHVSRPDPQNPSMFGALPMAYVPPYNGYGYHVPGTYGYMLPPIQTQPAFHNVISPNQGNGRALLGTALTESVPPRLAARRNFPTALGNYGYHGLQYPMAFPRGMVPPRPPLTTVSPGISNNGTSIPSLLQTEGPAGANLFIYNIPREFGDQELAAAFQPFGKVLSAKVFVDKATGISKCFGFISYDSQAAAQNAINTMNGCQLSGKKLKVQLKRDNGQQQQSNKPLFNGLLNS >fgenesh2_kg.1__2760__AT1G26110.1 pep chromosome:v.1.0:1:11495259:11498649:1 gene:fgenesh2_kg.1__2760__AT1G26110.1 transcript:fgenesh2_kg.1__2760__AT1G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADNTGAKSSSAADSYVGSLISLTSKSEIRYEGILYNINTDESSIGLQNVRSFGTEGRKKDGPQVPPSDKVYEYILFRGTDIKDLQVKASPPVQPPPPTINNDPAIIQSHYPSPMPTSGSLPSTASGSLPDISSHNGQPGMGFQNAMPLYQPGGNLGSWGASPQPPMYWQGFYTPPPNGLPQLHQQSLIRPPHGLPMPNSLQQPMQYPNFNTPPPTGSSNLQGSSLPEAPSSLFPFSTSSQILAPSSLPFPGLPPVTLSSSLQSTLQSAPSPSLASEMAPPLLSNKAPITAPPTLPQDTNLLPFSLSTTRATEASAAISLSNKPSVVTGPISLPQTTPLTSAPVAGVSSSISQDKPKPLLVTPGQLLQSGSSAVSLSPPSTNADKDVEVVQVSSSAGLEQSVPVTSEAQPPILPLPSSARPTQKPNGHSFPNHNGYRGRGRGRGRGAGRSHQVMKFTEDFDFTAMNEKFNKDEVWGHLGKSTTLDGDEDDDSPIVDEAELPKIEVKPVYNKDDFFDSLSSNTIDRESQNARPRFSEQRKLDTETFGEFSRFRGGRGGRGGYGRNGYSRGGYGGRGYGGYGGRGGGGYGYGGRGQGRGVSNRPS >fgenesh2_kg.1__2762__AT1G26090.1 pep chromosome:v.1.0:1:11500144:11502519:-1 gene:fgenesh2_kg.1__2762__AT1G26090.1 transcript:fgenesh2_kg.1__2762__AT1G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7KQU0] MVALVASSCSSLTLNLLPILRTEAPSLSRKRRAASVVATSSRDDNDTVADSSQKPTKFVTFLGKGGSGKTTAAVFAAQHYALAGLSTCLVVHNQDPSADFLLGSKIGTSPTLINDNLSVIRLETTKMLLEPLKQLKQADARLNMTQGVLEGVVGEELGVLPGMDSIFSMLELERLAGFFRQATRKNHKGEPFNVIIYDGVSTEETLRMIGLSSKTRLYVKYLRSLAEKTDLGRLTSPSIMRFVDESMNISGNKSPFDGMTSPAMWDTLERFLENGASAWRDPERFRSFLVMDPNNPISVKAALRYWGCTVQAGSHASGVFAISSSHLTSKIPEEDFVPLPFASASVPFTITGLDWDKILRDQANSSIRELLSETASHGTSLTQTVMFDSAKKLVTLFMPGFEKSEIKLYQYRGGSELLIEAGDQRRVIHLPPQIQGKVGGAKFLDRSLIITMR >fgenesh2_kg.1__2769__AT1G25540.1 pep chromosome:v.1.0:1:11537147:11542682:1 gene:fgenesh2_kg.1__2769__AT1G25540.1 transcript:fgenesh2_kg.1__2769__AT1G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVKQLIVVAEGTAALGPYWQTIVSDYLEKIIRSFCGSELNGERNPVSTVELSLVIFNSHGSYCACLVQRSGWTRDVDIFLHWLSSIQFGGGGFNEVATAEGLAEALMMFSPPSGQAQPSNDLKRHCILITASNPHTLPTPVFRPRLQSVERNENGDAQAESRLSDAETVASYFAKCSVSLSVVCPKQLPKIRALYNAGKLNPQSADLSIDTAKNTFYLVLISENFVEARAALSHSATNLPQTQSPVKVDRATVAPSLPVTGQPPAPVTSANGPIQNRQPVSVGPVPTATVKVEPSTVTSMAPVPNFPHIPSVARPATQPIPSIQTSSASPVSQDMVTNAENAPDIKPVVVGGMTPPLRTGPPGGANVNLLNNLSQVRQVMSSAALAGAASSAGQSAVAMHMSNMISTGMATSLPPSQTVFSTGQQGITSMAGSGALMGSAQTGQSPGPNNAFSPQTTPNVASNLGVSQPMQGMNQGSHSGAMMQGGISMNQNIVSGLGQGNVSSGTGGMMPTPGVGQQAQSGIQQLGGSNSSAPNMQLSQPSSGALQTSQSKYVKVWEGNLSGQRQGQPVLITRLEGYRSASASDSLAANWPPTMQIVRLISQDHMNNKQYVGKADFLVFRAMSQHGFLGQLQDKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGDMVVFKPQIPNPQQQQQQQLQQQHQQQQQIQQQQQQQQHLQQQQMPQLQQQQQQQHQQQQMPQLQQQQQHQLSQLQHHQQQQQQQQQQQQQHQLTQLQHHHQQQQTSPLNQMQQQTSPLNQMQQQQQPQQMVMGGQAFAQAAGRSQQGGGGGQPNMPGAGFMG >fgenesh2_kg.1__2771__AT1G25530.1 pep chromosome:v.1.0:1:11544443:11546941:1 gene:fgenesh2_kg.1__2771__AT1G25530.1 transcript:fgenesh2_kg.1__2771__AT1G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSPVSPSKETDRKTGEKWTAGDPSRPAKWWYSTFHTVTAMIGAGVLSLPYAMAYLGWGPGTFVLVMTWGLTLNTMWQMVQLHECVPGTRFDRYIDLGRYAFGPKLGPWIVLPQQLIVQVGCNIVYMVTGGKCLKQFVEITCSTCRPVRQSYWILAFGGVHFILSQLPNFNSVAGVSLAAAIMSLCYSTIAWGGSIAHGRMPDVSYDYKATNASDFTFRVFNALGQISFAFAGHAVALEIQATMPSTPERPSKVPMWQGVIGAYVVNAVCYFPVALICYWAFGQDVDDNVLMNLQRPAWLIASANLMVVVHVIGSYQVFAMPVFDLLERMMVYKFGFKHGVALRFFTRTIYVAFTLFIGVSFPFFGDLLGFFGGFGFAPTSFFLPSIMWLIIKKPRRFSVTWFVNWISIFVGVFIMLASTIGGLRNIIADSSTYSFYA >fgenesh2_kg.1__2776__AT1G25490.1 pep chromosome:v.1.0:1:11556492:11560446:-1 gene:fgenesh2_kg.1__2776__AT1G25490.1 transcript:fgenesh2_kg.1__2776__AT1G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase type 2A regulatory subunit A [Source:UniProtKB/TrEMBL;Acc:D7KAI0] MAMVDEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENSDDDDEVLLAMAEELGVFIPFVGGIEYAHVLLPPLESLCTVEETCVREKAVESLCKIGSQMKENDLVESFVPLVKRLAAGEWFAARVSACGIFHVAYQGCTDVLKTELRATYSQLCQDDMPMVRRAAASNLGKFATTVESTFLIAEIMTMFDDLTKDDQDSVRLLAVEGCAALGKLLEPQDCVARILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPDCTRTDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRLLNPELAIQHILPCVKELSSDSSQHVRSALASVIMGMAPILGKDSTIEHLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYVPLLASQLGIGFFDDKLGALCMQWLQDKVYSIREAAANNLKRLAEEFGPEWAMQHLVPQVLDMVNNPHYLHRMMVLRAISLMAPVMGSEITCSKFLPVVVEASKDRVPNIKFNVAKLLQSLIPIVDQSVVDKTIRQCLVDLSEDPDVDVRYFANQALNSIDGTTAAQS >fgenesh2_kg.1__2778__AT1G25470.1 pep chromosome:v.1.0:1:11573115:11574826:1 gene:fgenesh2_kg.1__2778__AT1G25470.1 transcript:fgenesh2_kg.1__2778__AT1G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFVKPEKDSLLRTVRIIFTDPDATDDSSSSSDEWLPKPRKVKRFVHEITFLSQVSESSQDRSNAVKTPRKNTRQLKYPVGVRPRPSGKFAAEIMNPFTKTKKWLGTYETPAEAEKAYVDKKVEYDALASSGSAVSSSVVSVTSQCLRSPASASVSCVSADDLSKEKTSLNKDVAASGDDSPMEVFTTFDFSDLKIPDLRFLAAEEDSMVSDANGAELDFDCILSAECNLLLDDYSLLDNDFNISGFENSLPSELPDCDFTEMERELDDFKFVYADQLTTPPLEFGCV >fgenesh2_kg.1__277__AT1G03470.1 pep chromosome:v.1.0:1:1027125:1028690:-1 gene:fgenesh2_kg.1__277__AT1G03470.1 transcript:fgenesh2_kg.1__277__AT1G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase interacting family protein [Source:UniProtKB/TrEMBL;Acc:D7KCF2] MVMDSSKWWWIGNHNTTNFSPWLHSTLSELDEKTKEMLRVIDEDADSFAARAEMYYKKRPELIAMVEEFYRSHRSLAERYDLLRPSSVHKHGSESHGKSSTCDESSWSEACETHEDYAESEIDNGESKWVDEGEIDGIVEEMESSQVEYGEASGSYEMMKEELERLREENKVYSEMVREKDEEKREAIRQMSVAIQMLKEENSELKQRVTTVVARRNKVGGDSQRKPFEFKKINLQGFWGKVVGNWVLPNTDSASRELMTL >fgenesh2_kg.1__2781__AT1G25460.1 pep chromosome:v.1.0:1:11575226:11576630:-1 gene:fgenesh2_kg.1__2781__AT1G25460.1 transcript:fgenesh2_kg.1__2781__AT1G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLVTGGTSFIASHVIKTLLEFGHYVRTTVRDSGDEEKDVGFLWELKGAKERLKIFEADLTVEGSFDDAVNGVDGVFHIASRVSVGRDNNNLEKFDPNISGTMNVMNSCAKSRNTVKRIVLTSSSTTIRYRFDATQVSPLNESHWTDLEYCKRFKIWYGYKKTLGEKEAWRIAADKKLNLVVVIPSFCIGPIPSPKPTSSPRIFLSIIKGTRGAYPNFRGGFVHIEDVVAAQILAMEDPKASGRILCSSSVAHWCGSEEGRDMPHSLNTTKIHELGFASFKSLPEMFDDCIKCFQDMGLL >fgenesh2_kg.1__2782__AT1G25450.1 pep chromosome:v.1.0:1:11579333:11581163:1 gene:fgenesh2_kg.1__2782__AT1G25450.1 transcript:fgenesh2_kg.1__2782__AT1G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7KAI4] MSDFSSSVKLKYVKLGYQYLINNFLTVLLIPVIATIVIELLRMGPEEILSVLNSLHFELLHILCSSFLIIFVSTVYFMSKPRTVYLVDYSCYKPPVTCRVPFSSFMEHSRLILKDNPKSVEFQMRILERSGLGEETCLPPAIHYIPPTPTMESARNEAQMVIFTAMEDLFKNTGLKPKDIDILIVNCSLFSPTPSLSAMIINKYKLRSNIKSYNLSGMGCSASLISVDVVRDLLQVHPNSNAVIISTEIITPNYYKGNERAMLLPNCLFRMGGAAILLSNRKSDRWRAKYKLCHLVRTHRGADDKSYNCVMEQEDKNGNVGINLSKDLMTIAGEALKSNITTIGPLVLPASEQLLFLSSLIGRKIFNPKWKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSGEHVEASRMTLHRFGNTSSSSLWYELSYIEAKGRMKRSDRVWQIAFGSGFKCNSAVWKCNRTIKTPTDGAWSDCIERYPVFIPEVVKL >fgenesh2_kg.1__2783__AT1G25440.1 pep chromosome:v.1.0:1:11585482:11587174:1 gene:fgenesh2_kg.1__2783__AT1G25440.1 transcript:fgenesh2_kg.1__2783__AT1G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLANAVGAKTARACDSCVKRRARWYCAADDAFLCQSCDSLVHSANPLARRHERVRLKTASPAVVKHSNHSSSSPPHEAATWHHGFTRKARTPRGSGKKNNSSIFHDLVPEISVEDQTDSYELEEQLICQVPVLDPLVAEQFLNDVVEPKIEFPMMRSGVMIEEEEDNAESCLNGFFPTDMELEEFAADVETLLGRGLDSESYPMEELGLSNSEMFKLEKDEIEEEVEERKAMNMEIFDDDRRDGDGTVPFELSFDYESSHKTSKEEVMKNVESSGECVVKVKEEEQKNVLLLRLNYDSVISTWGGQGPPWSSGVPPERDMDISGWPAVSMGENGGECTHKKQYVGGCLPSSGFGDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRASLAASAAAISPLGVNY >fgenesh2_kg.1__2790__AT1G25380.1 pep chromosome:v.1.0:1:11623597:11626093:-1 gene:fgenesh2_kg.1__2790__AT1G25380.1 transcript:fgenesh2_kg.1__2790__AT1G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7KAJ3] MIEHGNSTFDYRSIREVAVNAGAGATAGAIAATFVCPLDVIKTRLQVLGLPETPASGQRGGVIITSLKNIVQKEGYRGMYRGLSPTIIALLPNWAVYFSVYGKLKDVLQSNDGKLSIGSNVVAAAGAGAATSIATNPLWVVKTRLMVTQGIRPDVVPYKSVMSAFSRICHEEGLRGLYSGILPSLAGVSHVAIQFPAYEKIKQYMANMDNTSVENLSPGNVAIASSIAKVIASVLTYPHEVIRAKLQEQGQMKNAETKYSGVIDCITKVFRSEGIPGLYRGCATNLLRTTPSAVITFTTYEMMLRFFRQVMPPETNKSEDHRRDEERKSLVSRRGEEEEKDLGLRESQTQSNKISTPPIPLGSK >fgenesh2_kg.1__2793__AT1G25360.1 pep chromosome:v.1.0:1:11633379:11635751:-1 gene:fgenesh2_kg.1__2793__AT1G25360.1 transcript:fgenesh2_kg.1__2793__AT1G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KAJ5] MQPNPDLVRAIANRYAANLRLCLPLRRTSLQLARAVHGNIITFGFQPHAHILNRLIDVYCKSSELDYARQLFDEISEPDKIARTTMVSGYCASGDIALARSVFEETPVSMRDTVMYNAMITGFSHNNDGYSAINLFCKMKHEGFKPDDFTYASVLAGLALVVDDEKQCVQFHAAALKSGAGYVTSVSNALVSVYSRCASSPSLLHSARKVFDDIPEKDERSWTTMMTGYVKNGCFDLGKELLKGMDENMKLVAYNAMISGYVNCGLYQEALEMVRRMVSSGIELDEFTYPSVIRACANARLLQLGKQVHAYVLRREDFSFHFDNSLVTLYYKCGKFNEARAIFEKMPAKDLVSWNALLSGYVSSGHIGEAKLIFKEMKEKNILSWMIMISGLAENGFGEEGLKLFSCMKREGFEPCDYAFSGAIKSCAVLGAYCNGQQFHAQLVKIGFDSSLSAGNALITMYAKCGVVEEAQQVFRTMPCLDSVSWNALIAALGQHGHGVEAVDVYEEMLKKGIRPDRITFLTVLTACSHAGLVDQGRKYFNSMETVYRIPPGADHYARLIDLLCRSGKFSEAESIIESLPFKPTAEIWEALLSGCRVHGNMELGIIAADKLFGLIPEHDGTYMLLSNMYAATGQWEEVARVRKLMRDRGVKKEVACSWIEMETQVHTFLVDDTSHPEAEAVYKYLQDLGKEMRRLGYVPDTSFVLHDVESDGHKEDMLTTHSEKIAVAFGLMKLPPGTTIRIFKNLRTCGDCHNFFRFLSKVVQRDIILRDRKRFHHFRNGECSCGNFW >fgenesh2_kg.1__2794__AT1G25350.1 pep chromosome:v.1.0:1:11635933:11683832:1 gene:fgenesh2_kg.1__2794__AT1G25350.1 transcript:fgenesh2_kg.1__2794__AT1G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKDDNSEKSIELFLSIGLDEKTARNTINNNKVTANLTAVIHEAAVTDGCDRNTGNLLYSVATKYPANALVHRPTLLKYIVTSKIKTPAQLEAAFAFFANTSSEDFKLNEFEEACGVGIEVSPEDIEKAVKGIFEDNKKTILEQRYRTNVGELLGHVRKILPWADPKIVKKLIDEKMYELLGEKTAADNEKPTKKKEKKEKPTKVEEKKAVVETTAEPSEEELNPYTIFPQPEQNFMVHTEVFFSDGSILRCSNTKEVLDKHLKVTGGKVYTRFPPEPNGYLHIGHAKAMFVDFGLAKERGGCCYLRYDDTNPEAEKEEYINHIEEIVSWMGWEPFKITYTSDYFQELYDLAVELIRRGRAYVDHQTGDEIKEYREKKMNSPWRDRPIEESLKLFDEMRRGMIEEGKATLRMKQDMQSDNFNMYDLIAYRIKFAPHPKAGDKWCIYPSYDYAHCTVDSLENITHSLCTLEFETRRAPYYWLLHSLSLYMPYVWEYSRLNVTNTVMSKRKLNYIVTNKYVDGWDDPRLLTLSGLRRRGVTSTAINAFVRGIGITRSDGSMIHVSRLEHHIREELNKTAPRTMVVLNPLKVVITNLESDKVIELDAKRWPDAQNDDPSAFYKVPFSRVVYIDQSDFRMKDSKDYYGLAPGKSILLRYAFPIKCTNVVFADDNETVREIHAEYDPEKKSKPKGVLHWVAESSPGKEPIKVEVRLFEKLFNSENPAELNNDWLTDINPNSKVVISGAYAVSTLKDAAVGDRFQFERLGYYAVDKDSEPGKLVFNRTVTLRDSYGKGGK >fgenesh2_kg.1__2812__AT1G25230.1 pep chromosome:v.1.0:1:11720754:11722577:1 gene:fgenesh2_kg.1__2812__AT1G25230.1 transcript:fgenesh2_kg.1__2812__AT1G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7KAJ9] MCSKFDIGSLSIVMTLLLCFLLLSLAPKLEAKLATVEHAPNPDGSISFLVIGDWGRRGLYNQSQVALQMGRIGEEMDINFVVSTGDNIYDNGMKNIDDPAFQLSFSNIYTSPSLQKPWYLVLGNHDYRGDVEAQLSPILRSMDSRWICMRSFIVDAEIAELFFVDTTPFVDAYFLNPQDQTYDWSGVSPRESYLQTILTELEMGLRESRAKWKIVVGHHAIKSASIHGNTKELESLLLPILEANKVDLYMNGHDHCLQQISTSQSPIQFLTSGGGSKAWRGYYNWTTPEDMKFFYDGQGFMSVKITRSEMSVVFYDVFGNILHKWDTSKMLDTDFYFPL >fgenesh2_kg.1__2814__AT5G57890.1 pep chromosome:v.1.0:1:11724074:11726374:1 gene:fgenesh2_kg.1__2814__AT5G57890.1 transcript:fgenesh2_kg.1__2814__AT5G57890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTLYKSCLLQPKSRSTTRLNLSLVNPLTNPTRVSVLGKSRRDVFAKASIEMADSNSIPSVVVNSSKQNGYGPIIVIDNYDSFTYNLCQYMGELGCHFEVYRNDELTVEELKNKNPRGVLISPGPGTPQDSGISLQTVLELGPRVPLFGVCMGLQCIGEAFGGKIVRSPFGVMHGKSSMVYYDEKGEEGLFSGLSNPFLVGRYHSLVIEKDSFPSDELEVTAWTEDGLVMAARHRKYKHIQGVQFHPESIITTEGKTIVRNFIKLVEKKESEKLT >fgenesh2_kg.1__2824__AT1G25141.1 pep chromosome:v.1.0:1:11729015:11739779:-1 gene:fgenesh2_kg.1__2824__AT1G25141.1 transcript:fgenesh2_kg.1__2824__AT1G25141.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KAK2] EDNTRLVVWNPCTGQTRWIQRENCYDLYDNYSLGSYQDKRCNDNSYNILAHTGFGYDHKFQIYEINSNSWRIIDATFDFKLEYIGEGVGEKEKRLGIFLISFDYTTERFERLRLPNKEEKLSMLSQRAIKSKPEIWVTNKIGETQVVSWTMVLAVYLQPGLCIWDGVSFWVDEKKKVVVCCDNLKDQGKTTKHIFGEDNKFDSNLPRYHRQKKKRRMIIVFVNVRYQHIRCQSCPVKRIVLQQKQEDQIKSIVFNKREEIKNHQRLRSAYIATKKMTLSVTVKATKPSSLVTWIRYSSAASSPTISLNTSGRLQQTLAGSVEVKGKSLHSGKFSTVKLIPEIAGAGRYFEFRSRFIPASIEFAQESPLCTTLLKDELKIRTVEHLLSALEAKGVDNCRIQIASESSYDREVEVPIFDGSAKEWVDAIEGVGLKVAQNHVGESVEKMVSHVNKHVYVCKNDSFVAAYPAVETRITCGIDFPQVPAIGCQWFSWRPIHESSFAKDIAPSRTFCVYEEVERMREAGLIKGGSLDNAIVCSTEHGWMNPPLRFENEACRHKILDLIGDLSLVARGGNGGLPVAHIIAFKRIDELIRCIKELNSMLSCNKNSPTQQSMTCEAEVYIGLALLLSKVGKMTRDGKNKVGRRMKGTSMCDLPPKFVGEKILTKIPITSLRANEYVRSSTEAGRGEDPHQDSHNISESGEIHLQIMEDFNQRLGFGFHLCRNKDKEDLIDLSIKQVDLLNQVEISKVYHCDGLLLCVAKDNSRVVVWNPYLGQTRWIRPRTESNIGDSYALGYDINQTFPFPGTKSTTCALIHGGFSRSLPPGKWTLIIASNTYFFAHEDSHSVETDEDGEITDLEDFLLCFDFTTETFGLRLPLPFHSTIDATVTLSNVRDQQLAVLYHNEGLHSDDRFTTVEFWVTTSIEPNSVSWSKFLIVDMRPIALAGVLFDNYMGATFFIDEEEKVAAVFDLDGYLRTESARYHTAFISGKDGFFKPVTLGVAPNVGKPCPRTGHIPTTYRPPLVCSSSYLPSLVQVNQPRKRKERDV >fgenesh2_kg.1__2838__AT1G24625.1 pep chromosome:v.1.0:1:11778692:11780010:1 gene:fgenesh2_kg.1__2838__AT1G24625.1 transcript:fgenesh2_kg.1__2838__AT1G24625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESDDASRETPPSREGEASSNQDLSKPESNPVSLDLKLNDSFNDETKSTKCEANPRVFSCNYCRRKFYSSQALGGHQNAHKRERTMAKRAMHMGRMFGHHHRPYTYTSSSLGMQAHSGLLHHTLSQPQPLVSRFHHQGYFGNTVPLFFDSDDGGSDFFWPGSFRQVVEEAEAPVVVAVTEPGLDLNSVAANGGVDNNNSKPDLTLRL >fgenesh2_kg.1__2840__AT1G24610.1 pep chromosome:v.1.0:1:11784121:11786213:1 gene:fgenesh2_kg.1__2840__AT1G24610.1 transcript:fgenesh2_kg.1__2840__AT1G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASLAAQIRPFTCLAASQPSRLAPHPPDLIRWIKREGGFVHHAIKLSQETQFGIGLISTEQISPGTDLISLPPHVPLRFESDDASSSSSSLLSALARRVPEELWAMKLGLRLLQERANVDSFWWPYISNLPETFTVPIFFPGEDIKNLQYAPLLYQVNKRCRFLLEFEQEIRRTLEDVKASDHPFSGQDVNASALGWTMSAVSTRAFRLHGNKKLQGGSSDDVPMMLPLIDMCNHSFKPNVKIIQEQNGAESNTLVKVVAETELKENDPLLLNYGCLSNDFFLLDYGFVIESNPYDTIELKYDEQLMDAASMAAGVSSPKFSSPAPWQHQLLSQLNLAGEMPNLKVTIGGPEPVEGRLLAAIRILLCGEMVEVEKHDLDTLKSLSAIAPLGIANEIAVFRTVIALCVIALSHFPTKIMEDEAIIKKGVPATAELSIKYRIQKKSVIIDVMKDLTRRVKLLSSKETPTAA >fgenesh2_kg.1__2844__AT1G24575.1 pep chromosome:v.1.0:1:11804957:11805551:1 gene:fgenesh2_kg.1__2844__AT1G24575.1 transcript:fgenesh2_kg.1__2844__AT1G24575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGNSSSSGHKSHHHHHNHHHRDSMKEFRPVFEAEEPIQGFEYADMRRSKSENMGTREVAKAEDVDKEAEQFIKFEHTKFSKWMTKSS >fgenesh2_kg.1__2846__AT1G24560.1 pep chromosome:v.1.0:1:11810878:11814178:-1 gene:fgenesh2_kg.1__2846__AT1G24560.1 transcript:fgenesh2_kg.1__2846__AT1G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGPDEDADAVLSDVESDEPAPVVLKDSPREEASEEKITELIAELDREKKAREAAETSKSELQVSFNRLKSLAHEAIKKRDESKRERDEALKEKESLTKELENVNKGKDEMSKKLDDAVRSRDGLKAEIENSSHMLVSGIEKISGKVSSFKNFSNGGLPKSQKYTGLASVAYGVIKRTNEIVEELVRQIDTTAKSRNEAREQMDQRNYEIAIEVSQLESAISNLRLEVAEKASIVDDLERSVSEKEKRIAELEKGNLEKVSVLEGEVVELKGLVDEYDGKLKTMELKMVAQRPLLMDQLNLVSRIHDQLYEVVRIVDGNSSEQSDLSESFFMPQETEMEENIRASLAGMESIFELTKVVSGKTQSLVEEKSHELKNLNETLGLLVKEKEHIGTLLRSALSKRMIGEQPAQKRELFQAAENGLRDAGTDSKFAKLLKDGKVQDSRSDNTDDHSTEDNEIYSLASTLENIVKASQLEIVELQHLLEESREETSSLRKQLDTQTKELNQRMRQIEELKEKERIANENVEGLMTDIAAAEEEITRWKVAAEQEAAAGGAVEQDFTSQLYVLKEELEEAKQAIMESEKKLKFKEETAAAAMGARDAAERSLRLADNRATKLRERIQELNRKVEELETHRDMNTSNRARYACWPWQLLGIDFVGGRRIESGQESANEMELAEP >fgenesh2_kg.1__2847__AT1G24540.1 pep chromosome:v.1.0:1:11819448:11821034:-1 gene:fgenesh2_kg.1__2847__AT1G24540.1 transcript:fgenesh2_kg.1__2847__AT1G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP86C1 [Source:UniProtKB/TrEMBL;Acc:D7KAM2] MNVLISAVVWVYTHLRLSDVALALVGLFLLSYLREKLVSKGGPVMWPVLGIIPMLALNKHDLFGWCTRGVVRAGGTFHYRGIWFGGSYGIMTADPANVEHILKTNFKNYPKGAFYRERFRDLLEDGIFNADDELWKEERRVAKTEMHSSRFLDHTFTTMRDLVDQKLVPLMENLSTSKRVFDLQDFLLRFTFDNICISAFGVYPGSLETDLPEIPFAKAFEEATEYTLARFLVPPFVWKPMRFLGIGYERKLKKAVRIVHAFANKTVRERRNKMRKLGNLNDYADLLSRLMQREYEKEEGTARGNYFSDKYFREFCTSFIIAGRDTTSVALSWFFWLVQKHPEVEKRILSEIREIKGKLTTQETEDQFEAEELREMVYLQAALTESLRLYPSVPMEMKQALEDDVLPDGTRVKKGARIHYSVYSMGRIESIWGKDWEDFKPERWIKKGRIVSGDQFKYVVFNGGPRLCVGKNFAYTQMKMVAAAILMRYSVRVVHGQEIVPKLTTTLYMKNGMRVMLQPRDW >fgenesh2_kg.1__284__AT1G03550.1 pep chromosome:v.1.0:1:1047875:1050007:-1 gene:fgenesh2_kg.1__284__AT1G03550.1 transcript:fgenesh2_kg.1__284__AT1G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:D7KCG1] MARHDPNPFADEEINPFANNTSVPPASNSYLKPLPPEPYDRGATVDIPLDSGNDLRAKEMELQAKENELKRKEQELKRREDAIARTGVVIEEKNWPEFFPIIHHDIPNEIPIHLQKIQYVAFTTLLGLVGCLLWNIVAVTVAWIKGEGPTIWLLSIIYFIAGVPGAYVLWYRPLYRATRTDSALKFGAFFFFYVFHIAFCGFAAVAPPVIFQGKSLTGFLPALELLTTNAAVGIMYFIGAGFFCIETLLNIWVIQQVYAYFRGSGKAAEMKREATKSTLMRAL >fgenesh2_kg.1__2850__AT1G24510.1 pep chromosome:v.1.0:1:11837289:11840129:1 gene:fgenesh2_kg.1__2850__AT1G24510.1 transcript:fgenesh2_kg.1__2850__AT1G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEFGRPFIILREQDQKTRLRGIDAQKANIAAGKAVARILRSSLGPKGMDKMLQGPDGDITITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERQLDRGIHPIRIAEGYEMASRVAVDHLERIAQKFEFDVNNFEPLVQTCMTTLSSKIVNRCKRSLAEIAVKAVLAVADLERRDVNLDLIKVEGKVGGKLEDTELIYGILIDKDMSHPQMPKQIEDAHIAILTCPFEPPKPKTKHKVDIDTVEKFETLRKQEQQYFDEMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGKAGVVREKSFGTTKERMLYIEHCANSKAVTVFIRGGNKMMIEETKRSIHDALCVARNLIRNKSIVYGGGAAEIACSLAVDAAADKYPGVEQYAIRAFAEALDSVPMALAENSGLQPIETLSAVKSQQIKENIPFYGIDCNDVGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISNSEY >fgenesh2_kg.1__2854__AT1G24480.1 pep chromosome:v.1.0:1:11849385:11850170:1 gene:fgenesh2_kg.1__2854__AT1G24480.1 transcript:fgenesh2_kg.1__2854__AT1G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F21J9.14 [Source:UniProtKB/TrEMBL;Acc:D7KAM7] MKQPKPILKYVLVSIFLSLPLILFFSIQVRKPEKELIRIRPGYTSYDYYIQRQLNKTLNPRLRTIWMTRDWDRKIKVFSRFFQDLKRQGLLSNDSKCLCVGARVGQEVEALKRVGVNDSVGMDLVPYPPLVVKGDFHHQPFDDETFDFEFSNVFDHALYPEKFVGEIERTLRHGGLCVLHVALSTRSDKYSANDLYSVEALVKLFRRSEVVHVRNVDGFGLDTEVVFRKKRESSILVRS >fgenesh2_kg.1__2855__AT1G24470.1 pep chromosome:v.1.0:1:11850150:11852500:-1 gene:fgenesh2_kg.1__2855__AT1G24470.1 transcript:fgenesh2_kg.1__2855__AT1G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7KAM8] MQRACISESQPWHLHVVCFIGFLSLLRLLFIPLLKWFIIRFLLTNPKRLKRYGSWAMVTGATEGIGRAFAYELAKHGLNLILVSRNLSKLEYVSDDFQQEFPHIKIKIIPFDFSSEGGYGAIEEGIKGLEVGILINNVGITYPRAMFFHEVDQLTWTKILRVNLEATTWVTRSLIGPMLHRRRGAIVNISSGAAVVVPSHPLYAIYAATKAYVDALSRSLHVEYKQFGIDVQCQVPLYVATRMVSEVAAIDKPSLFVPSPEVYAKAAVEQIGIGSRCSPFWAHSLQWFLVGLVPDNLVDTWRLSIGLRRRS >fgenesh2_kg.1__2856__AT1G24460.1 pep chromosome:v.1.0:1:11853895:11860173:-1 gene:fgenesh2_kg.1__2856__AT1G24460.1 transcript:fgenesh2_kg.1__2856__AT1G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHENDDLPHDSVENDDEESNGQEEEELDPDQGTAFVDSKEDMFVDAPEELNFDTPSKEALTTDDDDDNDDLAYHFNVEKEDWEKELAGLQEQFKLLTDGNDLKGEDGNTTEEIVSRFSKFLKTAKEERIQHEDALKELHGVISGKDNEIADLTTKISELSSSQSVSELGDQAQNMEHLEAATDRIMVCLSNVFGQEELQYGSSISEKLAHLENRVSFLGAKYTEFYYGADQLRKCLSSDVLDLSFQEDFGSALGAACSELLELKQKEAAFLERLSHLEDENRNLVERVDRDKEMIESMRTEFQKMKAELEQEKTKCTNTKEKLSIAVTKGKALVQNRDALKHQLSEKITELANRLTELQEKEISLENSEVVKGQLEQLLAKKTDELEKCYAELNDRSVSLEAYELTKKELEQSLAEKTTELEECLMKLQEMSTALDQSELDKGELAKSDAMVASYQEMISVRNSTIENIETMLSKIDTPEEGQSFDIVEKVRSLAEERKELTNVSQEYNRLKDLIFSIDLPEEISQSSLEIRLAWLRESFLQGKDEINALQNRIESVSMSLSAEMEEKNNIRKELDDLTFSLKKMEETAERGSLEREEIVRRLVEISGLMTEGVKDHNSSAINLLVDRSFEKIEKQIKDSSDSSYGNEEIFEGFQSLLYVRDLEFSLCKEMLGEGELVSFQVSNLSNELKIASQELAFVKEEKIALEKDLERSEEKSALLRDKLSMAIKKGKGLVQDREKFKTQLDEKNSEIEKLMLKLQQLGGTVDGYKNQIDMLSRDLERTKKLETGLVAIKEERDQLKQSLSLNDTLLQKVMKSVEIIAVPVDLAVSEDPSEKIDRLAGYIKEVQLARGEEQEELEKVKAEVYALASKLEETQTALKLVEDALSTAEGNISQLTEENREVQAAKENVDLELQKAVADASSVASELDEAFATKSTLEAALMQAERNISDIISEKEEAQGRTATAEMELEMVQKEFSIQKNKLTEAHGTINSLEETLAQAESNMDSLSKQIEDDKVLTTSLKNELEKLKIEAEFERSKMADASLTIGSLEEALMKAENSLSALQGEMVKAEGEISTLSSKLNVCMEELGGSSGNSQSKSLEIIAHLDNLQMLLKDGGLISRVNEFLQRKFKSLRDVDVIARDITRNIGEKGLLAAEIGNAEDDSTEAKSLLSDLDNSVNTEPENSQGSAADEDEISSSLRNLAEGVRLRNKTLENNFEGFSTKIDTLIAAVMQNMTAARADVINIVGHNASLEEQVRSVEDIVREQENTIAALQKDLSSLMSACGTAARELQLEVKNNLLELVQFQENENGGEMESTEDPQELHVSACTQRVKELSSAAEKACATLKLFETTNNAAAAVIRDMENRLTEASVALEKVVLERDLNQTNVSSSEAKEEKWHEKEVELSTLYDKLLVQEQEAKEILIPASDMRALFDKINDIEVPSVDLVNGLDPQSPYDVRKLFAIVDSVIEMQHQIDILSYGQKELNSTLAEKDLEIQGLKKAAEAESTTELELVKAKTELSKLISGLEKLLGILAGNDPVVDPNFSESWTLVQALEKKITSILLESESSKSRAQELGLKLTGSEKIVDKLSIKVKEFEDKLQSKAIQPDIVHERSIFEAPRAPSTSEISEIEDKGALGIKSISPVPTAAQVRTVRKGSTDHLSINIDSESEHLMNHNETDEDKGQDFGGVRWKSINEPSSSKAWRNGIQSLIASVAPSLHLVIKTGDS >fgenesh2_kg.1__2857__AT1G24450.1 pep chromosome:v.1.0:1:11861141:11862104:-1 gene:fgenesh2_kg.1__2857__AT1G24450.1 transcript:fgenesh2_kg.1__2857__AT1G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRFTLLLLVVAIGIFSSFSQVQVQATSEINLRIEPFPSPFATDLATLQTQIGYKFNNTNLLRRAMTHASFSQENNKALSIFGTNIIETSVSLQFLAKDIDISSKALRRLIAQVSNVESSCALDGDRLGLGKIIRVSPKTDASNSAILCAGFRAIFGAIAIDTGMVDEAIKVFWKVHGDRPGRLVSML >fgenesh2_kg.1__2859__AT1G24430.1 pep chromosome:v.1.0:1:11866919:11868255:1 gene:fgenesh2_kg.1__2859__AT1G24430.1 transcript:fgenesh2_kg.1__2859__AT1G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KAN2] MSQILVNPNPNELNKFLPFKFHEASDVPLRVQVTFFECGGLALGVGLSHKLCDALSGLIFIKSWAAFARGDTNEIITPSFDLAKMFPSCDMENLNMATGITKENVVTKRFVFLKSSVESLRERFSGNKKIRATRVEALSVFIWSRFMASTNQDDKPGKIYTLIHPVNLRRQADPYIPDNMFGNIMRFSVTVPKTIINEHDEEKASLVEQMREEIRKIDAVFVKKLQEDNRGHLEFLSKQASGFVNGEIVSFSFTSLCKFPVYEADFGWGKPLWVASARMSYKNLVAFIDTKEGDGIEAWINLDQNDMSRFEADEELLRYVSSNPSVIGSAS >fgenesh2_kg.1__2860__AT1G24420.1 pep chromosome:v.1.0:1:11868460:11869770:-1 gene:fgenesh2_kg.1__2860__AT1G24420.1 transcript:fgenesh2_kg.1__2860__AT1G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KAN3] MEKKVEILSREIIKPSSPTPNDKRILNLSLLDVLSSPMYTGALLFYAADPQNLLGFESEETSLKLKKSLSETLPIFYPLAGRIIGSFVECNDEGAVFIEARVDHLLSEFLKCPVPESLELLIPVEAKSREAVTWPVLLIQASFFSCGGLVITICISHKITDATSLAMFIRGWSESSRGLGITLIPSFTAAEFFPLPIDELPSKPMDRKVEVEEMSCVTKRFVFDASKIKKLRAEVSSNLVKNPTRVEAVTALYWRCVTKASRSSSPTPRTSVLQILVSLRGKVDSLCENTIGNMLSLIILKNEEAKIDRIQDVVDELRRAKEIFSLNCKEKSKSSSRIFELLEEIRKVHGRETEVDLWMSNSWCKLGMYEADFGWGKPVWVTGRGTSNFKNLMLLIDTKDGEGIEAWITLTEEHMSLFECDQELLESASLNPPVLI >fgenesh2_kg.1__2861__AT1G24405.1 pep chromosome:v.1.0:1:11870711:11871125:-1 gene:fgenesh2_kg.1__2861__AT1G24405.1 transcript:fgenesh2_kg.1__2861__AT1G24405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGLSWADQWDYNSDPPPNSSNEDDKKKKKKEDGSKSGIGKAILGFKWMKLRKKSD >fgenesh2_kg.1__2863__AT1G24360.1 pep chromosome:v.1.0:1:11885731:11888437:-1 gene:fgenesh2_kg.1__2863__AT1G24360.1 transcript:fgenesh2_kg.1__2863__AT1G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast 3-oxoacyl-(Acyl-carrier protein) reductase [Source:UniProtKB/TrEMBL;Acc:D7KAN7] MATAVAAPRLVSLKAAAKLGYREISQVRQWAPLHSAMPHFGMLRCGSRQPFSTSVVKAQATATEQSPGEVVQKVESPVVVITGASRGIGKAIALALGKAGCKVLVNYARSAKEAEEVSKQIEEYGGQAITFGGDVSKAADVDAMMKTALDKWGTIDVVVNNAGITRDTLLIRMKQSQWDEVIALNLTGVFLCTQAAVKIMMKKKKGRIINISSVVGLIGNIGQANYAAAKGGVIAFSKTIAREGASRNINVNAVCPGFIASDMTAELGEDMEKKILGTIPLGRYGKPEEVAGLVEFLALSPAASYITGQAFTIDGGIAI >fgenesh2_kg.1__2866__AT1G24330.1 pep chromosome:v.1.0:1:11901995:11905103:-1 gene:fgenesh2_kg.1__2866__AT1G24330.1 transcript:fgenesh2_kg.1__2866__AT1G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7KAP1] MDVSELEENLFAASDAKLHRDMCKELSAVYCKVLSIFPSLEEARPRSKSGIQALCSLHIALEKAKNILQHCSECSKLYLKAKSALIDNLRRVEDIVPSSIGSQILDIVGELEHTKFLLDPSEKEVGDSIIALLQQGKKFDNGNDSTELEIFHQAATRLSITSSRSALAERRALKKLIDRARVEEDKRKESIVAYLLHLMRKYSKLFRSEMLDENDSPCSTPCSPTGQGSNEDRVNAFGRQLSKFGSINYKPMNSRKPGQMPIPPEERRCPISLQLMCDPVIIASGQTYERVCIEKWFSDGHNSCPKTQQQLPHLSLTPNYCVKGLIASWCEQNGISVPNGPPESLDLNYWRLTISDSESPNSKSVDSVGSCTPKVVKIVPLEESSTIESERQQKEENNDLVVNDEVDSEINVLEGYQDILAILDKEEDLAKKCKVVENVRLLLKDNEEARILMGANGFVEAFLQFLESAVHENNAAAQETGAMALFNLAVNNNRNKELMLTSGVIPLLEKMISCSQSQGPATALYLNLSCLEEAKPVIGSSQAVPVFVNLLLQETETQCKLDALHALHALYNLSTYSPNIPTLLSSNIIKSLQVLASTGNHLWIEKSLAVLLNLASSREGKEEMISTQGMISTLATVLDTGDTVEQEQAVSCLVILCTGSESCIQMVLQEGVIPSLVSISVNGSPRGRDKSQKLLMLFREQRQRDQPSPKRDEAPRKTVSAPMAIPAPVSAPESEVKPLTKSISRRKTMTRPFSFLWKKSYSIHH >fgenesh2_kg.1__2868__AT1G24310.1 pep chromosome:v.1.0:1:11914009:11916368:-1 gene:fgenesh2_kg.1__2868__AT1G24310.1 transcript:fgenesh2_kg.1__2868__AT1G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTPSSSPSFGTPSSTPAFGTSSPAFGTPSATPAFGTPSVPSFSSGGFGSSLFSTPFSSQQPQQQQQQQQQQQQPSSLFQQQPSSSFGFQSPFNNTAQQQTPFPNAQLTTQMAPVAPIPYSLADRDVQVIIEAYKEGPTNPKYAFQHLLFSVTEPQYRVKPAAVSDIMWAEAMSKLEGMDSTERERLWPQLVQGFKDLSQRLKLQDEVLASDRDRIKTTQSNVKMLQRHLQASTFPSIERLRQKEQSLQRRMLRVMRIIEGLEGKGFRLPLTKGEAELSEKLTAITRQVKGPGAELSRRVQSLQTISRAQANSIAAGSSLYLPGSTKIDEQSLIDMQEVLQQETEAIGRLGNVLKRDMRDMEIMVAEDTEMAQDS >fgenesh2_kg.1__286__AT1G03600.1 pep chromosome:v.1.0:1:1060336:1061103:1 gene:fgenesh2_kg.1__286__AT1G03600.1 transcript:fgenesh2_kg.1__286__AT1G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II family protein [Source:UniProtKB/TrEMBL;Acc:D7KCG4] MASASATATLLKPNPPPHKPTIIASSVSPPLPPPRRNHLLRRDFLSLAATSTLLTQSIPFLAPAPASAAEDEEYIKDTSAVISKVRSTLSMQKTDPNVADAVAELREASNSWVAKYRKEKALLGKASFRDIYSALNAVSGHYVSFGPTAPIPAKRKARILEEMETAEKALSRGR >fgenesh2_kg.1__2870__AT1G24300.1 pep chromosome:v.1.0:1:11917728:11923603:1 gene:fgenesh2_kg.1__2870__AT1G24300.1 transcript:fgenesh2_kg.1__2870__AT1G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GYF domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KAP4] MAEGKFDLPDDLIVSKSSDQLKELASDNSIPLSPQWLYTKSSESKMDVRSPTPVPMGNPSDPNLKDAWRLDAPEDKKDWRKIVPENETSRRWREEERETGLLGARKVDRRKTERRIDTVSSRDTGDNKNTAASDRWNDVNSRAAVNEPRRDNKWSSRWGPDDKEKETRCEKVDNIKDKEEPLGESQSVVSSVRTTSERDSDPRDKWRPRHRMESQSGGPTSYRAAPGFGLDRGRAEGPNLGFSVGRGRANATGRGSSTSLIGAGACASASMFRYPRGKLRFSDSGETKVDGALLGFVNGDNGSMQNSDSGLLGSHNGDLGGAPSVSRLNSVASESYGSFGAGIQVSHGSPEAVRSVFTKSSVLDGSESVVGSFEQEYMGKLQQPNIEVDHSDGAMPPEEFLFLYIDPQGVIQGPFIGSDIISWFEQGFFGTDLQVRLANAPEETPFQDLGRVMSYLKTESSHAHISNQKSELEESRLKANSDTGLSIAPVAESNDSSSRSFSVYNNPSAQDNFQRKSEAEVYGTPPHAEDRSFLDFSAQDEEIVFPGRAGVSGYASVKSSTSMHDALMEFSGQSAIPVESTKAATQKQNENKLHPFGVLWSELESSNAPVNLLPNRSYDAMGEPSGSIENWPIDSRRSKLVDPNMSLDALASNRMSQFEHVSNRFSLGDQLSSNQHHQQQFQNRDMLSHSHIGDQAQDLEHLITLQLQQQQKIQLQQQQKIQLQQQQKMQLQQHQLEQEHQLHQKLLQEQQQSHARQLHFQQILQGQTPDSRFGQSHDFPRSNSVDQMLLEHQLMNELQKNSGHPSQNFAPYLEQLAAGNFGQLPHEGRQRELLEQLLSTKMQSQYGLMQSQHGQLQSEATRSLDYQLLQQEQLMQLANGVRHNTLLEEQRHIDPLWPSDHNDQLLRSHPGIHRSRSSTGFRPLDFHQQQQRPPFEDQFGQLERNLLYQQQLRQELFEQGLPFERSASLPVSVSGMNLDAVNGLGLSQGLELRDATAHMQIGNSTLGFNHQNPRIPLGEPHFSQLEPMEGRWSGADTQVVGEWAESQFHRSNIDAEHHKMRSESRRMGEDSNSWMVGGTTEDRSKQLFMELLHQRPGHQSAESPSMNRGESYDRMAPSGLTLGIQTLGGLSDHGGSLNAPSTFGARAFSDEQINRSSGDRNNMGSLHRNSSLLSGIIDGGRSNQNESQAFSNMFAMNKDTNDIKTWNNVLPKNDGMGRMMSYEAQDRMGKQAVLDSLVQEELPVVTPGQHSSFNISDQYSDNLVGEDRRKDRLVVPSHGQDSVLLKRPPSSHSSSSHEGLLERMSDTASRTAASSYSGIEGGVRRESGAAGNKGSTSEAASFSEMLKKSNSMKKVAAESTDATEGSKGGGGKKKGKKGRQIDPALLGFKVTSNRILMGEIHRADDF >fgenesh2_kg.1__2871__AT1G24290.1 pep chromosome:v.1.0:1:11923805:11925541:1 gene:fgenesh2_kg.1__2871__AT1G24290.1 transcript:fgenesh2_kg.1__2871__AT1G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:UniProtKB/TrEMBL;Acc:D7KAP5] MEQLVSMGFSSDLAAEALTATGGDSIQKATDWILSHRSSPQSTATLQPKLDRFLCLNPKTLSPVVGDDSSKRPNPETQIPVAADDSNKRPKLSSSRHRQHQPLSERMRPRTLDDVVGQEHLLSPASLLRSAIKSNRLPSIVFWGPPGTGKTSIAKSLINSSKDPSLYRFVSLSAVTSGVKDVRDAVESAKRLNLEGKKRTVLFMDEVHRFNKSQQDSFLPVIEDGSILFIGATTENPSFHLITPLLSRCRVLTLNPLKPNHVETLLRRAVDDSERGLSNSVEVDDSVIEFLAYNCDGDARVALNALEISATMATARAGSDAVVSIDDAKEALQCKHLAYDKAGDQHYNLISALHKSMRGGDANAAIYWLARMLEGGEEPLYIARRLIRFASEDIGLADPSALTQAVACYQASHFLGMPECNVILAQCTAYLALAPKSVAVYRAIGAAQKVVKDSVGQNEGVPLHLRNAPTKLMKELGYGKEYIYPPDDPSSAAAQTYLPPSLLHYKFLEWPHGVSGDLQDQEHKF >fgenesh2_kg.1__2877__AT1G24260.1 pep chromosome:v.1.0:1:11947298:11949635:1 gene:fgenesh2_kg.1__2877__AT1G24260.1 transcript:fgenesh2_kg.1__2877__AT1G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMLRTLERYQKCNYGAPEPNVPSREALAVELSSQQEYLKLKDRYDALQRTQRNLLGEDLGPLSTKELESLERQLDSSLKQIRALRTQFMLDQLNDLQSKERMLTETNKTLRLRLADGYQMPLQLNPNQEEVDHYGRHHQHSQAFFQPLECEPILQIGYQGQQDGMGAGPSLNNYMLGWLPYDTNSI >fgenesh2_kg.1__2878__AT1G27290.2 pep chromosome:v.1.0:1:11951607:11952953:1 gene:fgenesh2_kg.1__2878__AT1G27290.2 transcript:fgenesh2_kg.1__2878__AT1G27290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRQRDEQQSRVFYDLSALVLNLLRSPPMPISLPDHFPDPPARIRPPSSSSPSMAHISPSGFASLLLGISVALMLCGSVTFFIGFLLMPWVIALIMVFYVAGIVSAISMVGRSILCYVLTPPSPSGKEISEWKLL >fgenesh2_kg.1__287__AT1G03610.1 pep chromosome:v.1.0:1:1063374:1065475:1 gene:fgenesh2_kg.1__287__AT1G03610.1 transcript:fgenesh2_kg.1__287__AT1G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGKGSTKKSNLDRFLHCITPLVPPQSLPKAEIRTLNRLWHPWEREKVEFFRLSDLWDRYDEWSAYGASVPIHITNGESLVQYYVPYLSAIQIFTSHSSLIRLREESEDGECEGRDPFSDSGSDESVSEEGLENNTLLHPNDRLGYLYLQYFERSAPYTRVPLMDKINELAQRYPGLMSLRSVDLSPASWMSVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDMEPEENGGDKERVRREGEDITLLPFGMATYKMQGDVWLSQDHDDQERLASLYSVADSWLKQLRVQHHDFNYFCSMSMTHRG >fgenesh2_kg.1__2880__AT1G27300.1 pep chromosome:v.1.0:1:11953381:11954541:1 gene:fgenesh2_kg.1__2880__AT1G27300.1 transcript:fgenesh2_kg.1__2880__AT1G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEDVENLSRAIEKLLIEKRKREASGDAFIEDDDDQLLLSRLISQLESPKSKEKAGVITKEEEESAPSKGKREGQRQLEESIEELAKDIKKVKKQNTITHVLLSAVIILTLTWQLSEYSMIFMLKDRISHPVRSIGGMLNGMFKGKLRPIKNQLAGTSNSNDQNNHGNGTQIGPQLQVPELLREFGFDDDE >fgenesh2_kg.1__2882__AT1G27320.1 pep chromosome:v.1.0:1:11958127:11963002:1 gene:fgenesh2_kg.1__2882__AT1G27320.1 transcript:fgenesh2_kg.1__2882__AT1G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFHVLGFGVKIGHLFWMLCCWFVSWFVDNGIEDNKSGLLIGSVGDLEKTKMTTMKKKNKMWFWNKISSSGLKIPSFSYQFLGSVKFNKAWWRKLVVVWVVFWVLVSIWMFWYFSSQAMEKRKETLASMCDERARMLQDQFNVSMNHVQAMSILISTFHHGKIPSAIDQRTFSEYTDRTSFERPLTSGVAYAMRVLHSEREEFERQQGWTIRKMYSLEQNPVHKDDYDLEALEPSPVQEEYAPVIFAQDTVSHVVSLDMLSGKEDRENVLRARSSGKGVLTAPFPLIKTNRLGVILTFAVYKRDLPSNATPKERIEATNGYLGGVFDIESLVENLLQQLASKQTILVNVYDTTNHSQPISMYGSNVSADGLERVSPLIFGDPFRKHEMRCRFKQKPPWPVLSMVTSFGILVIALLVAHIIHATVSRIHKVEEDCDKMKQLKKKAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTELDVTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELEEVRFDLRGILDDVLSLFSSKSQQKGVELAVYISDRVPDMLIGDPGRFRQILTNLMGNSIKFTEKGHIFVTVHLVEELFESIDGETASSPESTLSGLPVADRQRSWENFKAFSNEHRSFEPSPLDINLIVSVEDTGVGIPLEAQSRIFTPFMQVGPSISRTHGGTGIGLSISKCLVGLMKGEIGFSSTPKVGSTFTFTAVFSNGMQTTERKNDPQNNNQPIFSEFRGMKAVVVDHRPARAKVSWYHFQRLGIRVEVVPRVEQALRYLKIGTTTVNMILIEQEIWNKEAEVFIKKLQKDPLFLSPKLILLANSVESSISEALCTGIDPPIVIVKPLRASMLAATLQRGLGIGIREPPQHKGPPALILRNLLLGRKILIVDDNNVNLRVAAGALKKYGADVVCAESGIKAISLLKPPHEFDACFMDIQMPEMDGFEATRRIRDMEEEMNKRIKNGEALIVENGNKTSWHLPVLAMTADVIQATHEECLKCGMDGYVSKPFEAEQLYREVSRFFNSPSDTES >fgenesh2_kg.1__2883__AT1G27330.1 pep chromosome:v.1.0:1:11963534:11964498:1 gene:fgenesh2_kg.1__2883__AT1G27330.1 transcript:fgenesh2_kg.1__2883__AT1G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKRLADRKIEKFDKNILKRGFVPETTTKKGKDYPVGPILLGFFVFVVIGSSLFQIIRTATSGGMA >fgenesh2_kg.1__2885__AT1G27350.1 pep chromosome:v.1.0:1:11968855:11969957:-1 gene:fgenesh2_kg.1__2885__AT1G27350.1 transcript:fgenesh2_kg.1__2885__AT1G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKRLADRKIEKFDKNILKRGFVPETTTKKGKDYPVGPILLGFFVFVVIGSSLFQIIRTATSGGMA >fgenesh2_kg.1__2893__AT1G27370.2 pep chromosome:v.1.0:1:11976142:11979066:-1 gene:fgenesh2_kg.1__2893__AT1G27370.2 transcript:fgenesh2_kg.1__2893__AT1G27370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNMVSPFPWDWENLIMSNPSKTENEKRQQSTEWEFEKGDGIESIVPDFLGLEKVSSVSATSFWHTAVSKSSQSTSINSSSPEVKRCNLASQSSPGDSSSNIDFVQVKASTALEVSVASAESDLCLKLGKRTYSEEFWGSNNNDISAVSMNLLTPSVVARKKTKSCGQSMQVPRCQIDGCELDLSSAKDYHRKHRVCEKHSKCPKVIVSGLERRFCQQCSRFHAVSEFDEKKRSCRKRLSHHNARRRKPQGVFPLNSERMYDRRQHTSMLWNGLSLNTRSEEKYAWGTTNETKPTQMESGFTLSFQRGNGSEEQQFASSSLSFSAFQTSGGFPAGKSNVQLPDKGVGECSGGLHESHDFYSALSLLSTTSDSQGIKHNPVAEPPPIFGTFPTHFI >fgenesh2_kg.1__2896__AT1G27385.1 pep chromosome:v.1.0:1:11987169:11989039:-1 gene:fgenesh2_kg.1__2896__AT1G27385.1 transcript:fgenesh2_kg.1__2896__AT1G27385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKTILRSVFVSESRRTSSASRCFFLPPSPVSVPVHGLFPAPKSLCFSGFASVPERVTRLYCSHNDQSDQGPPQEAVLKAISEVSKTDGRVGKTTNMIIGGTVADDSAKDWLELDQKVNTYPTERGFTAIGTGGDDFVHAMVVAVESVIERHIPEDCVKQTLSSKGKYVSVNIGPIRVISSEQVQAVYNAMRRDERMKYFL >fgenesh2_kg.1__2897__AT1G27400.1 pep chromosome:v.1.0:1:11991993:11993406:1 gene:fgenesh2_kg.1__2897__AT1G27400.1 transcript:fgenesh2_kg.1__2897__AT1G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L17 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KBP8] VKKYSQEPDNSTKSCKARGADLRVHFKNTRETAHAIRKLPLNKAKRYLEDVIAHKQAIPFTRFCRGVGRTAQAKNRHSNSQGRWPAKSAQFVLDLLKNAESNAEVKGLDVDALFISHIQVNQAAKQRRRTYRAHGRINPYMSNPCHIELILSEKEEPVKKEPETQLAAKSKKGASS >fgenesh2_kg.1__2898__AT1G27410.1 pep chromosome:v.1.0:1:11993674:11995481:1 gene:fgenesh2_kg.1__2898__AT1G27410.1 transcript:fgenesh2_kg.1__2898__AT1G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLISVDRWRKGSQAYFLTHMQSDHTRGLSGGWSKGPLYCSRITASLFPSRFPGFDLSLLRVVPLYSWTSLSLRSPSSGSTVRLHFMAIDAHHCPGSMMFLFRGDFGCFLYTGDFRWDADASDEARTILVDAIHEFPVDILYLDNTYCNPIYSFPSRQVAAQLVADIIASHPSHDIIIAVDSLGKEELLLHVSRVLNIKIWVWPERLRTMHLLGFQDVFTTDTSLTRVRAVPRYSFSIQTLEGLNTMCPTIGIMPSGLPWVKTPFKGDDKLSGSFLTASMKNETISAQKELEAAAVHKFHDYMYSVHYSDHSCYEEIGEFIKLVKPKSMKGIVVSSSSYVDPLYYFGRICGANQPPQVLLMRPDIADEFQAVRIKSYSATDKTRVLEKEKRWKRDSHSSLKRNKKRARIQVKCAKILEVD >fgenesh2_kg.1__289__AT1G03630.1 pep chromosome:v.1.0:1:1070288:1071985:1 gene:fgenesh2_kg.1__289__AT1G03630.1 transcript:fgenesh2_kg.1__289__AT1G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Por C [Source:UniProtKB/TrEMBL;Acc:D7KCG7] MALQAAYSLLPSTISIQKEGKFNASLKETTLTGSSFANHLRADKISTLLTIKEQRRQKPRFSTGIRAQTVTATPPASEASPEQKKTERKGTAVITGASSGLGLATAKALADTGKWHVIMACRNFLKAEKAARSVGMSKEDYTVMHLDLASLESVKQFVDNFRRTEQPLDVLVCNAAVYQPTAKEPSFTAEGFELSVGTNHLGHFLLSRLLLDDLKKSDYPSKRMIIVGSITGNTNTLAGNVPPKANLGDLRGLASGLNGQNSSMIDGGEFDGAKAYKDSKVCNMLTMQELHRRYHEETGVTFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLGKSGVYWSWNNNSSSFENQLSKEASDAEKAKKLWEVSEKLVGLA >fgenesh2_kg.1__28__AT1G02010.1 pep chromosome:v.1.0:1:90714:113497:-1 gene:fgenesh2_kg.1__28__AT1G02010.1 transcript:fgenesh2_kg.1__28__AT1G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDSESSSHGGGDYKFFRQISRDRLLHEMLGSTKTGDSKAWKILIMDRVTVKVMSQSCKMADITDQGISLVEELFKRREPMPGMDAIYFIQPSKENIVMFLSDMSGREPLYRKAFIFFSSTIPKELVNHIKSDSSVLPRIGALREMNMEYFPIDNQGFLTDHEQALETLYADDAENSRHFNICLNMMATRIATVFASLKELPFVRYRAAKSTASRDLVPSKLAAAIWDCISKYKAIPNFPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLDMEGNKHVIEVPSKTGGPPEKKEIVLEDHDPVWLELRHTHIADASERLHEKMTNFASKNKAAQMRSRDGSELSTRDLQKIVQALPQYGEQVDKLSTHVELAGKINRIIRDTGLRDLGQLEQDLVFGDAGAKDVINFLRTNQDTNPENKLRLLMIYATVYPEKFEGDKGVKLMQLARLSPVDMKVISNMQLIAGSPENKAKSGSFSLKFDAGKTKQANRKDRSGEEETWQLFRFYPMIEELLEKLVKGDLSKSDYLCMNQSSHKEESEPRTGSVRKSSAPTAVPERKATPHSMRSRRTATWARPHDSVLKSASTDFKKLGQRIFVFIIGGATRSELRVCHKLTSSLRREVVLGSTSFDDPPQYITKLKLLSEKDIQGAPAQPFKPQYW >fgenesh2_kg.1__2900__AT1G27430.1 pep chromosome:v.1.0:1:11999623:12005619:-1 gene:fgenesh2_kg.1__2900__AT1G27430.1 transcript:fgenesh2_kg.1__2900__AT1G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GYF domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KBQ1] MAEGKFDLPDDLIVSKSSDQLKELASDNSIPLSPQWLYTKSSESKMDVRSPTPVPMGNPSDPNLKDAWRLDAPEDKKDWRKIVPENEISRRWREEERETGLLGARKIDRRKTERRVDTVSSRETGDNKNTAASDRWNDVNSRAAVNEPRRDNKWSSRWGPDDKEKETRCEKVNNIKDKEEPQGESQSVVSSVRTTSERDSDPRDKWRPRHRMESQSGGPTSYRAAPGFGLDRGRAEGPNLGFTVGRGRASTIGRGSSTSLIGAGNASVPLFRYPRGKLLDMYREQKPAPSLGMIPTEMDEVASLTQVALIEPLAFNPPDAEEEASLNGIWKGRIISSEVYTSSGEESLGENSLVKYRIPDSGETKVDGALNGDNSSLQNNDSGLLGSHRGGLGAASSVSRLNLVASESCGSVGAGYQASYGSPESVRSAFTKSSVLDGSESVLASFEQDYTGKLQQPDIEVKHSEGAMPPEEFLFLYIDPQGVIQGPFIGSDIISWFEQGFFGTDLQVRLANAPEGTPFQDLGRVLSYLKTESAHAHISDQKSELEETSLKANSEAGVSIAPVAESNDSSSLTGMSRSFSGYDNPSAQDNFLRKSESEVYVRPPHAEDQSFLDFSAQDEEIVFPGRAGVSGCESSVKSCTSMHDTFMEFSGHSDIPVESTKAARNQNENKLHPFGVLWSELEGGSTPVNPSNRSYGAMGEPTGSIDNRPINSRRNTQIDPSMSLDTLTANRMSQLEHESNFFNHGDQHHQQHFQNRDMLSHLHIGDQAQDLEHLITLQLQQQQKIQLQQQQKIQLQQQQKIQLQQHQLEQEHQLHQKLLQEQQQSHARQLHFQQILQGQTPDTRFGQSHDFPRSNNVDQMLLERQLMNELQKSSGHPSQNFAPYIEQLAAGNFGQFSHEGHQRELLEQLLSTQMQSQYGQKQSHYGQMQSQHGQLQSETIRSLEYQLLQQEQLMQLANGVRHNTLFEEQRHIDPLWPSDHSDQLLRTHPGIQRSHSSAGFRPLDFHQQQQRPPFEDQLGQLERNLSYQQQLRQELFEQGLPFERSASGRNLDSVNGLGLSQGLELRDATAYMQSSGRLGNSTPGFSHQNPRIPLGEPHFSQLEPMEGRWSGADTQLAGDWAESQFRRSNVDNEHHKMRSEIRRLGEDSNSWMVDGSTDDKSKQLFMKLLHQRPGHQSAESPSMNRGFPYDRMVPSGLTPGIQTLGGLSDHGGSQNVSSAFGARSFSDEQVNRMPADRNNMGSLHRNGSLLSGIIDGGRSTQNESQAFSNMFAMNKDANDIKTWNNLPPKNEGMGRMMSYEAQDRMGKQAVLDSLVQDELPVVTRGQQSSFNISGIFWFFLEFMVWKVEFCLVIMKAYPDIILLQTNIVTTWLEKIEGRIVPSHGHDSVLLKRPPSSHSSSSHEGLLERMSDTASRTAASSYSGIEGGVRRESGAAGNKGSTSEAASFSEMLKKSNSMKKVAAESSDATEGSKGGGGKKKGKKGRQIDPALLGFKVTSNRILMGEIHRADDF >fgenesh2_kg.1__2902__AT1G27440.1 pep chromosome:v.1.0:1:12007900:12010076:-1 gene:fgenesh2_kg.1__2902__AT1G27440.1 transcript:fgenesh2_kg.1__2902__AT1G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRSCLSAILLFLFFSASSSEQNVRTERISGSAGDVLEDNPVGKLKVFVYELPSKYNKKLLQKDPRCLTHMFAAEIFMHRFLLSSPVRTRNPDEADWFYTPIYPTCDLTPTGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQRNHVCLDEGSITIPPFAPPQKMQAHFIPPDIPRSIFVYFRGLFYDVNNDPEGGYYARGARAAVWENFKNNPLFDISTDHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEKDVPELDTILTSIPTEVILRKQRLLANPSMKRAMLFPQPAQPGDAFHQILNGLARKLPHDKSIYLKAGEKELNWTAGPVGDLKPW >fgenesh2_kg.1__2903__AT1G27450.1 pep chromosome:v.1.0:1:12012074:12014247:1 gene:fgenesh2_kg.1__2903__AT1G27450.1 transcript:fgenesh2_kg.1__2903__AT1G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIISPLVSHRLCLAPAVPRYRLPNIYHRAPPSIRLSNHRSTTSPCLFSSAAASRDSEMATEDVQDPRIAKIASSIRVIPDFPKPGIMFQDITTLLLDTEAFKDTIALFVDRYKDKGISVVAGVEARGFIFGPPIALAIGAKFVPMRKPKKLPGKVISEEYSLEYGTDTIEMHVGAVEPGERAIIIDDLIATGGTLAAAIRLLERVGVKIVECACVIELPELKGKEKLGETPLFVLVSSAA >fgenesh2_kg.1__2909__AT1G27500.1 pep chromosome:v.1.0:1:12028686:12031351:-1 gene:fgenesh2_kg.1__2909__AT1G27500.1 transcript:fgenesh2_kg.1__2909__AT1G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSVNADQMFDTTIEELCKNLCELQSSNQSPSRQSFGSYGDESKIDSDLQHLALGEMRDIDILEDEGEEDEVAKPEEFDVKSNSSNLDLEVMPKDMEKQIGKKNVNKSNVGVGGMRKKKVGNTKLQNGNEEPSSENVELARFLLNQARNLVSSGDNTHKALELTHRAAKLFEASAENGKPCLEWIMCLHVTAAVHCKLKEYNEAIPVLQRSVEIPVVEEGEEHALAKFAGLMQLGDTYALVGQLENSISCYTEGLNIQKKVLGENDPRVGETCRYLAEALVQALRFDEAQQVCETALSIHRESGLPGSIAEAADRRLMGLICETKGDHENALEHLVLASMAMAANGQESEVAFVDTSIGDSYLSLSRFDEAICAYQKSLTALKTAKGENHPAVGSVYIRLADLYNRTGKVREAKSYCENALRIYESHNLEISPEEIASGLTDISVICESMNEVEQAISLLQKALKIYADSPGQKIMIAGIEAQMGVLYYMMGKYMESYNTFKSAVSKLRATGKKQSTFFGIALNQMGLACIQLDAIEEAVELFEEAKCILEQECGPYHPETLGLYSNLAGAYDAIGRLDDAIALLGHVVGVREEKLGTANPVTEDEKRRLVQLLKEAGNVTGRKAKSLKTLIDSDLTSSSALR >fgenesh2_kg.1__2911__AT1G27520.1 pep chromosome:v.1.0:1:12036895:12040570:1 gene:fgenesh2_kg.1__2911__AT1G27520.1 transcript:fgenesh2_kg.1__2911__AT1G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:D7KBR1] MSCPLHPKRLFLCLLISLAFFVVDPSSQHLEVKKKQMREKVREMFYHAYDNYMTYAFPHDELKPLTKSFTDSLSELGNLKLEHLPTDYNGSAVTLVESLSSLAILGNSTEFEKGILWLSENLTFDIDARVNLFECNIRVLGGLISAHLLAIDPTNRLIQGSYNNQLLRLAEDLGKRFLPAFETPTGLPYAWINLKNGVMENETTETSTSGCGSLILEMGSLSRLTGDPRFESAALRALRQLWRMRSSLDLLGTTLDVVTGEWIEYSSSIGAGVDSFYEYLLKAYILFGKEDYWRMFRSAYMASQKYFRHGPWYHEANMWSGKPTYWQLTSLQAFWPGLQVLVGDIAAANSSHREFFHVWEKFGVLPERYLLDHQIIHPTMKYYPLRPELAESTFYLYQATKDPWYLDVGETIVKSLNLYTKVPGGFASVRDVTTMQLEDHQHSFFLAETCKYLYLLFDDSFVAKRNYIFTTEGHPIQVVSSWHEKLPENYFSGNWTLSKSGSWESRASALSLQVCPSIALNSRRPKQHRESACHVPDEQINHKCWSNKECGVDATTCRLRTCSGVGYCGLWNPL >fgenesh2_kg.1__2912__AT1G27530.1 pep chromosome:v.1.0:1:12040858:12042179:-1 gene:fgenesh2_kg.1__2912__AT1G27530.1 transcript:fgenesh2_kg.1__2912__AT1G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-fold modifier-conjugating enzyme 1 [Source:UniProtKB/TrEMBL;Acc:D7KBR2] MEGWDPNTKSTLTRIPLLTTKAGPRDGAAWTQRLKEEYKSLIAYTQMNKSNDNDWFRISASNPEGTRWTGKCWYVHNLLKYEFDLQFDIPITYPATAPELELPEIDGKTQKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEIPILVDSGAIKHKDDAATSAES >fgenesh2_kg.1__2917__AT1G26520.1 pep chromosome:v.1.0:1:12101531:12104064:-1 gene:fgenesh2_kg.1__2917__AT1G26520.1 transcript:fgenesh2_kg.1__2917__AT1G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEAERVKMEDDEKPQMAIQIQPDVSVGKILSSSDTVSVGVSVITGYLGAGKSPLVNYILNGKHGKRIAVILNEYGEEIGVERAMINQGEEGAIFEEWVELANGCLLHSCSDKRQTIRFCFLKCRLDHILLKTTGLVNPAPLASILWLDDQLESEVKLDCIVTRRDSSSFPKAFNQIAFADTIIMNKVDLISQEESDELEKEIHSINSLANVIRSVRCQVDLSNILNCQAYDSTHVSRLESLLEANKSLTTTDLHDSGVRTLCISEPQPINLDKVRLWLEEILWDKKSEMLQGGVEHSKLRPAAHEEIYEIVPARKWSEEENRIQQNRDKLDEEVLRSGLRDCRP >fgenesh2_kg.1__2922__AT1G27630.1 pep chromosome:v.1.0:1:12129208:12132483:1 gene:fgenesh2_kg.1__2922__AT1G27630.1 transcript:fgenesh2_kg.1__2922__AT1G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein [Source:UniProtKB/TrEMBL;Acc:D7KBT1] MGEEHPRKRSRQHFESEARHVSLLESPQCETSKWYFSREEIERFSPSRKDGIDLVKESFLRSSYCTFLQRLGMKLHVSQVTISCAMVMCHRFYMRQSHAKNDWQTIGTASLFLACKAEDEPCQLSSVVVASYEIIYEWDPSASIRIHQTDCYHEFKEIILAGESLLLSTSAFHLDIELPYKPLAAALNRLNAWPDLATAAWNFVHDWIRTTLCLQYKPHVIATATVHLAATFQNAKVGSRRDWWLEFGVTTKLLKEVIQEMCTLIEMDRRRNMPPPPPPPRRELTWAIPAAVKPVHMARAYPFHSYPLQSSRQAGIW >fgenesh2_kg.1__2923__AT1G27650.1 pep chromosome:v.1.0:1:12132720:12134431:1 gene:fgenesh2_kg.1__2923__AT1G27650.1 transcript:fgenesh2_kg.1__2923__AT1G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDAQGQPLDPRKIQEHFEDFFEDLFEELGKFGEIESLNICDNLADHMIGNVYVQFKEEDQAAAALQALQGRFYSGRPIIADFSPVTDFREATCRQYEENNCNRGGYCNFMHVKLVSRELRRKLFGRYRRSYRRGSRSRSRSRSISPRNKREYDRRDPPHREFSHRDRDREFYRHGSGKRSSERSERQERDGSRGRRQASPKRGGSPGGGREGSEERRARIEQWNREREEKEEGGA >fgenesh2_kg.1__2924__AT1G27660.1 pep chromosome:v.1.0:1:12140338:12144668:1 gene:fgenesh2_kg.1__2924__AT1G27660.1 transcript:fgenesh2_kg.1__2924__AT1G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANLHQLQDQLQLVGSSSSSSSLDNNSDPSCYGASSAHQWSPGGISLNSVSLSHNYNNEMLNTRDHNNNTSECMSLSTIHNHSLIQQQDFPLQWPHDQSSYHHHEGLLKIKEELSSSAISDHQEGISKFTDMLNSPVITNYLKINEHKDYTEKLLLKSMSSGFPISGDYCSSLPSSSSSSSPSSQSHRGNFSQIYPSVNISSLSESRKMSMDDMSNIPRPFDMNMQVFDGRLFEGNVLVPPLNSQEISNLGMSRGSFPPFGLPFHHHLQQTLPHPSSSPTHQMEMFSNESQTSEGKRHNFLMATKVGENASKKPRVESRSSCPPFKVRKEKLGDRIAALQQLVSPFGKTDTASVLMEAIGYIKFLQSQIETLSVPYMRASRNRTGKASQLGSQSQEGDEEETRDLRSRGLCLVPLSCMTYVTGDGGDGGDGVGSGFWPTPPGFGGRT >fgenesh2_kg.1__2926__AT1G27680.1 pep chromosome:v.1.0:1:12152219:12155550:1 gene:fgenesh2_kg.1__2926__AT1G27680.1 transcript:fgenesh2_kg.1__2926__AT1G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KBT6] MKACCPIMNQCTSPFGLNNEIVSERVSAFWGTQVVKANNLTTQKIRSAPQKKIQTNLIRSVLTPFVDQDSHEPLLRTQNADPKNVASIILGGGAGTRLFPLTSKRAKPAVPIGGCYRLIDIPMSNCINSGIRKIFILTQFNSFSLNRHLSCTYNFGNGVNFGDGFVEVLAATQTSGDAGKKWFQGTADAVRQFIWVFEDAKTKNVEHVLILSGDHLYRMDYMNFVQKHIESNADITVSCLPMDESRASDFGLLKIDQSGKIIQFSEKPKGDDLKAMQVDTSILGLPPKEAAESPYIASMGVYVFRKEVLLKLLRSSYPTSNDFGSEIIPLAVREHNVQAFLFNDYWEDIGTIGSFFDANLALTEQPPKFQFYDPKTPFFTSPRFLPPTKVDKCRILDSIVSHGCFLRECSVQHSIVGIRSRIESGVELQDTMMMGADFYQTEAEIASLLAEGKVPVGVGQNTKIRNCIIDKNAKIGKNVVIANAEGVEEGDRPEEGFHIRSGITVVLKNATIRDGLHI >fgenesh2_kg.1__2927__AT1G27690.1 pep chromosome:v.1.0:1:12163722:12165687:1 gene:fgenesh2_kg.1__2927__AT1G27690.1 transcript:fgenesh2_kg.1__2927__AT1G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKHGLFSKSNSRRSRSKSPVRSVSPIIIRRRKGRYVSQPDRHMSEMLAPVREGPDPDGEDSGSSGDYSRFERRWYNWMKCQLPVAPPSVSSSSDFKRTDLRLLLGVLGAPLGPVHVSALDLLPHLSIKNTPMETSSAQYILQQYTAASGGQKLHSSVQNGYVMGRIRTMASEFETGSKGSKSKNNSSKSVESGGFVLWHMNPDMWYMELVLGGSKVLAGCDGKLVWRHTPWLGPHAAKGPVRPLRRALQGLDPRTTAYMFANARCIGEKKIDGEDCFILKLCADPATLKARSEGASETIRHTLFGYFSQKTGLLVHLEDSQLTRIQNNGGEAVYWETTINSYLEDYKPVEGIMIAHSGRSVATLLRFGDMSSGHNTKTTMQEAWVIDEIAFNVPGLSIDCFIPPSELRFDSHVEDLSQGPKIRTLHGHKNG >fgenesh2_kg.1__2928__AT1G27695.1 pep chromosome:v.1.0:1:12168040:12169039:1 gene:fgenesh2_kg.1__2928__AT1G27695.1 transcript:fgenesh2_kg.1__2928__AT1G27695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSDFTGVGVGFGFGVGCGFGVGWGFGGMPLNILGVGVGGGCGVGLGLGWGFGTAFGSHYRSSRLTFQGIELETTDKRDEKVANMS >fgenesh2_kg.1__292__AT1G03680.1 pep chromosome:v.1.0:1:1080415:1081593:-1 gene:fgenesh2_kg.1__292__AT1G03680.1 transcript:fgenesh2_kg.1__292__AT1G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTCTSRPPISIRSEMRIASSPTGSFSTRQMFSVLPESCGLRTRVSLSSVSKNSRVSRLRRGVICEAQETATGIPVVNDSTWESLVLKADEPVFVDFWAPWCGPCKMIDPIVNELAQQYAGKFKFYKLNTDDSPSTPSQYGVRSIPTIMIFVKGEKKDTIIGAVSKSILATSIDKFL >fgenesh2_kg.1__2930__AT1G27700.1 pep chromosome:v.1.0:1:12169184:12170812:-1 gene:fgenesh2_kg.1__2930__AT1G27700.1 transcript:fgenesh2_kg.1__2930__AT1G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLDRWEKDPFFPAAEEVQESADRMESAYRTWINGKRDSSNVWDSEQLHRDLHAALGTTKWQLDEFQKAVKSSYDNRLSDETRDRHREFTFAMEAQVSKIEKSLKEAAQSDGKGTPRWVRLDEDDRNELALFLTGPSESVKANPNGHRRTASAAEFTAWNIAVSDDGLVKKSSDEPVVRPPRKVPSFSGFLNYMDPGSNHCNRKWKALDRQGDSDAALLPIQANQQVMNGSLEKGKSCMECEEDCYEKQLHGWYGALQRQLQRSQYRMRYSKSVHAAIWIILLVFLIVVVAVHSM >fgenesh2_kg.1__2931__AT1G27720.1 pep chromosome:v.1.0:1:12172399:12176823:-1 gene:fgenesh2_kg.1__2931__AT1G27720.1 transcript:fgenesh2_kg.1__2931__AT1G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor [Source:UniProtKB/TrEMBL;Acc:D7KBU1] MDLSIVKLLEEDDEVDSKHSEYDLKVFQDALIRDIEVVSINNPIGNESERPQPRYMKLQKMSSQQALGVEQPVDPVNHGLTLARVCDLLRILVDHHQPDKVIQMRCLTLYYKVKRKELSIQEFMQQLKDVVGDRITRSLISQLHQSKQGNMGIKVPGRPNHDKVSKSAEIIAQQSDPCEVHINQLPSTNSGTLSSSATAQGLNKHPEIHMQLPSSSFHMDTNFGSLNPYPGTNVTSPGLSSRAKLPHFQHMENNQNAGPASVGGPTKSTVNMTTVNGPSRVQDGPIYDFQKNSSLPLYSAPWQGSVTKDHTVGPSSSVIHVEHKLNDQSFEQAQKPRSLVQHGVTNVPLKQNNAILISSYDDLEKQSSKMVLSTSTTFASSVSPSMTTQLDSSTMVNLPAPSKTIPKIADVTVTPKMPSVGQKKPLEALGSSLPPSRKRQKLCETSSDESIEKFNDVTAVSGINLREEEKRLLGSGPKNNGGVSKACRRIVHEEEERTILQKILLKRKLTEIMAKSGLKHIDHDVERCLSLCVEERMRGLLSNIIRISKQRTDAEKCRNRTFITSDIRKEINEMNQKVKEEWEKKRAGEDKNEENETEKEDQRSKEPKANKKDEDKKRAKAANVAVHAAVGGDDRFSKWKLMAEAHQRSSPGPGRNSKKLSGEIGGTQFGKNQGLPKLVQSISVKDVIAVVEKEPQMSRSTLLYCLYNRICSDV >fgenesh2_kg.1__2932__AT1G27730.1 pep chromosome:v.1.0:1:12177521:12178453:-1 gene:fgenesh2_kg.1__2932__AT1G27730.1 transcript:fgenesh2_kg.1__2932__AT1G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEALTSPRLASPIPPLFEDSSVFHGVEHWTKGKRSKRSRSDFHHQNLTEEEYLAFCLMLLARDNRQPPPPPAVEKLSYKCSVCDKSFSSYQALGGHKASHRKNLSQTHSGGGGDDQSTSSATTTSAVTTGSGKSHVCTICNKSFPSGQALGGHKRCHYEGNNNNTSSVSNSEGAGSTSHVSSSHRGFDLNIPPIPEFSTVNGDDEVMSPMPAKKARFDFPVKLQL >fgenesh2_kg.1__2933__AT1G27740.1 pep chromosome:v.1.0:1:12186108:12187462:1 gene:fgenesh2_kg.1__2933__AT1G27740.1 transcript:fgenesh2_kg.1__2933__AT1G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7KBU3] MDVFVDGELESLLGMFNFDQCSSSKEDRPRDEMLGLSSLYNGHIHQHQHHNNVLSSDHHALLLPDMFPFGAMPGGNLPAMLDSWDQYHHLQETPSLKRKLLDVENLCKTNSNCDVTRQELAKSKKKQRVSPESNTVDESNTNWIDGQSLSNSSDDEKASVTSVKGKTRATKGTATDPQSLYARKRREKINERLKTLQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDLWMYAPLAYNGLDMGFHHNLLSRLM >fgenesh2_kg.1__2937__AT1G27760.3 pep chromosome:v.1.0:1:12202341:12205776:1 gene:fgenesh2_kg.1__2937__AT1G27760.3 transcript:fgenesh2_kg.1__2937__AT1G27760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSQRKNATMFDSDDDTSSVSSSSTMPSERVLNPGMDDVTVHKDALLDQSLDALYEKRSSTREQALASIVDAFNSDLQYEFVEKKFATLLHQCLHCTKKGSTKETALASHVIGLLALTVGLGEQAQEILEESVTPLSQALKSGREILRITSILECLAVITFVGGNDPEQTERSMQIIWQMIHPKLGSNVVATKPSPAVISAVVSSWAFLLTTVDRWTLGPKIFQETVTYLSTLLEKDDRSVRIAAGEALAVIYELGTLEKFAAEVKGSANGSVKEGSVSQEALMHMHGLKAKVTKQVRELSAEAGGKGSAKKDLNTQRNLFKDLVEFLEDGYAPETSTKVGGDYLQTSTWYQMIQLNYLKHFLGGGFIKHMQENEFLHDVFSFTPKKIGGGKLSNDEKRLFKSPNSALNKARTQFLAKQRMLAKNMNVGHYAATAMMEEE >fgenesh2_kg.1__2941__AT1G27770.1 pep chromosome:v.1.0:1:12206822:12211349:-1 gene:fgenesh2_kg.1__2941__AT1G27770.1 transcript:fgenesh2_kg.1__2941__AT1G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7KBU7] MESYLNENFGDVKPKNSSDEALQRWRKLCWIVKNPKRRFRFTANLSKRSEAEAIRRSNQEKFRVAVLVSQAALQFINSLKLSSEYIVPEEVRQAGFEICPDELGSIVEGHDVKKLKIHGGTEGLTEKLSTSIASGISTSEDLLSVRKEIYGINKFTESPTRGFWLFVWEALQDTTLMILAACAFVSLIVGILMEGWPIGAHDGLGIVASILLVVFVTATSDYKQSLQFKDLDAEKKKIVVQVTRDKLRQKISIYDLLPGDVVHLGIGDQIPADGLFISGFSVLINESSLTGESEPVSVSVEHPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFAVLVQGLANQKRLDASHWIWTGDELMAMLEYFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRNLAACETMGSATTICSDKTGTLTTNHMTVVKACICEQAKEVNVSDAAMKFASGIPESAVKLLLQSIFTNTGGEIVVGKGNKTEILGTPTETALLEFGLSLGGDFQEVRQASNVVKVEPFNSTKKRMGVVIELPEGHFRAHCKGASEIVLDSCDKYINKDGEVVPLNEESTGHLKNIIEEFASEALRTLCLAYFEIGDEFSLEAPIPSGGYTCIGIVGIKDPVRPGVKESVAICKSAGITVRMVTGDNLTTAKAIARECGILTDDGIAIEGPEFREKSDEELLKLIPKLQVMARSSPMDKHTLVRLLRTMFQEVVAVTGDGTNDAPALHEADIGLAMGISGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFLSACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPQDDLMKRSPVGRKGNFISNVMWRNILGQSLYQLVIIWCLQTKGKTMFGLDGPDSDLTLNTLIFNIFVFCQVFNEISSREMEKIDVFKGILKNYVFVAVLTCTVVFQVIIIELLGTFADTTPLSLGQWLVSIMLGFLGMPVAAALKMIPVGSH >fgenesh2_kg.1__2942__AT1G19750.1 pep chromosome:v.1.0:1:12215253:12218426:-1 gene:fgenesh2_kg.1__2942__AT1G19750.1 transcript:fgenesh2_kg.1__2942__AT1G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCSA-1 [Source:UniProtKB/TrEMBL;Acc:D7KBU8] MWKAIKDRETGRIRSNSFANRFKSGRILSLQLSNRKDFVSPHRGSVNSLQVDLTEGRYLLSGAADGSAAVFDVQRATDYEASGLIAKHKCIFTVDKQHDNGHKFAISSAIWYPIDTGLFVTGSYDHYLKVWDTNTAQAVVDFKMPGKVYRTAMSSMAMSHTLIAAGTEDVQVRLCDIASGAFSHTLSGHRDGVMSVEWSTSSEWVLYTGGCDGAIRFWDIRRAGCFRVLDQSQTQLGIRPPILKRTAVSSKFYSAAKSSLGGQNRLKTLQSKHTGSQSVKGSSSAKASTEKSRQKRIHPGMLSTLDRATAHYGVVTGLKATNDGMYLLSAGSDSRIRLWDIESGRNTLVNFETGRIQTNKAIQLDTSDDPALVFVPCMKTVKTFGMWSGRTTLMLRGHYESVNTCCFNSSDQELYTSGADRQILVWSPGGSVEDEMVQDEVAEDKDNWSD >fgenesh2_kg.1__2944__AT1G27880.1 pep chromosome:v.1.0:1:12229777:12235009:1 gene:fgenesh2_kg.1__2944__AT1G27880.1 transcript:fgenesh2_kg.1__2944__AT1G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase [Source:UniProtKB/TrEMBL;Acc:D7KBU9] MDSDSDSDGSHVSATPPRDSFPPSPPPLLQPPPKYVPPVSRKITSSSSRSKPKAPTQPPPNPSQEAPTSFSPPPPPPSPLFTDLPFRICESQPARFSSSISSFSRLRSRASFTPNEKLKSDGVDFVPEPPQPPNLITDTITSPPVKPMVFRSSGNGEGNFVKLNLNGKRGKKFPSKYKGVSKSRSNYAFRGKRYKKKEADGDGESLLEEESDLQKQVEEEANGFISSVEDAILAVKTEASDENLTKLLNLVYGYDSFRDGQLEAIKMILGGSSTMLVLPTGAGKSLCYQIPAMILPGITLVVSPLVSLMIDQLKHLPSIIKGGLLSSSQRPEETTETLRKLREGIIKVLFVSPERLLNVEFLSMFRMSLSVPLVVVDEAHCVSEWSHNFRPSYMRLKASMLFSELKAKCILAMTATATTMTLQAVMSALEIPSTNLIQKSQLRDNFELSVSLSGANRQLMKDLLILMESHPYKEIRSIIVYCKFQYETDMISKYLRDNNINAKGYHSGLPAKDRVRIQESFCSNKIRVVVATVAFGMGLDKGDVGAVIHFSVPGSMEEYVQEIGRAGRDGRLSYCHLFYDNDTYLKLRSLAHSDGVDEYAVGKFLTHVFSTETKQHEKICSLVIESASQKFDMKEEVMQTILTHLELGEVQYLRMLPQLNICCTLNFHKSSPNTLAARNTIVAAILKKSHVKQGLHVFDITAVASSICVATTDVLAEIQTLKMKGEVTYELKDPAFCYTILKSPREICSLSSHLTKWLTEIESCKVRKLDIMSSAAVAAISVSNTSELSSGAKQTLSLQSRIFDYFNGDEKCDTPSKTTQNCAFLRADIKVFLQSNRQAKFTPRAIARIMHGVGSPAFPNSVWSKTHFWGRYMSVEFRVIMDAAQTELFNFVDRNAALAT >fgenesh2_kg.1__2947__AT1G27920.1 pep chromosome:v.1.0:1:12248885:12251717:1 gene:fgenesh2_kg.1__2947__AT1G27920.1 transcript:fgenesh2_kg.1__2947__AT1G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule associated protein family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KBV2] MRMSLHFNKKLLKEKTTLQSYPSEDGIPSDTDRNEKLLFVRYILRIFAQRVTGKFMIWDEVGEDKFEREKVLLDIEQECVEAYRRKVDQANVSRSRLHQELAETEAEVTHFLLCLGERSVPGRPEKKGGTLREQLDSIAPALREMRQRKDERVKQFRSVKGEIQKISAEIAGKPTYEDSSRKITIDDNDLSDKKLEEYQNELHRLNDEKNERLQKVDIYICAIRDLSATLGTEASMIITKIHPSLNDLYGISKNISDDILKKLNGTVVSLEEEKHKRLEKLHHLGRALSDLWDLMDASYEDRQKFSHVIDLLSFAPSDVCAPGSITLDIIQQAEAEVKRLDQLKASRTKELFLKKQKELEDTCNISHMETPSTEIGNIMNLVDSGEIDHVDLLTAMDEKIARAKEEAASRKGIIEKVDRWMLASDEERWLEEYDQDENRYSVSSNAHRNLRRAERARITVSKITGLVESILVKTKSWEVERQKVFLYHEVPLVAMLQEYNKLRQEKEVEKQRLREMKKMSIPQPVAEPDSFYMSRPATSNRRITNRSINGGSPINRKYSGGFSNNTSNYTALGTSMRRESRK >fgenesh2_kg.1__2949__AT1G27940.1 pep chromosome:v.1.0:1:12260236:12264786:-1 gene:fgenesh2_kg.1__2949__AT1G27940.1 transcript:fgenesh2_kg.1__2949__AT1G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:p-glycoprotein 13 [Source:UniProtKB/TrEMBL;Acc:D7KBV4] MDNTEERSSNGNIQAETEAVEEKKKIKKESVSLMGLFSAADKLDYFLMLLGGLGACIHGATLPLFFVFFGKMLDSLGNLSTDPKAISSRVSQNALYLVYLGLVNLVSAWIGVSCWMQTGERQTARLRINYLKSILAKDITFFDTEARDSNLIFHISSDAILVQDAIGDKTDHVLRYLSQFIAGFVIGFLSVWQLTLLTLAVVPLIAVAGGGYAIIMSTISEKSETAYADAGKVAEEVMSQVRTVYAFVGEEKAVKSYSNSLKKALKLGKRSGLAKGLGVGLTYSLLFCSWALLLWYASLLVRHGKTNGAKAFTTILNVIFSGFALGQAAPSLSAIAKGRVAAANIFRMIGNNNLESSERLENGTTLQNVAGRIEFHQVSFAYPSRPNMVFENLSFTIRSGKTFAFVGPSGSGKSTIISMVQRFYEPNSGKILLDGNDIKSLKLKWLREHLGLVSQEPALFATTIASNIIFGKENANMDQIIEAAKAANADSFIKSLPNGYNTQVGEGGTQLSGGQKQRIAIARAVLRNPKILLLDEATSALDAESEKIVQQALDNITENRTTIVVAHRLSTIRNVDKIVVLRNGQVTETGSHSELMSRGGDYATLVNCQETEPQENSRSIMSETCKSQAGSSSSRRISSSRRTSSFREDQVKTENDSNDKDFSSSSMIWELIKLNSPEWPYALLGSIGAVLAGAQTPLFSMGIAYVLTAFYSPFPNAIMRDVEKVAIIFVGVGIVTAPIYLLQHYFYTLMGERLTSRVRLSLFSAILSNEIGWFDLDENNTGSLTSILAADATLVRSALADRLSTIVQNLSLTVTALALAFYYSWRVAAVVTACFPLLIAASLTEQLFLKGFGGDYTRAYSRATSVAREAIANIRTVAAFGAEKQIAEQFTCELSKPTKNAFVRGHISGFGYGLSQFLAFCSYALGLWYVSVSIKNKETNFGDSIKSFMVLIVTAFSVSETLALTPDIVKGTQALGSVFRVLHRETEIPPDQPNSRMVSQIKGDIEFRNVSFVYPTRPDINIFQNLNLRVSAGKSLAVVGPSGSGKSTVIGLIMRFYDPSHGNLCIDGQDIKTLNLRSLRKKLALVQQEPALFSTTIHENIKYGNENASESEIIEAAKAANAHEFISRMEEGYKTYVGDKGVQLSGGQKQRVAIARAVLKDPSVLLLDEATSALDTSSEKLVQEALDKLMKGRTTVLVAHRLSTIRKADTIAVLHKGRVVEKGSHRELVSIPNGFYKQLTNLQEVV >fgenesh2_kg.1__2951__AT1G27960.1 pep chromosome:v.1.0:1:12268972:12272720:-1 gene:fgenesh2_kg.1__2951__AT1G27960.1 transcript:fgenesh2_kg.1__2951__AT1G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 9 [Source:UniProtKB/TrEMBL;Acc:D7KBV6] MAASYRSTDWFPPPTGSFTGLNMLEMDMVERLNTDHSVLQDQDKISSRPLCGNAFSPSSCGFNTESFQIGADTPRSYHSHTDVPSFEKVSPGMRNEMVYHGNGVPSDFQRSSLSTRNQSSLQHYGDLYADDSRHFVPFDLSNQQYPNPEHIHLSPEFHMFLANSRRFDRHVNERNSSETDYVMRPRGNFGLRNDIYGESRGMNLNPFSGENMFPPMASTGTCMKHLGSAELPANDFNMGPAHGVLHDAFESAESLSYREQAYTQCKKSPFSASSSIPTWENDYNLPPLDEARSGSYNDLCHCPAMLDMLTESNRGPRASRLNSKSKMITYDHVDRCQQELLSQFRDAKFFVIKSYSEDNVHKSIKYCVWASTKNGNKKLDAAYREAKKKEVACPVFLLFSVNASSQFCGVAEMVGPVDFNTSVEYWQQDRWSGHFPVQWLIVKDVPNSLFRHIIIESNDNKPVTNSRDTQEVGLEQGIEMLDIFISCEMRSSILDDFNFYEERQRAIQERKARQRAVLEALALSATSVPTHPTYSLHDEFVREMSKNFAEALALQHRPK >fgenesh2_kg.1__2952__AT1G27970.1 pep chromosome:v.1.0:1:12276870:12278131:1 gene:fgenesh2_kg.1__2952__AT1G27970.1 transcript:fgenesh2_kg.1__2952__AT1G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVSKAFVEHYYSTFDTNRVGLAGLYQEASMLTFEGQKIQGVQSIVAKLTSLPFQQCKHHISTVDCQPSGPASGMLVFVSGNLQLAGEEHTLKFSQMFHLMPTPQGSFYVFNDIFRLNYA >fgenesh2_kg.1__2954__AT1G27980.1 pep chromosome:v.1.0:1:12278938:12282962:1 gene:fgenesh2_kg.1__2954__AT1G27980.1 transcript:fgenesh2_kg.1__2954__AT1G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal-dependent decarboxylase family protein [Source:UniProtKB/TrEMBL;Acc:D7KBV9] MDSFSYSSMKSMLIQARGSLNSRLSEFEPLVLLLAPLLTLFLAQMIGSVFGVVHDKGLKACLVGFLMGFLKMIPGVQNYIDAEKQKVVDQLQSGSSSKKKNRTEVLPVKGLGVEVLEKMENEKRNDAIWQGKCSGTVYIGGAESEGHFALINQACSMFAHTNPLHIDVFQSVVRFESEVVAMTAALLGSKETVSGGKICGNMTSGGTESIVLAVKSSRDYMKYKKGITRPEMIIPESGHSAYDKAAQYFNIKLWRVPVDKDFRADVKATRRHINKNTIMIVGSAPGFPHGIIDPIEELGQLALSYGICFHVDLCLGGFVLPFARKLGYQIPPFDFSVQGVTSISVDVHKYGLAPKGTSTVLYRNHEIRKHQFVAVTEWSGGLYVSPTIAGSRPGSLVAGAWAAMMSLGEEGYLQNTSKIMEASKRLEEGVREIHELFVIGKPDMTIVAFGSKALDIFEVNDIMSSKGWHLNALQRPNSIHICITLQHVPVVDDFLRDLREAVETVKANPGPITGGLAPIYGAAGKMPDRGMVNELLVSFMDSQY >fgenesh2_kg.1__2955__AT1G27990.1 pep chromosome:v.1.0:1:12283007:12284170:-1 gene:fgenesh2_kg.1__2955__AT1G27990.1 transcript:fgenesh2_kg.1__2955__AT1G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSLAVGPRNDVDKTASSSEKGRWSGMTAIGGGSGGLMGSLRVIELQLVAFILVFSASGLVPILDMLFPAFASVYIIALSRLAFPSHGVSTSSPEIFRGSKLFRMYVISGTTIGLFLPLAYVLGGFARGDDQAVRSATPHLFLLSCQILTENVISGLSLFSPPVRALVPLLYTVWRIFVIIGWSKDVWLNKSLPINATPNVVAWFWFGRYLAIANLGYFGVNLLCFLIPRFLPRAFEIYFRERDEIMSKCQEDKPVQVPRSKPSDHKSD >fgenesh2_kg.1__2956__AT1G28010.1 pep chromosome:v.1.0:1:12292968:12297731:1 gene:fgenesh2_kg.1__2956__AT1G28010.1 transcript:fgenesh2_kg.1__2956__AT1G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:p-glycoprotein 14 [Source:UniProtKB/TrEMBL;Acc:D7KCR8] MDNIEPPSNGNIHTETEVKKEEKKNMKKESVSLMGLFSAADNVDCFLMFLGGLGTCIHGGTLPLFFVFFGGMLDSLGNFSTDPNAISSRVSQNALYLVYLGLVNLVSAWIGVACWMQTGERQTARLRINYLKSILAKDISFFDTEARDSNFIFHISSDAILVQDAIGDKTGHVLRYLCQFIAGFVIGFLSVWQLTLLTLGVVPLIAIAGGGYAIVMSTISEKSEAAYADAGKVAEEVMSQVRTVYAFVGEEKAVKSYSNSLKKALKLSKRSGLAKGLGVGLTYSLLFCAWALLFWYASLLVRHGKTNGAKAFTTILNVIYSGFALGQAVPSLSAISKGRVAAANIFRMIGSNNLESFERLDNGTTLQNVVGRIEFCGVSFAYPSRPNMVFENLSFTIHSGKTFAFVGPSGSGKSTIISMVQRFYEPNSGKILLDGNDIKNLKLKWLREQMGLVSQEPALFATTIASNILLGKEKASMDQIIEAAKAANADSFIKSLPNGYNTQVGEGGTQLSGGQKQRIAIARAVLRNPKILLLDEATSALDAESEKIVQQALDNVMEKRTTIVVAHRLSTIRNVDKIVVLRDGQVMETGSHSELISRGGDYATLVNCQDTDPQENLRSVMYESCKSQAGSYSSRRVFSSRRTSSFREDQQEKTEKDSNGEDLISSSSMIWELIKLNAPEWLYALLGSIGAVLAGSQPALFSMGLAYVLTTFYSPFPSLIKREVDKVAIIFVGAGIVTAPIYLLQHYFYTLMGERLTSRVRLSLFSAILSNEIGWFDLDENNTGSLTSILAADATLVRSAIADRLSTIVQNLSLTITALALAFYYSWRVAAVVTACFPLLIAASLTEQLFLKGFGGDYTRAYARATSLAREAITNIRTVAAFGAEKQISEQFTCELSKPTKSALLRGHISGFGYGLSQCLAFCSYALGLWYISILIKRNETNFEDSIKSFMVLLVTAYSVAETLALTPDIVKGTQALGSVFRVLHRKTEIPPDQPNSRLVTHIKGDIEFRNVSFAYPTRPEIAIFQNLNLRVSAGKSLAVVGPSGSGKSTVIGLIMRFYDPSNGNLCIDGQDIKTVNLRSLRKKLALVQQEPALFSTTIHENIKYGNENASEAEIIEAAKAANAHEFISRMEEGYKTHVGDKGVQLSGGQKQRVAIARAVLKDPSVLLLDEATSALDTSSEKLVQEALDKLMKGRTTVLVAHRLSTIRKADTIVVLHKGKVVEKGSHRELVSKSDGFYKKLTSLQEVV >fgenesh2_kg.1__2959__AT1G28050.1 pep chromosome:v.1.0:1:12317569:12319817:-1 gene:fgenesh2_kg.1__2959__AT1G28050.1 transcript:fgenesh2_kg.1__2959__AT1G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (B-box type) family protein [Source:UniProtKB/TrEMBL;Acc:D7KCS1] MASSKRVPCDFCGERTAVLFCRADTAKLCLPCDQHVHTANLLSRKHVRSQICDNCGNEPVSVRCFTDNLILCQECDWDVHGSCSVSDAHVRSAVEGFSGCPSALELAALWGLDLEQGRKEEESQVPMMALMMENFGMQLDSWVSGSNELIVPSDTMFKKRGSSCGRYKQVLCKQLEELLKSGVVGGDDEDGDGDRDRDCDREGDCDGDGEAGEGLMVPEMSERLEWSRDVEEMNGGGVNQQPLQWNATTNPSGGQSSQIWDFNLGQSRGPEDTRRMEAADVTKGAASFTINNFVDHMNETCSTNAKGVKGICKDDYKRSTSGQVQPSKSESNNLPITFGSEKGSNSSSDLHFTEHIAGTSCKTTRLVATKADLERLAQNRGNAMQRYKEKRKTRRYDKTIRYESRKARADTRLRVRGRFVKASEAPYP >fgenesh2_kg.1__295__AT1G03700.1 pep chromosome:v.1.0:1:1084863:1085992:1 gene:fgenesh2_kg.1__295__AT1G03700.1 transcript:fgenesh2_kg.1__295__AT1G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 1C2 [Source:UniProtKB/Swiss-Prot;Acc:D7KCH2] MVKLTQRLGGLVLRFAAFCAALGAVIAMITSRERSSFFVISLVAKYSDLAAFKYFVIANAIVTVYSFLVLFLPKESLLWKFVVVLDLMVTMLLTSSLSAAVAVAQVGKRGNANAGWLPICGQVPRFCDQITGALIAGLVALVLYVFLLIFSIHHVVDPFLLRKS >fgenesh2_kg.1__2960__AT1G28060.1 pep chromosome:v.1.0:1:12323424:12326871:1 gene:fgenesh2_kg.1__2960__AT1G28060.1 transcript:fgenesh2_kg.1__2960__AT1G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKERYSRSHRDDRDRDSSPDHSPQREGGRRRDHDGDSKRRDSDHYRSSRRDDREDERDRTKDRTRGRGGSVDRGEREGSRDREKHHHERSHEGSKEKESRSKRKERDEENGARDGKKKSRFSDGNAERRSRFEDVAMEVENKNGQVSVSSSGAMNPTNGVTIGASSYGSVASEASMAPSQTLLTKVSSISTTDENKGSIVRSHEVPGKSSTDGRPLSTAGKSSANLSLDSAALAKAQKALQLQKGLAEKLKNLPLLKKVTKPTSEGSPHTRVPSPATTPAASTGTSSASAVPHTGLAGLGSISNIQAVRRAQELAASMGFHQDPMFAPVINLFPGQAPSDTADAQRPEKPPVLRVDALGREIDEHGNVISVTKPSNLSTLKVNINKRKKDAFQILKPQLEADLKENPHFDTRMGIDEKKILRPKRMSFQFVEEGKWTRDAENLKFKSHFGEAKAKELKVKQAQLAKATDDINPNLIEVSERVPRKEKPKEPIPDTEWWDANVLINGEYGDIADGTITESHLKIEKLTHYIEHPRPIEPPAEAAPPPPQPLKLTKKEQKKLRTQRRLAKEKEKQEMIRQGLLEPPKAKVKMSNLMKVLGSEATQDPTKLEKEIRTAAAEREQAHTDRNAARKLTPAEKREKKERKLFDDPTTVETIVSVYKIKKLSHPKTRFKVEMNARENRLTGCSVMTEGMSVVVVEGKSKAIKRYGKLMLKRINWQEAEKKDENEDEEEEEVNGGNKCWLVWQGSIGKPSFHRFHVHDCVTESTAKKVFMDAGVVHYWDLAVNYSDD >fgenesh2_kg.1__2961__AT1G28070.1 pep chromosome:v.1.0:1:12327277:12328433:1 gene:fgenesh2_kg.1__2961__AT1G28070.1 transcript:fgenesh2_kg.1__2961__AT1G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADITEYLERSMQNCSLIDRRSSIGDGFGMSDEHIPISDRFLELNSHLSVPSHLEQCLDLKTGEIYYRSWNSGMRVKKDPRKSVSRGNNAEQSSGESYGTVFSSEEVSSYYESEESSSESSPSSRRKNHKYEEEEEEDVLVVAGCKACFMYFMVPKLLKDCPKCATQLLHFDQPHST >fgenesh2_kg.1__2964__AT1G28090.1 pep chromosome:v.1.0:1:12340641:12343726:1 gene:fgenesh2_kg.1__2964__AT1G28090.1 transcript:fgenesh2_kg.1__2964__AT1G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide adenylyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KCS6] MAYGSFGVSSKALVFCRPCYGSIRDLPFSLRTSTIGHCGCVRAIAAPRNVVKPRKEESRDFSGARRSNKDKSMPWKKLDADEFGIQRSMIPDSTRMVLNKLKKKGFQVYLVGGCVRDLILDRIPKDFDVITTAELKEVRKVFPRCQIVGRRFPICHVYVDDIIIEVSSFSTSARTGKAPSKNLRKPAGCDERDYIRWKNCLQRDFTVNGLMFDLSENVVYDYIGGVEDIRNSKVRTVSAAKLSFVEDTARILRAIRIAARLGFSLTKDVAISVKELSSSLLRLDPSRIRMEINYMLAYGSAEASLRLLWRFGLMEILLPIQASYLVSQGFRRRDGRSNMLLSLFRNLDRLVAPDRPCNEFLWIGILAFHKALVDQPRDPTVVASFCLAIYSEVSLSEAIAIARSNSKQHNSHFQELSGHEKDSADSESKLSQQVIKLAESIRSAARKLNDRDYIANAMSKYPQAPGSDMVFLSRPMLERVEKMFGSVRRKRNQETEDVPSLERRINYKSLALGDFHETRRVFARIVFDTIYPPT >fgenesh2_kg.1__2971__AT1G28110.2 pep chromosome:v.1.0:1:12350140:12353386:-1 gene:fgenesh2_kg.1__2971__AT1G28110.2 transcript:fgenesh2_kg.1__2971__AT1G28110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7KCS7] MSPPLQWLTITFALILFHSLMVSSSVLSHSDRVTRLPGQPRVGFQQYSGYVTVDDKKQRALFYYFAEAETNPSSKPLVLWLNGGPGCSSLGVGAFSENGPFRPKGPILVKNQHSWNQEANMLYLETPVGVGFSYSTQSSHYEGVNDKITARDNLVFLQRWFLKFPHYLNRSLFITGESYAGHYVPQLAQLMIQYNKKHHLFNLRGIAIGNPVLEFATDFNSRAEYFWSHGLISDSTYKMFTSYCNYSRYVSEYYRGSMSSMCSKVMSQVSTETSRFVDKYDVTLDVCIPSVLSQSKVVSPNQVGESVDVCVEDETVNYLNRRDVQEALHARLIGVREWTVCSNVLDYQLLDVEIPTINIVGSLVKAGVPVLVYSGDQDSVIPLTGSRILVSRLAKQLGLRTSVPYRVWFAGQQVGGWTQVYGNVLSFATVRGASHEVPFSQPARSLVLFKAFLDGHPLPEEF >fgenesh2_kg.1__2973__AT1G28120.1 pep chromosome:v.1.0:1:12360366:12362709:-1 gene:fgenesh2_kg.1__2973__AT1G28120.1 transcript:fgenesh2_kg.1__2973__AT1G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin thioesterase [Source:UniProtKB/TrEMBL;Acc:D7KCS8] MQNQNQNQDEAEVAASISAIKGEEWGNCSSLEDALDQPSFQEDEAAKVPYVGDKEPLSSLAAEYQSGSPILLEKIKILDSQYIAIRRTRGDGNCFFRSFMFSYLEHILESQDRAEVDRIKVNVEKCRKTLQNLGYTDFTFEDFFALFLEQLDDILQGTEESISYDELVNRSRDQSVSDYIVMFFRFVTAGDIRTRADFFEPFITGLSNTTVDQFCKSSVEPMGEESDHIHITALSDALGVAIRVVYLDRSSCDSGGVTVNHHDFVPVGTTNEKDEASAPFITLLYRPGHYDILYPKPSSKVSDNVGK >fgenesh2_kg.1__2974__AT1G28130.1 pep chromosome:v.1.0:1:12373795:12376597:1 gene:fgenesh2_kg.1__2974__AT1G28130.1 transcript:fgenesh2_kg.1__2974__AT1G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3.17 [Source:UniProtKB/TrEMBL;Acc:D7KCS9] MIPSYDPNDTEAGLKLLDDLTTNAETIQQQVLHQILSQNSGTQYLRAFLDGESDKNQQSFKNKVPVVNYDDIKPFIQRIADGESYDIVSAQPITELLTSSGTSAGKPKLMPSTAEELDRKTFFYSMLVPIMNKYVNGLDEGKGMYLLFIKPEIKTPSGLMARPVLTSYYKSQHFRNRPFNKYNVYTSPDQTILCQDSKQSMYCQLLCGLVQRSHVLRVGAVFASAFLRAVKFLEDHYKELCADIRTGTVTSWITNSSCRDSVLSILNGPNQELADEIESECAEKSWEGILRRIWPKAKYVEVIVTGSMAQYIPTLEFYSGGLPLVSTMYASSECYFGINLNPLCDPADVSYTLLPNMAYFEFLPVDDKSHEEIHFASHSNTDDDDDALKEDLIVDLVNVKVGQYYEIVITTFTGLYRYRVGDILKVTGFHNKAPQFRFVQRRNVVLSIDTDKTSEEDLLNAVTQAKLNHLQHPSSLLLMEYTSYADTSSIPGHYVLFWELKPRHSNDPPKLDDKTMDDCCSEVEDCLDYVYRRCRNRDKSIGPLEIRVVSLGTFDSLMDFCVSQGSSLNQYKTPRCVKSGGALEILDSRVIGRFFSKRVPQWEPLGLDS >fgenesh2_kg.1__2976__AT1G28140.1 pep chromosome:v.1.0:1:12381281:12382841:-1 gene:fgenesh2_kg.1__2976__AT1G28140.1 transcript:fgenesh2_kg.1__2976__AT1G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLSTTTLITPPVTLNKSPACLTARVGVRRGRVNVRAVSNSSQGAVDGTVYKGVYGPWTIDQADVKEVILYRSGLVTAAASFVAASSAAFLPGDSWLSETIKQNHDLFYFVGASGLGLSLFLIHIYVTEIKRTLQALWALGFVGSLATYAALARPAGDNLVHYVVDHPSAVWFVGPLFAALTGLVFKEGICYGKLEAGLLTFIIPSVLLGHLSGVMNDEVKLVLLGTWMALFLVFAGRKFTQPIKDDIGDKSVFTFMSLSENEKKATVKKLEQGKLG >fgenesh2_kg.1__2977__AT1G28150.1 pep chromosome:v.1.0:1:12383343:12384377:-1 gene:fgenesh2_kg.1__2977__AT1G28150.1 transcript:fgenesh2_kg.1__2977__AT1G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLTCTCPPSSSLVVVSQLHHHQFSAGVKSCELLSRPQFYPTRRRTLISKSSCFNLPQEPILSEALKEPIAFLGGMFAGLLRLDLNEEPLKDWVTRTVEASGITEEEVDADGMVSNDEESPQQIEIE >fgenesh2_kg.1__2978__AT1G28160.1 pep chromosome:v.1.0:1:12388618:12389344:1 gene:fgenesh2_kg.1__2978__AT1G28160.1 transcript:fgenesh2_kg.1__2978__AT1G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KCT2] MEFNGNLNAGSSSRSKKSHRQKQQQPQPQPHQHIEEIKYVGVRRRPWGRYAAEIRNPTTKERYWLGTFDTAEEAALAYDRAARSIRGLTARTNFVYSDMPRGSSVTSFVSPDESQRFISEIFNPPSQLEIINSSNNNNLSSSTNNQNQNSIEFSYNGWPQEAECGYQSITSNAEHCNHELPPLPPSTCFGAELRIPETDSYWNVAHASIDTFAFEFDGFVDQNSLGQSGTEGFNSLSSTFFY >fgenesh2_kg.1__2979__AT1G28180.1 pep chromosome:v.1.0:1:12400782:12402697:-1 gene:fgenesh2_kg.1__2979__AT1G28180.1 transcript:fgenesh2_kg.1__2979__AT1G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPLGLEQRDVIGISQTGSGKTAAFVLPMLAYISRLPPLREENQTEGPYALVMAPTRELAHQIEEETVKFARYLGFKAISITGGESIEKQALKLSQGCEIVIATPGRLIDCLERRYVVLNQCNYLVLDEADRMIDMGFEPQVAEVLDAMPSSNLKPKKEDEELEEKKIYRTTYMFSATMLHSVERLARKYLRNPVVVTIGETTKFITQKVVMTKESNKFSRLKKLIDDLSDDKTAIVFVNTRNKVDYIVKNLEKLARCRVTTLHAGKSQEQRDYSLEEFKKKRFNVLVTTDVLGRGLDILDLAQVINYDMPNTMDLYTHRIGRTGRAGKTGIATTFLTLEDKDLFYGLKQKLIECNSLVPPELARHEASKFKPGTVPDRFSHF >fgenesh2_kg.1__297__AT1G03710.1 pep chromosome:v.1.0:1:1103367:1118360:1 gene:fgenesh2_kg.1__297__AT1G03710.1 transcript:fgenesh2_kg.1__297__AT1G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKSSDVDTIEPEVMDASCSDLSSSCGKRKAETISPDEGCEEEERDSESDDQGCEEEERNSESDDQVWGFDSFEGSDYESPDEPPEDDEELELRRYTRHYHESQGFKVDKDKLPKYLTYGLRGLDLDAHFFKPNLTGREYMEIMANVAIDKYNQVENKTVTLDHIVRVVVQMSYGIKGYITFMAKESPHGELVEYQAKAERKAWQRNIHPIFCRPAPS >fgenesh2_kg.1__2983__AT1G28210.2 pep chromosome:v.1.0:1:12414302:12420002:1 gene:fgenesh2_kg.1__2983__AT1G28210.2 transcript:fgenesh2_kg.1__2983__AT1G28210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFNWVLRHVQARRTFDSVIGLRQGSQKPLFERYIHATGIYSSSARNYYDVLGVSPKATREEIKKSFHELAKKFHPDTNRNNPSAKRKFQEIREAYETLGNSERREEYDKLRYQNSDYVNNDGGDGERFRRAYQSNFSDSFHKIFSEIFENNQIKSDIRVELSLSFSEAAEGCTKRLSFDAYVFCDSCDGLGHPRDAAMSVCPTCRGLGRVTIPPFTASCQTCKGTGHIIKEYCMSCRGSGIVEATKTVELAIPGGVESEATITIGGAGNVRSRTSQPGNLYIKLKVANDSTFTRDGSDIYVDANISFTQAILGGKVVVPTLSGKIQLDIPEGTQPGQLLVLRGKGLPKQGFFVDHGDQYVRFRINFPTEVNERQRAILEEFAKEEINNELSGSAEGSWWNIKGPQIIRDFSLMVLLALLLNKLMG >fgenesh2_kg.1__2986__AT1G28240.1 pep chromosome:v.1.0:1:12426912:12430735:-1 gene:fgenesh2_kg.1__2986__AT1G28240.1 transcript:fgenesh2_kg.1__2986__AT1G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KCU2] MTGLGVRSSSYGSLEKTGLNGVVLPIQITTTTRTKPSKMQKDREGIVHWICKFAGRKKVGMLLLFLISAVVFLRVLYVGKGNQCEDGQGPPSLHFNGTSGVNYSNMLQTNEEQNMNIGNISFKAKEVIVFPPPPPMHFLGYSLPQGHPCNSFTLPPPPADRKRTGPRPCPVCYLPVEEAVALMPNAPSFSPVLKNLTYICEEPLNRETEFGGSDFGGYPTLKDRNDSFDIKETMSVHCGFVKGPQPGRNTGFDIDEADLLEMKQCRGIVVASAVFDAFDDVKAPQNISKYAEETVCFYIFVDEETESILKRERGLDGNKKVGIWRVVVVHNLPYSDGRRNGKVPKLLVHRMFPNARYSLWIDGKLELVVDPYQILERFLWRKNATFAISRHYKRFDVLVEAEANKAAGKYDNASIDFQVDFYKNEGLTPYSVAKLPITSDVPEGCVILREHVPISNLFTCLWFNEVDRFTSRDQISFSTVRDKIAAKTNWTVSMFLDCERRNFVVQRYHRAEQERFARQRALVPNFPPPPPPVLISSDLPRKMSSGRAVSTTPPRRRGRDRRSGQRGHRKANLPVRLP >fgenesh2_kg.1__2989__AT1G28260.2 pep chromosome:v.1.0:1:12435095:12438780:-1 gene:fgenesh2_kg.1__2989__AT1G28260.2 transcript:fgenesh2_kg.1__2989__AT1G28260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KCU4] ADQKQKPNFLVEVNNIEKQLWTLIHSKGILHPDVSELYVKAGSTYEQIFKSNLQHEELQEVEFCLWKLHYKHIDEFRKGLKTDDPIKHMKAFKLFLSKAAEFYQTLISKVRGYYHRLSEESGEQKSRFLCHRFYICLGDLQRYQEQYLKTHEHPNWSTAATYYLEAAKSWPDSGNPHNQVAVLATYVGDEFLALYHCVRSLAVKEPFPGASNNLVLLFEKNRSSPLKSLSTDAQFNYLNPSERNVSLKNCDLSKAKGEQAAGIDLWPLVVRTTSFFFLKSSFDELGCAFASTIRELDAAFAADDKNLEAMLESYQFMDTARKGPYKMLQLVAVFIFIFHNLTEVNGSDNVKEAVKLTNSAFTMVFIVMGRVVERCLQTSPLDSCPLLPALLVFLDYLPLLLDKVEEEEECRFDEKSETAISYFFGKLVDFLNQLKVKGKNCSAKMLVPIWEDHELKSLAPLASIQVLLDFSSHMDLRESFDRGKELRLQRIMNSAIEITSRQKKGSQKWLFFDKQLTHFYTTLGELQCKKELFHGNGEGSSKKCVTLGPVEIIPCENERSVPVEEEEVILLKPLVRCQSAPIYSSGIATKPLSSDSKTSGNQTTTSDESLRRTLSLIESESFSFTQGLKDADPQHLHLEEGTVSRKPPSLSAWVVDKNKENGRLGLSKPNGLGPIDETGPVSSFDSLSISNSAEHHASSYSPPTPSAPLLPEDASWFHNDAGTNKAESFYDHRRNMELSGFMKPYTNLPVVGISSSEWLRRYRESRNLGQAYSYQAQGTNDLRNFMAHGSSKVSLSARYGTPNDSSENSTFYPQLYMEDHESRGEKLCKGEQCTTNAYGFSDDPGPFLRFLREKDWLNENGQRLRGPSPAYMNN >fgenesh2_kg.1__2990__AT1G28270.1 pep chromosome:v.1.0:1:12451499:12452291:1 gene:fgenesh2_kg.1__2990__AT1G28270.1 transcript:fgenesh2_kg.1__2990__AT1G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKMLLIFGLLILAMVAKSVNATYPLTKSCINGQGCIGEDDELESLMDSETNRRQLARGRRYIGYDALKKNNVPCSRRGRSYYDCKKRRRNNPYRRGCSAITHCYRYAR >fgenesh2_kg.1__2992__AT1G28290.2 pep chromosome:v.1.0:1:12464118:12465854:-1 gene:fgenesh2_kg.1__2992__AT1G28290.2 transcript:fgenesh2_kg.1__2992__AT1G28290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIGKSVLVSLVALWCFTSSVFTEEVNHVTQTPSLAPAPAPYHHGHHHPHPPHHHHPHPHPHPHPPAKSPVKPPVKAPVSPPAKPPVKPPVYPPTKAPVKPPTKPPVKPPVSPPAKPPVKPPVYPPTKAPVKPPTKPPVKPPVYPPTKAPVKPPTKPPVKPPVSPPAKPPVKPPVYPPKFNRSLVAVRGTVYCKSCKYAAFNTLLGAKPIEGATVKLVCKSKKNITAETLTDKNGYFLLLAPKTVTNFGFRGCRVYLVKSKDYKCSKVSKLFGGDVGAELKPERRPGKGTVVVNKLTYGLFNVGPFAFNPTCPK >fgenesh2_kg.1__2994__AT1G28300.1 pep chromosome:v.1.0:1:12477954:12481396:-1 gene:fgenesh2_kg.1__2994__AT1G28300.1 transcript:fgenesh2_kg.1__2994__AT1G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leafy cotyledon 2 [Source:UniProtKB/TrEMBL;Acc:D7KCU9] MDNFLPFSSSNANSVQELSMDLNNNRSHFTTVPTYDHLAQPHHLMPPYSYPVEQMTAVTNPQPVYFSEGYPQIPVTKTGSEFGSLVGNPCLRQERGGFLDPRMTKMARINRKNAMIRSRNNSSPNSSPSELVDSKRQVMMLNLKNNVQISDKKDSYQQSTFDNKKLRVLCEKELKNSDVGSLGRIVLPKRDAEANLPKLSDKEGIVLEMRDVFSMQSWSFKYKFWSNNKSRMYVLENTGEFVKQNGAEMGDFLTIYEDESKNLYFAINGNSGKQNEGRENESRERNHYEDTMLDYISRDEEEASIAMLIGNLNDHYPIPNDLMDLATDLQYHQATSSVPPEDHAYMGSSDDQVSFNDFEWW >fgenesh2_kg.1__2996__AT1G28310.2 pep chromosome:v.1.0:1:12497284:12499096:-1 gene:fgenesh2_kg.1__2996__AT1G28310.2 transcript:fgenesh2_kg.1__2996__AT1G28310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KCV2] MPIISSPNTNPLASMQSKNMIVASSHQQQPQQPQPQLKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGSYRKNKRVKRPSNATATAASTVSTTTSSSPNNPHQISHFSSMNHHPLFYGLSDHMSSCNNLPMIPSRFSDSSKTSSTSGLESEFLSSGFSSLSALGLGHPHHMSHDHTINGSFINNSTTNKLFLLSGLFGSSMSSSSSSPTLLQHPNKPMNNGGDMLGQSHLQTLASLQDLHVGGNNEDMKYKEGKLDQISGNINGFMSSSSLDPSNYNNMWNNASVVNGAWLDPTNNIVGSSLTSL >fgenesh2_kg.1__2998__AT1G28320.1 pep chromosome:v.1.0:1:12505304:12509467:-1 gene:fgenesh2_kg.1__2998__AT1G28320.1 transcript:fgenesh2_kg.1__2998__AT1G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSKVVSFSRNFAVLVKVEGPDPKGLKMRKHAFHQYHSGNATLSASGILFPRNILSGGEVTAKVLFEAGQEMALVLTVASVVEPFLTLGHRTSSSISQDPVKLIPGARIEIMVEGQLKSGEEAPFWVPAQLLSLVDVPVSSAALQSLIEASSGSKDSGWDVGWSLVSAANGSQPSTKIEHYSKPLMQLDEPLNANFMAKSATRMALLGVPLSLLGQPNMKFASSSSKGDTLVALGSPFGILSPVNFFNSVSTGSIANCYPSGSLKKSLMIADVRCLPGMEGAPVFDKNGHLIGILIRPLRQKNSGVEIQLVVPWGAITTACSHLLLEEPSEAGKASKWGSEALNVKSDTSIPAQVAIEKAMESVCLITVNDGVWASGIILNEHGLILTNAHLLEPWRYGKGGVYGEGNDAGLKPYVLGADEFSSTGGKVWEQKSQTLPRKAPANLYSAVGENIREYKHNFLQTGHRDIRVRLCHLDSWTWCTANVVYICKEQLDIALLQLEYVPGKLQPIAANFSSPPLGTTAHVVGHGLFGPRCGLSPSICSGVVAKVVHVKRRLNTQSISQEVAEFPAMLETTAAVHPGGSGGAVLNSSGHMIGLVTSNARHGAGTLIPHLNFSIPCAVLAPIFKFAEDMQNMEILQTLDQPSEELLSIWALMPSLSPKTEQSLPNLPKLLKDGNNKQKKGSQFAKFIAETQDMFVKPTKLSRDVIPSKL >fgenesh2_kg.1__299__AT1G03730.1 pep chromosome:v.1.0:1:1125542:1126551:-1 gene:fgenesh2_kg.1__299__AT1G03730.1 transcript:fgenesh2_kg.1__299__AT1G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F21B7.33 [Source:UniProtKB/TrEMBL;Acc:D7KCH9] MDPTGSPRTSNGSSLSPPRSYLIFMRIMSKRRTWVCLFVAVYAILLASSWNFLTSVLSWYKLQYTSSPSPSRLPAVYASVVLGAVFGAMSMVAAAAVAVPAVMVIWISVVVLLAFFGKSRRVLVVEARKITREVFGFVFKVLLKEGNAVAAVCAVLGYFILIRKDFDSI >fgenesh2_kg.1__29__AT1G01990.1 pep chromosome:v.1.0:1:118008:119066:1 gene:fgenesh2_kg.1__29__AT1G01990.1 transcript:fgenesh2_kg.1__29__AT1G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVKAYYQMNHNSIPFHHYNSYRPSNLQNPISLFAITSPSSDPSSSLPPPHKHPPPLFVGGGGHFIIPTIAVAASAWFFFRLHQYPPIITAPLDLHLDLLEEGAVKELPLESKPRYVQALHFYKIQPGTVFKLLDVFDSDSYDSLKARIRLSAEWLETARRELEEVVERDPGRVMEYSQVVDELMEILRDMEVYIDKCQKDNVKGYLRSCNRLLARVRRMEAQILNVLKEFHQGGGGHTF >fgenesh2_kg.1__2__AT1G02190.2 pep chromosome:v.1.0:1:3311:6198:-1 gene:fgenesh2_kg.1__2__AT1G02190.2 transcript:fgenesh2_kg.1__2__AT1G02190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPGALTEWPWSPLGSFKYLLVAPLVMASMHSYVTAAVDEEKDLSRLMIVVLMLWRIVHSQIWISVSRQRTAKGTNKIVYKPIEFEQVDRERTWDDQIIFNTLLMYLANIKLPGASHLPPWRLDGAILMALLHAGVPLLLVPQSSPPPFPLLSLPFSPPFLHSVVHPFAEHIAYSLLFAIPMVTASLCGILSIVSIIAYITYIDFMNNMGHCNFELFPKRLFHLFPPLKFLCYTPSFHSLHHTQFRTNYSLFMPIYDYIYGTTDNLTESLYERSLEREEESPDIIHLTHLTTHDSIYQMRLGFPSLSSCPLWSRSPWYLTCFMWPFTLLCSFVLTSALSSRTFVFERNRLRDLTLHSHLLPNFYYKSLRHHESINNIIEEVILEADEKGVKVISLGLMNNREELNKSGEIYVQKYPKLKIKLVDGSSMAAAVVINNIPKETTEIVFRGNLTKVASAVVFALCQKGVKVVVLREEEHSKLIKSGVGKNLVLSTSNSYYSLTVWLVGDGIENEEQMKAKEGTLFVPFSHFPPNKLRKDCFYESTPAMRVPKSAQIIDSCENWLGRRVMSAWKVGGIVHALEGWEEHDCGNTCNVLRLHAIWEAALRHDFQPLGLPPSHL >fgenesh2_kg.1__3000__AT1G28330.1 pep chromosome:v.1.0:1:12515311:12516753:-1 gene:fgenesh2_kg.1__3000__AT1G28330.1 transcript:fgenesh2_kg.1__3000__AT1G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLEKLWDDVVAGPQPDRGLGRLRKITTQPINIRDIGEGSSKVMHRSLTMPAAVSPGTPTTPTTPTTPRKDNVWRSVFNPGSNLATRAIGSNIFDKPTHPNSPSVYDWLYSSESRSQHH >fgenesh2_kg.1__3004__AT1G28360.1 pep chromosome:v.1.0:1:12545937:12546567:1 gene:fgenesh2_kg.1__3004__AT1G28360.1 transcript:fgenesh2_kg.1__3004__AT1G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATERF12/ERF12 [Source:UniProtKB/TrEMBL;Acc:D7KCV9] MASTTCAREVHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDGAARFLRGIKAKTNFPSPLSLDLNHLPSPPSAAANNQHQQLWFAAPPPPPPPPISDHHHQRIFLRTGVLNDKTSDYSSTEAPLYFTSSPNAATSSPGYQVVGFPMMSSSPSPVTVRRGLAIDLNEPPPLWL >fgenesh2_kg.1__3005__AT1G28370.1 pep chromosome:v.1.0:1:12551722:12552586:-1 gene:fgenesh2_kg.1__3005__AT1G28370.1 transcript:fgenesh2_kg.1__3005__AT1G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KCW0] MTPTVKTAAVKTNDGNGVRYRGVRKRPWGRYAAEIRDPFKKSRVWLGTFDTPEAAARAYDKRAIEFRGAKAKTNFPYYNINQCLSLSQSQSLSQSSTVESSIPAINLGSESVSSRFPFPKIQVKAGMMVVDERSESESSSVVMDLSYEGRRVVLDLDLNFP >fgenesh2_kg.1__3006__AT1G28375.1 pep chromosome:v.1.0:1:12558415:12559054:1 gene:fgenesh2_kg.1__3006__AT1G28375.1 transcript:fgenesh2_kg.1__3006__AT1G28375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVRVLMIMRLHVSSMSMVLITTFMIIVIISTVMARPSISTNKFQSQDLDPSFRVKSKSFLPKLYGDYGFWNPSPVYGGGFPYPGPVPHGSLGPKQRHKKPK >fgenesh2_kg.1__3007__AT1G28380.1 pep chromosome:v.1.0:1:12560084:12562817:1 gene:fgenesh2_kg.1__3007__AT1G28380.1 transcript:fgenesh2_kg.1__3007__AT1G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNNFTRLDAQSAAEKAVSVIGLGYDLCSDVRFSACKTTPDGSRLVEIDPTRNRDLVFPGGIVVNNVSSSIKCDKGERTRLRSDILSFNQMSEKFNQDMCLSGKIPSGMFNNMFAFSKCWPKDASSVKNLAYDGWFISLYSVELVRKQLTLRDEVKREVPSSWDSASLAGFIEKYGTHVVVGVTMGGKDVIHVKQMRKSNHEPDEIQKMLKHWGDERFCVDPVESKSPASVYSGKPKEENLHQWGLQPFGTSVSSAVVTHTKNEEIVRVCIRRGGVDLGQSHERWLSTVSQSPNVISMCFVPITSLLSGLPGTGFLSHAVNLYLRYKPPIEELHQFLEFQLPRQWAPVYGDLPLGLRRSKQSSPSLQFSLMGPKLYVNTSKVDSGERPVTGLRFFLEGKKGNHLAIHLQHLSTCPPSLHLSHDKTYEPIDEPAEKGYYVPVKWEIFSHVCTFPVQYNGARSDDTASIVTKAWLEVKGIGMRKVLFLRLDFSHDASAVTRKSCWDNLSTNSRKSGVFSMISTRLSTGLSPNPATTKPQSKIDINSAVYPRGPSPPVKPKLLSLVDTKEVMRGPEEQPGYWVVTGAKLCVEAGKISIKAKYSLLTVISEDSLV >fgenesh2_kg.1__3013__AT1G28400.1 pep chromosome:v.1.0:1:12577766:12579140:-1 gene:fgenesh2_kg.1__3013__AT1G28400.1 transcript:fgenesh2_kg.1__3013__AT1G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSIRTSLFFFFTTLVLLSTQIHARDSYFFGKFHRESPKDQNPNSFIPLETSEKTTVEESVPNKKEQEQDPTFVPESENGYGLYGHETTYNNNNDNKEEFNNNNKYDEKVNSKTFSTPSLSETEESFNNYDEKYPKTTETYGTNGYNNEEFNNNNNNKYDANFKEEFNNNKYDENYAKEEFNNNNNYKYDANVKEESFPENNEDNKRNVYNSNAYGTELERETPYKGYSHNLERQGMSDTRFMEKGSYYYDLYNDRNHGHYYRKSHSKSPAGYYSSPATETNYEQQSYSYGNNNEENSFKDPYNSKWEKNLMNEQPEEFVEEQGTNKFNP >fgenesh2_kg.1__3014__AT1G28410.1 pep chromosome:v.1.0:1:12583404:12586238:-1 gene:fgenesh2_kg.1__3014__AT1G28410.1 transcript:fgenesh2_kg.1__3014__AT1G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMHLAALFFIITPLCVLSSEVQQIGSNQNLVNDLDAAKLRIPQLEAVLEATIQKLDAKTLYLKEREKLIQVAESQIHDLQAASYIDKSGLPLVQKRISELEEEVKLLWAALRTTNFELHVLEDKAREAKDKLKAKALEVEQMTEVVTEQWIQVQHLEQMREFNNRRHHTPSRCPFVKLMSDIQRKHLPKVDEAFDIHWKGKKVLSVQPYLTQALSQLKSLWAAITKYHHQLQGFIEHEMERTEITAALANREVVFFMASALITFPVFGAWILLSS >fgenesh2_kg.1__3015__AT1G28420.1 pep chromosome:v.1.0:1:12586856:12594678:1 gene:fgenesh2_kg.1__3015__AT1G28420.1 transcript:fgenesh2_kg.1__3015__AT1G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HB-1 [Source:UniProtKB/TrEMBL;Acc:D7KCW8] MEMGSDGEEDQIRSVGDVGGNHLHKKKKIDNSSSKDGGRVKPKRQMKTPFQLETLEKVYSEETYPSEATRADLSEKLDLSDRQLQMWFCHRRLKDKKDGQSKKPAKSAVQSSALASSVHELPPAGSVPEQDSRSDSGSESGCSPYSNSRRNFASGSSSSRAELDEYDTMGKASYESRLSTMVRRAIVCIEAQLGEPLRDDGPILGMEFDPLPPGAFGTPIGMQKHLVHPYESNVYERYDARPQRSHAVARSFHEQQSLDDPSSYTPEIYGRYSENHARGMDYEIARSRSSSFMHANGPLPRSYVTPGHASRNCSTSQQAMPIPIESAHRGDRFLLEKDSSVLGTEDPYLLPDGVRKSNDVHRKGKINDDRLGRGSEIRENHGPKDLEKLEIQRKKNEERMRKEMEKNERERRKEEERLMRERIKEEERLQREQRREIERREKFLQRENERAEKKKQKDEIRREKDAIRRKLAIEKATARRIAKESMDLIEDEQLELMELAAISKGLPSVLQLDHDTLQNLEVYRDSLSTFPPKSLQLKMPFAISPWKDSDETVGNLLMVWRFLISFSDVLDLWPFTLDEFIQAFHDYDSRLLGEIHVTLLRSIIRDIEDVARTPFSGIGNNQYTTANPEGGHPQIVEGAYAWGFDIRSWKKHLNPLTWPEILRQLALSAGFGPRLKKKHSRLTNTGDKDEAKGCEDIISTIRNGSAAESAFASMREKGLLAPRKSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDVKLFERIAPSTYCVRAPYVKDPADGEAILADARKKIRAFENGFTGPEDVNDLERDEEFEIDIDEDPEVDDLASLASASKSAVLGEANVLSGKGGDTMFCDVKADVKSELEKEFPSPPPSSMKSIVPQHSERFKDTVVGCVDNVVDESNQGQSWIQGLTEGDYCHLSVEERLNALVALVGIANEGNSIRAGLEDRMEAANSLKKQMWAEAQLDNSCMRDVLKLDFQNLASSKTESTMGLPIIQSSTRERDSFDRDPSQLLDETKPLDDLSNNLHKSSAERALINQDANISQENCSSQLGYASKRSRSQLKSYIGHKAEEVYPYRSLPLGQDRRHNRYWHFAVSVSKSDPCSGLLFVELHDGKWLLIDSEEAFDVLVASLDMRGIRESHLRIMLQKIEGSFKENACKNIKLDRNPFLKEKSIVNHSPTDSVSPSSAISGSNSDSMETSTSIRVELGRNDTENKNLSKRFHDFQRWMWTETYSSLPSCARKYGKKRSELLATCDACVASYLSEYTHCTSCHQRLDVVDSSEILDSGLTVSPLPFGVRLLKPLLVFLEASVPDEALESFWTEDKRKIWGFRLNASSSPEDLLQVLTSLESAIKKESLSSNFMSAKELLGAANADVDDPGSVDILPWIPKTVSAVALRLSELDASIIYVKPEKPELIPEDENEQISLFPGDSPFKGKGPKEQGDQDEVVPNSGNRRNKRARVSLGSGSNRKVKRKKAQSGLNKFVVGRRNVAVNSNLMTVELNHQVPGKGKRTVRKRPERIDEDNDHLVNRMANIVRPKSEEVEEDEEEEEQTFRDIDEDWAAGETPREIDEDWANETPNRMMTPMQVDDESDNSVGVESEDDDGGGQFVDYSQRNKWGLDWNSNPNEAMEEEVVGVGRVEGEDDAEMSESSEDDDANNAANNYDRESEGYSSSDS >fgenesh2_kg.1__3016__AT1G28430.1 pep chromosome:v.1.0:1:12599984:12601635:-1 gene:fgenesh2_kg.1__3016__AT1G28430.1 transcript:fgenesh2_kg.1__3016__AT1G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP705A24 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KCW9] MVSFDLLNCFIFTLIFLISTLFLFVFFYKKTNDGFDLPPSPPSLPIIGHLHLLLFASIHKCFQKISSKYGPFLHLRIFHVPIILVSSASAAYDIFKAHDIKVSYRGDVAIDECIVFGSSGYFRAPSEDYWKFMRKLIMARALGPQALERTRGVRVAELERFHRNILDKAIKKQSLKIGEEARILVNNTLGKMSLGSSFSVENNDGTKVSEFSIKLADLSQMFCVAQIFHKPLEKVGISLLKKQIMDVSHKFEELLENILVKYEGKMNDHQSTEFMDALLAAYRDENAEYKITRNHIKALLAELFFGAGESSSSTTRWAMGEIFNNPKIFERLREEIDLVVGNTRLIQESDLPKLPYLQAVVKESLRLHPVGAVLPREFTQDCNIGGFYIHEGTSLVINAYSIMRDPDIWEDPNEFKPERFLTTSRSGQEEEKKEQTLKFLPFGAGRRGCPGLNLGYTLVETTIGVMVQCFDWEIEGDKVNMEEGSGLRFFLDLAHPLKCTPIPRIINHESSNMQISNS >fgenesh2_kg.1__3017__AT1G28440.1 pep chromosome:v.1.0:1:12604094:12607710:1 gene:fgenesh2_kg.1__3017__AT1G28440.1 transcript:fgenesh2_kg.1__3017__AT1G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLFLFFLFPTVFSLNQDGFILQQVKLSLDDPDSYLSSWNSNDDSPCRWSGVSCAGDFSSVTSVDLSGANLAGPFPSVICRLSNLAHLSLYNNSINSTLPLNIAACKSLQTLDLSQNLLTGEIPQTLADIPSLVHLDLTGNNFSGDIPASFGKFENLEVLSLVYNLLDGTIPPFLGNISSLKMLNLSYNPFKPSRIPPELGNLTNIEVMWLTECHLVGQIPDSLGQLSKLVDLDLALNDLVGHIPPSLGGLTNVVQIELYNNSLTGEIPPELGNLKSLRLLDASMNQLTGKIPDELCRVPLESLNLYENNLEGELPASIALSPNLYELRIFGNRLTGELPKDLGRNSPLRWLDVSENEFSGELPADLCAKGELEELLIIHNTFSGAIPESFSDCKSLTRIRLAYNRFSGSVPTGFWGLPHVNLLELVNNSFSGEISKSIGGASNLSLLILSNNEFTGSLPEEIGSLDNLNQLSASGNKFSGSLPDSLMKLGELGTLDLHGNQFSGELTSGIKSWKKLNELNLADNEFSGRIPDEIGSLSVLNYLDLSGNMFSGKIPVSLQSLKLNQLNLSYNRLSGDLPPSLAKDMYKNSFFGNPGLCGDIKGLCGSENEAKKRGYVWLLRSIFVLAAMVLLAGVAWFYFKYRTFKKARAMERSKWTLMSFHKLGFSEHEILESLDEDNVIGAGASGKVYKVVLTNGETVAVKRLWTGSVKETGDCDPEKGNKPGVQDEAFEAEVETLGKIRHKNIVKLWCCCSTRDCKLLVYEYMPNGSLGDLLHSSKGGMLGWQTRFKIILDAAEGLSYLHHDCVPPIVHRDIKSNNILIDGDYGARVADFGVAKAVDLTGKAPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILEIVTRKRPVDPELGEKDLVKWVCTTLDQKGIEHVIDPKLDSCFKDEISKILNVGLLCTSPLPINRPSMRRVVKMLQEIGGGDEESLHKTRDDKDGKLTPYYNEETSDQGSVA >fgenesh2_kg.1__3019__AT1G28480.1 pep chromosome:v.1.0:1:12615699:12616270:-1 gene:fgenesh2_kg.1__3019__AT1G28480.1 transcript:fgenesh2_kg.1__3019__AT1G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVGESLRPLPLKTQGNGESVRMVVEENAVIVIGRRGCCMCHVVRRLLLGLGVNPAVLEIEEEREEEVLRELERIGGGDTVKLPAVYVGGRLFGGLDRVMATHISGELVPILKEVGALWL >fgenesh2_kg.1__3020__AT1G28490.1 pep chromosome:v.1.0:1:12622613:12624773:1 gene:fgenesh2_kg.1__3020__AT1G28490.1 transcript:fgenesh2_kg.1__3020__AT1G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQDPFYIVKEEIQDSIDKLQSTFHKWERISPDMGDQAHVAKELVATCGSIEWQVDELEKAITVAAKDPSWYGIDEAELEKRRRWTSNARTQVRNVKSGVLAGKVSSGAGHASEVRRELMRMPNSGEASRYDQYGGRDDDGFVQSESDRQMLLIKQQDEELDELSKSVQRIGGVGLTIHDELVAQERIIDELDTEMDSTKNRLEFVQKKVGMVMKKAGAKGQMMMICFLLVLFIILFVLVFLT >fgenesh2_kg.1__3026__AT1G28520.2 pep chromosome:v.1.0:1:12636543:12639212:1 gene:fgenesh2_kg.1__3026__AT1G28520.2 transcript:fgenesh2_kg.1__3026__AT1G28520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKRSKTNCRSASHKLFKDKAKNRVDDLQGMLLDLQFARKESRTTDVTLLEEQVNQMLREWKSELNEPSPASSLQQGGTLGSFSSDICRLLQLCDEEDDATSKLAAPKPEPADQNLEAGKAAVFQRGYNLVQGKSEHGLPLVDHCKDLSLAAGNNFDGTAPLEYHQQFDLQQEFEPNFNGGFNNCPSYGVVEGPIHISNFIPTICPPPSAFLGPKCALWDCPRPAQGFDWFQDYCSSFHAALAFNEGPPGMNPVVRPGGIGLKDGLLFAALSAKAGGKDVGIPECEGAATAKSPWNAPELFDLTVLESETLREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQIMIEFGGLKRSYYMDPQPLHHFEWHLYEYEINKCDACALYRLELKLVDGKKNSKGKVSNDSVADLQKQMGRLTAEFPPENNTNNTNNNNKRCIKGKPKVSSKVATGNVQNTVEQANDYGVGEEFNYLVGNLSDYYIP >fgenesh2_kg.1__3028__AT1G28530.1 pep chromosome:v.1.0:1:12639392:12642776:-1 gene:fgenesh2_kg.1__3028__AT1G28530.1 transcript:fgenesh2_kg.1__3028__AT1G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSTASCSSSSSLLFPSRNFASIPLIPLRRSSVSFIRGYSKNSSTEEDILRFVAESDGKALPCVRTYENNSARLSLVGTVAFDQALTAAAADGGEAADDHLRENVPVMVVETVFPGGSDPKATVSTRLFLPTKKVKERAKKLRRSLSEDLSSGDLSKNILAMTFRQVVLRQLWNFQLVLFAPGAEREMGEFENPREQVSTSFTLSSSDERVISVIAEVICISALQSTEKHFLDDYLGKLKFPFLKWLNKHKRIASRDCSVVLHKLFDDEPNTNLLLEYYQSRKENFKLEDTRQRSRWWNLSANSKLEKIGGPGFSSWASEYLPAYRLEIDTIILADLKLEGWRKSSENKWEVLLTHSQMVGLAEALDIYFEDIYSLPRKQLPCDVPGNYANLPSEKRGLSLLKFISVTMASGILLLAVSAAAQFCLPHKSERKYPGKRQDILWLESELLSHQSSDFSELDSFCGLLVNKLKDAYSWVGEITLESSIGAWIGEVPDYLKETSRAKSVEDHIVTSSSLLEKLNEDAKAAAQDIATYQVVLSAEGKIIGFQPTSRVAVNHWAANPLAKELYGGKKLKPGLIEPGLKSHPPKKVVVLELLMSVNSDRPFALVRPLLPQ >fgenesh2_kg.1__3029__AT1G28540.1 pep chromosome:v.1.0:1:12642956:12644109:1 gene:fgenesh2_kg.1__3029__AT1G28540.1 transcript:fgenesh2_kg.1__3029__AT1G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSQIPDNNTTSSSAKKPTPEIGSGSGKRIPYYNPPESVNPDQATLREQWKFAIRQYSKWYSHAWGTAILAGGVFFGLGWIIKGSNPLPSLQSSSKPRDEEK >fgenesh2_kg.1__3032__AT1G28580.1 pep chromosome:v.1.0:1:12649564:12658863:-1 gene:fgenesh2_kg.1__3032__AT1G28580.1 transcript:fgenesh2_kg.1__3032__AT1G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDYTFLMKLLIFIFLSTFIVTNVSSETKCREFRSIISFGDSIADTGNLLGLSDPNDLPHMAFPPYGETFFHHPTGRFSNGRLIIDFIAEFLGLPLVPPFYGSQNANFDKGVNFAVGGATALERSFLEERGIHFPYTNVSLGVQLQSFKESLPSICGSPSDCRDMIENALILMGEIGGNDYNYAFFVDKSIEEIKELTPLVITTISSAITELISMGGRTFLVPGEFPVGCSVFYLTSHQTSNMEEYDPLTGCLKWLNNFGENHGEQLRAELKRLQKLYPHVNVIYADYYNALLRLYQEPAKFGFMNRPLSACCGSGGPYNYTVGRKCGTDIVESCNDPSKYVAWDGVHLTEAAYRLMAEGILKGPYAIPPFDWSCLSSGIKKSGSSDA >fgenesh2_kg.1__303__AT1G03760.1 pep chromosome:v.1.0:1:1136472:1138871:-1 gene:fgenesh2_kg.1__303__AT1G03760.1 transcript:fgenesh2_kg.1__303__AT1G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7KCI2] MEPPAKGTVTPLASLFSEEEARKAASYVEEKIGEKRVEMNRLQQFVDENDNLINLVKKLPDQLHHNVMVPFGKMAFFPGRLIHTNECLVLLGENYYTDRSSKQTVDFLKRRDKTLQSQIHSLKAEIEDFQTEASFFATTASEAAEGLVEIREEYVEEDSSAPVIQSSEREPSNISGGEAEEELEDDDFARIMSRLNELEMEEEQEGEDGGDRGEEHDSPIEILEESQHDLVKGIRGETDCGRIEYGKQETTISVPMKTSGHSSSIREPRVSEPRVKAKVIQVLPETHPHKDLDDPLNCIGPMSQYLPKGDQSRSATAQQNAGTWRDFQATAAISTAKAKTNVLGPQKIEKPEPEFDSTKAFTGSIVEHAHIQETSTHSHTQSSVSQPSKPVSRFKAQRR >fgenesh2_kg.1__3040__AT1G28630.1 pep chromosome:v.1.0:1:12673992:12676298:-1 gene:fgenesh2_kg.1__3040__AT1G28630.1 transcript:fgenesh2_kg.1__3040__AT1G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVDNTLPFTPSWFPPSPEAFPSIIELLQDPMTAEIENFDGIFSTPAISEAIPSTSTQPSVVPCPELSTMPQNPPDMQQQEPLGDSHGHLQQSDQQDLLNPNMLNSYQEPVVSTTQQQQQPILHGSLEQSDQQGLLNPNMMSSEPGVSTLQQQQLLCNSAGYLQQSDHQGLLSPNMLDSFHEPDVSTMVQQAPPLYNSHGYLQQSDQQYLMNQNMMNSFQGPDVSTTQQQQLLCNSHRYVQGNLSNIQSDQQYLSNQNATNLFQEPNNSTMVDIGQQIEEGNNGYAHQPLIDHFELPNHHQEQSLSVPISQNYLSYPAYEHGPIGSHFNMYGQQPPPRVSTHREEGHPPQWIVTDLAR >fgenesh2_kg.1__3048__AT1G28680.1 pep chromosome:v.1.0:1:12692112:12693862:1 gene:fgenesh2_kg.1__3048__AT1G28680.1 transcript:fgenesh2_kg.1__3048__AT1G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KCY6] MATLEITEIALVQPSHQPLSNDQTLSLSHLDNDNNLHVSFRYLRVYSSSSTVAGKSPSEVVSASLATALVHYYPLAGSLRRSATDNRFELYCAAGQSVPLVNASVNCTLESVGYLDGPDPGFVERLVPDPTREEGMVNPCILQVTMFQCGGWVLGAAIHHAICDGLGASLFFNAMAELARGATKISIEPAWDRERLLGPREKPWVGAPVRDFLSLDKDFDPYGQAIGDVKRECFFVTDESLDQLKAQLLEKSGVNFTTFEALGAYIWRAKVRAAKIEEKENVKFVYSINIRRLMNPPLPKGYWGNGCVPMYAQIKAGELIEQPIWKTAELIKQSKSNTSDEYVRSFIDFQELHYKDGINAGTGVTGFTDWRYLGHSTIDFGWGGPVTVLPLSNKLLGSMEPCFFLPYSTDAAAGSKKDSGFKVLVNLRESAMPEFKEAMEKFHKGEFSRS >fgenesh2_kg.1__304__AT1G03770.1 pep chromosome:v.1.0:1:1140111:1143105:1 gene:fgenesh2_kg.1__304__AT1G03770.1 transcript:fgenesh2_kg.1__304__AT1G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7KD77] MPSLKNFSAAEEEDDQLGLNSEAARFNPEAVEKEEDPDKMDEKDESGDEEDDVKRDQVEAEDEEALGEEEDSKERSQASSEGEQSESEYLYVDLADIRRDVQCSICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPTCRKHCASRRSLRDDPNFDALIATLFENIDQFEEEEMAFRQDDKARNKQIQASIAQVSQRQSEALAKRKSFGKDAAVLSRSRRSGSGSRRRRNCRNMEQDTSEAHDDDDQNKRGKDSSSDEPCAEILLRKRKKRSTTQPSSSNANNNDNCAGNGTEQTHQRDSRGISPVLMWNSEILAWGRGGTRSNTRQGNNNQGAISKRNARLNRLVEYLGSLEGNSVELDIHLKLISLDTEGLLNLLEPYLCCRPTLLVKQLREYVARQMKLKAEEVELFVSKDGDRVIGNKTSTEKMQSLQDDETLSKLKVDCISSHGYMIVAFRDKRIG >fgenesh2_kg.1__3052__AT1G28760.1 pep chromosome:v.1.0:1:12716536:12717969:1 gene:fgenesh2_kg.1__3052__AT1G28760.1 transcript:fgenesh2_kg.1__3052__AT1G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSFLRLPHRIWFLLPLVVFLLLSYAAALKSVGPSEPALNVSLSPCERIQVSGYSRTKLGKFANSLRVNLVPIPEKLHIKIQVCVHRNATLGMCHCEKSNWKNLQSSSVISPYDKQYIDVKFSGSEYESSRSLVTINVTEEFHQWRIFCLVAGLIVILLAPVVSSWLPFYYTSSMAVGVFLVVLIIIFQVMRLLPAGRKNVMYLAFYGSVVGAGSFILHQFSMMVNMILVNFGLSEDMYNPVAILVLVGIVITGAAFGFWTVRKFVVSKDGGGVDASVAQFVKWAMRSVAATFILQSSLDTPMAMGAIVLASLLGFLVSKMKSFVAQSHWLVSAGERRPIMHGRAEFLSRPGGGGLWKSARRVPSYSGSPSYDVSSPSSVNRRTPIGNQDYYSTFHRTPNRKKMSKREYEELTQETTREAMAGLAASPGFSDWLVEHADRIKLLPTESYDDELGSESDSTGEQSWTGFFW >fgenesh2_kg.1__3059__AT1G29010.1 pep chromosome:v.1.0:1:12735981:12737352:1 gene:fgenesh2_kg.1__3059__AT1G29010.1 transcript:fgenesh2_kg.1__3059__AT1G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKTSSVSYGNREDDDDDDYSVLCPKKQKHNNGGRKRVPRRGPGVAELEKIRLGEQHISTAAPFSRPHPPPLEKSPTFTDRTGLVYPFSSYFTARSFPSDLIPPAPVFQRKHDSSLHYFPPMNLPNQGSGGFYQFIDPPSSQTSCLDNVTQFLDEEKISAAKRPWHLMADTAKCSVGPTITSSRDAKQIRSLDLRLKSHIQDSGTTIRNPITIDSPSSATVPTTIFPNCPLDFPRFLQREEDDHEIIQRKSVTNFPLNRKPFYSFLPSNDQSIRDQDRSLSLRTERYDTVPDHGIDLRLKL >fgenesh2_kg.1__305__AT1G03780.2 pep chromosome:v.1.0:1:1143287:1147654:-1 gene:fgenesh2_kg.1__305__AT1G03780.2 transcript:fgenesh2_kg.1__305__AT1G03780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAEESISTLVTTMVDETYEFLAPRWFDFLNGETEDESRRAELWFQSALSCAPSPSVPRIKARRSFKVEAMCNFNEDEEEIPLKDNEPLEPVAAIVSSQSEPSEAKKEEVAPIKASTIKPSRNSSKDAEVNNKTADASNATTGRIEDKANIPPACTPKPPMQFSLGGKSVDPKKQQTARKIASLLKNPPSALRPKNQSQLSQAKGSHQKSVKRETSVNNIASTTNLIQENQAIKRQKLDDGKSRQILNPKPTTLLHKTRHGLVNTGFNVCPSVTKHIPKENRKVYVREQTAPFVSTAELMKKFQTSTRDLSLPHGNTSLPQNRPKLTLTRPKEPEFVTSQRARPLNVKSSAELEEEMLAKIPKFKARPVNKKILAAPALPAPQRSTPHLPEFQEFHLQTMARANQHAETSSIASTEVSKQHNDRRPHLTEPKSPVLQTMLRARPTKAKTTAELEQEELEKAPKFKAKPLNKKIFESKGEMGIFCNTKKHITIPQEFHFATDERISRPDSVLDIFDKLSLNSESCHEKPLPRNTAPNPFNLKTEERGAEKEKRFYMELIHKKLGDEKARVPKANPYPYTTDYPVVPPKPEPKQCTQPEPFQLESLVRHEEEMRRELEERRRVEREEAQKRLFKAQPVIKEDPIPVPEKVRMPLTEIQEFNLHVEHRAVERADFDHKIKEKENQYKRYREESEAAKMVEEERALKQMRKTMVLHARPVPNFNKPFLPQKSNKGTTKAKSPNLRVIKRTERRTMMARPTVSAATSASAGQMR >fgenesh2_kg.1__3061__AT1G29030.1 pep chromosome:v.1.0:1:12750303:12755119:-1 gene:fgenesh2_kg.1__3061__AT1G29030.1 transcript:fgenesh2_kg.1__3061__AT1G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQYSEDAKHIEKLYEFDERLSESTDKSQNFQDYEGIIELSKTNIKTKQLGAQLIPRYFKFFTSLATEAFDAYMDIIDEVEVGVRVQAIRGLPLFCKDTPEFISKIIDVLVQCLNTEELVERDAVHKAFMSLFRQDTKASLTALFKHTEATISTDEQIREKVLHFIRDKVFPLKGELLKPQEEMERHITDLIKKSLGDVTGEEFNMFMGFLTSLSIFGGKSPQERMQELVEIVEGQADLDSQFNVSDTDHIDRFISCLQTALPFFVRGAPGSKFLSYLNKNIMPDFDKLPEERKLNLLRALAEMSPYTTAQVARQMLPSIVQLLKKYMPARKTGEEMNFTYVECLLYVLHHLAHKAPNATNSLCGYKIVTGQPSDRLGEDFSEFYKEFTERLTSVEDLTKATMKKLTQGMSEHSKAMSAAKTDEEKSSVKMKKQSATTGLRTCNNILAMTKGLHAKAPSFIGDTSVTLSWKEVTKPLASTTTGGKRPANGAGNNVGAKKARVENHQVVNKTSQGISYGGGRGSHRGRGRGHGRHGGGGRGRRGYW >fgenesh2_kg.1__3065__AT1G29050.1 pep chromosome:v.1.0:1:12757784:12766990:-1 gene:fgenesh2_kg.1__3065__AT1G29050.1 transcript:fgenesh2_kg.1__3065__AT1G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKLISLLLLFLPLLTVTILSGTEQAFASDKTLLVTGSNSTADGGRSSLRGKKQGRASGCNLFQGRWVFDASYPFYDSSTCPFIDGEFDCLKFGRPDKQFLKYSWQPESCTIPRFDGGAFLRKYRGKRVMFVGDSLSLNMWESLACMIHASVPNAKTTFLKRTPLSTLTFQEYGVTLHLYRTPYIVDISKEKVGRVLNLGAIEGGANAWKNMDVLVFNSWHWWTHKGQSQGWDYIRDGSSLVRDMNRLDAFYKGLSTWARWVDQNVDTTKTRVFFQGISPTHYEGREWNEPRKSCSGQMQPLGGSSYPSGQPPSAGVVSKVLNSMKKPVTLLDITTLSQLRKDAHPSSYGGDGGTDCSHWCLPGLPDTWNQLLYAALTM >fgenesh2_kg.1__3067__AT1G29070.1 pep chromosome:v.1.0:1:12778277:12779864:1 gene:fgenesh2_kg.1__3067__AT1G29070.1 transcript:fgenesh2_kg.1__3067__AT1G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34 family protein [Source:UniProtKB/TrEMBL;Acc:D7KDQ0] MASLSTSVVASASSRLWNPAASNGKICVPSASLSLRTGSRQSPSSSLLTSSASSQLLHCSFLSSPLSLASPFSGLSIAFDLSSQTSGLNSQRHRGLVVRAGKAALCQTKRSRSRKSLARTHGFRRRMRTTSGRATIKRRRAKGRWNLCPKSNPSSGKRA >fgenesh2_kg.1__3068__AT1G29080.1 pep chromosome:v.1.0:1:12783193:12784373:-1 gene:fgenesh2_kg.1__3068__AT1G29080.1 transcript:fgenesh2_kg.1__3068__AT1G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C1A papain family protein [Source:UniProtKB/TrEMBL;Acc:D7KDQ1] MVLVEFVLVVLTIFSMDLKISEATSRVALHEPTIFYYHQKWMINFSRVYDDEFEKQMRLEVFTENLKFIENFNNMGSQSYKLGVNKFTDWTKEEFLATHTGLSGINVTSPFEVVNETTPAWNWTVSDVLGTTKDWRNEGAVTPVKYQGECGGCWAFSAIAAVEGLTKIARGNLISLSEQQLLDCAREQNNGCKGGTMIEAFNYIVKNGGVSSENAYPYQVKEGPCRSNDIPAIVIRGFENVPSNNERALLEAVSRQPVAVDIDASETGFIHYSGGVYNARDCGTSVNHAVTLVGYGTSQEGIKYWLAKNSWGKTWGENGYIRIRRDVEWPQGMCGVAQYASYPVA >fgenesh2_kg.1__3071__AT1G29110.1 pep chromosome:v.1.0:1:12800607:12801904:1 gene:fgenesh2_kg.1__3071__AT1G29110.1 transcript:fgenesh2_kg.1__3071__AT1G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVWSVLVALTILSMDLRISQARPHVTLNEQSIVDYHQQWMTQFSRVYQDESEKEMRLQVFKKNLKFIENFNNMGNQSYTVGVNEFTDWTIEEFLATHTGLRVNVTTLSELFNETMPSRNWNISDIDIDDESKDWRDEGAVIPVKVQGACGLTKISGKNLLTLSEQQLIDCDTEKNTGCDGGGIEEAFKYIIKNGGVSLETEYPYQVKKGSCRANARSATQTQIRGFEMVPSHNERALLEAVRRQPVSVLIDARADSFKTYKGGVYAGLDCGTDVNHAVTFVGYGTMIQSWGENGYMRIRRDVEWPQGMCGIAQVAAYPIP >fgenesh2_kg.1__3072__AT1G29120.2 pep chromosome:v.1.0:1:12803121:12807204:1 gene:fgenesh2_kg.1__3072__AT1G29120.2 transcript:fgenesh2_kg.1__3072__AT1G29120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASWIQQPPFRYLPDRGKPSSRRAPQFFSGVVSASSSSSSSPSSSSCSCGYSEILNFHFGSSRSWNQLGLRVQAMSSTAQRNFSLSKGDTDDKNEPDHLLVLVHGILASPSDWLYVEAELKRRLGRRFLIYASSSNTFTKTFGGVDGAGKRLAEEVRQVVQKSKSLKKISFLAHSLGGLFSRHAVAVLYSAEMSQASDVGVSKSGDSHLLRGRIAGLEPINFITLATPHLGVRGRKQLPFLLGVPILEKLAAPIAPFFVGRTGSQLFLTDGKADKPPLLLRMASDGQDLKFLSALGAFRSRIIYANVSYDHMVGWRTSSIRRETELIKPPRRSLDGYKHVVDVEYCPPVSSDGAHFPPEAAKAKEAAQSSPSPQNTLEYHEIVEEEMIRGLQRLGWKKVDVSFHSTFWPYLAHNNIHVKSERLYKAGAGVIAHVADSIKQQESSTFITASL >fgenesh2_kg.1__307__AT1G03790.1 pep chromosome:v.1.0:1:1157234:1158821:1 gene:fgenesh2_kg.1__307__AT1G03790.1 transcript:fgenesh2_kg.1__307__AT1G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7KD80] MDVVCTEHHMRKPTVEIPPRRLLLSSKSFPSDSSSPRSPRKHNWNKSNKITSEHEEDNFNNEDNNKEIKEYCYDSDSDDPYASDHFRMFEFKIRRCTRSRSHDWTDCPFAHPGEKARRRDPRRFQYSGEVCPEFRRGGDCSRGDDCEFAHGVFECWLHPIRYRTEACKDGKHCKRKVCFFAHSPRQLRVLPPENVSGGSASPSPAAKNPCCLFCSSSPTSTLLGNLSHLSRSPSLSPPVSPAHKAAAFSRLRNRAASAVSAAAAAGSVNYKDVLSELVNSLDSMSLAEALQASSSSPVTTPVSAAAAAFASSCGLSNQRLHLQNLQPSSPLQFALSPSTPSYLTNSPQANFFSDDFTPRRRQMNDFTAMAAVREKTSIEDGSCGDPDLGWVNDLLT >fgenesh2_kg.1__3082__AT1G29179.1 pep chromosome:v.1.0:1:12831379:12833337:1 gene:fgenesh2_kg.1__3082__AT1G29179.1 transcript:fgenesh2_kg.1__3082__AT1G29179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPELKLVSLATQLISLNNSTDLELVSCTIIQIISLVSSMDLDSQPKPETKLMSLIAQTISLFNSMDLDSQPEPLRKLISLITQEVSLQNSVDSDSEPKPNSEFMSFYSETFKLEPRPELISIITQIFLFIDSDSDSDSEMESKLISVISQIISIVSFGETDGELDESQKMSKTKSVILLISQLISLVSSLDLDSQPEPESELISLIKQVISVGNSISDSEPDSIDLEPEPPAQLLSLMAHIYLNLYSMDWDSELLTLLSQIFTLVSSTDLASESESEWGPDQLISLFNSYKENFM >fgenesh2_kg.1__3084__AT1G29260.1 pep chromosome:v.1.0:1:12860981:12862154:-1 gene:fgenesh2_kg.1__3084__AT1G29260.1 transcript:fgenesh2_kg.1__3084__AT1G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFKAPFNGYSVKFSPFYESRLAVATAQNFGILGNGRIHVLELAPGAPGVTESVAYDTADAVYDVCWSESHDSVLIAAIGDGSVKIYDTALPPPSNPIRSFQEHAREVQSLDYNPTRRDSFLTSSWDDTVKLWAMDRPASVRTFKEHVYCVYQAVWNPKHGDVFASASGDCTVRIWDVREPGSTMIIPGHEYEILSCDWNKYDDCILATSSVDKTVKVWDVRSYRVPLAVLNGHGYAVRKVKFSPHRRSLIASCSYDMTVCLWDYMVEDALVGRYDHHTEFAVGIDMSVLVEGLMASTGWDELVYVWQQGMDPRAS >fgenesh2_kg.1__3085__AT1G29250.1 pep chromosome:v.1.0:1:12862253:12863686:1 gene:fgenesh2_kg.1__3085__AT1G29250.1 transcript:fgenesh2_kg.1__3085__AT1G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7KDR4] MEEITEGVNNMNLAVDTQKKNRIQVSNTKKPLFFYVNLAKRYMQQYTDVELSALGMGIATVVTVAEILKNNGFAVEKKIMTSTVDIKDDSRGRPVQKAKIEITLAKSEKFDELMAAANEEKEAAEAQEQN >fgenesh2_kg.1__3088__AT1G29220.1 pep chromosome:v.1.0:1:12874888:12877004:1 gene:fgenesh2_kg.1__3088__AT1G29220.1 transcript:fgenesh2_kg.1__3088__AT1G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional regulator family protein [Source:UniProtKB/TrEMBL;Acc:D7KDR6] MAPKKSEGIALLSVYSDEDDEEMEDAEEEEEEDEQQKNQEESEKRIEEDQLEEANYMDEEERGRGGEDSRTPRLLDGGGASSSAHATPRSLDNDESSSRMIGEFGVADGERGDDASGESSDTLLNQFLPPRPREKCSEELQRKIDKFLSLKKMGKSFNSEVRNRKEYRNPDFLLHAVSYQDIDQIGSCFSKDVFDPYGYDPSDFCDAIEIDMKHERERKEQESKKNQKLDFVSAGTQPGAVFAAQKPNIPIPGIPALVTSGLPSVPTEIAARDGRPNKKSKWDKVDGDVKNPPLAAGTQDSLSSIRSNAALVSAASAGSGYSAFAQQRRREVEGRRSSERKLERRS >fgenesh2_kg.1__3091__AT1G29200.2 pep chromosome:v.1.0:1:12876928:12879740:-1 gene:fgenesh2_kg.1__3091__AT1G29200.2 transcript:fgenesh2_kg.1__3091__AT1G29200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGSPRSPRPENIDEKENIGRRSLDSLSGNDLLLGRRIYGSEVSKAQGSKHDQSCVSSNKFWKKQQSWLRRNFKSIVLMISVTGFIFCIDSIMVSIFHSDSSAMVQDISRLSNMTLHKNGAQDASPVQMYSRLLNLASDSLAKNEFKPDTPNFQEERSSKSPQWKPCADNNKAAVALERYRELSNGYIMVSANGGLNQQRVAICNAVAVAALLNATLVLPRFLYSNVWKDPSQFGDIYQEDHFIEYLKDEVNIVKDLPQHLKSTDNKNLSLVTDTELVKEAKPVDYIERVLPLLKKYGMVHLFGYGNRLGFDPLPFDVQRLRCKCNFHALKFVPKIQEAGSLLVKRIRRFKTSRSILEEALLGESMVKNTVKGEEEPLKYLALHLRFEEDMVAYSLCNFGGGETERKELQEYREDHFPLLLKRLKKSKSVSPEELRKTGKCPLTPEEATLVLAGLGFKRKTYIYLAGSQIYGGSSRMLPLTRLYPNIATKETLLTPQELAPFKNFSSQLAALDFIACIASDVFAMTDSGSQLSSLVSGFRTYYGNGQAPTLRPNKKRLAAILSDSETIKWKIFEDRVRKMVEEGQKLRTRPYGRSIYRQPRCPECMCKF >fgenesh2_kg.1__3093__AT1G29290.1 pep chromosome:v.1.0:1:12917822:12918437:1 gene:fgenesh2_kg.1__3093__AT1G29290.1 transcript:fgenesh2_kg.1__3093__AT1G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLVPTIWLFIVFAIIVSALPSPVSSRKMLEIKKQEILTVREEEKSHMPHVTKTSTLSALPKGKIPNSTPSKKGHAAVLAGKLRSRHLSTVDRFLRSVPSPGVGH >fgenesh2_kg.1__3094__AT1G29300.1 pep chromosome:v.1.0:1:12921219:12923014:-1 gene:fgenesh2_kg.1__3094__AT1G29300.1 transcript:fgenesh2_kg.1__3094__AT1G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKKNPSVRRKSKLARTFQKVCNLRTTSTKVSSNNGIGICMLKSQNPNFNDEDDDGDSVFDLKSTSSSRSEEIKVRERRRAVLEAVVAKIFASTTSIKAAYAELQMAQRPYDNDAIQAADTAVVEELRALSELKRSFLRKELNLSPQVAIMLAEIQEQQSLMRTYEITIKKLEFEVTEKKLKIDELKMSLDENLVMNKSLEKKLTASGSISAFDNIQISNLNLSQFVQVLGFTLRSVRSFVKLIVKEMESASWDLDAAGSAAVSVNVKNASTVFARPSHRCFAFESFVCGKMFENFESPDFSSREEFENVRSVDPIQYLTRNPGSSFASFVVHKYLSVVHAKMECSFFGNLNQRKLVNSGGFPDSGFFATFCEMAKRIWLLHCLAFSLSENVTVFQLKRGCRFSQVYMESVKSGDESIFSGDNSDIRVGFTVVPGFKIGENVIQSQVYLSPVNGFTS >fgenesh2_kg.1__3095__AT1G29310.1 pep chromosome:v.1.0:1:12931157:12933569:1 gene:fgenesh2_kg.1__3095__AT1G29310.1 transcript:fgenesh2_kg.1__3095__AT1G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVRPFLAFLPEVQSADRKIPFRDKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGPVGQLGVGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICESIIWKAFSPTTINTGRGAEFEGAVIALFHMLITKSNKVAALRQAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKSARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKFSGNFFVNLLGQWKESEYSGQSIPVSGLAYLITAPASFADMAAHPFHALFYIVFMLTACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGFFGF >fgenesh2_kg.1__3097__AT1G29330.1 pep chromosome:v.1.0:1:12944872:12947761:-1 gene:fgenesh2_kg.1__3097__AT1G29330.1 transcript:fgenesh2_kg.1__3097__AT1G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor [Source:UniProtKB/TrEMBL;Acc:D7KDS8] MNIFRFAGDMSHLISVLILLLKIYATKSCAGISLKTQELYALVFLTRYLDLFTDYVSLYNSVMKIVFIASSLAIVWCMRRHPLVRRSYDKDLDTFRHQYVVLACFVLALILNEKFTFQEVFWAFSIYLEAVAILPQLVLLQRSGNVDNLTGQYVVFLGAYRGLYIINWIYRYFTEDHFTRWIACVSGLVQTALYADFFYYYYISWKTNTKLKLPA >fgenesh2_kg.1__3098__AT1G29340.1 pep chromosome:v.1.0:1:12951990:12954406:1 gene:fgenesh2_kg.1__3098__AT1G29340.1 transcript:fgenesh2_kg.1__3098__AT1G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7KDS9] MASAAIFSSLRRRRSPSLEAFLAPVDLSGVALVQTLASISTEVVSCFTSVRFSFQRKNARSLIRKIEIFVVLFEFLVDSNWGSSRSRRRSSVSESTALLCLKELYLLLYRSKILVDYCAQSSKLWLLLQNPSISGYFHDLNQEISTLLDVLPVNDLGLSDDIREQVELLQRQSRKSRLYIDKNDESLRESFYSFLDGFENGEIPNSVDLRLFFVEKLGIRDSKSCRTEIEFLEEQIVNHDGDLEPTGSVINGFVAITRYCRFLLFGFEEDGLEWRIENPKKPRKGFVAQEIGDTFITVPKDFVCPISLDLMTDPVIISTGQTYDRSSIARWIEEGHCTCPKTGQMLMDSRIVPNRALKNLIVQWCTASGISYESEFTDSSNESFASALPTKAAVEANKATVSILIKYLADGSEAAQTVAAREIRLLAKTGKENRAYIAEAGAIPHLCRLLKSENAIAQENSVTAMLNLSIYEKNKSRIMEEGDCLESIVSVLVSGLTVEAQENAAATLFSLSAVHEYKKQIAVVDQCVEALALLLQNGTPRGKKDAVTALYNLSTHPDNCSRMIEGGGVSSLVGALKNEGVAEEAAGALALLVRQSLGAEAIGKEDSAVAGLMGMMRCGTPRGKENAVAALLELCRSGGAAVAEKVLRAPAIAGLLQTLLFTGTKRARRKAASLARVFQRRENAAMRSGVYGFVGNSNGNRDGSFTTDVSVPISISISVPVL >fgenesh2_kg.1__30__AT1G01980.1 pep chromosome:v.1.0:1:122468:124310:1 gene:fgenesh2_kg.1__30__AT1G01980.1 transcript:fgenesh2_kg.1__30__AT1G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSEC1A [Source:UniProtKB/TrEMBL;Acc:D7KP54] MKLSCFVFLILSSLVTCSLATAPPNASTYESFLQCFSNHTGAPPGKLCDVVLPQSSASFTPTLRAYIRNARFNTSTSPKPLLVIAARSECHVQATVLCTKSLNFQLKTRSGGHDYDGVSYISNCPFFVLDMSYLRNITVDMSDNGGSAWVGAGATLGEVYYNIWHNSKTHGTHGFPAGVCPTVGAGGHISGGGYGNMIRKYGLSVDYVTDAKIVDVNGRILDRKSMGEDFFWAIGGGGGASFGVILSFKIKLVPVPPRVTVFRVEKTLEENALDMVHKWQFVAPKTSPDLFMRLMLQPVTRNTTQTVRASVVALFLGKQSDLMSLLTKEFPELGLKPENCTEMTWIQSVMWWANNDNATEIKPEILLDRNPDSASFLKRKSDYVETEISKDGLDFLFKKLMEAGKLGLVFNPYGGKMSEVATTATPFPHRKRLFKVQHSMNWKDPGTEAESSFMERTRSFYSYMAPFVTKNPRHTYLNYRDLDIGINSHGPKSYREAEVYGRKYFGENFDRLVKVKTAVDPENFFRDEQSIPTLPTKPASS >fgenesh2_kg.1__3100__AT1G29370.1 pep chromosome:v.1.0:1:12956559:12961973:-1 gene:fgenesh2_kg.1__3100__AT1G29370.1 transcript:fgenesh2_kg.1__3100__AT1G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVGVVGGGSAGARKANNGIQDIPSGSRIIVQSLKEIVNSPEAEIYAMLKECNMDPNETVNRLLSQDPFHEVKSKKEKKKETRDISDSRPRGANNTYNRGARGGSDRYAGRSGSTHFSSTDSGNFQGKSANKKESGTQGYLGSWSSASGVANTYQTAHSEPVATENKLPSVPSGDGISSSQSASGHQTAWFGAPGQRSMAEIVKMGIPQNQTTKQNVNMRPEISHEHEVSANQQVPVRDEWPSIEKPLAPCTSSVSVAPAESEVCNGPADFQSDRGDQYLKDRLENIHIAENGPSESRGVDHLQADSVQEDESGVSSEIDDNPYQTQSHPVEHHKDEDDVSSGSASFQQLDSHDQEVSHEEDRPAVVIPNHLLIHTEECSQLSFGSFGGFGSRPLSNKVEETSDVAPQIEHSDARNTEFYGDEHLGSTTNGNMVHTPATGNYDDSLESRREVLKQENSEGAQEHQYTFAQSEQGYAYENAKQQQMNTAYDASHTNAQNQMHNLASLSNVMQGYSLSVPNTLLAQTAQNARELDFQFLPFSAQQSMQSRTSNNASSLGGQSISMPEALRGSGISATQPTQQTLPGANIATGPALPQQLPMHYSQPTLPLTHYANMIGYPLMPQNYPYMPSAFQQTFAGNNAYHQQLAALLPQYKTNVSPGNLPQSATAPASAYGFGNSTNVGSTGNFPLNQQSAPTGTTLGYEDVLSSQYKENHLLALQQQQQQQQQQQQQQNENSAMWHHGHGSRTMSGVPTNTYYNLQAQQQLQLQQQQQQQAQQAAGGYRQAQQQQHYGSHGYPNYYQSQTEMSLDRQQQNPRDGGTGSQAGQPSNQTQQQLWQNSY >fgenesh2_kg.1__3107__AT1G29490.1 pep chromosome:v.1.0:1:12987073:12987579:-1 gene:fgenesh2_kg.1__3107__AT1G29490.1 transcript:fgenesh2_kg.1__3107__AT1G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KDT7] MMNTKKLIKMAKKWQKKAALSRKRISFQRSSTTTSSSSAGEKGCFVVYTVDKARFAFPISYLSNSVIQELLKISEEEFGLPTEGPITLPFDSIFLEYLIKL >fgenesh2_kg.1__3108__AT5G27780.1 pep chromosome:v.1.0:1:12988524:12991025:-1 gene:fgenesh2_kg.1__3108__AT5G27780.1 transcript:fgenesh2_kg.1__3108__AT5G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7KDT8] MMMNTKKLLKMAKKWQQRAAFSRKRISFQSSSASSSSTTVEKGCFVVYTADKIRFAFPLSYLSNPIVQELLKISEEEFGLPTEGPITLTFDSAFLAYLIRLIERRMDGDTEKALLLSISSARCSLQCSLQREKQQCSTTQQLLVF >fgenesh2_kg.1__3110__AT1G29450.1 pep chromosome:v.1.0:1:12991944:12992451:-1 gene:fgenesh2_kg.1__3110__AT1G29450.1 transcript:fgenesh2_kg.1__3110__AT1G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKWQQRAALHRKRISFQRSSTATSSTAAEKGCFVVYTTDSARFAFPLSYLSNSVFQELLKISEEEFGLSTEGPITLPFDSIFMEYLIKLIERRMDGDTEKALLMSISSARCSLQQPEHSTQQMLVF >fgenesh2_kg.1__3111__AT1G29460.1 pep chromosome:v.1.0:1:12993479:12994036:-1 gene:fgenesh2_kg.1__3111__AT1G29460.1 transcript:fgenesh2_kg.1__3111__AT1G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTKKLLKMAKKWQQRAALHRKRISFQRSSTTTTSSSSSAVEKGCFVVYTVDKIRFAFPIRSSEEEFGLTAGGPITLPFDSVFLEYLITLIGRRIDGDTEKALLMSISSARCSLQLQEQQSSSTQQLLVF >fgenesh2_kg.1__3113__AT1G29470.1 pep chromosome:v.1.0:1:12996442:13000293:-1 gene:fgenesh2_kg.1__3113__AT1G29470.1 transcript:fgenesh2_kg.1__3113__AT1G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKYSRVDGKKSSGYGLTITIVLIVSLCLVGAWMFMSSWSAPTESIDFSANERTKDVDTTTKSDFKSEEVDRGSKSFSDEKNDETEVVTESNEEKTDPEKSGEENSGEKTESGEGKKEFDDKNGDGDRKDGEGEKDTESESDEAKQKEKTQLEESSEENKSEDGNGTEENTGESEENAEKKSEENAGETEESTEKSKDVFPAGDQAEITKESSTGSGAWSTQLVESQNEKKAQVSSIKWKVCNVTAGPDYIPCLDNWLAIRKLHSTKHYEHRERHCPEESPRCLVSLPEGYKRSIKWPKSREKIWYTNVPHTKLAEVKGHQNWVKMSGEYLTFPGGGTQFKNGALHYIDFLQESYPDIAWGNRTRVILDVGCGVASFGGYLFDRDVLALSFAPKDEHEAQVQFALERGIPAMSNVMGTKRLPFPGSVFDLIHCARCRVPWHIEGGKLLLELNRALRPGGFFVWSATPVYRKTEEDVGIWKAMSKLTKAMCWKLMTIKKDELNEVGAAIYQKPMSNKCYNERSQNEPPLCKDSDDQNAAWNVPLEACMHKVTEDSSKRGAVWPESWPERVETVPQWLDSQEGVYGKPAQEDFTADHERWKTIVSKSYLNGMGIDWSYVRNVMDMRAVYGGFAAALKDLKLWVMNVVPIDSPDTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHADHLFSSLKKRCNLVGVMAEVDRILRPQGTFIVRDDMETIGEIEKMVKSMKWNVRMTHSKDGEGLLSVQKSWWRPTEAETIQSAIA >fgenesh2_kg.1__3114__AT1G29520.1 pep chromosome:v.1.0:1:13017794:13018871:1 gene:fgenesh2_kg.1__3114__AT1G29520.1 transcript:fgenesh2_kg.1__3114__AT1G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQMKPVASGLLVLNFCMYVIVLGIGGWAMNRAIDHGFEVGPNLELPAHFSPIYFPMGNAATGFFVIFALLAGVVGAASTISGLSHIRSWTMGSLPAAATAATIAWTLTVLAMGFAWKEIELQGRNAKLRTLEAFLIILSVTQLIYIAAVHGVRRP >fgenesh2_kg.1__3115__AT1G29530.1 pep chromosome:v.1.0:1:13018880:13021024:-1 gene:fgenesh2_kg.1__3115__AT1G29530.1 transcript:fgenesh2_kg.1__3115__AT1G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KDU9] MPSGAKKRKALKKKKQQEAIGTSTNRNDEHGSQDGRGSDSSLSSPGSQGNQEFGTKDSSAALSSGVVKGAVKEVSGDAGVTQGLGPKSGNAIVGKSTNSSSDNRTHPAKTVACGNSVTEITPVVDSVKPVVSLSKAVVSEKSEHLETSTHSNLVKKTSEKNEEYHPPPGLENNNSKVVTLPRFAAETNKQVESVRKSEVPVSPEEKVALLLPGPPAVRKTSFLSCCGLFDALTGSD >fgenesh2_kg.1__3118__AT1G29550.1 pep chromosome:v.1.0:1:13023805:13025491:1 gene:fgenesh2_kg.1__3118__AT1G29550.1 transcript:fgenesh2_kg.1__3118__AT1G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDSSVSAIMADQNIDPNTATNPSRQEKHVPAIKAISGDEKSPSKEKKNDAYASKKSTTVIQKSHCFQNSWTFWFDNPSSKSNQVTWGSSLRSLYTFATIEEFWSLYNNIHPPTKWVPGADLYCFKHKIEPKWEDPICGNGGKWTMFFPKAATLESNWLNTLLALVGEQFDQGDEICGAVLNFRARGDRISLWTKNAANEEAQLSIGKQWKELLGYNDTIGFIVHEDAKTLDRDAKRRYTV >fgenesh2_kg.1__311__AT1G03840.2 pep chromosome:v.1.0:1:1170678:1173073:-1 gene:fgenesh2_kg.1__311__AT1G03840.2 transcript:fgenesh2_kg.1__311__AT1G03840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEDQTISSSGGYVQSSSTTDHVDHHHHEHDSLNPPLVKKKRNLPGNPDPEAEVIALSPKTLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKRVYVCPEKSCVHHHPTRALGDLTGIKKHFCRKHGEKKWKCEKCAKRYAVQSDWKAHSKTCGTREYRCDCGTIFSRRDSFITHRAFCDALAEETARLNAASHLKSLAATAGSNLNYHYLMGTLIPSPSSQPPPSFPFGPPQPQHHHQHFPIPTTTFDHHHHQDVMKPASSLSLWMGGNINHHQQVTIEDRIAPQPHSPNEDYNWVFGNANNRGELITTSDSLITHDHNINIVQSKENTNAATSLSVPSLFSSVDQITQDANAASANMSATALLQKAAQMGSTSSTSPTTTITTDQSGYLQSFASKISDQSNQIVEDGGSDKFFALFGSNSVELMSNNNNGLHEIGNPRNGVRVVSGIDELQNYPWKRRRVEIGNAGGGGGQTRDFLGVGVQAVCHSSSINGWI >fgenesh2_kg.1__3122__AT1G29630.2 pep chromosome:v.1.0:1:13056465:13060758:1 gene:fgenesh2_kg.1__3122__AT1G29630.2 transcript:fgenesh2_kg.1__3122__AT1G29630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPLLKSIMVPIHIKELEGCIVAVDTYSWLHKGALSCSRELCKGLPTKRHIQYCMHRVNLLRHHGVKPIMVFDGGPLPMKLEQENKRARSRKENLARALEHEANGNSSAAYECYSKAVDISPSIAHELIQVLRQENVDYVVAPYEADAQMTFLAITKQVDAIITEDSDLIPFGCPRIIFKMDKFGHGVEFQASKLPKNKDLSLSGFSSQMLLEMCILSGCDYLQSLPGMGLKRAHALITKFKSYDRVIKHLKYSTVSVPPLYEESFKRALLTFKHQRVYDPNTEDIIHLSDISDYLGEDSDFENLIDFLTSFTSMPQHIAKGIALGQLDPFTQLPFQAESVTPILAVDVISRPKSFKPETVKKKLDLPVQKNLLTKYFCFASVEAKRKFKAPRISPMSLTPTDESPSTPEDNATDIDALSSQTTNESPVYSLGENACVSKVAEKRESPDDDAMEKNHKHLHHKYGERKVERPKTDSLKVIVRSKYFKQKQEDKGLKQPIPCLNDCSVIGQRKAVKSVINMSSASKREENHRAIATTSPCLHHDRIYNDHEDAKEASFSAMNEVAERTTDIHKINHQIDEEEQNPSVEIPSAFSTPENVIPLSSIAINSFHGAATGKRKLDLDENLQKENQNSKHMRMDETDPVLNAETPVETDDVEKFGSNISHIGHYSEIAEKSVERFVSAISSFKYSGTGSRASGLRAPLKDIRNTCPSKGFSLKPDISKFGYASSNRHMVTKSRRL >fgenesh2_kg.1__3123__AT1G29660.1 pep chromosome:v.1.0:1:13080553:13082497:1 gene:fgenesh2_kg.1__3123__AT1G29660.1 transcript:fgenesh2_kg.1__3123__AT1G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7KDW0] MESYLRKWCLVSVWVLLLGLGFKVKAEPQVPCYFIFGDSLVDNGNNNRLRSIARADYFPYGIDFGGPTGRFSNGKTTVDVLTELLGFDNYIPAYSTVSGQQILQGVNYASAAAGIREETGAQLGQRITFSGQVENYKNTVAAVVELLGDANTAADYLRRCIYSVGMGSNDYLNNYFMPQFYPTSRLYTPEQYADDLISRYREQLNALYNYGARKFALVGIGAIGCSPNALAQGSPDGTTCVERINSANRIFNSRLISMVQQLNNEHSDARFTYINAYGAFQDIIANPSAYGFTVTNTACCGIGRNGGQLTCLPGQPPCLNRDEYVFWDAFHPSAAANTVIAQRSYNAQRSSDVNPIDISQLAQL >fgenesh2_kg.1__3124__AT1G29670.1 pep chromosome:v.1.0:1:13084666:13086719:1 gene:fgenesh2_kg.1__3124__AT1G29670.1 transcript:fgenesh2_kg.1__3124__AT1G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7KDW1] MESYLKKWCVVLVLLCFGFSVVKAQAQAQVPCYFIFGDSLVDNGNNNGLISIARSNYFPYGIDFGGPTGRFSNGKTTVDEIAELLGFNDYIPAYNTVSGRQILSGVNYASAAAGIREETGRQLGQRISFSGQVRNYQNTVSQVVQLLGDETRAADYLKRCIYSVGLGSNDYLNNYFMPTFYSSSRQFTPEQYANDLISRYSTQLNALYNYGARKFALSGIGAIGCSPNALAGSRDGRTCVDRINSANQIFNNKLRSLVDQLNNNHPDAKFIYINAYGIFQDMITNPSRFGFRVTNAGCCGIGRNAGQITCLPGQRPCRDRNAYVFWDAFHPTEAANVIIARRSFNAQSASDAYPMDISRLAQL >fgenesh2_kg.1__3126__AT1G29690.1 pep chromosome:v.1.0:1:13087885:13091240:-1 gene:fgenesh2_kg.1__3126__AT1G29690.1 transcript:fgenesh2_kg.1__3126__AT1G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRKGGNFSVPSSEALTTTLRNAIQALGRGFDVTSDVRLLYCKGAPGSRLVRIEEGQNRDLELSDGYLLPNVPADIECSRGRIGTQRIPVCSFHQMAEYFNEISGVKGNIPLGCFNAMFNYTGSWQVDAASTKSLALVGFFIPLYEVKLVNLKLDLHNEIRRAVPSSWDPASLASFIENYGTHIVTSVTIGGRDVVYIRQHQSSPLPVSEIENYVNEMTEHRFHEAESQSITGPLKYKDKDITVIFRRRGGDDLEQSHARWAETVPAAPDIINMTFTPIVSLLKGVPGLQHLTRAIDLYLEYKPPIEDLQYFLDFQIARAWAPEQSNLQRKEPVCSSLQFSLMGPKLFISADQVTVGRKPVTGLRLSLEGSKQNRLSIHLQHLVSLPKILQPHWDSHVPIGAPKWQGPEEQDSRWFEPIKWKNFSHVSTSPIEHTETHIGDLSGVHIVTGAQLGVWDFGSKNVLHLKLLFSKVPGCTIRRSVWDHTPVASSGRLEPGGPSTSSSTEDVSGQSGKLAKIVDSSEMLKGPQDLPGHWLVTGAKLGVEKGKIVLRVKYSLLNY >fgenesh2_kg.1__3127__AT1G29700.1 pep chromosome:v.1.0:1:13094731:13097242:-1 gene:fgenesh2_kg.1__3127__AT1G29700.1 transcript:fgenesh2_kg.1__3127__AT1G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVPGGKAATMPLHANALPLSINTKSRFLSASAFPLFSSTPHLPSRSLSIRLSPNVSRSLTVVSSVLSEDRATGVSGSGTDAFKLTYLEGNSWLWETGGLKILVDPILVGNLDFGIPWLYDAAKRYLKGFKLDDLPEVDCLLITQSLDDHCHLNTLRPLSEKYPGIKVIATPNAKPLLDPLFRNVTYLEPGDSYELNGRNGSKVRVKATAGPVLGPPWQRPENGYLLVSPEDQISLYYEPHCVCNMELLKNERADIVITPVIKQLLPRFTLVSGQEDAVQLAKLLKAKFVVPMQNGELEAKGLLASIIKKEGTIESFKELLLKELPEAQVLEPIAGIPLEILAPTSDI >fgenesh2_kg.1__312__AT1G03850.2 pep chromosome:v.1.0:1:1189850:1190459:-1 gene:fgenesh2_kg.1__312__AT1G03850.2 transcript:fgenesh2_kg.1__312__AT1G03850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAIRPYESSWTKTVPGNSIFLSKNEDKPSSSSSLSWLTSASPKPTSLSTKRSSNLVVMENAVVVFARRGCCMGDVAKRLLLTHGVNPLVVEIGEEDNNNNYDNIISDKEKLPMMYIGGKLFGGLENLMAAHINGDLVPTLRQAGALWL >fgenesh2_kg.1__3130__AT1G29740.1 pep chromosome:v.1.0:1:13110348:13115259:-1 gene:fgenesh2_kg.1__3130__AT1G29740.1 transcript:fgenesh2_kg.1__3130__AT1G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KDX0] MSVAYKLMIMSQSRLFSSVLSVLTLIYLVCTVSASPSLHPDEVEALKDIALTLGVKHLNLSEDPCLTKTLVITQDVLKEGQNSTIKCDCRFNNNNTCHITHFVLKTFSLPGRLPTEFSKLRYLEFIDLCRNYLYGSIPMEWASLPYLKSISVCANRLSGDIPKGLGKFINLTQLVLEANQFSGTIPKELGNLVNLEGLALSSNELVGGVPKTLARLKNLTNLRFSDNRLNGSIPEFIGNLSKLQRLELYASGLTEPIPESIFRLENLIDLRISDTTAALGLVPLITSKSLKFLVLRNMNLRGPIPTSLWDLPNLMTLDLSFNRLTGEIPADASAPKYTYLAGNMLSGKVESGPFLTASTNIDLSYNNFTWSPSCKERKTRLLPCSAIDKCQNYSRSLHINCGGPDVTIENSRGRFLYEGDNYGLIGSATNYYGKSWGFSNTGDFMDDAITEDTYTVSSESAVSAKYPDLYQNARRSPLNLAYFAFCFKNGSYNVKLHFAEIQFSDEEPFARLAKRFFNIYVQGKLIWEDFSIRDEANGTHKEVIKEVNTTVTDNTLEIRLYWAGKGTTIIPKRGNYGSLISAISVCPSSESECGVPVPIHPVTKQQNKPRKYPLILGIAALILSLAFLILGALYWKICVRNADAGKRGSFSLRQLKVATDDFNPSNKIGEGGFGSVYKGRLPDGTLIAVKKLSSKSHQGNKEFVNEIGMIACLQHPNLVKLYGCCVEKNQLLLVYEYLENNCLADALFGRSGLKLDWRTRHKICLGIARGLAFLHEDSAVKIIHRDIKGTNILLDKDLNSKISDFGLARLHEDDRSHITTRVAGTIGYMAPEYAMRGHLTEKADVYSFGVVAMEIVSGKSNANYTPDSECCVGLLDWAFVLQKKGAFAEILDPKLEGVFNVMEAERMIK >fgenesh2_kg.1__3132__AT1G29750.2 pep chromosome:v.1.0:1:13116059:13122758:-1 gene:fgenesh2_kg.1__3132__AT1G29750.2 transcript:fgenesh2_kg.1__3132__AT1G29750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLYQMLAEKKKKKKKKDVNIFAISVFAIICFKFYSINAIKLPQQEVDALQQIATTLGSKFWKFDAENCKIEMVGLTETPPPTAKQEIECECSPTNDTDCHIVKFAFKEHNLPGTLPQIVKLPYLREIDLAYNYINGTLPREWASSNLTFISLLVNRLSGEIPKEFGNSSTLTYLDLESNEFSGTIPQELGNLVHLKKLLLSSNKLTGTLPASLARLQNMTDFRINDLQLSGTIPSYLQNWKQLERLEMIASGLTGPIPSVISVLSNLVNLRISDIRGPVQPFPSLKNVTGLVKLILKNCNISGQIPTYLSNLKELETLDLSFNKLVGGIPSFAQAENLRFIILAGNMLEGDAPDELLRDGITLDLSYNNLKWQSPESRACRPNMNLNLNLFQSTSTKKSSKFLPCIKDFKCPRYSSCLHVNCGGSDIYVKEKKTKELYEGDGNVEGGAAKYFLKPDANWGFSSTGDFMDDNNFQNTRFTMFVPASNLSDLYKSARIAPVSLTYFHACLENGNYTINLDFAEMRFTNDENYSRLGRRLFDIYIQEKLVAKDFNIMDEAKGAQTPIIKPFTAYVSNHFLTIRLSWAGKGTTRIPTRGVYGPLISAISIVSDSKPCERPKTGMSPGAYIAVGVGAPCLIIFIVGILWMCGCLPRCGQRRKDPYEEELPSGTFTLRQIKFATDDFNPTNKIGEGGFGPVFKGVLADGRVVAVKQLSSKSRQGNREFLNEIGAISCLQHPNLVKLHGFCVERAQLLLVYEYMENNSLSSALFSPKHKQIPMDWSTRFKICCGIAKGLAFLHEESPLKFVHRDIKATNILLDKDLTPKISDFGLARLDEEEKTHISTKVAGTIGYMAPEYALWGYLTFKADVYSFGVLVLEIVAGITNSNFMGAGDSVCLLEFANECVESGHLMQVVDERLRPEVNRKEAEAVIKVALVCSSASPTDRPIMSEVVAMLEGLYPVPESTPGVSRNSGDIRFKAFKDLRRGMENNSKTQCSVKSYPSSSSSSSGAGQVVQERKKEESRP >fgenesh2_kg.1__3134__AT1G29770.1 pep chromosome:v.1.0:1:13126550:13127792:1 gene:fgenesh2_kg.1__3134__AT1G29770.1 transcript:fgenesh2_kg.1__3134__AT1G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor family protein [Source:UniProtKB/TrEMBL;Acc:D7KDX3] MACHGFLGKPTPKSFGRHKHARRNRHHQSRCSAAAVAAAGSIFTSLNMSIFTFHNRLLRCVSRFFRLATTSSATPSHRATMKQGYKKLQKPEPLRRRNDKKRTIFLDLDETLVHSTMEPPIRVNVDFMVRIKIEGAVIPMFVVKRPGVTEFLERISKNYRVAIFTAGLPEYASQVLDKLDKNRVISQRLYRDSCTEMNGRYAKDLSLVAKNDLGSVLLVDDNPFSYSFQPDNGVPIKPFVDDMEDQELMKLAEFFDGCYQYEDLRDAAAELLSSKLI >fgenesh2_kg.1__3135__AT1G29780.1 pep chromosome:v.1.0:1:13129057:13129722:1 gene:fgenesh2_kg.1__3135__AT1G29780.1 transcript:fgenesh2_kg.1__3135__AT1G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor family protein [Source:UniProtKB/TrEMBL;Acc:D7KDX4] MSILKFHCRLLRCVSRCFTATTISYPATKHDFTKLDKLKDPLTEYTTTKRTIILDLDETLVHSTTQPPGVKYDFMVMVKMEREIMPIFVVKRPGVTEFLERLGENYNVVVFTAGLEEYASQVLDKLDKNGVVSQRLYRDSCTEVSGKYVKDLSLVVGKDLRSALIVDDNPSSYSLQPENGVPIKAFVDDLKDQELLNLVEFLESCYAYEDMRDAVKDLLAN >fgenesh2_kg.1__3136__AT1G29785.2 pep chromosome:v.1.0:1:13129813:13131118:-1 gene:fgenesh2_kg.1__3136__AT1G29785.2 transcript:fgenesh2_kg.1__3136__AT1G29785.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSSSFSSLFLLLTIFFLLLLSPSLSSPESEVHVLDRELLEIQKNPKPVKTNRKLKCCEMRTRSQCSAFPRCRWCRSEALDDLCFSKAEALRLPSQVFLCEL >fgenesh2_kg.1__3138__AT1G29790.1 pep chromosome:v.1.0:1:13132107:13134154:1 gene:fgenesh2_kg.1__3138__AT1G29790.1 transcript:fgenesh2_kg.1__3138__AT1G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLLLLVAMVATNILSLYHLSSTTNFFQSTVKSTQSSVPTVPDHLLRQLHTIRAAINHLTTHQPDKSTSILTSRAAVSSSSSSTPPKELLIYSKLSPIASACHNYPDLLHEYMNYTPFSLCPSDTDLVEKLILRGCHPLPRRRCFSRTPRNPSDWKPESNVIWSYYSCKSFDCLITKFPDLGFDLSLEKSKSQFSAYKSELDLPISQLLQIAKSANSVLRLGIDVGGGTGSFAAAMKARNITVLTTTMNFNAPYSEAVAMRGLVPLHVPLQQRLPVFDGVVDLVRCGRAVNRWIPVTVMEFFFFDLDRILRGGGYLWLDRFFSKKVDLENVYAPMIGKLGYKKVKWAVANKVDSKHGEVFLTALLQKPVAR >fgenesh2_kg.1__3140__AT1G29800.1 pep chromosome:v.1.0:1:13134746:13137448:1 gene:fgenesh2_kg.1__3140__AT1G29800.1 transcript:fgenesh2_kg.1__3140__AT1G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7KDX7] MDERDPEIRRSHGDSDAGNVVGQSIKDTREENSGLCEDFVEESKRLEPEQQKRGKYFFYDTPLSEETGVWIPVSVPPMLEPDHEEWSRGLSFNGGYFPEGDMGWNQIFDEDKELTMWDVIVDMLLAAHGKASALSSGNLERCGINFLSGHLLEQAWQEMAHTLTEANFGNAREILETEPPKWLPDSAASACMLCSVRFHPIMCSRHHCRYCGGIFCRDCSKGRSLVPAKFRVSDPQRVCDVCFVRLESVQPYLMDQVSPAAQLPTHDLTDLSTLRSWVNFPWGQSMEYEIYKATNTIRGYITKVGSSRTERSIPDAILRQAKGLAVITVARVGVMVTYKIGTGLVVARRDDGSWSPPSAISSFGLGWGAQAGGEFIDFIIVLRTREAIQTFGSNTHLVVGAGLSAAVGVTGRAVEADIRAGSGGYAACYTYSCSKGAFVGCSLEGSIFTTRISENSRFYGSQSLAASDILLGSLPRPPAAAALYRALGDLYQKMGSETVGSPAISPLSED >fgenesh2_kg.1__3143__AT1G29820.2 pep chromosome:v.1.0:1:13140142:13143002:1 gene:fgenesh2_kg.1__3143__AT1G29820.2 transcript:fgenesh2_kg.1__3143__AT1G29820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGENHSVSQESKSKKLESKNHSGVPKSDLWTDGIICAFEFIRGPKKHVDSKQLDKGYLKQEDGPIRHTFPGHNPFVDSSAVDDLRSRSVSSLDFKEAHMLPSGHVERYEGSHWVPIGWTRITELVQMVQVNAEWPNLELLDDEDDVPVTDLAAPYWERPGGPTWWCHLTAGNSFVEGWLRSATWLHPAISLALRDESKLISERMRHLLYEVPVRVAGGLLFELLGQSVGDPVISEDDVPVVFRSWQAKNFLVSVMHIKGNVTSTNVLGITEVEELLYAGGYNVPRTVHEVIAHLACRLSRWDDRLFRKSIFGAADEIELKFMNRRNYEDLNLFSIILNQEIRKLSRQVIRVKWSLHAREEIIFELLQHLRGNTARHLLEGLRKNTREMLEEQEAVRGRLFTIQDVMQSSVRAWLQDKSLRVSHNLAVFGGCGLVLTIIVGLFGINVDGIPGAQNTPYAFGLFTVLMVLLGAILIVVGLVYLGLKKPITEEQVEVRKLELQDVVKIFQHEAETHAQLRRNNLSPTAGDVFDADYILIQ >fgenesh2_kg.1__3149__AT1G29850.1 pep chromosome:v.1.0:1:13145778:13147820:1 gene:fgenesh2_kg.1__3149__AT1G29850.1 transcript:fgenesh2_kg.1__3149__AT1G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPELEAIRQRRMQELMARQGMGKQGNQQNPEQEKQQEDARREADERRQMMLSQVLSSQARERIARIALVKPEKARGVEDVILRAAQMGQIVEKVSEERLITLLEQINSQTSKQTKVTYQRRRGVDDD >fgenesh2_kg.1__3151__AT1G29880.1 pep chromosome:v.1.0:1:13171358:13174382:-1 gene:fgenesh2_kg.1__3151__AT1G29880.1 transcript:fgenesh2_kg.1__3151__AT1G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycyl-tRNA synthetase [Source:UniProtKB/TrEMBL;Acc:D7KEM8] MRIFSTSVFPRRQQQQIFNLRQFQTTTILRNPISIAPIQIPMDAEQSLRQSLSEKSSSVEAQGNAVRALKASRAAKPEIDAAIEQLNRLKLEKSAVEKELQSIISSSGNGSLNREAFRKAVVNTLERRLFYIPSFKIYSGVAGLFDYGPPGCAIKSNVLSFWRQHFILEENMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDYCTEKLEKDLTISAEKAAELKDVLAVMEDFSPEQLGAKIKEYGITAPDTKNPLSDPYPFNLMFQTSIGPSGLIPGYMRPETAQGIFVNFKDLYYYNGKKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPENKSHPKFSDVAKLEFLMFPREEQMSGQSAKKLCLGEAVSKGTVNNETLGYFIGRVYLFLTRLGIDKERLRFRQHLANEMAHYAADCWDAEIESSYGWIECVGIADRSAYDLRAHSDKSGVPLVAEEKFAEPKEVEKLVITPVKKELGLAFKGNQKNVVESLEAMNEEEAMEMKASLESKGEVEFYVCTLNKTVSIKKNMVSISKEKKKEHQRVFTPSVIEPSFGIGRIIYCLYEHCFSTRPSKAGDEQLNLFRFPPLVAPIKCTVFPLVQNQQFEEAAKVISKELASVGISHKIDITGTSIGKRYARTDELGVPFAITVDSDASVTIRERDSKDQVRVSLKEAASVVSSVSEGKMTWQDVWASFPHHSSAAADE >fgenesh2_kg.1__3153__AT1G29890.2 pep chromosome:v.1.0:1:13175220:13179521:1 gene:fgenesh2_kg.1__3153__AT1G29890.2 transcript:fgenesh2_kg.1__3153__AT1G29890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSQPITPGQVSFLLGVIPLMIAWLYSEFLEYRRSSLHAKVHSDKNLVELEMVTNKEDEGTVLMEGGLPRSVSSKFYSSSIKTNLLRFLTLEDSFLLENRATLRAMAEFGAILLYFYICDRTSLLGQSKKNYNRDLFLFLYCLLIIVSAMTSLKKHSDKSPITGKSILYLNRHQTEEWKGWMQVLFLMYHYFAAVEFYNAIRVFIAGYVWMTGFGNFSYYYIRKDFSLARFTQVRLTVLLHHHTLFSLPCDMLLESIMSFKAQEFHESFYFIQMMWRLNFFVAFCCIILNNDYMLYYICPMHTLFTLMVYGALGIYSQYNEIASVMALKIASCFLVVIFLWEIPGVFEIFWSPLAFLLGYTDPAKPDLPRLHEWHFRSGLDRYIWIIGMIYAYFHPTVILERWMEKLEECDAKRRMSIKTSIIAISSFVGYLWYEYIYKLDKVTYNKYHPYTSWIPITVYICLRNCTQQLRSFSLTLFAWLGKITLETYISQFHIWLRSSVPNGQPKLLLSIIPEYPMLNFMLTTAIYVLVSVRLFELTNTLKSVFIPTKDDKRLLHNVIAMAVISFCLYFIGLILLLIPH >fgenesh2_kg.1__3154__AT1G29900.1 pep chromosome:v.1.0:1:13180053:13184144:1 gene:fgenesh2_kg.1__3154__AT1G29900.1 transcript:fgenesh2_kg.1__3154__AT1G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHCLELSSNCSSIFASSKSNPRFSPSSTFFSRSAINYRAKSKLASSSSSFSSFLPCLNRKSSLTRALKPVSELADTTTKPYSREIVGKRTDLKKIMILGAGPIVIGQACEFDYSGTQACKALREEGYEVILINSNPATIMTDPETANRTYIAPMTPELVEQVIEKERPDALLPTMGGQTALNLAVALAESGALEKYGVELIGAKLGAIKKAEDRELFKEAMKNIGLKTPPSGIGNTLDECFDIAERIGEFPLIIRPAFTLGGTGGGIAYNKEEFESICKAGLAASVTSQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDREYQRLRDYSIAIIREIGVECGGSNVQFAVNPVDGEVMIIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITRKTPASFEPSIDYVVTKIPRFAFEKFPGSQPLLTTQMKSVGESMALGRTFQESFQKALRSLECGFSGWGCAKIKELDWDWDQLKYSLRVPNPDRIHAIYAAMKKGMKIDEIYELSMVDKWFLTQLKELVDVEQYLMSGPLSEITKEDLYEVKKRGFSDKQISFATKTTEEEVRTKRISLGVVPSYKRVDTCAAEFEAHTPYMYSSYDFECESAPNSKKKVLILGGGPNRIGQGIEFDYCCCHTSFALQDAGYETIMLNSNPETVSTDYDTSDRLYFEPLTIEDVLNVIDLEKPDGIIVQFGGQTPLKLALPIKHYLDKHMPMSLSGAGPVRIWGTSPDSIDAAEDRERFNAILDELKIEQPKGGIAKSEADALAIAKEVGYPVVVRPSYVLGGRAMEIVYDDSRLITYLENAVEVDPERPVLVDKYLSDAIEIDVDTLTDSYGNVVIGGIMEHIEQAGVHSGDSACMLPTQTIPSSCLQTIRQWTTKLAKKLNVCGLMNCQYAITTSGDVFLLEANPRASRTVPFVSKAIGHPLAKYAALVMSGKSLKDLNFEKEVIPKHVSVKEAVFPFEKFQGCDVILGPEMRSTGEVMSISSEFSSAFAMAQIAAGQKLPLTGTVFLSLNDMTKTHLEKIAVSFLELGFKIVATSGTAHFLELKGIPVERVLKLHEGRPHAADMVANGQIHLMLITSSGDALDQKDGRQLRQMALAYKVPVITTVAGALATAEGIKSLKSSAIKMTALQDFFEVKNVSSLLV >fgenesh2_kg.1__3157__AT1G29910.1 pep chromosome:v.1.0:1:13184234:13185241:-1 gene:fgenesh2_kg.1__3157__AT1G29910.1 transcript:fgenesh2_kg.1__3157__AT1G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KEN1] MALSSPAFAGKAVNLSPAASEVLGSGRVTMRKTVAKPKGPSGSPWYGSDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSDGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGNGPLGEAEDLLYPGGSFDPLGLATDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLADHLADPVTNNAWAFATNFVPGK >fgenesh2_kg.1__3158__AT1G29930.1 pep chromosome:v.1.0:1:13187264:13188265:1 gene:fgenesh2_kg.1__3158__AT1G29930.1 transcript:fgenesh2_kg.1__3158__AT1G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KEN2] MALSSPAFAGKAVKLSPAASEVLGSGRVTMRKTTAKPKGPSGSPWYGSDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSDGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGNGPLGEAEDLLYPGGSFDPLGLATDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLADHLADPVTNNAWAFATNFVPGK >fgenesh2_kg.1__315__AT1G03860.1 pep chromosome:v.1.0:1:1191997:1194377:-1 gene:fgenesh2_kg.1__315__AT1G03860.1 transcript:fgenesh2_kg.1__315__AT1G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPHB2 [Source:UniProtKB/TrEMBL;Acc:D7KD87] MSFNKVPNIPGSPALSALLKVSVIGGLGVYALTNSLYNVDGGHRAVMFNRLTGIKEKVYPEGTHFMMPWFERPIIYDVRARPYLVESTTGSHDLQMVKIGLRVLTRPMGDRLPQIYRTLGENYSERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERASNFNIALDDVSITTLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDRRSAVIRAQGEAKSAQLIGQAIANNQAFITLRKIEAAREIAQTIALSANKVYLSSNDLLLNLQEMNLEPNPKK >fgenesh2_kg.1__3161__AT1G29950.1 pep chromosome:v.1.0:1:13199925:13202718:1 gene:fgenesh2_kg.1__3161__AT1G29950.1 transcript:fgenesh2_kg.1__3161__AT1G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNQFPHFSDEVGDRNMHNPYASGSSFDALFPPCAKLPYHGVELQPSAVCPKNFVIFDQTYDRSQVMYHPELTHKLMNTPSLNNLASTFQNEYSGGSYGNYGNYDQEASSSYQEDPNEIDALLSADEDYEDDDNEADEDGDSEEVSTARNSSRDYGNTSAESCCSSYGYNNNNNNNSRKQSLSGSASSNNDGKGRKKMKKMMGVLRRIVPGGEQMNTACVLDEAVQYLKSLKIEAQKLGVGHFSNQS >fgenesh2_kg.1__3168__AT1G29970.2 pep chromosome:v.1.0:1:13217602:13220528:-1 gene:fgenesh2_kg.1__3168__AT1G29970.2 transcript:fgenesh2_kg.1__3168__AT1G29970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L18A-1 [Source:UniProtKB/TrEMBL;Acc:D7KEP1] MDEEAAKPRESTVNQQQQQYLYGTFQGVANFPPPTPPPQFLQPHHPIATFPGHAYQNLQGFYGGFVNYAQGFPVVVLDYTVVEVRPMIEHELPCCGLGMGWFLFIMGFLFGGIPWYLGAFIVLVTSVDHREKAGYIACSIALHQYQVVGRALPMEKDEQPKIYRMKLWATNEVLAKSKFWYFLRRQKKVKKSNGQMLAINEIFEKNPTTIKNFGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVPANLCKRESTKQFHNSKIKFPLVFRKVRPPTRKLKTTFKASKPNLFM >fgenesh2_kg.1__316__AT1G03870.1 pep chromosome:v.1.0:1:1195904:1196913:-1 gene:fgenesh2_kg.1__316__AT1G03870.1 transcript:fgenesh2_kg.1__316__AT1G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRLTLAPLLLIALVLLVTKATAQPAAPAPGPAGPINLTAILEKGGQFTTFIHLLNITQVGSQVNIQVNSSSEGMTVFAPTDNAFQNLKPGTLNQLTPDEQVKLILYHVSPKFYSMDDLLSVSNPVRTQASGRDNGVYGLNFTGQTNQINVSTGYVETRVSNALRQQRPLAVYVVDMVLLPGEMFGEHKLSPIAPAPKSKSGGVSDDSGSTKKAASPSDKSGSGEKKVGLGFGLGLVVLCLKFLF >fgenesh2_kg.1__3175__AT1G30020.1 pep chromosome:v.1.0:1:13235196:13235709:-1 gene:fgenesh2_kg.1__3175__AT1G30020.1 transcript:fgenesh2_kg.1__3175__AT1G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALREMIIDESDDIESYSDQSLCLDKAKELLALIKLPTGLLPLKDMTEVGYNKTKGFVWMRMRSKIEHTFREIGRKVLYDTEITAFVEDRRMRRLTGVKSKELMIWVPVNDIFIKEKDPEKITFANTTGLSRTFKRSNQTSLMSATNKEQKLFHTQ >fgenesh2_kg.1__3177__AT1G30040.1 pep chromosome:v.1.0:1:13255160:13257261:1 gene:fgenesh2_kg.1__3177__AT1G30040.1 transcript:fgenesh2_kg.1__3177__AT1G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATGA2OX2 [Source:UniProtKB/TrEMBL;Acc:D7KEP7] MVVLPQPVTLENHISLIPTYKPVPVLTSHSIPVVNLTDPEAKTRIVKACEEFGFFKVVNHGVRPELMTRLEQEAIGFFALPQSLKNRAGPPEPYGYGNKRIGPNGDVGWIEYILLNANPQLSSPKTSAVFRQTPQIFRESVEEYMKEIKEVSYKVLEMVAEELGIEPRDTLSKMLRDEKSDSCLRLNHYPAAEEEAEKMVKVGFGEHTDPQIISVLRSNNTAGLQICVKDGSWVAVPPDHSSFFINVGDALQVMTNGRFKSVKHRVLADTRRSRISMIYFGGPPLSEKIAPLPCLVPEQDDWLYKEFTWSQYKSSAYKSKLGDYRLGLFEKQPLLTHKTIV >fgenesh2_kg.1__3178__AT1G30050.1 pep chromosome:v.1.0:1:13279978:13281378:1 gene:fgenesh2_kg.1__3178__AT1G30050.1 transcript:fgenesh2_kg.1__3178__AT1G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQGITRASTFKEDIYHQPPDHDHGDLKGHSNGGSFRSSQSFSSHSSLAAQAIRASSQAQGFTAYEDKSESRGFWGILAQKAKSILEDEEEEQQQNDVVFEPSNPTIRKSIDKITTSLNHIGDSFEKAFEEGRTIVASQIRRKGSDLIDSDNNNYNQSSGSSSPWQPLTQPNPHESQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKERCAQLEEENKRLRDNRDKGNNNPADDDLIRLQLETLLAEKARLAHENSIYARENRFLREIVEYHQLTMQDVVYIDEGIEEVAEVNPSITRTLSMASYSASELPSISPSPSSPASPSRLSVSTDIYPVLVQQSSASDVAVESPKPVRPISLGYTDDGKRPSSQLPSSQLSV >fgenesh2_kg.1__317__AT1G03880.1 pep chromosome:v.1.0:1:1206244:1208685:1 gene:fgenesh2_kg.1__317__AT1G03880.1 transcript:fgenesh2_kg.1__317__AT1G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSSIVSFSLTLLILFNGYTAQQWPNECQLDQLNALEPSQIIKSEGGRIEVWDHHAPQLRCSGFAFERFVIEPQGLYLPTFLNAGKLTFVVHGRGLMGRVIPGCAETFMESPVFGEGQGQGQSQGFRDMHQKVEHLRCGDTIATPPGMAQWFYNNGNEPLILVAAADLANNNNQLDRNLRPFLIAGNNPQGQEWLQGRKQQKQNNIFNGFAPEILAQAFKINVETAQQLQSQQDNRGNIVKVKGPFGVIRPPLRRGEGGQQPQEKTNGLEETLCTMRCTENLDDPSDADVYKPSLGYISTLNSYNLPILRLLRLSALRGSIRKNAMVLPQWNVNANAALYVTNGKAHIQMVNDNGERVFDQEISSGQLLVVPQGFSVMKHATGEQFEWIEFKTNENAQINTLAGRTSVMRGLPLEVVTNGYQISPEEARRVKFSTIETTLTHSSPMSYGRPRA >fgenesh2_kg.1__3181__AT1G30090.1 pep chromosome:v.1.0:1:13302403:13304175:-1 gene:fgenesh2_kg.1__3181__AT1G30090.1 transcript:fgenesh2_kg.1__3181__AT1G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KEQ4] MQRVRVSSQRAAVHKLGDSQMTLSPKFRVAASIQSTLFDRSSELELSLIGEPLIPGLPDDVALNCLLRVPVQSHVSSRSVCKRWHLLFGTKETFFAKRKEFGFKDPWLFVVGFSRCTGKIQWKVLDLRNLTWHEIPAMPCRDKVCPHGFRSVSMPREGTMFVCGGMVSDSDCPLDLVLKYDMVRNHWTVTNKMITARSFFASGVIDGMIYAAGGNAADLYELDSAEVLNPLDGNWRPVSNMVAHMASYDAAVLNGKLLVTEGWLWPFFVSPRGQVYDPRTDQWETMSMGLREGWTGTSVVIYDRLFIVSELERMKMKVYDPVTDSWETINGPELPEQICRPFAVNCYGNRVYVVGRNLHLAVGNIWQSENKFGVRWEVVESPERYADITPSNSQILFA >fgenesh2_kg.1__3182__AT1G30100.1 pep chromosome:v.1.0:1:13326604:13328555:1 gene:fgenesh2_kg.1__3182__AT1G30100.1 transcript:fgenesh2_kg.1__3182__AT1G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nine-cis-epoxycarotenoid dioxygenase 5 [Source:UniProtKB/TrEMBL;Acc:D7KEQ5] MACSYVLTPNPTKLNLSFAPSDLDAPSPSSSVSFTNTKQRRRKLSTNSVSDTPALLNSPNYPSPNPMIPEKDTSRWNPLQRAASAALDFAETALLRRERSKPLPKTVDPRHQISGNYAPVPEQSVKSSLSVDGKIPECIDGVYLRNGANPLFEPVSGHHLFDGDGMVHAVKITNGDASYSCRFTETERLVQEKQLGSPIFPKAIGELHGHSGIARLMLFYARGLFGLLNHKNGTGVANAGLVYFHDRLLAMSEDDLPYQVRVTDNGDLETIGRFDFDGQLCSAMIAHPKIDPETKELFALSYDVVKKPYLKYFRFSPEGEKSPDVEIPLASPTMMHDFAITEKFVVIPDQQVVFKLSDMFLGKSPVKYDGEKISRFGILPRNAKDASEMVWVESPETFCFHLWNAWESPETNEVVVIGSCMTPADSIFNECDEQLNSVLSEIRLNLKTGKSTRRTIIPGSVQMNLEAGMVNRNLLGRKTRYAYLAIAEPWPKVSGFAKVDLSTGEVKNHFYGGKKYGGEPFFLPRGLESDGEDDGYIMSFVHDEESWESELHIVNAVTLELEATVKLPSRVPYGFHGTFVNSADMLNQA >fgenesh2_kg.1__3183__AT1G30110.1 pep chromosome:v.1.0:1:13342854:13344810:1 gene:fgenesh2_kg.1__3183__AT1G30110.1 transcript:fgenesh2_kg.1__3183__AT1G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPPGYRPNVGVCLINSDNLVFVASRLNVPGAWQMPQGGIEDGEDPKSAAMRELQEETGVVSAEIVSEVPNWLTYDFPPAVKAKVNRLWGGEWHGQAQKWFLVRLRNDEDEKEINLANNEADSEFAEWKWAKPEEVIEQAVDYKRPTYEEVIKTFGSILNDTGRASKCKSAKW >fgenesh2_kg.1__3184__AT1G30120.1 pep chromosome:v.1.0:1:13344890:13347048:-1 gene:fgenesh2_kg.1__3184__AT1G30120.1 transcript:fgenesh2_kg.1__3184__AT1G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta [Source:UniProtKB/TrEMBL;Acc:D7KEQ8] MSSIIHGAGAATTTLSTFNSIDSKILVAPSRTNLSVRSQRYIVAGSDASKKKNFVSGLRVRHSQKLIPNAVATKEADTSASTGHELLLFEALQEGLEEEMDRDPHVCVMGEDVGHYGGSYKVTKGLADKFGDLRVLDTPICENAFTGMGIGAAMTGLRPVIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFTIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKEKIPDEDYICNLEEAEMVRPGEHITILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSVKKTHRVLIVEECMRTGGIGASLTAAINENFHDYLDSPVMCLSSQDVPTPYAGTLEEWTVVQPAQIVTAVEQLCQ >fgenesh2_kg.1__3186__AT1G30130.1 pep chromosome:v.1.0:1:13349688:13351791:1 gene:fgenesh2_kg.1__3186__AT1G30130.1 transcript:fgenesh2_kg.1__3186__AT1G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLYLLGSIIYTSITSIFFSLLLPFRFLLHRLLPSRAAVDPNVSFYEGTVWHDRLRPVRHSFRYSVRYALFDLDKAITTPPDHLSADEARRVSHTTGPIYLLTIPPSVGYEQNPLSLYYCYDLEESSKRLSKCIAQVTNTPWGERVTFVFDPESDLVAKSLQVSPFMDMLGNWKIRANEPGDDLSVSIESQHPHLGNYFSATLKAKRIPLMRVSDPAVFFWLMPHKVAIWIYWHALKLWWKSVPFIQHPRYSNPSYREEAAKRDQELRCPRLDGSDSDKTIKFDGLKADGCSFEGCRFAWRDANWPWS >fgenesh2_kg.1__3188__AT1G30160.2 pep chromosome:v.1.0:1:13368012:13369544:1 gene:fgenesh2_kg.1__3188__AT1G30160.2 transcript:fgenesh2_kg.1__3188__AT1G30160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVSKLSPFIHKNSRSIRSFSSSTTGPCVSICTIMEPSPDGNLGQLLLFNIPDLKLVTADKTYPDELYDAQLVGASHGWGLFSNRTNRSVLLSDYLNPYASKSKPKMIHLPFFTPTYSGQTEVVCNVAMSSPPPDQDDDQEDWVVGIKFLGRQLSLCRPRRDLRWTNILTPFESWEISKLMYSKKDQRFYLLAPGGNYLCSWDLNFKEDKKPKFKEDKKPKFHELVLHDLPNMPRSHSKLLDSFSREDHWVESPSGESTVNTPLKTPVTGANHQLFWFSERKDGRKHMRYTDDIGDLCIFISKGEDFCVKASSHPGLQPNSICLHGRLFAILNLTNRTLGCYEYPEGIPKRIPYLPYWLPPFSP >fgenesh2_kg.1__318__AT1G03890.1 pep chromosome:v.1.0:1:1209910:1211913:1 gene:fgenesh2_kg.1__318__AT1G03890.1 transcript:fgenesh2_kg.1__318__AT1G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin family protein [Source:UniProtKB/TrEMBL;Acc:D7KD90] MHKLLFCLLSVVSLTLLLSFHGGEARQREVPFQNACHFSQINSLAPAQATKFEAGQMEVWDHMSPELRCAGVTVARITLQANSIFLPAFFSPPALAYVVQGEGVMGTIASGCPETYEETEGSSGRGGGGGDRRRRFEDMHQKLENFRRGDVFASLAGVSQWWYNRGDSDAVIVIVLDVTNRENQLDQVPRMFQLAGSRTQEQQQPLTWPSGNNAFSGFDPNIIAEAFKINIETAKQLQNQKDNRGNIIRANGPLHFVTPPPREWQQDGIANGIEETYCTAKIHENIDDPERTDHFSTRAGRISTLNSLNLPVLRLVRLNALRGYLYSGGMVLPQWTANAHTVLYVTGGQAKIQVVDDNGQSVFNEQVGQGQVLVIPQGFAVSKIAGETGFEWISFKTNDHAYINTLSGQTSYLRAVPVDVIKASYGVNEEEAKRIKFSQQETLLAMTPSSSS >fgenesh2_kg.1__3190__AT1G30170.1 pep chromosome:v.1.0:1:13369655:13371370:-1 gene:fgenesh2_kg.1__3190__AT1G30170.1 transcript:fgenesh2_kg.1__3190__AT1G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLSKLAPVIHKRSVRSFSSSTTGPYLSLSLSAKPSSEGVLNIGEVVLYDPAKQELLNLTDKAIPEEIVTAKWIGASKGWSFFSDTQDRCVLITDSLNPWACKSNPKLLTLPPLNPLFSCQTDVIWNVAMSSCPDDDEDWVVGIKSLGDQVSFCRPRRDLRWTKFQTPFDHFPTSNLTYSKRDRKFYLPGPGGHHLLSYNLDFDKADQPEFHELQFRNFPESFKYDSELSEMFPSSCRTERFVESPSGDERFLVKWYAKGCLAYSSKITYETQRFMVFREEETTEGRFMCYTDDIGDLCIFVSKSEAFCVLASSYPGLKPNSIYFVGFGLGTYDLTTRDVSTFRAPKGALNQIVSPYWFPPASS >fgenesh2_kg.1__3192__AT1G30200.2 pep chromosome:v.1.0:1:13398625:13400699:1 gene:fgenesh2_kg.1__3192__AT1G30200.2 transcript:fgenesh2_kg.1__3192__AT1G30200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KER9] MSYLLRSDPVSRIHPEPQSLTSFDHFDLLPDSLLLLIFDKVADVKDLGRCCIVSRRFHSLVPFVENVLVRVDCVISDDDSSSSDENRRFSLNAASISDAAGAGGSFSALFRLVFAPIFKPFQALGQFLVPKRSSSSSSFDASFSAINDEIGVTHHSPTQVLKNFGEIRFLRIELPTGELGIEDGILLKWRADFGSTLDNCMILGASSVILSNQVKNLENSVDEDNGNIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIITEHKTLDRLVLSDADGQGVLCMNREQLEELRVTPLSASSASKRTLVPALNMRLWYAPQLDLPDGTVLKGATLVAIRPSESKKEVCDASWLSDAFEEPYGTAAKMLIKRRTYCLEMNSF >fgenesh2_kg.1__3195__AT1G30210.2 pep chromosome:v.1.0:1:13400934:13404419:-1 gene:fgenesh2_kg.1__3195__AT1G30210.2 transcript:fgenesh2_kg.1__3195__AT1G30210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEDVEVQKHQEQSRKLQRFSDDNSGIIMRNWNNPSSRIIRVSRASGGKDRHSKVLTSKGLRDRRIRLSVATAIQFYDLQDRLGFDQPSKAVEWLINAASDSITDLPLINTNFDYLDENQNQTKSAGSSGTSESSLLSLSRTEIRGKARERARERTAKERDKDLQNAQSSFTQLLTGGFDQQPSNRNWTGGSDCFNPVQLQIPNSSSQEPMNQNNHPFSFVPDYNFGISSSSSAINGGYSSRGTLQSNSQSLFLNNNNITQRSSISSSSSSSSPMDSQSISFFMATPPPLDHHNHQLPETFDGRLYLYYGEGNRNSDDKGKDKR >fgenesh2_kg.1__3197__AT1G30220.1 pep chromosome:v.1.0:1:13406494:13409086:-1 gene:fgenesh2_kg.1__3197__AT1G30220.1 transcript:fgenesh2_kg.1__3197__AT1G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATINT2 [Source:UniProtKB/TrEMBL;Acc:D7KES1] MEEGIVHGGADESAFKECFSLTWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFKSVDRNTWLQEMIVSMAVAGAIVGAAIGGWANDKFGRRSAILMADFLFLLGAIIMAAAPYPSLLVVGRVFVGLGVGMASMTAPLYISEASPAKIRGALVSTNGFLITGGQFLSYLINLAFTDVTGTWRWMLGIAGIPALLQFILMFTLPESPRWLYRKGREEEAKAILRRIYSAEDVEQEIRALKDSVELEILEEGSSEKINMIKLCKAKTVRRGLIAGVGLQVFQQFVGINTVMYYSPTIVQLAGFASNRTALLLSLVTAGLNAFGSIISIYFIDRTGRKKLLIISLFGVIISLGILTGVFYEATTHAPAISSLETQRFNNITCPDYKSAMNTNAWDCMTCLKASSPSCGYCSSPTGKEHPGACWISDDSVKDLCHNENRLWYTRGCPSNFGWFALLGLGLYIIFFSPGMGTVPWIVNSEIYPLRFRGVCGGIAATANWISNLIVAQSFLSLTEAIGTSWTFLIFGVISVIALLFVVVCVPETKGMPMEEIEKMLEGRSMEFKFWKKRSKLVEKQNQSA >fgenesh2_kg.1__3198__AT1G30230.2 pep chromosome:v.1.0:1:13415077:13416429:1 gene:fgenesh2_kg.1__3198__AT1G30230.2 transcript:fgenesh2_kg.1__3198__AT1G30230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-beta [Source:UniProtKB/TrEMBL;Acc:D7KES3] MAAFPNLNSDSGLKKLDEHLLTRSYITGYQASKDDITVFAALAKPPTSQYVNASRWYNHIDALLRISGVSAEGSGVIVEGSAPITEEAVATPPAADSKDAAADEEDDDDVDLFGEETEEEKKAAEERAASVKASTKKKESGKSSVLIDIKPWDDETDMKKLEEAVRSIQMEGLFWGASKLVPVGYGIKKLQIMCTIVDDLVSIDTMIEEQLTVEPINEYVQSCDIVAFNKICKMENASRIVCVHVVWFFFFYIQFPKFL >fgenesh2_kg.1__31__AT1G01970.1 pep chromosome:v.1.0:1:124594:126229:-1 gene:fgenesh2_kg.1__31__AT1G01970.1 transcript:fgenesh2_kg.1__31__AT1G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KP55] MGIYSCSAVLSFGLKCPLVIARHRLYHRMFRRIPLLVESRLNRLCSCKCSASLAIGEVVEKEDTEQIPRSNWVDVGLDLTEEQDEAITRIPIKMSKRCQALMRQIICFSSEKGSFCDLLGAWVRRMNPIRADWLSILKELKNLDSPFYIKVAEFSLLEDSFEANARDYTKIIHYYGKLNQVEDAERTLLSMKNRGFLIDQVTLTAIVQLYSKAGYHKLAEETFNEIKLIGEPLDNRSYGSMIMAYIRAGAPEKGEALLREMDSQEICAGREVYKALLRAYSMGGDAEGAKRVFDAVQIAGITPDVKLCGLLINAYSVSGQSQNARLAFENMRKAGIKATDKCVALVLAAYEKEEKLNEALGFLVELEKDSIMVGKEASAVLAQWFKKLGVVEEVELLLREFSSSQSQPL >fgenesh2_kg.1__3201__AT1G30240.1 pep chromosome:v.1.0:1:13416997:13427770:-1 gene:fgenesh2_kg.1__3201__AT1G30240.1 transcript:fgenesh2_kg.1__3201__AT1G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7KES4] MASFERFDDMCDLRLKPKILRNLLSEYVPNEKQPLTNFLSLSKVVSTISTHKLLSESPPASIDQKLHANSKSAVDDWVARLLALISSDMPDKSWVGICLIGVTCQECSSDRFFRSYSLWFNSLLSHLKNPASSRIVRVASCTSTSDLLTRLSRFSNTKKDAVSHASKLILPIIKLLDEDSSEALLEGIVHLLSTIVLLFPAAFHSNYDKIEAAIASKIFSAKTSSNMLKKFTHFLALLPKAKGDEGTWSLMMQKLLISINVHLNNFFQGLEEETKGTKAIQRLTPPGKDSPLPLGGQNGGLDDASWNSEQLIVSRVSALMFCTSTMLTTSYKSKINIPVGSLLSLVERVLLVNGSLPRAMSPFMTGIQQELVCAELPALHSSALELLCATLKSIRSQLLPYAASVVRLVSSYFRKCSLPELRVKLYSITTTLLKSMGIGMAMQLAQEVVINASVDLDQTSLEAFDVASSKNPSLTNGALLQACSKKRKHSGVEAENSVFEVRIPHNHSRSPISLKIASLEALETLLTIGGALGSDSWRESVDNLLLTTATNACEGRWANAETYHCLPNKSTTDLVEFQLAALRAFSASLVSPSRVRPAFLAEGLELFRTGKLQAGMKVAGFCAHALMSLEVVIHPRALPLDGLPTLSNRFPESNSFGSQKHNTPNLNKLNVIAHDGDDLGNRWLAKADVPSNNAIQRTFDTTLPLQESKRLKVGNDLATVVSLSVQDHTDIVASENVQQADVPEKVPEESLGPVSDKDVTAPKDGYQDVVSGTQEGKDLAISGTQEGEDLAFKDSLMEEASIGKKIESLGESDDDPIPSLQEGDFLSSSSDSDSDIES >fgenesh2_kg.1__3205__AT1G30280.1 pep chromosome:v.1.0:1:13459145:13461159:-1 gene:fgenesh2_kg.1__3205__AT1G30280.1 transcript:fgenesh2_kg.1__3205__AT1G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7KES8] MDESWRMKMGLSVDPFFSIARKSMDARIDAEDFADVFGGPPRSVLTRKFSGDFSRSDCFYDEIFRPPGNFSGGSLPSSKSHGRNLPSFRIPSGGEGFYDGVFGGRGGSAKEGSKKQSSMAKSRSNSSSMLSSEEVSPHNPPPAAASGDDSGFSSFTSRLRPLNVPSRSHKRESKKQSFSAFPTAKDSFSGQNNTPEKADFYYKKPHFGGSRRASPETISLDPNSFRRMDDYGPSSPASSPVSSFICEEEDDNTHAKQRTTRDCKVEDVVVVEDEEDEEEEMSSYVIEINSDRFDRYREEGGGGGGGNSDSNDMDEAIAWAKERSQRPEAKQTEEDVIDSRRSEEEPKSEEEMEMEMKDEEIRIWLTGKETNIRLLLSTLHHVLWSNSNWHSIPLANLRDGSQVKKAYQKARLCLHPDKLQQRGGTSPIQKSVASRVFAILQEAWAVYVTNEGLSS >fgenesh2_kg.1__3208__AT1G30300.1 pep chromosome:v.1.0:1:13469616:13471932:1 gene:fgenesh2_kg.1__3208__AT1G30300.1 transcript:fgenesh2_kg.1__3208__AT1G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIPAENGFVTSDRDRSALIFLGTGCSSAVPNAMCLIQRSDSPCYVCSQSLSIPPEKNPNYRGNTSLLIDYCQIDGKHKYIQIDVGKTFREQVLRWFTLHKIPQVDSIILTHEHADAVLGLDDIRSVQPFSPTNDIDPTPIFVSQYAMDSLAVKFPYLVQKKLKEGQEVRRVAQLDWRIIEEDCEKPFVASGLSFTPLPVMHGEDYVCLGFLFGEKSRVAYISDVSRFPPNTEYAISKSGGGQLDLLILDTLYKTGSHNTHLCFPQTLDTIKRLSPKRALLIGMTHEFEHHKDNEFLEEWSKREGISVKLAHDGLRVPIDL >fgenesh2_kg.1__3209__AT1G30320.1 pep chromosome:v.1.0:1:13482201:13484997:1 gene:fgenesh2_kg.1__3209__AT1G30320.1 transcript:fgenesh2_kg.1__3209__AT1G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein [Source:UniProtKB/TrEMBL;Acc:D7KET1] MDYERIEKVQKSIISPTKLRMKLMGPLNNMKREGSKSNSNSSRTSPSRLQISDDSEFSKNCLLASKSFSDDDVAASTTDKEVAKLPNEPVLDITESDNQASIHRCEGVQQFRKGDLNMAPPHIMRPQEDENLDYDSNASSSSFEFHRARGERSNQNHGSRGYPSRQMPSKWNDAEKWIMSRQNMVMRKNGQGNRMPVRVVPDNAGYEHNKSRMDLCQSSQVDGLEKFPNFVPSAPHPILTQEYGGDSLIDQSTQSNDLVVPSHDNSTGGHAIRSVCMRDMGTEMTPIPSQEPSRSVTPVGATTPLRSPTSSLPSTPRGGQQEESSLSQNQPKNTRRELSEEEEKAKTRREIVALGVQLGKMNIAAWASKEEGENNNNNGDAEEAQKIEFEKRATAWEEAEKSKHNARYKREEIRIQAWESQEKAKLEAEMRRIEVCEAKVEQMKAEAEAKIVKKIAMAKQRSEEKRALAEARKTRDAEKAVAEAQYIRETGRIPASSYKICCGWFS >fgenesh2_kg.1__3210__AT1G30330.2 pep chromosome:v.1.0:1:13487938:13492274:-1 gene:fgenesh2_kg.1__3210__AT1G30330.2 transcript:fgenesh2_kg.1__3210__AT1G30330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:D7KET2] MRLSSAGFNPQPHEVTGEKRVLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLHPQLICQLHNVTMHADVETDEVYAQMTLQPLNAQEQKDPYLPAELGVPSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPAQELMARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGICDLDPSRWANSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPPGLPSFHGLKEDDMGMSMSSPLMWDRGLQSLNFQGMGGNPWMQPRLDASGLLGMQNDVYQAMAAAALQDMRGIDPAKAAASLLQFQNSSGFSMQSPSLVQPQMLQQQLSQQQQQQLSQQQQQLSQQQQQQQQLSQQQQQQQLSQQQQQQLSQQQQQHAYLGVPETHQPQSQAQSQSNNHLSQQQQQVENNHNPSASSAAVVSAMSQYGSASQSNTSPLQSMTSLCHQQSFSDTNGGNNPISPLHTLLSNFSQDESSQLLNLTRTNSAMTSSGWPSKRPACSIEQEVSASDPHSHLLFGVNIDSSSLLMPNGMSNLRSIGIEGGDSTALPFTSSNFNNDFSGNLAMTTPSSCIDESGFLQSSENLGTENPQSNTFVKVYKSGSFGRSLDISKFSSYHELRSELARMFGLEGQLEDPVRSGWQLVFVDRENDVLLLGDDPWPEFVSSVWCIKILSPQEVQQMGKRGLELLNSAPSSNNVDKLPSNGNCDDFGNRSDPRNLGNGIASVGGSFNY >fgenesh2_kg.1__3213__AT1G30360.1 pep chromosome:v.1.0:1:13522478:13525840:1 gene:fgenesh2_kg.1__3213__AT1G30360.1 transcript:fgenesh2_kg.1__3213__AT1G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration 4 [Source:UniProtKB/TrEMBL;Acc:D7KET4] MEFGSFLVSLGTSFVVFVVLMLLFTWLSRKSGNAPIYYPNRILKGLEPWEGTSLTRNPFAWMREALTSSEQDVVNLSGVDTAVHFVFLTTVLGIFACSGLLLLPTLLPLAATDHNLKNTKTETTSKGTFSQLDNLSMANITKKSPRLWAFLGAVYWISLVTYFFLWKAYKHVSTLRAQALMSAAVKPEQFAILVRDMPSPPDGQTQKEFIDSYFREIYPETFYRSLVATENSKVNKIWGKLEGYKKKLARAEAILAATNNRPTNKTGLCGLVGKQVDSIEYYTELINESVANLETEQKAVLAEKQQTAAVVFFTTRVAAASAAQSLHCQMVDKWTVTEAPEPRQLLWQNLNIKLFSRIIRQYFIYFFVALTILFYMIPIAFVSAITTLENLQKIIPFIKPVVEITAIRTVLESFLPQIALLVFLAMLPKLLLFLSKAEGIPSQSHAIRAASGKYFYFSVFNVFIGVTLAGTLFNTVKDIAKNPKLDMIINLLATSLPKSATFFLTYVALKFFIGYGLELSRIIPLIIFHLKKKYLCKTEAEVKEAWYPGDLTYATRVPGDLLVLTITFCYSVIAPLILIFGIIYFGLGWLVLRNQALKVYVPSYESYGRMWPHIHQRILAALFLFQVVMFGYLGAKTFFYTALVIPLIITSLIFGYVCRQKFYGGFKHTALEVACRELKQSPDLEEIFRAYIPHSLSSHKAEEHEFKGAMSRYQDFNAIAGV >fgenesh2_kg.1__3217__AT1G30400.2 pep chromosome:v.1.0:1:13536829:13546864:1 gene:fgenesh2_kg.1__3217__AT1G30400.2 transcript:fgenesh2_kg.1__3217__AT1G30400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEPLDWYCKPVPNGVWTKTVDYAFGAYTPCAIDSFVLGISHLVLLILCLYRLWLITKDHKVDKFCLRSKLYNYFLALLAAYGTAEPLFRLVMRISVLDLDGAGFPPYEAFMLVLEAFAWGSALVMTVVETKTYIHELRWYVRFAVIYALVGDMVLLNLVLSVKEYYGSFKLYLYISEVAVQVAFGTLLFVYFPNLDPYPGYTPVGTETSEDYEYEELPGGENICPERHANLFDSIFFSWLNPLMTLGSKRPLTEKDVWHLDTWDKTETLMRSFQRSWDKELEKPKPWLLRALNNSLGGRFWWGGFWKIGNDCSQFVGPLLLNELLKSMQLNEPAWIGYIYAISIFVGVVLGVLCEAQYFQNVMRVGYRLRSALIAAVFRKSLRLTNEGRKKFQTGKITNLMTTDAESLQQICQSLHTMWSAPFRIIVALVLLYQQLGVASIIGALFLVLMFPIQTIIISKTQKLTKEGLQRTDKRIGLMNEVLAAMDTVKCYAWENSFQSKVQTVRDDELSWFRKAQLLSAFNMFILNSIPVLVTVVSFGVFSLLGGDLTPARAFTSLSLFSVLRFPLFMLPNIITQMVNANVSLNRLEEVLSTEERVLLPNPPIEPGQPAISIRNGYFSWDSKADRPTLSNINLDIPLGSLVAVVGSTGEGKTSLISAMLGELPARSDATVILRGSVAYVPQVSWIFNATVRDNILFGAPFDQEKYERVIDVTALQHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVFILDDPLSALDAHVGQQVFEKCIKREIGQTTRVLVTNQLHFLSQVDKILLVHEGTVKEEGTYEELCHSGPLFQRLMENAGKVEDYSEENGEAEVDQTSVKPVENGNTNNLQKDGIETKKSKEGNSVLVKREERETGVVSWKVLERYQNALGGAWVVMMLLICYVLTQVFRVSSSTWLSEWTDAGTPKTHGPLFYNIVYALLSFGQVSVTLINSYWLIMSSLYAAKKMHDAMLGSILRAPMVFFQTNPLGRIINRFAKDMGDIDRTVAVFVNMFMGSIAQLLSTVILIGIVSTLSLWAIMPLLVVFYGAYLYYQNTSREIKRMDSTSRSPVYAQFGEALNGLSSIRAYKAYDRMAEINGRSMDNNIRFTLVNMAANRWLGIRLEVLGGLMVWLTASLAVMQNGKAENQQAYASTMGLLLSYALSITSSLTAVLRLASLAENSLNSVERVGNYIEIPSEAPLIIENNRPPPGWPSSGSIKFEDVVLRYRPELPPVLHGVSFLISPMDKVGIVGRTGAGKSSLLNALFRIVELEKGRILIDECDIGRFGLMDLRKVLGIIPQAPVLFSGTVRFNLDPFSEHNDADLWESLERAHLKDTIRRNPLGLDAEVTEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDVLIQKTIREEFKSCTMLIIAHRLNTIIDCDKVLVLDSGKVQEFSSPENLLSNGESSFSKMVQSTGTANAEYLRSITLENKRTRDANGDDSQPLEGQRKWQASSRWAAAAQFALAVSLTSSHNDLQSLEIEDGNSILKKTKDAVVTLRSVLEGKHDKEIEESLNQSDISRERWWPSLYKMVEGLAVMSRLARNRMQHPDYNLEGKSFDWDNVEI >fgenesh2_kg.1__3221__AT1G30440.1 pep chromosome:v.1.0:1:13574077:13576907:1 gene:fgenesh2_kg.1__3221__AT1G30440.1 transcript:fgenesh2_kg.1__3221__AT1G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:UniProtKB/TrEMBL;Acc:D7KEU3] MACMKLGSKSDAFQRQGQAWFCTTGLPSDIVVEVGEMSFHLHKFPLLSRSGVMERRIAEASKEGDDKCLIEISDLPGGDKTFELVAKFCYGVKLELTASNVVYLRCAAEHLEMTEEYGEGNLISQTETFFNQVVLKSWKDSIKALQSCDEVLEYADQLNITKKCIESLAMRASTDPNLFGWPVVEHGGPMQSPGGSVLWNGISTGARPKHTSSDWWYEDASMLSFPLFKRLITVMDSRGIREDIIAGSLTYYTRKHLPGLKRRRGGPESSGRFSTPLGSGNVLSEEEQKNLLEEIQELLRMQKGLVPTKFFVDMLRIAKILKASLDCIANLEKRIGMQLDQAALEDLVMPSFSHTMETLYDVDSVQRILDHFLGTDQIMPGGVGSPCSSVDEGNLIGSPQSITPMTAVAKLIDGYLAEVAPDVNLKLPKFQALAASVPEYARLLDDGLYRAIDIYLKHHPWLAETERENLCRLLDCQKLSLEACTHAAQNERLPLRIIVQVLFFEQLQLRTSVAGCFLVSDNLDGGSRQLRSGGFVGGSTEGGGGGGWATAVRENQVLKVGMDSMRMRVCELEKECSNMRQEIEKLGKTTKGGGSASNGGGNKTWENVSKKLGFGFKLKSHQMCSAQEGSVSKSNNENVKIEKLKDVKERRGKHKKASSISSER >fgenesh2_kg.1__3223__AT1G30450.1 pep chromosome:v.1.0:1:13577572:13587096:1 gene:fgenesh2_kg.1__3223__AT1G30450.1 transcript:fgenesh2_kg.1__3223__AT1G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDIEEAGGNGEEEFRSGPRLGGSKYRPVVAHDRAVVEMSSIDPGSSSSSTLKNIKVVAPGDMGAGVRGPEDGVNGHQKESKLELFGFDSLVNILGLKSMTGEQIPAPSSPRDGEDISITQGHPKPPALKMGTMMGVFVPCLQNILGIIYYIRFTWIVGMAGIGQGLVLVLLCGLCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGISIGLCFFLGNAVAGALYVLGAVETFLKAFPAAGIFRETITKVNGTAVSESIQSPNSHDLQVYGIVVTILLCFIVFGGVKMINRVAPAFLVPVLLSIFCIFIGIFLAKTDDPDNGITGLRLKSFKDNWGSAYQMTNDAGIPDPTGGTYWSFNELVGLFFPAVTGIMAGSNRSASLKDTQKSIPVGTLAATLTTTSLYLISVLFFGAVATRDKLLTDRLLTATIAWPFPAIVHVGIILSTLGAALQSLTGAPRLLAAIANDDILPILNYFKVADTSEPHIATLFTAFICIGCVVIGNLDLITPTVTMFYLLCYSGVNLSCFLLDLLDAPSWRPRWKYHHWSLSFVGAALCIVIMFLISWSFTVIAIALASLIYKYVGLKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLVFCRPWGQLPENVPCHPKLADFANCMKKKGRGMSIFVSILDGDYYECAEEAKEACKQLATYIEYKRCEGVAEIVVAPNMTEGFRGIIQTMGLGNLKPNIVVMRYPEIWRRENLTEIPSTFVGIINDCITANKAVVIIKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQLFCIAEEDSDAEALKADVKKFLYDLRMQAEVIVVTMKSWDIRSEGNSQEDSLEAFDAAQRRISDYLGEIKRQGSNPLLANGKPMVVNEQQVEKFLYTMLKLNSTILSYSRMAAVVLVSLPPPPLNHPAYFYMEYMDLLVENVPRMLIVRGYHRDVVTLFT >fgenesh2_kg.1__3226__AT1G30470.1 pep chromosome:v.1.0:1:13670459:13677756:1 gene:fgenesh2_kg.1__3226__AT1G30470.1 transcript:fgenesh2_kg.1__3226__AT1G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIT4 phosphatase-associated family protein [Source:UniProtKB/TrEMBL;Acc:D7KFK0] MAGLSTASAVEAILDKDSFTLEDLLDEDEIIQECKALNGRLLNFLRERVQVEQLIRYIIEEPLEDVEKKRTFKFPFVACEIFTCEIEMILKTLVEDEELMLLLFSFLEAKETHNSLLAGYFSKVVICLLVRKTIPFMQFIKDHQEILKQLVDLIGITSIMEVLKRLVGTDEHLYSNYTSAMQWVEDTDVLEMIVDKFGSSDSPEVHANAAEILCTVARYAPPGLATKLSSPSCTGRLLKHTLEDSRPKSVLVNSLSVCISLLDPKRFTLGTYHIYGRQLTHGSMVTNPETVEGMLGSLGDLLMLLNVSSAEDVLLTTYGKLQPPLGKHRLKIVEFISVLLTVGSEAAEKEVIRLGAVKRVLDLFFEYPYNNFLHHHVENVILSCLESKNSQLVDHLFSECNLIGSILEAEKDFTLTASDSDKLQPTVPAEGRKPLRIGNIGHMTRISNKLLQLANSNVEIQSHLQENSKWVDWQTDVLSKRNTLENVYSWACGRPTSLHDRSRDSDDDDYHDRDYDVAALANNLSQAFRYGIYSNDDMDEAQGSMERDDEDVYFDDESAEVVISSLRLGDDQESDSLFTNSNWFAFDDDKAANERSMSSAASPSPNADGDGDDDDVVIGEADEFNDTAASSPPGDMETEDSTSKHPSENPSEPEPEKSPAWVEWRETSESTAPSSNPDETTILSNGDVQIEKEDNDDDDTDNKSAVKTPGVSGDETTEKLPDESGVEPTESSSKASGIEPTEISPKASGIEPTERSPKASGAEVTENLRDSDPAESHADAKSSEPESPHETKETEVAAEADTKEKEEAVKEPEKVV >fgenesh2_kg.1__3228__AT1G30475.1 pep chromosome:v.1.0:1:13680071:13681690:-1 gene:fgenesh2_kg.1__3228__AT1G30475.1 transcript:fgenesh2_kg.1__3228__AT1G30475.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KFK2] TSRSNQIPDFSRQKIYLLLIQIFMVMPVMNPSLCIGTQPSVYLAPRGIHHFTTNGNSHRRSPTSVSMQRQRSSQSKFPSLQALGTRPVQSVVVSKDKRSVFACNSALNSKCSQGQTQTVTRQSPTITQVPTHGKEKSPKLDDGGNGFPPRDDGGGGGGGGGGGSSSGGFFLFGFLLFMGYLKDLEGEHENNH >fgenesh2_kg.1__3230__AT1G30480.1 pep chromosome:v.1.0:1:13681790:13684057:1 gene:fgenesh2_kg.1__3230__AT1G30480.1 transcript:fgenesh2_kg.1__3230__AT1G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLYGDLPPPSDDEKPSGNSSSVWSSSTKMAPPTLRKPPAFAPPQTILRPQNKPKPIVSSQYKPPPPSSTNSSQSVLTPANESAPSHQPALVASVIEEYDPARPNDYEEYKREQKRKAMEAEMKRELDKRRQEEEEREKREREERDKERERDNSDPSRLNISGEEAWKRRAAMSGGGSGGKRRSSSPPGNVDGFSIGKSETSGLGVGAGGQMTAAQRMMAKMGWKQGQGLGKSEQGITTPLMAKKTDRRAGVIVNASENKSSSAEKKVKSVNINGEPTRVLLLRNMVGPGEVDDELEDEVGGECGKYGTVTRVLIFEITEPNFPVHEAVRIFVQFSRPEETTKALVDLDGRYFGGRTVRATFYDEEKFSKNELAPVPGEIPGY >fgenesh2_kg.1__3231__AT1G30490.1 pep chromosome:v.1.0:1:13689246:13694054:-1 gene:fgenesh2_kg.1__3231__AT1G30490.1 transcript:fgenesh2_kg.1__3231__AT1G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAHSMDDRDSPDKGFDSGKYVRYTPEQVEALERVYAECPKPSSLRRQQLIRECPILCNIEPRQIKVWFQNRRCREKQRKESARLQTVNRKLSAMNKLLMEENDRLQKQVSNLVYENGFMKHRIHTASGTTTDNSCESVVVIGQQRQQQNPTHQHPQRDANNPANLLSIAEETLAEFLCKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGIAARACGLVSLEPMKVAEILKDRPSWFRDCRCVETLNVIPTGNGGTIELVNTQIYAPTTLAAARDFWTLRYSTSLEDGSYVVCERSLTSATGGPNGPLSSSFVRAKMLSSGFLIRPCDGGGSIIHIVDHVDLDVSSVPEVLRPLYESSKILAQKMTVAALRHVRQIAQETSGEVQYSGGRQPAVLRTFSQRLCRGFNDAVNGFVDDGWSPMSSDGAEDITIMINSSSAKFAGSQYGSSFLPSFGSGVLCAKASMLLQNVPPLVLIRFLREHRAEWADYGVDAYSAASLRATPFTVPCVRTGGFPSNQVILPLAQTLEHEEFLEVVRLGGHAYSPEDMGLSRDMYLLQLCSGVDENVVGGCAQLVFAPIDESFADDAPLLPSGFRVIPLDQKTNPNDHQSASRTRDLASSLDGSTKTDSETNSRLVLTIAFQFTFDNHSRDNVATMARQYVRNVVGSIQRVALAITPRPGSMQLPTSPEALTLVRWITRSYSIHTGADLFGADSQSCGGDTLLKQLWNHSDAILCCSLKTNGSPVFTFANQAGLDMLETTLVALQDIMLDKTLDDSGRKALCSEFAKIMQQGYANLPAGICVSSMGRPVSYEQATVWKVVDDNESNHCLAFILVNWSFV >fgenesh2_kg.1__3232__AT1G30500.2 pep chromosome:v.1.0:1:13699857:13701903:-1 gene:fgenesh2_kg.1__3232__AT1G30500.2 transcript:fgenesh2_kg.1__3232__AT1G30500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding transcription factor (CBF-B/NF-YA) family protein [Source:UniProtKB/TrEMBL;Acc:D7KFK5] MTSSIHELSDNVGSHEKQEQIDSHFQPPIPPGRNYESIATSLVYSEPVPQKLKAPGQYPYPDPYYRSIFAPPPQPYTGVHLQLMGIQQQGVPLPSDAVEEPVFVNAKQYHGILRRRQSRARLESQNKVIKSRKPYLHESRHLHAIRRPRGCGGRFLNAKKDDEHHEDSTHEENSNLSSDKSAMAASSGTS >fgenesh2_kg.1__3236__AT1G30515.1 pep chromosome:v.1.0:1:13708209:13708789:-1 gene:fgenesh2_kg.1__3236__AT1G30515.1 transcript:fgenesh2_kg.1__3236__AT1G30515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHKTSEFPPLISMMIVFIVLESTSINARELRPSDHGLEYYYEPGESSEMTSFFGPPSSNELTSSSSPSSSILPSAVKSPMKKMSSSKDQDDDHVMNHVLVVGSLVCGVSGVALMVASALIYFLGYPKTQNSSVNCDHIHNNVNNTK >fgenesh2_kg.1__3238__AT1G30540.1 pep chromosome:v.1.0:1:13721412:13723812:-1 gene:fgenesh2_kg.1__3238__AT1G30540.1 transcript:fgenesh2_kg.1__3238__AT1G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, BadF/BadG/BcrA/BcrD-type family [Source:UniProtKB/TrEMBL;Acc:D7KFL2] MRNPHSNGNLRKLEADGGGEATEENGFVDGVILGLDGGATSTVCVCVPSFPFGDRFPEPLPILGRAVAGCTNRNSVGETAARDSLEQVISEALVQSGSDKSDVRGVCLGVSGVNHPSDQEKIENWIRDIFPSHVKVYVQNDAIVALASGTMGKLHGCVLIAGTGCIAYGFDGDGKEARASGGGPILGDWGSGYGIAAQALTAVIRAHDGRGAQTILTSTILKALGLSSPDELIGWTYADPSWARIAALVPQVVSCAEAGDEISDKILVDAAEDLALSVKAVVQRLGLCGKDGTASFPVVMVGGVLNANQKWDIGKEVSKRINRYFPGAQTIIPKVEPAVGAALLAMNFLSS >fgenesh2_kg.1__323__AT1G03495.1 pep chromosome:v.1.0:1:1234505:1236139:-1 gene:fgenesh2_kg.1__323__AT1G03495.1 transcript:fgenesh2_kg.1__323__AT1G03495.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase [Source:UniProtKB/TrEMBL;Acc:D7KD96] MAHPQPPNIIETCHISPTTGTVPSTTLPLTFFDAPWLTLPLADSLFFFSYQNSTESFLKDFVPNLKQSLSISLQHFFPYAGKLIIPSRPDPPYLQYNDGEDSLVFTVAESTETDFNQLKTDSPKDIRVLHGVLPKLPPPHVSPEGIQMRPIMAMQVTIFPGAGICIGNSATHVVADGVTFSHFMKYWMSLTKSNGKDPATVLLPPLPIHSCRNMIKDPGEVGAGHLERFWSQNSGKNSSHVTPENMVRATFTMSRNQIDNLKSWVKEQSENQSPVSTFVVTLALIWVSLMKTIVQDSETEAEDEVFHLMINVDCRNRLKYTQPIPQTYFGNCMAPGIVSVKKRDLLGEKCVLAASDAITARIKDMLSSDLLKTAPTWGQGVRKWVMSRFPTSIAGAPKLGLYDMDFGLGKPCKMEIVHIETGGSIAFSESRDGSNGVEIGIALEKKKMEVFGSILQQGIKKFET >fgenesh2_kg.1__3240__AT1G30570.1 pep chromosome:v.1.0:1:13735072:13737622:1 gene:fgenesh2_kg.1__3240__AT1G30570.1 transcript:fgenesh2_kg.1__3240__AT1G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KFL5] MSKLRKKFLELLLCVLILFTYVIGYGESQSKSFLIDCGSNTTTEVDGRTWVGDLSPNKSVTLQGFDAITASTSKGGSVFGEIYKTARVFEAVLNYTFEGITQGNYFVRLHFSPFPIENHNVNESSFSVFADGLRLMLDINIAGEIAHKNLILESTGHNATASSLVKEFLLPMGPGKLVLSFMPEKGSFGFVNAIEILLLVEVKWSLVWGRRGIETMYRLNVGGPKLGPSQDLKLYRTWETDLSYMVIENAGVEVKNSSNITYAMADDSPVAPLLVYETARMMSNTEVLEKRFNISWKFEVDPNFDYLVRLHFCELLVDKQNQRIFRIYINNQTAAGNFDIFAHAGGKNKGIYQDYFDPVSSKNDVLWIQLGPDSSVGASGDALLSGLEIFKLSKNGNLAHLIRFDSTGHSVDDSKMRIIWISVGAGIATIIFFVFLGILVVCLCKKRRNKSNESKNNPPGWRPLFLHVNNSTANAKATGGSLRLNTLAASTMGRKFTLAEIRAATKNFDDGLAIGVGGFGKVYRGELEDGTLIAIKRATPHSQQGLAEFETEIVMLSRLRHRHLVSLIGFCDEHNEMILVYEYMANGTLRSHLFGSNLPPLSWKQRLEACIGSARGLHYLHTGSERGIIHRDVKTTNILLDENFVAKMSDFGLSKAGPSMDHTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEAVCARAVINPTLPKDQINLAEWALSWQKQRSLESIIDPNLRGNYSPESLEKYGEIAEKCLADEGKNRPMMGEVLWSLEYVLQLHEAWLRKQNGENSFSSSQAVEEAPESYTLQGCSNQDSSETEKSQTGSALHNLA >fgenesh2_kg.1__3243__AT1G30600.1 pep chromosome:v.1.0:1:13746482:13750379:-1 gene:fgenesh2_kg.1__3243__AT1G30600.1 transcript:fgenesh2_kg.1__3243__AT1G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7KFL8] MEESSLVRFVFLLCLVASSVFCLDESDQNPTTSSAVYIVTLKDRPLVHFSGRESSDSKHVLTPTSSQIYRTLNRSASIIRVHDSLLRKVLRKENYLKLYSYHYLINGFSAVLTRKQADRLAAREEVDNVVLDFPVEKATTHTPQFLGLPRGAWLRDGGSEYAGEGVVIGFIDTGIDPTHPSFSDKIPGHTYSIPPRFTGVCEVTIGFPPGSCNRKLIGARHFAESALSRGVLNSSQDDASPFDGEGHGTHTASVAAGNHGIPVVVAGHHLGNASGMSPRAHIAIYKALYKRFGGFAADIIAAIDQAAQDGVDIINLSITPNRRPPGIATFFNPIDMALLSAVKAGIFVVQAAGNTGPAPKSMSSFSPWIFTVGATSHDRVYSNSIILGNNVTIPGVGLASGTRTMHKLVLATHALRNGTTIMDAIYVGECQDSSSFDQKLVHGKILVCSYTVRFILGVSTIKQALITAKNLTAAGLVFYIDPSATGFQMTSTPMDIPGILISSPQYSQALLRYYNSSLLRENGSGKIVGSASVARIVGGMKPTYGITAPKVMYFSARGPDPEDDSFVDADIMKPNLVAPGNAIWGAWSPLAIGTTDFQGERFAMESGTSMSAPHVTGIAALIKQKFPHFTPAAIASALSTTASLSDRKGEHIMAQRTVLNPDISQSPATPFDMGSGFVNATAALDPGLIFDIGYNEYMKFLCSINGSSPVVLNFTGESCSAYNSSLAASDLNLPSVTIAKLVGTRTVLRWVTNIATTATNETYTVGWMAPDSVSVKVSPAKFTIGHGQTRVLSLVFRAMKNVSIASFGRIELFGDRGHIVKIPVAVIYKIAV >fgenesh2_kg.1__3248__AT1G30630.1 pep chromosome:v.1.0:1:13778303:13780258:-1 gene:fgenesh2_kg.1__3248__AT1G30630.1 transcript:fgenesh2_kg.1__3248__AT1G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit epsilon [Source:UniProtKB/TrEMBL;Acc:D7KFM4] MASMAGPDHLFNLRNHFYLGAYQAAINNSEIPNLSQEDIVERDCLVHRAYIALGSYQLVISEIDEAAATPLQAVKLLAMYLASPGNKESTISSLREWLADPTVGNNAIIRLIAGTIFMHEEDYNEALKHTHSGGTMDLHALNVQIFIKMHRSDYAEKQLRVMQQIDEDHTLTQLASAWLNLAVGGSKIQEAYLIFQDFSEKYPMTSLILNGKAVCCMHMGNFEEAETLLLEALNKDAKDPETLANLVVCSLHVGKSSSRYLNQLKLSHPEHVLVKRVASAEDNFERALQSFA >fgenesh2_kg.1__3258__AT1G30730.1 pep chromosome:v.1.0:1:13835182:13836762:1 gene:fgenesh2_kg.1__3258__AT1G30730.1 transcript:fgenesh2_kg.1__3258__AT1G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KFN5] MEKLLIICLLLISVSVATSQSQTDPETFLRCLVREGSNPQVFISDVTYIPSNSSFTTVLRRRIPNLRFDKPTTPKPIAIITPTTWSHISPALACARLLPVQVRIRSGGHDFEGLSYTSTAPFFVIDLLNFKSVDVNLTEGTAWVDTGATIGELYYKIAEKSNVLGFPAGLCTTLGVGGHISGGGYGTMMRKYGLSVDNVVGSRIIDSNGNTYFDRMSMGEELFWAVRGGGAASFGIVMGYKIRLVPVPEKVTVFSVGKTVGEGAVDLIMKWQNFSHSTDRNLFVKLTLTLVNGTKPGEKTVLATFIGMNLGGLDKTLNVMNRDFPELKLKKTDCTEMRWIDSVLFWAGFPIGTPTSVLLNPRVTKKLFMKRKSDYVKRPVWRTGLGLILKKLVEVGKVEMNWIPYGGRMGEIPSSRTPFPHRGGNLFNIEYIIDWSEAGDDVEKDHLASASEMYKFMTPYVSSNPREAFLNYRDLDIGSGVNSTYQEGKIYGTKYFKDNFERLVDIKTKFDEINFWRNEQSIPVRT >fgenesh2_kg.1__3260__AT1G30755.1 pep chromosome:v.1.0:1:13840301:13843415:-1 gene:fgenesh2_kg.1__3260__AT1G30755.1 transcript:fgenesh2_kg.1__3260__AT1G30755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSCVYKDGDKKKLRSNDDKTRGFSGKLKSMRRRRTSDSYYSDHYGSSRRKSSKPDEVVFNFSGELGPMPPLRNDSTKFMQRNSFMGRAGVMGLEKAVEVLDTLGSSMSRMNPSSAYLSGVTSSRGGKVTILAFEVANTIAKGAALLQSLSEENLKFMKKEMLRSKGVKKLVSTDTAELQILAASDKREELDLFSGEVIRFGNMCKDMQWHNLDRYFMKLDTENSQHKLLKDEAEAKMQELVTLARFTSELYHELQALDRFEQDYRRKLAEIESLNLPRRGEGIVILQNELKQQRKLVKSLQKKSLWSQNLEEIIEKLVDVVCYIRQTIVEVFGNNGLKDNEGKQGRERLGEAGLSLHYANLIQQIDSIASRPSSLPSNVRDTLYNALPATVKTALRPRLQTLDPEEEVLVSEIKAEMEKSLQWLVPFAENTTKAHQGFGWVGEWANSRIEFGKGKGKGENNGNPTRLQTLHHADKPKVDSYVLELVVWLHRLMKSSKKRVQGVKLQETNHVSPPNKITISNTQLSLSPDFTYKNQLSLEDRLLLDRVQSIRFGPNLSKSQELVGLKKNKKGIKIWALSRSTGNSPKVDLSDKNSSSDLDVLDGLDFAFH >fgenesh2_kg.1__3261__AT1G30760.1 pep chromosome:v.1.0:1:13861014:13863660:1 gene:fgenesh2_kg.1__3261__AT1G30760.1 transcript:fgenesh2_kg.1__3261__AT1G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KFP2] MAFTLIIINANAFLVTLLLLSLSYIPLSSSTMQQDFVMCLVDNSDASFPMDSSFFTHDLNASSFKLALETSAQNLRYLMPSNPKPEFIFEPLYETHVQAAVLCAKKLKLHLRLRSGGHDYEGLSYVSEMETAFVIVDLSKLRQISVDIESNSAWVHAGASIGEVYYRIQEKSKIHGFPAGLCTSLGIGGHIIGGAYGSMMRKFGLGADNVLDARIVDADGKILNRAAMGEDVFWAIRGGGGGSFGVILAWKIKLVPVPEVVTVFTVTRTLEQDGTKLLSKWQQVADKLDEDLFIRVIIQPTSKTPKSKERTISTSYQGQFLGDANRLLQVMQRSFPQLGLTKKDCLETSWIKSVMYIAGFPSTAPSEALLDGKSLFKNYFKAKSDYVEEPIPIEGLEGLWEKLLEEDSPLTIWNPYGGMMAKIPETETPFPHRSGTLFKIQWLTLWQDGKVSEAKHMDWMREMYSYMEQYVSKSPRSAYVNYRDLDLGRNGKGSDAREWGNKYFKGNFERLVQIKATFDPENFFSHEQSIPTELE >fgenesh2_kg.1__3262__AT1G30780.1 pep chromosome:v.1.0:1:13864355:13874337:-1 gene:fgenesh2_kg.1__3262__AT1G30780.1 transcript:fgenesh2_kg.1__3262__AT1G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLVLRYVVVLTFFILENPKETFSSRLARPPAKVAQIGADDYPSSGRSTPVHDLGFPDFPSFQEAVAPPPPPPDLPLLPPPLPDLALLPPPASSDPEKPRLSVPVWPSLPDFPPFPFVDQAAPSQHFAPRFDESDNWMPSTPSIPQVFAIQSNLLQVLLLQQPKLPAKFKMKKSHQKKLLCRVESEVCFGDHNEKDTNPSEIDSLPLDLKMAILTRLPAKSLTNLKHVSKMWSSIIRSRGFIDYFFSVSTEEVIKLPVNTRFVGYDPIDDQHKALLVQSINHSDHLEHKVLTLGGGGQGWRHIEGTTAPYSPISVGVCIDGFVYYGAYSPNRPINPVMVCFEVRSEKISFIKAPEDVVHWGNEAIFIEYKGKLAFDLWILEDVEKHEWSKQTCVFPSSVWDDVGDIKMSFPGTNKAGIGDDEEFRRSSGFVDKGECHVRIAPQHVESIAHFKDPII >fgenesh2_kg.1__3263__AT1G30790.1 pep chromosome:v.1.0:1:13878561:13889644:1 gene:fgenesh2_kg.1__3263__AT1G30790.1 transcript:fgenesh2_kg.1__3263__AT1G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKEIDHIPLDLTVEILTRLPAKSLLRFKCVSKLWSSIIQSQGFIDSFYSISSTRPRSIVAFTNGLFAKDEDKRFFIFSSSQEGHESSSSVINNLDITIPSLTVCNNPASRCVSVNGFVACSLNTGLMICNPSTRQVIVLPILPPRHAPKMRGRCLGYDPVDDQFKALALISSRLPNNYSGHESSSVITNLDMTIPSLTVCNNPMSRCVSVNGFMACSLYTGLMICNPSTRQIIVLPILPPRHAPDMRGRCLGVEHLVLTLKGDKKKYSWRQIQGNNNIPPYSPVTMRICINGVVYYGAWTPRLRMNAVIVCFHVRSEKITFIKAPKDVVQWWADSILMEYKGKLASIVRYPYSRFDSFDLWVLEDIEKHEWSKQTCEIPFSVWDSIEDGNMSFPGINKFGEIILAPTFLSRYHLRPFYIFYYHVETKNIRRVRLEGIADDENFRRCYGIGKNVGPCNVFISPEHVETLRFL >fgenesh2_kg.1__3264__AT1G30795.1 pep chromosome:v.1.0:1:13894042:13894599:1 gene:fgenesh2_kg.1__3264__AT1G30795.1 transcript:fgenesh2_kg.1__3264__AT1G30795.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KFP6] MATSTYSNFTTLALTLILIFRLIPETTASRHLNGKNPAVLGVTTTSEKYIVPRPSPFFRPFFPPLPFAPAPFGGNIPRPPLPSPPTFLPRLRGFKFPPFPFR >fgenesh2_kg.1__3265__AT1G30800.1 pep chromosome:v.1.0:1:13901007:13902128:1 gene:fgenesh2_kg.1__3265__AT1G30800.1 transcript:fgenesh2_kg.1__3265__AT1G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGHLLLFFLITTVTFLTSAASPAYLTSQDHQHADRIIEAMIGAGDFRDWAADFLSAVDDQLGIPLSATIFVPSDFDSADVSSSSTTGDNNAYPRRLSVAYHIVPQRLSFTDLRLLKPLSRLPTLLPGNSIVVTNNSVSGYTLDGVLVSEPDLFLSSSIAIHGVASSLDFSRYGDFGTGDTTLADSLRPYPHRRRRRPRGGSNSDNNQTSVSASTIHYSTGSFLLPLAALTLF >fgenesh2_kg.1__326__AT1G03960.1 pep chromosome:v.1.0:1:1242178:1246070:1 gene:fgenesh2_kg.1__326__AT1G03960.1 transcript:fgenesh2_kg.1__326__AT1G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7KD99] MDIDGVDDVHILDPELLQLPGLSPAPLKPSSHIADELFSQWLSLPETARLVKSLIDDAKSGTPTNVSKNYATTDVSGGSALPAVFLSSSTPPLSPRSSSGSPRFSRQRTSPPSLQSPLRSFKEPKHELIPQFYYQHGRPPAKDRKEQCISMVDQFFRNYIDGLHVHEFKSITKEVCKLPSFLSPALFRKIDPNCTDIVTRDAFIKYWIDGNMLSMDTASQIYNILRQQGCKYLRQADFKPVLDELLATHPGLEFLRTTSEFQERYAETVIYRIFYYINRSGTGCLTLRELKRGNLIAAMQQLDEEDDINKIIRYFSYEHFYVIYCKFWELDGDHDCFIDKDNLIKYGNNALTYRIVDRIFSQVPRKFTSKVEGKMSYEDFVYFILAEEDKSSEPSLEYWFKCVDLDENGVITPNEMQFFFEEQLHRMECVTQEAVLFSDILCQIIDMIGPEKENCITFQDLKGSKLSGNVFNILFNLNKFMAFETRDPFLIRQEREDPNLTEWDRFAQREYVRLSMEEDVDEVSNGSADVWDEPLEPPF >fgenesh2_kg.1__3270__AT1G30820.1 pep chromosome:v.1.0:1:13909666:13914157:-1 gene:fgenesh2_kg.1__3270__AT1G30820.1 transcript:fgenesh2_kg.1__3270__AT1G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:D7KFQ2] MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQHVIAKERKGDYLGKTVQVVPHVTDAIQDWIERVAVIPVDGEEGPADVCVIELGGTIGDIESAPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVKGLRGLGLTPDILACRSTKALEDNVKEKLAQFCHVPLEYIFTLYDVPNIWHIPLLLKDQKAHLVISKVLNLASILNEPSLGEWTSRAELCDNLHVPVRIAVVGKYTGLSDAYLSVLKALLHASVACRKKLVVDWVPACDLEKETEKENPDAYKAAWKLLKGVDGVLVPGGFGDRGVEGKILAAKYARENKIPFLGICLGMQIAVIEFARSVLCLHDANSTEFKPETKHPCIIFMPEGSRTHMGGTMRLGSRKSFFNVKDSKSSKLYGNKSFVDERHRHRYEVNPDMVERLEKAGLSFAAKDETGKRMEIIELPNHPFFIGAQFHPEFKSRPGKASPLFLGLIAASCGELDTVLNPASAHQHLISNGPKNVYVNGTPKKSSNGLADVRYNNGYCNGLYTR >fgenesh2_kg.1__3272__ATCG01300.1 pep chromosome:v.1.0:1:13932399:13932677:1 gene:fgenesh2_kg.1__3272__ATCG01300.1 transcript:fgenesh2_kg.1__3272__ATCG01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23 [Source:UniProtKB/TrEMBL;Acc:D7KFQ5] MESNMQYLQTKVFGYWGKNQYTFNVESGSTRTEIKHWVELFFGVKVIAMNSHRLPGKVKRMGPILGHTMHYRRMIITLQPGYSIPPLRKKRT >fgenesh2_kg.1__3273__ATCG00830.1 pep chromosome:v.1.0:1:13932696:13934179:1 gene:fgenesh2_kg.1__3273__ATCG00830.1 transcript:fgenesh2_kg.1__3273__ATCG00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 [Source:UniProtKB/TrEMBL;Acc:D7KFQ6] MAIHLYKTSTPSTRNGALDGQVKSNPRNNLIYGQHHCGKGRGGHKRLYRKIDFRRNAKDIYGRIVTIEYDPNRNAYICLIHYGDGEKRYILHPRGAIIGDTIVSESTSTDMPLDTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPVNPWGYPALGRRTRKGKNIVRL >fgenesh2_kg.1__3275__ATCG00810.1 pep chromosome:v.1.0:1:13934590:13935063:1 gene:fgenesh2_kg.1__3275__ATCG00810.1 transcript:fgenesh2_kg.1__3275__ATCG00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22 [Source:UniProtKB/TrEMBL;Acc:D7KFQ8] MIKKRKKKSYTSVYALGQYISISAHKARRVIDQIHGRSYEEALMILELMPYRGCYPIFKLVYSAAANASHNKGFKETNLVISKAEVNQRNTVKKLKPRARGRSYPIKRSTCHITIVLEDISFYQQYEEYLMYLEKPGCSNENRNLTCYDRYSSGDLWD >fgenesh2_kg.1__3276__ATCG00800.1 pep chromosome:v.1.0:1:13935057:13935705:1 gene:fgenesh2_kg.1__3276__ATCG00800.1 transcript:fgenesh2_kg.1__3276__ATCG00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KFQ9] MEGIARIEIQKRINLIQIIIYMGFPKLLIEDKPRRVEELQINVQKELNCVNRKLNIAITRISNPYGDPNILAEFIAGQLKNRVSFRKAMKKAIELTEQANTKGIQVQIAGRIDGKEIARVEWIREGRVPLQTIEAKIDYCSYTVRTIYGVLGIKIWIFVD >fgenesh2_kg.1__3277__AT1G30825.1 pep chromosome:v.1.0:1:13946094:13948348:1 gene:fgenesh2_kg.1__3277__AT1G30825.1 transcript:fgenesh2_kg.1__3277__AT1G30825.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arp2/3 complex 34 kDa subunit [Source:UniProtKB/TrEMBL;Acc:D7KFR0] MILLQSHSRFLLQTLLTRAQNLDKAVELDYQWIEFDDVRYHVQVTMKNPNLLLLSVSLPNPPPEAMSFDGLPLGAIEAIKTTYGTGFQILDPPRDGFSLTLKLNFSKVRPDEELLTKLASIREVVMGAPLKIIFKHLASRTVAPELDRLVAIMHRPNETFFLVPQADKVTVAFPMRFKDSVDTILATSFFKEFVEARRAAALNTAPSCSWSPTAPQELEGAPKETLSANAGFVTFVIFPRHVEGKKLDRTVWNLSTFHAYVSYHVKFSEGFMHTRMRRRVESMIQALDQAKPLEKTRSMNNKSFKRLGLNEANHTNSK >fgenesh2_kg.1__3279__AT1G30840.1 pep chromosome:v.1.0:1:13971568:13973163:1 gene:fgenesh2_kg.1__3279__AT1G30840.1 transcript:fgenesh2_kg.1__3279__AT1G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGRVNADQQQQENMVKPPVKRSHTLLIVTYVCLFVGSIASSLLAKYYFVHGGSSRWVSTWVQSAGFPLLLTLIYFPRYVFKTTTRRPFTRFTRRHLIFSVLIGFVLGFNNFLFSWGTSYLPVSTSSLLLSTQLVFTLILSAIIVKQKINFSNLNCVVLLTLSSVLLALGSSRDKPAGLTKTKYYIGFVSTIGAGLLFALYLPVTEKLYRSVYCYAMVMEVQLVMEFAATVFATIGMVFDGGFREMVKEANHVFTKGPTVYWTVAIFANVVTWQLCFAATSGIVYLTSGITGGICMTALLAMNVIGGVVVYGDEFGGVKIVSTVLCIWGFSSYIYGMYMKMKKEEKEKGEYSGVKTTEDGGEMEVEMGKVKDDVAAADDRV >fgenesh2_kg.1__3280__AT1G30845.1 pep chromosome:v.1.0:1:13988723:13989854:1 gene:fgenesh2_kg.1__3280__AT1G30845.1 transcript:fgenesh2_kg.1__3280__AT1G30845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAQLKKRGYIWAISAGLNAALAAISAKFFSSLVIKYGLVVICNVVMWACYVNSLRALSSLQATVTNFAANFLSSGLAGLFLFQESLSFRWFAGALSITIGVLILSKSSVDKKVSSD >fgenesh2_kg.1__3282__AT1G30860.1 pep chromosome:v.1.0:1:13993228:13996036:-1 gene:fgenesh2_kg.1__3282__AT1G30860.1 transcript:fgenesh2_kg.1__3282__AT1G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7KFR5] MASSQRFGLVLRDRNQNAGVYQTNLKSPVKEVFKFHVSDENLVDSWIETHNNKNNRVLESPVESKRNDSPGASSLVQIWEARLNRSNGGNSPSSCQSTVSSSRSESGLGVQNSGLSESSSIDGDSEFVDRTVEIESRSHVLVSDSGESKWGRVADLIRRLSNEEKKLTAGDNGSGGGGGLTIVRTPRPCCTSSSSEKSNFPVVSFSPRIRGRQAFTDLLMQMERDRHRELDWLLDRNAVSRFTQRGRLQSMLKLRNLNSCLAIQDRNRSNAKTTGSNRIGSGSAVLHLREKFHVNAAAAADKRKNHQRSAEIYNKSVEETEGTTTTSMRLKNGGLTLEAFFAERLRVRNRKIEEATLSKEEETVSGSVESKTKCLQLQETKEVEETCNDGESEKKEEEKTSPSGCLTQESRLRQNQGENNVEKYMQETRETEGLVHDSNEMDQCLDQQETSYLNRWGEQEEYEDEQSYYGEPNDDWLSEISRPRSYWEELRKSRYLEVMNTRSEREDIRRLLERRTVTDFLESGLREKIDNLMMSRVQTHSNKHSEKWELQQEDEEEKNETEEEVKEEEPLAKGEEQDDRDDLSQSSSSQIFASSPAGSWSSQDTDVTSTPVLSVHNPHSPEMELISGMRSQIQQLQQEMSVLRDSVKTCLDANASLQQKVHRENPMKRKCCVCDETQVEAVLYRCGHMCMCLKCANELHWSGGKCPICRAQIVDVVRVFFDTSN >fgenesh2_kg.1__3283__AT1G30870.1 pep chromosome:v.1.0:1:13998445:14000032:1 gene:fgenesh2_kg.1__3283__AT1G30870.1 transcript:fgenesh2_kg.1__3283__AT1G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7KFR6] MKLAVISVVVLLVILVAWPVSASRKDLPRSGGYGGDDDDDTKSWFPLDNLLSLNYYDRICPDFEKIVDRKVREWTKSDSSLGPALLRLIFHDCGVTGCDASVLLDYEGTERRSSASKSLRGFELIDDIKSEMEKSCPGLVSCADILTSASRAATVQLGGPYWPNVYGRRDSKNSYARDVEKVPSGRRDVTALLETFQSYGLNILDLVVLSGAHTIGKAYCGTIQSRLYNYNATNGSDPSIDPKYADYLRRRCRWASETVELDAVTPAVFDNQYYINLQKHMGVLSTDQELVKDPRTAPLVKAFAEQPPQMFRQQFAVSMAKLVNVGVLTGEDRVGEIRKVCSKSNSRAY >fgenesh2_kg.1__3284__AT1G30880.1 pep chromosome:v.1.0:1:14000237:14001222:-1 gene:fgenesh2_kg.1__3284__AT1G30880.1 transcript:fgenesh2_kg.1__3284__AT1G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSMRCKKVKRLRALRREIVEKESFTLTREDAKSAAIEAALAAPKLPVRQPPVSPFMEVATPSSESASATTTIANDMDVEMDDEKKIKSLKPIGKKLKKKFKLGMKNRRSKGVLRGKRN >fgenesh2_kg.1__3285__AT1G30890.1 pep chromosome:v.1.0:1:14001495:14003302:1 gene:fgenesh2_kg.1__3285__AT1G30890.1 transcript:fgenesh2_kg.1__3285__AT1G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane HRF1 family protein [Source:UniProtKB/TrEMBL;Acc:D7KFR8] MGPQPGMQRPPGNPEPGPFGNPFTGAGSGFIRGGLGAYGERILGSSSEYVQSNISRYFSDPQYYFQVNDQYVRNKLKVVLFPFLHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGTYVVLAGLSLGLNGKFTPEALNWLFVKGLVGWFLQVMLLKVTLLSLGSGEAPLLDIVAYGGYAFAGLCLAGFAKIMWGYSYYALMPWTCLCTGIFLVKTIKRVLFAEVRSYDSSKHNYLLLFLALVQFPLLIWLGNISVNWLL >fgenesh2_kg.1__3288__AT1G30910.1 pep chromosome:v.1.0:1:14008261:14010206:1 gene:fgenesh2_kg.1__3288__AT1G30910.1 transcript:fgenesh2_kg.1__3288__AT1G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdenum cofactor sulfurase family protein [Source:UniProtKB/TrEMBL;Acc:D7KFS0] MENALSPSLLPSPASEVAARVSSLFVYPIKSCKGISLSQAALSPTGFRWDRNWLIVNSKGRGLTQRVEPKLSLIGVEMPEHAFGEDWEPEKSSNMVVRAPGMDALKVSLAKPDKIADGVSVWEWSGSALDEGEEASQWFTNFVGKPCRLVRFDSASETRPVDPNYAPGHIAMFSDMYPFLLISQGSLDSLNKLLKEPVPINRFRPNIFVDGCEPFAEDLWTEILINGFTFHGVKLCSRCKVPTINQETGIGGQEPIETLRNFRSDKVLQPRRKPQGKIYFGQNMVWKDGFGDGIGKTIEIGDSVVVLGKLSSPAKAAT >fgenesh2_kg.1__328__AT1G03970.1 pep chromosome:v.1.0:1:1248384:1249466:1 gene:fgenesh2_kg.1__328__AT1G03970.1 transcript:fgenesh2_kg.1__328__AT1G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKLMSSSNSDLSRRNSSSASSSPSIRSSSHHLRPNPLADHSRISFAYGGGNDYTFASDSKPFEMAIDVDWSIGDRNSVNNGKSVDDVWKEIVSGEQKAIMMKEEEQEDMMTLEDFLAKAAMDDGGSDEIDVKIPPERLNNDGSYTFDFPMQRHSSFQMIEGSMGGGGGTMRGKRGRVMTEAMDKAAAQRQKRMIKNRESAARSRERKQAYQVELETLAAKLEEENEQLLKEIEESTKERYKKLMEVLIPVDEKPRPPSRPLSRSHSLEW >fgenesh2_kg.1__3290__AT2G17460.1 pep chromosome:v.1.0:1:14026426:14027829:-1 gene:fgenesh2_kg.1__3290__AT2G17460.1 transcript:fgenesh2_kg.1__3290__AT2G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFS5] MLTRTSWSHRWCTVTRMRNVISARDIVYTINIGIGVTFAACILYTFCCVRTLVQTMLTRTSWSHRWCTVNRVISPTYTMTCDRGYFPP >fgenesh2_kg.1__3292__AT5G65600.1 pep chromosome:v.1.0:1:14061548:14062984:1 gene:fgenesh2_kg.1__3292__AT5G65600.1 transcript:fgenesh2_kg.1__3292__AT5G65600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KGG6] LDVWKEHIDVENVGMQANKAKILNLSVTWAYELTTSDPKEKVMFGFIAAAGTNTEEYRLLSWELSSTLDIEKADSKIGLVVGISVSGFVLLIVLVHTIVVVWSRKQRKKKDRDIANMISINEDLEREAGPRKFSSHRELGEGGFGAVYEGNLKEINTMVAVKKLSSDSRQRKKEFLNEVKVISKLRHRNMVQLIGWCNEKNEFLLIYELVLNGSLNSHLFGKRPHLLSWDIRYKIALGLASALLYLHEEWDQCVLHKDIKASNIMLDSDFNVKLGDFGLARVMNHEHGSHTTGLVGTFGYMAHEYVTKGSASKESDIYSFGIVLIEIVTGRKSLERTQEDNSDSESNEKSLVEKVWKLYGKQEGNEFDNKEAECLLVLGSRPSIKQAIQVLNFELPFPDLPLKRDVAMYYISITTTSSSSP >fgenesh2_kg.1__3293__AT1G54590.1 pep chromosome:v.1.0:1:14085107:14086049:-1 gene:fgenesh2_kg.1__3293__AT1G54590.1 transcript:fgenesh2_kg.1__3293__AT1G54590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor Prp18 family protein [Source:UniProtKB/TrEMBL;Acc:D7KGH1] MTQGETNDFLCDIAELKKRQKSSGVMNDRKRKTSDDDDELSGADKENLKRLKEANFEDLCDEDKILVFCKKLLLEWKQELDAMENTERRTAIGKQMLAIFNQCARYLTPLFHLCRNKCLPADIRQGLMVMVNCCIKRDYLDAMAQFIKLAIGNAPWPIGVTMVGIHERSAREKISTSSSVAHIMNNETTRKYLQSVKRLMTFCQRRYPAMPSKSVEFNSLANGSDLQSLLAGERFCGADRQRVSEDRLRIMPSLNEI >fgenesh2_kg.1__3297__AT1G30970.1 pep chromosome:v.1.0:1:14100654:14104244:-1 gene:fgenesh2_kg.1__3297__AT1G30970.1 transcript:fgenesh2_kg.1__3297__AT1G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRASEKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTASGMVIHVLQVHKENVTKVPNAKTGRDSTDIEIYGMQGIPPDVLAAHYGEEEEEPPAKVAKVEIPSAPLGGVVPRPYGMVYPPQQVPGAARPMYYPGPPMRHPAPVWQMPPPRPQQWYPQNPALSVPPAAHLGYRPQPLFPVQNMGMTQPTSAPVVQPSPVTGVTPPGIPSSSPAMPVPQPLFPVVNNSIPSQASPFSAPLPVGGAQQPSHADALGSANAYPPNNSIPGGTNAHSYASGPNTSGPSIGPPPVIANKAPSNQPNEVYLVWDDEAMSMEERRMALPKYKVHDETSQMNSINAAIDRRISESRLAGRMAF >fgenesh2_kg.1__3298__AT1G31010.1 pep chromosome:v.1.0:1:14110395:14112385:-1 gene:fgenesh2_kg.1__3298__AT1G31010.1 transcript:fgenesh2_kg.1__3298__AT1G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7KGI0] MQFLARSLSKSIRPSLNSASKQSWVLSHQYLSTFSAESSSRTRGGGSRAEKSTEEWPRPTEVPYQPKIANSIDLIGYVHQPVQFDSTLDGKFWAGTVISHEPSSDSKSVSDSSSNFWIPVLFEGDLAHTANSYLKKNDRVHITGQILGDVIQSGANSDQACVQLFKSFHGSFSHQVMVRDLHYIEGSKALPKVMPTVNQNEGVLKHSASVQRGRDVGTNLWFDLVDKPDEWCDYRESKQNGSVNPKHPDFKKKDGSQALWLNKAPTEILSELEDVKFDIPKYAPKPKAGEESWKDLVENMNKWWDNRLDKRHPKAPDFKHKETGVGLWLSDSPSWVLEKLPPPKSKTSDIYGAQEMF >fgenesh2_kg.1__3299__AT1G31020.1 pep chromosome:v.1.0:1:14113029:14114641:1 gene:fgenesh2_kg.1__3299__AT1G31020.1 transcript:fgenesh2_kg.1__3299__AT1G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQWSNFHQIGRNSFLAASAVYVSSEFNFVNTSLLNRRSFCFAEGDRSSFVVLKSEAEFNSALSKARDGSLPSVFYFTAAWCGPCRLISPVILELSNKYPDVTTYKVDIDEGGLSNAIGKLNVSAVPTLQFFKGGVKKAEIVGVDVVRLKSVMEQLYK >fgenesh2_kg.1__32__AT1G01960.1 pep chromosome:v.1.0:1:127652:134697:1 gene:fgenesh2_kg.1__32__AT1G01960.1 transcript:fgenesh2_kg.1__32__AT1G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEVDSRLGRVVIPALDKVIKNASWRKHSKLAHECKSVIERLRSPENSSPVADSDSGSSIPGPLHDGGAAEYSLAESEIILSPLINASSTGVLKIVDPAVDCIQKLIAHGYVRGEADPTGGPEALLLSKLIETICKCHELDDEGLELLVLKTLLTAVTSISLRIHGDSLLQIVRTCYGIYLGSRNVVNQATAKASLVQMSVIVFRRMEADSSTVPIQPIVVAELMEPMDKSESDPSTTQSVQGFITKIMQDIDGVFNSANAKGTFGGHDGAFETSLPGTANPTDLLDSTDKDMLDAKYWEISMYKSALEGRKGELADGEVEKDDDSEVQIGNKLRRDAFLVFRALCKLSMKTPPKEDPELMRGKIVALELLKILLENAGAVFRTSDRFLGAIKQYLCLSLLKNSASNLMIIFQLSCSILLSLVSRFRAGLKAEIGVFFPMIVLRVLENVAQPDFQQKMIVLRFLDKLCFDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGVPPGIVTTLLPPQEASMKLEAMKCLVAVLRSMGDWVNKQLRLPDPYSAKIIEIDDRNLEEGSHPVENGKGDGGHGGFERSESQSELFSGTSDALAIEQRRAYKLELQEGISIFNQKPKKGIEFLIKANKVGDSPEEIAAFLKDASGLNKTLVGDYLGEREDLSLKVMHAYVDSFEFQGMEFDEAIRAFLRGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVILLNTDAHNPMVKSKMTADGFIRNNRGIDDGKDLPEEYLRALYERISRNEIKMKDDGLGLQQKQPTNSSRLLGLDTILNIVVPRRGDDMYMETSDDLIRHMQERFKEKARKSESVYYAASDVVILRFMVEVCWAPMLAAFSVPLDQSDDEVITTLCLEGFHHAIHVTSVMSLKTHRDAFVTSLAKFTSLHSPADIKQKNIEAIKAIVKLAEEEGNYLQDAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQTESGNSPLAKPNSVPAVKERAPGKLQYAASAVIRGSYDGSGVAGKASNTVTSEQMNNLISNLNLLEQVGDMSRIFTRSQRLNSEAIIDFVKALCKVSMDELRSPSDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSDNLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVVVMRKSGAVEIRELIIRCVSQMVLSRVDNVKSGWKSMFMIFTTAAHDAHKNIVFLSFEMVEKIIRDYFPHITETETTTFTDCVNCLVAFTNCKFEKDISLQAIAFLQYCARKLAEGYVGSSQRRNPPSSPQSGKSGKQDSGKFLESDEHLYSWFPLLAGLSELSFDPRAEIRKVALKVLFDTLRNHGDHFSLSLWERVFESVLFRIFDYVRQDVDPSEDDSTDQRGYNGEVDQESWLYETCSLALQLVVDLFVNFYKTVKPLLKKVLMLFVSLIKRPHQSLAGAGIAALVRLMRDVGHQFSDEQWLEVVSCIKEAADATAPDFSYVTSEELMEDVSNEDETNDNSNDAMRRTNRQLQAVVADAKSKASIQIFVIQAVTDIYDMYRMSLTANHMLMLFDAMHGIGSNAHKINADLLLRSKLQELGLSPESQEAPLLRLENESFQTCMTFLDNLISDQPVGYNEAEIEAHLISLCREVLEFYINISCSKEQSSRWAVPSGSGKKKELTARAPLVVAAIQTLGNMGESLFKKNLPELFPLIATLISCEHGSGEVQIALSDMLQTSMGPVVLRSCC >fgenesh2_kg.1__3303__AT1G31070.2 pep chromosome:v.1.0:1:14173729:14182253:1 gene:fgenesh2_kg.1__3303__AT1G31070.2 transcript:fgenesh2_kg.1__3303__AT1G31070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F17F8.1 [Source:UniProtKB/TrEMBL;Acc:D7KGI5] METENGALTAATTTTTAVTSPPPMASSPRQALVERLKDYGQEDIFSLWDELSPDEKDFLVREVENLDLPRIDRIIRCSLHSQGLPVAAIEPVPENWVSTVDGRTMEDREKWWKMGLKTIYEGKLGVVLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQIQAERILCVQRLAAQVVSEGPIRPVTIHWYIMTSPFTDEATRKYFSSHKYFGLEPDQISFFQQGTLPCVTKDGKFIMETPFSLAKAPDGNGGVYAALKCSRLLEDMASRGIKYVDCYGVDNVLVRVADPTFLGYFIDKGAASAAKVVRKAYPQEQVGVFVRRGKGGPLTVVEYSELDQTMASAINQRTGRLQYCWSNVCLHMFTLDFLNQVATGLEKDSVYHLAEKKIPSMNGYTMGLKLEQFIFDSFPYAPSTALFEVLREEEFAPVKNVNGSNFDTPESARLLVLRLHTRWVIAAGGFLTHSVPLYATGVEVSPLCSYAGENLEAICRGRTFHAPCEISL >fgenesh2_kg.1__3308__AT5G45410.3 pep chromosome:v.1.0:1:14305128:14306969:-1 gene:fgenesh2_kg.1__3308__AT5G45410.3 transcript:fgenesh2_kg.1__3308__AT5G45410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQESSQCPFVAESIIQKCPFLRNINKPTNLSFSSLSLPIPVQGGKGPIFEDGPGFDSAFKLFHGKDGIVPLSGHSSFRDDFEDETARRAPLQFNPLAGKVATISLSAFGPGGPFGFGPFSEKWKKQQKKPKPSKNQQSGDSSKHEAVGDEWLKTGNCPIAKSFRAASKVMPLVSKALTLPSGMKYRCPAPIVAARAALSKTALVKSLRPQPLPEKMLAIALMGMAANVPLGVWREHTKKFSPSWFVAVHAAVPFIAMLRKSVLMPKTAMALTIGASILGQVIGSRAERYRLRAVAEKMVTETAMVSEYNQSPGDSGISGGHCGTGEGVKEVYFNVNVGESAKSTGLCY >fgenesh2_kg.1__330__AT1G03990.1 pep chromosome:v.1.0:1:1255279:1258138:1 gene:fgenesh2_kg.1__330__AT1G03990.1 transcript:fgenesh2_kg.1__330__AT1G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-alcohol oxidase [Source:UniProtKB/TrEMBL;Acc:D7KDA3] MVGGRRRLGKRGSPWLRWSAKQESFSHGFSQSDLQALSAICDAIMPPVPLESLNLEMKLKVLRNDALLSFFKSSTSESHVRPDEVAELLATKAIPLTVLVVRIVLRILTFRLGTLLLCGLVCLDMKNWPFLLKFSEMSLEKREKVLQRWNTQWYNPLARIGFMMIKAIFLFYYFTWTNENTENPAWDAINYRVEIGENEGMEQKERPLDQGIIETAKEDEMTIKQRMINKGLKVTEDRDSDTYKIECDAVVVGSGCGGGVAAAILAKSGLRVVVIEKGNYFVPRDYSALEGPSMFELFESNSLLMTHDGRFRFMAGSTVGGGSVVNWAASLKTPDAIIEEWSVHRGISVYSSEKYKAAMDRVLKRLGVTEKINSEGFQNRILRKGCEKLGLDVTIVPRNSTEKHNCGSCSFGCPTGEKRGTDSTWLVDAVNNNAVILTQCKAEKLILADNNAHQREESGRRKRCLGVTASLSNRTRKKLQINAKVTIVACGSLKTPGLLASSGLKNPNIGRGLHIHPILMAWGYFPERNSDFQGAAHEGEIVTSLHYVHPMEDSTTPNITLETPAIGPGTFAALAPWVSGPDMKERLAKYARTSHIFVMVRDEGVGEVKGDIVKYRLTKADEENLTTGLKQALRILVAAGAAEVGTYRSDGQRMKCSGIKQEDVEAFLQAVNAPAGVVSMSKHWTQSFTAHQMGCCRMGATEKAGAIDGKGESWEAEDLYVCDASVLPTALGVNPMITVQSTAYCISNRIAELMKKKKKD >fgenesh2_kg.1__3311__AT1G31720.1 pep chromosome:v.1.0:1:14358496:14359437:1 gene:fgenesh2_kg.1__3311__AT1G31720.1 transcript:fgenesh2_kg.1__3311__AT1G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQEQYNRNGLPKFSIFFMFIFLFGLVAFFLCLSAEFQKAKGKDLKWDGESCYLPENRAFGLGIAALACVSVAQIVGNVVICRGFLKTNKTGTTLLCIILLLFSWVNFAVAVTLISVGASMNREQRYGKGWLNRECYLVKDGVFSASGVLCVTTLAAILGAFAFRVKPSLQVDTHDKRHTQNV >fgenesh2_kg.1__3314__AT1G31750.1 pep chromosome:v.1.0:1:14375376:14376608:-1 gene:fgenesh2_kg.1__3314__AT1G31750.1 transcript:fgenesh2_kg.1__3314__AT1G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KGM0] MGKDEHHEQDKGFFSHHNHHGHGYPPGAYPPPPGAYPPPGGYPPQGYPPPPHGYPPAAYPPPPGAYPPAGYPGPSGPRPGLGGGVGGLIAGAATAAAAAMGGHHAGHHGGYGHHGHGKYKRGFFGGGKYKRGKHSMFGGGKYKRGKHGMFGGKRGKHGMFGGKRGKGMFGRRKWK >fgenesh2_kg.1__3316__AT1G31760.1 pep chromosome:v.1.0:1:14376977:14378066:-1 gene:fgenesh2_kg.1__3316__AT1G31760.1 transcript:fgenesh2_kg.1__3316__AT1G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB complex BAF60b domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KGM1] MAAISRVFGGFRTLMSKAASVEAVTVAGEGKGIFKTLPVSQQLASFAGESELTRGSALKKVWEYVKLHNLQNPANKKEIHCDDKLKTIFDGKDKVGITEIMKLLSPHFPKSV >fgenesh2_kg.1__3317__AT1G31770.1 pep chromosome:v.1.0:1:14379383:14382263:-1 gene:fgenesh2_kg.1__3317__AT1G31770.1 transcript:fgenesh2_kg.1__3317__AT1G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7KGM2] MPQNCIAPRPEEDGGVMVQGLPDMSETQSKSVQPGLQMSMYPITLKFEEVVYKVKIEQTSQCMGSWKSKEKTILNGITGMVCPGEFLAMLGPSGSGKTTLLSALGGRLSKTFSGKVMYNGQPFSGCIKRRTGFVAQDDVLYPHLTVWETLFFTALLRLPSSLTRDEKAEHVDRVIAELGLTRCTNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAHRIVTTIKRLASGGRTVVTTIHQPSSRIYHMFDKVVLLSEGSPIYYGPATSAVEYFSSLGFSTSMTVNPADLLLDLANGIPPDSQKETSEQEQKTVKETLVSAYEKNISTKLKAELCNAESHSYEYTKAAAKNLKSEQWCTTWWYQFTVLLQRGVRERRFESFNKLRIFQVISVAFLGGLLWWHTPKSHIQDRTALLFFFSVFWGFYPLYNAVFTFPQEKRMLIKERSSGMYRLSSYFMARNVGDLPLELALPTAFVFIIYWMGGLKPDPTTFILSLLVVLYSVLVAQGLGLAFGALLMNIKQATTLASVTTLVFLIAGGYYVQQIPPFIVWLKYLSYSYYCYKLLLGIQYTDDDYYECSKGVWCRVGDFPAIKSMGLNNLWIDVFVMGVMLVGYRLMAYFALHRVKLR >fgenesh2_kg.1__331__AT1G04000.1 pep chromosome:v.1.0:1:1258667:1259587:-1 gene:fgenesh2_kg.1__331__AT1G04000.1 transcript:fgenesh2_kg.1__331__AT1G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKTMRLPPRRILTADKRKERDAFISSVTDKPPVIAVAKFTPPPPNLAPPPVNPISRKSSIAAAEPVGSNQLMLAGYLSHEFLTNGTLFGEQWNPARAQAEPRKVKPSHIIGPAEESEPKRKRYVEVANLLRSDGAHLPGIVNPTQLARFLKL >fgenesh2_kg.1__3320__AT1G31800.1 pep chromosome:v.1.0:1:14413356:14416684:1 gene:fgenesh2_kg.1__3320__AT1G31800.1 transcript:fgenesh2_kg.1__3320__AT1G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLSYTPISVKPVTYSRRSNFVVFASNSNGRDPSENNSVPDGVKSLEKVQEEKRRAELSARIASGAFTVRKSRMVYLRLGIPSNVLDFMFDWTGSDEDYLKVPEAKGSIQAVRNEAFFIPLYELFLTYGGIFRLTFGPKSFLIVSDPSIAKHILKDNAKAYSKGILAEILDFVMGKGLIPADGEIWRRRRRAIVPALHQKYVAAMISLFGEASDRLCQKLDAAALKGEEVEMESLFSRLTLDIIGKAVFNYDFDSLTNDTGVIEAVYTVLREAEDRSVSPIPVWDIPIWKDISPRQRKVATSLKLINDTLDDLIATCKRMVEEEELQFHEEYMNERDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLTTEPSVVAKLQEEVDSVIGDRFPTIEDMKKLKYTTRVMNESLRLYPQPPVLIRRSLENDMLGQYPIKRGEDIFISVWNLHRSPLHWDDAEKFNPERWPLDGPNPNETNQNFSYLPFGGGPRKCIGDMFASFENVVAIAMLIRRFDFQTAPGAPPVKMTTGATIHTTEGLRLTVTKRTKPLDIPPVPILPIEASRDEVSSALS >fgenesh2_kg.1__3322__AT1G31810.1 pep chromosome:v.1.0:1:14416595:14422925:-1 gene:fgenesh2_kg.1__3322__AT1G31810.1 transcript:fgenesh2_kg.1__3322__AT1G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:D7KGM6] MSLLSRFFYKRPPDGLLEFADRFLIHVFARRCWRIACTKSSCMKLSMIYTRNSRNQCDVIKIDIQCWVQGDVVLECVHMDLDPEREVMMFRVMFNTAFIRSNILMLNSDNLDILWEAKDHYPKGFRAEVLFGEVENASPQKVPTPIVNGDETGGLPLKLSRNGDDAALWLLKQLAAINDAKEFSRFRHKGSFYFNSPDSEEETNTSSAADSSDEGFDAIQRPHIRIPFDNDETDDITLSVTHESLEEPHEFSCHQHHEVPAKDSVDPLTLPSDPPSSVVHVTLLPPPPPPLPPPHFMSTTSFSPPPPPPPPPPLPSFSNRDPLTTSHQPTNKIPPPPPPPPPPPPFKSIPPPLAQPPPPRPPPPPPPPPPPSSRSIPPPSAPPPPPPPSFGNTRNKLQAQLPPPPPPPPPPIQATPAAKCPPPPPPPPPPTSHSGSIRVGPPSAPPPPPPPPPKANISNAPKPPPPPPLPPSSTRFGAPPPPPPPPPLSKTPTPPPPPLSKTPVPPPPPGLGRGTSSGPPPLGAKGSNAPPPPPPAGRGRASSGLGRGRGVSVPTAAPKKTVLKPLHWSKVTRAAKGSLWADTQKQENQPRAPEIDISELESLFSAVSDTTAKKSTGRRGSSISKPEKVQLVDLRRANNCEIMLTKIKIPLPDMLSAVLALDSSALDIDQVENLIKFCPTKEEMELLRNYTGDKEMLGKCEQFFMELMKVPRIEAKLRVFGFKITFASQVEELKSCLNTINAASKEVKESAKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLVGEKMPELLDFANDLVHLEAASKIELKTLAEEMQAATKGLEKVEQELMASENDGAISLGFRKVLKEFLDIADEEVKTLASLYSEVGRNADSLSHYFGEDPARCPFEQVTKILTLFMKTFIKSREENEKQAEAEKKKLEKEAIKEKSATKKDGADNDNDLIQQIHRHRT >fgenesh2_kg.1__3323__AT1G31812.1 pep chromosome:v.1.0:1:14424696:14425982:-1 gene:fgenesh2_kg.1__3323__AT1G31812.1 transcript:fgenesh2_kg.1__3323__AT1G31812.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding protein [Source:UniProtKB/TrEMBL;Acc:D7KGM7] MGLKEEFEEHAEKVNTLTKLPSNEDLLILYGLYKQAKFGNVDTSRPGMFSMKERAKWDAWKAVEGKSSEEAMNDYITKVKQLLEDAASSA >fgenesh2_kg.1__3326__AT1G31850.1 pep chromosome:v.1.0:1:14461776:14465444:1 gene:fgenesh2_kg.1__3326__AT1G31850.1 transcript:fgenesh2_kg.1__3326__AT1G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGKQSSQPEKGTSRILSLTFLFIAFCGCSFYLGGIFCSERDKIEVKDVTRTTTKAVASPKEPTVTPIQIKSVSFPECGSEFQDYTPCTDPKRWKKYGVHRLSFLERHCPPVYEKNECLIPPPDGYKPPIRWPKSREQCWYRNVPYDWINKQKSNQHWLKKEGDKFHFPGGGTMFPRGVSHYVDLMQDLIPEMKDGTVRTAIDTGCGVASWGGDLLDRGILSLSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNAFDMAHCSRCLIPWTEFGGIYLLEIHRIVRPGGFWVLSGPPVNYNRRWRGWNTTMEDQKSDYNKLQSLLTSMCFKKYAQKDDIAVWQKLSDKSCYDKIAKNMEAYPPKCDDSIEPDSAWYTPLRPCVVAPTPKVKKSGLGSIPKWPERLNVAPERIGDVHGGSASGLKHDDGKWKNRVKHYKKVLPALGTDKIRNVMDMNTVYGGFAASLIADPIWVMNVVSSYSANSLPVVFDRGLIGTYHDWCEAFSTYPRTYDLLHLDSLFTLESHRCEMKYVLLEMDRILRPSGYVIIRESSYFMDAITTLAKGMRWSCRREETEYAVKSEKILVCQKKLWFSSNQTS >fgenesh2_kg.1__332__AT1G04010.1 pep chromosome:v.1.0:1:1261398:1266477:-1 gene:fgenesh2_kg.1__332__AT1G04010.1 transcript:fgenesh2_kg.1__332__AT1G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylcholine-sterol O-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KDA5] MGANSKSVTASFTVIAVFFLICGGGAAVEDETEFHGDYSKLSGIIIPGFASTQLRAWSILDCPYTPLDFNPLDLVWLDTTKLLSAVNCWFKCMVLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSTVWKEWLKWCVEFGIEANAIVAVPYDWRLSPTKLEERDLYFHKLKLTFETALKLRGGPSIVFAHSMGNNVFRYFLEWLRLEIAPKHYLEWLDQHIHAYFAVGAPLLGSVEAIKSTLSGVTFGLPVSEGTARLLSNSFASSLWLMPFSKNCKGDNTFWTHFSGGAAKKDKRVYHCDDEEYQSKYSGWPTNIINIEIPSTGVTETALANMTSMECGLPTLLSFTARELADGTLFKAIEDYDPDSKRMLHQLKKLYHDDPVFNPLTPWERPSIKNVFCIYGAHLKTEVGYYFAPSGKPYPDNWIITDVIYETEGSLVSRSGTVVDGNAGPITGDETVPYHSLSWCKNWLGPKVNITMAPQPEHDGSDVHVKLNVEHEHGSDIIANMTKAPRVKYITFYEDSESIPGKRTAVWELDKTNHRNIVRSPVLMRELWLQMWHDIQPGAKSKFVTKAKRGPLRDTDCYWDYGKACCAWQEYCEYRYSFGDVHLGQSCRLRNTSATMLLQYI >fgenesh2_kg.1__3330__AT1G31880.1 pep chromosome:v.1.0:1:14478344:14482969:1 gene:fgenesh2_kg.1__3330__AT1G31880.1 transcript:fgenesh2_kg.1__3330__AT1G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIP3_1/NLM9 [Source:UniProtKB/TrEMBL;Acc:D7KGN6] MFSCITCTKADGGEEVENGARGGTTPNTKEAVKSLTIQIKDMALKFSGAYKQCKPCTGSSSSPLKKGHRPFPDYDNASEGVPYPYMGGSAGSTPAWDFTNSSHHPAGRLESKFTSIYGNDRESISAQSCDVVLDDEVPKEWMAQVEPGVHITFASLPTGGNDLKRIRFSREMFDKWQAQRWWGENYDKIVELYNVQRFNRQALQTPARSEDQSQRDSTYSKMDSARESKDWTPRHNNFRTPGSVPHHFYGGSSNYGPGSYHGGPPMDAARTTTSSRDDPPSMSNASEMQAEWIEEDEPGVYITIRQLADGTRELRRVRFSREKFGEVHAKTWWEQNRERIQTQYL >fgenesh2_kg.1__3333__AT1G31910.1 pep chromosome:v.1.0:1:14490157:14496212:1 gene:fgenesh2_kg.1__3333__AT1G31910.1 transcript:fgenesh2_kg.1__3333__AT1G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GHMP kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KGN8] MAVVASAPGKVLMTGGYLVLDKPNAGLVLSTNARFYAIVKPINEDVKPESWAWKWTDVKLTSPQLSRESMFKLSLKHLTLQSVSASDSRNPFVEHAIQYAIAAAHLATDKDKEALHKLLLQGLDITILGSNDFYSYRNQIESLGLPLTPESLGTLAPFASITFNAAESNGANSKPEVAKTGLGSSAAMTTAVVAALLHYLGVVDLSDPCKEGKFGCSDLDVIHMIAQTSHCLAQGKVGSGFDVSCAVYGSQRYVRFSPEVLSFAQVAVTGLPINEVIGTILKGKWDHERTEFSLPPLMNLFLGEPGSGGSSTPSMVGAVKKWQMSDPEKARENWQKLSDANLELETKLNVLSKLAKDHWDVYLGVIKSCSVLTSEKWVLHATEPINEAIIKELLEAREAMLRIRILMRQIGEAASVPIEPESQTQLLDSTMNAEGVLLAGVPGAGGFDAIFAITLGDSGSKLTQAWSSHNVLALLVREDPHGVCLESGDPRTTGITSGVSSILLV >fgenesh2_kg.1__3334__AT1G31920.1 pep chromosome:v.1.0:1:14496311:14498285:-1 gene:fgenesh2_kg.1__3334__AT1G31920.1 transcript:fgenesh2_kg.1__3334__AT1G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KGN9] MIKTPTILQSLLASRDDFTHNPEVNNCGGKEQECLYLLKRCNNIDEFKQVHARFIKLSLFCSSSFSASSVLAKCAHSGWENSMNYAASIFRGIDDPCTFDFKTMIRGYVNEMSFEVALCFYNEMMERGIEPDNFTYPCLLKACTRLKAIREGKQIHGHVFKLGLEADVFVQNSLINMYGRCGEMELSSTVFEKLEFKSAASWSSMFSACVGMGMWSECLMLFREMCRETNLKAEESGMVSALSACANTGALNLGMSIHGFLLRNISELNIIVKTSLVDMYVKCGCIDNALHIFQKMEKRNNLTYSAMISGFALHGEGESALRMFSEMIKEGLEPDHVVYVSLLNACSHSGLVKEGRRVFGEMLKEGKVEPTAEHYGCLVDLLGRAGLLEEALETIQSIPIEQNDVVWRSFLSQCRVHQNIELGQIAAQELLKLCSHNPGDYLLISNMYSQAHMWDDVARSRTEIAIKGLKQTPGFSTVKVKGKTHRFVSQDRSHPQCKEIYKMLHQMEWQLKFEGYSPDLTQILLNVDEEEKKERLKGHSQKVAIAFALLYTPPGSIIKIARNLRMCSDCHTYTKKISMIYEREIVVRDRNRFHLFKGGTCSCKDYW >fgenesh2_kg.1__3336__AT1G31930.1 pep chromosome:v.1.0:1:14500262:14504271:1 gene:fgenesh2_kg.1__3336__AT1G31930.1 transcript:fgenesh2_kg.1__3336__AT1G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extra-large GTP-binding protein 3 [Source:UniProtKB/TrEMBL;Acc:D7KGP0] MEKKDEGESWKEMVRKMLPPGAPLPEDPSEFDYSIALEYTGPPPVHDIPRVSPVDVNPRVNNPIPLPVSRIAGGVTSSSGGSPASSESVVSVLHNNPESSSGSASVSPVSGHRQNGNQIRRPVVKFKPVDDHDRIEGREAAEEENNVEAETERERKVHECTASTKRRKKNKKRECSRCGKAKWENKETCIVCDEKYCGNCVLRAMGSMPEGRKCVSCIGQAIDESKRSKLGKHSRVLSRLLSPLEVKQIMKAEKECTANQLRPEQLIVNGYPLKPEEMAELLNCLLPPQKLKPGRYWYDKESGLWGKEGEKPDRVISSNLNFTGKLSPEASNGNTEVYINGREITKLELRILKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFMCALFSLPVPQGQPRGTVQPPSNYVTVPNYIEHKKIQKLLLLGIEGSGTSTIFKQAKFLYGNKFSVEELQDIKLMVQSNMYRYLSILLDGRERFEEEALSHTRGLNAVEGDSGGEETNDDGTVTTPQCVYTLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQATYRRKDELHFLPDVAEYFLSRAMEVSSNEYEPSERDIVYAEGVTQGNGLAFMEFSLSEHSPMSESYPENPDALSSPQPKYQLIRVNAKGMNDSCKWVEMFEDVRAVIFCISLSDYDQISITPESSGTVQFQNKMIQSKELFESMVKHPCFKDTPFILILNKYDQFEEKLNRAPLTSCDWFSDFCPVRTNNNVQSLAYQAYFYVAMKFKLLYSSITGQKLFVWQARARDRANVDEGFKYVREVLKWDEEKEESYLNGGGEDSFYSTDMSSSPYRPEE >fgenesh2_kg.1__3337__AT1G31935.1 pep chromosome:v.1.0:1:14504697:14505180:1 gene:fgenesh2_kg.1__3337__AT1G31935.1 transcript:fgenesh2_kg.1__3337__AT1G31935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLKLSSSFTTLLLVVLLTFHLVLVSADSTRTQVNYAMDREATEGNQAGGLHQRARINHGSYRGPRKHLVNPTVADVYTVPESSV >fgenesh2_kg.1__3338__AT1G31940.1 pep chromosome:v.1.0:1:14505340:14506638:-1 gene:fgenesh2_kg.1__3338__AT1G31940.1 transcript:fgenesh2_kg.1__3338__AT1G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRFSVGRSSHRRTQSAIDDKEVLAPNSDVAAATTTTATTATHGIEVATEFKPVEHPVEPLDNHLPIQCPLPEPSILNDGRIWKERVSASMMRKGDLQTAKDEAASESDGSAPKPPRPPQPNRSILPSLSAPEHNLLNLLEECSTMPPVTSKNG >fgenesh2_kg.1__333__AT1G04020.1 pep chromosome:v.1.0:1:1266782:1270463:1 gene:fgenesh2_kg.1__333__AT1G04020.1 transcript:fgenesh2_kg.1__333__AT1G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATBARD1/BARD1 [Source:UniProtKB/TrEMBL;Acc:D7KDA6] MAEFTNMLMNPWVLHLQKLELELNCPLCLKLLNRPVLLPCDHVFCDSCVHKSSQVETGCPVCKSKHSKKSRRNLQFMESVISIYKSLNAAVSVHLPQLQIPNDCNYKDDAPKHGGSEDSEMTDKDVSKRSGGTDSSCRDGSPLPTSEESDPRPKHQDWTAEQLRDHLLLYEFESENDAGNHTPESYTGQTANNVRDISASEQPANAARKRICGDSFIQESNPNPKTQDQTLLRLMESLRSDDPTDYVKSQKHQPLPKSHTEQDTKRKRDITASEAMENHLKVPKREKNLMQKAADIDFNDKCSANSDDQLIEKISKASDKPPSNITICGFCQSAMVSEATGEMLHYSRGRPVVGDDIFHSNVIHVHSACIEWAPQVYYEGDTVKNLKAELARGMKIKCTKCSLKGAALGCYVKSCRRSYHVPCAREISRCRWDYEDFLLLCPAHSSVKFPNDKSGHRVSRAEPLPKTNPAELCSLEKTPAITKELVLCGSALSKSDKNLMESLAVKFNATISRYWNPSVTHVIASTDEKGACTRTLKVLMGILNGKWIVKADWMKASLEASQPVDEEPFEIQIDTQGCQDGPKTARLRAETNKPKLFDGLKFYFFGEFDKGYKEDLQNLVKVAGGTALNTEDELGAESSNTVNDQRSSSIVVYNIDPPLGCALGEEVTIIWQRANDAEALASQTGSRLVGHTWLLASIAGYKLHPVIS >fgenesh2_kg.1__3340__AT1G32030.1 pep chromosome:v.1.0:1:14524986:14525993:1 gene:fgenesh2_kg.1__3340__AT1G32030.1 transcript:fgenesh2_kg.1__3340__AT1G32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7KHE7] MPTYYNDHLAAAEKDISSSKFCNLVDASVMLYEEKHRKLLPKKVKSRGHAKKKKKQEKESDKRFFHLFPKRRRTSVVTVRNPEQNQQNLDRVSTSSSLLDLNTIPDDSSDPRNPLQGLSSSSSSCLGADNKRKTPQNPPSSSTLLVEYNTEMTNPPNPKYESTKKAKVAPYSWIGKEAPEWLVKMMGTMQGSEGPRLIYQKTLTETDIKPDQSRLLVPFNTLICNDFLTPVESRILLEEDDTKGVGATLVDPWEVKWGVILKKRKMKKNSGKVTLNYAIICGWNEIIEANVLEEGDDISIWSFRRGRNGILCFALVLPPPPDMA >fgenesh2_kg.1__3341__AT1G32050.1 pep chromosome:v.1.0:1:14551143:14553946:1 gene:fgenesh2_kg.1__3341__AT1G32050.1 transcript:fgenesh2_kg.1__3341__AT1G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:D7KHF3] MNRHHDPNPFDEEEEIVNPFSKGGGRVPAASRPVEFGQSLDATVDIPLDNMNDSSQKQRKLADWEAELRKKEMDIKRREDAIAKSGVQIDDKNWPPFFPIIHHDIAKEIPVHAQKLQYLAFASWLGIVLCLVFNVIATMVCWIKGGGVKIFFLATIYALIGCPLSYVLWYRPLYRAMRTDSALKFGWFFFTYLIHIGFCIVAAIAPPIFFHGKSLTGVLAAIDVISDSLLAGIFYFIGFGLFCLESLLSLWVLQKIYLYFRGNK >fgenesh2_kg.1__3344__AT1G32070.2 pep chromosome:v.1.0:1:14557228:14558753:-1 gene:fgenesh2_kg.1__3344__AT1G32070.2 transcript:fgenesh2_kg.1__3344__AT1G32070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIPISSSSSSSSSILPPNSYPSNHHSLFFSNLTYPIPHGSRKLKTLRLRANFWESIRSGFVKNNNSTQLVEPPSIVEEEEETEPLLPVEFTLVERNLEDGLIEEIIFSSGGEIDVYDLQGLCDKVGWPRRPLVKLAAALKNSYMVATLHSVMKSSSDSDSSEGGNEEKQQEKKLIGMARATSDHAFNATIWDVLVDPEYQGQGLGKALVEKLVRALLQRDIGNISLFADSQVVDFYQNLGFEADPEGIKGMFWYPK >fgenesh2_kg.1__3345__AT1G32080.1 pep chromosome:v.1.0:1:14561505:14564015:-1 gene:fgenesh2_kg.1__3345__AT1G32080.1 transcript:fgenesh2_kg.1__3345__AT1G32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLATPLFSPLAFSPARNNLSCSKIRFNSKHGRILNSNGAQKLNLSKQRFLQMGSSKEMTFERKLSVQAMDGAGTGNTSTISRNVFAISHLLVSLGIILAADYFLKQAFVAASIKFPSALFGMFCIFSVLMIFDSVVPAAANGLMNFFEPAFLFIQRWLPLFYVPSLVVLPLSVRDIPAASGVKICYIVDGGWLASLCVAGFTAIAVRKMVKTEMTEAEPMAKPSPFSTLELCSWSGIFVVSFVGALFYPNSLGTSARTCLPFLLSSTVLGYIVGSGLPSSIKKVFHPIICCALSAVLAALAFGYASGSGLDPVLGNYLTKVASDPGAGDILMGFLGSVILSFAFSMFKQRKLVKRHAAEIFTSVIVSTVFSLYSTALVGRLVGLEPSLTVSILPRCITVALALSIVSLFEGTNSSLTAAVVVVTGLIGANFVQVVLDKLRLRDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYALTGIFGSLLCSVPAVRQSLLAVVG >fgenesh2_kg.1__3346__AT1G32090.1 pep chromosome:v.1.0:1:14564564:14568684:-1 gene:fgenesh2_kg.1__3346__AT1G32090.1 transcript:fgenesh2_kg.1__3346__AT1G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIGVSALINLFGAFLFLIAFAVLRIQPINDRVYFPKWYLTGERNSPRRSDRTLVGKFVNLNYKTYFTFLNWMPQAMKMSESEIIRHAGLDSAIFLRIYTLGLKIFAPVMVLALVVLVPVNVSSGTLFFLKKELVVSNIDKLSISNVQPKSSKFFFHIGVEYIFTFWACFMLYREYNNVAIMRLQYLASQRRRPEQFTVVVRNVPDMPGHSVPDTVDQFFKTNHPEHYLCHQAVYNANTYAKLVKQRAKLQRWFDYYVLKHQRNPHKQPTCRTGFLGLWGKKVDSIEYYKQQIKEFDHNMSLERQKVLKDSKLMLPVAFVSFDSRWGAAVCAQTQQSKNPTLWLTSSAPEPRDIYWQNLAIPFISLTIRKLVIGVSVFALVFFYMIPIAFVQSLANLEGLERVAPFLRPVTRLDFIKSFLQGFLPGLALKIFLWILPSVLLIMSKIEGYIALSTLERRAAAKYYYFMLVNVFLGSIIAGTAFEQLHSFLHQSPTQIPRTIGVSIPMKATFFITYIMVDGWAGIAGEILRLKPLVIFHLKNMFLVKTEEDRVRAMDPGFVDFKETLPSLQLYFLLGIVYTAVTPILLPFICIFFAFAYFVYRHQIINVYNQQYESCGAFWPHVHGRIIASLLISQLLLMGLLASKKAADSTPLLIILPILTLSFHKYCKHRFEPAFRQYPLEEAMAKDKLEKETEPELNMKADLADAYLHPIFHSFEKEVELSSSSSSEKETHQEETPEVRVDKHETQSSSPVTELGTPSHHHYVYNSTSPSSHYTSAYEQSSSQYEYHYNTHQYEDHEYRYK >fgenesh2_kg.1__3347__AT1G32100.1 pep chromosome:v.1.0:1:14576383:14578082:-1 gene:fgenesh2_kg.1__3347__AT1G32100.1 transcript:fgenesh2_kg.1__3347__AT1G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKRTEKTRVLVVGATGYIGKRIVRACLAEGHETYVLQRPEIGLDVEKVQLLLSFKKLGARIVEGSFSDHQSLVSAVKLVDVVVSAMSGVHFRSHNILVQLKLVEAIKEAGNVKRFLPSEFGMDPPRMGHALPPGRETFDQKMEVRQAIEAAGIPYTYIVGACFAAYFAGNLSQMVTLLPPKEKVNIYGDGNVKVVFADEDDIAKYTAKTLNDPRTLNKTVNIRPPDNVLTQIELVQIWEKLTGKELEKTNIAAEDFLANIEQMEIPHQAGIGHFYHIFYEGCLTDHEVGEDEEASSLYPDVKYKRMDDYLRLFL >fgenesh2_kg.1__3349__AT1G32130.1 pep chromosome:v.1.0:1:14599539:14602428:-1 gene:fgenesh2_kg.1__3349__AT1G32130.1 transcript:fgenesh2_kg.1__3349__AT1G32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEDDPYRDVDGEPIVDFDDFENDREQSTEPLQDFDEDLADDIGDWDGEGSQTPVYDNDSVAKLRKRLVKKASSERETIEVPELIDEDVEDAEFDEFMGGRGGGGGTDYDDKVGRKRKKEKERSSSGSGKEKRHKFPNRGERKSEEIDEMWKSIAHNPENDEEGVRTMDDDNFIDDTGLDPSERYGGDAGDRSPTHYPQAEEGEDDDEVNNLFKMGKKKKKTERNPAEIALFVENVMAELEVTAEEDAELNRRGKPAINKLKKLSLLTDVLGKKQLQTEFLDHGVLTLLKNWLEPLPDGSLPNINIRAAILRVLTDFPIDLDQYDRREQLKKSGLGKVIMFLSKSDEETNSNRRLAKDLVDKWSRPIFNKSTRFEDMRNLDEDRVPYRRPPVKKPSNKATMESRDGDFDLEIRERKTGLTSGQSSRGDRQMTMRPEATPLDFLIRPQSKIDPDEIRARAKQGSQDQRRVKMNKKLQQLKGTKKKRLQATKVSVEGRGMIKYL >fgenesh2_kg.1__3350__AT1G32150.1 pep chromosome:v.1.0:1:14614592:14617419:1 gene:fgenesh2_kg.1__3350__AT1G32150.1 transcript:fgenesh2_kg.1__3350__AT1G32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEMEKSGKEKETKTPPPSSSSSAPATAVSQEPSSSVSAGVATQDWSGFQAYSPMPPHGYVASSPQPHPYMWGVQHMMPPYGTPPHPYVAMYPPGGMYAHPSLPPGSYPYSPYAMPSPNGMAEASGNTGSVTEGDAKPSDGNEKLPIKRSKGSLGSLNMIIGKKNEAGKNSGASANGACSKSAESASDGSSDGSDANSQNDSGSRHNGKDGETASESGGSAHGPPRNGSNLPVNQTVAIMPVSATGVPGPPTNLNIGMDYWSGHGNVSAAVPGVVVDGSQSQPWLQVCDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEVLNGENSSLRAEINKLRSQYEELLAENSSLKNKFSSVPSLEGGDLDKNEQEPQRSTHQDVA >fgenesh2_kg.1__3351__AT1G32160.1 pep chromosome:v.1.0:1:14618009:14619977:1 gene:fgenesh2_kg.1__3351__AT1G32160.1 transcript:fgenesh2_kg.1__3351__AT1G32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIGSSHGRRLCFNHYLSDSFSKFLSPSSSPSLLPQRCHSFCIPKLGSSSINENGRGRIVTVRASGEEDSNGNFAPLAPVELESPVGQLLEQILRTHPHLLPVTVDEQLEKFAAENETLKADSSATQDILQKRISEVRDKERRKTLAEIIYCLVVQRFVEKGISMIPQIKPTSDPAGRIDLWPNQEEKLEVIHSADAFEMIQSHLSSVLGDRTAVGPLSSIVQIGKIKLGKLYAASAMYGYFLRRVDQRYQLERTMNTLPKRPEKTRERFEEPSPPYPLWDPDSLIRIQPEEYDPDEYAIQRNEDESSSYGLRSYVTYLDSDTLQRYATIRSKEAMTLIEKQTQALFGRPDIRILEDGKLDTSNDEVLSLSVSGLAMLVLEAVAFGSFLWDSESYVESKYHFLKA >fgenesh2_kg.1__3352__AT1G32170.1 pep chromosome:v.1.0:1:14624676:14627198:1 gene:fgenesh2_kg.1__3352__AT1G32170.1 transcript:fgenesh2_kg.1__3352__AT1G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7KHG5] MSKSSYNNIFFIIILILGLGLRSSLAFTNLNSLSFEESLSPLFGDANLVRSPDDLSVRLLLDRYTGSGFISSNMYQHGFYSSMIKLPADYTAGVVVAFYTSNGDVFEKTHDELDIEFLGNIKGKPWRFQTNLYGNGSTHRGREERYRLWFDPSKEFHRYSILWTPHKIIFWVDDVPIREVIRNDAMGADYPAKPMALYATIWDASDWATSGGKYKANYKFAPFVAEFKSFSLDGCSVDPIQEVPVDCSDSVDFLESQDYSSINAHQRAAMRRFRQRFMYYSYCYDTLRYPEPLPECVIVPAEKDRFKETGRLKFGGTEARERRRNRRQQRRPEIEIESDPDDRKLL >fgenesh2_kg.1__3353__AT1G32180.1 pep chromosome:v.1.0:1:14640543:14643690:-1 gene:fgenesh2_kg.1__3353__AT1G32180.1 transcript:fgenesh2_kg.1__3353__AT1G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGESPIRLPRISHVSNSGSDFGCSSDHTSYIVHIPPTPDNNPAQVSIVLREFDFNPASVLVPSAPGDIDSGSSRKDNEPDLTDVRISEEEEEDDTLLYKISHPLTRIVKISPIIIALYRILIVVRVVSLVLFLFWRIRNPNNKAIWLWLLSVICEIWFAFSWLLDQIPKLFPVNHATDIEALKATFESPDPNNLTVKSDLPGIDVFVSTADAEKEPPLVTANTILSILSVDYPVEKLSCYISDDGGSLVTFEAMAEAASFAKIWVPFCRKHRIEPRNPESYFGLKRDPYKDKVRHDFVRERRYVKRGYEEFKVRVNALPHSIRRRSDAYNSKEEIKALEKWKHWKVKVEEDQVKEPRPALVAPKATWMSDGTHWPGTWAVPCPHHSRGDHVSIIQVLLDPPGDEPVEGKGGEGRALDFEGVDMRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSRAFRDGICFMMDHDGDHVSYVQFPQRFEGIDPSDRYANNNTVFFDINLRALDGIQGPMYVGTGCLFRRTALYGFNPPDVFVVEDCFPRIKKRSRATVASEPEHYIDDEDEDRFDIGLIRKQFGSSSMLVSSVKVAEFQGRPLATVYSSRRGRPPGSLTGSREPLDFATVNEAVNVISCWYEDKTEWGFNVGWIYGSVTEDVVTGFRMHEKGWRSFYCVTEPDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAIFAGPKLKLLQRIAYLNVGIYPFTSIFILTYCFLPPLSLFSGHFVVETLTGSFLIYLLIITLSLCGLAVLEVKWSGISLEEWWRNEQFWLIGGTSAHLVAVLQGILKVIAGIEISFTLTAKSSTGGDDEDDEFADLYLFKWTALMIPPLTIIILNIVAILFAVCRTVFSENPQWSNLLGGTFFASWVLLHMYPFAKGLMGRGGRTPTIVYVWSGLIAICLSLLYITIKNSEIDGGWFMLA >fgenesh2_kg.1__3355__AT1G32190.1 pep chromosome:v.1.0:1:14649442:14652507:-1 gene:fgenesh2_kg.1__3355__AT1G32190.1 transcript:fgenesh2_kg.1__3355__AT1G32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMFSHLAAKFAFFPPSPPTYHLTKTPDGKLSAVSSASSSSSTFPSAGDPSLDVKVVKTRRGNKVTAFYLRNPNARLTLLYSHGNAADLGQLFDLFVQLKVNLRVNLMGYDYSGYGASTGKPSEYDTYADIEAVYECLQTDYGVGQEDLILYGQSVGSGPTLHLASKLPRLRGVVLHSGILSGLRVLCHVKFKFCCDIYSNVNKIKKVKCPVLVIHGTEDDVVNWLHGNRLWKMAKEPYEPLWIKGGGHCNLEIYPDYIRHLYRFIQDMENTTTKSRLKKIWQEIRRRDESTGCCSSGLCRPSCSCPKPRCPKPRCPKPSCSCDCGCSKCGCFKCSCPSLKGCFSCYKKPSCFSRCCCPKFKCSSCFGKPECPKCSCWKCLKCPETECCWSSCCCPGCFSWLCCCGGGRRKEGERRGTGTTTVAKSEG >fgenesh2_kg.1__3356__AT5G35207.1 pep chromosome:v.1.0:1:14660161:14660866:-1 gene:fgenesh2_kg.1__3356__AT5G35207.1 transcript:fgenesh2_kg.1__3356__AT5G35207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVDERDGYTQFDVSEFVHPESASSSQVDFTYARDMPSNLGNMMATRARVRDRIKHEELKADLVEHVWQHYYQNQS >fgenesh2_kg.1__3361__AT5G34837.1 pep chromosome:v.1.0:1:14662086:14663026:-1 gene:fgenesh2_kg.1__3361__AT5G34837.1 transcript:fgenesh2_kg.1__3361__AT5G34837.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KHH2] MAHQIFSKDYGCKFTCEHAWRELRYDQKWIGQSTHGKAKRRKCEADSDFVGVEDKEARPIGVKAAKAAAKAKDKAKL >fgenesh2_kg.1__3362__AT1G32200.1 pep chromosome:v.1.0:1:14670242:14673505:-1 gene:fgenesh2_kg.1__3362__AT1G32200.1 transcript:fgenesh2_kg.1__3362__AT1G32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KHH3] MTLTFSSSAATVAVAAATVTSSARVPVYPLASSTLRGFVSFRLTAKKLFLPPLRSRGGVSVRAMSELVQDKESSVSASIALNETAGETPSELNHSRTFLDARSEQDLLSGIKKEAEAGRLPANVASGMEELYWNYKNAVLSSGASRADETVISNMSVAFDRMLLGVEDPYTFNPYHKAVREPFDYYMFVHTYIRPLIDFKNSYVGNASIFSELEDKIRQGHNIVLISNHQSEADPAVISLLLEAQSPFIGENIKCVAGDRVITDPLCKPFSMGRNLICVYSKKHMNDDPELVDMKRKANTRSLKEMATMLRSGGQLIWIAPSGGRDRPNPSTGEWFPAPFDSSSVDNMRRLVEHSGAPGHIYPMSLLCYDIMPPPPQVEKEIGEKRLVGFHGTGLSIAPEINFSDVTADCENPNEAKEAYSQALYKSVNEQYEILNSAIKHRRGVEASTSAVSLSQPWN >fgenesh2_kg.1__3365__AT1G32220.1 pep chromosome:v.1.0:1:14676644:14678458:1 gene:fgenesh2_kg.1__3365__AT1G32220.1 transcript:fgenesh2_kg.1__3365__AT1G32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic/ coenzyme binding protein [Source:UniProtKB/TrEMBL;Acc:D7KHH5] MTSFLSFSAISAHPPTFSGASFRPRSLSPRLFKSCVKCTYAEAGLSSDSWSAPIDIVADVKSERVVVLGGNGFVGSAICKAAISNGIEVVSVSRSGRPNFQDSWLDQVTWVTGDVFYLNWDEVLLGATAVVSTIGGFGNEEQMKRINGEANVTAVNAAKDFGVPKFVLITVHDYNLPPFILSSGYFTGKRNAEAELLSKYPTSGVVLRPGFIYGKRKVNGIEVPLDLVGEPLDKIYDSAERFIRPLRSLPASDLILAPPVNVDDLALAVINAVKDDGFFGIFTIEQIKEAAAKMRV >fgenesh2_kg.1__3367__AT1G32230.1 pep chromosome:v.1.0:1:14682789:14686792:1 gene:fgenesh2_kg.1__3367__AT1G32230.1 transcript:fgenesh2_kg.1__3367__AT1G32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:D7KHH8] MEAKIVKVLDSRCEDGFGKKRKRAASYAAYVTGVSCAKLQNVPPPNGLCQIPDKRRRLEGENKLSAYENRSGKALVRYYTYFKKTGIAKRVMIYENGEWNDLPEHIICAIRNELDEKRAAIEFELCGHNFILDFLHMHRLDLGTGAKTPLAWIDIGGKCFFPEIYESDERTNGCHHNCVEDPKQNAPHDIKLRLEIDVNGGESPRLNLEECSDESGDNMDDVPLAQRSSNEHYDDEATEDSCSRKLEAAVSKWDETDAIVSGGKLTGTEVLDKDAVKKMFAVGTASLGHVAVLDVGRFSSEIAEARLALFQKQVEITKKHRGDANVRYAWLPAKREVLSAVMMQGLGVGGAFIRKSIYGVGIHLTAADCPYFSARYCDVDENGVRYMVLCRVIMGNMELLRGDKAQFFSGGEEYDNGVDDIENPKNYIVWNINMNTHIFPEFVVRFKLSNLPNAEGNLIAKRDNSGVTLEGPKNPPPQVESNHGAGGSGSANSVGSSTTRPKSPWMPFPTLFAAISHKVAEKDMSLINADYQQLRDKKMTRAEFVRKLRVIVGDDLLRSTITTLQNQPKSKEIPGSIRDHEEGAGGLL >fgenesh2_kg.1__3368__AT1G32240.1 pep chromosome:v.1.0:1:14697372:14702487:-1 gene:fgenesh2_kg.1__3368__AT1G32240.1 transcript:fgenesh2_kg.1__3368__AT1G32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLQISPPNSKPTSTWQRRRSTTDQEDHEELDLGFWRRALDSRTSSLVSNSSSKTINHPFQDLSLSNNSHHQQQQHHHHHPQLLPNCNGSNILTSFQFPTQQQQQHLQGFLARDLNTHLRPIRGIPLYHNPPPHHHRPPPPCFPFDPSSLIPSSSSSSPALTGNNNSFNTSSVSNPNYHNHHHQTLNRARFMPRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKAAASSGQSDVYENGSSGDNNSDDWMFDMNRKSRDSEELTNPLEKSNGLWTNSSGEARLHGKLIDNVAEIMLPSEKELDGKCSSYERISSEEMSSSSISGTSPFKPNLEFTLGRSH >fgenesh2_kg.1__336__AT1G04040.1 pep chromosome:v.1.0:1:1272516:1274002:-1 gene:fgenesh2_kg.1__336__AT1G04040.1 transcript:fgenesh2_kg.1__336__AT1G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase class B family protein [Source:UniProtKB/TrEMBL;Acc:D7KDA8] MFLSLALASLFVGVVSAGDWNILNQLKGLGSSSSQNGIVSKGIKTDLKGYCESWRINVEVHNIRNFDVVPQECVSHIEDYMTSSQYKDDVARTVDEVILHFGSMCCSKTKCDGMDAWIFDVDDTLLSTIPYHKKNGFFGGEKLNSTKLEDWMKMKKAPAVPHMKKLYHEIRERGIKIFLISSRKEYLRSATVDNLIQAGYYGWSNLMLRGLEDEQKEVKQYKSEKRTWLMSLGYRVWGVMGDQWSSFAGCPLPKRTFKLPNSIYYVA >fgenesh2_kg.1__3370__AT1G32260.1 pep chromosome:v.1.0:1:14724506:14725763:-1 gene:fgenesh2_kg.1__3370__AT1G32260.1 transcript:fgenesh2_kg.1__3370__AT1G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWEITLGTAYFLGLRRTYRLALKIQRRIVSPKHPKIRHFLHRRTRKIFDVAISVHKNIQERDIEVGRNLGNWILRWLDRMKPAAQIRTRPELPHNSNSNMGKAKRLTELSQPKSHTNTTQTPQNRESGRHLFSSLNHFRHKPFPSVSMMIQPPRPNGTTTQYRHYSGGLAGSLIQPSYVTGGRFDGVIRKDILQWIAQR >fgenesh2_kg.1__3374__AT1G32330.1 pep chromosome:v.1.0:1:14741980:14745230:-1 gene:fgenesh2_kg.1__3374__AT1G32330.1 transcript:fgenesh2_kg.1__3374__AT1G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHSFA1D [Source:UniProtKB/TrEMBL;Acc:D7KHI8] MDGVTSKVTTSDGGGESMEIKPSPQPQPAAILSSNAPPPFLSKTYDMVDDPATDSIVSWSDTNNSFIVWDPPEFARDLLPKNFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTITRRKPAQGHGHGHPQSQNSNGQNSSVSACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQSTDNQLQTMVQRLQGMENRQQQLMSFLAKAVQSPHFLSQFLQQQNQQNERRISDTNKKRRFKRDGIVRKNDSASPDGQIVKYQPPMHEQAKAMFKQLMKMEPYKTGDDGFLLGNGTSTTEGTEMETSSNHASGITLQEMPTASEIQSSSPSIGTTPENVSAVPEGTENCIPSPDDLTLPEFTHMLPENNAEKPPENFMEPNMGGSSPLLDPDLLINFDIDDFPMDPDMGPVDYKLLEDLLMSSPVPDNMDFTPVDNETEQKQNGWDKTKQMDNLTQQMGLLSPETIDLSRQNP >fgenesh2_kg.1__3379__AT1G32361.1 pep chromosome:v.1.0:1:14806671:14807899:1 gene:fgenesh2_kg.1__3379__AT1G32361.1 transcript:fgenesh2_kg.1__3379__AT1G32361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLTFLLISLFPTDITLPTRQPQNKPFLLPQATYETSHNISDPTVSLHGLKMSLSTTETDNFKPVHTLVSSPVTIVLTGSLLFIIFTGFFSFFFCGCLFRKLMKIWNNHRNRNRNRPSNLIQPSNPPENLGLDSKIIESFPEYPYSVKDHGTDQCSICLTEFMDDDTIRLISTCNHSFHTICIDLWFEGHKTCPVCRRELDVEDRTSLEKPIEAPEIDLVRSEIHDEPLPRDTLTIIVHEEHPTTTIGSLEHTDEIESYERRMKASNLRFWRSHSTGHSIVVKTENEQEEEEEEKDEIKIHIEISGECQFEDHKMTLPNRKLYCVRGTYSVG >fgenesh2_kg.1__337__AT1G04050.1 pep chromosome:v.1.0:1:1275695:1279338:-1 gene:fgenesh2_kg.1__337__AT1G04050.1 transcript:fgenesh2_kg.1__337__AT1G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPKIKKACDAMKLLGISETKTRAFLRKLLKAYDNNWDFIEDEAYKVLLDAIFDEADAQSTEKKKKEEEKKSKNVATSRGRRKAPEPLVQDEEDDMDEDETPLKRRLRSKRGRCSEYNTNLSECSPKSQKPNKEDPKTQPEEEEEDEDDDVTELPPLKRYLRRSGERGLAMTVYNNASPSSSSRTSMEPKGVPPMLLIPADPIQDEKDSEADALIILNDEPNIDHKSVILGNCSAPMLEIEKSNIHVEERDRETKDNLNDTIAMDVSPSAIGESSEHKVAAASVELASSTSGEAKIYLSFAPATGETTNLCLPSMEDLRRAMEEKCLKSYKIVHPKFSVLGFMKDMCSCYIDLAKNSTSQSLETETICDISKAGDESGAAGISMGLVVVPECEISEDGLRAISNMKDITAGEENIEIPWVNEINDKVPSCFRYMRHSFVFQDAPVKFSLSSFSDEQSCSFSCIEDCLASEMSCNCAIAFDNVFAYSVNGLLKEEFLEARISEARDQRKQVLQFCEECPLERAKKVEILEPCKGHLKRGAIKECWIKCGCTKICGNRVIQRGIQNKLQVFFTPNGKGWGLRTLEKLPKGAFICEYIGEILTIPELYQRSFEGKLTCPFILDAHWGSEERLEDDKALCLDGTHYGNISGFLNHRCLDANLIEIPVQVETPDQHYYHLAFFTTRDIEAMEELTWDYGVDFNDDESLMKPFDCLCGSRFCRNKKRSKKIMQIMNKA >fgenesh2_kg.1__3380__AT1G32380.1 pep chromosome:v.1.0:1:14816852:14819639:1 gene:fgenesh2_kg.1__3380__AT1G32380.1 transcript:fgenesh2_kg.1__3380__AT1G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 2 [Source:UniProtKB/TrEMBL;Acc:D7KHJ9] MASLALTSPPAVKIPSYLSSSSSSLFSRSSISFRTTESRSRICVSGYAKCNLPKALNGNARIPIINETTIPKFFDSSRLEKSVSRNNTKLKLFSGTANPALSQEIAWYMGLELGKVSIKRFADGEIYVQLKESVRGCDVFLVQPTCTPTNENLMELLIMVDACRRASAKKVTAVIPYFGYARADRKTQGRESIAAKLVANLITEAGADRVLACDLHSGQSMGYFDIPVDHVYCQPVILDYLASKSISSEDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIVKGAALLHEEGAREVYACCTHAVFSPPAIERLSSGLLQEVIVTNTLPVAEKNYFPQLTILSVANLLGETIWRVHDDSSVSSIFL >fgenesh2_kg.1__3382__AT1G32400.1 pep chromosome:v.1.0:1:14820975:14823239:-1 gene:fgenesh2_kg.1__3382__AT1G32400.1 transcript:fgenesh2_kg.1__3382__AT1G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRGCLECLLKLLNFLLAVVGLGMIGYGIYLFVEYKRVTDNSVTFDSTNGDQSYVSFGRPMLMAVSLSSNVFDNLPKAWFIYLFIGIGVALFVISCCGCVGTCSRSVCCLSCYSLLLILLILVELGFAAFIFFDNSWRDELPSDRTGNFDTIYNFLRENWKIVRWVALGAVVFEALLFLLALIVRAANTPPEYDSDDEYIAPRQQIRQPFINRQAAPVTGVPVAPTLDQRPSRNDPWSARMREKYGLDTSEFTYNPSESHRFQQMPAQPNEEKGRCTIM >fgenesh2_kg.1__3389__AT1G32420.1 pep chromosome:v.1.0:1:14836424:14840695:1 gene:fgenesh2_kg.1__3389__AT1G32420.1 transcript:fgenesh2_kg.1__3389__AT1G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KHK3] MERGNEENNHKTSSPSSTQLLRCREISTGEKCVDVNIPLDLKVEILKKLPAKSLLRFQCVSKEWLSIISSRRDFIDSIVTRSLTQSPPRDIKLIFHHQVDTGPNFFIFSSTYPQNTDKESLTTRAGSYHYVRGLICCWLDFPTTVDIYNPTTRQYYTVPDTNRYQYIDTCFFGYDPLENQYKVMVLPKYDMEEKPCQVFTVGDPMEKPWRDIPGIGLHFPLEHAVCINGFIYYRASNKHRGSTFFLVSFDVRSEKFNHVKAPEILMDHPCTLINYQGKLGFIMCCKKGVAIWVMEDA >fgenesh2_kg.1__3390__AT1G32440.1 pep chromosome:v.1.0:1:14844015:14846941:1 gene:fgenesh2_kg.1__3390__AT1G32440.1 transcript:fgenesh2_kg.1__3390__AT1G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:D7KHK5] MAALGQISSGMTVDPQVLSSSRNIGVSLSPLRRTLIGAGGRSTISLRQCSLSVRSIKITEDNRKVKAYADNGAFDMDSSVDSSYRLADSRTSNNDSRRKTKIVCTIGPSSSSREMIWKLAEAGMNVARLNMSHGDHASHQKTIDLVKEYNSLFVDKAIAIMLDTKGPEVRSGDVPQPIFLEEGQEFNFTIKRGVSLKDTVSVNYDDFVNDVEVGDILLVDGGMMSLAVKSKTSDLVKCVVIDGGELQSRRHLNVRGKSATLPSITDKDWEDIKFGVDNQVDFYAVSFVKDAKVVHELKNYLKTCSADISVIVKIESADSIKNLPSIISACDGAMVARGDLGAELPIEEVPLLQEEIIRRCRSIHKPVIVATNMLESMINHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKFPLKAVNVMHTVALRTEASLPVRTSATRTTAYKGHMGQMFAFHASIMANTLSSPLIVFTRTGSMAVLLSHYRPSATIFAFTNQRRIMQRLALYQGVMPIYMEFSDDAEDTYARSLKLLQDEHMLKEGQHVTLVQSGSQPIWREESTHLIQVRKTNIGG >fgenesh2_kg.1__3392__AT1G32460.1 pep chromosome:v.1.0:1:14886921:14888012:1 gene:fgenesh2_kg.1__3392__AT1G32460.1 transcript:fgenesh2_kg.1__3392__AT1G32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPRNNKTSQEQARESLIEISYTSPEEDEVITYSDVKPVTNISNGGTKRNKHDEEKLRDELISISYDESPSPSPDVDVAVSPTLPNGICRG >fgenesh2_kg.1__3396__AT1G32500.1 pep chromosome:v.1.0:1:14898506:14900741:-1 gene:fgenesh2_kg.1__3396__AT1G32500.1 transcript:fgenesh2_kg.1__3396__AT1G32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVLGRLSLIPNLSSKPKLKSNRRTTSTSVSIRAQASFSDPFVLQLAESLEDSLSASPSSSSLPLQRIRDSSAETLLSTPWPSRKDEPFRFTDTSFIRFSQIEPVSTHQRNSEILDNLTETQFPNAVIIDGFVSNLTIGPSDLPDGVYFGSFSGLPDELTNRVSEFIGNFDSGDLFWSINGMGAPDLMVIYVPEGCKVENPIYLRYFSGETGDRESKRLPVSNPRGFVLVEEGGEIGIVEEFVGKDEEGFYWTNPVLEVVVQKNGKLKHSYLQKESMASAHVKWTFVRQEAESEYELVEVSTGGKLGRHNVHVQQLGPDTLTELTTFHMCVNEQTLDLHSRIVLDHPRGSSRQLHKCIVAHSSGQAVFDGNVRVNRFAQQTNAGQLTRSLLLKPRATVNIKPNLQIIADDVKCSHGAAISDLEEDQLFYFQARGINLETARRALISSFGSEVIEKFPNREIRDQARNHVKGLL >fgenesh2_kg.1__3399__AT1G32540.3 pep chromosome:v.1.0:1:14919251:14921432:1 gene:fgenesh2_kg.1__3399__AT1G32540.3 transcript:fgenesh2_kg.1__3399__AT1G32540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPAPALVPSYSPPPANGSTSGQSQLVCSGCRNLLMYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCKMLLMYQYGARSVKCAVCNFITTVGGSTSTTDLKFNN >fgenesh2_kg.1__33__AT1G01950.1 pep chromosome:v.1.0:1:134679:139817:-1 gene:fgenesh2_kg.1__33__AT1G01950.1 transcript:fgenesh2_kg.1__33__AT1G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7KP57] MASSRNGAVRGSMRPISGANSSNLRSSSFKSRIPSSAPAPRRNSTSAALGAADNGVPGRVRVAVRLRPRNADESVADADFADCVELQPELKRLKLRKNNWDTETYEFDEVLTEAASQKRVYQVVAKPVVESVLEGYNGTVMAYGQTGTGKTFTLGRLGDEDTAARGIMVRSMEDIIGGTSLDTDSISVSYLQLYMETIQDLLDPTNDNIAIVEDPRTGDVSLPGATHVEIRNQQNFLELLQLGETHRVAANTKLNTESSRSHAILMVHVKRSVVENEDPVSNEMENSSHFVRPSKPLVRRSKLVLVDLAGSERVHKSGSEGHMLEEAKSINLSLSALGKCINAIAENSPHVPLRDSKLTRLLRDSFGGTARTSLIVTIGPSPRHRGETTSTILFGQRAMKVENMLKIKEEFDYKSLSKKLEVQLDKVIAENERQLKAFDDDVERINRQAQNRISEVEKNFAEALEKEKLKCQMEYMESVKKLEEKLISNQRNHENDKRNGEVNGVVTASEFTRLKESLENEMKLRKAAEEEVSKVKSQSTLKTRSGEGEDAGISRLQKLLEDEALQKKKLEEEVTILRSQLVQLTFEADQMRRCLDRGAPGNSYSGTDSLPSRHSHARESVNGQKAPFATLCEQVGLQKILQLLESDDANIRIHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLRSYEDETVRRVAAGAIANLAMNEVSQQLIVDQGGISLLSLTAADAEDPQTLRMVAGAIANLCGNDKLQARLWSDGGIKALLGMVRCGHPDVLAQVARGIANFAKCESRATTQGVKSGRSLLIEDGALPWIVQHANDEAAPIRRHIELALCHLAQHEVNAKEMISGGALWELVRISKECSREDIRSLAHRTLSSSPVFRSEIRRLGIQF >fgenesh2_kg.1__3402__AT1G32580.1 pep chromosome:v.1.0:1:14932371:14933810:1 gene:fgenesh2_kg.1__3402__AT1G32580.1 transcript:fgenesh2_kg.1__3402__AT1G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLARSTASRITKRFFSTSGATTPSPSYLLSRRSTPAISHAVGFVSSLNRLTTIRTRMDRSGGSYSPLKSGSNFSDRPPTEMAPLFPGCDYEHWLIVMDKPGGENATKQQMIDCYVQTLAKILGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKFEGLPGVLFVLPDSYVDQENKDYGAELFVNGEIVQRPPERQRKIIELTTQRSNDKPKYHDKTRYVRRRENMR >fgenesh2_kg.1__3403__AT1G32583.1 pep chromosome:v.1.0:1:14935634:14936430:1 gene:fgenesh2_kg.1__3403__AT1G32583.1 transcript:fgenesh2_kg.1__3403__AT1G32583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLYQFQHWLFFIGLLSLALSVKANGFKGSNDGRSINENRTALPRKLLLSPDIGDGTNRIGQDCSKDDIVLFQGSTNPLPSGVPSYTVEIFNSCVSDCNIAEIHVSCGWFSSVRLVNPRVFRRLDYDDCLVNDGQPLGPGQTLSFQYANSFSYPLSVASVSCF >fgenesh2_kg.1__3405__AT1G32600.1 pep chromosome:v.1.0:1:14938428:14939307:1 gene:fgenesh2_kg.1__3405__AT1G32600.1 transcript:fgenesh2_kg.1__3405__AT1G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQQSSSQLISLHKDGLYLLDLNKTTSLKLDLPFTLPPQTEPVCILHCHGMMCLTLKDNNELAIWKPTSKNFKRVPMIKPGQTKNLLGFGYDRVSNDYKIVTIIDQKTYIFRFKEYCFFKDRTGTVLDHCMYWIADRSNKEKSILCFDFVNEEYSNLKVPMMLSGLEFNSWLDVSRGQLCVISHYPSLEDNLCVCRREIRSGLKIVRWDSDPWMNIAGNDEEPVDIRFACIARNNEIFIVVRSLSEEEEDKVLVYNKEQNEFIKVPFSSRSFESVGKYE >fgenesh2_kg.1__3406__AT1G32610.2 pep chromosome:v.1.0:1:14939359:14940530:-1 gene:fgenesh2_kg.1__3406__AT1G32610.2 transcript:fgenesh2_kg.1__3406__AT1G32610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7KHM1] MDRPCWHNDQLGVNKIGKNIKKSPLHQPNFSIGIVQQLTGSRSHESLPQPQQNTRLQKIGPVAQIQINRPCVPPPVMAQPTHELVARPPMHPLPHGSQSIMGHGDQFGSNTAESSASAFMCYRQSSLGDSGPNGNQMQPTDEYQQQPQVQGQAQPHPLLQLHNHHSLRFNGSARDTPILPTPKFDSPPQQMYNSSLPSPRFNGRGILPTPTSQYLVQSPTTYQNLLSPRPPYSLLSAGVQYPPPLTPRSYTFSSMSQPGILGPGTIPLPPASPFGVLPISSPRWRGY >fgenesh2_kg.1__3407__AT1G32630.1 pep chromosome:v.1.0:1:14941071:14942290:1 gene:fgenesh2_kg.1__3407__AT1G32630.1 transcript:fgenesh2_kg.1__3407__AT1G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGLSIDEKEGLIDKDEVLLRRMKNRERQRRYRARKRMREEEAGIDENLSFETMGQQEEEEELEFSGPSGYVENFVQRVYCDRDWKKEARRAHLFMNKAQDGSCESLKWKIRRHGRDWKAEARKKKT >fgenesh2_kg.1__340__AT1G04100.1 pep chromosome:v.1.0:1:1290107:1291713:1 gene:fgenesh2_kg.1__340__AT1G04100.1 transcript:fgenesh2_kg.1__340__AT1G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7KDB2] MNGLQDVCSSSGSVMIGLPAEEDENAALSSEDSSRPDESVSETELDLALGLSIGLKGRRKVRSSLSSSSSSSMTRESGTKRSADSSYAAASNATRQVAVGWPPLRTYRINSLVNQAKSLATEGGLSSDIQKDTVKNSVVAAKNDDVCFIKSTRTSMLVKVTMDGVIIGRKVDLNALDSYAALEKTLEQMFFQIPSPVTKSNTQGCKTIKETRASVLLDGSSEYIITYQDKDGDWMLVGDVPWQMFLGSVKRLRIMKHSNETGVGM >fgenesh2_kg.1__3412__AT1G32730.1 pep chromosome:v.1.0:1:15067731:15070033:1 gene:fgenesh2_kg.1__3412__AT1G32730.1 transcript:fgenesh2_kg.1__3412__AT1G32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron carrier/ iron ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7KIB9] MPSSPSLSNNGLSSVVTPPKTLRGLNKPKCIQCGNVARSRCPFYSCKGCCSRAENPCPIHVLKVASTSGEKTQAPSTPSSEQKASEGTPGSTTRVSSIRQLSSNFAQFNNLNASSRQRKPLTIKDAQALNEWRFTKLKEYRDRNIEVENEAFDRYMSNVNLLEEAFSFTSVPDEEIHGAAASEQNKEESIVSELKLRLRSNSARTESFKKRIAETVKAGLVKLKRPDIGSSSDDQDEIKRRIKRSKWEEKGSALNEIIDKLNKARTEEDLKSCLEMKSKLCGQVSSTATSEENKVFPDTVREVEMSEDALRRIAESLQSFDRVEML >fgenesh2_kg.1__3415__AT1G32760.1 pep chromosome:v.1.0:1:15088577:15089627:1 gene:fgenesh2_kg.1__3415__AT1G32760.1 transcript:fgenesh2_kg.1__3415__AT1G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSSNLLNPTDDNNFSHLTSSSLSHHFVKLTSTTYGLLNLDSSLPPPPPSSSAATSAISPMTPPERFTINGKEAAMMVKSEPTTEVINFWELMSGLDGDTCRFSPIPVKCDVFSGGLNKENSDPNLKNPNDYEVLKPLDPKLAEESEKLCDGGENRVVIYTTSLRGVRRTFEACNAVRAAIESFGVVICERDVSMDRGFREELSNLMAVESTVVLPPRVFVKGKYIGGAEEVMRLVEEGLLGELLKGIPKKKDRCGGGCDGCGGLAFLPCSGCNGSCKVVEGWGNEAVVVKCMECNENGLVRCPICS >fgenesh2_kg.1__3418__AT1G32780.1 pep chromosome:v.1.0:1:15137789:15140705:-1 gene:fgenesh2_kg.1__3418__AT1G32780.1 transcript:fgenesh2_kg.1__3418__AT1G32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQGKVITCKAAVVWGPKEPLVIQEICVDPPQKMEVRLKILYSSICHTDLGCWNGTNEAERAFPRILGHEAVGIVESVGEGVKDVKEGDYVIPTFNGECGECRVCKREESNLCERYHVDPMKRVMVSDGGTRFSTTTDKDGRSSQSQPIYHFLNTSTFTEYTVLDSACVVKIDPNAPLKQMSLLSCGVSTGVGAAWNIAKVKEGTSTAVFGLGSVGLAVAEGARARGASRIIGVDANASKFEKGKLMGVTDFINPKDLTKPVHQMIREITGGGVDYSFECTGNVDVLREAFLSTHVGWGSTVLVGIYPTPRTLPLHPMELFDGRSIIGSVFGGFKPKSQLPNFAQQCMKGVVKLEPFITNELPFEKINDAFQLLRDGKSLRCILQISKLLKR >fgenesh2_kg.1__3419__AT1G32790.2 pep chromosome:v.1.0:1:15143426:15146090:-1 gene:fgenesh2_kg.1__3419__AT1G32790.2 transcript:fgenesh2_kg.1__3419__AT1G32790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVETGAAATAADAGGVVIQPPPSSPPSSMTSQDSGVSSDDQNHHSRIDQVLRHDQGDVGLYSKIGSHVARSDGVDGGESFKRDMRELQELFSKLNPMAEEFVPPSLTKQGGNGGLNGVNGGFFTSAGSFFRNNGFSGTGNGGYGNENGGFRRKKSFGQGKRRMNARTSMAQREDVIRRTVYVSDLDQQVTEEQLAGLFVSCGQVVDCRICGDPNSVLRFAFIEFTDEEGAMTALNLSGTMLGFYPVKVLPSKTAIAPVNPTFLPRTEDEREMCARTIYCTNIDKKVTQSDVKIFFESFCGEVYRLRLLGDYQHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRSGESFKDACSSEITEASNALILAYRFPHISCLNIYIAVSSKNSIHRHVKMFVCISHLLFSKM >fgenesh2_kg.1__341__AT1G04110.1 pep chromosome:v.1.0:1:1291993:1294329:-1 gene:fgenesh2_kg.1__341__AT1G04110.1 transcript:fgenesh2_kg.1__341__AT1G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSFLLCITFLLFSSSSSSSSEILQKQTYIVQLHPNTETAKTFASKFDWHLSFLQEAVLGVEEEEEEPSSRLLYSYGSAIEGFAAQLTESEAQMLRYSPEVVAVRPDHVLQVQTTYSYKFLGLDGFGNSSVWSKSRFGQGTIIGVLDTGVWPESPSFDDTGMPSIPRKWKGICQEGENFSSSSCNRKLIGARFFIRGHRVANSPEESPNMPREYISARDSTGHGTHTASTVGGSSVSMANVLGNGAGVARGMAPGAHIAVYKVCWFNGCYSSDILAAIDVAIQDKVDVLSLSLGGFPIPLYDDTIAIGTFRAMERGISVICAAGNNGPIESSVANTAPWVSTIGAGTLDRRFPAVVRLANGKLLYGESLYPGKGLKNAEREVEVIYVTGGDKGSEFCLRGSLPSEEIRGKMVICDRGVNGRSEKGEAIKEAGGVAMILANTEINQEEDSVDVHLLPATLIGYTESVLMKAYVNATVKPKARIIFGGTVIGRSRAPEVAQFSARGPSLANPSILKPDMIAPGVNIIAAWPQNLGPTGLPYDSRRVNFTVMSGTSMSCPHVSGITALIRSAYPNWSPAAIKSALMTTADLYDRQGKAIKDGNKPAGVFAIGAGHVNPQKAINPGLVYNIQPVDYITYLCTLGFTRSDILAITHKNVSCSGILRKNPGFSLNYPSISVIFKRGKTTEMITRRVTNVGSPNSIYSVNVKAPEGIKVIVNPKRLEFKHVDQTLSYRVWFVLKKKNRGGRVATFAQGQLTWVNSQNLMQRVRSPISVTLKTN >fgenesh2_kg.1__3421__AT1G32810.2 pep chromosome:v.1.0:1:15148447:15152605:1 gene:fgenesh2_kg.1__3421__AT1G32810.2 transcript:fgenesh2_kg.1__3421__AT1G32810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYRFSSTDPHEDWGNELWTVDCVCGVNDDDGTEMVKCDDCGVWVHTRCSRFVKEEELFTCDKCKSKNNVNDSEETEVAQLLVELPTKTLRMENSCTRGVPPKRPFRLWTEIPTEEKVHVQGIPGGDPALFEGLSSVFSRELWKCTGYVPKKFNFKYREFPCWDEQEKDEIENDGAGVLFSMSKENVIAAPVSALVGMKSLDGKGTNDLKLGCAKDGNSGDSGEMDRKHSQGAMKKNKRLLRPMITNKRRKELFGASKERMKKKVEGADKEEDDKKGFVGKTGIRPASDSKPSESRKDIEAEGFTSDIGITKSVKAKKASFEESNSGGLALETGGDESGNTEIGVECSREQNLSDVHANGAGKQEEKAGHHFRIVLKSSASTDPSVLGGKDVPHNEANKEEERQGTVADVPEDNAVDSSESSQKPSPVSTVGKTREGEEKTHSGKVSSRKNKFQKETADTGASGAVGLQTLDPMDSKVSGSSVSQISGASELNKMTPGSSLPDDHKPQPVDVVSEGISSGNRNKAMELKRELVVSEAEKDILETKPESGLFQEPTKPFRPIPHTVSGNGRPKMVVCIGKTSSSSATEKSSRSKQQPCDDADANTNDEDCVSSDVIRERDGDDEPSEKAPKHPKFSITSKKSMQHNRISHSSVSKTRESSSASKTSSAARINGGSSEAPSKHSLSGTFPKNEKPGQSIFQSSTKNPVQSIISLAPNLSDEELALRLHHQLNSSPRVPRVPRLRQPGSLPLSPTAPSFKRTSSSGSKDHTTFSRRKNKDASKEGYRNLRDDDRCSTRSAKTRRSPDRRTQQDSGSRGGSLCSKGEENETPKTSSYSSRKVLLPPNSATSTSSGPCSSSELNEHNKPSPHSSPRNNGTPVHRTLPGLINEIMSKGKRMAYEELCNAVLPHWPHLRKHNGERYAYSSHSQAVLDCLRNRHEWARLVDRGPKTNSGKKKRKLDAAEEESDENESSKGRRKRLHQHHSQGEEFPKGKRKARKRRRLSLQRKGIKVLRKKRNQEEDEVSEEDEEGAFSETSDESIFCEEEEEEEEGHATATGTGQVSASSSEETQATS >fgenesh2_kg.1__3422__AT1G32850.1 pep chromosome:v.1.0:1:15154354:15158470:1 gene:fgenesh2_kg.1__3422__AT1G32850.1 transcript:fgenesh2_kg.1__3422__AT1G32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7KID0] MTIPDSESTGVCEVPYSPEEERRIVTELNNEAEADLKEGNLYFVISNRWYTRWQRFVGLLTEETSEVTRPGPIDNHDIIDSESDASDPQLRIMLEEGVDYTLVPQQVWRKLVEWYKGGPPLPRKLISQGFYTKSFSVEVYPLCLKLTDSRDESTTIIRLSKQASIGQLYEMVCAGRGVAKEKARIWDYFEKKKSVLLDPSSEKSVEESGLQLNQDILLDVDGSASSQYDMSLAGNELALVPLEPTRSDAMDIVRGGGNLSNGHSNGSKFSLFGRNAFEDDVSSSTFGKGERRGLGGLQNLGNTCFMNSTLQCLAHTPPIVEYFLQDYSSDINAENPLGMRGELAIAFGELLRKLWSSGQNAVAPRAFKTKLARFAPQFSGYNQHDSQEMLAFLLDGLHEDLNKVKRKPYIEAKDSDGRPDDEVAEEKWKYHKARNDSVIVDVCQGQYKSTLVCPDCGKISITFDPFMYLSLPLPSSRTRSMTVTVFYGDGSHLPMPYTVTVPKDGSCRDLSNALGTACCLNNDESLLLAEVYDHKVFKYFENPLELLNGIKDNERIVAYRFNQMHKGLGKVKLEILHGEQEKYDSGRGPKLFGTPLVTYINKEPLTGSDIAASIFGLLSPLRRVHMSSIVHSENENSHVPDVADESSRSASSRDTETEDNAVDDRELSFSLLPDYHSFSLQLLDSDSVVNPGSVTKVLVKWNEKEHEKYDSSYLNDLPEVHKTSFLAKKIRQEEISLFSCLEAFLAEEPLGPDDMWYCPGCKEHRQANKKLDLWKLPDILVFHLKRFTYSRYFKNKIDTLVNFPIHGLDLSKYVTNKDGQSYLYELYAISNHYGGLGGGHYTAYAKLMDENKWYDFDDSRVSAVNEYEIKTPAAYVLFYQRVKSESETSDMKMD >fgenesh2_kg.1__3425__AT1G32870.2 pep chromosome:v.1.0:1:15174207:15176442:1 gene:fgenesh2_kg.1__3425__AT1G32870.2 transcript:fgenesh2_kg.1__3425__AT1G32870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTVENGGLAPGFRFHPTDEELVVYYLKRKIRRKKLRVDAIGETDVYKFDPEELPEKALYKSRDRQWFFFSLRDRKHGSRSSRATDRGYWKATGKDRVIKCDSRNVGEKKTLVFHRGRAPNGERTNWVMHEYTLHEEELKRCGGGDVKDAFVLYKIYKKSGSGPKNGEQYGAPFIEEEWAEDDDDVDEPAGVLDVPANQLVVSAGVDNNLWGKGINQSELDDNDIEELMRQVRDQPGRTVQQNGASGLNSHVETYDSVNLEEDMYLEIDDLLLPEPEPASVEVMEKNWNQDGSGVLNDNDFVDTDSYFLDLGATNPQSDPVSVGLKNGFAQSLQVNTSLVIDQANNNLFQQHTGKNQASNWPLRNSYTRQINNGSSWMQEINNDGLNATPFGEAPGTGDASEFINPLTSGVSITKEDDATKDESSKFASSVWTFLESIPANPAYASENPFVKLNLVRMSSNGGRFRFASKSTGNNVFVTANDSAAKRKKYGGNNDKKKNNKGFFCLSIIGALCALFWVIIGTMEVSGRSLLW >fgenesh2_kg.1__3426__AT1G32900.1 pep chromosome:v.1.0:1:15176670:15179949:-1 gene:fgenesh2_kg.1__3426__AT1G32900.1 transcript:fgenesh2_kg.1__3426__AT1G32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:D7KID6] MATVTVSSHFVSRTSLFNNHGASSCSDLSQITLKGPSMTHCGLRSFNMLDKLQRRFQAKSVSAKSSKGSSKVKTGGKIVCEKGMSVIFIGAEVGPWSKTGGLGDVLGGLPPALAARGHRVMTICPRYDQYKDAWDTCVVVQIKVGDKVENVRFFHCYKRGVDRVFVDHPIFLAKVVGKTGSKIYGPITGVDYNDNQLRFSLLCQAALEAPQVLNLNSSKYFSGPYGEDVVFVANDWHTALLPCYLKSMYQSRGVYMNAKVVFCIHNIAYQGRFSFDDYSLLNLPISFKSSFDFMDGYEKPVKGRKINWMKAAILEADRVLTVSPYYAQELISGVDRGVELHTYLRMKTVSGIINGMDVQEWNPSTDKYIDIKYDITTVTDAKPLIKEALQAAVGLPVDRDVPVIGFIGRLEEQKGSDILVEAISKFMGLNVQMVILGTGKKKMEAQILELEEKFPGKAVGVAKFNVPLAHMITAGADFIIVPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKDGYTGFHIGRFNVKCEVVDPDDVIATAKAVTRAVAVYGTSAMKEMVKNCMDQDFSWKGPARLWEKVLLSLNVVGSEAGIESEEIAPLAKENVATP >fgenesh2_kg.1__3427__AT1G32910.1 pep chromosome:v.1.0:1:15183658:15185123:1 gene:fgenesh2_kg.1__3427__AT1G32910.1 transcript:fgenesh2_kg.1__3427__AT1G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KID7] MGDAAEQARGFHVTTTRKQVVTAARQLASSFKPRSPPPSCPHQLAETLKASLAEALVSYYAFAGELVKNYVGEPEILCNNRGVDFLEALADVELRELNLHDPDESIAKLVPKKKHGVLAIQVTQLKCESIVVGCTFDHQIADAFSMNMFLVSWAEISRSDVPISSVPSFRRSMLNPRRPLIIDSSIDKMYMPATSLPLPQETTNDPDNILTSRIYYIKGNALEDLQRLASSSSSKNGYSQRTKLESFSAFLWKLKSKIGIVVDGRRRLMEKENSTYFGNVLSIPFGGHSINDLIDKPLSWLSNEVHKFLEEAVTKDHFLNLIDWVEIHRPIPAVSRIYSTGANDGPAFVVSSGFPVTKVDFGWGLPVFGSYHFPWEGSAGYVMPMPSPVDDGDGDWVVYLYLTKGQLKFIEEEVAHVLKPIDNDYLKINTIF >fgenesh2_kg.1__3428__AT1G32928.1 pep chromosome:v.1.0:1:15199131:15199615:1 gene:fgenesh2_kg.1__3428__AT1G32928.1 transcript:fgenesh2_kg.1__3428__AT1G32928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMFSAFDAMCAEIMGKKVTAASYVYRSERNSASSSGGGQNASLSLKNDEKASKNMDLPTKTPRFALELDGLNCFETIVRS >fgenesh2_kg.1__3429__AT1G32930.1 pep chromosome:v.1.0:1:15199670:15202546:-1 gene:fgenesh2_kg.1__3429__AT1G32930.1 transcript:fgenesh2_kg.1__3429__AT1G32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KIE0] MGMGRYQKSATSGVSARWVFVLCISSFLLGVLVVNRLLASFETVDGIEKGSSEPNDQARSLHPLIDCESKEGDILSRVSHTHDVIKTLDKTISSLEVELASARAARSDGRDGSPAVAKSVADQSKIRPRMFFVMGIMTAFSSRKRRDSIRGTWLPKGDELKRLETEKGIIMRFVIGHSSSPGGVLDHTIEAEEEQHKDFFRLNHIEGYHELSSKTQIYFSSAVAKWDADFYIKVDDDVHVNLGMLGSTLARHRSKPRVYIGCMKSGPVLAQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISVNRQLLHKYANEDVSLGSWFIGLDVEHIDDRSLCCGTPLDCEWKGQAGNPCAASFDWSCSGICKSVDRMLEVHQRCGEGDGAIWHTSF >fgenesh2_kg.1__3430__AT1G32940.1 pep chromosome:v.1.0:1:15220168:15223588:1 gene:fgenesh2_kg.1__3430__AT1G32940.1 transcript:fgenesh2_kg.1__3430__AT1G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7KIE3] MRNYRLLLVLVLSLVIALNVVRASDESKVHIVYLGEKQHDDPEFVTESHHQMLSSLLGSKVDAHDSMVYSYRHGFSGFAAKLTESQAKKLADSPEVVHVMADSLYELATTRTWDYLGLSAANPNNLLNDTNMGDQVIIGFIDTGVWPESESFNDNGVGPLPSHWKGGCESGEKFISTNCNRKLIGAKYFINGFLAENEGFNTTKSRDYISARDFIGHGTHTASIAGGSFVPNISYKGLAGGNLRGGAPRARIAIYKACWYVDQLGIVACSSSDILKAMDEAMHDGVDVLSLSLGAQIPLYPETDLRDRIATGAFHAVAKGIIVVCAGGNSGPAAQTVLNTAPWILTVAATTLDRSFPTPITLGNRKVILGQALYTGQELGFTSLGYPENPGNTNETFSGVCESLNLNPNRTMAGKVVLCFTTNTLFTAVSRAASYVKAAGGLGVIIARNPGYNLTPCRDNFPCVAIDYELGTDVLLYIRSTRSPVVKIQPSRTLVGQPVGTKVATFSSRGPNSISPAILKPDIGAPGVSILSATSPDSNSSVGGFDILSGTSMAAPVVAGVVALLKALHPNWSPAAFRSAIVTTAWRTDPFGEQIFAEGSSRKVADPFDYGGGVVNAEKAAEPGLIYDMGTQDYILYLCSAGYNDSSITQLVGNVTVCSNPKPSVLDVNLPSITIPNLKDEVTLTRTVTNVGPVDSVYKVVLDPPLGIRVVVTPETLVFNSKTKSVSFTVGVSTTHKINTGFYFGNLIWTDSMHNVTIPVSVRTQILQNYYDEN >fgenesh2_kg.1__3433__AT1G32970.1 pep chromosome:v.1.0:1:15235624:15238804:-1 gene:fgenesh2_kg.1__3433__AT1G32970.1 transcript:fgenesh2_kg.1__3433__AT1G32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTPILMAICLMLALNIAAETKVHIVYLGERQHDDPDSVTESHHQMLWSILGSKEAAHDSMVYSYRHGFSAFAAKLTDSQVIQLSEFYELQTTRTWDYLKHTSRHPKNLLNQTNMGDKVIIGVVDSGMWPESESFSDNGLGPIPKRWKGKYVSPRDFNGHGTHVAATAAGSFVADASYLALGRGTARGGAPRARIAMYKACWHLASIGTATCSAADMLKAIDEAIHDGVDVLSISTSFPIPLFPEVDARDAMAVGAFHAVAKGIPVVCSGGNAGPASQTVTNTAPWIITVAATTQDRSFPTLITLGNNITIVGQALYQGPDMDFTGLVYPEGPGASNETFSGVCEDLSKNPARIIKEKIVLCFTKSTDYGTVIQAASDVFNLDGYGVIVARNPGYQLNPCDGFPCLAVDYELGTDILFYIRSSRSPVAKIQPTRTLVGIPVATKVATFSSRGPSSISPAILKPDIAAPGVNILAATSPNDTFYDRGFAMKSGTSMSTPVVAGIVALLKSLHPHWSPAAIRSAIVTTAWRTDPSGEPIFADGSNRKLADPFDYGGGVVNSEKAAKPGLVYDMGVNDYVLYLCSVGYTDSSITRLVRKKTVCANPKPSVLDLNLPSITIPNLAKEVTITRTVTNVGPVGSVYKAVIEAPMGVNVTVTPRTLVFNAKTRKLSFKVRVITNHRVNTGYYFGSLTWTDSVHNVVIPVSVRTQILQRYYDEN >fgenesh2_kg.1__3435__AT1G32990.1 pep chromosome:v.1.0:1:15241358:15242957:1 gene:fgenesh2_kg.1__3435__AT1G32990.1 transcript:fgenesh2_kg.1__3435__AT1G32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLSTLCSSTSSSVHPKSKLSHSLSAKLSSKANVSVQFLGKKQSPLLSSTPRFLTVIAMAPPKPGGKAKKVVGVIKLALEAGKATPAPPVGPALGSKGVNIMAFCKDYNARTADKAGYIIPVEITVFDDKSFTFILKTPPASVLLLKAAGVDKGSKDPQQDKVGVITIDQLRTIAAEKLPDLNCTTIESAMRIIAGTAANMGIDIDPPILEPKKKAVLL >fgenesh2_kg.1__3436__AT1G33020.1 pep chromosome:v.1.0:1:15249995:15253616:1 gene:fgenesh2_kg.1__3436__AT1G33020.1 transcript:fgenesh2_kg.1__3436__AT1G33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KIF0] LSAKSIGRCRCVSKLWKSMLGRPNFTELFLTRSSDHPRLLFGVKREGEWLFFSSPQCQNIFGKSSLLVTADFHMKFSQDLGRTNCSYVSGLIYFPSMQMCEFRVICNPITGKYAILQRITYKEVRSFLGFDPIDKQFKVLRINHKYYKNGYVHHILTLGPGKMRWRKIHCPLAHVPFREEICVNGVLYYLAHPTDHGAYVICCFDVRSEKFKFIDTNCFCGLPELIRYKGKLGAIIWKYDTVSGRCTVELCMWVLEDVEKQEWSKYVHPLPENSYYYSVAGVTAKGDIVFVKKLTSKPFYVFYVNPERKTFQRVEIHGNHEVFDSKNLVYAFVDHVEDLKFDVMKKTYAATYISPPEQKPKPTCTETSSREDHQGWTSTSSKKDHQVRTIAYQQQFRPTFESINKFNALYLDDDDEITVAQPQQDLRTLGGISKFKAQRLLDDDEFTGVKTSKCDTSPLQVSYEVTAVHELHDQPYPEVDTNAVIDKVIKCIKREHKINHVTIQVE >fgenesh2_kg.1__3437__AT1G33040.1 pep chromosome:v.1.0:1:15255276:15256678:1 gene:fgenesh2_kg.1__3437__AT1G33040.1 transcript:fgenesh2_kg.1__3437__AT1G33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAIVEEEKSQIESIKEQLKLEKEDDVVVEDVKDGEEEDDDEDDEDVEGEGEGGNENSKQSRSEKKSRKAVLKLGMKPVSDVSRVTIKRTKNVLFVISKPDVYKSPNADTYVIFGEAKIDDLSSQLQTQAAQRFKMPDMASMLRNDASVAAMAPLAEEEDEEDVDDTGVEARDIDLVMTQAGVSKAKAVKALKASDGDIVSAIMELTT >fgenesh2_kg.1__343__AT1G04130.1 pep chromosome:v.1.0:1:1304241:1307039:1 gene:fgenesh2_kg.1__343__AT1G04130.1 transcript:fgenesh2_kg.1__343__AT1G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7KDB5] MALWMDAGATPITENEKADLEAISALKESAAIEFKEQGNDCVRKGKKHYSEAIDCYTKAINQGVLSDSETSILFSNRSHVNLLLGNYRRALTDAEESMRLCPHNVKAVYRAAKASMSLDLLNEAKSYCEKGIENDPSNEDMKKLLKLVNSKKQEKEQHEAQVSRAVVEAKACLSAIENRGVKIGKAMYRELTGLKKPMLDKNNILHWPVLLLYAEAMTSDFVEDFCETDMFATHLDMMFSEDSPPLPWDKNNEYSRDVIELYYEASSGTPLPRSRVLQYLLESTKGSQAETTGEEDTSVTKTPSYMKGSSGMVKVNERRTLHDVLKEPKFVIPEIPVFYILSKRSKFYKDFIAGKWSPPN >fgenesh2_kg.1__3440__AT1G33080.1 pep chromosome:v.1.0:1:15273536:15277321:1 gene:fgenesh2_kg.1__3440__AT1G33080.1 transcript:fgenesh2_kg.1__3440__AT1G33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7KIF6] MDGGGGEVTETLLKKTAEDGREEDGLGMKEKVWRESKKLWVVAGPAIFTRFSTSGLSLITQAFIGHLGSTELAAYSITLTVLLRFSNGILLGMASALETLCGQAYGAKQYHMLGIYLQRSWIVLTGCTICLMPIYIFSGPILLALGQEERLVRVARIIALWVIGINISFVPSFTCQMFLQAQSKNKIIAYVAAVSLGVHVLLSWLLVVHFDFGIAGAMTSSLIAHWLPNIAQLLFVTCGGCKDTWKGFSWLAFKDLWPVFKLSVSSGGMICLELWYNSILILLTGNLKNAEVALNALAICININALEMMVAFGFMAAASVRVSNEIGSGNSKGAKFATIIVVSTSLSIGIIIFFVFLFLRGRVSYIFTTSEAVAAQVADLSPLLAFSILLNSVQPVLSGVAVGAGWQKYVTYVNLACYYLVGIPSGVFLGYVVGLQVKGVWLGLIFGIFVQTCVLTVMTMRTDWDQQVSSSLKRLNRWVEPESPSRNQTLQNE >fgenesh2_kg.1__3446__AT1G33140.1 pep chromosome:v.1.0:1:15303492:15327284:1 gene:fgenesh2_kg.1__3446__AT1G33140.1 transcript:fgenesh2_kg.1__3446__AT1G33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L9 [Source:UniProtKB/TrEMBL;Acc:D7KIG0] MKTILSSETMDIPDSVTIKVHAKVIEVEGPRGKLVRDFKHLNLDFQLIKDPETGKKMLKIDSWFGSRKTSASIRTALSHVDNLISGVTRGFRYKMRFVYAHFPINASIGGDGKSIEIRNFLGEKKVRKVEMLDGVTIVRSEKVKDEIVLDGNDIELVSRSCALINQKCHVKKKDIRKFLDGIYVSEKSKIVEEE >fgenesh2_kg.1__3447__AT1G33170.1 pep chromosome:v.1.0:1:15328785:15332099:1 gene:fgenesh2_kg.1__3447__AT1G33170.1 transcript:fgenesh2_kg.1__3447__AT1G33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7KIG1] MAKENSSHHLAEAKRKRLTWILCVSGLCILSYVLGSWQNNTVPTSSSEAYSRMGCDETTTTTRARTTQTQTNPSSDDNLSSLSSSEPVELDFESHHKLELKITNQTVKYFEPCDMSLSEYTPCEDRERGRRFDRNMMKYRERHCPSKDELLYCLIPPPPNYKIPFKWPQSRDYAWYDNIPHKELSIEKAIQNWIQVEGERFRFPGGGTMFPRGADAYIDDIARLIPLTDGAIRTAIDTGCGVASFGAYLLKRDIVAMSFAPRDTHEAQVQFALERGVPAIIGIMGSRRLPYPARAFDLAHCSRCLIPWFQNDGLYLTEVDRVLRPGGYWILSGPPINWKKYWKGWERSQEDLKQEQDSIEDAARSLCWKKVTEKGDLSIWQKPINHIECNKLKRVHKSPPLCSKSDLPDFAWYKDLESCVTPLPEANSPDEFAGGALEDWPDRAFAVPPRIIRGTIPDTNAEKFREDNEVWKERIAYYKQIMPELSKGRFRNIMDMNAYLGGFAAAMMKYPSWVMNVVPVDAEKQTLGVIFERGFIGTYQDWCEGFSTYPRTYDLIHAGGLFSIYENRCDVTLLLLEMDRILRPEGTVVFRDTVEMLTKIQSITNGMRWKSRILDHEKGPFNPEKILLAVKSYWTGPSS >fgenesh2_kg.1__3449__AT1G10095.1 pep chromosome:v.1.0:1:15368606:15376810:1 gene:fgenesh2_kg.1__3449__AT1G10095.1 transcript:fgenesh2_kg.1__3449__AT1G10095.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein prenyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KIG6] MRQGPCSEEGCFTVLKLFEHVLESDPLINEVGFIHPSQFNLLDEEAGSSSVYQNELQPNNETSRKFWNQDHKLGISTDILVQLCKDAKHVFLLAFEEYKRHGNACNESQIENFSCSPGTPEIEVMRHSQAVLLLSSDFGTAWNARKLILSKKDQLSAFTKELRLSELILSNSPKSESTWSHRRWIIKMISQRFSTPQVIITKESELVESIGERSKMNYRAWYHRCWLVSYMAIEQVIQELNKSKRWAGLHVADSSCFHYRRRLMLKILESLYVKGGNAYDKSEARKIWKEELDWNKELVERYVGREALWLHRRFLSLNWIIYFACNDLDVSPEPGESIIMNEEIAIFIDNEIHLLESSMIVPDTKFEDFQAQALHAGVYMLWLTKKIPELWRMVEEKLGTEKVKCVLSTIAQERPSLLHHLVNV >fgenesh2_kg.1__3450__AT1G33230.1 pep chromosome:v.1.0:1:15380198:15383650:1 gene:fgenesh2_kg.1__3450__AT1G33230.1 transcript:fgenesh2_kg.1__3450__AT1G33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEEEVKRIIEQVKELHDSSTSFVSSSSQEELSLRNRASVVDSSIRRLLSTLASDKHLDPKLFEKLEEDLQRAKCMLADGDTSSFLPSKPQGRFVRMFLGPVNVRASRKDIQLKVKEEYNSYRDRTALLFLVFPAILLTLRSYVWDGCLPAFPVQLYQAWLLFLYAGLVMRENILRANGSDIRSWWIYHHYFAMAMSLVSLTWEIKGQPNCVQKQKGVRLFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLLLLFPILFTLQGFEAYVGLQLLRTVLTGVVAEWQVLVCGILLVVMAIGNFINTVETLMVKSRFKAKMKRSKSRAELD >fgenesh2_kg.1__3451__AT1G33240.1 pep chromosome:v.1.0:1:15385788:15388819:-1 gene:fgenesh2_kg.1__3451__AT1G33240.1 transcript:fgenesh2_kg.1__3451__AT1G33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGGGGGGNEVVEEASPISSRPPANNLEELMRFSAAADDGGGGGGGGGGSASSSSGNRWPREETLALLRIRSDMDSTFRDATLKAPLWEHVSRKLLELGYKRSSKKCKEKFENVQKYYKRTKETRGGRHDGKAYKFFSQLEALNTTPPSSSLDVTPLSVANPILMPTSSSSPFPVFSQPQPQPQPLQTHNVSFTPTPPPPPLPSMVPTFPGVTFSSHSSSTASGMGSDDDDDEMDVDQANIAGSSSRKRKRGNRGGGGKMMKLFEGLVRQVMQKQAAMQRSFLEALEKREQERLDREEAWKRQEMARLAREHEVMSQERAASASRDAAIISLIQKITGHTIQLPPSLSSQPPQPPPPPYQPPPAVAKRVAEPPLSTAQSQLQQPIMAIPQQQILPPPPPPPPHLPHQPEQKQQQQQQPQQQEMVMSSEQSSLPSSSRWPKAEILALINLRSGMEPRYQDNVPKGLLWEEISTSMKRMGYNRNAKRCKEKWENINKYYKKVKESNKKRPQDAKTCPYFHRLDLLYRNKVLGSGGGSSTSGLPQDQKQSPVPAMKLPQEGLVNVQQPHGSASSEEEEPIEESPQGTEKPEDLVMRELMQQQQESMIGEYEKIEESHNYNNMEEEEEEMDEEELDEDEKSAAFEIAFQSPANRGGNGHTEPPFLTMVQ >fgenesh2_kg.1__3456__AT1G33270.1 pep chromosome:v.1.0:1:15407530:15409716:-1 gene:fgenesh2_kg.1__3456__AT1G33270.1 transcript:fgenesh2_kg.1__3456__AT1G33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:D7KIH3] MSLRSTPFISVRTRKSFNLSPRILALRVSCCAGGSSQNQNFSTDSENKRSFAVATGELFIGIASRLLKSSNQKTPPIDDGDRIASVIEDEIEPAMIWEQRIKDVEAEKERRVITSPGFSFSAAGLLFPYHLGVAQLLIEKGYIKETTPLAGSSAGAIVCAVITSGATMREALEATKELAYDCRRNGTAFRLGAVLRESMERLLPDDIHIRSNGRIRVAITQVFWRPRGLLVDQFDSKSDLIDAVFTSSFIPGYLAPRPATMFRNRLCVDGGLTLFMPPTAAAKTVRVCAFSASNFKLKGIEICPDCNPLNRATSRQLLNWALEPAEDEVLEKLFELGYADAATWADMNPVEELVYDDTPTAQEIAT >fgenesh2_kg.1__3458__AT1G33280.1 pep chromosome:v.1.0:1:15414536:15415633:1 gene:fgenesh2_kg.1__3458__AT1G33280.1 transcript:fgenesh2_kg.1__3458__AT1G33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC015 [Source:UniProtKB/TrEMBL;Acc:D7KIH4] MSSSNGGVPPGFRFHPTDEELLHYYLKKKISYEKFEMEVIKEVDLNKIEPWDLHDRCKIGSTPQNEWYFFSHKDRKYPTGSRTNRATHSGFWKATGRDKCIRNCYKKIGMRKTLVFYKGRAPHGQKTDWIMHEYRIEDTDDDPSEDGWVVCRVFKKKNLFKVGNDVSSSINNNRLEARSFIRRESSYQGISMFELNKPEEIGIHQYPQPPMFQPHHKPLSIGYDYSLALLPRESEYQQACEPSGVEVGTCKTVGEWGMVNCQMGIHEDSSRAMRFEDEGNNNPSTVQPPSNLLSLRGENGFLGLF >fgenesh2_kg.1__345__AT1G04140.2 pep chromosome:v.1.0:1:1307022:1312102:-1 gene:fgenesh2_kg.1__345__AT1G04140.2 transcript:fgenesh2_kg.1__345__AT1G04140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7KDB6] MMTESIWLHDQPSPRSMIPSPKPVANVHRQRCRSVFKLLVQREISPKTKFVPRKRWGESRWDADSSCGTTSEPVSEQGHNLISWVEAESLQYLSAKYCPLVPPPRSTIAAAFSSDGRTLASTHGDHTVKIIDCETGKCLKILTGHRRTPWVVRFHPRHSEIVASGSLDHEVRLWNAKTAECIRTHDFYRPIASIAFHADGELLAVASGHKLHIWHYNKIGEDSSPAIVLKTRRSLRAVHFHPHGVPLLLTAEVTDIDSSDSAMTRATSPGYLRYPPPAIFFTNTQSGSRTSLAAELPLVPLPYLLLPSYSPDDPRIQYSSGTTGPRTAQTRFQSNQSSVELGSRTISPSPLPMATSADLSGSYHVPDNSAGNTFTAQAGARNSTTAVDAMDVDEAQPAGRNRVPSQVSNQPDLLEFGQLQQLFHFRDRGSWELPFLQGWLMAQSQAGANSVALPTGSSGHVNSTPYTGSSSTASLEAGVASLEIPGGVNLYGVSARGDSRDRILQSRFVGSGLAEGLSSRNTQHEGADTQPVVNRIPSELATSIAAAELPCTVKLRVWSHDIKDPCAILKSDKCRLTIHHAVLCSEMGAHFSPCGKYLAACVACVIPHTETDPGLQTLVQQDSGLATSPTRHPVTAHQVMYELRVYSLEKESFGSVLVSRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLKSIVSDGETTSHFFTVLEIYRVSDMELVRVLPSSEDEVNVACFHPSPGGGLVYGTKEGKLRIFRYNTAAASNLTAPNSSPDENLAEVELLTRCRPMR >fgenesh2_kg.1__3460__AT1G33290.1 pep chromosome:v.1.0:1:15419672:15421644:1 gene:fgenesh2_kg.1__3460__AT1G33290.1 transcript:fgenesh2_kg.1__3460__AT1G33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVCVPWGGGACRLFSPIRRRFPVFSTVASLSLPDSSAMIVDDNLAAFLEILPKDLRHRLLNDSRRNQLVEVIMDLGRPPEARYLGEPGGQYLRNNEVSMEELEDAQELVGEFGADNRAGIEGTLHRISAIRNRKGFIVGLTCRVGRAVSGHIDMLYDLLHYGKSILFVGRPGVGKTTVLREIARVLSDEFQKRVVIIDTSNEIGGDGDIPHSAIGGSRRMQVPKPSLQHKVMIEAVENHMPQVIIVDEIGTEAEALACRSIAERGVMLIGTAHGEQLQNIIKNPTLSDLIGGIETVTLGDEEARARRTQKSILERKAPPTFYFLIEMRERDYWIAHQTEKSVDMLLRGRNPMVEVRRRDEEYKVVIERWKAYDGQGI >fgenesh2_kg.1__3462__AT1G33360.1 pep chromosome:v.1.0:1:15428679:15432976:-1 gene:fgenesh2_kg.1__3462__AT1G33360.1 transcript:fgenesh2_kg.1__3462__AT1G33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIWRWRNLKSLALHARSISPVPNLNSLELGSSPRRRIQERFKSEQGGGGGGGDDFPVPVSRRKLRAEPNCPRCSKQMDLLFSNRQFPSSNLLQRPDDSDSSGAGDKTNFQSMNFCPTCKTAYGFNPRGVSPLQGTFIEIGRVQSPTNAATSKSTRKQQHSKDLNQGFNYRNKLRSSFWDTLRSYGAEPPEDWSPPPPPPPPPPPSHPSLNSSPPTTIPVNASPSTVETTSPLPDAANDVSRWGGASLGRDFPTPKEICKWLDKFVIGQTRAKKVLSVAVYNHYKRIYHTSMKKGSAAQPIDDDDNVELDKSNVLLMGPTGSGKTLLAKTLARLVNVPFVIADATTLTQAGYVGDDVESILHKLLTVAEFNVQAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKLLEGTIVNVPGKGARKHPRGDHIQIDTKDILFICGGAFVDLEKTIVDRRQDSSIGFGAPVRANMATSGVTSGAITSSLLESVESADLTAYGLIPEFVGRFPILVSLSALTEDQLIRVLVEPKNALGKQYKKLFSMNNVKLHFTEKALEIISKQAMVKNTGARGLRALLESILTEAMFEIPDDKKGDERIDAVIVDEESTSSEASRGCSAKILRGDGAFERYLSENKSKDATESMVDERVGSARAMRL >fgenesh2_kg.1__3464__AT1G33340.1 pep chromosome:v.1.0:1:15436396:15437753:-1 gene:fgenesh2_kg.1__3464__AT1G33340.1 transcript:fgenesh2_kg.1__3464__AT1G33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDLSAKLRQVLGLAKDHASIGRAIVQNYNEKAFFDIEVAVVRATSHDDCPVDDKTMHEILFLVSNTPGTIPFLAEQISRRLAKTRDCLVAGKTLLLFHRLLRGSSRSIEQQLHIAHTSGHLQIGCCWFMMSPDPPSFVFLQNYVAYLQERVGWIINQAGKLEPVMSGGTKFSRYKEKSMDLVFHILPKCQEFIAQVLKCSPVDAWPIDNLVQAATGNILKESFQVYMTYSDGIAALVSMLFDLSRPARDLACGMLRKASQQIQDLRILYEKCRGFAGMKSLDYPSVQAITMDHIVALEECSSYGGKRDFSVSINLRDPVTCNEQKQETNCAGFSSTSSFSLPVETKISMVWVVFDNEESDNATEKAYEC >fgenesh2_kg.1__3465__AT1G33330.1 pep chromosome:v.1.0:1:15438849:15440381:-1 gene:fgenesh2_kg.1__3465__AT1G33330.1 transcript:fgenesh2_kg.1__3465__AT1G33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMAVAALFHARFPLLLRHSVPSTFSLSSVIYYRRTVPSNGLSTRFCSILRYSSSVDGGGSSSGDSGSFSDSVSVVADVQSPNYLKFTDDELMKQCRLETFRVSGPGGQHRNKRDSAVRLKHLPTGIVAQAVEDRSQHKNRASALNRLRTLLAIKVRNKVDLEAYAPPPELLQILPPKSTIRTSSGSQIGPNNPKFVPGMQALLDVISASDGSIADSAKLLGLSTGGLSRLILSHDGLRMAVNSMRAAKGIKPLK >fgenesh2_kg.1__3466__AT1G33390.1 pep chromosome:v.1.0:1:15451120:15455636:-1 gene:fgenesh2_kg.1__3466__AT1G33390.1 transcript:fgenesh2_kg.1__3466__AT1G33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KII3] MASLMGDDCNLDIMPPRKKKNKGSNKDKLNSNNNTVSSRSRKRKLNSNKDTVVSKSQKRKLKKLEEDKEKEIIFAKTAELLDTYKISEDVSSLLQSSKVIGRSATKLEKRRRAMQLSKAGVETEHSDESVERNDDDDSCMDKPTTPEHVEIEIPTFVTYSEQQLVHEHELGSDLMFSAEETSSKLVVDDNVDMILQTTCRDDEEDSQRMDGTIENEDVTVQGPRVPAFVVHVLRPAEVEETRKDLPIVMMEQEIMEAINRHPTVIISGQTGCGKTTQVPQFLYEAGFGSKQFSSRSGIIGITQPRRVAVLATAKRVAFELGVRLGKEVGFQVRYDKKIGENSSIKFMTDGILLREIQNDFLLRRYSVVILDEAHERSLNTDILIGMLTRVIKIRQEYYEEQQTSLQSGGTVTSEYQITPLKLILMSATLRVEDFVSGKRLFPKIPPLIEVPTRQYPVTIHFSRKTEIVDYIGQAYKKVMSIHKKLPQGGILVFVTGQREVDYLCEKLRKSSKELVVQAAKRDAYVKKKCDDGSFGGVDMKEIAEAFDDGSDNQNYRFSSHGEDPSEIGDGNYDDDFEEEDMYESDEDRDWETVDDGFASSFVEEGKLDALRAAFNGLANENGSVSAEPTKTIAAENQEAEQVKNTFSPGKLRVLPLYAMLSPAAQLRVFEEFEKEERLVVVATNVAETSLTIPGIKYVVDTGRVKVKNYDSKTGMESYEVDWISQASASQRAGRAGRTGPGHCYRLYSSAVFSNIFEESSPPEITKVPVDGVVLLMKSMNIPKVENFPFPTPPDPSAIREAERCLKALEALDSNGRLTPLGKAMSYYPMSPRHSRMLLTVIQMLKETRNYSRANLVLGYAVAAVAALSLPNPLIMEFEGEKKNESKDADKTVKQEDKQRRKDRKEKIKAARDRFSNPSSDALTVAYALHSFEVSENGMGFCEANGLHLKTMDEMSKLKDQLLRLVFSCCKPSETDDGFSWTHGTIQDVEKSWRITTSSSSKYPLLQNEEELLGEAICAGWADRVARKTRATEYQACAVQEPVFLHRWSSLINTAPELLVYSELLLTNRPYMHGATRVKPEWLVKHAKSLCVFSSPLKDPKPYYSSEEDRVLCWVIPSFGPHNWELPAHSVAITDDRDRAAAFGCALLQGEVLPCLKSVRALLAGKPETLLEREAWGLERVGGLVMVLTEKKIDSLESLRKSWEQNPNVLYSETEVWFQKKFRHRVKELWQTMLKEAHVQRSQRRR >fgenesh2_kg.1__3468__AT1G33410.1 pep chromosome:v.1.0:1:15461746:15471074:-1 gene:fgenesh2_kg.1__3468__AT1G33410.1 transcript:fgenesh2_kg.1__3468__AT1G33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENRRNSFAGMEVPVAGGGNVVKWIEISVPSPSVSSSSIGANSSEDNECVQLPSYEDYASSSVIGEPPISFVWRINKTSPNALELLQLSAKSGFPITGLRFLFAQTLSPFAFVYADKGSDSGRLVYFLYALTPSGVVYVLKLLNTSAYKSGSVFPVDHLIHLDVRPYLNETRATSVAALPGFIFLGRSDGCVSCFQPVVYFQKSSGFHQELRDDTGFGRLGTVVAAVQDLFISEVHGRNYICVLHADGALRVWDILTYSRVLCQSIAAKNLEGVMCVRLWLGKADYDSGIIPLAVLYRKSMDDSMDVITVYGLYFSSGEGIALSLDSGLQNIPLKEGELRDVRFTSDKIWTLKADELTSHMLFQKSSTMEAQSYTLQEDYISEQLFLSSRSSSQDLLLTTHSLFSSAKDQIMGFISSIFLRRLLCPGIFHNVALRLTLLDHNKHWTDSEFQSLSLDELTSEILLLVEHESDVIGLVRNNSVSLFFRLENAEHSLGGSSSEHSNLTNLGLGVSHNEHEILAEVLRCTSKISKQWGGAPYAMYYESITGKPVISSDEIVPRLVNILESGYSTTIGQRTWSDLGADRAWEKELEAHKNLRTFSIDMLLSLSALCQRAGSWEKVFTIMEHYLQYLVPKKSMQENDGEALSDICSSILVQATSQFVKLMFESAFDIFLLVSYLLNIAGQVNMSQQDMCKLRLELLPMIQDIVSEWLIILFFVTTPAESTSMEDFSLKLSSLQIDSSIDKRSWNAMLGKCGFSLAFILLFSDRSCIVDGRFNLRYLPSSQIITSLVQNFISWIRYSKTGEESSSLLRRSTELSLRLIRNGQSDAVERILVVVEASLRGEKTFGCSQDTSGDWCLLQHLRGCCLLDQVQRGACGILRERKIIDAIRCFFRASSGEGSWKALHSLSKEAGFSPATTGPSISDGSMSCATWKLQYYEWAMQIFERYNISEGACQFAYAALEQVDEAYDFIERTEEFDLTKAATDTRGRLWANVFKFTLDLNLLNDAYCAIISNPDEEIKRICLRRFIIVLFECGKTKILSDGHLPFIGLTEKITQELFWKAGRSEIMMKPNPYKLLYAYEMRRHNWRMAASYMYQFSARLRSEAACKDYKHMSLVLQERLNGLSAAINALALVHPGYAWIDPVPEESSRYPVKKARRAEEEQLRSNDKGEQSCIDIEKLQNEFVFTTAEYMLSLKNFGWTYSGLEKPPSDLVDLLVQANLYDMAFTVVLKFWSGSALKRELEKIFENMAIKCCPAKGALWTSPNLMLTSNDEEVTHSPDRSPAVQGSKLAGDWEILEVYLKRYIDIHARLPVSVASTLLQADSCIELPLWLVQMFKDGQKEKALGMAGKEASPASLFQLYVDYGRLTEATNLLLEYMEAFASSKPAEVLKRKKVSGVWFPYTTVERLWWELEKTMNSGRMLEQCQKLKEQLHQALLNHLKLLKVDSNDAVSSATG >fgenesh2_kg.1__3472__AT1G33470.1 pep chromosome:v.1.0:1:15496967:15499647:1 gene:fgenesh2_kg.1__3472__AT1G33470.1 transcript:fgenesh2_kg.1__3472__AT1G33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KII9] MEDTTFTKVFVGGLAWETHKISLRNYFEQFGDIVEAVVITDKSSGRSKGYGFVTFCDPEAAQKACVDPAPVIDGRRANCNLAAFGVQRSKPSSPIHGHAGGRGGMKVTSPFKTHFGAAAAAIPSPLPFSHYTLPYTNPFGFSSYSMDYNYPTQSYYNVYGGATAQHPMYGSGPMTGVAAATAAGFYPYLQFAEGNGPITGYAPLHYPNHMFHYSAPGGNYPHHNGSPVSLAPSPVIPSVCFAVPQA >fgenesh2_kg.1__3477__AT1G33500.1 pep chromosome:v.1.0:1:15505340:15507449:1 gene:fgenesh2_kg.1__3477__AT1G33500.1 transcript:fgenesh2_kg.1__3477__AT1G33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KJ77] EEEMEEYLQYMKTLRSQMTDVEDHAAKVSVEEQMQVTTINTLEKDLDHALSETKRLKEETDQKTRKKGEICSHILEKQRKISSMESDSANLSQSLELILQERDSLSAKLVLKRSNYVKTAEEARTKLEEQKGWFISHMSNETGQQGQKKETRNNLMELSDSARAKLDQAKQIRSNLLQEISKIKLSIENVKHKINEFKPELMSVDIKILEEEYTALLSDESGEAEYLSSLQSQAEKLKV >fgenesh2_kg.1__3480__AT1G33560.1 pep chromosome:v.1.0:1:15541048:15544186:1 gene:fgenesh2_kg.1__3480__AT1G33560.1 transcript:fgenesh2_kg.1__3480__AT1G33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFIDLFAGDITTQLLKLLVLVANTVYSCKGIAERLITMIRDVQPTIKEIQYSGVELSNHRQTQLRGFFEILEKARKLCEKVLRCRRWNLKHVYHANKMKDLEKNISRFLNSQILLFVLAEVCHLRVNGDRIERNMDRLLSERNDSLSFPETMMEIETVSDPPIQMVGLDLGKRKVKEMMFKFTDTYLFGISGMSGSGKTTLAIELSRDDDVRGLFKNKVLFLIVSRSPNFENLEFCIREFLNDGVQQRKLVILDDVWTRESLDKLLSKIRGSTTLVVSRSKLADPRTTYNVELLKEDEAMSLLCLYAFDHKSPPSPFSKKLVKQVVDECKGLPLSLKVLGASLKNKPERYWEGVVKRLLRGEAADETHENRVFAHMEESLENLEPKLRECFLDMGAFPEDKKIPLYVLTNVWVERHDIDEETAFSFVLRLADKNLLTIVKNPRFGDVHIGYYDVFVTQHDVLRDLALHMSNRVDVNRRERLLMPKREPMLPREWEKNNDEPFDAKIVSLHTGKNYLTLNEFGEMDEMDWFDMDLPKAEVLILNFSSENYVLPPFIGKMSRLRVLVIINNGMSPARLHGFSIFANLAKLRSLWLERVHVPEFTSSTIPLKKLQKMHLIFCKVNNSFDQTSFDISQIFPSLSDLTIDHCDDLVELNSIFGITSLNSLSITNCPRILELPKNLSNLQSLERLRLYACPELISLPVEICELPCLKYVDISQCVSLIYLPEKFGKLGKLEKIDMRECSLLGLPSSVAALVSLRHVVCDEETSSMWEKVKKIVPELCIEVAKKCFTVDWLDD >fgenesh2_kg.1__3483__AT1G33700.2 pep chromosome:v.1.0:1:15604322:15610211:-1 gene:fgenesh2_kg.1__3483__AT1G33700.2 transcript:fgenesh2_kg.1__3483__AT1G33700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:D7KJ90] MQNVPEDQNQMVIDDKLPPFSWERKLNSQAKTPSEFKLSKRDHLHLFPLGYRLWRHTKDEAAKGRASIFDIFRKHHITGDHGVPLGGIGAGSIGRSYKGEFQQFKLFPKICEEAPILTNQFSAFVSRPGGVKHSTVLCPSKPQVIKDNGGYLCKGQVPNIGIESWDWNMTGEKSTYHALYPRSWTVYDGEPDPELRIVSRQVSPFIPHNYEESSLPVSVFNFTVTNTGAEQAIVTLLFTWENSVGGASGLTGQHFNSTMKAKDGVHAVALQHKTANGHPPVSYAIAAKETEDVRVSSCPCFIVSGTTPNKITAGDMWDEIKKNASFDKLTSNACSPSKPGTSIGAAIAAKVKVPPGCDRTVTFSLSWDCPEARFDEKTYHRRYTRFYGSLGNAAVAMAHDALLNFSEWETQIEEWQAPILADTTLPEWYRITLFNELYYFNSGGTMWTDGLPPKQSLDSIGRRKISLSISTTDNTDPDQNDIALDILGRIDAVCSQIHAPLSSNAALGTTMIQNTSENIGQFLYLEGIQYLMYNTYDVHFYSSFALLMLFPKLELSIQRDFAAAVLMHDSSKKQVMSSGEFVTRKALGAVPHDIGLNDPWFEVNAYNLFNTDRWKDLNSKFVLQVYRDVVATGDLNFAKAVWPSVYTAIAYLDQFDKDGDGMIENEGFPDQTYDAWSCSGVSAYCGGLWVAALQAGSALAREVGDNGAAVYFNAKYEKARSVYEKLWNGSYFNYDNSRSGSSSSILADQLAGQWYARACGLKPIAKEEWIKKALETVYDFNVMRVRDGTRGAVNGMLPDGRVDTSTMVSREVWAGTTYSVAACMIQEGLADKGFRTASGIYEAAWSDRGLGCAFQTPEAWTTNDEFRSLCYMRPLAIWGIQWAHTMPQPNREQEVSLKQQEEDATSVLFQQHAGFIKVAHYLKNTKGKDHRNRLQTAYETFLRVIRL >fgenesh2_kg.1__3486__AT1G33780.1 pep chromosome:v.1.0:1:15697514:15699051:-1 gene:fgenesh2_kg.1__3486__AT1G33780.1 transcript:fgenesh2_kg.1__3486__AT1G33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWTLQVKDVTIRNPIFSSSVKSSSISGKIGELEFRFLKRKVSSPSPYRSLVVRATSKKSNDDSSASAGDASQENKPSNGNKSGDSAAPKSFGLNADWREFRANLFMKEQEEKAEAEGNESEPIGLKWAHPIPFPETGCVLVATEKLDGYRTFARTVVLLLRAGTRHPQEGPFGVVINRPLHKNIKHMKSTKTELATTFSECSLYFGGPLEASMFLLKTGDKTKIPGFEEVMPGLNFGTRNSLDEAAVLVKRGILKPQEFRFFVGYAGWQLDQLREEIESDYWHVAACSSDLICGASSENLWEEILQLMGGQYSELSRKPKLDI >fgenesh2_kg.1__3491__AT1G33811.1 pep chromosome:v.1.0:1:15759155:15761568:1 gene:fgenesh2_kg.1__3491__AT1G33811.1 transcript:fgenesh2_kg.1__3491__AT1G33811.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7KJB1] MGILRFVLLKTAVSQPQQQAQVPCLFIFGDSLVDNGNNNRLLSLARANYRPYGIDFPQGTTGRFTNGRTYVDALAQILGFRAYIAPYSRIRGQAILRGANFASGAAGIRDETGDNLGAHTSMNQQVELYTSAVQQMLRYFRGDTNELQRYLSRCIFYSGMGSNDYLNNYFMPDFYSTSTNFNDKTFAESLIKNYTQQLTRLYQFGARKVIVTGVGQIGCIPYQLARYNNRNNSTGRCNDKINNAIVVFNSQVKKLVDRFNKGQLKGAKFVYLDSYKSTYDLAVNGATYGFEVVDKGCCGVGRNNGQITCLPLQTPCPDRTKYLFWDAFHPTETANILLAKSNFYSRAYTYPINIQELANI >fgenesh2_kg.1__3494__AT1G33840.1 pep chromosome:v.1.0:1:15794599:15796072:-1 gene:fgenesh2_kg.1__3494__AT1G33840.1 transcript:fgenesh2_kg.1__3494__AT1G33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KJB8] MVIVDPKYCSPQPVDLAIVRKVLKITDGNFVITNADGNLLFKVKDPLFSLHEKRILLDGFGTKVLTLKGKIMTMHDRWLVFRGGSTEEGDLLYTVKRSNMVQITTKLDVFLADNIEEKKCDYRLEGVWLESSCFVYAGDSDIILAQMREKKTMQSVFFGKDNFCLTVNPNVDYAFIASLIVIL >fgenesh2_kg.1__3496__AT1G33880.1 pep chromosome:v.1.0:1:15801599:15802559:-1 gene:fgenesh2_kg.1__3496__AT1G33880.1 transcript:fgenesh2_kg.1__3496__AT1G33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Avirulence-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7KJC0] MGSSASKPPDKNINIAGDQNMGTSVPKPVKNIVLVGRSINGICTTGNTILGQKKFTSEGAFMHSQMYSTTTPDGQMINVIKTPGMFDLSVSEDFISKEIINCLTLVEEGIDAVLFVLSVRNRISQEEEYALNTLQRIFGSKIFEYMILLLTNGEKFEAFEFEDYFRECCPEFLMKVLRFCNGRKVLFNNMTNDEGVKAEQVNQIMAHVAAISKKINPYTNDMYRHIKVRFFFL >fgenesh2_kg.1__3504__AT1G33970.4 pep chromosome:v.1.0:1:15844037:15845872:-1 gene:fgenesh2_kg.1__3504__AT1G33970.4 transcript:fgenesh2_kg.1__3504__AT1G33970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDMMEDDWEFASSSNPKRTLVLVGRTGNGKSATGNSILGRKAFRSRARTVGVTSTCESQRVVQEDGDIINVVDTPGLFDLSTAADFIGKEIVRCISLAEDGIHAILLVFSVRRLAEEEQTILSFLQALFGSKIADYMIVVFTGGDELEENEETLEEYLADFCPEFLKEILGICDNRVVLFNNKTTDKLKKAEQVQKLLSLVESIVKRNNGKPYTDELFHELQEEAIKLRGQKKEVEALKGYSNNEISEFKKQIDMSYDRQLSRITEMVETKLRETAKRLEQQLGEEQAARIEAEKKVNEVQKRSSDEIKKLRENLERAEKETKELQKKLGKCINL >fgenesh2_kg.1__3507__AT1G33980.1 pep chromosome:v.1.0:1:15846212:15849623:1 gene:fgenesh2_kg.1__3507__AT1G33980.1 transcript:fgenesh2_kg.1__3507__AT1G33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATUPF3/UPF3 [Source:UniProtKB/TrEMBL;Acc:D7KJC7] MKEPLQKKKVVVRHLPPSLSQSDLLSQIDPRFADRYNWVSFRPGKSSYKNQKYSRAYVSFKAPEDVYEFAAFFNGHVFVNEKGAQFKAVVEYAPSQRVPKPCDKKDPREGSISKDPDYLEFLKVIAQPVENLPSAEIQLERREAEQSGASKAAPIVTPLMEFIRQKRATVMGSQGLSDVRRGGRRARVVSANKPSSRPSKRNSEKKKYVEKDSSKNVPRKTTADVSSSKPDYRQSNSSGNETGNKSTAPVIDSSLPGIALTMDSGKKKILLLKSKDRDNSDNPPPQPEQHIETILSGNSTASRQNQKSDVGGRLIKGILMKSDSRPSQSSTFVQPEQRVEPSEAENYKRPPRPANTRAGKDYHASGTISEKQERRTRNKDRPDRVVWAPLRRDGSNISEDQPLSSAGNNGEVKDRMFSQRSGEVVNSSGGHTLENGSARHSSRRVGGRNRKEELMTGEGKTSRRGGGGGPNSQEKQMWIQKPSLGT >fgenesh2_kg.1__350__AT1G04200.1 pep chromosome:v.1.0:1:1343629:1348279:1 gene:fgenesh2_kg.1__350__AT1G04200.1 transcript:fgenesh2_kg.1__350__AT1G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVPSTPRNTGGDDVSVAEYLIATFVGEKSFPLASDFWNKLLELPLSSRWPSDRVQQACELFEQSNGYTRHLAKLLIHLSWCLQELLQASDDQSSIYMKAVNATYISSVFLKYLIENGKIDSLQELHLSLDESEPVPHGFVMDQDIQNFVMHSVLSFIGSNEVSPNSYVLHQELLNFMLVTMSTQLLSGPSPGPRDASPFIDAAMSQEKSIVCLVVRRLLLNYISRHRTPPNAISYMYSDGDSQGILGRVGSAAASFVLLPLNYLVSNSGGSKNPLAECSLHVLLILINYHKSIMSDESMTDKSDDSATSESVSKVHVFSSGNTFSKALANARDVEFDRSDVEGNAHPAGQHVRIPFASLFDTLGMCLDDEGAVLLLYSLLQGNSDFKEYVLVRTDLDTLLMPILETLYNASKRTSSNQIYMMLIVLLILSQDSSFNSSIHKMILPSVPWYKEHLLHQTSLGSVMVIILIRTVQHNLSKLRDVYLQTTCLATLANMAPHAHHLSAYASQRLVSLFYMLSRKYNKLSELTGDKVQSIKISLSGEDLDVSEDLAAELQIFTDFLRLVLDILNAILTYALPRNPEIVYAIMHRQEVFQPFKNHPQFHELVENIYTVLDFFNSRMDSQRSDREWSVQKVLQFIINNCRSWRGEGMKMFTQLHFSYEQESHPEEFFIPYVWQLAFSRCGFSFNPDAINLFPVPHPAGKEIEDGRGEESEGKEKVQELKGQRIVFDP >fgenesh2_kg.1__3510__AT1G34020.1 pep chromosome:v.1.0:1:15865229:15867597:1 gene:fgenesh2_kg.1__3510__AT1G34020.1 transcript:fgenesh2_kg.1__3510__AT1G34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSKADKKAAVDAAAWMFNVVTSVGIIIVNKALMATYGYSFATTLTGLHFATTTLMTIVLRCLGYIQPSHLPFTELLRFILFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEMVFDKIRYSRDTKLSIGLVLVGVGVCTVTDVSVNTKGFVAAFVAVWSTALQQYYVHYLQRKYSLNSFNLLGHTAPAQAATLLVVGPFLDYWLTEKRVDMYDYNLVSVLFITLSCTIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFGREGLNLHVVVGMIIAVLGMIWYGNASSKPGGKERRNYSLPTTRQQKLGAASDSDDNEDKA >fgenesh2_kg.1__3511__AT1G34030.1 pep chromosome:v.1.0:1:15868855:15869957:-1 gene:fgenesh2_kg.1__3511__AT1G34030.1 transcript:fgenesh2_kg.1__3511__AT1G34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEEFQHILRVLNTNVDGKQKIMFALTSIKGIGRRLANIVCKKADVDMNKRAGELSAAEIDNLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >fgenesh2_kg.1__3512__AT1G34040.1 pep chromosome:v.1.0:1:15878814:15880660:1 gene:fgenesh2_kg.1__3512__AT1G34040.1 transcript:fgenesh2_kg.1__3512__AT1G34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alliinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KJD4] MMHNKLLVAVSIILNLLFTVHILYNNSTTWSPTWTDRAASEAEDAASVSCSGHGRAYVDGLGVLDGHKPPCECNNCYTGKDCSFFVPDCPVDADSGDPLFLEPFWIRNAEGSAVVESGWHRMSYSYHGYGLFVSAELERIIRKLHNVVGNAVTDNRFIIFGTGATQLLAASVHALSQTNSSSSSPSRLVTSVPYYNMNKDQAEFFNSADLKFEGDASAWKRSERNDNMTQVIEIVTSPNNPDGKLKRAVLDGPNVKYIHDYAYYWPYFSPITHPVDEDLSLFSLSKTTGHAGSRFDWALVKDKAVYEKMKTYIILSTMGVSKDTQLHALQLLKVVIGDGGDEIFSFGYGTLKKRWEILNKIFSMSTRFSLQTIKPQYCNYFKKVREFTPSYAWVKCERPEDKNCYEIFRAVKITGRNGNVFGSEERFVRLSLIRSQDDFDQLIAILKKFVSKEAVVVDSV >fgenesh2_kg.1__3515__AT1G34060.1 pep chromosome:v.1.0:1:15936342:15938285:-1 gene:fgenesh2_kg.1__3515__AT1G34060.1 transcript:fgenesh2_kg.1__3515__AT1G34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alliinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KJE2] MQMKLLLTVSIILNLVFTVHILYYSSTTWNPTWTTRAAAEAETVASFSCSGHGRAFVDGLGVLDGQKPSCECNNCYTGKDCSVLLKDCPVDANSGDPLFLEPFWMRQAESSAVLVSGWHRMSYIYQDGTYVSRELEKVIRKLHSVVGNAVTDNRFVIFGSGTTQLLAAAVHVLSLTNSSISSPARLLTSIPYYSMYKDQAEFFDSAHLKFEGDVSAWKQSGRNDNITQVIEVVTSPNNPDGKLKRAVLDGPNVKIVHDYAYYWPYFTPITHPVDENLSLFSLSKATGHAGSRFGWGLVKDKSIYEKMDRYIRLTSMGVSKETQLHVLQLLKVVVGDRGDDIFNFGYGTLKKRWEILNKILSISTRFSLQAINPEYCNYFKKVREFTPSYAWVKCERPEDTNCYEIFRAAKITGRNGNVFGSEERFVRLSLIRSQDDFDQLIDMLKKLVSEEAVGADSI >fgenesh2_kg.1__3517__AT1G34110.1 pep chromosome:v.1.0:1:15958718:15962751:-1 gene:fgenesh2_kg.1__3517__AT1G34110.1 transcript:fgenesh2_kg.1__3517__AT1G34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTLSLSSDGQALLSLKRPSPSLFSSWDPQDQTPCSWYGITCSADNRVISVSIPDTFLNLSSIPDLSSLSSLQFLNLSSTNLSGPIPPSFGKLTHLRLLDLSSNSLSGPIPSELGHLSSLQFLILNANKLSGSIPSQISNLSALQVLCLQDNLLNGSIPSSFGSLVSLQQFRLGGNPNLGGPIPAQLGFLKNLTTLGFAASGLSGSIPSTFGNLVNLQTLALYDTEISGTIPPQLGLCSELRNLYLHMNKLTGSIPKELGKLQKITSLLLWGNSLSGVIPPEISNCSSLVVFDVSANDLTGEIPGDLGKLVWLEQLQLSDNMFTGQIPWELSNCSSLIALQLDKNKLSGSIPSQIGNLKSLQSFFLWENSISGTIPSSFGNCTDLVALDLSRNKLTGRIPEELFSLKRLSKLLLLGNSLSGGLPKSVSKCQSLVRLRVGENQLSGQIPKEIGELQNLVFLDLYMNHFSGGLPYEISNITVLELLDVHNNYITGDIPAKLGNLVNLEQLDLSRNSFTGNIPLSFGNLSYLNKLILNNNLLTGQIPKSIKNLQKLTLLDLSFNSLSGEIPQELGQVTSLTINLDLSYNTFTGDIPETFSGLTQLQSLDLSRNMLHGDIKVLGSLTSLASLNISCNNFSGPIPATPFFKTISATSYLQNTNLCHSLDGITCSSRNRQNNGVKSPKIVALIAVILASITIAILAAWLLLLRNNHRYNTQKSSSSSPSTAEDFSYPWTFIPFQKLGISVNNIVNCLTDENVIGKGCSGIVYKAEIPNGEIVAVKKLWKTKDNDEGGGESTIDSFAAEIQILGSIRHRNIVKLLGYCSNKSVKLLLYNYFPNGNLQQLLQGNRNLDWETRYKIAIGSAQGLAYLHHDCVPAILHRDVKCNNILLDSKYEAILADFGLAKLMMNSPNYHNAMSRVAEYGYTMNITEKSDVYSYGVVLLEILSGRSAVEPQIGDGLHIVEWVKKKMGSFEPALSVLDVKLQGLPDQIVQEMLQTLGIAMFCVNPSPVERPTMKEVVTLLMEVKCSPEEWGKTSQPLIKPSSS >fgenesh2_kg.1__3518__AT1G34120.2 pep chromosome:v.1.0:1:15973050:15975966:1 gene:fgenesh2_kg.1__3518__AT1G34120.2 transcript:fgenesh2_kg.1__3518__AT1G34120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate 5-phosphatase I [Source:UniProtKB/TrEMBL;Acc:D7KJE8] MAEVRSRSSRRTESNWATICCSAFSCLQLYWARLVLRKWFNVSATESDYSADSDDDYEDRAQEFDPSSSGVTNPRADIDGNVIYGPKLRRRNSETFRVQYMDTKAIRICAGTWNVGGRVPSSDLDIDGWLDTVEPADIYVLGLQEIVPLNAGNIFGMEDDQPASEWENLIRDALNQVRPRKLKIVSHSDPPSPSKFKQSEEVPYRVEDMFVETSHDACDAISSMDNKLNSVEITDIPIVSEDSLTNIDVLGSANDNASCLPIQEYLQRQFSSPNTPDRSLSMQINSDSKREERFSYTKRVGLSWPEPPLRLLNQYVSERRGSFKSVNLTITHLRKPSYVRIVSKQMVGVFLTIWVRRNLRKHISNLCVSTVGVGIMGYIGNKGSVSVSMSIYQTPFCFLCSHLSSGEKDTDQEKRNDDVREIHRRTQFLPHSLNANGIPRSIRDHERIIWLGDLNYRINLSYEKTHELIARKEWQRLVEYDQLSREMTKGNVFEGWSEGTLDFPPTYKYEIDSENYIGDDPESGKRRPAWCDRIIWKGKGMKLFNYRRNEIKLSDHRPVTATFLAKVEVLSPRKLQHVLTLTYAEIQGLDA >fgenesh2_kg.1__3523__AT1G34150.1 pep chromosome:v.1.0:1:15986667:15990209:1 gene:fgenesh2_kg.1__3523__AT1G34150.1 transcript:fgenesh2_kg.1__3523__AT1G34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:D7KJF1] MTISDGKSDPGGDDRDSEIVKETELVFLRNRVKELEVENAKLLSQVSSCQCQQMEVKHDRSVSDSSSLVRRRRVRKGDKNSIPSHLISKRYVALKIMYFGKRFYGFSAEAQMEPSIESEVFKALERTRLLVGDKKDSCYSRCGRTDKGVSSTGQVIALFLRSRLKSPPGDSKAQVNGKTGERPEYDYVRVLNRALPDDIRVIGWSPAPVDFHARFSCYAREYKYFFWRQNLNLSAMDIAGKKFIGEHDFRNFCKMDVANVHCYTRRVTFFEVSPCQNSHEGDQLCTFTMRGSAFLWHQIRCMVAVLFMIGQGVESVDVIDTLLDTKKTPRKPQYLLASEIPLVLRTCEFENVDFICSPGAAESLRSHFKNESLTYQLESVIYQEALRNCLPLSNVSTEESSCNGIEKKKKRAEHVPLLSRPTEPSYEERAAKLKPRKQETCHV >fgenesh2_kg.1__3525__AT1G34180.1 pep chromosome:v.1.0:1:16002334:16005034:1 gene:fgenesh2_kg.1__3525__AT1G34180.1 transcript:fgenesh2_kg.1__3525__AT1G34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC016 [Source:UniProtKB/TrEMBL;Acc:D7KJF4] MVDSSPDSCFKAGKFSAPGFRFHPTDEELVVYYLKRKICCRKLRINAIGVVDVYKVDPSELPGLSMLKTGDRQWFFFTPRNRKYPNAARSSRGTATGYWKATGKDRVIEYNSRSVGLKKTLVFYRGRAPNGERTDWVMHEYTMDEEELGRCKNAKEYYALYKLYKKSGAGPKNGEQYGAPFQEEEWVDSDSEDADNVAAPEYPVVRYENSCRVDDTKFCSPVNLQLEDIEKLLNEVPDAPGVNSRQFNEFVGVPQGNSAEVIESTLLNNSSGEFLDPRKIGVFLPNGQPYNRHSSFQSQLKSANSFEATSGMAPLLDFEKEEDYIEMDDLLIPELGASLTEKSTEFLRHGEFGDVNEYDQLFHDIPVSLDDPVFQGTSTNLSSLSNFTNNTSDQRQQYLNQQFQYQAPENQLNNFMYPSTTINQFTDNMWFKDDQAALFVQPQSSSGAFASQSTGVMPESMNPTMSVNAQDKEGQNGGGTRSQFSSALWELLESIPSTPASACEGPLNQNFVRMSSFSRIRFNGTSVTSRKVTVAKKRISNRGFLLLSIMGALCAIFWVFIATVGVLGRPVLS >fgenesh2_kg.1__3528__AT1G34220.1 pep chromosome:v.1.0:1:16019361:16022544:-1 gene:fgenesh2_kg.1__3528__AT1G34220.1 transcript:fgenesh2_kg.1__3528__AT1G34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLDSFFNKGFKAAKCKTLLKLTIPRIKLIRNRREAQIKQMRREIAKLLETGQEATARIRVEHIIREEKMMAAQEILELFCELIAVRLPIIEAQRECPLDLKEAISSVCFAAPRCSDLTELQQVQILFVSKYGKEFVAAASELKLDSGVNRKTESLIFIAWFSLVETRYLFMFLYFSKSILQLVELLSVRAPSPEIKLKLLKEIAEEHELDWDPASTETDLFKSHEDLLDGPKQFGGGSKLPLPEEQNEGTHVTSLSAPKEKSDSDSEYDILDFPEVPNVLLRPTPGATPVNAPDAAKSTSYEHTSHNLPFDSEKAGVEKTASKRDDHPAKANKTVVEGQQSSPIVMESFERNNYSPPSIDAVGPFPTKESGASRDAPRKISDGDLQDVLTAAQAAADSAERAAAAARSAASLAQLRINELTRKTSDQSPESPSENPFHTPSMGNLQFDHQNSSGSSSGDLTELQRAETSSLFNSEKNNQQPQRHSSMEKPQFDRQNSSFSSYGDLTPQRFPSMEKPQFDRQNSSASNYDDHTPQRFLSMEKLQFDHQNSSASNYDDLTPQRFPSFEKPQFDHQNSSVSSYGDLPELQRPETSSLDRLSPDQDHQQLRLPSMEDDPYYSYPNLFTSQKPDPSSGSHSFSDNTRPAHDS >fgenesh2_kg.1__352__AT1G04220.1 pep chromosome:v.1.0:1:1354455:1357181:-1 gene:fgenesh2_kg.1__352__AT1G04220.1 transcript:fgenesh2_kg.1__352__AT1G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7KDC3] MNENHIQSDHMNNTIHVTNKKLPNFLLSVRLKYVKLGYHYLISNAVYILILPVLLAATSSSFNLSDLTLLYNHLLKFHFLSSTIFAALLIFLTTLYFTTRPRKIFLLDFACYKPDSSLICTRETFMDRSQRVGIFTEDNLAFQQKILERSGLGQKTYFPEALLRVPPNPCMSEARKEAETVMFGAIDAVLEKTGVKPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNILSYNLGGMGCSAGLISIDLAKQLLQVQPNSYALVVSTENITLNWYLGNDRSMLLSNCIFRMGGAAVLLSNRCSDRRRSKYQLIHTVRTHKGADDNAFNCVYQREDNDDNKEIGVSLSKNLMAIAGEALKTNITTLGPLVLPMSEQLLFFATLVARKVFNVKKIKPYIPDFKLAFEHFCIHAGGRAVLDEIEKNLDLSEWHMEPSRMTLNRFGNTSSSSLWYELAYSEAKGRIKRGDRTWQIAFGSGFKCNSAVWRALRTIDPSKEKTNPWIDEIHEFPVPVPRISPVTSSSESR >fgenesh2_kg.1__3530__AT1G36920.1 pep chromosome:v.1.0:1:16025702:16027516:-1 gene:fgenesh2_kg.1__3530__AT1G36920.1 transcript:fgenesh2_kg.1__3530__AT1G36920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVKSITTAEGPTKLLNLQLKDLGDSLIDVALWGKLAEDVYSNIKSQPSGPVVFLGSLMKTLLYQGKGTVESSKFTTKAYINSPLPEILQFQEAFKLFVKATDNTGSASLILFNDVVIPLIKKSAYELLEQQVLVLSFSLFLITFNRSGETPQELLDLDGRNYVFKIMVKDEAKYNQSSTYKVISLTDVPDVIQSFSESASTLNAIEQEIITVSGNSVGTSIGTSTQAEINFVDVEKLDNNEDNAYNVSTPKPTAKRSLATSKDVQQSSTKPKLMSKAQIKKENK >fgenesh2_kg.1__3533__AT1G14660.1 pep chromosome:v.1.0:1:16065350:16073068:1 gene:fgenesh2_kg.1__3533__AT1G14660.1 transcript:fgenesh2_kg.1__3533__AT1G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KK47] DEYNRRGVAVQVTGESNFIFAGTSLVLGTACRHLFNGTRVPYTVVLLVIGIVLGSLAQFVFIQLSDHIPKMKNLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNTWIYSPCSVSRSSRRNMELIITLGIRIWNDINPDLLFIGCISPCESSFSMFLLSSSSFSVYGPNGSACWSWSSDFYLFSLFHITGTGKHHCCLVAVLKVMVSVVVFQLFFKMVMGHNSDWGSIIRFLVQNSFGPLGIGLAFGFASVFWLKFIFNDTVVQITVTLSASYFAYYTAQECAGVSGILTGMILGMFFAAFARTAFKGDSHQSLHHFWEMAAYIANTLAFILSRLKQYHCMCFSWKESIILTWSGLRGAVSLSLALSVKQSSGNPYLSSDTGTRFLFLTGGIVFLTLVVNGSTTQLLLHLLRMDTLTATKKRILEYTKFEMMKTALKAFANLGDDEELGSADWPTVIKHISSLEYLEGRQVNPQNGYEAGSLGPRNIMDIRIRFLNGVQAAYWEMLDDGRITQCTANVLMQSVDEALDLVSTSSLSDWNLLVTFPLHFSTLHIISQIKTKLLNIASTVINESEVEGEEAKQFLEDVRDSFPQCFENKTSNTLCAESSKTLRRFFVLHSDLKKLLRNPPLLKLPNIDDLITSNPLSKDHSSFRSLAIGET >fgenesh2_kg.1__3537__AT1G34260.1 pep chromosome:v.1.0:1:16117307:16123442:1 gene:fgenesh2_kg.1__3537__AT1G34260.1 transcript:fgenesh2_kg.1__3537__AT1G34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KK53] MTPTESLSSSERSLSGECSVDGNDRGNEDECSSHSSQDDMEHKVDRLERKSKSMPSDILDILDEKSKGNGVENVQFLSDREDDSDDVPVWEPPEPENPEDEVDGVFADDDDDCCDGSKWNKASLLGELSEESSEKRKVYEENRRVMLEEANSKFKFIVSHLIKSAGLSIEESGYWIEIVARLCWEAASMLKPAIDGKSVDPTEYIKVKCIATGSCIDSEVFKGLVFKKHAALKHMATKYEHPRIMLVEGVLGHPISGFSSLQSVNQDNDYQLKYVKPVVDIIEASKPDVMLVEKSVSRDIQKSILDKGVTLVFDMKLHRLQRISRCIGSPILSVDSLSSQKLKHCDSFRIEKIVEEHNAVGESEKKPTKTLMFLEGCPTRLGCTILLKGCHSDRLKKVKEVVQYSFIMAYHLMLEASFLADRHTMFSTIFTKESTSCVVDVEIEKLPLSPSPRVSASEAVDIPVYNGFDEQSIQINGEADGEKVGTWESDGDHVFSHEPYNPVIFTGFSSLSARLSKYLGFVQNPESVPVSVDKDVSNVSNLDSIRESAEDTEEKNEDKQPMLFDPEIPVNSSSEDGDKSQTENDIESTLESQSILVLVSKRNTLRGIMCDQRHFSHIKFYKHFDVPLEKFLRDMFNQRNLCQTCNEFPEAHLYYYAHQNKQLTIQIKRIPVAKGLSGEAKGKIWMWSRCGKCKTKNSSRKSTKRVLISTAARSLSFGKFLELSFSQHTFLNRSSSCGHSFDSDFLHFFGLGSMVAMLSYSQVTSYTVSLPPMKLESSILIKAGWLEKEFQIVFTKGISLFGDATGFLKRLRSQFNSDLRYQRAHKLLSNIEELLKHERHVFEENIKNSFDKAKTIDDVSHRLLRLNRMRWELLLQALIWNYRLQSLVLSDRLLPSNDETEINEQGLKTVSEAGMTRYENDDKVSDSGSNGVMDTPVVEDKDIPIAGASVGDNDQMEESYVPEDNESQTLRSSSPDSTSPINNHFDTHLAVNVHSTNGKEADKSIPVTGDSLDDEVAASNGPYILGWDEWFWLPFEELRSKRIVDIEKEYLLKFEYVNNFTQENLQTVNQIITEESSRLRISLKDDDFIVSDYEDELSSLIACALAHLNNDENRKPLSRCIHGSLQGFLDNNQDSKQTDCEVSRFSSESTNRLETLPPPEVLVTFGSLKSIGKPKYSIVSLYADDFRELRKHCCSSELDYIASLSRCKPWDAKGGKSKSVFAKTLDDRFIVKEIKKTEYESFVTFAPEYFKYMKDSYDLGNQTCLAKVLGIHQVTVRQPKGGGKEIRHDLMVMENLSFGRKVTRQYDLKGALHARFTATSANGAEDVLLDQNFVNDMNKSPLYVSKTSKQNLQRAVYNDTSFLTSINVMDYSLLVGVDDENHELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNVQPTVISPIDYKTRFRKFMKTHFLCVPDQWCDQGDF >fgenesh2_kg.1__3539__AT1G34300.1 pep chromosome:v.1.0:1:16126896:16129697:1 gene:fgenesh2_kg.1__3539__AT1G34300.1 transcript:fgenesh2_kg.1__3539__AT1G34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KK55] MAEKTPFLKLLPLLLLLLLHFPLSSSTIPLGSVIFASGSNQNWPSPNSTFSVSFVPASSPNSFLAAVSFAGNVPIWSAGTVDSRGSLRLLTSGSLRLTNGSGTTIWDSGTDRLGVTSGSIEDSGEFILRNNRSIPVWSSFDNPTDTIVQSQNFTVGKILRSGLYSFQLETSGNLTLRWNTSTIYWNLGLNSSISSNLSSPSLGLVLRTNGVVSIFDSNLRGGVDTVYSGDYGDSDTFRFLKLDDGNLRIYSSASRNSGPVNAHWSAVDQCLVYGYCGNFGICSYNDTNPICSCPSGNFDFVNVNDRRKGCRRKVELSDCSGNTTMLDLPHTRLFTYENDPNSEIFFAGSSPCRANCLSSVTCLASVSMSDGSGNCWQKQPGSFFTGYQRPSVPSTSYVKVCAPVVSNPPLIATKVDSNNSKVHLWIVAVAVMAGLLGLVAVEVGLWWCCCRKNPRFGTLSSHYTLLEYASGAPVQFTYKELQRCTKSFKEKLGAGGFGTVYKGVLTNRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSQGRHRLLVYEFMRNGSLDNFLFTTDSGKFLTWEYRFSIALGTAKGITYLHEECRDCIVHCDIKPENILVDDNYAAKVSDFGLAKLLNPKDNRYNMSSVRGTRGYLAPEWLANLPITSKSDVYSYGMVLLELVSGKRNFDVSEKTNHKKFSIWAYEEFQKGNTEAILDTRLGEDQTVDMEQVMRMVKTSFWCIQEQPLQRPTMGKVVQMLEGITEIKNPPCPKTISEVSVSGNSMSTSRASMLVASGPTRSSSSSATRSFQTMGITSSGPASTRISEGSMLGS >fgenesh2_kg.1__353__AT1G04230.1 pep chromosome:v.1.0:1:1360860:1363452:1 gene:fgenesh2_kg.1__353__AT1G04230.1 transcript:fgenesh2_kg.1__353__AT1G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGYAKRRVAERKTTAGSSRRSKGLRVEKKPKNSSLKNQIRSIGRMIRKDLPPEVREALEKKLDDLKKQQDIHIRLAVERKIFLRNRKVRFFERRKIERSIRRLEKLQRSTSGGHVQDAEIGGQLNKLKEDLEYVRFFPKNEKYVSLFSGGDDLQLSERRSKLRKQIKANIIVAAASGKELEETGSEDDALLDLSDDDFFVNGSSSDEADADDEWTDKSTKEPVSSAFGRATSSMSSDERNQKPYTTRVLMPPPRSRFASTSRQYSSVKRNEIPSSSNTSHRRSQSSHAATSSHTSQSSNLSSNSDAHKPKRKRRPKKRKQQVSPS >fgenesh2_kg.1__3540__AT1G34320.1 pep chromosome:v.1.0:1:16132180:16136347:1 gene:fgenesh2_kg.1__3540__AT1G34320.1 transcript:fgenesh2_kg.1__3540__AT1G34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSRSSSVNNAPGGSFAHVNGHLNNSNGSEVNFQSSGEGGGEKDVADPSPVRENVDTNNKHTSESFSFPIVSGGSHPQNIEDGIPRLSRVLSQKSRSTKSRQAAVAKVSEVSSLLGRAGTMGLGKAVDVLDTLGSSMTNLNLSGGFSSATTVKGNKISILSFEVANTIVKGANLMHSLSKDSITHLKEGVLPSEGVQNLISKDMDELLRIAAADKREELRIFSGEVVRFGNRCKDPQYHNLDRFFDRLGSEFTPQKHLKEEAETIMHQLMSFVHFTADLYHELHALDRFEQDYQRKIQEEENPSTAQRGVGDTLAILRTELKSQKKHVRNLKKKSLWSRILEEVMEKLVDVVHFLHLEIHEAFGGADLDKPANDSPINHKKLGSAGLALHYANIITQIDTLVSRSSTMPASTRDALYQGLPPSIKSALRSRIQSFQVKEELTVPQIKAEMEKTLQWLVPVATNTTKAHHGFGWVGEWASSGSEANQRPAGQTILRIDTLHHADKEKTEAYILDLVVWLHHLVTQVRATTGYGLRSPVKSPIRSPNQKTIQLSSGSHNPSLGSPLLTTEDQEMLRDVSKRRKTPGISKSQEFETVAKTRLCKHHRLSKSSSHSPMMGEMMKNKKDTFSTRRPSSVPIIDFDIDRVKALDVIDRVDTIRSL >fgenesh2_kg.1__3541__AT1G34340.1 pep chromosome:v.1.0:1:16152408:16155565:1 gene:fgenesh2_kg.1__3541__AT1G34340.1 transcript:fgenesh2_kg.1__3541__AT1G34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7KK58] MEQDPILSPSPYDLLFQALSLIPIRHYLIALFLLCTIFFYNFLEFHFLGDAILRYFRCRVNLIYNPDSPLYHGVVSRCRILHGRYVATPWLASPHIQTCFLNFHGLPPVFSYKRQLFLASDGGTIALDWLTNSDVLDGDLHNQSEITKEDTTPIAVIIPGLTSDSSSAYLKHLAYNTAKTGWNVVISNHRGLGGVSVTSDRFYNAGWTEDIRVVLGYLQQKYPRAPLFAIGTSIGANVLVKYLGEEGEKTPLRGAVAICSPWDLLIGDRFICRTLKQKLYDKALTIGLQGYAQLHEPQFLRLANWEGIKKSRSIRDFDNHATCLVGKFETVDTYYRKSSSTQYVGNVAVPLLCISALDDPLCTKEAIPWDECRANKNIVLATTNHGGHLAFFEGLTGSSLWWVRATNEFLGALSCSRYMHIQKIEDKRSSGSRKQEPSINQGPYLNIAEDGLVAAVKYEKDTNKTTSKQRGPKPKEDVTKRSFKELCRQTKRSVWWLAYIGVVTSFPLLGMLLNYISRKKQRPTTTSKS >fgenesh2_kg.1__3542__AT1G34350.1 pep chromosome:v.1.0:1:16156016:16158021:1 gene:fgenesh2_kg.1__3542__AT1G34350.1 transcript:fgenesh2_kg.1__3542__AT1G34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIRSAMEKQMDLVADLVEKLSGELRTGFQPAYDNFLGFFHAIDWKEPWIMGLMAFHALLLLVTLLSRRHLNFHMFLFLLALAGVYFAENLNRELRKNWKSFSTQNYFDSQGVFLSVLWSGPLLVIAMIILINTLFSLCYLIVKWKRAELRHRARLARTKEE >fgenesh2_kg.1__3543__AT1G34355.1 pep chromosome:v.1.0:1:16158446:16168909:1 gene:fgenesh2_kg.1__3543__AT1G34355.1 transcript:fgenesh2_kg.1__3543__AT1G34355.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KK60] MEVKEEKVMEEEQRVPEKTIPVFTVLKNGAILKNIFVVNSRDFSSPERNGSTVSDDDDEVEEILVVGRHPDCDILLTHPSISRFHLEIRSISSRQKLFVTDLSSVHGTWVRDLRVEPHTCIEVEEGDTIRIGGSTRIYRLHWIPLSRAYDIDNPFFSPLDASTVMEQEEENRMIEAENLEVAQHQSLENTASGDDGDIHLDVTSEGTGSSVLSEDEDEDTYVTTREMSLPLASPNVLTLAGDSVKTKKMQFDEDLQTSPKLDLDVMEAVGEKLGSSFVPSKEQSDGYVEGLGCSELFVAEEADECDVRGDGSLHLNVISERMESSVPNMTEAENLEVAHQSLANTALGDDGDLHLDVTSEGPGSSVLSEDEDIYITTREISVPLASPNVLTLVRNSVKMQKLQFNEDLQPSTMWDLDVVEAAAEKPSSSCVLGKQQSGGYVEGLGYSERFVAAEADECDFRVRGDGGFHLNVMSERIESSVSNEEEDPFLAEKETSSLPLSTDSINPETLWLTEDVQASPEFSTSSLEANAENLSGSCSPSKEQIDGCFEASGCSAFELAAEVEILSLHREVSEETEFVTKEVMGVSAEPLAKADILSHEENGETEGSRQVIEVSPNSFSQAEPTLETLTGKAQGRVGSEFLSGVAVETESENLLLQKSIGETKAEIRSHNDYKETECSCPVIAVSPSSVSEPEPTLEVLTDEARCLLGSEFLSEMAVETEIDNLLHHKSNGETKADIQSHEDYGETDISRQVIAVSPNSFSQAETTLETVVSRQEARGLVGSDSEFQSEVAIETECENLLNQKNNGETKVSSRQSSAVSDCLFTGKDRLSSINTEDIQSLCSSWQPLSESEVGAPSEIRSDVTPARDQNQKSRMATRAFLRTLNRVKLNAELLIGSGRSEKYYSLSEIESGENTDIGRLSRCLTPSALAAETFEDTKPIEELSSDDTGSQENQTPQTHAFRNDVLSEMDSSSTCNIWSRRGKSASVLQIRTKKSQGKQKQIGNQPRDKLHRKQALSDTYDKENLTVHHGAEKLEPEIFTPDKENLTPSSHMLKRLQDIGDVKDSKSSSKLSGKSCSSLVHSSIAILASEAFTEPEIFTPDKENLTPNSHMLKRLREFGDIKDTKGSSSKATRKPFFDIHLEENVMAEQKPEDLHSMSSKSKVKHEPLLEKSSSQSQSYTEASSTASAKNNISRGIRSSSILSDGKSKMKWTIVVDTSSLLDKESRKPLHVLQGLKGTHLVVPRTVLRELNEVKRSRSFLFRRRTEMASSALDWIEECKVNTKWWIQVQSPSEETKATAPTPPVTPQSNGSAFPFSLHWNNYAPEIDSPTSEDQVLECALLYRNRNRDERLVLLSNDVTLKIKAMAEGVICETPQEFYESLVNPFSERFMWTESTARGRTWSYLDDVVLRERYNNRACRKKSTFNGGRGESGAAAKGLKLILLHNSHYGHTH >fgenesh2_kg.1__3547__AT1G34370.2 pep chromosome:v.1.0:1:16174179:16176472:1 gene:fgenesh2_kg.1__3547__AT1G34370.2 transcript:fgenesh2_kg.1__3547__AT1G34370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KK61] METEDDLCNNNWGSSSSKSREPGLSDGGNQTFAGFASQQKWEDASILDYEMGVEPSLQVFDEMKASGQANVDFLQGVRAQAWDPRTMLSNLSFMEQKIHQLQDLVHLLVGRGGQLQGRQDELAAQQQQLITTDLTSIIIQLISTAGSLLPSVKHNMSTAPGPYTGQPGSALFPYAREANNVASQSQNNNNCGAQEFDLPKPVVVDERESHVVEEHEMKDEDDAEEGENLLPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTAAALAKPNKESVPGSEPMLIKRYSCPFLGCKRNKEHKKFQPLKTILCVKNHYKRTHCDKSFTCSRCHTKKFSVIADLKTHEKHCGKNKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLEETKPSASTSTQRGSSDGGNNNQGMVGFNLGSASNANQETAQPGMMDGKISFDESFSPMNFDTCNFGGFHEFPRLMFDDSESSFQMLIANACGFSPRNVGESVSDTSL >fgenesh2_kg.1__3548__AT1G34380.2 pep chromosome:v.1.0:1:16176639:16178221:-1 gene:fgenesh2_kg.1__3548__AT1G34380.2 transcript:fgenesh2_kg.1__3548__AT1G34380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g34380 [Source:UniProtKB/TrEMBL;Acc:D7KK62] MITVGFAQPNSLFSFSTKSIDKPQTSRTKWVSSSSSSVETFHRTGYGQVLQKDVICGKKNKRVFFLDVSPLCYEGNKPSSQAFGHWISLFLSQVSLTDPVIAVIDGEEGNQRRRELLPSYKAHRKSPNPGRYSKRPHQFVDEVLRKCNVPVVRLDGHEADDVVATLMEQAVQRGYRAVIASPDKDFKQLISENVQIVIPLADLRRWSFYTLKHYHAQYNCDPQSDLSFRCIMGDEVDGVPGIQHVVPAFGRKTAMKLVRKHGSLESLLSAAAVRTVGRPYAQEALTKYADYLRRNYQVLALKRDVRVEIQEEWLVERDPSNDAEVLSSFFSTLHG >fgenesh2_kg.1__3551__AT1G34430.1 pep chromosome:v.1.0:1:16303204:16305722:-1 gene:fgenesh2_kg.1__3551__AT1G34430.1 transcript:fgenesh2_kg.1__3551__AT1G34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:D7KK66] MSRLLQTPFLPSVTLPTKTRSSVTGFRVKPRIIPIQAKIREIFMPALSSTMTEGKIVSWVKSEGDKLNKGESVVVVESDKADMDVETFYDGYLAAIMVEEGGVAPVGSAIALLAETEDEIADAKAKASGSGGGGDSQAPPTAAIEAPVAVEKKIAAAPVAVKAVAASAVHPASEGGKRIVASPYAKKLAKELKVELAGLVGSGPMGRIVAKDVEAVAAGGGVQAAVAVKEVVAAPSVELGSVVPFTTMQGAVSRNMVESLTVPTFRVGYTITTDALDALYKKIKSKGVTMTALLAKATALALAKHPVVNSSCRDGNSFVYNSSINVAVAVAIDGGLITPVLQNADKVDIYSLSRKWKELVDKARAKQLQPQEYNTGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASQPSVVATKDGRIGMKNQMQVNVTADHRVIYGADLAQFLQTLASIIEDPKDLTF >fgenesh2_kg.1__3552__AT1G34470.1 pep chromosome:v.1.0:1:16307784:16310480:1 gene:fgenesh2_kg.1__3552__AT1G34470.1 transcript:fgenesh2_kg.1__3552__AT1G34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:D7KK67] MASLSGSWRDAYKGMSSDNIKGLVLALSSSLFIGASFIVKKKGLKRAGASGLRAGSGGYSYLLEPLWWVGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHVILHEKLHTFGLLGCVLCVVGSITIVLHAPQEQEIDSVLQVWNLATEPAFLLYAAAVVGAAIILIVQFVPQYGQSHVMVYIGVCSLVGSLSVMSVKALGIALKLTYSGMNQLIYPQTWVFSLIVLTCVITQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQDGTQIVTELCGFVTILSGTFLLHKTKDLVDGSSSSGNLVIRLPKNLEDSNGFEQEGIPLTLRRQECTKSPRPMRHLILPQDGLEAV >fgenesh2_kg.1__3556__AT1G34490.1 pep chromosome:v.1.0:1:16339859:16340858:1 gene:fgenesh2_kg.1__3556__AT1G34490.1 transcript:fgenesh2_kg.1__3556__AT1G34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F12K21.17 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KK73] MEEELKSFVKVWVSAIISVSYCYYIPSKIKSGVHRLLSVLPVCVLFLVLPLFFVFTIFSSTTAFCLSLLANFKLILFAFDKGPLLPLPTNLFRFICFTCLPIKLQKNPNSQNHPPKWVFFSKAAIFGVLLNVHNYKSLLPPILLICLYPLHLYLVLDVLLTIVNALLTIILGCDLEPHFNEPYLATSLQDFWGHRWNLMVPAIFRPGVYSPVSSVCQHQMRSDWARFMGCLTTFFVSGLIHELVYFYINRETPTWEVTWFFVLHGVCTAMEKAVKRKIRWSLSPMLSRLITVGFLVVTGDFLFFRQIERSNMLERRANEASLFIDFVKRKVFN >fgenesh2_kg.1__3558__AT1G34575.1 pep chromosome:v.1.0:1:16376585:16377779:-1 gene:fgenesh2_kg.1__3558__AT1G34575.1 transcript:fgenesh2_kg.1__3558__AT1G34575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTTQTIIVTFFLLIIPTSFAAPPKLEDSFTQCLTTFKPSDPKFPIQNFTYTQENPNFLIILNNYVRNLRYFNGITRKPVAIVAAAHFTHIQATINCAKKLGLQLRIRSGGHDYDGMSYLSTVDFVVLDMFNLRSIEIDPKLDTACVQSGATLGEIYYNVANKSNNLRGFPAGICPGLGARGHFSGGGYGNMMRKYGLSIDNNIDAKTVDANARVLDRSSMGEDLFWAIRGGGAASFCVVLAWKIKLVPVPEKVTVSIVDLGRSPVLPIFNVETVGNRGGIQYSSNWFVPGEEAASDCLSQTERVFKAMSPYVSKNPREAFLNYRDVDIGKNLNSTYEEGKSWFRLRLELIPTTFSGTSRAFQCT >fgenesh2_kg.1__3559__AT1G34580.1 pep chromosome:v.1.0:1:16382775:16385310:1 gene:fgenesh2_kg.1__3559__AT1G34580.1 transcript:fgenesh2_kg.1__3559__AT1G34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGLALDVSGAGKIDAKITTAVVMSCIVAASCGLIFGYDIGISGGVTTMKPFLEKFFPSVLKKASEAKTNVYCVYDSQLLTAFTSSLYVAGLVASLVASRLTAAYGRRTTMILGGFTFLFGALINGLAANIAMLISGRILLGFGVGFTNQAAPVYLSEVAPPRWRGAFNSGFQFFIGVGVVAANLINYGTDSHRNGWRISLGLAAVPAAIMTVGCLFISDTPSSLLARGKHDQAHTSLLKLRGVENIADVEIELAELSRSSQLAIEARAEPFMKTILERRYRPHLAVAVAIPCFQQLTGITVNAFYAPVLFRSVGFGSGPALIATLILGLVNLGSLLVSTMVIDRFGRRFLFIAGGIQMFLCQIAVAVLLAVTVGANGDGEMKKGYAVTVVVLLCIYSAGFGWSWGPLSWLVPSEIYPLKMRPAGQSLSVAVNFAATFALSQTFLATLCDFKYGAFLFYGGWIFSMTVFVIMFLPETKGIPVDSMYQVWEKHWYWQRFTKRTSI >fgenesh2_kg.1__355__AT1G04250.1 pep chromosome:v.1.0:1:1372253:1374564:1 gene:fgenesh2_kg.1__355__AT1G04250.1 transcript:fgenesh2_kg.1__355__AT1G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7KDC6] MIGSVELNLKETELCLGLPGGDTVAPVTGNKRGFSETVDLKLNLNNEPENKEGSTTHDVVTFDSKEKSSCPKDPAKPPSKAQVVGWPPVRSYRKNVMVSCQKSSGGPEAAAFVKVSMDGAPYLRKVDLRMYKSYDELSNALSNMFSSFTMGKHGGEEGMIDFMNERKLMDLVNSWDYVPSYEDKDGDWMLVGDVPWPMFVDTCKRLRLMKGSDAIGLAPRAMEKCKSRA >fgenesh2_kg.1__3560__AT1G34640.1 pep chromosome:v.1.0:1:16397872:16398917:-1 gene:fgenesh2_kg.1__3560__AT1G34640.1 transcript:fgenesh2_kg.1__3560__AT1G34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEAALRSSMVGLAVVMVVIWLWTQSLKKTVVTYAVGISLIGGILLPDWDFFDRSFSRWGYPVTAEERAAALARKSHPSRFRVYPMRMVIYGTVYGYAVYRWWMFVSNY >fgenesh2_kg.1__3562__AT1G34670.1 pep chromosome:v.1.0:1:16416465:16417754:1 gene:fgenesh2_kg.1__3562__AT1G34670.1 transcript:fgenesh2_kg.1__3562__AT1G34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB93 [Source:UniProtKB/TrEMBL;Acc:D7KK81] MGRSPCCDENGLKKGPWTPEEDQKLIDYIHKHGHGSWRALPKLADLNRCGKSCRLRWTNYLRPDIKRGKFSSEEEQTILHLHSILGNKWSAIATHLQGRTDNEIKNFWNTHLKKKLIQMGIDPVTHQPRTDLFASLPQLIALANLKDLIEQTSQFSSIQAEAAQLAKLQYLQCMLNSSASLTNNNSNNNSPSSILDIDQNHAMNLLNSMVSWNKDQNPTFDPVLELEDNNQNQDLFPLGSIIDPTTQPLQQQKYHLNNSPSPRELPSQGDPLLDHVPFSLQTPLNSEDHFIDNLVKHPTDHEHEHDDNPSSWVLPSLIDNNPKNATSSLPHNNPADASSSSSYGGCDAASFYWPDFCFDESLMNVIS >fgenesh2_kg.1__3563__AT1G34750.1 pep chromosome:v.1.0:1:16504941:16507016:1 gene:fgenesh2_kg.1__3563__AT1G34750.1 transcript:fgenesh2_kg.1__3563__AT1G34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCCFGSSDYDLVVGRASTSSGKGKNSDGEIKFGYSLVKGKANHPMEDYHVSKFVKIDGSELGLFAIYDGHLGERVPAYLQKHLFSNILKEEQFRYDPHRSIIAAYEKTDQAILSHSSDLGRGGSTAVTAILMNGRRLWVANVGDSRAVLSQGGQAIQMTIDHEPHTERLSIEDRGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKTHLRSDPDVKDSSIDDHTDVLVLASDGLWKVMANQEAIDIARRIKDPLKAAKELTTEALRRDSKDDISCIVVRLR >fgenesh2_kg.1__3565__AT1G31230.1 pep chromosome:v.1.0:1:16548696:16553422:1 gene:fgenesh2_kg.1__3565__AT1G31230.1 transcript:fgenesh2_kg.1__3565__AT1G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AK-HSDH I [Source:UniProtKB/TrEMBL;Acc:D7KK95] MPVVSLAKVATFPAVAGDLTVRVPLVYGKRLVTNRVSFGKLRRRSCIGHCVRSELQSPRVLGSVTDLALDKSVENGHLPKGDSWAVHKFGGTCVGNSERIKDVADVVVKDDSERKLVVVSAMAKVTDMMYDLIHRAQSRDDSYLSALSGVLEKHRATAVDLLDGDELASFVARLNDDINNLKAMLRAIYIAGHATESFSDFVVGHGELWSAQMLAAVVRKSGLDCTWMDARDVLVVSPTSSNQVDPDFVESEKRLEKWFTQNSAKIIIATGFIASTPQNIPTTLKRDGSDFSAAIMGALFRSHQLTIWTDVDGVYSADPRKVSEAVVLKTLSYQEAWEMSYFGANVLHPRTIIPVMKYDIPIVIRNIFNLSAPGTMICRQIEDEDGYKLDAPVKGFATIDNLALVNVEGTGMAGVPGTASAIFSAVKEVGANVIMISQASSEHSVCFAVPEKEVKAVSEALNSRFRQALAGGRLSQIEIIPNCSILAAVGQKMASTPGVSATFFSALAKANINIRAIAQGCSEFNITVVVKREDCIRALRAVHSRFYLSRTTLAVGIIGPGLIGGTLLDQIRDQAAVLKEEYKIDLRVIGITGSSKMLMSESGIDLSRWRKLMKEEGEKANMEKFTQYVKGNHFIPNSVMVDCTADADIASCYYDWLLRGIHVVTPNKKANSGPLDQYLKIRDLQRKSYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYLFNNFVGTRSFSEVVAEAKQAGFTEPDPRDDLSGTDVARKVTILARESGLKLDLEGLPVQNLVPKPLQACASAEEFMEKLPQFDEELSKQREEAEAAGEVLRYVGVVDAVEKKGAVELKRYKKDHPFAQLSGADNIIAFTTKRYKDQPLIVRGPGAGAQVTAGGIFSDILRLAFYLGAPS >fgenesh2_kg.1__3566__AT1G31220.1 pep chromosome:v.1.0:1:16553365:16555086:-1 gene:fgenesh2_kg.1__3566__AT1G31220.1 transcript:fgenesh2_kg.1__3566__AT1G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylglycinamide formyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KK96] MESRVLFSSQFNFPVNSPLKTRETSIAPFTPPRNVLSFSFSCHAGRFAMRIGPLVKAVSFAPKIVAEVDGSRDEPKRKKLAVFVSGGGSNFRKIHEGCSDGSVNGDVVLLVTNKKDCGGAEYARSNGIPVLVFPKAKREPFDGLSPSELVDVLRKYGVDFVLLAGYLKLIPVELVQAFPKRILNIHPALLPAFGGKGLYGIRVHKAVLKSGARYSGPTIHFVNEEYDTGRILAQSAVRVIANDTPEELAKRVLHEEHKLYVEVVAAICEERIKWREDGVPLIQSKENPDEYY >fgenesh2_kg.1__3569__AT1G31170.1 pep chromosome:v.1.0:1:16571359:16572212:-1 gene:fgenesh2_kg.1__3569__AT1G31170.1 transcript:fgenesh2_kg.1__3569__AT1G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfiredoxin [Source:UniProtKB/TrEMBL;Acc:D7KKA2] MANLMMRLPISLRSFSVSASSSNGSPPVIGGPSGGVGPMIVELPLDKIRRPLMRTRSNDQNKVKELMDSIRQIGLQVPVDVIEVDGTYYGFSGCHRYEAHQKLGLPTIRCKIRKGTKETLRHHLR >fgenesh2_kg.1__356__AT1G04260.1 pep chromosome:v.1.0:1:1377319:1378024:-1 gene:fgenesh2_kg.1__356__AT1G04260.1 transcript:fgenesh2_kg.1__356__AT1G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7KDC7] MANQVITGIKETAQSITGAARPWGDFLDLSAFSVPSSVADATTRVTQNLTHFRINYSIILSILLGLTLITRPIAILAFIAVGLAWFFLYFAREEPLTIFGFTIDDGIVAVLLIGLSIGSLVTTGVWLRALTTVGFGVLVLILHAALRGTDDLVSDDLESPYGPMLSTDGARGDYSGI >fgenesh2_kg.1__3573__AT1G31600.2 pep chromosome:v.1.0:1:16647261:16650378:1 gene:fgenesh2_kg.1__3573__AT1G31600.2 transcript:fgenesh2_kg.1__3573__AT1G31600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7KKB6] MVQPRFVRPTKSSPSSNSGESNSSNLYVANCGPAVGLSHDAIAAVFAAYGKVNGVYAADDSGVRIIISFADPCSAKSALEALNGRPCPDLKGRSLHIRYSVLQLPSEVNDCVPVSLVDSELNIPGLFLLPDFVSVEEEQQLLAAVDARPWIGLAKRRVQHYGYEFCYGTRNVDTKKRLGELPSFVSPILERMSLFPNFDINSASLDLDQLTVNEYPSGVGLSPHIDTHSAFEDCIFSLSLAGPCIMEFRRYSVSTWKASSADAEKSGDSSCIRKALYLPPRSMLLLSGEARYAWNHYIPHHKVGKVKEKAIRRSSRRVSFTLRKVRNLPCRCKYPQYCDSQQQM >fgenesh2_kg.1__3574__AT2G07505.1 pep chromosome:v.1.0:1:16653162:16653658:-1 gene:fgenesh2_kg.1__3574__AT2G07505.1 transcript:fgenesh2_kg.1__3574__AT2G07505.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KKB7] MGDKGRGIPSRCRCGEDVVLRTSKTIKNPGRLFYACRYGEENGRGHLFKWTDETMVEEMEDIIPKIDELERASLTLQKGLQALESEMETLAMETRSCEAVVCGFEKELRGLEKEIQGCKMELRGLKNILVCVVLMVLVYVFV >fgenesh2_kg.1__3575__AT1G31580.1 pep chromosome:v.1.0:1:16654166:16662137:-1 gene:fgenesh2_kg.1__3575__AT1G31580.1 transcript:fgenesh2_kg.1__3575__AT1G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSISSMFLFLLLLLVFPHIDNVLGARMELRELEEINHTDPVTVQKRSITFLPRPRPRPITLPPGFPRPWAPTVPTVSPGRRCRHCRPPPPPKAFPRSTPSH >fgenesh2_kg.1__3579__AT1G31500.4 pep chromosome:v.1.0:1:16683126:16685990:1 gene:fgenesh2_kg.1__3579__AT1G31500.4 transcript:fgenesh2_kg.1__3579__AT1G31500.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7KL07] MFSTLHHHLPRPNLLPRKIISKRMSTNPAIEPKVRKFESVEGADIGSRSKSDGLFANFYLLIKACGYINFLGLSRMVMRILCSNENFVFPGIKFRLVSYNILAQVYVKSALLPHSPPACLKWKARSHAILSVLKNLKADFFCLQEVDEYDSFYRNNMESLGYSGIYIQRTGQRKRDGCAIFYKPSCAELVTKERIEYNDLVDSIKADSVSCSEQKIETSNEGKDSRKDSRDLNDPLVRLKRDCVGIMAAFRINKPFHHIVIVANTHLYWDPELADVKRAQAKYLLSRLAQFKTLISDEFECTPSLLLAGDFNSIPGDMVYNYLVSGNAKPAETTEEEEAPVPLSSVYEVTRGEPKFTNCTPGFTNTLDYIFISPSDFIKPVSILQLPEPDSPDIVGFLPNHHHPSDHLPIGAEFEIRRE >fgenesh2_kg.1__3582__AT1G31480.1 pep chromosome:v.1.0:1:16688597:16694429:-1 gene:fgenesh2_kg.1__3582__AT1G31480.1 transcript:fgenesh2_kg.1__3582__AT1G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDREARLGTREVNEISPDLLKNTPSNIARLEDVIEQCYGRQKYLAQTRSPSDGSDVRWYFCKVPLAENELAASVPRTDVVGKSEYFRFGMRDSLAIEASFLQREDELLSLWWKEYAECSEGPRPQLNSKKKSVKQSIETPSESSVSSSLYEVEEERVGVPVKGGLYEVDLVRRHCFPVYWNGDNRRVLRGHWFARKGGLDWLPIPETVSEQLEVAYRNKVWRRRSFQPSGLFAARIDLQGSSLGLHALFTGEDDTWEAWLNVDPSGFSGIVGYTGNGIKLRRGYAGSYSPKPTQEELRQQKEEEMDDYCSQVPVRHLVFMVHGIGQKGEKSNLVDDVGNFRQITAALAERHLTSHQLSTQRVLFIPCQWRKGLKLSGEAAVDKCTLDGVRRFREMLSATVHDVLYYMSPIYCQAIIDSVSKQLNRLYLKFLKRNPDYVGKISIYGHSLGSVLSYDILCHQQNLSSPFPMDSVYKKFFPDEESPPTPASADRPCSSHPSSNFEPGKSNQLNNTEEITGQDNNMVAKESTVLEHHDVIQEAPSLISDSVVGNVGLGRRGGQEDDHHDSSGAIPSQNGPDGADCRTPESPSCSQEQSWDKESVNSNNEETIKLLQDEVNSLRSKVAQLQSENARILSEEKAKAYVVPKQLNNEMASTKDANAPTSLTPFIKYQKLEFKVDTFFAVGSPLGVFLALRNIRLGIGKGKDYWEEENAIEEMPACRRMFNIFHPYDPVAYRLEPLVCKEYLPERPVIIPYHRGGKRLHIGLQDFREDFAARSQRLMNHFDSVRTRVLTICQSKSADNLDEMEETDDEKDGRSYGSLMMERLTGTRDGRIDHMLQEKTFEHPYLQAIGAHTNYWRDQDTALFIIKHLYRELPDGPNSPTESTEGDDRPKDSSRPHSWIDRRETDYDDEELPLTFSDKQIARSFSAEAKKYLKKP >fgenesh2_kg.1__3583__AT1G31470.1 pep chromosome:v.1.0:1:16695063:16697062:1 gene:fgenesh2_kg.1__3583__AT1G31470.1 transcript:fgenesh2_kg.1__3583__AT1G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRIRDASEKLRPNRASFDDEGKFHRKHIPPLRTMFGRWRKWTVLVAAIWIQASTGTNFDFSAYSSHLKSVLGISQVRLNYLAVASDLGKAFGWSSGIALGYFPLSVVLFAAAAMGFVGYGVQWLVITNIITLPYSLVFLCCLLAGLSICWFNTACFILCIRHFPNNRALALSLTVSFNGISAALYSLAFNAINPSSSNLYLLLNSLVPLVVSFAALYPVLTKPSIDPTPDNESRRNDSHVFTILNVLAVTTSFHLLLSSSSTSSARLNFIGAIVLLVFPLCAPLLVYARDYFLPVINARLNHESSGYVMLNIDELKSQKVSVSSKIGYEQLGTAKEGNIVMLGDEHSFQLLISRLEFWLYYIAYFCGGTIGLVYSNNLGQIAQSLGQNSTTLVTIYSSFSFFGRLLSAAPDFMHKRFRLTRTGWFAIALLPTPIAFFLLAISSSQQIALQTATALIGLSSGFIFAAAVSITSDLFGPNSVGVNHNILITNIPIGSLLYGYIAASIYEANAIPEITPIVSDSIVCIGRDCYFKTFVFWGFLSIVGVISSLLLYIRTKPVYHRLEQDQVSLTSSYKDLDPL >fgenesh2_kg.1__3587__AT1G31430.1 pep chromosome:v.1.0:1:16703804:16705577:1 gene:fgenesh2_kg.1__3587__AT1G31430.1 transcript:fgenesh2_kg.1__3587__AT1G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KL14] MLKSLAESKSFTKVLALFGELRGQGLYPDNFTLPVVLKSIGRLRKVIEGEKVHGYAVKTGLESDSYVCNSLMGMYAALGKMEITHKVFDEMPERDVVSWNGLISSYVGHGRFEDAISVFQRMSRESNLKADEGTIVSTLSACSVLKNLEIGERIYRYVVTEFEMSVRTGNALVDMFCKCGCLDKARAIFDSMRDKNVKCWTSMVSGYVSNGRTDEARELFERSPVKDVVLWTAMMNGYVQFNRFDEALELFRCMQTARIRPDNFVLVSLLTGCAQTGALEQGKWIHGYIRENRVTVDKVVGTALVDMYAKCGCIETALGVFYEMKERDTASWTSLIYGLATNGMSGRALDLYYEMENVGVRLDDITFVAVLTACSHGGFVAEGRRIFYSMTERHKIQPKSEHYSCLIDLLCRAGLLDEAEELIDKMHGERDKTLVPVYCSLLSAARNYGNVKIAERVAEKLEKVEVSDSSAHTLLASVYASANRWEDVTNVRRKMKDLGIRKFPGCSSIEVDGVSHEFIVGNDPSSHPKMDEINSMLHQTTHLMLDLEHEEIER >fgenesh2_kg.1__3589__AT1G31410.1 pep chromosome:v.1.0:1:16710838:16713296:1 gene:fgenesh2_kg.1__3589__AT1G31410.1 transcript:fgenesh2_kg.1__3589__AT1G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATILSLKPPASFFHGGNRRDGGRGITVARVSRGERFHERIVGLSASTVLFLGLSSLRVCSPASARLLPPPIVVTENEINTETVAGEENLIEVEDEKLEADFEAYKSKVYSLTVPLKLVALRGSVPPSWIKEFMSSQGKRVRLKTRFRANLEEIFFDLSKPTRKGQKGSASTAAADMISIGDSWLCHAIREKLIEPMKGIEDQDWYKGLSEKWKIYLCRNYAGEKAPDGETWAVPYRWGTMVIAYKKSKFQNYKLAPIEDWADLWRPELAGRIAMVNSPREVVGAVLKYMGASYNTTDLDSQVPGGRIAVEKNLASLMKQIRLFDSNNYLKAFNVGDVWVTVGWSSDVIPVAKRMSNVTVIVPKSGATLWADLWAIPAVSDSGKEAEQIGGRVRGPSPLINQWIEFCLQPARSLPFTREVIPGASPSALDGPLVREPEKTKKDRTKLDTNLVTGVPLPEILSKCEFLEPLPEATLLEYRLLIETVRKQSQRHGLVKKIQDIVSIKVRGFRTKLDSGSKNI >fgenesh2_kg.1__358__AT1G04270.1 pep chromosome:v.1.0:1:1378392:1379738:-1 gene:fgenesh2_kg.1__358__AT1G04270.1 transcript:fgenesh2_kg.1__358__AT1G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEPEVAAAGVPKKRTFKKFAFRGVDLDALLDMSTDDLVKLFSSRIRRRFSRGLTRKPMALIKKLRKAKREAPQGEKPEPVRTHLRNMVIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGVGATHSSRFIPLK >fgenesh2_kg.1__3592__AT1G31340.1 pep chromosome:v.1.0:1:16744713:16746097:1 gene:fgenesh2_kg.1__3592__AT1G31340.1 transcript:fgenesh2_kg.1__3592__AT1G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYAIEGGSVLHLVLALRGGFGLL >fgenesh2_kg.1__3593__AT1G31335.1 pep chromosome:v.1.0:1:16747987:16748440:1 gene:fgenesh2_kg.1__3593__AT1G31335.1 transcript:fgenesh2_kg.1__3593__AT1G31335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVVVISLPLIFFCLLLGFGCYFLGKSRGRHEIRTNPQVYGAPAPPPGAIAASSPPLSPQTKPDNSHSV >fgenesh2_kg.1__3594__AT1G31330.1 pep chromosome:v.1.0:1:16749320:16750466:1 gene:fgenesh2_kg.1__3594__AT1G31330.1 transcript:fgenesh2_kg.1__3594__AT1G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g31330/T19E23_1 [Source:UniProtKB/TrEMBL;Acc:D7KL23] MSLTIPTNLVLNPRSNKSLTQSVPKSSARFVCSDDKSSSTPQSMKAFSAAVALSSILLSAPMPAVADISGLTPCKDSKQFAKREKQQIKKLESSLKLYAPESAPALALNAQIEKTKRRFDNYGKYGLLCGSDGLPHLIVNGDQRHWGEFITPGILFLYIAGWIGWVGRSYLIAISGEKKPAMKEIIIDVPLASRIIFRGFIWPVAAYREFLNGDLIAKDV >fgenesh2_kg.1__3598__AT1G31300.2 pep chromosome:v.1.0:1:16796957:16799547:-1 gene:fgenesh2_kg.1__3598__AT1G31300.2 transcript:fgenesh2_kg.1__3598__AT1G31300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSLQTIGAIKSYHHQAQHLVKNYLLADPFIPYTSVLTGIFLCKVVYDLCHFISNSHSKTYIILTKIQRIEWNNRGISTVHAIFISALSLYFVFWSDLFSDRWHNDLVVFRSSRLSSLGLGISIGYFLADLGMIFWKYPSLGGIEYIVHHSLSGVAVAYSLFSGEGQLYTYMVLISEITTPEINLRWYLDTAGMKKSLAYVVNGVFIFLAWLVARILLFIYMFYHVYLHYNQVMRMHIFGYVLVFGVPAALGVMNLIWFGKIVRGVKKTLAKRCEC >fgenesh2_kg.1__3599__AT1G31280.1 pep chromosome:v.1.0:1:16810525:16814065:-1 gene:fgenesh2_kg.1__3599__AT1G31280.1 transcript:fgenesh2_kg.1__3599__AT1G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGYRGGRGDGRGRGGGGGGRGYGGGGGGGGGGEQGRGRGYGGGGGEQGRGRGSERGGGNRGQGRGEQQDFRSQSQWGPPPGHGGRGTQSQQPRPQVVPQPSQAPVSYAGSVGGVAGRGAWGRRPQVSSDSASPSTTVVVSEPVRVAEVMNPRPSVQVVSSDRKEPMKRPDRGGVVAVRRVNLYVNHFRVNFDPESVIRHYDVEIKGENPTKKISRFELAMVRDKVFTDNPNEFPFAMTAYDGQKNIFSAAELPTGSYKVEFPKTEEMRGRSYTFTIKQVNELKLRDLKEYMTGGSSCNPRDVLQGMDVVMKEHPSKCMITVGKSFFTRETERDEDFGFGVAAAKGYRHTLKPTAQGLSLCLDYSVLAFRKAMSVIEYLKLYFNWSDMRQFRNCRRDVEKELTGLKVTVNHRKNKQKLTIVGLSMQDTKDIKFDLIDQEGNEPPRKTSIVEYFRIKYGRDIVHKDIPCLDLGKNGRQNFVPMEFCDLVEGQIYPKDDLDKDSALWLKKLSLVNPQQRQRNIDKMIKSRNGPSGGEIIGNFGLKVDTNMTPVEGRVLKAPTLKLAERGRAVREEPNPRQNNQWNLMKKGVTRGSIVKHWAVLDFTASERFNRMPNDFVDNLIDRCWRLGMQMEAPIVYKTSRMETLSNGNALEELLRSVIDEAFRKHDGARPTLVLCAMSRKDDGYKTLKWIAETKLGLVTQCFLTGSATKGGDQYWANLALKMNAKVGGSNVELMDTFSFFQKEDEVMFIGADVNHPAARDKMSPSIVAVVGTLNWPAANRYAARVIAQPHRKEEIQGFGDACLELVKAHVQATGKRPNKIVIFRDGVSDAQFDMVLNVELLDVKLTFEKNGYNPKITVIVAQKRHQTRFFPATSNDGSDKGNVPSGTVVDTKVIHPYEYDFYICSHHGGIGTSKPTHYYTLWDELGFTSDQVQKLIFEMCFTFTRCTKPVSLVPPVYYADMVAFRGRMYHEASSREKNFRQPWGASTSAASLASSLSSLTVEDKAIFKLHAELENVMFFV >fgenesh2_kg.1__35__AT1G01930.1 pep chromosome:v.1.0:1:142389:145631:1 gene:fgenesh2_kg.1__35__AT1G01930.1 transcript:fgenesh2_kg.1__35__AT1G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGAAETGNAEYKQPRSIFDLTADFFDSCRLSYPCETQSAYFRLGPPKTFDPEEAEDKSSKDGVILDRWTCNTCKIEFLSLQDQRYHFKSDIHRLNIKLSVAGKAILKEEDVDELTSESVQDYDVSSISGSEDEAETSRPSFHFDAQKAIDKKKLFFRLQSGDKVSIWKSLIMDDAETVSFDNDRGVSVDCSGSLAENEVTERLRNLIRENTDDRKMCVVLLASGGHFAGTVFNGKSVVAHKTFHRYVVRAKAGKKQSTKDGSGRSIHSAGASLRRYNELALKKDIQELLASWKPYFDGAACVFVHAPSSSRQLLFNGGKPYFSSQNCAVRNVPFTIRRPTFKESQRIYNQLTQIAHVTEEIFVNLPEDTKANKVVQTHNEDSGETSRKEEPDETSSPNKILEEPNRIEEDLGDGVTGTSTPLHEAAKSSDCERVLEFLEEGMDPCAKDERGRTPYMLANEKEVRNTFRRFMASNLEKWNWHDAKVPSPLTKEMEESQAAKQAEKEAKQKARAKELKKLRKAREKKAQAEAAQAEKEKPISKVEEVRRAMAAQREKRAAAAERRMASLNIQSTSNTN >fgenesh2_kg.1__3600__AT1G34760.2 pep chromosome:v.1.0:1:16816709:16818656:-1 gene:fgenesh2_kg.1__3600__AT1G34760.2 transcript:fgenesh2_kg.1__3600__AT1G34760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERENQVYLAKLNEQAERYDEMVEAMKKVAALDVELTIEERNLLSVGYKNVIGARRASWRILSSIEQKEVSRGNEQNAKRIKDYRTKVEEELSKICYDILAVIDKHLVPSATSGESTVFYYKMKGDYFRYLAEFKSGADREEAADQSLKAYEAATTSASSELSTTHPIRLGLALNFSVFYYEILNSPERVAFDEAIAELDSLNEDSYKDSTLIMQLLRDNLTLWTSDLEEGGEQSKGHNPQDEVNKI >fgenesh2_kg.1__3605__AT1G34780.1 pep chromosome:v.1.0:1:16841193:16843046:-1 gene:fgenesh2_kg.1__3605__AT1G34780.1 transcript:fgenesh2_kg.1__3605__AT1G34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRILLLLLVMMRVPFCATRSVKDSIFGFRDQTCPVSGVESDERPHFGAVTEGDERWLQLALDMIHKNKCDYVALLFYASWCPFSRSFRPSFDVIASLYSSIPHFAIKESSVKPSTLSKYGVHGFPTLLLLNSTMRARYRGTRMLDSLVAFYSDVTGIVTLDKTSLDKSVSVPHLGNQNNIEPENCPFTWARSPENMLRQETYLALAIVFVLLRLLHLIYPTLVMFMKFTWRRIAQNMRLESLLEHTIGFLSRAVQLCMHRRSNLQGGAMNARAWASKSLATVSIGDSSSSNRRSSSSQ >fgenesh2_kg.1__3606__AT1G34790.1 pep chromosome:v.1.0:1:16870384:16872197:1 gene:fgenesh2_kg.1__3606__AT1G34790.1 transcript:fgenesh2_kg.1__3606__AT1G34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g34790 [Source:UniProtKB/TrEMBL;Acc:D7KL36] MESPLYEISSSSSSEKPRHHFQSLDLFPNLNQNSCNNNNLIEPLPLIDRINLNSNLDLNPKPLYAEEGEQEEEEEEEDGEVDVNLHIGLPGFGKSSDGAKELKKKNGKEIATYDAGKDIENEVSGKAYWIPAPEQILIGFTHFSCHVCFKTFNRYNNLQMHMWGHGSQYRKGPESLKGTQPRAMLGIPCYCCVEGCRNHIDHPRSKPLKDFRTLQTHYKRKHGHKPFSCRICGKLLAVKGDWRTHEKNCGKRWVCVCGSDFKHKRSLKDHVKAFGPGHGPYPTGLFEEQASNSSVSETLFF >fgenesh2_kg.1__3608__AT1G35540.1 pep chromosome:v.1.0:1:16895180:16898246:-1 gene:fgenesh2_kg.1__3608__AT1G35540.1 transcript:fgenesh2_kg.1__3608__AT1G35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:D7KL38] MESGNVVNAQPELSGITVDGSKRYMYEQLWKLCAGPLCDIPKIGEKVYYFPQGHIELVEASTGEKLNELQPIVDLPSKLQCRVITIQLKVERNSDETYAEITLMPYTTQVVIPTQNENQFRPLVNSFTKVLTASDTSAHGGFSVPRKLAIECLPPLDMSQPLPAQELLTIDLHGNQWRFKHSYRGTPRRHLLTTGWNAFITSKKLVAGDVIVFLRGETGELRVGIRRAGYQQGNIPSSIISIESMRHGVIASAKHAFDNQCMFIVVYKPRSSQFIVNYDKFLDAMNNKFNVGSRFTKRFEEDDFSERRYFGTIIGVIDFSPHWKCSEWRSLKDEFASFPRPDKVSPWEIEYSTPSSNVLRLSMLKNKCSREFNEIGSSSSHLLPPILTQGQEIGQPSMTSPMNVPLSYRDAIEDNSTPSRLLMSYSVQTMSRLNYNNDQMVTPIIEGNITNNGGASCRVFGVSLATPPVIKDPIEQMDSYPNSEISKLSQEKKFGLGQMRSPREIQSKQLSSTRTCTKVQMHGVALGRALDLSVLNGYDQLILELEKLFDLKGQLQNRNQWEIAFKDNEEDEMLVGDDPWPEFCNMVKKIIIYSNEEVKNF >fgenesh2_kg.1__3611__AT1G35140.1 pep chromosome:v.1.0:1:16917700:16918634:1 gene:fgenesh2_kg.1__3611__AT1G35140.1 transcript:fgenesh2_kg.1__3611__AT1G35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-induced 1 [Source:UniProtKB/TrEMBL;Acc:D7KL40] MATFVMGYFLLFAVAFVCLDARTDKTQDYTSFQYHKGALLTGDVSINLIWYGKFKPSQRAIVTDFVASLSSSRRSTMAQNPSVATWWKTVEKYYHVSKTTTTRGLTLSLGEQILDEGYSMGKSLTERNLKTLAAKGRQSYAVNVVLTSADVSVQGFCMNRCGSHGSGSGSGKKGSRFAYIWVGNSEKQCPGQCAWPFHAPVYGPQSPPLVAPNNDVGLDGMVINLASLLAGTATNPFGDGYYQGPKTAPLEAGSACTGVYGKGSYSGYAGELLVDATTGGSYNAKGLNGRKYLLPALFDPKTSTCSTMF >fgenesh2_kg.1__3613__AT1G35180.1 pep chromosome:v.1.0:1:16935579:16937254:-1 gene:fgenesh2_kg.1__3613__AT1G35180.1 transcript:fgenesh2_kg.1__3613__AT1G35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYIRVINITIIGVISWGLIFILIRRIFANYSFDFSTRIVSTLHATTAVVLATLSIQDWSCPVCPIASTSSLRQMETLAFSLSYMIYDLICSHFDQVISIDNAVHHSVCILGFVAGLFYQKCGSEMVAALWITEISSPFLHLREILKEIGYRDTDLNLAADVCFATIFSLARMVGGPYLVYVTISADNPILIKAMALGLQLVSAFWFYKILKMMRYKFIKRSMSNQKST >fgenesh2_kg.1__3615__AT1G35190.1 pep chromosome:v.1.0:1:17000089:17002347:1 gene:fgenesh2_kg.1__3615__AT1G35190.1 transcript:fgenesh2_kg.1__3615__AT1G35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7KL44] MENHTKDSTMKVSSLNCIDLANDDLYHSVASLKQASLDCGFFYVINHGINEEFMEDVFEQSKKFFALPLEEKMKVLRNEKHRGYTPVLDEKLDPKNQVNGDHKEGYYIGIEVPKDDPHWDKPFYGPNPWPDADVLPGWRETMEKYHQEALRVSMAIGKLLALALDLDVKYFDRTEMLGKPIATMRLLRYQGISDPSKGIYACGAHSDFGMMTLLATDGVMGLQICKDKNATPQKWEYVPPIKGAFIVNLGDMLERWSNGFFKSTLHRVLGNGQERYSIPFFVEPNHDCLVECLPTCKSESDLPKYPPIKCSTYLTQRYEETHAKLSIYHQQT >fgenesh2_kg.1__3618__AT1G35220.1 pep chromosome:v.1.0:1:17027523:17033564:1 gene:fgenesh2_kg.1__3618__AT1G35220.1 transcript:fgenesh2_kg.1__3618__AT1G35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHTPVTIEDQLISKAVREECPWENLPKRLQSILGSKDEWHRRVTAHCIKKRLLWNTCFACKVCKEGEYYEDMMRYLRKNLALFPYHLAEYVCRVMRISPFRYYCDMIFEVMRNEQPYDSIPNFSAADAFRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKDFLPTQPVDFSIDPWWGVCLVNFTIEEFKKLSEDEMATIDKICKEEANAYFLFDPEVIKGLYQRGLVYFDVPVYQDDRFKVSKLEGFISNREQSYEDPIEELLYAVFVVSNENSTVAELASTLQADVTQLQAAASFVCRLGWAVKLIDPSSVLHDKIMPGSPRAVLSDDENAYSTYKSADGDEAQHGDNLGTESSGSRSSHVRVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHTSIPDLCQDLSTLEGAKFEGELQEFANHAFSLRCVLECLISGGVATDTIVDTMGSGTLSNDEAVTLLADVNLPDNSGDSLTSQNSEASTVSDTPQEDPLSTEHVPESAKHEAASSTPSVDTTALTETFSSNLNLENEGKPIPVDGPDTGKGNKKRKKYRVDILRCESLASLTPATLDRLFSRDYDIVVSMIPLPLTTVLPGPSGPVHFGPPSHSSMTQWMKLVLYSTVGIGPLSVILMKGQCLRMLPAPLAGCEKAIIWSWDGSSVGGLGNKFEGNLVKGSILLHCLNCLLKCSAVLVQPISKHDLDSSGRIVTLDIPLPLKNSDGSIPHFGDELGLPLEENTKLNSVLTKLANNMELKTVGYIRLLKLFKAKDSSKHFSPDDDEKYEWVPLTVEFGLPLFSPKLCNNICKRIVSSQLLQADSLMEQHDAMQCIRKRLKDICALYQATGPAAKVLYQKEQVKEPTRSNKLMTYASGRWNPLVDPSSPISGATSEFQRLKLANRQRCRTEVLSFDGSILRSYTLAPVFEAATRSIDENAPLSTTRADADEADSREVILPGLNLLYDGTELHPFDIGACLQARQPVALIAEAAAASASLAPK >fgenesh2_kg.1__3619__AT1G35230.1 pep chromosome:v.1.0:1:17039075:17039705:1 gene:fgenesh2_kg.1__3619__AT1G35230.1 transcript:fgenesh2_kg.1__3619__AT1G35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSVVVFLFLTLVASSVVAQAPGPAPTISPLPATPPPSQSPRATAPVPSPSANPHSSAPTTAPPVSQPPTESPPAPPTSTTPAGAPGTTVPSGEAAPAQSPPSGSPNAASVSRVSIVGTFAGVAVIAAFLL >fgenesh2_kg.1__3620__AT1G35260.1 pep chromosome:v.1.0:1:17045666:17046729:-1 gene:fgenesh2_kg.1__3620__AT1G35260.1 transcript:fgenesh2_kg.1__3620__AT1G35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I allergen family protein [Source:UniProtKB/TrEMBL;Acc:D7KL51] MVEEEIEVDVDIKTTADKFHMFIRRSQHVPKATRYIKGCDLLEGEWGEVGSILLWKLVFDGEPRVSKDMIEVMDVEKNVIQLRVLEGPLMKEYKSFLKTMKVMSPKHGGPGSVVKWNMKYERIDQKVDHPKRLLQFFVEVTKEIDQYLLSND >fgenesh2_kg.1__3627__AT1G35420.1 pep chromosome:v.1.0:1:17214738:17216009:1 gene:fgenesh2_kg.1__3627__AT1G35420.1 transcript:fgenesh2_kg.1__3627__AT1G35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dienelactone hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7KL77] MAASLASLSSLAKAGAPRSLFNHHGSHRLPPPRITPLASSIKKHITTSFLQRQKSLKNISALETSDGTVNVEVDDDDEEEEACELVNGTEVSVDGVEGYLLTAVKNNNGTGLLLLSDVFGFQDSATRDFAYRVACNGYNVLVPDLFRGDPWSKNRPKSEYEEWRRGHDPNRIRQDTTSFTKWMVEEFAAAGISKKLGVMGFCFGGGRVVDVLATDENGYFSTGVSFYGTRIDSAVARDVKVPVLFIAGDRDPLCEVKGLYEIEEKIGERTKVVVYEGRGHGFVHRPETPEDDRDAEEAFALMRNWLHHHLI >fgenesh2_kg.1__3628__AT1G35430.1 pep chromosome:v.1.0:1:17264437:17265006:-1 gene:fgenesh2_kg.1__3628__AT1G35430.1 transcript:fgenesh2_kg.1__3628__AT1G35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDVFWLRTRRSRFIFFLLCSPLLIPILCASIPILCAVEIFSRLRSRHPWFAKSAGDEDDLRLRKCEEGCGCGGFEPEEEAGLLQRYLEDQLVLVRSVYDCGEEDQDRDRDLDSDQIVRVPLLS >fgenesh2_kg.1__3629__AT1G35440.1 pep chromosome:v.1.0:1:17286142:17286894:-1 gene:fgenesh2_kg.1__3629__AT1G35440.1 transcript:fgenesh2_kg.1__3629__AT1G35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein [Source:UniProtKB/TrEMBL;Acc:D7KL83] MAETKWYYTREEIEKISPSRLDGINFKEETFQRWSYTSFLQELGQRLNNPQKSIATSIVLCQRFFTRQSLAKNDPKTVAIICMFIAGKVEGSPKPAGDVIVVSYRVLHNKEPLRDVFEGLKKTVLTGEKLVLSTLGFDLEIEHPYKLVMDWVKRSVKAEDVRRLCQAAFNFINDSLRTSLCLQFGPSQIAAAAIYIGSFMCKMTLPGDGEKVWWREFDVTKRQLWEICDQTLDLYIQDFVIPVARGDSLV >fgenesh2_kg.1__3633__AT1G35490.1 pep chromosome:v.1.0:1:17342816:17345411:1 gene:fgenesh2_kg.1__3633__AT1G35490.1 transcript:fgenesh2_kg.1__3633__AT1G35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNISPPNNMHHHSASLDDLLTEDQPAWLDELLSEPASPKINKGHRRSASDTAAYLNSALMPSMEDQLMKNHVSGSFWQFQNYDLWQSNSYEQHNKLGWDLSTANGTNLQRNMSCGAVNRLGTSTSKSIEKHVSKMKEGTSTKPDGPGSKTDSKRIKHQNAHRARLRRLEYISDLERTIQVLQVEGCEMSSAIHYLDQQLLMLSMENRALKQRMDSLAEIQKLKHVEQQLLEREIGNLQFRRHHQQQPQQNQKQVQAIQQNRYNKYQPPVTQEPDSQFAALAI >fgenesh2_kg.1__3634__AT1G35510.1 pep chromosome:v.1.0:1:17346677:17350513:1 gene:fgenesh2_kg.1__3634__AT1G35510.1 transcript:fgenesh2_kg.1__3634__AT1G35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLSRLGNGNSNGRINLSSPSPPSSPRIRHSRGKSLAGGGVYKQGLGERLVFLLFSIVFRRKGVLLLAPLLYIAGMLLFMGSFGFTVLDLGHGVEIVYSRGPPGSVYRSPKVFKRLWPLMEADVNGTSHNVLMEVWKPRVKSIWKPCISTNVSAAGSNSNGYFIIEANGGLNQQRLSICDAVAVAGLLNATLVIPIFHLNSVWRDSSKFGDIFDEDFFIYALSKNVNVVKELPKDILERYNYNISSIVNLRLKAWSSPAYYLQKVLPQLLRLGAVRVAPFSNRLAHAVPAHIQGLRCLANFEALRFADPIRLLAEKMVNRMVTKSVQSGGKYVSVHLRFEMDMVAFSCCEYDFGKAEKLEMDMARERGWKGKFRRRGRVIRPGANRIDGKCPLTPLEVGMMLRGMGFNNSTLVYVAAGNIYKADKYMAPLRQMFPLLQTKDTLATPEELAPFKGHSSRLAALDYTVCLHSEVFVSTQGGNFPHFLIGHRRYLYKGHAETIKPDKRKLVQLLDKPSIRWDYFKKQMQDMLRHNDAKGVELRKPAASLYTFPMPDCMCKEPDPEPQTDPA >fgenesh2_kg.1__3635__AT1G35515.1 pep chromosome:v.1.0:1:17351409:17352971:1 gene:fgenesh2_kg.1__3635__AT1G35515.1 transcript:fgenesh2_kg.1__3635__AT1G35515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQLLIDYIRTNGEGSWRSLPKSAGLLRCGKSCRLRWINYLRPDLKRGNFTDGEDQIIVKLHSIFGNKWSLIAGKLPGRTDNEIKNYWNTHVKRKLLSHGIDPKTHRSINVSKTTPFHTRNEDVKSTFPDHNGVIKLKTETSCENGASTSGTTIDEDLRLNVECDYSYDHVDKELNLDLTLG >fgenesh2_kg.1__3636__AT1G35530.1 pep chromosome:v.1.0:1:17358482:17365593:1 gene:fgenesh2_kg.1__3636__AT1G35530.1 transcript:fgenesh2_kg.1__3636__AT1G35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVPIETIEEDEEFDWEAAVKEIDLACLKTSNASSSSSSSSHFTPLAHPPITGNSTKPPAKRQSTLDKFIGRTEHRPENQVVSQSNFDEFECGGNDDDKSPLVGIDPEAAKTWIYPVNGSVPLRDYQFAITKTALFSNTLVALPTGLGKTLIAAVVMYNYFRWFPEGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTIDLTGQTCPSKRAFLWKSKRVFFVTPQVLEKDIQSGTCLTNYLVCLVIDEAHRALGNYSYCVVVRELMAVPVQLRILALTATPGSKTLAIQGIIDNLQISTLEYRNESDHDVCPYVHDRKLELIEVPLGQDADDVSKRLFDVIRPYAVRLKNFGVNLNRDLQTLSPHEVLMARDKFRQAPLPGLPHVNHGDVESCFSALITLYHIRKLLSSHGIRPAYEMLEEKLKEGPFARLMSKNEDIRKTKLLMQQRLSHGAPSPKLSKMLEILVDHFKVKDPRTSRVIIFSNFRGSVRDIMNALSNIGDVVKATEFIGQSSGKTLKGQSQKVQQAVLEKFRAGGFNVIVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKNNGRIPHVYKPEVQHVEFSIKQFIPRGTKLQDEYATETPAFKKKLTSAEMDMLAKYYNNPDEEKLRVSLIAFPHFQTLPSKVHKVMHSRQTGMLIDAMQHLQEPTFSEQSKSFFTEFGAPLGEREELDTGLRVTNDPKDLHCFRELEVNTSQRKAKQVESPTSTLETTEKDYEESSPTHCYLFSSECAAVDTLGKVFVMPVPLSFFSNVLESENMPLPKTEKQHSCQNISHIDSVPIDTPAKHRQDNISEKLKERFSPDGADKTLENHSHVKRHSTSVGQEDVGNSVGEIVLSSDEDDWEGLELSPRLTNFIKSGVVPESPVYDQGVANEANREDLDFPQVSSPMRLSNELAGEPSSPETKIQHASTTNELRTPQKEVGTANGTECLAVSPIPDEWRTPLANLTNTNSSASKDWRVSSGEKSETIRQPRKLKRLRRLGDCSSAVKENNPGIKEADHIRSRSRRKKLIRGKKKMIIDDDVQVFIDEEAEVSSGAEMSADENEDVTGDSFEDSFIDDGTMPTANTQAEIENIFLLFVSKMVNELTKNHKVSFHRRSLLSQSPLPARFRDLAASSPSPYSAGPLKRINESRSDSDKSLSSSLRTPQTTNSESNQDAMVIGDFSVVQISSESRKRKFSLCNSGNVPVINLESKFAAQTTEKESHEGVRSNAGALEYNDGVHDDDDAFFATLDFDAMEAQATLLLSKQRSEAKEKEDAATVIPNPGMQRSDGVEKDAPSFDLGLW >fgenesh2_kg.1__3639__AT1G35560.1 pep chromosome:v.1.0:1:17400379:17401861:-1 gene:fgenesh2_kg.1__3639__AT1G35560.1 transcript:fgenesh2_kg.1__3639__AT1G35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHNNTTGSAHLVPFMGPISGSVTTTAQNSTTTTVSAAKTPAKRPSKDRHIKVDGRGRRIRMPAICAARVFQLTRELQHKSDGETIEWLLQQAEPAIIAATGTGTIPANISTLNVSLRSSGSSLSAPLSKSFNIGRAAQNAAVFGFQQQLYPPHHIMTDSSSSSLPKTFREGDLFKDPNSLDQEPGSRSPKPGSEAPDQDPGLTRSRTQNMIPPMWAVAAPTPASTNGGSAFWMLPVGGGGPANVQDPSQHMWAFNPGHYPGRIGSVQLGSMLVGGQQLGLGVAENNNLGLFSGGGGGGGRVGLGMSLEQKPQHQVSDHATGDQNPTIDGSP >fgenesh2_kg.1__363__AT1G04300.3 pep chromosome:v.1.0:1:1393153:1399267:-1 gene:fgenesh2_kg.1__363__AT1G04300.3 transcript:fgenesh2_kg.1__363__AT1G04300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVGRSVEESSNGQHSQAGEALSEWRSSGQVENGTPSTSPSYWDIDEDDDYGLKPSELFGKYTWKIPKFSEINKREHRSDNFEAGGYKWYILIYPQGCDVCNHLSLFLCVANYDKLLPGSFAILEAGWSHFAQFTISVLNKDLKKTKFSDTLHRFWKKEHDWGWKKFMELPKLKDGFIDDSGCLTIEAQVQVIRERVDRPFRCLDCSYRRELIRVYLPNVDQNCRRFVEERRSKLGRLIEDKARWTSFGVFWLGMDQNSRRRMSREKMDVILKGVVKHFFVEKEVTSTLVMDSLYSGLKALEGQTKNTKARPRLLDAKELPAPIVSVDKDMFVLVDDVLLLLERAALEPLPPKEEKGPQNRTKDGNDGEEVNKEAYERDEKRLTELGRRTVEIFVLAHIFSSKIEVAYQEAIALKRQEELIREEEEAWLAETEQRAKKGAAEREKKSKKKQAKQKRNKNKGKDKRKEEKVSFATHEKDLEENQNDEEEKDSVTEKAHSSAEKPDTLGDVSDISDSVDGSADIVQPDLEDRDSSSVHWDTDALEIHAPSSGGSSRGRGTPNGIAERKSQSTMDDSSSTCSNDSIRSGVTNGSYQGNALNFRNQKSPNRGKNQQVKAISDAHSLGSETDDQPSTLGKDPKSQNYSSEASNVGESDWVVVSHVQEAEGSRNRSPVGKELDVAQSIVNSIDMDRPKEKSAAVLSSPRSVAKNPSPLTQKKPEKKSISTADAIPSRKVLATGPPSSSQVVVSSDAQSQTAGLRADMQKISAPKQPAATTISRPSSAPIIPAMQPAPIAVSSSVQATTSLPRSVSSAGRLGRDPSLHNQQTYTPHSYKHAIVGNSLGSSSSFNHHQNSHGVVPTTLPSSSYSQTPTSSYQSSFPYSQDGLLWTGRSSNSVNMGMNNNPYSPAVTSNRSLNHMDIQIAQQQAQSLMTDEFPHLDIINDLLEDEQCSNMVFNGSIFNQQPQVFNGQYSSYQGDVGELLSGGRSRSFGEEGFHYMARGPYGTDGLMPTQWQMANMDLSLLAMRNNRMEDATSSAANYHHTYFGLDSSNPSFASGMNGYKEFRPANGH >fgenesh2_kg.1__3644__AT1G35620.1 pep chromosome:v.1.0:1:17464775:17472525:1 gene:fgenesh2_kg.1__3644__AT1G35620.1 transcript:fgenesh2_kg.1__3644__AT1G35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLGLILCWISFLTLSISIPASSDDQFTVDGTVLELTDSNFDSAISTFDCIFVDFYAPWCGHCKRLNPELDAAAPILAKLKQPIIIAKLNADKYSRLARKIEIDAFPTLMLYNHGVPMEYYGPRKADLLVRYLKKFVAPDVAVLESDSAVKEFVEDAGTFFPVFIGFGLNESLISGLGGKYKKKAWFAVAKDVSEDTMVSYDFDKAPALVVKHPTYNEHSVFYGPFEDGFLEEFVKQSFFPLILPINQDTLKLLKDDERKIVLTIVEDETHESLDKLIKALRAAAHANRDLVFGYVGVKQFEEFVDSFHVDKKTNLPKIVVWDGDEEYDQVTGIETISQEEDHLTQVSRFLEGYREGRTEKKKINGPSFMGFINSMIGIRSVYIIVFLVAVIMMLRSLGQVEEPAGVRTAPASRERVDQTTSVTEGETSEHTPSDKKED >fgenesh2_kg.1__3645__AT1G35660.1 pep chromosome:v.1.0:1:17485745:17490297:1 gene:fgenesh2_kg.1__3645__AT1G35660.1 transcript:fgenesh2_kg.1__3645__AT1G35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KLW8] MEQSAAPQPLPMPLPYLSTSVQASRDDLQCIGTMEIVRPKPVGFLCGSIPVLADNSFPASFTSALLPSQETFVTAPRYQMLPMETDLNRPPLLTDFPENVLPLAAVKSRITGDISKEANVIASNLSKKCEALAVLGLVEYGDEIDVIAPVDILKQIFKIPYSKARVSIAVQRVGQTLVLNPGPDVEEGEKLIRRHSNQPKCTKNVDESLFLNFAMHSVRMEACDIPPTHRPHTEKHSSSSALPAGENSHDSAPDDRLDNPAGSSKQSKQDGFICEKKKSIKNKARVEQVTPLTWLEAWLDNVMASVPELAICYHENGIVQGYELLKTDDIFLLKGISEDGTPAFHPHVVQQNGLAILRFLQSNCKEDPGAYWVVRAYAHEQFARLILNNDEEFDLSFESNGVQREVKITDLEEESLDPVTIVDHENEAVIFSEDKFTEDHSVSNIVPVPLLSVRPKLEENLSSCKELFHSDSPDSHDTESSVVNSSSDTSFDLGTVCQTTTSPISSKLSAVNHVSQAIKSLRWTRQLQSSEQDDSFHDIVPDFSKCACGDPDCIEVCDIRKWLPTSKLDRKLWNLVLLLGESYLSLGEAYKEDGQLHQALHTVELACSFYGSMPQKFEETLFVSSMNKSLSLRSKSHANSGPCDISVGELSSTRLFWAKVWMLVGDIYVQFHILKGQELSRKTKGTSTNHLKMPSEVVKEVQRLKKKLTEYSQNCASCSLVNCSCKSDRASSGSSASSSNGSSARTVPHSRKHNRKLQSKNVASRVSRNVEDERVNFKVENKSRKEEETSGETKEAVPLEQNESNSKETPGAKKGGIFKYLKGSKTDDAESNLLAALNCYEETRRALHELPSGCNELQSVLRKKGWVCNELGRNRLCSKELNKAEDAFADAIVAFKEVCDHTNVILINCNLGHGRRALAEEMVLKIEALKLHPAFENAYQQALGTAKLEYSKSLRYYMAAKTELSVATEEARLVPDNLKVEVYTQLGNTYLRFGMLLANEDTTAAAHGQKSILEKTHDSSSDGKSSDLRKHEVLSASDAIREALALYESLGEIRKQEAAYAYLELARYHKDCCLGFLKTERQGSPLKPETNVIQRAKQYALLADRNWQKSMDFYGPENLPSMFLTILIERSALSLSVSNFWQLNAVSA >fgenesh2_kg.1__3647__AT1G35680.1 pep chromosome:v.1.0:1:17519740:17521500:1 gene:fgenesh2_kg.1__3647__AT1G35680.1 transcript:fgenesh2_kg.1__3647__AT1G35680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L21, chloroplast [Source:UniProtKB/TrEMBL;Acc:D7KLX1] MASSSATLSLCSTFSAHCNVTSRRSSTILCSLSKPSLNLAKPVSGFLSPSTASTSRTAFTVAPKFAESVVEAEPETTDIEAVVVSDVSEVTEEKAKREEIFAVVMVGGRQYIVFPGRYLYTQRLKDANVDDQIVLNKVLLVGTKTHTYIGKPVVTNATVHAVVENQGLNDKVVVFKYKPKKKYRRNIGHRQPNTRIRITGITGYEEYPAAPNVAV >fgenesh2_kg.1__3648__AT1G35710.1 pep chromosome:v.1.0:1:17527299:17543460:1 gene:fgenesh2_kg.1__3648__AT1G35710.1 transcript:fgenesh2_kg.1__3648__AT1G35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAEKNSYDLRFLLFISIILSCSISASATIAEANALLKWKSTFTNQSHSSKLSSWVNDANTNPSFSCTSWYGVFCNSRGSIEKLNLTDNAIEGTFQDFPFSSLPNLASIDLSMNRFSGTIPPQFGNLSKLIYFDLSTNHLTREIPPSLGNLKNLTVLDLHHNYLTGVIPPDLGNMESMTYLELSHNKLTGSIPSSLGNLKNLTVLYLYQNYLTGVIPPELGNMESMIDLELSTNKLTGSIPSSLGNLKNLTVLYLHHNYLTGVIPPELGNMESMIDLELSDNKLTGSIPSSLGNLKNLTVLYLYKNYLTGVIPPELGNMESMTYLDLSENKLTGSIPSSLGNLKNLTVLYLHHNYLTGVIPPELGNLESMIDLELSDNKLTGSIPSSLGNLKNLTVLYLHHNYLTGVIPPELGNMESMIDLALSQNNLTGSIPSSFGNFTKLESLYLRDNHLSGTIPRGVANSSELTELLLDINNFTGFLPENICKGGKLQNFSLDYNHLEGHIPKSLRDCKSLIRAKFVGNKFIGNISEAFGVYPDLDFIDLSHNKFNGEISSNWQKSPKLGALIMSNNNITGAIPPEIWNMKQLGELDLSTNNLTGELPEAIGNLTGLSKLLLNGNKLSGRVPTGLSFLTNLESLDLSSNRFSSQIPQTFDSFLKLHEMNLSKNNFDGRIPGLTKLTQLTHLDLSHNQLDGEIPSQLSSLQSLDKLNLSHNNLSGFIPTTFESMKALTFIDISNNKLEGPLPDNPAFQNATSDALEGNRGLCSNIPKQRLKSCRGFQKPKKNGNLLVWILVPILGALVILSICAGAFTYYIRKRKPHNGRNTDSETGENMSIFSVDGKFKYQDIIESTNEFDQRYLIGSGGYSKVYKANLPDAIVAVKRLHDTIDEEISKPVVKQEFLNEVRALTEIRHRNVVKLFGFCSHRRHTFLIYEYMEKGSLNKLLANEEEAKRLTWTKRINIVKGVAHALSYMHHDRSTPIVHRDISSGNILLDNDYTAKISDFGTAKLLKTDSSNWSAVAGTYGYVAPEFAYTMKVTEKCDVYSFGVLILEVIMGKHPGDLVASLSSSPGETLSLRSISDERILEPRGQNREKLIKMVEVALSCLQADPQSRPTMLSISTAFS >fgenesh2_kg.1__3649__AT1G35720.1 pep chromosome:v.1.0:1:17563460:17565458:1 gene:fgenesh2_kg.1__3649__AT1G35720.1 transcript:fgenesh2_kg.1__3649__AT1G35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:D7KLX8] MATLKVSDSVPAPSDDAEQLRTAFEGWGTNEDLIISILAHRSAEQRKVIRQAYHETYGEDLLKTLDKELSNDFERAILLWTLEPGERDALLANEATKRWTSSNQVLMEVACTRTSTQLLHARQAYHARYKKSLEEDVAHHTTGDFRKLLVSLVTSYRYEGDEVNMTLAKQEAKLVHEKIKDKHYNDEDVIRILSTRSKAQINATFNRYQDDHGEEILKSLEEGDDDDKFLALLRSTIQCLTRPELYFVDVLRSAINKTGTDEGALTRIVTTRAEIDLKVIGEEYQRRNSIPLEKAITKDTRGDYEKMLVALLGEDDA >fgenesh2_kg.1__3650__AT1G35730.1 pep chromosome:v.1.0:1:17565584:17568168:-1 gene:fgenesh2_kg.1__3650__AT1G35730.1 transcript:fgenesh2_kg.1__3650__AT1G35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGGFHGDFNIGRASDHRPLGSDGFLRSLETNPFLKNQCYNTKSVEALDLCKKLHKMGISCDMSIWTKPEEPFRVDPGEFGSRTLHGSSGFDQNLSGASQIHDGFRNFSSVCLQNNNFHGVSSSPGEMRLLGHQDSFNPYRFEEMMALKNHRDYLLDHINEAVKPSPFLRGNDAFKGSLIRHGSSRASYPEDSSLMSPFHEMRRYFKEDEPKFSTGSGKLGASYPEDTLISPKSSIGLPLPMNLVSMVEIYGSVNLMAKDQIGCRVLQKLVEEGTVLDAKVIFHEIIDHVVELSMDPFGNYIVQKLLEVSDEEQRTLIVSVLTSKPRELIQICLNTYGTRVVQKMIETVKTKQQIALVKFGLKPGFLALVKDLNGNHVIQSCLQTLGPNDNKFVLEAATKYCAEIAIHRHGCCVLQCCLSNSVGLQRERLVAEISRNALHLSQDPFGNYVVQYLIEQQVSAVKLLVRFRMHYAELATQKFSSHVIEKCLRKYPESRAEIVRELICVPNFEYLLQDPYANYVIQTALSVTKGPVRAKLVEKVYRFGKLRSSPYCKKIFSKTILKK >fgenesh2_kg.1__3652__AT1G35780.1 pep chromosome:v.1.0:1:17655470:17658110:-1 gene:fgenesh2_kg.1__3652__AT1G35780.1 transcript:fgenesh2_kg.1__3652__AT1G35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNTPVRKPHMSTADLLTWPENQPFESPAAVASRSAARSHQPSDGISKVVFGGQVTDEEVESLNKRKPCSNYKMKEITGSGIFSVYEENDDSELGSANPATNGKSRTFQQPPAAIVSHISFGEEEIVTPKKPATVPEVAKQRELSGTLEYQSDAKLNKQFSDAKCKELSGHNIFAPPPEIKARPTVRALAYKDNFDLGESDTKPDGELKTAKKIPDRKFMDLSGNNVFKGDATSPSSATAEKLLSTAKLKEISGNDIFADAKAQSRDYFGGVRKPPGGESSIALV >fgenesh2_kg.1__3654__AT1G32505.1 pep chromosome:v.1.0:1:17676035:17677016:1 gene:fgenesh2_kg.1__3654__AT1G32505.1 transcript:fgenesh2_kg.1__3654__AT1G32505.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLZ7] MDNVRTLVQTVLTRTSCSHGWCTATEFSWMLNSLLSENDVLLNIPYSIFFYNYIVPLVVIVIFATTFTIVYSMIEGTSPHRSIVTLIIIIPITIFDVLLLFILICFIILYVVLFIYGLIICIHI >fgenesh2_kg.1__3657__AT1G35830.1 pep chromosome:v.1.0:1:17685213:17686122:-1 gene:fgenesh2_kg.1__3657__AT1G35830.1 transcript:fgenesh2_kg.1__3657__AT1G35830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KLZ8] MDSGNSGSLQSSSDDHESSSTGAPPDHSSFFLPPFQQPPSSSTFYGDPTTLSSSSSSSATYLNFVNNLISDDILNQTHLLPPPPPPPPPPPPSSSRNPRKRTRASRRAPTTVLTTDTSNFRAMVQEFTGVPASPFSHPFSSTTRRFDIFRSPSDPLTYNPFRPIPQKPLNPSSSFFLHHHHHTTTSMTFPDLPLPQNHQVSTFQSLLSHQHHHQTTLSSLHDLDTINLGALQQTHHPTPDDNHQLMMRQFSDFDRRPEKAPEIHNNISSSSAPIKGSSGTTTTTTTTVDPWISPTDSNN >fgenesh2_kg.1__3660__AT1G36060.1 pep chromosome:v.1.0:1:17731445:17733289:-1 gene:fgenesh2_kg.1__3660__AT1G36060.1 transcript:fgenesh2_kg.1__3660__AT1G36060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFFGGGHGGELMEALQPFYKSASTSASDSAFASSNDAFASAPNDPFSSSSYYNPHASFFPSHSTTSYPDLYSGSMTYPSFGSDLQQPENYQSQFHYQNNITYSHQENTCMLNFIDPSQPGFLTQPGPASGSVSKPAKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDRAAFKLRGDSARLNFPALRYQTGSSPGDSGEYGPIQAAVDAKLEAILAEPKNQPGKTERPSRKRAKAAASSAEQPAAPQQHSGSGESDGSGSPTSDVMVQEMCEEPEMPWNENFMLGKCPSYEIDWASILS >fgenesh2_kg.1__3662__AT5G14200.1 pep chromosome:v.1.0:1:17780322:17782140:-1 gene:fgenesh2_kg.1__3662__AT5G14200.1 transcript:fgenesh2_kg.1__3662__AT5G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-isopropylmalate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7KM07] MAAFLQTNITLDSIKIVPGRYSSLTDRAPYRIRCAAASPVKKRYNIALLPGDGIGPEVISVAKNVLQKAGFLQGLEFDFQEMPFGGAALDLVGVPLPEETSTAAKQSDAVLLGAIGGYKWDKNEKHLRPEMGLLHLRRDLKVFANLRPATVLPQLVDASTLKKEVAQGVDMMIVRELIGGIYFGEPRGITINENGEEVGVNTEIYAAHEIDRIARVAFETARKRRGKLCSVDKANVLDASILWRKRVTALASEYPDVELSHMYVDNAAMQLIRYPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGASGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGEETAAKRIEDAVLDALNKGFRTGDIYSPGNKLVGCKEMGEEVLKSLDSKVPATV >fgenesh2_kg.1__3668__AT1G36180.1 pep chromosome:v.1.0:1:17801029:17811995:1 gene:fgenesh2_kg.1__3668__AT1G36180.1 transcript:fgenesh2_kg.1__3668__AT1G36180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-CoA carboxylase 2 [Source:UniProtKB/TrEMBL;Acc:D7KM09] MEMRALVSSCATGNGGSDPFSFTKVSPWITTVGGKDRDFPTTVKLRTSMRTFKGVSIRGRTFKGVSTRVLSRNKQQFPLFCFLNPDPTSFRDNDISEAQRTVVLPGGSVNGYHQSEVVPGRNDGTVAEVDEFCKALGGKRPIHSILVATNGMAAVKFIRSIRTWAYETFGTEKAVKLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEVTRVDAVWPGWGHASENPELPDALKAKGIIFLGPPAASMIALGDKIGSSLIAQAADVPTLPWSGSHVKIPPGSSLVTVPEEIYKKACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVKCLFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQNGNVAALHSRDCSVQRRHQKIIEEGPITVAPQETVKKLEQAARRLAKSVNYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAKVNLPAAQVSVGMGIPLWQIPEIRRFYGMEHGGGYDSWRKTSVVASPFDFDEAESIRPKGHCVAVRVTSEDPDDGFKPTSGKIQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRAMAIANMVLALKEIQIRGEIRTNVDYTIDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVIGGALYKASTTSSAVVSDYVGYLEKGQIPPKHISLVHSQVSLNIEGSKYTIDVVRGASGTYRLRMNNSEVVAEIHTLRDGVNQDIHASRILDGKSHVIYAEEEAAGTRLLIDGKTCLLQNDHDPSNLIADTPCKLLRYLVSDNSSIDADMPYAEVEVMKMCMPLLSPASGVIHFKMSEGQTIEAGELIAKLDLDDPSAVRKAKLFRGSFPRLGLPTAISSKVHQRCAATLNDARMILAGYEHKVDEVVQDLLNCLDSPELPFLQWQECFVALATRLPKNLRNMLESKYKEFEIIFKNSLTTDFPAELLKGILVAHLSSCDEKERGAVERLIEPLMSLVKSYEGGRESHARLIVHSLFEEYLSVEELFNDNMLADVIERMRQQYKKDLLKIVDIVLSHQGIKNKNKLVLRLMEQFVYPIPAAYRDKLIRFSTLNHTNYSELALKASQLLEQAKLSELRSNIARSLSELEMFSEDGEDMDTPKRKSAINERMEDLVSASVAVEDALVGLFDHSNHTLQRRVVETYIHRLYQPYVVKESVRMQWHRSGLIASWEFLEEHFERKNIGSDDHETSEKGIVEKRSKRKRGAMVIIKSLQLLPSIISAALRETNHNDYEYAGAPLSGNIMHIAIVGNNNQMSLLQDSGDEDQTQERVNKLAKILKEEEVSSSLCSAGVGMISCIIQRDEGRTPMRHSFHWSMEKQYYVEEPLLRHLEPPLSIYLELDKLKGYSNIQYTPSRDRQWHMYTVTDKPVPIKRMFLRSLVRQAAMNDGFMLQQGRDYQLSQTLLSMAFTSKYVLRSLMNAMVELELNAHNVAMKPDHAHMFLCILPEQQIEDLVPYPRRVEVNAEDEETTVEMILEEATREIHRSVGVRMHGLGVCEWEVRLWLVSSGLANGAWRVVVANVTGHTCTVHIYREVEATGRNSLIYHSITKKGPLHGTPINGQYKSLNNLDRKRLAARRSNTTYCYDFPLAFETALELLWASQHPGVRKPCKNTLINVKELVFSNTEGSSGTSLIPVERPAGLNDIGMVAWILEMSTPEFPMGRKLLIVANDVTFKAGSFGPREDAFFLAVTELACTKKLPLIYLAANSGARLGVAEEVKACFKVGWSDEVSPENGFQYIYLSSEDYARIGSSVIAHEVKLPSGETRWVIDTIVGKEDGIGVENLTGSGAIAGAYSRAYNETFTLTFVSGRSVGIGAYLARLGMRCIQRLDQPIILTGFSTLNKLLGREVYSSHMQLGGPKIMGTNGVVHLTVSDDLEGVSAILNWLSYIPAYVGGPLPVLAPLDPPERTVEYIPENSCDPRAAIAGINDNTGKWLGGIFDKNSFVETLEGWARTVVTGRAKLGGIPVGVVAVETQTVMQIIPADPGQLDSHERVVPQAGQVWYPDSAAKTAQALMDFNREQLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYRQPVFVYIPMMGELRGGAWVVVDSQINSDYVEMYADETACGNVLEPEGMIEIKFRRKELIECMGRLDKTLINLKANIQDAKQNEAYANIELLQKQIKTREKQLLPIYIQIATKFAELHDTSMRMAAKGVIKSVVEWSGSRSFFYKKLYRRIAESSLVRNIRKASGDILSYKSAMGLIQDWFSKSEIAKGKEEAWTDDKLFFTWKDNVSNYEQKLCELRAQKLLNQIAEIGNSSDLQALPQGLANLLNKVELSRREELVDAIRKVLN >fgenesh2_kg.1__366__AT1G04350.1 pep chromosome:v.1.0:1:1409985:1411566:1 gene:fgenesh2_kg.1__366__AT1G04350.1 transcript:fgenesh2_kg.1__366__AT1G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKDIDSYSERKAFDETKTGVKGLVDAHITEIPRIFRLPQGVFLSDKKTSVSASDFAIPTIDFEGLHVSRDDIVEKIKDAASNWGFFQVINHGVPLNVLEESQEVVRRFHEEDPEVKKTYFTRDAAKRFVYNSNFDLYSSSSCVNWRDSFACYMAPDPPNPEELPVACRDAMFEYSKHMMRLGDLLFELLSEALGLRSDKLKSMDCMKGLLLLCHYYPPCPQPDLTIGTNNHSDNSFLTILLQDQIGGLQIHHQDCWVDVSPIPGALVINMGDFLQLITNDKFISVEHRVLANRAATPRISVASFFSTSMLPNSTVYGPIKEILSEENPPKYRVIDLKEYTQGYFKKGLDGTSYLSHYKI >fgenesh2_kg.1__3670__AT1G36310.1 pep chromosome:v.1.0:1:17866343:17868139:1 gene:fgenesh2_kg.1__3670__AT1G36310.1 transcript:fgenesh2_kg.1__3670__AT1G36310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIKVKSDSKEFLTSSDEEEETVQIIESVSIRESSLSVKSTPEIEKKYVHRVYDAIAPHFSSTRFAKWPKVAAFLESLPPGSVILDAGCGNGKYLGLNPSCFFIGCDISHPLIKICSDKGQEVVVADAVNLPYREEFGDAAISIAVLHHLSTENRRKKAIEELVRVVKPGGYVLITVWAAEQEDTSLLTKWTPLSAKYVEEWVGPGSPMNSPRVRNNPFFSLESIPETEVSTKEQKAENSPFIGLESIPESEESTREQRGESIIPETKASVVEQKDENSVDESLEALKKSQQEYFVPWHLPYHRAEVSGASASALASGLAKKDDRKGAVVYNRYYHVFSEGELERLASGVGNAMIVDRFYDKSNWCIVLQKAALNQD >fgenesh2_kg.1__3673__AT1G36320.1 pep chromosome:v.1.0:1:17889439:17891551:1 gene:fgenesh2_kg.1__3673__AT1G36320.1 transcript:fgenesh2_kg.1__3673__AT1G36320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLFQSSCSCSLSNFPSVKFGARVVSPLYFAGNHVHVTSGGLPIGKGSLLNFKSERPQRFVISAVVDDKSVVAKEEKKDGGSEVVVDNQRMIKVCDKLIEVFMVDKPTPSDWRRLLAFSKEWDSIRPHFYRRCQERADSEDNPEMKHKVHRLARKLKEVDEDIQRHNELLNVIKRTPPADIGELVARHRKDFTNEFFEHLHTVAESYYDNLDEQNALASLGKLSIAAVQAYDTSTESIDALNAAELKLQDIINSPSLDAACRKIDSLAEKNQLDSALVLMITKAWSAAKESNMMKEEVKDILYHLYVTARGNLQRLMPKEVRILKYLLSIEDPQEQMSALQDAFTPGDELEGTDVDYLYTTPEHLQSLMKTVLEAYHFSREGSLVKEAKDLMHPELIAKIEQLKKLVEKKYM >fgenesh2_kg.1__3675__AT1G36390.2 pep chromosome:v.1.0:1:17928244:17930444:-1 gene:fgenesh2_kg.1__3675__AT1G36390.2 transcript:fgenesh2_kg.1__3675__AT1G36390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:UniProtKB/TrEMBL;Acc:D7KM22] MAISFVIDPSIAPCFSFSSPRTIPLRNLHFHGRPISPLISKPNLRFPIFSAHQSNNSEEANSKQQADVNTLIRSYKQALFNGDETSVAQIETMFCKIEKEKNKMDQKVLSLSMKIASEKETKIRLQADFDNTRKKLGKDRLSTESNAKVQIMKSLLPIIDSFERAKLQVRVDTEKEKKIDTSYQGIYRQFVEVLRHLRLSAIATVGKPFDPLLHEAISREESEVVKAGIITEELKRGFVLGDRVLRPAKVKVSLGPVKKKTPSPAEEITPSS >fgenesh2_kg.1__3677__AT1G36640.1 pep chromosome:v.1.0:1:17964928:17965421:-1 gene:fgenesh2_kg.1__3677__AT1G36640.1 transcript:fgenesh2_kg.1__3677__AT1G36640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLTVRILILSFLLFSGLSNTVLARVRYESPSQSNKIGKEVWDQTLFTEIKIGAAGSNSGRAPSCNNSCKPNRP >fgenesh2_kg.1__3682__AT1G37150.2 pep chromosome:v.1.0:1:18251158:18253365:-1 gene:fgenesh2_kg.1__3682__AT1G37150.2 transcript:fgenesh2_kg.1__3682__AT1G37150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Holocarboxylase synthetase 2 [Source:UniProtKB/TrEMBL;Acc:D7KMS5] MDFDASCSLVLCGKSSVETDAATRLKNNNVLKLPDNTKVSIFLQSEIKNLVKDDDSFNLSLFMNSISTHRFGRFLIWSPFLSSTHDVVSHNFSEIPVGSVCVTDIQFKGRGRTKNVWESPKGCLMYSFTVEMEDGRVVPLIQYVVSLAVTEAVKDVCDKKGLSYIDVKIKWPNDLYLNGLKVGGILCTSTYGSRKFHVSVGVGLNVDNEKPTTCLNAVLKENCPASNLLKREEILGAFFHKFETFFDLFMEQGFKSLEELYYRTWLHSGQRVIVEEKNEDQVVQNVVTIQGLTSSGYLLAIGDDNVMYELHPDGNSFDFFKGLVRRKL >fgenesh2_kg.1__3686__AT1G38131.1 pep chromosome:v.1.0:1:18266673:18269834:-1 gene:fgenesh2_kg.1__3686__AT1G38131.1 transcript:fgenesh2_kg.1__3686__AT1G38131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKIHHQPNGGNNGVVSSNDNGCRSESPSPPLSPNRRILRRQRRQTLLRASSFSLRRNLRYLLLLPMIYASGLLMCVGPFSGLVGWVYVPGSVYRSPEFYRKLKDDILSDNSTALELSSVWKFKRRPKMPKPCPNSTVSSHFGLNRESSALAPSSGYLIVEANGGLNQQRSAICNAVAVAGLLNAVLVIPQFEFHAIWKDSSNFGDIYDEDHFITSLEGYVKIVRDVPDEIMTRFSYNVSSIPTIRVQAWATVNYYNGEVYPVLKEHGVIRISPFANRLAMSVPPYIQLLRCIGNYKALKFSSPISTLAEKLVDRMVEKSSETGGKYVSVHLRFEEDMVAFSCCLYEGGRAEKSEMDAIRQKSWKGKFKRRDRVIRPDLNRVNGKCPLTPLEVGMMLRGMGFDNNTSIYLASGRIYQPEKHLAPLQEMFPCLYTKESLASPEELAPFEGYSSRMAALDYTVSLLSEVFVTTQGGNFPHFLMGHRRFLFGGHAKTVIPDKPKLVLLLQDMEMRWEVFKKEMKLMLGESDRKGVMVPRVRKINRKTSIYTYPLPECECIFHLSSNFSTTGNIQNLGALHPTSNLMNSARL >fgenesh2_kg.1__3689__ATCG00890.1 pep chromosome:v.1.0:1:21445048:21446901:1 gene:fgenesh2_kg.1__3689__ATCG00890.1 transcript:fgenesh2_kg.1__3689__ATCG00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase ND2 [Source:UniProtKB/TrEMBL;Acc:D7KMT1] MAITEFLLFILTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSLAPSHQWTPDVYEGSPTPVVAFLSVTSKVAASASATRIFDIPFYFSSNEWHLLLEILAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYIAMNLGTFACIILFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWQAGLYFLVSIGLLTSVLSIYYYLKIIKLLMTGRNQEITPHVRNYRISPLRSNNSIELSMIVCVIASTIPGISMNPIIAIAQDTLFSF >fgenesh2_kg.1__368__AT1G04380.1 pep chromosome:v.1.0:1:1423384:1424883:-1 gene:fgenesh2_kg.1__368__AT1G04380.1 transcript:fgenesh2_kg.1__368__AT1G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNSIECNSYSTERKSFEETKVPPIFGLPPVALDDKKPTSDFAIPVIDFAGVHVSREAVVEKIKDAAEKWGIFQVINHGVPSSVLEEIQNGVVRFHEEDPEVKKSYFSLDFTKTFIYHNNFELYSSSAGNWRDSFACYMAPDPPNPEDLPVACRDAMIGYSKHVMNLGGLLFELLSEALGLSSEALKSMGCMKGLHMICHYYPPCPQPDLTLGTSKHSDNTFITILLQDNIGGLQILHQDCWVDVSPLPGALVINIGDFLQLMTNDKFISVEHRVLANKVGPRISIACFFSSSMNPNSTVYGPIKELLSEENPPKYRDFTVPEYSKGYIEKGLDGTSHLSHYRI >fgenesh2_kg.1__3691__ATCG01270.1 pep chromosome:v.1.0:1:21448112:21448328:-1 gene:fgenesh2_kg.1__3691__ATCG01270.1 transcript:fgenesh2_kg.1__3691__ATCG01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KMT2] HGRIEILDQNTMDGWYELPKQEFLNSEQPELLLTTSKKFPLIKDGNPLENQKYACRMKLLLLSVPITNQLNN >fgenesh2_kg.1__3692__AT1G42430.1 pep chromosome:v.1.0:1:21579023:21581903:1 gene:fgenesh2_kg.1__3692__AT1G42430.1 transcript:fgenesh2_kg.1__3692__AT1G42430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAVSLLDIKHRRFGVNHELRLTKWKRKLLCFDGYQTRRFRCFADMLAPIRRSEKSEERRFDQKTSAHGAGIKTSSSAVPFASPKSRFVSKQEKFYPRCTPRLTGPQSRDTPPKRDTGIANEKDWGIDLLNENVNESGTNEDGSSWFRESGHDLGDNGYRCRWTRMGGRSHDGSSEWTETWWEKSDWTGYKELGVEKSGKNAEGDTWWETWQEVLHQDEWSNLARIERSAQKQAKSGTENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGSVLKWTDKWAETELGTKWGDKWEEKFFSGIGSRQGETWHVSPNSDRWSRTWGEEHFGNGKVHKYGKSTTGESWDIVVDEETYYEAEPHYGWADVVGDSTQLLSIQPRERPPGVYPNLEFGPSPPPEPDQPPDEPQ >fgenesh2_kg.1__3693__AT1G42440.1 pep chromosome:v.1.0:1:21582147:21586928:-1 gene:fgenesh2_kg.1__3693__AT1G42440.1 transcript:fgenesh2_kg.1__3693__AT1G42440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRVQVNKAHKTRFSSKSSRNLHRTSLQDSNRIGKSDNNYVKGAKAARVQRGKMLREQKRAAVLKEKRASGGLHSAPRVIVLFPLSASVELNSLGEDVLKLLSSDGSGNCSSTVASSEYKLRATVLKAPHGDLLTCMEMAKACELLSAFVGSFREESLSQVADLMAFVASASAPLEENSSNFIDSFGSQCLSVLRSIGLPSTTVLIRDLPSDVKKKNEMKKMCASQLASEFPEDCKFYPADTRDELHKFMWLFKAQRLTVPHWRSQRPYVVAQKVGMLVDDESSGKCTLLLSGYLRARKLSVNQLVHVSGVGDFQFSKIEVLKDPFPLNERKNQNSMELDDSHDEEVLKSLVPDPMKQEPLVVENTPDPLAGEQTWPTEEEMAEADKTQKQGNVKKKTLPRGTSEYQAAWIVDETDEEDSDNGDSDDNGMVLDRGDDSNQKGRYDQEFEDDEKSLNLRDIDTETQNESEMMDDEDLTEEQIKDEIKKIKEAYAEDEEFPDEIETPIDVPARRRFAKYRGLKSFRTSSWDPNESLPQDYARIFAFDNVARTQKLMIVYQLGSYVRLHIKEVPLGAASKLSSLVNTLKPIIGFGLLQHESKMSVLHFSVKKYDGYEAPIKTKEELMFHVGFRQFIARPVFSTDNFSSDKHKMERFLHPGRFSLASIYGPISFPPLPLVVLKISEGSNTPAVAALGSLKSVDTNKIILKKIILTGYPQRVSKMKASVRYMFHNPEDVKWFKPVEVWSKCGRRGRVKEPVGTHGAMKCIFNGVVQQHDIVCMNLYKRAYPKWPERLYPQLL >fgenesh2_kg.1__3696__AT1G42480.1 pep chromosome:v.1.0:1:21620840:21623196:1 gene:fgenesh2_kg.1__3696__AT1G42480.1 transcript:fgenesh2_kg.1__3696__AT1G42480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g42480 [Source:UniProtKB/TrEMBL;Acc:D7KMU1] MAKLMLFTAVIIAIISHGASVDDKCAACNAVAEELELQLLKEKPRNHLDMRNRLNSKGQREGKVIDYRISDLRVVDLLDGLCDRMQDYTLQKVESKNRQWVKVGNFDNLTNKQEAKAHANDISTYCGRLLEETEDELGEVIKNGSLKAGEVRKVLCQTLSNHCSQSSETDSEDEEDDDADEL >fgenesh2_kg.1__3698__AT1G42560.1 pep chromosome:v.1.0:1:21649809:21652532:-1 gene:fgenesh2_kg.1__3698__AT1G42560.1 transcript:fgenesh2_kg.1__3698__AT1G42560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:D7KMU5] MAGGGGGGGGGEGPRQLDQTPTWAVSTVCGVIILISIILELIIHKVGEVFERKKKKALFEALEKIKNELMVLGFISLLLTFGQNYIASICVPSRYGHAMSFCGPYDGPSEDHDDKKLKKTDHAMRILSSIQRRSLADAPPVNCKKDYVALISLNALHQIHIFIFFLAVFHVIYSAITMMLGRAKIRGWKVWEQEVIHEQEMMNDPSRFRLTHETSFVREHVNSWASNKFFFYVMCFFRQILRSVRKSDYLTMRHGFISVHLAPGMKFDFQKYIKRSLEDDFKVVVGIRPELWAFVMFFLLFDVHGWYITAVITMIPPLLTLAIGTKLQAIISYMALEIQERHAVIQGMPVVNVSDQHFWFEKPALVLHMIHFVLFQNAFEITYFFWIWYEFGLRSCFHHHFGLIIIRVCLGVVVQFLCSYITLPLYALVTQMGSTMKRSVFDEQTSKALEQWHKKARKKNEK >fgenesh2_kg.1__3699__AT5G17910.1 pep chromosome:v.1.0:1:21655818:21661082:-1 gene:fgenesh2_kg.1__3699__AT5G17910.1 transcript:fgenesh2_kg.1__3699__AT5G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDRSSEFRVQIRRLFVIKIRMSYRWICNHPFLLGFIGFLYFLHRYCPLLFAPLVTASPVLVCTFVLLGTILSFGEPNIPEIDKEPEIVHEAAPFRTEVSRDANVTVVERGDQSFTVDSFVGAEKDVLEDGNDDVDRLVENLLSEVEDNGRPFDYRPLVDETLDEIKRDPQVRFEEIAFILDVDKMGERVEEKLIENDGTQALGGEQSRKNGTLYERIDDSKDDQMDVSPVSPWRPMRHEEDEDDDADRDDSLDSGSDGAESSSPDASMTDIIPMLDELHPLLHSEAPNRGIADGEGSDAASEGPHRSSSDEGRSSSDEGMESDVDSESHGEEGDNENEDEEEDEEEEEEQEHEKKEDKDDESKSAIKWTEADQRNVMDLGSLELERNQRLENLIARRRARHNMRLMAERNLIDFDSADIPFNMPPISTARQNPFDAPYDSYDDMGLPPIPGSAPSIMFARRNPFDLPYEPNEEKPDLKADGFQEEFSSQQPKDQVFRRHESFSVGPSMLGGPRHDRLRPFFVLERLANEGTSYYPFERQLSEVSESKVSSVPDTESVCTVLEDDEKKVDEHNADRETEIAKVDMASDHDEEKSHSASDHDEEKSHSASDHDEEKSHSSEDSDFDEQADSRKLHNDVAEIVLGSGEETHHEQSNMMEGETSDKGNLVEEYFSDSDSSLSEKEENMRDISEDEAMLISERVVDLHEESGASSQPSFGELEINGARVVEDGYHHDEARAEESFITAHPSLDESAIHALCGLGDGHHEEPVYDSSPPSGSRFPSFSSVSSSDYKPDLPEKNGEEVEENEEKEHEVYSKSIGPEEIHSTSNETETRTKEVGETSTHVTREASLVIAELVRLLCLWVRVRMLMTRRMTVYLFLVQRNWKNCMKPEHVTSLEESPDVVRDIAETSVNRSVAEEIMHEEEESRKQKDQASPQTFNADIPIDNYATLSSGAVEYVETHSFNDEDVPQLEQEPAHSSVLDAEEEINPDQTMDIEVDSVNAGSPNVGSEETTPSESDRELTWSDKSVVEQSSLEPGEDQVPTRAGPVSVVFSRNITFHEYHDAPEDTAELSCLTSDTSSSPAESPEYTTPMVGEGSRAEFFQEDIYEELDDMVQRLDQLTDLHAISESPPEIITEEADEIKEIDEGLLSELDSIGDFSVKEIEDLENAINQAVVESMDKQPKSPQSDGRPGEIKCVVGSEPSESSVEERSIDETNVPLNNTPVKEEEEEESKPKEITSDVLPVETRSLEEFPKPSEPKEGMSMEVISERVVIPTEAAGPSNVTLSDEVVTEEAKAETTASNTDVNVQSPVSKETPENSRENIAEQKGKKEKKMKNKTNSSSSSSDSD >fgenesh2_kg.1__3700__AT1G42960.1 pep chromosome:v.1.0:1:21670866:21672673:1 gene:fgenesh2_kg.1__3700__AT1G42960.1 transcript:fgenesh2_kg.1__3700__AT1G42960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSTSLSLPKNSQQLHPSSGVFLKPNACCVSVSFGLNRSNKLHISAPRNKRILTIQSAYRDDDGSGSTGLFVGGFILGGLIVGALGCVYAPQISKAIAGADRKDLMRKLPKFIYDEEKALEKTRKVLAEKIAQLNSAIDDVSSQLKSEDTPNGAALSTDEVEATA >fgenesh2_kg.1__3701__AT1G42970.1 pep chromosome:v.1.0:1:21672791:21675479:1 gene:fgenesh2_kg.1__3701__AT1G42970.1 transcript:fgenesh2_kg.1__3701__AT1G42970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7KMU9] MATHAALAVSRIPVTQRLQSKSAIHSFPAQWSSKRLEVAEFSGLRMSSIGGEASFFDAVAAQIIPKAVTTSTPVRGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLEVVVLNDSGGVKNASHLLKYDSMLGTFKAEVKIVDNETISVDGKLIKVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGASKVIITAPAKGADIPTYVVGVNEQDYGHDVANIISNASCTTNCLAPFAKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVINVEKKGLTAEDVNEAFRKAANGPMKGILDVCDAPLVSVDFRCSDVSTTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGEEAVGSGDPLEDFCKTNPADEECKVYE >fgenesh2_kg.1__3703__AT1G42990.1 pep chromosome:v.1.0:1:21696816:21698327:-1 gene:fgenesh2_kg.1__3703__AT1G42990.1 transcript:fgenesh2_kg.1__3703__AT1G42990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFGSFDLLVGDDDFFFDFDPSIVIDSPPAEDFLQSSSPDSWIGEIENQLMNDENHQEESFLELDQQSVSDLIADLLVDYPTSDSGSVDLATDKVPDVITVDSPVAADDSGKENSDLVVEKKSNDSGSEIQDDDEEGDDDAVAKKRRRRVRNRDAAVRSRERKKEYVQDLEKKSKYLERECLRLGRMLECFVAENQSLRFYLQKGSGNNTSMMSKQESAVLLLESLLLGSLLWLLGVNFLCLFPYLSHTKCYLLLSEPEKLVLNGLGSCSKPSNTDVSRRCKGSRPRMKHQILTLVV >fgenesh2_kg.1__3710__AT1G43130.1 pep chromosome:v.1.0:1:21803401:21805718:-1 gene:fgenesh2_kg.1__3710__AT1G43130.1 transcript:fgenesh2_kg.1__3710__AT1G43130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKEATTSSLSQGLTPHQDPEDAPKSPPNSPNSSTRKACYGVLQSWVSKKFMTGFVVLFPVAVTFLITWWFIQFVDGFFSPIYENLGVDIFGLGFITSVLFTFFVGIFASSWLGSTVFWLGEQFIRRMPFVKHIYSASKQISTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSSVTLQTDHGEEELCSVYVPTNHLYIGDVFLVSSEEIIRPNLSIREGIEIIVSVGMTMPQVISHADRTTNRTPHQHSLRVPLNRL >fgenesh2_kg.1__3711__AT1G43170.1 pep chromosome:v.1.0:1:21852491:21854572:1 gene:fgenesh2_kg.1__3711__AT1G43170.1 transcript:fgenesh2_kg.1__3711__AT1G43170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRANRHRGKVKAFPKDDQTKPCKFTAFMGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPAMVVVGVVAYVKTPRGLRSLNTVWAQHLSEEVRRRFYKNWAKSKKKAFTGYAKQYETEEGKKGIQAQLEKMKKYATVIRVLAHTQIRKMKGLKQKKAHMMEIQINGGTIAQKVDFAYSFFEKQIPIEAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTELNKKIYRLGKVGTEAHSAMTEYDRTEKDVTPMGGFPHYGVVKDDYLMIKGCCVGPKKRVVTLRQSLLTQTSRLALEEIKLKFIDTASNGGHGRFQTTLEKMRFYGRTKN >fgenesh2_kg.1__3718__AT1G43580.1 pep chromosome:v.1.0:1:21939865:21941813:-1 gene:fgenesh2_kg.1__3718__AT1G43580.1 transcript:fgenesh2_kg.1__3718__AT1G43580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGLGIAAMSYVAIDYMRYVSPVWHSRLMPVLWSVLAIAVVTRVLFYKHWSKELRAAIPFLGSVVFLLCALLFEALCVRSVTAVLGLDWHRETPPLPDTGQWFLLALNESLPGTIVEILRAHIIGLHHFLMLFIMLGFSVAFDSVKAPGLGLGARYIFTMGVGRLLRAITFVSTILPSARPWCASARFNSVPSHPHRWAQKYYVPYANDPAAIRQLLHWDAAYADPGSYIGDYRADWGSMSFLSEFLRPSYSEGSSWFALLKKAGGGCNDLMYSGHMLVAVLTAMAWTEAYGGFSSAMIWLFVAHSAQREIRERHHYTVDCIVAIYVGILLWKMTGFIWSAERKTKQTKLEKIQNSLIHAAKDGDMETVRRLVEEIELSSRVEKQSNVISNRTMTVFACATVITTLTIVILALTLTSDG >fgenesh2_kg.1__3719__AT1G43600.1 pep chromosome:v.1.0:1:21942951:21943583:1 gene:fgenesh2_kg.1__3719__AT1G43600.1 transcript:fgenesh2_kg.1__3719__AT1G43600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor family protein [Source:UniProtKB/TrEMBL;Acc:D7KMX3] MKKKLHLVLDLDHTLIHTVLVSDLSEREKYLLEEADSRQDLWRCNKDSPYEFIIKLRPFVHEFLLEANKLFTMHVYTMGNSCYAQDVLKLIDPDKVYFGNRVITREASPCNKTLDLLVADTRRVVIVDDTISVWPHHKRNLLQITKYIYFRVDGTKWDSYAEEKKDESRKSGSLANVLKFLEDVHKRFEEDLDSKDLRLLIPYPCRQCCF >fgenesh2_kg.1__371__AT1G04400.1 pep chromosome:v.1.0:1:1432857:1435833:-1 gene:fgenesh2_kg.1__371__AT1G04400.1 transcript:fgenesh2_kg.1__371__AT1G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDKKTIVWFRRDLRIEDNPALAAAAHEGSVFPVFIWCPEEEGQFYPGRASRWWMKQSLAHLSQSLKALGSDLTFIKTHNTVSAILDCIRVTGATKVVFNHLYDPVSLVRDHTVKEKLVERGISVQSYNGDLLYEPWEIYCEKGKPFTSFSSYWKKCLDMPIESVMLPPPWRLMPITAAAEAVWACSIEELGLENEAEKPSNALLTRAWSPGWSNADKILNEFIEKQLIDYAKNSKKVVGNSTSLLSPYLHFGEISVRHVFQCARMKQIIWARDKNSEGEESADLFLRGIGLREYSRYICFNFPFTHEQSLLSHLRFFPWDADVDKFKAWRQGRTGYPLVDAGMRELWATGWMHNRIRVIVSSFAVKFLLLPWKWGMKYFWDTLLDADLECDILGWQYISGSIPDGHELDRLDNPALQGAKYDPEGEYIRQWLPELARLPTEWIHHPWDAPLTVLKASGVELGTNYAKPIVDIETARELLARAISRTREAQIMIGAAPDEIVADSFEALEANTIKEPGLCPSVSSNDQQVPSAVRYNGSKRVKPEEEEERDMKKSRGFDERELFSTAESSSSSSVYFVSHSCSLASEGKNLEGIQDSSDQLTTSLGKNGCQ >fgenesh2_kg.1__3722__AT1G43620.1 pep chromosome:v.1.0:1:21945962:21951247:-1 gene:fgenesh2_kg.1__3722__AT1G43620.1 transcript:fgenesh2_kg.1__3722__AT1G43620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIFDHPLQKLEDGIGSRKDDSDEDNGVNSEKASLLETPGSVDTTPEDSGRRSSDWRRGLDHCETAPVGFCGDMLIDDSEIQYSRSLTEKGSPANHNLKLDRLSEREKQKLIVELVRIQNDGTVEVIDNGTPVSELWEFEPMKGQSTITYEMSFTESFRSIPRLKIAILVVGTRGDVQPFLAMAKRLQEFGHRVRLATHANFRSFVRAAGVEFYPLGGDPRELAAYMARNKGLIPSGPSEISKQRKQLKAIIESLLPACIEPDMETATSFRAQAIIANPPAYGHVHVAEALGVPIHIFFTMPWTPTHEFPHPLARVPQSAAYWLSYIVVDLMVWWSIRTYINDFRKRKLNLAPIAYFSTYHGSISHLPTGYMWSPHVVPKPSDWGPLVDVVGYCFLNLGSKYQPREEFLHWIERGSPPIYIGFGSMPLDDPKKTMDIILETLRDTEQRGIVDRGWGGLGNLATEVPENVFLVEDCPHDWLFPQCSAVVHHGGAGTTATGLKAGCPTTIVPFFGDQFFWGDRIYEKGLGPAPIPIAQLSVENLSSSIRFMLQPEVKSQVMELAKVLENEDGVAAAVDAFHRHLPPELPLPESSSEKKDEDDRPDLLQWFFIQIGKKCCLPCGGV >fgenesh2_kg.1__3723__AT1G43630.1 pep chromosome:v.1.0:1:21962236:21963570:-1 gene:fgenesh2_kg.1__3723__AT1G43630.1 transcript:fgenesh2_kg.1__3723__AT1G43630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETEYSFGRSLLSLRRDQAHLMDQSAFPEPMSMEVELDSFQRQVAEKFIDLNASAADDEILSLEWIGKLLDSFLCCQEDFRVIIFNHKSQLLKQPMDRLIEDYFERSVKALDVCNAIRDGIEQIRQWQKLIEIVISALDTSRKQQLGEGEIHRAKKALIDLAIGMLDEKESSNTLAHRNRSFARNKDHNQHIGYIRSLSWSVSRSWSAARQLQGIGNNLATPRASDVMATNGLALTVYTMTSILLFVTWVLVAAIPCQDRGLHVHFYFPRHFQWAVPVMSLHDKIMEESKKRDKKKKGCGLLREINQIERSSRMLSDLIDSDSFSLTDEKSLEVKERVQELMNVCEAMKDGLDPFDRKVRNVFHQIVRTRTEALDSLGKLRNQE >fgenesh2_kg.1__3724__AT1G43640.1 pep chromosome:v.1.0:1:22082090:22084503:-1 gene:fgenesh2_kg.1__3724__AT1G43640.1 transcript:fgenesh2_kg.1__3724__AT1G43640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:D7KMY6] MSFGSIVRDVRDSIGSFSRRSFEVRVSNGTHQRSKSHGASVETHIEDLVVIKNTRWANLPAALLRDVMKKLDDSKSTWPARKQVVACAGVCKTWRLMCKDIVKSPEFSGKLTFPVSLKQPGPRDGTIQCFIKRDKSNMTYHLYLSLSPVLTFVKFVQPYLTTYTEYVMSMDADNISRSSSTYIGKLRSNFLGTKFIVYDTAPAYNSSQILSPPNRSPRFNSEKVSPKVPSGSYNIAQVTYELNVFGTRRPRRMNCTMHSIPSLALEPGGTVPGQPEFLQRSLDESFRSIGSSKIVDDSGDFTSARFSDVVGPQGEEDKGKERPLVLKNKPPRWHQKLQCWCLNFRGRVTVASVKNFQLVSAATVQPGAGALTTSVSSQKQGQPDHDEMILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >fgenesh2_kg.1__3728__AT1G43690.1 pep chromosome:v.1.0:1:22172520:22177172:1 gene:fgenesh2_kg.1__3728__AT1G43690.1 transcript:fgenesh2_kg.1__3728__AT1G43690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin interaction motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KNM7] MADHQEDEDLKLALQMSMQYNPPEPKRSKPIEEETGSGSQSGGESPEAKSRRLQRELMAAAAEKRMVLFPKSPSPVNQARVLPIRVGGDKDDEVVKSVGSDLGKELSMEESDQLFSMVFGNEVSKSVLAQWTNQGIRFSPDPETTIGLVQHEGGPCGVLAALQAFVLKYLLYFPGDRVASPSMGVWTLSKDRHVVSDSFSSVTEEAKTRALVRSMCEILFMCGNNNRAVIASFTNFEDSSTNQKDEAMASGLPIESASDLQKILRFETFTTQASALNKLEGTITAFQSRMGALLFLISALLSRGLDSVQADRDDPNLPLVTAPFGHASQEIVNLLLCGEAVPNVFDGRMDLGGGMFLKGISKNVDVGFLTLLESLNFCKVGQNLKCPKWPIWVIGSESHYTVLFALDPSVQEENVLELRESEIRRAFDARDESGGGGFISVEAFHQVVQETNIRLPTEKLNDICATGFIVWSELWQVILELDRNLGGIKDSTGMMGKKVFDIYHFNGIAKSDINGGGQSIAVEGGTVPMQRPRLTKLNVAVPPKWTPEEYMSCALPPSSSEKDAEVNQPKPVQHAPLVDCIRTRWSRAACSWSGDPPSIV >fgenesh2_kg.1__3729__AT1G43700.1 pep chromosome:v.1.0:1:22178723:22180655:1 gene:fgenesh2_kg.1__3729__AT1G43700.1 transcript:fgenesh2_kg.1__3729__AT1G43700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vire2-interacting protein VIP1 [Source:UniProtKB/TrEMBL;Acc:D7KNM8] MEGGGGPNQTILSEIEHMPEAPRQRISHHRRARSETFFSGESIDDLLLFDPSDIDFSSLDFLNAPPPQQQQPQASPMSVDSPSEETSSNGVVPPNPLPPKPEARFGRHVRSFSVDSDFFDDLGVTEEQYVGKSLATSSGEKKKRNHHHNRSNSMDGEMSSASFNIESILASVSGKDSGKKNMGMGGDRLAELALLDPKRAKRILANRQSAARSKERKIRYTGELERKVQTLQNEATTLSAQVTMLQRGTSELNTENKHLKMRLQALEQQAELRDALNEALRDELNRLKMAAGEIPQGNGNSYNRAQFSSQQSAMNQFGNNKNQQMSTNGQPSHPSYMDFTKRG >fgenesh2_kg.1__372__AT1G04410.1 pep chromosome:v.1.0:1:1436493:1439101:-1 gene:fgenesh2_kg.1__372__AT1G04410.1 transcript:fgenesh2_kg.1__372__AT1G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7KDE2] MAKEPVRVLVTGAAGQIGYALVPMIARGIMLGADQPVILHMLDIPPAAEALNGVKMELIDAAFPLLKGVVATTDAVEGCTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQAAALEKHAAPNCKVLVVANPANTNALILKEFAPSIPEKNISCLTRLDHNRALGQISERLSVPVSDVKNVIIWGNHSSSQYPDVNHAKVQTTSGEKPVRELVKDDAWLDGEFISTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTFVSMGVYSDGSYNVPSGLIYSFPVTCRNGEWSIVQGLPIDEVSRKKMDLTAEELKEEKDLAYSCLS >fgenesh2_kg.1__3735__AT1G43790.1 pep chromosome:v.1.0:1:22263956:22264444:1 gene:fgenesh2_kg.1__3735__AT1G43790.1 transcript:fgenesh2_kg.1__3735__AT1G43790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDSSVYRPTPTPDHDTTVVVVVFVSLGCVMFLAFLAFVIWFLIKKRSRKHREKSEAVRVDEHFKMKEAIVEGPNGQKSVMLSVEDDVKIEDAIKRDEKDLKKDGGVGSSVVTRS >fgenesh2_kg.1__3739__AT1G43890.3 pep chromosome:v.1.0:1:22318028:22320120:-1 gene:fgenesh2_kg.1__3739__AT1G43890.3 transcript:fgenesh2_kg.1__3739__AT1G43890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGQPEFDYLFKVLLIGDSGVGKSSLLLSFTSNTFDDLSPTIGVDFKVKYLTIGEKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVSKKEGIDFAREYGCLFLECSAKTRVNVEQCFEELVLKILETPSLTAEGSSGGKKNIFKQNPAQTSSASSSYCCSS >fgenesh2_kg.1__3741__AT1G43860.1 pep chromosome:v.1.0:1:22333725:22335910:1 gene:fgenesh2_kg.1__3741__AT1G43860.1 transcript:fgenesh2_kg.1__3741__AT1G43860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7KNP3] MSKTLVQPVGQKRLTNVAVVRLKKQGNRFEIACYKNKVLSWRSGVEKDIDEVLQSHTVYSNVSKGVLAKSKDLMKAFGSDDHTKICIDILEKGELQVAGKERESQFSSQFRDIATIVMQKTINPETQRPYTIGMVERLMHEIHFAVDPHSNSKKQALDVIRELQKQFPIKRSPMRLRLTVPVQNFPSLLEKLKEWEASVVSKDESGTQMSTVCEMEPGLFRECDSHVRNMQGRLEILAVSVHAEGDTNMDHYDEHDDMAFQTHKPLLPADTETKDLTDPVIELSKKMQKQEISTADTIKQEGGEEKKGTKCSTCNTFVGEAKEYREHCKSDWHKHNLKRKTRKLPPLSAEECMSEIDMDDSRADLKDYSF >fgenesh2_kg.1__3744__AT4G03170.1 pep chromosome:v.1.0:1:22357444:22358528:1 gene:fgenesh2_kg.1__3744__AT4G03170.1 transcript:fgenesh2_kg.1__3744__AT4G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KNP6] ETTSLTHKKVNVATEVLIELSQYRRQSLEEEDTDDEEDIDDEDDIDDEVASTPLLQVSQSHQKQSRKREEKSDKNQPKRVKKQNIMKININDFSKETLRSIEDIFGDNEKQIFKLQFSRLPMNPMETNIYLLEDSEQTKRTSLMSSDVDKDQSRLMLSKEQVNEKMLPFLEESEDPVKGFVVKVWNGDKTHVLTSGWNDVWMFRHIKNRKICFAIEYVSFPVKKILSR >fgenesh2_kg.1__3745__AT4G03175.1 pep chromosome:v.1.0:1:22360144:22360746:-1 gene:fgenesh2_kg.1__3745__AT4G03175.1 transcript:fgenesh2_kg.1__3745__AT4G03175.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KNP7] APEVILGLPYDEKLICGLLGFSSKTLSHILKKLTSNTNKMYQSVAMILVRIVTVLGLLETEMLEKGQETHKYFTKEYDLYHLNEIKNFDISKKKTQKTYCLNESNKIEYIITEELCLEEQLHVSDELFLDFVKSILEINPLIRPTALEVLNHPWLSSSSYNS >fgenesh2_kg.1__3748__AT1G43980.1 pep chromosome:v.1.0:1:22410575:22412370:-1 gene:fgenesh2_kg.1__3748__AT1G43980.1 transcript:fgenesh2_kg.1__3748__AT1G43980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFYFSRLVDRYLLSKCPTLTRIVHAQLLKAGFIRTTYWGNRCLQLYFKSGAVIDAFRLFDDIPDKNTISWNVCLKGLFKNGFLNNALDLFDEMPERDVVSWNTMISGFVSCGFPEYAIRVFFDMQRWVIRPTEFTFSILASLVSCVRHGEQIHGNAICSGVSKSNLVVWNSLMDMYRRIGVFDYAISVFLTMEERDVISWNCLILCCSDSGNKEVALDQFWLMREMENKPDEYTVSMVVSICSDLQDLCKGKQAIALCIKMGFLFNNIVLGSGIDMFSKCNRLDDSVKLFRQLEKWDSVLCNSMIGSYSWHCCGEDTLTLFIVAMRQSISPDKFTFSSVLSSMNAVMLGHGAQVHALATKLGFDSDTTVATSLMEMYFKTGSVDSAMGVFAITDEKDLIFWNTVIMGLARNSRAVESLSVFNQLLMDERGLKPDRVTFMGILVACCYAGFVNKGIQIFSSMEKTHDVDPGNEHYACIIELLCRAGMINEAKDIADKIPFEPSSHIWEPILCASVDVGDTRLAESVAKIMIESEPKSSFPYLVLIKLYEMTWRWENSVKLRYTMNEHKLKSTQGSSKIGIKSSVYSFEAEQLQIHG >fgenesh2_kg.1__374__AT1G04430.1 pep chromosome:v.1.0:1:1447256:1450400:1 gene:fgenesh2_kg.1__374__AT1G04430.1 transcript:fgenesh2_kg.1__374__AT1G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSDGGLKKRLIASVCVVALFVCFLFMYYGSSSQGASALEYGRSLRKLGSSYLGGDDDNGDTKQEDSVTNAEDSLVVAKSFPVCDDRYSEIIPCLDRNFIYQMRLKLDLSLMEHYERHCPPPERRFNCLIPPPSGYKVPIKWPKSRDEVWKANIPHTHLAKEKSDQNWMVEKGEKISFPGGGTHFHYGADKYIASIANMLNFSNDVLNDEGRLRTVLDVGCGVASFGAYLLASDIITMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGLLLLELDRVLRPGGYFAYSSPEAYAQDEENLKIWKEMSALVERMCWRIAVKRNQTVVWQKPLSNDCYLEREPGTQPPLCRSDADPDAVAGVAMEACITPYSKHDHKTKGSGLAPWPARLTSSPPRLADFGYSTDIFEKDTELWKQQVDSYWNLMSSKVKSNTVRNIMDMKAHIGSFAAALKDKDVWVMNVVSPDGPNTLKLIYDRGLIGTNHNWCEAFSTYPRTYDLLHAWSIFTDIKSKGCSAEDLLIEMDRILRPTGFVIIRDKQSVVESIKKYLQALHWETVASEKVNTGSELDQDSEDGENNVVFIVQKKLWLTSESLRDTE >fgenesh2_kg.1__3750__AT1G44080.1 pep chromosome:v.1.0:1:22477952:22479058:-1 gene:fgenesh2_kg.1__3750__AT1G44080.1 transcript:fgenesh2_kg.1__3750__AT1G44080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGWSDLHEDLIVLLANNLSSNINLLRFRSICKPWRSTIATKKRLHNHFERNLPTFKKTKTVVSPTTFFRVTLPSPCPNKGWLIKNRQVSESSKNNLLCPLSGKPITPSDKTLDLMKLGVSEIRQSYNSSANSARVVFLNNMFFVVDFKNEIWCCKSGEESIRWTRINNEEAEGFLDIILHKGKVYAFDLTGAIWWISLSELSIYQFGPSTPLDYYDIDNCKEKRFVEYCGDLCVIHRFCKKFRVNRVLRERTVGFKVYKMDKNLVKWVEVSSLGDKALIVATDSCFLVLASEYYGCLENAIYFNDGEDVSVFKLDDGSIINKQIDSSSSSSHESCFQMFSAPFL >fgenesh2_kg.1__3752__AT1G44100.1 pep chromosome:v.1.0:1:22495973:22498978:-1 gene:fgenesh2_kg.1__3752__AT1G44100.1 transcript:fgenesh2_kg.1__3752__AT1G44100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKNVQDLDVLPRNSSDLFDDDGRPKRTGTVWTASAHIITAVIGSGVLSLAWAVAQIGWVGGPVTMLLFSFVTYYTSTLLCSCYRSGDSVSGKRNYTYMDAIHANLGGIKVKVCGVVQYLNLFGTAIGYTIASAISLVAIQRTSCQQMNGGNHPCHVNGNVYMIAFGVVQIIFSQIPDFDQLWWLSIVAAVMSFGYSTIGLGLGVSKVVENKEIKGSLTGVTVGTVTPSGTVTPTQKIWRTFQSLGNIAFAYSYSMILIEIQDTVKSPPAEVNTMRKATFVSVAVTTLFYMLCGCVGYAAFGDTAPGNLLANGGFRNPFWLLDIANLAIVIHLVGAYQVYCQPLFAFVEKEAARRFPESKFVTNEIKIQLFPGKPFNLNLFRLVWRTIFVMTTTLISMLMPFFNDVLGLLGAIGFWPLTVYFPVEMYIVQKNVPRWGTKWVCLQVLSLACLFVSVAAAAGSVVGIVSDLKIYKPFQSDF >fgenesh2_kg.1__3753__AT1G44110.1 pep chromosome:v.1.0:1:22522276:22524718:-1 gene:fgenesh2_kg.1__3753__AT1G44110.1 transcript:fgenesh2_kg.1__3753__AT1G44110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCA1_1 [Source:UniProtKB/TrEMBL;Acc:D7KNR2] MSNIHQNRRSSFSSSTKSSLAKRQAPSSSENSVKPMAVMTKKRAPLSNITNQKNGSRLPNSSFDSVHCSNKSAKLKLAPTPPVCVNAGFSSNVLPLQKSVVPHKVASSPSKSDDGSVSMDETMSSSDSYKSPQVEYIDNDEVSAVVSIERKALSNLYITPTSETIGNYCSRDVLSDMKKMDKNQIVNIDSNNADPQLCATFACDIYKHLCASEAKKRPAVDYMERVQKDVNSSMRGILVDWLIEVSEEYRLVPETLYLTVNYIDRYLSGNVISRQKLQLLGVACMMIAAKYEEICAPQVEEFCYITDNTYLKDEVLDMESDVLNYLKFEMTAPTTKCFLRRFVRAAHGVHEAPLMQLECMANYIAELSLLEYTMLSHSPSLVAASAIFLAKYILDPTRRPWNSTLQHYTQYKAMELRGCVKDLQRLCSTAHGSTLPAVREKYSQHKYKFVAKKFCPSIIPQEFFNNS >fgenesh2_kg.1__3756__AT1G44160.1 pep chromosome:v.1.0:1:22555618:22557199:1 gene:fgenesh2_kg.1__3756__AT1G44160.1 transcript:fgenesh2_kg.1__3756__AT1G44160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ chaperone C-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KNR7] MATQGMAQPSAKKRSFLLRDIFKLCRSISRVFPRDKPKHHHKAKHETKRFDEEPKRNKPNAFDFRVSFRCCQNNNVEGVSLCKVRSYRFDNTNTNFVGSKKSLSRSCSQNTATATGATTNPTFRSLSFIGRSKSSSNRMTESGGFMPTLMRSTTTVPRSFANPILYSSSSAKVAKPSPTEKKLRCTLEELCNGCTKKIKITRDVITSSGQMCEEEETVEIKVKPGWKGGTKVTFEGKGNEAMGSVPADLTFVIVEKEHEVFKREGDDLEMAVEVSLLEALTGCELSVALLDGDNMSLRIEDVIHPGYVTVVQGKGMPNLKEKGKRGDLRVRFRTKFPQHLTDEQRAEIHSILQVSS >fgenesh2_kg.1__3759__AT1G44170.2 pep chromosome:v.1.0:1:22557169:22560846:-1 gene:fgenesh2_kg.1__3759__AT1G44170.2 transcript:fgenesh2_kg.1__3759__AT1G44170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7KNR8] MAAKKMFGAADASILVTGLSRSFDDGVTRVTLKRISQTSIIGLTYPPTWSVSLLSMTIVATSMMLCVDTCTTQLQCNFFDKLRVVSLLRNSIKLALKQLKNWMAPEKAKTSLTTFPASAEIVSEPLGVVLVISAWNYPFLLSIDHVIGAISAGNAVVLKPSELAPASSALLAKLLEQYLDSSVVRVVEGAVTETTALLEQKWDKIFYTGSSKIGRVIMAAAAKRLTPVVLELGGKSPVVVDSDTDLKVTVRRIIAGKWGCNNGQACISPDYILTTKEYAPKVIDAMKKELETFYGKNPMESKDMSRIVNSTHFDRLSKLLDEKEVSDKIVYGGEKDRENLKIAPTILLDVPLDSLIMSEENIWPSPSNSHESFDMIRSRPKPLAAYLFTHNKKLKERFATTVSAGGIVVNDIAVHLALHTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRSLFGDSAVRYPPYSRGKLRLLKALVDSNIFDIFKALLGLS >fgenesh2_kg.1__3760__AT1G44180.1 pep chromosome:v.1.0:1:22566896:22569281:-1 gene:fgenesh2_kg.1__3760__AT1G44180.1 transcript:fgenesh2_kg.1__3760__AT1G44180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPLLWTLFLFSVIFSIQSYSEEEEDTPITRFQQYLRFNTAHPNPNYTAPISFLLDQARSIGLTSRTIEFVHGKPVLLLTWLGSNLNLPSILFNSHLDSVPAESEKWIHPPFSAQRTVDGHIYARGAQDDKCIGVQYLEAIRNLKSRGFVPLRTIHISYVPEEEIGGFDGMMKFAASLEFRELNLGFVMDEGQASPGDEFRVFYAERTPWHLVIRAEGIPGHGAKLYDNSAMENLMKSVELISKFRETQFDLVKAGKAANSEVISVNPAYLKAGTPSTTGFVMNMQPSEAEVGYDIRLPPMADPVAMKKRIAEEWAPSIRNMTYTIEEKGKLADQLGRPIMTLTNGSNPWWSIFKQAIEATGGKLAKPEILISSTDSRFIRTLGIPVLGFSPMINTPILVHDHNEFLKDTVFMKGIGVYESVISALSSFEGISDQVI >fgenesh2_kg.1__3761__AT1G44191.1 pep chromosome:v.1.0:1:22581013:22582107:-1 gene:fgenesh2_kg.1__3761__AT1G44191.1 transcript:fgenesh2_kg.1__3761__AT1G44191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLIFLVPLLCLIISPTTTIASWPKPSEVSKEDMLMNTDHNHYFGNKLNYEDSKVWKCTYNNGSGAAVSISYPAPPHPPSPKPPTPSSRPPSPLSPKKSPPPPKPSPPPRTPKKSPPPKPSSPPPTPKKSPPPPKPSSPPPTPKKSPPSPKPSSPPPSPKKSPPPPKQSPSPPKPSTPPPNPKKSPPSPKPSSPPPSPKKSPPPPNPSKPSSPPPTPKKSPPPPKPSQPPPKPSPPHRKPSPPTPKPSTTPPTPKISPPRPTPKKSPPPPPTPAHRYQNPWVHFASCISEFGPSAICKQQMEVSYYRRGFLVSDYCCNLIVNMRHECTDVILGFFTDPFFVPLIRYTCHVKY >fgenesh2_kg.1__3766__AT1G44446.1 pep chromosome:v.1.0:1:22640317:22643314:-1 gene:fgenesh2_kg.1__3766__AT1G44446.1 transcript:fgenesh2_kg.1__3766__AT1G44446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAVFSASALSLPISFSKTRSSYLSRKKGVKGEFRIFAVFGDESGLLEKKSQWRPLFDVEDPRSKAPPYKGKFLDVNQAIEVARFDIQYLDWRARQDLLTIMILHDKVVDVLNPLAREYKSIGTVKKELAGLQEELSKAHQQVHISEARVSTALDKLAHMEELVNDRLLPGRVVAELDKPSTSATASAVELDREKTNTGAKSLNVSGPVPPYSPHLKNFWYPVAFTADLKHDTMVPIECFEQPWVIFRGEDGKPGCVRNTCAHRACPLDLGTVNEGRIQCPYHGWEYSTDGECKKMPSTKLLKVKIKSLPCLEQEGMIWIWPGDEPPAPTLPCLQPPSGFLIHAELVMDLPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPSSGLQGYWDPYPIDMEFKPPCIVLSTIGISKPGKLEGKSTKQCATHLHQLHVCLPSSKNKTRLLYRMSLDFAPILKNLPFMEHLWRHFAEQVLNEDLRLVLGQQERMLNGANIWNLPVAYDKLGVRYRLWRNAVDRGDDKLPFSG >fgenesh2_kg.1__3770__AT1G44750.3 pep chromosome:v.1.0:1:22731297:22732694:1 gene:fgenesh2_kg.1__3770__AT1G44750.3 transcript:fgenesh2_kg.1__3770__AT1G44750.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPUP11 [Source:UniProtKB/TrEMBL;Acc:D7KNT5] MATLVQTSAFPILYIPLLLLPSSSSSAESSETSCSLKYIVLIYVLLGVIIAGDNMLYSVGLLYLSASTYSLICATQLAFNAVFSYFINAQKFTALILNSVVLLSFSAALIALNDDADTPSGVSRSKYIVGFVCTLAASALYSLLLSLMQFSFEKILKRETFSVVLEMQIYTSLVATCVSVIGLFASGEWRTLHGEMEGYHKGQASYVLTLVWTAVTWQVCSVGVVGLIFLVTSLFSNVISTLSLAVTPLAALVVFRDKMSGVKIMAMLIALWGFASYVYQNHIDDLKVRRARQQAQAGRVEPPC >fgenesh2_kg.1__3771__AT1G44760.1 pep chromosome:v.1.0:1:22733657:22735449:-1 gene:fgenesh2_kg.1__3771__AT1G44760.1 transcript:fgenesh2_kg.1__3771__AT1G44760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein family protein [Source:UniProtKB/TrEMBL;Acc:D7KNT6] MQFSRNSILRQLSRKEGWRSASKRWTSGDSSTAFNDDTNGGDCGGGYSSMEGLYGVYSGGDTAARSKRVMVVVDETSRSKHAMMWALTHLTNKGDLMTLLHVVSPHDEASPSLAQSLGSLCKACKPEVDVEALVIQGPKLATVLSQVKKLEVSVLVLGQKKSAPLIACLCGPSRSEELVNRCINGADCLTIGVRKQCKGVGGYLINTRWQKNFWLLA >fgenesh2_kg.1__3773__AT1G44770.1 pep chromosome:v.1.0:1:22744673:22746397:-1 gene:fgenesh2_kg.1__3773__AT1G44770.1 transcript:fgenesh2_kg.1__3773__AT1G44770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHHAIEVTKTVLEVADVAWTAVETYHHHHHHHDENHESTNPITDPRDRELEALRQENRRLRTLLEANLKLFETLAESAALSHDCPSDLYARLVSMVTSKDFLARLEKLRQALSNGTQNQFPFKEPTEDDVKTVEVLIEMDHQEPSWWVLVTDDMVPSNVEEQSAIDNDHYIVVNEEHVIDAVAHFLAKCIMSNPKAKNLKPDELQKLLVQEVTALSKVGKVVDIWHAGKMFYTLSTWGLAFGGLYQARGALKIAAKGVHATSKVVLRAL >fgenesh2_kg.1__3775__AT1G44800.1 pep chromosome:v.1.0:1:22771448:22774426:-1 gene:fgenesh2_kg.1__3775__AT1G44800.1 transcript:fgenesh2_kg.1__3775__AT1G44800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7KNU0] MKGGSMEKLKPILAIISLQFGYAGMYIITMVSFKHGMDHWVLATYRHVVATVVMAPFALIFERKIRPKITLPIFWRLLALGILEPLMDQNLYYIGLKNTSASYTSAFTNALPAVTFILALIFRLETVNFRKVHSVAKVVGTVITVGGAMIMTLYKGPAIEIVKAAHNSFHGGSSSTPTGQHWVLGTIAIMGSISTWAAFFILQSYTLKVYPAELSLVTLICGIGTILNAIASLIMVRDPSAWKIGMDSGTLAAVYSGVVCSGIAYYIQSIVIKQRGPVFTTSFSPMCMIITAFLGALVLAEKIHLGSIIGAVFIVLGLYSVVWGKSKDEVNPLDEKIVAKNQELPITNVVKQTNGHDVSGAPTNGVVTST >fgenesh2_kg.1__3776__AT1G44820.1 pep chromosome:v.1.0:1:22807914:22810399:1 gene:fgenesh2_kg.1__3776__AT1G44820.1 transcript:fgenesh2_kg.1__3776__AT1G44820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASLLWTLFLFSIIFSLQSSSSSSSDTPITRFQQYLRFNTAHPNPNYTAPVSFLINQAQSIGLTAKTIEFVSGKPILLLTWLGSNPNLPSILFNSHLDSVPAESEKWIHPPFSAHKTNDGHIYARGAQDDKCIGVQYLESIRNLKSRGFVPLRTIHISYVPEEEIGGFDGMMEFAASSEFRDLNLGFAMDEGQANPGDEFRVFYADRIPWNLVIKAEGIPGHGAKLYDNSAMENLMKSVELISKFRESQFDFVKAGKAANSEVISVNPVYLKAGTPSTTGFVMNMQPSEAEAGYDLRLPPMADPDVMKKRIAEEWAPSIRNLTYTIIEKGKLRDHLGRPIMTPANDSNPWWSIFKQAVEATGGKLAKPEILASTTDSRFLRTLGIPVFGFSPMTNTPILLHDHNEFLKDTVFMKGIEVYESVISALSSFEGVSDQVI >fgenesh2_kg.1__3777__AT1G44830.1 pep chromosome:v.1.0:1:22860643:22861278:1 gene:fgenesh2_kg.1__3777__AT1G44830.1 transcript:fgenesh2_kg.1__3777__AT1G44830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTLQKTTKRMSSPSSSSSSSSSTSSSSIRMKKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGSSANNLNFPEISSSLFNIINNGDNNNDMSPKSIQRVAAAAAAANTDPSSSSVSTSSPLLSSPSEDLYDVVSMSQYDQQVSLSESSSWYNCFDGDDEFMFINGVSAPYLTTPLSDDFFEEGDIRLWNFC >fgenesh2_kg.1__377__AT1G04445.1 pep chromosome:v.1.0:1:1456421:1456908:1 gene:fgenesh2_kg.1__377__AT1G04445.1 transcript:fgenesh2_kg.1__377__AT1G04445.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KDE8] MAFSNPQECAICKRVFLSSHHLISHFNDVHSNRHGSTFSSSAIATPTSFRHYPNVNRNPNPDFQARNHFDVNYYRRGYLDDHGRFHKGCSPTPVITPTRKYKFLLPKMPTTPKLMDLFPTLPLIWQLEQRRAEDSAVTENGGANSSSIDLSLRL >fgenesh2_kg.1__3780__AT1G44890.1 pep chromosome:v.1.0:1:22869900:22871308:1 gene:fgenesh2_kg.1__3780__AT1G44890.1 transcript:fgenesh2_kg.1__3780__AT1G44890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRCLSLRSVLNPQRHRSSYSCLIHLQTPREEDEPTRKTPSIRSYHSFIHQNSLIRASAAFSRNRSVFQSPSSVSSDRFISTFNFHDSGDAVINWLLQSVVSKGYELHNVADVLVSLNHLLALLNSFTFSQWWVFIIVTSLLIRGITIPVMVDMLNNIAKFFKSLRSHQGEVLDKVSILSKSRGVMYTMLEKEFFGVKGSVIGQGIQVPIFWFSMGELRQNMVEILMSRLRGKMLSAELIKNGVLSRGRLVVIVGDGFGLEIQMFDLDFSLILRRRQVSQSYV >fgenesh2_kg.1__3784__AT1G44960.1 pep chromosome:v.1.0:1:22947027:22948710:1 gene:fgenesh2_kg.1__3784__AT1G44960.1 transcript:fgenesh2_kg.1__3784__AT1G44960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWLAIAATVAIIAIVVRQGTTQYGWNKESALEKLKEWSDRLGIWAIPTYVAVHTVTLALCLPHAVFFEAGASMLFGFLPALLCVFSAKVLAASFSFWIGRFVFKSSTRATGWAHRNKYFNILSRGVERDGWKFVLLARFSPIPSYVINYALAATEVRFVADFLFPTVIGCLPMILQNASVGSLAGMAVASVAGKQKSQIWGYVFPVLGILSSVLISLRIKKYSAGITETSSDTSANSSSLASSSETSNPTYGTDGSKKSE >fgenesh2_kg.1__3788__AT1G45010.1 pep chromosome:v.1.0:1:22968280:22971880:-1 gene:fgenesh2_kg.1__3788__AT1G45010.1 transcript:fgenesh2_kg.1__3788__AT1G45010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQVRAIKIIVFGVISWGLAFILTRRIFSSYSFSFSNRLLSTAHATIAVTLATLSVQDLSCPVCPLASKPSPKQMDVMAFSLSYMIYDLICCHFDKVFSIDNAVHHFVSILGFIAGLAYQKSGSEIVATLWVAEISSPFFHLREILKEIGYKDTSLNLAADVCFATIFTLARIVCGPFLVYVSLSADNPIFIKAMGSGLQLVSIFWFYKIFGMMRYKLFKKPKSNKKST >fgenesh2_kg.1__378__AT1G04450.1 pep chromosome:v.1.0:1:1457742:1458790:1 gene:fgenesh2_kg.1__378__AT1G04450.1 transcript:fgenesh2_kg.1__378__AT1G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop-interactive crib motif-containing protein 3 [Source:UniProtKB/TrEMBL;Acc:D7KDE9] MTTVKGLLKGLRYITQIFDEDKDKDMQIGFPTDVKHVAHIGSDGPAANMPSWMGDFRPQDNENGQVVSRGDANNNQIGEGVGLQELLPPTEKPKHKKTRRKSETVSQNGSPPRRNSSASASDMQPKHPRRHHRSRHGSIDSSNDPSVRRRRAVSVTTDMEGSYPLSDSSTHTRKSTSRHRKPKESGGGELSMKKTKGKTENPIVQSVDKCNDNNISDKE >fgenesh2_kg.1__3790__AT1G45130.1 pep chromosome:v.1.0:1:23030632:23034478:1 gene:fgenesh2_kg.1__3790__AT1G45130.1 transcript:fgenesh2_kg.1__3790__AT1G45130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:D7KPS1] MGTTILVLSKILTFLLTTMLIGSSMIQCSSVTYDKKAIVINGHRRILLSGSIHYPRSTPEMWEDLIKKAKDGGLDVIDTYVFWNGHEPSPGTYNFEGRYDLVRFIKTIQEVGLYVHLRIGPYVCAEWNFGGFPVWLKYVDGISFRTDNGPFKAAMQGFTEKIVQMMKEHRFFASQGGPIILSQIENEFEPELKGLGPAGHSYVNWAAKMAVGLNTGVPWVMCKEDDAPDPIINSCNGFYCDYFTPNKPYKPTMWTEAWSGWFTEFGGTIPKRPVEDLAFGVARFIQKGGSYINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLVQEPKYSHLKQLHQAIKQCEAALVSSDPHVTKLGNYEEAHVFTAGKGSCVAFLTNYHMNAPAKVVFNNRHYTLPAWSISILPDCRNVVFNTATVAAKTSHVQMMPSGSILYSVARYDEDIATYGDRGTITARGLLEQVNVTRDTTDYLWYTTSVDIKASESFLRGGKWPTLTVDSAGHAVHVFVNGHFYGSAFGTRENRKFSFSSQVNLRGGANRIALLSVAVGLPNVGPHFETWATGIVGSVVLHGLDEGNKDLSWQKWTYQAGLRGEAMKLVSPTEDSSVDWIKGSLAKQNKQPLTWYKAYFDAPRGNEPLALDLKSMGKGQAWINGQSIGRYWMAFAKGNCGSCNYAGTYRQNKCQSGCGEPTQRWYHVPRSWLKPRGNLLVLFEELGGDISKVSVVKRSVN >fgenesh2_kg.1__3791__AT1G45150.1 pep chromosome:v.1.0:1:23088339:23093629:-1 gene:fgenesh2_kg.1__3791__AT1G45150.1 transcript:fgenesh2_kg.1__3791__AT1G45150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNGLQEMTVPVEGVAGGGTAYGFNDAEPLKQSTDPSEVPTADLVNVWCMPNTVNVGSQETPRPLEPINLLAARNERESFQIAMRPKVSWAASSPSGSVQVQCSDLCSSAGDRLVVGQSLNLRRVVPVLGVPDALVPLDLPVSQLSLFPGETSVIWVSIDVPNRQPPGQYEGEIIVSAMKTDGGGSAHLGKHEKDQLCVELNNCLDIMEPIEGKPMDEVVERIKCASSSLRRILFSPSFSEFISTNGSTDMMEEDVVSNLSLRIKLRLTVWEFIIPVTPSLPSVIGVSDTVIEDRFGVERGSEEWYEKLDLHFKWLLQYRISPYFCKWGEGMRVLTYTSPWPADHPKSDEYLSDPRLAAYAVPYRQVIAGDDIRESYLRKEVEILRSKPHWKKAYFYLWDEPLNMEHFDSVRKMASEIYAYAPDARVLTTYYCGPGDAPLAPTPFESFVKVPNLLRPHTQIYCTSEWVLGNREDLVKDIVEELQTENGEEWWTYICLGPSDPHPNWHLGMRGTQQRAVMWRVWKEGGTGFLYWGANCYEKATVPSAEVKFRRGLPPGDGVLYYPGEVFSSSSEPVASLRLERLLSGLQDYEYLKLYESKYGRAEAMGLLEKTGVYTGPERYTLEHRPIDVLRGEVYNTCRPS >fgenesh2_kg.1__3796__AT1G45180.1 pep chromosome:v.1.0:1:23120513:23123996:1 gene:fgenesh2_kg.1__3796__AT1G45180.1 transcript:fgenesh2_kg.1__3796__AT1G45180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KPT2] MQGERASLGSLSEALNFEHGSTSSNAVIDQQIRWENIHNYGDNELQDYMSLGADTNPAFANSVYHEQRGLHRFNIGEASSSGTKNEATSHTEQWKGIVRFEERRNDKIELSPLFVQPSNGSRVVRNVNLNAEYNEHLEDMNLVTGHPGHFEVNGLRSGLLPENGGVRAGSSVDGRRASCKRKALDASIGQSSSSGGFREFQHGESSSWISAPAYYSHPMAANDLNISLDHGRSGLVSGTVPNLSAPGITESSSRNYSVWVNPADQQETVSRKCFAPSLNSPGLLQADQQLIDLRYGHALGNFASQNPNAPATHMPPVSRNTFQWNASPVAAGLSSSSATPVDRNVIHRDETRHRSNSLEIPLFVPAPELRNVAHGHISRNASGARHVASSSSRTSVQPSPSSPALNLYQNNSPYNQRRLSEHFRRSLLSSLATHQRAARSLVPPSSPDEHVLQSGGVNTSQVHNRASSRAGPRQGQNATGIPHSLRGLASTSRGRSRMGAAEIRNVLEHMRRAGNLRFEDVMLLNQSMVLGVADIHDRYRDMRLDVDNMTYEELLSLEERIGDVCTGLNEETISNRLKQQKYKSSTSSPQEVEPCCICQEEYKEGEDIGMLECGHDFHSQCIKEWLKQKNLCPICKTTGLNTADKARR >fgenesh2_kg.1__3799__AT1G45201.1 pep chromosome:v.1.0:1:23136214:23141385:1 gene:fgenesh2_kg.1__3799__AT1G45201.1 transcript:fgenesh2_kg.1__3799__AT1G45201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCNSYFLVDPTKASFLDLLLLLFSFNLTSARFIDSPPDTLKSFRRSFASRWILALAILLQKVLMLLSKPFAFLGRLLTYWLNLLTANGGFFNLILNLLTGKLVKPDKSSATYTSFIGCSDRRIELDEKIDVGSIEYKSMLSIMASKISYESKPFVNSVVKNTWKMDLVGNYDFYNAFQESKLTQAFVFKTSSTNPDLIVVSFRGTEPFEAADWCTDLDLSWYELKNVGKVHAGFSRALGLQKDGGWPKENISLLHQYAYYTIRQMLRDKLARNKNLKYILTGHSLGGALAALFPAILAIHGEDELLDKLEGIYTFGQPRVGDEDFGEFMNGVVKKHGIEYERFVYNNDVVPRVPFDDKLLFSYKHYGPCNSFNSLYKGKVREDAPNANYFNLLWLIPQLLTGLWEFIRSFILQFWKGEEYKENWLMRFVRVVGIVFPGGSNHFPFDYVNSTRLGGLVRPPPSTTPEDKLALIA >fgenesh2_kg.1__379__AT1G04470.1 pep chromosome:v.1.0:1:1463666:1467329:-1 gene:fgenesh2_kg.1__379__AT1G04470.1 transcript:fgenesh2_kg.1__379__AT1G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHSRRESFFDMVGFEVCPDTDLLWPFGKLDGLDRDEIRETAYEIFFAACRSSPGFGGRNALTFYSKHNGGDHQGDGIGGGGGSPNGSGFGSLGRKEVVTTPTSRVKRALGLKMLKRSPSRRMSTVGTVVGAVSAPSSPGNNGSIGSGSGHFSPGAGFFTVPPSRPRRPLTSAEIMRQQMKVTEQSDTRLRKTLMRTLVGQTGRRAETIILPLELLRHVKSSEFGDVHEYQIWQRRQLKVLEAGLLIHPSIPLEKTNNSAMRLREIIRQSETKAIDTSKNSDIMPTLCNIVSSLSWRNSNPTTDVCHWADGYPLNIHLYVALLQSIFDVRDETLVLDEIDELLELMKKTWLMLGITRPMHNLCFTWVLFHQYIVTSQMEPDLLGASHAMLAEVANDAKKSDREALYVKLLTSTLASMQGWTEKRLLSYHDYFQRGNVGLIENLLPLALSSSKILGEDVTISQGNGLDKGDVKLVDSSGDRVDYYIRASLKNAFSKVIENMKAEIAETEEGEEAATMLLRLAKETEELALRESECFSPILKRWYLVAAGVASVSLHQCYGSILMQYLAGRSTITKETVEVLQTAGKLEKVLVQMVAEDSEECEDGGKGLVREMVPYEIDSIILRLLRQWIDEKLQTVQECLSRAKEAETWNPKSKSEPYAQSAGELMKLANDAIQEFFEIPIGITEDLVHDLADGLEKLFQEYTTFVASCGSKQSYIPTLPPLTRCNRDSKFVKLWKKATPCTASGEELNQIGEATGGNHPRPSTSRGTQRLYVRLNTLHFLSSQLHSLNKSLSLNPRVLPATRKRCRERTKSSSYFEFTQAGIESACQHVSEVAAYRLIFLDSYSVFYESLYTGDVANARIKPGLRILKHNLTLMTAILADRAQALAMKEVMKASFEVVLTVLLAGGHSRVFYRTDHDFIEEDFESLKKVYCTCGEGLIPEEVVDREAETVEGVIQLMGQPTEQLMEDFSIVTCESSGMGLVGTGQKLPMPPTTGRWNRSDPNTILRVLCYRDDRVANQFLKKSFQLGKRR >fgenesh2_kg.1__37__AT1G01920.2 pep chromosome:v.1.0:1:146361:149918:-1 gene:fgenesh2_kg.1__37__AT1G01920.2 transcript:fgenesh2_kg.1__37__AT1G01920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KP61] MAAISEEEEAKLERFLDWLQVNGAELGGCNIKYSDSRKGFGIFASTETSDDVLLVVPLDLAITPMRVLQDPLLGPECQKMFQDGQVDDRFLIILFLTFERLRINSSWKPYLDMLPTRFGNPLWFSDDDILELNGTNLYHATELQKKNLLSLYHDKVEVLVKNLLILDGDSQSKVSFEHFLWANSVFWSRALNIPLPHSFVFPQSQDDIGECPSTSHSPETAPVNSNEEKGNLACNYNFMRSGDTIWVEGLVPGIDFCNHDLKPMATWEVDGTGSVSRVPFSMYLLSAVAQRLIPNKEISISYGNKGNEELLYLYGFVIDNNPDDYLMVHYPVEAIPIIPFSDSKGQLLEAQNAQLRCLLPKSVLNHGFFPQTTSTIRESDEKETERSCNFSWSGQRKMPSYMNKLVFREDFMTGLRTIAMQEEEINKVSAMLEELVESRPGEQPSETEVRMAVWEACGDSGALQLLVDLLNAKMMKLEENSGTEEQDARLLEEACVLESHEEARDLDGRRMSRNKWSSVVYRRGQKQLTRLFLKEAEYALHLALSSDY >fgenesh2_kg.1__3800__AT1G45207.2 pep chromosome:v.1.0:1:23148431:23151740:-1 gene:fgenesh2_kg.1__3800__AT1G45207.2 transcript:fgenesh2_kg.1__3800__AT1G45207.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein [Source:UniProtKB/TrEMBL;Acc:D7KPT6] MPSELSYKVHRPAKSGVCRRDSSPDSIIFTPESNLSLFSSASVSVDRCSSTSDAHDRDSLISAPSLERDQRVSSSCKDLDLDKRGTGWKNSCNSRKSNKVKAAWKEEFEVNKDDESQNLDSARSSFSVALRECQERRSRSEALAKKLDYQRTVSLDLSNVTSSSPRVVNVKRASVSTNKSSVFPSPGTPTYLHSMQKGWSSERVPLRSNGGRSPPNAGILPLYSGRTVPSKWEDAERWIVSPLAKEGATRTSFGASHERRPKAKSGPLGPAGFAYYSLYSPAVPMVHGGNMGFLTASSPFSAGVLPETVSSRGSTTTAFPQRTDPCMARSVSMHGCSETLAPSSQDDIHESIKDAATDPQAVSRRDMATQMSPEGSIRFSPDRQCSFSPSSPSALPISELLNAHSNRAEVKDLKVDEKVTVTRWSKKHRGLYHGNGSKMRDHLHGKARDPQDFTCATEEARIISWENLQKAKAEAAIRKLEKYFSQMKLEKKRSSSMEKIMRKVKSAEKRAEEMRRSVVDNRVSNASHGKASSFKRCGKKKLPSLSGCFTCHVF >fgenesh2_kg.1__3801__AT1G45231.2 pep chromosome:v.1.0:1:23206169:23209391:-1 gene:fgenesh2_kg.1__3801__AT1G45231.2 transcript:fgenesh2_kg.1__3801__AT1G45231.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KPT9] MGKVTGKVEEEEGEAIEALGSLFKLTQIHLWVDTDTPLFHEHSRISPNKSVDGITNMGLMKEMNDLGLPVSFRASKENRTRGYQKKGIKDRLDDEVNVILKEEEEGKDVASNVVLVSDLKTPGEEGDIPSLEDNCVQIIVVEEENHEVVVERCILGNGDGDSVLASETRESHDSNEWKVYWDSFYGRSYFYNVKTQESTWEPPLGMEHLAYSDECHNLNELVIETTEKHHDDLCGTGPDDDVSVEKADDVPAEKADDLVGVCQSQFETEATEEVNSSIDTYQETSIGNQSLDITTLDEEGNGAYVVSSVRKSKKESRRSRAKKKLLNSYTGTGMKGVLEEYSAILGKYWCQRYLLFSRFDEGIKMDEEGWFSVTPEPIAKHHATRCNEGIVIDCFTGVGGNAIQFASRRHYVIAIDLDPKKIDLAQHNAAIYGVADKIDFVKGDFFDLAHDLKAGTVFLSPPWGGPDYLKVSTYDMKTMLRPRDGEALFKAAMNIASTIIMFLPRNVDINQLAELALLTSPPWSLEASVEKNYLNGKLKAITAYYVRQHS >fgenesh2_kg.1__3804__AT1G45249.1 pep chromosome:v.1.0:1:23236849:23242043:-1 gene:fgenesh2_kg.1__3804__AT1G45249.1 transcript:fgenesh2_kg.1__3804__AT1G45249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNMNLGNEPPGDGGGGGGLTRQGSIYSLTFDEFQRSLGKDFGSMNMDELLKNIWSAEETQAMASGVVPVVGGGQEGLQLQRQGSLTLPRTLSQKTVDQVWKDLSKVGNSRGEGTNLSQVAQAQSQSQGQRQQTLGEVTLEEFLVRAGVVREEAQIAENNKGGYFGNDANTGFSVEFQQPSPRVVAAGVRGKLGAETANHLQVQGSSLPLNVNGARSTYQQPQQQQPIMPKQPGFGYGTQMGQLNSPGIRGVGRMGLGDQSLTNNMGLVQGAAAAIPGALGVGAVSPVTPLSSEGIGKSNGDSSSLSPSPYMFNGGVRGRKSGTVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAEVAKLKEENDELQRKQARIMEMQKNQEMEMRNLLQGGPKKKLRRTESGPW >fgenesh2_kg.1__3806__AT1G45230.2 pep chromosome:v.1.0:1:23246564:23248404:-1 gene:fgenesh2_kg.1__3806__AT1G45230.2 transcript:fgenesh2_kg.1__3806__AT1G45230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASISSSPPVASPYFRCRAYIFSFASSPLCLYFPRGDSTSLKPRVRALRTESDGARIGNTESYGSELLRRPRIASEESSEEEEEEEETGEGDEFVDWEDKILEVTVPLVGFVRMILHSGKYANRDRLSPEHERTIVEMLLPYHPEFEKKIGCGIDYIMVWHHPDFESSRCMFIVRKDGEVVDFSYWKCIKGLIKKKYPLYADSFILRHFRKRRQNR >fgenesh2_kg.1__3809__AT1G45474.1 pep chromosome:v.1.0:1:23254744:23255973:1 gene:fgenesh2_kg.1__3809__AT1G45474.1 transcript:fgenesh2_kg.1__3809__AT1G45474.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KPU7] MAVVLRGGITGGFLHQRRDTSSVITRRISSVRAAGGGINPTVAVERATWLPGLDPPPYLDGKLAGDYGFDPLGLGEDPESLRWYVQAELVHSRFAMLGVAGILFTDLLRTTGIRNLPVWYEAGAVKFDFASTKTLIVVQFLLMGFAETKRYVDYVSPGSQAKEGSFFFGIEAALEGLEPGYPGGPLLNPLGLAKDIKNAHDWKLKEIKNGRLAMMAMLGFFVQASVTHTGPIDNLVEHLSNPWHKTIIQTLFTSTS >fgenesh2_kg.1__380__AT1G04480.1 pep chromosome:v.1.0:1:1468715:1470260:1 gene:fgenesh2_kg.1__380__AT1G04480.1 transcript:fgenesh2_kg.1__380__AT1G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L23 [Source:UniProtKB/TrEMBL;Acc:D7KDF3] MSKRGRGGTSGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >fgenesh2_kg.1__3810__AT1G45545.1 pep chromosome:v.1.0:1:23256093:23258396:-1 gene:fgenesh2_kg.1__3810__AT1G45545.1 transcript:fgenesh2_kg.1__3810__AT1G45545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKVSNDLCLLPDLNVDSSTPFSSIEFDASISDLLNLETGGDTPNLIPEHNPFFDSFYGHEEEDSHFVVEAEESPKVYIAPRVVINHQDSFSLDSRIDEFIEDARILPGSPGGIQDVGLSRLKVPGSPRAFVHPRSSASPRFGSPTSPVLIDTAAPFESVKEAVSKFGGITDWKAHKIQTIERRKTVDQELEKIQEDMPEYKKQAVVAEEAKHHVVMELERTRNVVEELKLELEKAEKEEQQAKQDSDLAKLRVEEMEQGIADEASVAAKTQLEVAKARHLSAVSELGTIREEIEMVSNEYESVLREKDLAAKKAEDSVLKAKDVEKQMEGLTIEVIATKQLLESAHATHLEAQEKKLDAAMARDQDVYNQEKELKMVEEEIKRFRQDIDAADDVKTKLKTASALQQDLRAEIAAYKDSNVGKRNNSVIQAAVDSARKELEEVISNIEKANSEVKTLKIIVGSLQSELAREKQDLSETKQRNREETRVDKCTEIAKKLQEASREAEEAKSLAIAAREELRKAKEESDEAKTGLSAIERQLMEAKKEMEASRASEKLALAAIKALQETEYANKIEDISSSPRSIIISVEEYYELSKQAHEVEEAANRKLAEIVSKIEMAKEEESRILENLEEVSRETEIRKVELKEAMTKVEKARDGKVGMDHELRKWRSDNGNRSPEGGNKENLSKSKSALHKPTSFAFGEQASSSNVTPETKKKKKRFSLLPKVFMFLSRKKSSNK >fgenesh2_kg.1__3812__AT1G45688.1 pep chromosome:v.1.0:1:23304088:23305872:1 gene:fgenesh2_kg.1__3812__AT1G45688.1 transcript:fgenesh2_kg.1__3812__AT1G45688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSLAASSPARSPRRPVYYVQSPSRDSHDGEKTATSFHSTPVLSPMGSPPHSHSSMGRHSRESSSSRFSGSLKPGSRKVNPNDGSKRKGHGGEKQWKECAVIEEEGLLDDGDRDGGVPRRCYVLAFIVGFFILFGFFSLILYGAAKPQKPKITVKSITFETLKVQAGQDAGGVGTDMITMNATLRMLYRNTGTFFGVHVTSTPIDLSFSQIKIGSGSVTKFYQSRKSERTVVVHVIGEKIPLYGSGSTLLPPAPPAPLPKPKKKKGAPVVIPDPPAPPAPVPMTLSFVVRSRAYVLGKLVQPKFYKKIECDINFEHKNLNKHIAITKNCTVTTV >fgenesh2_kg.1__3814__AT1G45976.1 pep chromosome:v.1.0:1:23371016:23372483:1 gene:fgenesh2_kg.1__3814__AT1G45976.1 transcript:fgenesh2_kg.1__3814__AT1G45976.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-ribonuclease binding protein 1 [Source:UniProtKB/TrEMBL;Acc:D7KPW1] MALHPHLQDSKNFRDFCGIDGQISPELGFDNSTNLHDQSQHPPYIPPFHVAGFAPGPVGQIDGSDGGNGAELEWNYGLGLEPRRERIKEQDFLENNSQISSIDFWQARSVSTGLGLSLDNARIASSDGSALLSLVGDDIDRELKRQDADIDRFLKIQGDQLRHAILDKIQRGQHKTVSLMEEKVIQKLREKDEELEMINRKNKELEVRMEQLTMEAEAWQQRATYNENMIAALNYNLERAQGRPRDSIEGCGDSEVDDTASCFNGRNNNNNNNNNTKPMMMCRFCGVREVCMLLLPCKHMCLCKECERKLSSCPLCQSSKFLGMEVYM >fgenesh2_kg.1__3816__AT1G46264.1 pep chromosome:v.1.0:1:23405284:23406815:1 gene:fgenesh2_kg.1__3816__AT1G46264.1 transcript:fgenesh2_kg.1__3816__AT1G46264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVENSYGGYGGGNGERIQLMVEGQGKAVPAPFLTKTYQLVDDPATDHVVSWGDDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFKRGEKHLLCEIHRRKTSQMIPQQHSPFMSHHHAPPQIPFSGGSFFPLPPHVTTPEEDHYWCDSPPSRPRVIPPQTTTIDTAAQVTALSEDNERLRRSNTVLMSELAHMKKLYNDIIYFVQNHVKPVAPSNSNYLSSFLQKQQQQPPTLDYYNTATVNATNLHALNSSPPTSQSSITVLEDDTNHHHDQSNMRKTKLFGVSLPSSKKRSHHFSDQTSKTRLVLDKSDLALNLMTASTR >fgenesh2_kg.1__381__AT1G04490.2 pep chromosome:v.1.0:1:1470231:1474862:-1 gene:fgenesh2_kg.1__381__AT1G04490.2 transcript:fgenesh2_kg.1__381__AT1G04490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTPHAQNNDPEHDNPLMNVNNPSSNLTSKKDAQCLSVLCNGKWLHIVRSSPKVSCEVSTCTSHFSSKVGEDYDAAESEKMHNKVISLSSKTLSSDYSQGILQFTIRANGTPHFVFKLENQKDVYVASLSSNVQDQNSYMIHLQRGESLSSSSYPVGRINISTLFSEKILERQFVLFSSNGEHLKIPRSMKNRGLSKNVVHEIKNDKRISRLSRTSFIPGLGSWDQQFQEPNYDLEKVNLLENNLPTNLETLAVVVKQETLEEEIGGWGLKFLKRSPMVQRTNDATETETTTSSMISMNVVISSGVHGGPEDGPLSLIERWKSQGKCDCGGWDLGCSLTLLKGQPQKDQFELLKEGSKHETSGLKIVNVSGGVYLVQFEGKLTSLQSFAIALAFIHSQKRLDRCILHI >fgenesh2_kg.1__3820__AT1G47128.1 pep chromosome:v.1.0:1:23453248:23455990:-1 gene:fgenesh2_kg.1__3820__AT1G47128.1 transcript:fgenesh2_kg.1__3820__AT1G47128.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F2G19.31/F2G19.31 [Source:UniProtKB/TrEMBL;Acc:D7KPW9] MVILFLAMVAVASAVDMSIISYDEKHGVSTTGGRSDAEVMSIYEAWLVKHGKAQNQNSLVEKDRRFEIFKDNLRFIDDHNKKNLSYRLGLTRFADLTNDEYRSKYLGAKMEKKGERRTSQRYEARVGDELPESIDWRKKGAVAEVKDQGSCGSCWAFSTIGAVEGINQIVTGDLITLSEQELVDCDTSYNEGCNGGLMDYAFEFIIKNGGIDTDKDYPYKGVDGTCDQIRKNAKVVTIDSYEDVPTYSEESLKKAVAHQPVSVAIEAGGRAFQLYDSGIFDGTCGTQLDHGVVAVGYGTENGKDYWIVRNSWGKSWGESGYLKMARNIASSSGKCGIAIEPSYPIKNGENPPNPGPSPPSPIKPPTQCDSYYTCPESNTCCCLFEYGKYCFAWGCCPLEAATCCDDNYSCCPHEYPVCDLDQGTCLLSKNSPFSVKALKRKPATPFWSQGRKNIA >fgenesh2_kg.1__3821__AT1G47200.1 pep chromosome:v.1.0:1:23475765:23476465:-1 gene:fgenesh2_kg.1__3821__AT1G47200.1 transcript:fgenesh2_kg.1__3821__AT1G47200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSETITTTISSPPPESESSTTLSAMTDPTSQEAASRDTDLTKEAESEKKPGGISLRIWPPTQKTRDAVLNRLIETLSTESILSKRYGTLNSDEATTVAKSIEEEAYGVASNAVVSDDDGIKILEVYSKEISKRMLESVKARSNGNDGNGSVEDVNTDASEVSKDDAGSVSEEEKSEA >fgenesh2_kg.1__3823__AT1G47210.2 pep chromosome:v.1.0:1:23483008:23484934:1 gene:fgenesh2_kg.1__3823__AT1G47210.2 transcript:fgenesh2_kg.1__3823__AT1G47210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCA3_2 [Source:UniProtKB/TrEMBL;Acc:D7KAP8] MTEQEICVRVTRAAAKRKAMGIDEDRVSKKRVVLGELLNVSNVKVLANLNQKRETQKPKKSLRPPPAKQIKSAPVVIDLDSESDIDSRSDDPQMCGPYVRDIYEYLRELEVKPKQRPLPDYIEKVQKDVTPSMRGVLVDWLVEVAEEYKLGSETLYLTVSHIDRFLSLKTVNKQRLQLVGVSAMLIASKYEEISPPKVEDFCYITDNTFTKQDVVKMEADILLALHFELGRPTINTFMRRFTRVAQEDFKVPHLQLEPLCCYLSELSILDYKTVKFVPSLLAASAVFLARFIIRPKQHPWNQMLEEYTKYKAADLQVCVGIIHDLYLSRRGGALQAVREKYKHHKFQCVATMPVSPELPVTFWEDVTI >fgenesh2_kg.1__3824__AT1G47220.1 pep chromosome:v.1.0:1:23488900:23490404:1 gene:fgenesh2_kg.1__3824__AT1G47220.1 transcript:fgenesh2_kg.1__3824__AT1G47220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCA3_3 [Source:UniProtKB/TrEMBL;Acc:D7KAP9] MLTEKNKQFHADHNAQDASPAEWAKIRHRLLAMQENIQSGSDIDARSDDPQMCGLYVSDIYEYLRELEVKPKLRPLDDYMEKVQEEVTPSSRGVLVDWLVEVAEEFELGSETIYLTVSYIDRFLSSKTVNEQKLQLVGVSAMFIASKYEEKRRPKVEDFCYITANTYTKQDVLKMEEEILFALEFELGRPTINTFLRRFIRVAQEDFKVPNLQLEPLCCYLSELSMLDYSCVKFVPSLLAASAVFLAQFIIRPKQHPWSQMLEEYTKYKASDLQVCVGIMHDLYLSRSEGASKAVRKKYTQHKFQYVATIPVYQELPDTFWEDVTI >fgenesh2_kg.1__3826__AT1G47230.2 pep chromosome:v.1.0:1:23498274:23503361:1 gene:fgenesh2_kg.1__3826__AT1G47230.2 transcript:fgenesh2_kg.1__3826__AT1G47230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCA3_4 [Source:UniProtKB/TrEMBL;Acc:D7KAQ1] MSENQNCGRMTRAAAKRKASSMAVDENPVSKKRVVLGELPNMSNVVAVPVKPNQEREALKAKTSVNTSKKQMKKALMIPEPSVDIESRSVDPQMCEPFASDICSYLREMEGKPKHRPLPDYIEKVQSDLTPHMRAVLVDWLVEVAEEYKLVSDTLYLTVSYVDRFLSVKPINRQRLQLVGVSAMLIASRKYEEIGPPKVEDFCYITDNTFTKQEVVSMEADILLALQFELGSPTIKTFLRRFTRVAQEDFNDSLLQIEFLCCYLSELSMLDYTCVKYLPSLLAASAVFLARFIIRPKQHPWNQMLEEYTKYKASDLQVCVGIIHDLYLSRRGNTLEAVRNKYKQHKYKCVATMPVSPELPLAFFEDITVRGMA >fgenesh2_kg.1__3828__AT1G47250.1 pep chromosome:v.1.0:1:23508893:23510812:1 gene:fgenesh2_kg.1__3828__AT1G47250.1 transcript:fgenesh2_kg.1__3828__AT1G47250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:D7KAQ3] MFRNQYDTDVTTWSPTGRLFQVEYAMEAVKQGSAAIGLRSRSHVVLACVNKAQSELSSHQRKIFKVDDHIGVAIAGLTADGRVLSRYMRSESINHSFTYESPLPVGRLVVHLADKAQVCTQRSWKRPYGVGLLVGGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFESFQESSREDLIKDAIMAIRETLQGETLKSSLCTVSVLGVDEPFHFLDQESIQKVIDTFEKVPEEEEDAGEGEAEPEAATGAAGTGEQGGSGDQDVAPMEI >fgenesh2_kg.1__3830__AT1G47270.1 pep chromosome:v.1.0:1:23523794:23526056:1 gene:fgenesh2_kg.1__3830__AT1G47270.1 transcript:fgenesh2_kg.1__3830__AT1G47270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLFWEISGGAYKFSRVAMSLKNIVREFRVKNKCKAIGRRGRSHIAPEGSSVSSSLSTNEGLNQSIWVDLPPELLLDIIHRIESEQTLWPARRDVVACASVCRSWREMTKEVVKVPEVSGLLTFPISLRQPGPRDDPIQCFIKRERATGIYRLYLGLSPALSGDKSKLLLSAKRVRRATGAEFVVSLSGNDFSRSSSNYIGKLRSNFLGTKFTVYENQPPPFNRKLPPSMQVSPWVSSSSSSYNIASILYELNVLRTRGPRRMQCIMHSIPISAIQEGGKIQSPPTDFINQGKKKKKPLMDFCSGNLGGESVVKEPLILKNKSPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAAAEAGKNMNIPEEEQERVILQFGKIGKDIFTMDYRYPISAFQAFAICLSSFDTKPVCE >fgenesh2_kg.1__3836__AT1G47290.2 pep chromosome:v.1.0:1:23568641:23572069:1 gene:fgenesh2_kg.1__3836__AT1G47290.2 transcript:fgenesh2_kg.1__3836__AT1G47290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:3beta-hydroxysteroid-dehydrogenase/decarboxylase isoform 1 [Source:UniProtKB/TrEMBL;Acc:D7KAR2] MAMEVTETERWCVVTGGRGFAARHLVEMLVRYEMFHVRIADLAPAIVLEPHEETGLLGEAIRSGRVQYVSADLRNKSQVVKGFQGAEVVFHMAAPDSSINNHQLQYSVNVQGTTNVIDACIEVGVKRLIYTSSPSVVFDGVHGTLNADESMPYPPKHNDSYSATKAEGEALILKANGRNGLLTCCIRPSSIFGPGDKLMVPSLVTAARAGKSKFIIGNGSNFYDFTYVENVVHAHVCAERALASGGEVCAKAAGQAYFITNMEPIKFWEFMSQLLEGLGYARPSIKIPASLMMPIAYLVELAYKLLGPYGMKVPVLTPSRVRLLSCNRTFDSSKAKDRLGYAPVVPLQEGIKRTIDSFSHLAAQNQPKTDVTDTIQWKKQTLIAIVILITLYHNFVATTGSSSFTITALSKVLLVSSICLFIHGMLPEKMKLLGSKKID >fgenesh2_kg.1__3837__AT1G47330.1 pep chromosome:v.1.0:1:23604726:23607733:1 gene:fgenesh2_kg.1__3837__AT1G47330.1 transcript:fgenesh2_kg.1__3837__AT1G47330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDIPCCGTTFSLYVVIIIALVAFAGLMAGLTLGLMSLGLVDLEVLIKSGRPQDRINAGKIFPVVKNQHLLLCTLLIGNSMAMEALPIFLDKIVPPWLAILLSVTLILVFGEIMPQAVCTRYGLKVGAIMAPFVRVLLILFFPISYPISKVLDWMLGKGHGVLLRRAELKTFVNFHGNEAGKGGDLTTDETSIITGALELTEKTAKDAMTPISNAFSLELDTTLNLETLNTIMSVGHSRVPVYFRNPTHIIGLILVKNLLAVDARKEVPLRKMSMRKIPRVSETMPLYDILNEFQKGHSHIAVVYKDLDEQEQSPETSESGIERRKNKNTKDELFKDSCRKPKAQFKVSEKEVFKIETGDAKSGKSENGEEQQGKTSLLAAPAKKRHRGCSFCILDIENTPIPDFPTNEEVVGVITMEDVIEELLQEEILDETDEYVNIHNRIRVNMHASQENLPSVITSITQSSSGSTSPNRTSHMATPDSSPTTKPSSSSPTRKPSVSSPTRKPSDSSHSMAPKHEESTQTL >fgenesh2_kg.1__3838__AT1G47340.1 pep chromosome:v.1.0:1:23607713:23610375:-1 gene:fgenesh2_kg.1__3838__AT1G47340.1 transcript:fgenesh2_kg.1__3838__AT1G47340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKTLINSPKRRRCSSVSAVGNVANRSIMVSVSLPKELILEILSRLPAKSVKRFHCVSKQWSSMLSRPHFTELFLTRSSSAQPRLLFAIEKRNQWSFFSLPQHLTPYEKSSSLSLVVTPEFHMKFPPDGMQIYPRHDRRFSCGYASGLMYFYGMWINERAYDGVPVICNPITGRYATLPFLERYRKAFSFFGFDPIDKQYKVLFMAYPSGPDPHRVLTFGAGEMSWRKIDCSVRHDIASDGICIDGVVYYVGDTSEFMTAFVVVCFDVRSETFSFIYPGSYCEVINYKGKLGLIFYDDYADDAIELRLWVLEDKEKMEWSKYAYKLRDDKFLANYVSIVGVSAAGEIVLSMADYMSKQQFYVFYFNPERNTLQCVEIQGFEEYHGVFDKRSRVRVFVDDCSSFYRFADHVEYLNVDEPKLLKSKIYDGPNAKVEEEEEEEEEEEEEEEEEEEEDEDEDEDEDEDEDEEEEDEDEDEEEE >fgenesh2_kg.1__3839__AT1G47380.1 pep chromosome:v.1.0:1:23614485:23618296:-1 gene:fgenesh2_kg.1__3839__AT1G47380.1 transcript:fgenesh2_kg.1__3839__AT1G47380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKGEHHTVPLSVLLKRESANEKIDNPELIHGQANQSKKGEDFTLVKTECQRVMGDGVTTFSVFGLFDGHNGSAAAIYTKENLLNNVLAAIPSDLNRDEWVAALPRALVAGFVKTDKDFQERARTSGTTVTFVIVEGWVVSVASVGDSRCILEPAEGGVYYLSADHRLEINEEERDRVTASGGEVGRLNTGGGTEIGPLRCWPGGLCLSRSIGDLDVGEYIVPVPYVKQVKLSSAGGRLIISSDGVWDAISAEEALDCCRGLPPESSAEHIVKEAVGKKGLRDDTTCIVVDILPLEKPAASVPPPKKQGKGMLKSMFKRKTSDSSSNIEKEYAEPDVVEELFEEGSAMLSERLDTKYPLCNMFKLFMCAVCQVEVKPGEGVSIHAGSDNCRKLRPWDGPFLCASCQDKKDAMEGKRSSGDRHSSESD >fgenesh2_kg.1__3840__AT3G61370.1 pep chromosome:v.1.0:1:23622087:23623278:-1 gene:fgenesh2_kg.1__3840__AT3G61370.1 transcript:fgenesh2_kg.1__3840__AT3G61370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTETAKKKGTPLILKTDKTQKLAEKWVANMTRSADDDPIETAQEERPHRLGLGAKVSRQTKRRPSDDPLDQKLEAKFAAGKRNNARSVAESAGSSKNASDDSEDDDESESKSQAFGKKKKNTSTPH >fgenesh2_kg.1__3843__AT1G47420.1 pep chromosome:v.1.0:1:23674471:23676217:-1 gene:fgenesh2_kg.1__3843__AT1G47420.1 transcript:fgenesh2_kg.1__3843__AT1G47420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIHSLGNRIRATAQSLDRVGSLLQGSHHIEEHLSRHRSLMTVVDKSPLVTPSASVTIGKGSAISYGCARRDLQYSRAIGVGQVRRFSEDVSHMPEMKDSDVLNAFKDLMAADWAELPSAVVRNAKSAISKNTDDKAGQEALKNVFRAAEAVEEFGGILTSIKMEIDDSIGMSGEGVKPLPNDITDALRTAYQRYADYLDSFEPEEVYLKKKVEMELGTKMIHLKMRCSGLGSEWGKVTVLGTSGLSGSYVEQRA >fgenesh2_kg.1__3844__AT1G47480.1 pep chromosome:v.1.0:1:23679448:23681048:1 gene:fgenesh2_kg.1__3844__AT1G47480.1 transcript:fgenesh2_kg.1__3844__AT1G47480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKKQVSLELLPWLVVHTDGTIERLAGTEVCPPGLDQETGVFSKDIIIEPKTGLSARIYRPFSIQTDHKLPLVLYFHGGAFLISSASFPCYHTSLNKFVNQANVIAVSVNYRLAPEHPLPTAYEDSWTAIKTIQAINEPWINDYADLDRLFLVGDSAGANISHHLAFRAKQSDQTVKIKGIGMIHPYFWGTQPIGSEVKDEARKKMVDGWWEFVCPSEKGSDDPWINPFADGSPDLEGLGCERLMITVAEKDILNERGKIYYERLVKSKWRGKVEIMETKERDHVFHIFEPDCDEAMEMVRRLALFINEVEA >fgenesh2_kg.1__3845__AT1G47485.1 pep chromosome:v.1.0:1:23684533:23685089:1 gene:fgenesh2_kg.1__3845__AT1G47485.1 transcript:fgenesh2_kg.1__3845__AT1G47485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSNRSVSTSLFFLALVVLHGIQDTEERHLKTTSLEVEGIYKKTEAENPSIVVTYTRRSVLQKAVIAHPTDFRPTNPGNSPGVGHSHGRH >fgenesh2_kg.1__3846__AT1G47500.1 pep chromosome:v.1.0:1:23687824:23690601:1 gene:fgenesh2_kg.1__3846__AT1G47500.1 transcript:fgenesh2_kg.1__3846__AT1G47500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRBP47C [Source:UniProtKB/TrEMBL;Acc:D7KAU1] MADVKIQSESESSDSQPLVVDNQPSPPPPPPPQPPVEEEENQPKTSPTPPPHWMRYPPPVLMPPQMMYAPPPPHPFSPYHQYPSHHLHHQSRGNNNNKHQNASNGENKTIWVGDLHHWMDETYLNSSFASADGEIQIVSVKVIRNKHNGLSEGYGFVEFDSHDVADKVLQEFNGTTMPDTEQPFRLNWASFSTGEKRLENNGPDLSIFVGDLAPDVSDTLLHETFSEKYPSVKAAKVVIDANTGRSKGYGFVRFGDENERTKAMTEMNGVKCSSRAMRIGPATPRKTTGYQQQGGYMPNGALTRPEGDTLNTTIFVGGLDSSVTDDDLRQPFSEFGEIVSVKIPVGKGCGFVQFVNRPSAEEALEKLNGTVIGKQTVRLSWGRNQANKQPRDKYGNQWVAPYYGGQYYNGYGYMVPQPDPRMYPATPYGGGYRMYGGHQQQVS >fgenesh2_kg.1__3850__AT1G47530.1 pep chromosome:v.1.0:1:23713187:23716671:1 gene:fgenesh2_kg.1__3850__AT1G47530.1 transcript:fgenesh2_kg.1__3850__AT1G47530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7KAU3] MGKDKTLPLLDPREPPELSGTKSASKVWAKEFGEESKRLWELAGPAIFTAISQYSLGALTQTFSGRIGELELAAVSVENSVISGLAFGVMLGMGSALETLCGQAYGAGQIRMMGIYMQRSWVILFTTALCLLPVYIWAPPILSFFGEAPHISKAAGKFALWMIPQLFAYAANFPIQKFLQSQRKVLVMAWISAVVLVIHAVFSWLFILYFKWGLVGAAITLNTSWWLIVIGQLLYILITKSDGAWTGFSMLAFRDLYGFVKLSLASALMLCLEFWYLMVLVVVTGLLPNPLIPVDAISICMNIEGWTAMISIGFNAAISVRVSNELGAGNAALAKFSVIVVSITSTLIGVVCMIVVLATKDSFPYLFTSSEAVAAETTRIAVLLGFTVLLNSLQPVLSGVAVGAGWQALVAYVNIACYYIIGLPAGLVLGFTLDLGVQGIWGGMVAGICLQTLILIGIIYFTNWNKEAEQAESRVQRWGGTARE >fgenesh2_kg.1__3851__AT1G47540.2 pep chromosome:v.1.0:1:23722947:23723489:-1 gene:fgenesh2_kg.1__3851__AT1G47540.2 transcript:fgenesh2_kg.1__3851__AT1G47540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSVSTFAIYFILVLVIFAETPEIEAYDRKCLKEYGGDVGFSYCAPRIFPTFCDQNCRKNKGAKGGICRWEENNAIGVKCLCNFCSEEPSYKILSRI >fgenesh2_kg.1__3853__AT1G47560.1 pep chromosome:v.1.0:1:23756466:23762912:1 gene:fgenesh2_kg.1__3853__AT1G47560.1 transcript:fgenesh2_kg.1__3853__AT1G47560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDEELRRACEAAIEGTKQSIVMSIRVAKSRGVWGKSGKLGRQMAKPRVLALSVKSKGQRKKAFLRVMKYSSGGVLEPAKMYKLKHLSKVEVITNDPSGCTFTLGFDNLRSQSVAPPQWTMRNTDDRNRLLVCILNICKDVLGRLPKVVGIDIVEMALWAKDNTPVVTTQRSTEDGGPVAETVTESDLKVTVEKELVSQAEEEDMEALLGTYVMGIGEAEAFSERLKRELQALEAANVHAILESEPLVDEVLNGLEAATNIVDDMDEWLGIFNVKLRHMREDIESIETRNNKLEMQSVNNKALIEELDKVIERLRVPSEYAASLTGGSFDEADMLQNIEACEWLAKALRGLEVPNLDPIYANMRAVKEKRAELEKLKATFVRRASDFLRNYFASLVDFMVSDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKGLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKVSRNPTVWLEGSTGSSQNANTDTSAVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPALAPPGGAGNDKKSQSNNDDGNDDDDLGIMDIDETDKKTGKNSPDLTALNESLQDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRLLLGDLESRVSMQFSRFVDEACHQIERNERNVRQMGVLPYIPRFAALATRMEQYIQGQSRDLVDQAYTKFVSIMFVTLEKIAQQDPKYADILLLENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISMIIYYQFERLFQFAKKIEDLMYTITPEEIPFQLGLSKMELRKMLKSSLSGVDKSIAAMYKKLQKNLASEELLPSLWDKCKKEFLDKYESFVQLVAKVYPSENVPGVTEMRGLLASM >fgenesh2_kg.1__3855__AT1G47570.2 pep chromosome:v.1.0:1:23805311:23810453:1 gene:fgenesh2_kg.1__3855__AT1G47570.2 transcript:fgenesh2_kg.1__3855__AT1G47570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KAV0] MTTAETGQSSGSKTSDDAWAKLSVLFPFPLDTRFSDIEIKSNDMVICSEIKPSSVERHEWCRITKNLGQGSATIHNKSSDAILVDEAVIPKDGAVDIISGSEIVPGPEGQGYLQYRFTIMPAPESRTQLLQILIDPEHAKCSICLNIWHDVVTAAPCLHNFCNGCFSEWMRRSEEKHKHVLCPQCRTTVQYVGKNHFLKNIQEDILKVDAALRRPAEDIAVLDSSASIQSNLIIGSKRKRRLNMPSPTLEERDNLRLQCPQCVANIGSYRCEHHGAHLQCHMCQGMMPFRANLQVPLHCKGCDRPFCGAYWSSENVTQGVSSPVCGRETFRPISERTITRIPFITHEMNRHEQDITQRCITHMGKTVPDVVAEWLRLFNNREIDRSRMPLNHAEMITASTHVCNDCYDKLVGFLLYWFRITLPRDHLPADVGAREDCWYGYACRTQHHNEEHARKRNHVCRPTRGNHHF >fgenesh2_kg.1__3857__AT1G47580.1 pep chromosome:v.1.0:1:23817371:23819226:1 gene:fgenesh2_kg.1__3857__AT1G47580.1 transcript:fgenesh2_kg.1__3857__AT1G47580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSTEDYLNFDIKNAPFDVYRTERGGEVTYHGPGQLEQVVIRVLSSAFSINATRLDGLTGVWVGNKKVAALGIRVSKWMTYHGLALNVTTDLTPFNLIVPCGIRNRGVGSVKGLIEDGEHYDKLDDLQLLDIAHESLLKEFSEVFQLQIEKQTGFDFSISLSKESLSACRIDEKRMALETAFSMSFCSFPVPKAIFFERETSSFQRVISRAKGIAGEGQVESSDGVETQVKETADKVFNKLPERNLDTWSGGRVTAKELSGSVVRNTVRKDTTLRHISPSSHSTKIRGDKPKILGEKKAIVDRSKAYVKLKSLAKEVRDAGYVPETKYVLHDIDEEAKEKALMHHSERLAIAFGLINTPPGTTIRVMKNLRICGDCHNFIKILSSIEDREIIVRDNKRFHHFRYGSCSCGDYW >fgenesh2_kg.1__3858__AT1G47603.1 pep chromosome:v.1.0:1:23869228:23873230:-1 gene:fgenesh2_kg.1__3858__AT1G47603.1 transcript:fgenesh2_kg.1__3858__AT1G47603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHTKSPDRITQEEEANIGVENQPRATTSTALDRSQILKTRNWWICIFVCSGLVAAGRVLSTLLLNFYFIQIRRNVCDDPKRFRGTWLQSLVQNAAFPSTAFLLLLWRSSFSTQRETSTPCYSSFGKLFLLYISLGVLFVAYSQLYAIGRTHSLFFFWIFTSQLIFTSIFTTIINKQKFNRWIILSMCTGLGITSSGDAYIPCENNEGSRMSNGAWCSFFGTVAFSLSLCIMQLGFQKVIPTTESRVSAVMLMQTNASMIATLICLVGLFVSGEFKDIKEDLETFKKGKQLYVWSLIGLSLAWQVMSLGLVGLVCLASSLFSNVVSFCAIPLANILLVLAFRFMDADVKYFKEGALVAGILGFASYVYSLYKSTKKKEIASQSETTRV >fgenesh2_kg.1__3864__AT1G47655.1 pep chromosome:v.1.0:1:23941496:23942195:1 gene:fgenesh2_kg.1__3864__AT1G47655.1 transcript:fgenesh2_kg.1__3864__AT1G47655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEPNQTRPTRIQPSTAAYPPPNLAEPLPCPRCNSTTTKFCYYNNYNLAQPRYFCKSCRRYWTQGGTLRDVPVGGGTRRSSSKRHRSFSTTATSSSSSSSVITTTKEAATNETKGSNVISGHGSFASLLGLGSGNGGLDFGFGYGYGYGHGHGLEDMSVGYLGDSSGVEIPVVDGGGGDTWQIGEIEGKSGGDSLIWPGLEISMQTNDVK >fgenesh2_kg.1__3865__AT1G47670.1 pep chromosome:v.1.0:1:23957416:23960295:-1 gene:fgenesh2_kg.1__3865__AT1G47670.1 transcript:fgenesh2_kg.1__3865__AT1G47670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7KAX0] MDERPETELISIPATPRVSTPEILTPSGQRSPRPATKPSSATWTPTSFISPRFLSPIGTPMKRVLVNMKGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVLPVAFAFLGWSWGILSLTIAYCWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGPLCTSNPLTTVEWYLVFTSLCIVLSQLPNLNSIAGLSLIGAVTAITYSTMVWVLSVSQPRPATISYEPLSMPSTSGSLFAVLNALGIIAFAFRGHNLVLEIQSTMPSTFKHPAHVPMWRGAKISYFFIALCIFPISIGGFWAYGNLMPSGGMLAALYAFHIHDIPRGLLATAFLLVVFSCLSSFQIYSMPAFDSFEAGYTSRTNKPCSIWVRSGFRVFFGFVSFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKKPAKYSFNWYFHWGLGWLGVAFSLAFSIGGIWSMVTNGLKLKFFKPPN >fgenesh2_kg.1__3866__AT1G47310.1 pep chromosome:v.1.0:1:23983983:23986296:1 gene:fgenesh2_kg.1__3866__AT1G47310.1 transcript:fgenesh2_kg.1__3866__AT1G47310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICRSPFSLVLSLFIQVLTLAIALDPSQPDESNITATPILQDVLKEISVKQKWNLEEVRFSKLEVKKIRIGTGRRFEIRIRLGKSRFVFIFPDEVTDWRRSVGGKDVELQEVVREVNSSKVLDSLVLKGPFELRVDGDDRLSLALPMNISHNGLKRVLVSEGISVEIREAQAVSLFHSSHRRYAATVDMKNGNCLLSFLGSVCVPLPPIQILGSASLVAFRTSNTDSQIKTSYLSDEAIQIHPDKCYDKAHTYRQHRFPTDLLGLKINKLEKVLSSLGNGTRQTVSSVTAKLKASGMVRFQLEIERSIGKNESVISKRVEWRTKPKIERVWFEITAKIEGDKLKAVGMRKVVPFIEVDTEAWSSLMSNMSFTKFPSLLVPQEALTLDVKW >fgenesh2_kg.1__3867__AT1G47720.1 pep chromosome:v.1.0:1:23996187:23998023:-1 gene:fgenesh2_kg.1__3867__AT1G47720.1 transcript:fgenesh2_kg.1__3867__AT1G47720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organellar single-stranded [Source:UniProtKB/TrEMBL;Acc:D7KAX3] MNTFFKLGSLIQRTASQISSSFPKSRFFSDGESAVYHHARLFKKPLSTKLKFNLVNSVSLMGFVDRPIRVMDTGPDNFGVFTMLRVKDPLIPNRSFRISLTMWGTMARTCISYLKPNDHILVSGRLVSHSKSPRDENSGLDLDYQVRVTEVNYVAAPPNLVLDSQNSEKPVSEAEDGIEESKIDKIYLWQVFFSNPYDWWDNRRNKKNPMQPDFKHKDTGEALWLDSDIPDWINRRLELFDQRNRCYDEEKTRRGRLSEWI >fgenesh2_kg.1__3868__AT1G47740.1 pep chromosome:v.1.0:1:24007354:24010182:1 gene:fgenesh2_kg.1__3868__AT1G47740.1 transcript:fgenesh2_kg.1__3868__AT1G47740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGKEEPKQKKGWSESLLEFRSGFGEKMKVVSKKRWKSLGPLHLKSKSVARFCFFSKLKSNNHGPGRAPVYLNVYDLTPINGYIYWAGLGIFHSGVEVHGVEYAFGAHDYATSGVFEVEPRQCPGFKFKKSIFIGTTNLNPTQVREFMEDMACSYYGNMYHLIVKNCNHFCQDVCYKLTGKKIPKWVNRLAQIGSVCSCILPESLKITAVCHDPDGQIPEEENEKRSLRSSFSCLSSISMRQKQLSTSSLFLQSPLRGCLPPWQLKRSKSNSGSLKER >fgenesh2_kg.1__386__AT1G04520.1 pep chromosome:v.1.0:1:1486416:1488890:1 gene:fgenesh2_kg.1__386__AT1G04520.1 transcript:fgenesh2_kg.1__386__AT1G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISFLNIILMMSLLFADLNVVVESATTEYTTLIYKGCARQQFSDPSGLYSQALSAMFGSLVSQSTKTRFYKTTTGTSTTTITGLFQCRGDLSNHDCYNCVSRLPVLSDKLCGKTIASRVQLSGCYLLYEVAGFSQISGMEMLFKTCGKSNIAGTGFEERRDTAFGVMQNGVVSGHGFYATTYESVYVLGQCEGDVGDTDCSGCIKNALEKAQVECGSSISGQIYLHKCFIAYSYYPNGVPRRSSSSSSSSSSSSSGSSNSDPSSSTGATGKTVAIIVGGAAGVGFLVICLLFAKNLMRKKHDDY >fgenesh2_kg.1__3870__AT1G47750.1 pep chromosome:v.1.0:1:24010144:24011052:-1 gene:fgenesh2_kg.1__3870__AT1G47750.1 transcript:fgenesh2_kg.1__3870__AT1G47750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal biogenesis factor 11 family protein [Source:UniProtKB/TrEMBL;Acc:D7KAX5] MAAKAPEKITKPKDRDFLNHLETYLAKRDGVDKLLKISRYATKIILASSLIPESRSIIPRLKSFESSVGVSRKAFRLGKFVQDINALRSSRWDSNHELVLLLIAYGGEGLYYFVEQFIWLTKSGLIDAKHSKWLQKISAWAELVGYVGSVSLKVRDLRKLNDEERCVASTIEISVSRGLACDGDDEKMKMIKEKKTLKVLSILQDLADGLMTVADIRDGKGVLSAPNVISSAGLFSAIVSTHKNWISC >fgenesh2_kg.1__3871__AT2G19110.1 pep chromosome:v.1.0:1:24066882:24070750:-1 gene:fgenesh2_kg.1__3871__AT2G19110.1 transcript:fgenesh2_kg.1__3871__AT2G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KBW5] SQATAVMQSLMSLAPQKAIIAETGEEVEVDEVKISTVVAVKAGETIPIDGIVVDGNCEVDEKTLTGEAFPVPKQRDSTVWAGTINLNGYISVKTTSLAGDCVVAKMAKLVEEAQSSKTKSQRLIDKCSQYYTPAIIVVSACVAIVPVIMKVHNLKHWFHLALVVLVSGCPCGLILSTPVATFCALTKAATSGLLIKSADYLDTLSKMKIAAFDKTGTITRGEFIVIDFKSLSRDITLRSLLYWVSSVESKSSHPMAATIVDYAKSVSVEPRPEEVEDYQNFPGEGIYGKIEGNDIYIGNKRIASRAGCSTVPEIEVDTKGGNTVGYVYVGERLAGVFNLSDACRSGVSQAMTELKSLGIKTAMLTGDSQAAAMHAQEQLGNVLDVVHGELLPEDKSKIIQEFKKEGPTAMVGDGVNDAPALAIADIGISMGISGSALATQTGHIILMSNDIRRIPQAVKLARRARRKVVENVFLSIILKAGILALAFAGHPLIWAAVLVDVGTCLLVILNSMLLLREKKKIGNKKCYRASTSMLNGRKLEGDDDDVVDLEAGLLTKSGNGQCNSSCCGDKKNQEKVVMMKPSSKTSSDHSHPGCCGDKKQDKVKPLVRDGCCGEETRKAVGDMVSLSSCKKSSHVKHDLKMKGGSGCCANKSEKVEEVVAKSCCEKPKQQMESAGDCKSGHCEEKKHAEEIVVPVQIIPQALTGLEIELQRKEPCKTSCCDNKEKKVKEIGLLLASEDKSYPEKEVLIKDEGNCKSGCENKGTVTQRCHEKCCSDEKQTGEITLASEEETDDQDCFSGCCVNEGTVKQSFHEKKHAVLVEKEGLDMETGVCCDDLKLVCCGNTEGEVEEHCDLEIKDEGHCKSGCCNDEKQTTEITLASEEETDSMDCSSEEVTKICGEKPVSLVLSDLQVKKDEQCESSNRAVKSETCCKVKIPEACASKCKEKEKRHNGKSCCRSYAKEFCSHRHHHHHHHHHHHHHVIA >fgenesh2_kg.1__3872__AT1G47786.1 pep chromosome:v.1.0:1:24097988:24099208:1 gene:fgenesh2_kg.1__3872__AT1G47786.1 transcript:fgenesh2_kg.1__3872__AT1G47786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPYFSKLLDYFLSYIYYVELINEFAYVKLFTASRNVRGIKFEDVLSFGPIGTHKATIVWLHDIGETSANSTRFARQMGLKNIKWICPTAPSRPITILGGMETNAWFDIAEISENMQDDVESLNHAALSIANLLSEEPPNRIGGIGLGAAQALYLASKGCYDTNQRLQIRPRVVIGLNGWLPVWR >fgenesh2_kg.1__3874__AT1G47820.2 pep chromosome:v.1.0:1:24114611:24115085:1 gene:fgenesh2_kg.1__3874__AT1G47820.2 transcript:fgenesh2_kg.1__3874__AT1G47820.2 gene_biotype:protein_coding transcript_biotype:protein_coding METESHVSVPGKNLVKVEFKLGTESFTIDSIKGNTVLDQLVSMKEESMKILKDFITKHNVPDDDVPDQILSDEEESDDDDASPVICPVKPKKTKI >fgenesh2_kg.1__387__AT1G04530.1 pep chromosome:v.1.0:1:1489081:1490889:-1 gene:fgenesh2_kg.1__387__AT1G04530.1 transcript:fgenesh2_kg.1__387__AT1G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSEASLSSYCDSGDGFKSEDPLTGIEENLERTVTIGDSIDGGGFSFAKHKEEDSSEGERGVLEEVIKKLGIGKRDEQGFEIERPPSPPMHLAAGLGIDKFDLYGNETKFDLPGFDDENCGDYYKGMLEEYPLHPLLLKNYAKFLEYKGDLTGAEEYYHKCTVVEPCDGVALANYGRLVMKLHQDEAKAMSYFERAVQASPEDSNVLGAYASFLWEINVDDDDEDDDDDESSGKGKEEFEPDAVEKSNSSLSKTEDGETLCRYAKAFWSINNDHEKALFYFEKAVEASPNDSIILGEYARFLWEIEE >fgenesh2_kg.1__3881__AT1G47915.1 pep chromosome:v.1.0:1:24234538:24234729:1 gene:fgenesh2_kg.1__3881__AT1G47915.1 transcript:fgenesh2_kg.1__3881__AT1G47915.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KBY8] MRSSARDSISNLPDEILGKILSLLPTKVAASTSVLSKRWRNLLGLVDNLCFDESMVVYPNEEEA >fgenesh2_kg.1__3882__AT5G12450.1 pep chromosome:v.1.0:1:24235966:24236160:1 gene:fgenesh2_kg.1__3882__AT5G12450.1 transcript:fgenesh2_kg.1__3882__AT5G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KBY9] VLEISEYGGSFLELKQMRHFLGKLKCLETVKVGVDADNENNSEFLRANLLALPRLSSKCNIQFG >fgenesh2_kg.1__3884__AT1G47915.1 pep chromosome:v.1.0:1:24250725:24250915:-1 gene:fgenesh2_kg.1__3884__AT1G47915.1 transcript:fgenesh2_kg.1__3884__AT1G47915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSARDSISNLPDEILGKILSLLPTKVAASTSVLSKRWRNLLGLVDTLSFDESMVVYPNEEE >fgenesh2_kg.1__3885__AT5G26710.1 pep chromosome:v.1.0:1:24252212:24254138:1 gene:fgenesh2_kg.1__3885__AT5G26710.1 transcript:fgenesh2_kg.1__3885__AT5G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KBZ2] GTGQRWESLRKSKKYQSLVRWFNSILDEYSELLNKVLSTYVKKGSGKPVAPPKSKDNQQATKADAQDKGKSEVDLPEAEIGKVRLRFAPEPSGYLHIGHAKAALLNKYFAERYQGEVIVRFDDTNPAKESNEFVDNLVKDIGTLGIKYEKVTYTSDYFPELMQMAEKLMREGKAYVDDTPREQMQKERMDGIDSKCRNHGVDENLKLWQEMIAGSERGLQCCVRGKFNMQDPNKAMRDPVYYRCNPMSHHRIGDKYKIYPTYDTACPFVDSLEGITHALRSSEYHDRNAQYFKVLEDMGMRQVQLYEFSRLNLVFTLLSKRKLLWFVQTGLVDGWDDPRFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWSINKRIIDPVCPRHTAVIAERRVLFTLTDGPDEPFVRLIPKHKKFEGAGEKATTFTKSIWIEEADASAISVDEEVTLMDWGNAIVKQITKDEEGRVTALSGVLNLQGSVKTTKLKLTWLPDTNELVNLTLTEFDYLITKKKLEDDDEVADFVNTNTKKETLALGDSNMRNLKCGDVIQLERKGYFRCDVPFVKSSKSIVLFSIPDGRALK >fgenesh2_kg.1__3886__AT1G47970.1 pep chromosome:v.1.0:1:24292036:24293348:1 gene:fgenesh2_kg.1__3886__AT1G47970.1 transcript:fgenesh2_kg.1__3886__AT1G47970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVDDDDKNFKEEPEIQVLSDDDSDEEQVKDVGEEGSDEDDDDGSEGDDDDDEEEEEDDDDDDVQVLQSLGGPPVQSAEDEDEEGDEDGNGDDDDDDGDDDDDDDDDDDEDAEDEGDLGTEYLVRPVGRAEDEEDASDFEPEENGVEEDIDEGEDDENDNSGGAGKSEAPPKRKRAPEEDEENSGDEDDDRPPKR >fgenesh2_kg.1__3889__AT1G48000.1 pep chromosome:v.1.0:1:24313992:24316343:-1 gene:fgenesh2_kg.1__3889__AT1G48000.1 transcript:fgenesh2_kg.1__3889__AT1G48000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEIRRGPWTVDEDMKLVSYISLHGEGRWNSLSRSAGLNRTGKSCRLRWLNYLRPDIRRGDISLQEQFIILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKLLKCDVNSKQFKDTIKHLWMPRLVERIASTQNVEFTPNHYSPENSSVATATSSTSSSESMASSFYGDDQVEYGTLDPNGGNWFNGGDAFETLWSFDELNKWLLQ >fgenesh2_kg.1__388__AT1G04540.1 pep chromosome:v.1.0:1:1492398:1494131:1 gene:fgenesh2_kg.1__388__AT1G04540.1 transcript:fgenesh2_kg.1__388__AT1G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLFPSFQLLELNIISAQDLAPVARKTKTYAVAWVHSERKLTTRVDYNGGTNPTWNDKFVFRVNEEFLYADTSAVVIEIYALHWFRDVHVGTVRVLISNLIPPNRRPGYRTSNNEYRRTPPPGMRFVALQVRRTSGRPQGILNIGVGLIDGSMRSMPLYTHMDSSAVGYRDLLGEEDHHLQHLHLNSNKGSSKNPQSPSSRQYQSVISRPELRRTKSDSSSMVVSDLLSRAERSRLANRKPASALVSSDSETLPTTTDSDEKKMNEYIPPSKNPKVPRQRYNSIDSDLIDSSPMEKPHVVMQRKERHDVMPYNSYHQSRKTPRKKTMYEKQRSVKDYDRGRASPYLSRHGTPLRSNIIASTPMRSNIIAMSPMRSNMVGSTPRRSNIVGSTPIRSNYMATPMRTHHDFGTPVRNLAGRRILTESELGPSPSEVADKLAKDRSHETESSILSEWSIDESSIEGLRSKLERWRTELPPLYDIGSSHISSSNYDGASVPAATAGGGMSSRRKTPTAKKHNRRHTDGGNGLFSCFSKICGVECSFVCGGGGGQMASQGSTKKGGAGRVQRTYSADDLSFV >fgenesh2_kg.1__3890__AT1G48010.1 pep chromosome:v.1.0:1:24324688:24325074:-1 gene:fgenesh2_kg.1__3890__AT1G48010.1 transcript:fgenesh2_kg.1__3890__AT1G48010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KC03] DPKIEKLDLSDTAKYLIHHAQQNALDTHNQLQLLANSTTDKRTKECYISCSKYYDKALYSFGEALKDIEVHNPDYLNVEISAARQNANDCKTLDLKDVKPDPKLMMKIDFLENVCGIVLSISDILPKN >fgenesh2_kg.1__3892__AT1G48030.2 pep chromosome:v.1.0:1:24333522:24335582:-1 gene:fgenesh2_kg.1__3892__AT1G48030.2 transcript:fgenesh2_kg.1__3892__AT1G48030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7KC06] MASLARRKAYFLTRNLSNSPTDALRFSFSLSRGFASSGSDENDVVIIGGGPGGYVAAIKAAQLGLKTTCIEKRGALGGTCLNVGCIPSKALLHSSHMYHEAKHAFANHGIKVSSVEVDLPAMLAQKDNAVKNLTRGIEGLFKKNKVTYVKGYGKFISPNEVSVETIDGGNTVVKGKHIIVATGSDVKSLPGITIDEKKIVSSTGALSLSEVPKKLIVIGAGYIGLEMGSVWGRLGSEVTVVEFAGDIVPSMDGEIRKQFQRSLEKQKMKFMLKTKVVSVDSSSDGVKLTVEPAEGGEQTILEADVVLVSAGRTPFTSGLDLEKIGVETDKAGRILVNERFLSNVPGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKHGHVDYDKVPGVVYTHPEVASVGKTEEQLKKEGVSYRVGKFPFMANSRAKAIDNAEGLVKILADKETDKILGVHIMSPNAGELIHEAVLAINYDASSEDIARVCHAHPTMSEALKEAAMATYDKPIHI >fgenesh2_kg.1__3894__AT1G48040.1 pep chromosome:v.1.0:1:24336220:24338353:-1 gene:fgenesh2_kg.1__3894__AT1G48040.1 transcript:fgenesh2_kg.1__3894__AT1G48040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase type 2C [Source:UniProtKB/TrEMBL;Acc:D7KC07] MILSQTMVAEAEIRVLDVKCHISAAKDQKNFQIDEVRVSESVRAEISGSAETPRFGSGMSCVTTTIGESTSEFIPTIRSGSFADIRSRETMEDEHICIDDLSAHLGSFNFSVPSAFYGVFDGHGGPEAAIFMKENLTRLFFQDAVFPEMPSIVDAFFLEELENSHRKAFALADLAMADENIVSGSCGTTALTALIIGRHLLVANAGDCRAVLCRRGVAVDMSFDHRSTYEPERRRIEDLGGYFEDGYLNGVLAVTRAIGDWELKNPFTDSSSPLISDPEIRQIILTEDDEFLILACDGIWDVLSSQNAVSNVRQGLRRHGDPRQCAMELGKEAARLNSSDNMTVVVICFSSVPSSPKQPQRRRLRFCVSDEARARLQAMLGGE >fgenesh2_kg.1__3899__AT1G48090.1 pep chromosome:v.1.0:1:24376101:24402104:-1 gene:fgenesh2_kg.1__3899__AT1G48090.1 transcript:fgenesh2_kg.1__3899__AT1G48090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KC15] MVAVTGEVLHLLRRYLGEYVHGLSTEALRISVWKGDVVLKDLKLKAEALNSLKLPVAVKSGFVGTITLKVPWKSLGKEPVIVLIDRVFVLAYPAPDDRTLKLFTLVDTEFTYTNYIPGGRQGKASRNQASADRGTLYFWLMELHGYFYIYSETATLEARAKSKLGSPPQGNSWLGSIIATIIGNLKVSISNVHIRYEDSTRDYSEILASFFLISTIFALVIQGTPLLRASPWPSSQLLQWMKKEMRPLTQVALWINCSLQLERLALYHDSNSFPWEIEKQWDNITPEEWVEIFEDGIKEQTEHKIKSKWALNRHYLLSPINGSLKYHRLGNQERNNPDIPFERASVILNDVNVTISEEQYHDWIKLVEVVSRYKTYIEISHLRPMVPVSEAPRLWWRFAAQASLQQKRLWKRHSIYLLRRRYIQLYANFLQQSSDANYPEMREIEKDLDSKVILLWRLLAHAKVESVKSKEAAEQRKLKKGGWFSFNWRTEAEDNPEVDSVAGGSKLMEEGLTKDEWKAINKLLSHQPDEEMNLYSGKDMQNMTHFLVTVSIGQGAARIVDINQTEVLCGRFEQLDVTTKFRHRSTQCDVSLRFYGLSAPEGSLAQSVSSERKTNALMASFVNAPIGENIDWRLSATISPCHATIWTESYDRVLEFVKRSNAVSPTVALETAAVLQMKLEEVTRRAQEQLQIVLEEQSRFALDIDLDAPKVRIPLRASGSNKCSSHFLLDFGNFTLTTMDTRSEEQRQNLYSRFCISGRDIAAFFTDCGSDNQGCSLVMEDFTNQPILSPILEKADNVYSLIDRCGMAVIVDQIKVPHPSYPSTRISIQVPNIGVHFSPTRYMRIMQLFDILYGAMKTYSQAPVDHMPDGIQPWSPTDLASDARILVWKGIGNSVATWQSCRLVLSGLYLYTFESEKSLDYQRYLCMAGRQVFEVPPANIGGSPYCLAVGIRGTDLKKALESSSTWIIEFQGEEKAAWLRGLVQATYQASAPLSGDVLGQTSDGDGDFHEPQTRNLKAADLVITGSLVETKLYLYGKIKDECDEQVKEVLLLKVLASGGKVHVISSESGLTVRTKLHSLKIKDELQQQQSGSAQYLAYSVLKNEDIQDSLGTCDSFDKEMPVGHADDEDAYTDALPEFLSPTEPGTPDMDMIQCSMMMDSDEHVGLEDTEGGFHEKDTSQGKSLCDEVFYEVQGGEFSDFVSVVFLTRSSSSHDYNGIDTQMSIRMSKLEFFCSRPTVVALIGFGFDLSTASYIENDKDANTLVPEKSDSEKDTNDESGRIEGLLGYGKDRVVFYLNMNVDNVTVFLNKEDGSQLAMFVQERFVLDIKVHPSSLSIEGTLGNFKLCDKSLDSGNCWSWLCDIRDPGVESLIKFKFSSYSAGDDDYEGYDYSLSGKLSAVRIVFLYRFVQEVTAYFMGLATPHSEEVIKLVDKVGGFEWLIQKDEMDGATAVKLDLSLDTPIIVVPRDSLSKDYIQLELGQLEVSNEISWHGCPEKDHSAVRVDVLHAKILGLNMSVGINGSIGKPMIREGQGLDIFVRRSLRDVFKKVPTLSVEVKIDFLHAVMSDKEYDIIVSCTSMNLFEEPKLPPDFRGSSAGPKAKMRLLADKVNLNSQMIMSRTVTILAVDISYALLELRNSVNEESPLAHVAVRASEPNSSISSMTSLSETDLYVSVPKVSVLDIRPNTKPEMRLMLGSSVDASKQASSESFPFSLNKGSFKRVNSRAVLDFDAPCSTMLLMDYRWRASSQSCVLRVQQPRILAVPDFLLAVGEFFVPALRAITGRDETLDPTNDPITRSSGIVLSEPLYKQTEDVVHLSPRRQLVADSLGIDEYIYDGCGKVISLSEQGEKDLNVGRLEPIIIVGHGKKLRFVNVKIKNGSLLSKCIYLSNDSSCLFSPEDGVDISMLENASSNPENVLSNAHKSSDVLDTCQYDSKSGQSFTFEAQVVSPEFTFFDGTKSSLDDSSAVEKLLRVKLDFNFMYASKENDIWVRALLKNLVVETGSGLIILDPVDISGGYTSVKEKTNMSLTSTDIYMHLSLSALSLLLNLQSQVTGALQSGNAIPLASCTNFDRIWVSPKENGPRNNLTIWRPQAPSNYVILGDCVTSRAIPPTQAVMAVSNTYGRVRKPIGFNRIGLFSIIQGLEGANVHHSHDSNECSLWMPVAPVGYTAMGCVANIGSVPPPDHIVYCLSIWRADNVLGSFYAHTSTAAPSKKYSSGLSHCLLWNPLQSKTSSSSDPSLRSGSRSEQTSDQTGSSSGWDILRSISKATSYHVSTPNFERIWWDKGGDLRRPVSIWRPISRPGFAILGDSITEGLEPPALGILFKADDSEIAAKPVQFNKVAHIVGKGFDEVFCWFPVAPPGYVSLGCVLSKFDEAPHVDSFCCPRIDLVNQANIYEASLTRSSSSKSSQLWSIWKVDNQACTFLARSDLKRPPSRMAFAVGESVKPKTQENVNAEIKLRCFSLTLLDGLHGMMTPLFDTTVTNIKLATHGRPEAMNAVLISSIAASTFNTQLEAWEPLLEPFDGIFKLETYDTALNQSSKPGKRLRIAATNILNINVSAANLETLGDAVVSWRRQLELEERAAKMKEESAVSRESGDLSAFSALDEDDFQTIVVENKLGRDIYLKKLEENSDVVVKLCHDENTSVWVPPPRFSNRLNVADSSREARNYMTVQILQAKGLHIIDDGNSHSFFCTLRLVVDSQGAEPQKLFPQSARTKCVKPSTTIVNDLMECSSKWNELFIFEIPRKGVARLEVEVTNLAAKAGKGEVVGSLSFPVGHGESTLRKVASVRMLHQSSDAENISSYTLQRKNAEDKHDNGCLLISTSYFEKTTIPNTLRNMESKDFVDGDTGFWIGVRPDDSWHSIRSLLPLCIAPKSLQNDFIAMEVSMRNGRKHATFRCLATVVNDSDVNLEISISSDQNVSSGVSNHNAVIASRSSYVLPWGCLSKDNEQCLHVRPKVENPHHSYAWGCCVAVSSGCGKDQPFVDQGLLTRQNTIKQSSRASAFFLKLNQLEKKDMLFCCQPSTGSKPLWLSVGADASVLHTDLNTPVYDWKISISSPLKLENRLPCPVKFTVWEKTKEGTYLERQHGVVSSRKSAHVYSADIQRPVYLTLAVHGGWALEKDPIPVLDISSNDSVSSFWFVHQQSKRRLRVSIERDVGETGAAPKTIRFFVPYWITNDSYLPLSYRVVEIEPSENVEAGSPCLTRASKSFKKNPVFSMERRHQKKNVRVLECIEDTSPMPSMLSPQESAGRSGVVLFPSQKDSYVSPRIGIAVAARDSDSYSPGISLLELEKKERIDVKAFCKDASYYMLSAVLNMTSDRTKVIHLQPHTLFINRVGVSICLQQCDCQTEEWIHPSDPPKLFGWQSSTRLELLKLRVKGCRWSTPFSVFSEGTMRVPVAKEDGTDQLQLRVQVRSGTKNSRYEVIFRPNSISGPYRIENRSMFLPIRYRQVEGVSESWQFLPPNAAASFYWEDLGRRHLFELLVDGNDPSKSEKFDIDKIGDYPPRSENGPTRPIRVTILKEDKKNIVRISDWMPAIEPTSSISRRLPASSLSELSGNESQQSHLLASEDSEFHVIVELAELGISVIDHAPEEILYMSVQNLFVAYSTGLGSGLSRFKLRMQGIQVDNQLPLAPMPVLFRPQRTGDKADYILKFSVTLQSNAGLDLRVYPYIGFQGRENTAFLINIHEPIIWRIHEMIQQANLSRLSDPKSTAVSVDPFIQIGLLNFSEVRFKVSMAMSPSQRPRGVLGFWSSLMTALGNTENMPVRISERFHENISMRQSTMINSAIRNVKKDLLGQPLQLLSGVDILGNASSALGHMSQGIAALSMDKKFIQSRQKQENKGVEDFGDIIREGGGALAKGLFRGVTGILTKPLEGAKSSGVEGFVSGFGKGIIGAAAQPVSGVLDLLSKTTEGANAMRMKIAAAITSDEQLLRRRLPRAVGADSLLRPYNDYRAQGQVILQLAESGSFLGQVDLFKVRGKFALTDAYESHFILPKGKVLMITHRRVILLQQPSNIMGQRKFIPAKDACSIQWDILWNDLVTMELTDGKKDQPNSPPSRLILYLKAKPHDPKEQFRVVKCIPNTKQAFDVYSAIDQAINLYGQNALKGMVKNKVTRPYSPISESSWAEGASQQMPASVTPSSTFGTSPTTSSS >fgenesh2_kg.1__3903__AT1G48110.2 pep chromosome:v.1.0:1:24423764:24427273:-1 gene:fgenesh2_kg.1__3903__AT1G48110.2 transcript:fgenesh2_kg.1__3903__AT1G48110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 7 [Source:UniProtKB/TrEMBL;Acc:D7KC17] MYTSEGAPDFVVDQGMYYPVDASYGYYCTVYESPGDWENHQMFFGVDGSEVQYTGGQNENSPYICYTPSYGYAQSPYNPFNPYIPGAAIGVDSPFVGPQQFYSIPPFQSVATSPTFVPYAIQTDIASNSSTNSLVETVSANRDRSDGRGSRQRNGTATGGLQRNAPKLSAVNSSGKISEKPRPNSGQSRQPEMDKSDSTASSGQALQGRATSVSSTRPVDVVSSSRVSSFGQLDIAPPELNGFSKITTNNNNLRPKLYGGHANIIPDTVREQNRGRRSRALGNQLIVKAYTTKAGNADAEGNIVINPSQYNKEDLRIDYSNAKFFVIKSYSEDDVHKSIKYNVWSSTLHGNKKLQSAYEDAQRIATEKSCECPIFLFFSVNASGLFCGMAEMTGPVSFDKDMDFWQQDKWSGSFPVKWHIIKDVPNSYFRHIILQNNENKPVTNSRDTQEIMLKQGLEVLKIFKDHMERTSLLDDFVYYESRQRVMQDERTRLPYRTFLSPLPLPRPDPSDRNNKIPLEAFKRPSVSSAKTEEVRSKSDGNEETTVKEGNEEDTSSIQKKISSLTIDPSGTDSDPTTVSHLNQKSQAKSKLTSSGSLKKTDPSEVFDASLSDENDTVKVGSLPIKVTGSPAILTVGTIPLDPKSLQK >fgenesh2_kg.1__3905__AT1G48130.1 pep chromosome:v.1.0:1:24434850:24435991:1 gene:fgenesh2_kg.1__3905__AT1G48130.1 transcript:fgenesh2_kg.1__3905__AT1G48130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGITLGDTVPNLEVETTNGTFKLHDYFADSWTVLFSHPGDFTPVCTTELGAMAKYAHEFDKRGVKLLGLSCDDVQSHKDWIKDIEAFTHGSKVKYPIIADPNKEIIPQLNMIDPIENGPSRALHIVGPDSKIKLSFLYPSTTGRNMDEVLRALDSLLMASKHNNKIATPVNWKPDEPVVISPAVSDEEAKKMFPQGFKTADLPSKKGYLRHTQVS >fgenesh2_kg.1__390__AT1G04550.2 pep chromosome:v.1.0:1:1503424:1505121:1 gene:fgenesh2_kg.1__390__AT1G04550.2 transcript:fgenesh2_kg.1__390__AT1G04550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7KE50] MRGVSELEVGKSNLPAESELELGLGLSLGGGAWKERGRILTAKDFPSVGSKRSAESSSHQGASPPRSSQVVGWPPIGSHRMNSLVNNQAMKAARAEEEDGEKKVAKNDELKDVSMKVNGKVQGLGFVKVNMDGVGIGRKVDMRAHSSYENLAQTLEEMFFGMTGTTSREKVKPLRLLDGSSDFVLTYEDKEGDWMLVGDVPWRMFINSVKRLRIMGSSEASGLAPRHQEQKDRQRNNPV >fgenesh2_kg.1__3910__AT1G48190.1 pep chromosome:v.1.0:1:24454683:24459406:-1 gene:fgenesh2_kg.1__3910__AT1G48190.1 transcript:fgenesh2_kg.1__3910__AT1G48190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KC25] MRVDRSSSSSSVSLLDGKIYVAGGFDPKTHTWSSVTSPSAAIRNGSVAEHRSLGLGGKFHIFGDVSNESGVVYNPKEDNILFYWFHELFQWYDYKVHLWKQVNGLEVLPVACTEKVTILAALDTFDNTG >fgenesh2_kg.1__3918__AT1G48280.1 pep chromosome:v.1.0:1:24499524:24502233:1 gene:fgenesh2_kg.1__3918__AT1G48280.1 transcript:fgenesh2_kg.1__3918__AT1G48280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRISTASTTPSRVRAANSHYSVISKPRAQDDNGLTGGKPKSSGHDVKNDPAKNRRSILLKRAKYGEEETAVLAPQRARSVNRPAVVEQFGCPRRPISRKTEESVMATAVVAEDEKRKRMEELEEKLVVNESLIKDLQLQVLNLKTELEEARNSNAELELKNKKLSQDLASAEAKISSLSSNDKPAKEHQNTRFKDIQRLIASKLEQSKVKKEVAVESSSSIKTRSSPQPPSPPPSRLQPTPPLPKFLVSPASSLGKRDESSSPFAPPTPPPPPPPPPPRPLAKAARAQKSPPVSQLFQLLKKQDNSRDLSQSVNGNQSQVNSAHNSIVGEIQNRSAHLIAIKADIETKGDFINDLIQKVLTTCFSDMEDVMKFVDWLDKELATLADERAVLKHFKWPEKKADALQEAAVEYRELKKLEKELSSYSDDPSIHYGVALKKMANLLDKSEQRIRRLVRLRGSSMRSYQDFKIPVEWMLDSGMISKIKRASIKLARTYMNRVANELQSARNLDRESTQEALLLQGVRFAYRTHQFAGGLDPETLCALEEIKQRVPSHLRLARGNMAGTPS >fgenesh2_kg.1__391__AT1G04555.1 pep chromosome:v.1.0:1:1505517:1507133:1 gene:fgenesh2_kg.1__391__AT1G04555.1 transcript:fgenesh2_kg.1__391__AT1G04555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQWRMRFSFKNATIALTVVNVLIFLFLLQGFFTSSSSSSSSSSSRRLISAQLRYIKEAEEIRLKMQPLELIKRVREIEQEASAGQETEQHKDVKQTTAVDLSKRLKDFRALNDASSLKALEEWRKRKMERARQRDLEKTGGVSSIKTSS >fgenesh2_kg.1__3921__AT1G48320.1 pep chromosome:v.1.0:1:24516741:24517559:-1 gene:fgenesh2_kg.1__3921__AT1G48320.1 transcript:fgenesh2_kg.1__3921__AT1G48320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7KCZ7] MDSASSKTKAIDPPLHMLGFEFDELSPTRVTGRLPVSPTCCQPFKVLHGGVSALIAESLASTGAHMASGFKRVAGIQLSINHLKSADLGDLVFAEATPVSTGKTIQVWEVKLWKTTEKDKANKILISSSRVTLICNLPIPDNAKDAANMLRMIAKL >fgenesh2_kg.1__3922__AT1G48325.1 pep chromosome:v.1.0:1:24522840:24523248:1 gene:fgenesh2_kg.1__3922__AT1G48325.1 transcript:fgenesh2_kg.1__3922__AT1G48325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDKRRRKSSSSFSVIKSLFSRCSNEKDNWNEERVINVRPRIMTTDDDGCSWIAEPCIDRRATAFIAKFHETPIQDPE >fgenesh2_kg.1__3923__AT1G48330.1 pep chromosome:v.1.0:1:24534454:24535012:1 gene:fgenesh2_kg.1__3923__AT1G48330.1 transcript:fgenesh2_kg.1__3923__AT1G48330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWKKTIATPFKKAATFFNNPQQSPHNRHANAKAREEHERRTVQELQGDVMACGYEDVLVMWSILDKSNSSNNLSS >fgenesh2_kg.1__3924__AT1G48350.1 pep chromosome:v.1.0:1:24537423:24538652:1 gene:fgenesh2_kg.1__3924__AT1G48350.1 transcript:fgenesh2_kg.1__3924__AT1G48350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18 family protein [Source:UniProtKB/TrEMBL;Acc:D7KD00] MASVSGCGSVSLITNRSTFLGSGLQHRAVFLKPWSSSSLQSRSMVVEAKTKTSSEDRIARHSRIRKKVNGTTERPRLCVFRSNKHLYVQVIDDTKMHTLASASTKQKPISEEFDYTSGPTIEVAKKVGEVIAKSCLEKGITKVAFDRGGYPYHGRIEALAAAAREHGLQF >fgenesh2_kg.1__3926__AT1G48360.2 pep chromosome:v.1.0:1:24539010:24543426:1 gene:fgenesh2_kg.1__3926__AT1G48360.2 transcript:fgenesh2_kg.1__3926__AT1G48360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGRESLLRLIGKRRRYLPNRQLLLSAHTPNSLNLEVNDDGNLISLAGDDGILSDNPTPLDDPSKFSDDLSLSTRKKRRLTQTTLLQSNFWSVPKQLEDGSETSQFNLVQRSDSICCKVEDGSCSPNSEEVLKTVTLDEANGEAIETFIVGRKFSDVQDLEIGGNIFLLRHPENVKDRNAIKVVSADSEMLGYLTKDISQCLSPLIDDYGLTFEGTITSVPKNSSEAVPIKVVCHKMTSDGWKECEFYGDFKPLWEKVLQVVEHQMQFPPKTTRYQLNFNVLLQEVLRSCSHLFTAEERAFLESFPTLSEDSQRLFIRLYTRKGPWFRLSNISYPEVSDSLQALKDLTVRRFMSSVKDASELDHQKMKEITELLNVTELRDILSINKVFSCGSRKRDLINSLCSCHNDGTRINLATIILERTGLCAKISSTAESLIWRVERLFFLNGEQDLSSFVLQDLGIIKYPTYKCIDSEQIFSNRTKLLAYEEAIEVAQLMDESLDNEHSRTVLKCIMIAETRISSSSLDSAHAAAFNRFTASWVYSKVVLLGISFFENHKRHIIFVFLPVVIFYLLRRLLSCFNCDGRRGYWTVRLSMDLEHIGRPNESLTVAEQGLLDPWVRAGSRVALQRRILRLAKPPRRWKTPTFSNLVENKIPEVTIQGRSLNCEVGMKNRFYGEDGEQCGVEQLALQYYSGEGGWQGIHTESSIWLTIFGLLMWDILFSDVPGVFQTRFQTAPLDLETESFYLTRKETIESQLEKVANGMAEEILIISYETHRGTACRGVAWDRFLLEELRAAVACVGGMCIASLCRHLAQDYRSWCSGMPDLLLWRFKENGYEGEAKLVEVKSERDRLSEQQRAWLLLLMDSGFNVEICKVRPASLTKT >fgenesh2_kg.1__3928__AT1G48370.1 pep chromosome:v.1.0:1:24544985:24547854:1 gene:fgenesh2_kg.1__3928__AT1G48370.1 transcript:fgenesh2_kg.1__3928__AT1G48370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGSLTPDRDRQIEEHELQETGISPDTERFKRNKNVGQYQREEEEEDGEEQEESVEGIFESREVPSWKNQLTIRAFVVSFALSILFSFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKMLHKSGLLKQPFTRQENTVIQTCVVASSGIAFSGGFGTYLFAMSHRIADQSGDVARGVKDPSLGWMIAFLFVVSFLGLFSVVPLRKIMIIDFKLPYPSGTATAHLINSFHTPQGAKLAKKQVRVLGKFFSFSFFWGFFQWFFTAGENCGFNSFPTFGLRAYQYKFYFDFSATYVGVGMICPYIINISLLLGGILSWGLMWPLIETRKGDWFPSNVDSSSMNGLQAYKVFIAVAMILGDGLYNFCKVLYRTLSGLVSQIRGKADSRTSLAHEEDPPASPLTPKISYDDQRRTRFFLKDQIPSWFAIGGYVVISAVSTAILPHMFSQLRWYYIIVIYVFAPILAFCNAYGAGLTDWSLASTYGKLAIFTIGAWAGSDHGGLLAGLAACGVMMNIVSTASDLTQDFKTGYLTLSSPRAMFVSQMIGTAMGCLVSPCVFLLFYKAFDDLGLPNSEYPAPFATVYRSMAKLGVEGVSSLPRDCLVLCYSFFAVAGLINLIKDGLGNRWGRFVPLPMAMAIPFFLGPYFAIDMCVGSLILFVWERLDAPKAEAFATAVASGLICGDGIWTLPSSVLAIAGVKPPICMKFLSGATNHRVDKFLQGSS >fgenesh2_kg.1__392__AT1G04560.1 pep chromosome:v.1.0:1:1508731:1509719:1 gene:fgenesh2_kg.1__392__AT1G04560.1 transcript:fgenesh2_kg.1__392__AT1G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVGRNIAAPLLFLNLVMYLIVLGFASWCLNKYINGQTNHPSFGGNGATPFFLTFSILAAVIGVASKIAGANHIRFWRNDSLAAAGASSIVAWAITALAMGLACKQINIGGWRGWRLKMIEAFIIILTFTQFLYLMLIHAGVLSSKYGPGYRDQDYATGQGHGHGHGHVPHTVGEHKAGVGSTMAV >fgenesh2_kg.1__3930__AT1G48380.1 pep chromosome:v.1.0:1:24547886:24549799:-1 gene:fgenesh2_kg.1__3930__AT1G48380.1 transcript:fgenesh2_kg.1__3930__AT1G48380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASSSKKGGSKGGDKDDAESKQRKRLKTLALHNQLLSDSPAKSHSSLKPSKQVLKHHGTDIIRKSQRKNRFLFSFPGLLAPISGATIGDLDRLSTKNPVLYLNFPQGRMKLFGTILYPKNRYLTLQFSRGGKNVLCDDYFDNMIVFSESWWIGTKEENPEEARLDFPKELAQAEQTEFDFQGGAGGAASVKRMVTPETGSQPTETYSPEVDMEDVLSEDGEFLDDKIELTPVQTTPVRQSQRNSGKKFNFAETSPEDSSGESEGNTSDEDEKPMLEPESSTRGREESQAGTTTAASKLPTELPARKEKPKSKDSKLVQATVSNLFKKAEEKTAGTSKAKSSSKA >fgenesh2_kg.1__3931__AT1G48410.2 pep chromosome:v.1.0:1:24566140:24578115:-1 gene:fgenesh2_kg.1__3931__AT1G48410.2 transcript:fgenesh2_kg.1__3931__AT1G48410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRTDAPSAGGEASGSREAGPVSGGGRGSQRGGFQQGGGGGQQQGGRGYTPQSQQGGRGGRGYGQPPQQQQQYGGPQEYQGRGRGGPPHQGGRGGYGGGRGGGPSSGPPQRQSVPELHQATSPTYQAVSSQPTLSEVSPTQVPEPTVLAQQLEQLSVEQGAPSQAIQPIPSSSKAYKFPMRPGKGQSGKRCVVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMKQLVDSYRESHLGNRLPAYDGRKSLYTAGPLPFTSKEFRINLLDEEEGAGGQRREREFKVVIKLVARADLHHLGLFLEGKQPDAPQEALQVLDIVLRELPTSSIRYTPVGRSFYSPDIGRKQSLGDGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEASPVIKFVCDLLNRDISSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTAVATRELTFPVDERNTQKSVVEYFHETYGFRIQHTQLPCLQVGNSNRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPLEREKDILRTVELNNYKEDPYAKEFGIKISTSLASVEARILPPPWLKYHESGREGTCLPQVGQWNMMNKKMINGGTVNNWICINFSRQVQDNLARTFCQELAQMCYVSGMAFNPEPVLPPVSARPEQVEKVLKTRYHDATSKLSQGKEIDLLIVILPDNNGSLYGDLKRICETELGIVSQCCLTKHVFKMSKQYMANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKEWKDPQKGVVTGGMIKELLIAFRRSTGHKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEAGYQPPVTFVVVQKRHHTRLFAHNHNDRHSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGSMARGGGMAGRNTRGPNINAAVRPLPALKENVKRVMFYC >fgenesh2_kg.1__3937__AT1G48440.1 pep chromosome:v.1.0:1:24600250:24601765:1 gene:fgenesh2_kg.1__3937__AT1G48440.1 transcript:fgenesh2_kg.1__3937__AT1G48440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWLILTYVVAAEVVITLVLTLPYPMLLKKRVVQLVSLILQPAASIVAFAGFQLLDIYWKAEHRLSCSSEVCTATERDRYEKSIYKAQRNVVLCAAGILLYWCIYRICKYNKDLERLEATEKRYKEE >fgenesh2_kg.1__3939__AT1G48450.1 pep chromosome:v.1.0:1:24601938:24603739:-1 gene:fgenesh2_kg.1__3939__AT1G48450.1 transcript:fgenesh2_kg.1__3939__AT1G48450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMATNLVKSSVLLRQSSGRSILLTPNCPGFMRFGSRPQFRLKVRLQNSSLKFSRPLQSGTTCAKSRRSFVVKASASGDASTDSIAPLQLKSPVGQFLSQILVSHPHLVPAAVEQQLEQLQIDRDSEEQSKDASSVPGTDIVLYRRIAEVKEKERRRALEEILYALVVQKFMDANVSLVPSITSSSADPSGRVDTWPTLDGELERLHSPEVYEMIQNHLSIILKNRTDDLTAVAQISKLGVGQVYAASVMYGYFLKRIDQRFQLEKSMRILPGGSYEGETSIEQAGRETERSFYEEAEETYQAVSSNQEVGSFVGGINASGGFSSDMKQSRLKTYVMSFDGETLQRYATIRSREAVGIIEKHTEALFGRPEIVITPQGTIDSSKDEHIKISFKGLKRLVLEAVTFGSFLWDVESHVDSRYHFVLN >fgenesh2_kg.1__3940__AT1G48460.1 pep chromosome:v.1.0:1:24619653:24621543:1 gene:fgenesh2_kg.1__3940__AT1G48460.1 transcript:fgenesh2_kg.1__3940__AT1G48460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKAICLGFLPPRLGFSSPHLLSRSPFLQGSSTFATRQKLDSRQTLRWNKPQLSRGHVACSSKQSGKSNYARAELFRGKSGSVSFNGLTHQLVEESKLVSAPFQEEKGSFLWVLAPVVLISSLILPQFFLSGAIEASFKNDTVAEIVTSFCFETVFYAGLAIFLSVTDRVQRPYLDFSSKRWGLITGLRGYLTSAFLTMGLKVVVPVFAVYMTWPALGIDALIAVLPFLVGCAVQRVFEARLERRGSSCWPIVPIVFEVYRLYQVTRAATFVQRLMFMMKDAATTAEITERGVALVGLVVTLQFLAVMCLWSFITFLMRLFPSRPVGENY >fgenesh2_kg.1__3943__AT1G48510.1 pep chromosome:v.1.0:1:24650547:24652498:1 gene:fgenesh2_kg.1__3943__AT1G48510.1 transcript:fgenesh2_kg.1__3943__AT1G48510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SURF1-like protein [Source:UniProtKB/TrEMBL;Acc:D7KD23] MTKLVSKTLTRLISQSQYMSSSTTSNLPATSNLETQLLSSVPPPAKKKRGSALLWYLVGFTTYGLGETYKFLQTDLKHLDFRRQCLETKPMKLNTMKNVDELGFRRVVCKGVFDEQRSIYVGPKPRSMSKGSENGFYVITPLLPIPNEPNSMKSPILVNRGWVPSDWKEKSLESLGTGVVVAAANEARKSNKILSSQQNLLSKFWCKFNNPTIAEDQVSGAMHVEVVGVIRKSETPGIYTLVNYPSSLAWFYLDVPKLAQAMGFSEDTMYIENIYKDMDESRPYPAPRDVENLIRSKDIPLDYHLYTVLWHWSSLTCFIKASSILMRKFTKSDPVGIEPILIPICILFFICTKIYSLRNLFCKVDTIGVGCLTKLEPGKDMPNEG >fgenesh2_kg.1__3946__AT1G48550.1 pep chromosome:v.1.0:1:24687321:24689396:-1 gene:fgenesh2_kg.1__3946__AT1G48550.1 transcript:fgenesh2_kg.1__3946__AT1G48550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 26 family protein [Source:UniProtKB/TrEMBL;Acc:D7KD27] MATVTTVNVKLSRSNRIYRSSEPVEGKIVIKSATSISHQPIRLSVNGSVNLQVRGGSAGVIESFYGVIKPIQIVKKTIEVRSSGKIPPGTTEIPFSLNLREPGESIVEKFYETFHGTNINIQYLLTADIPRGYLHKPLSATMEFIIESGRVDLPERPIPPEMVIFYITQDTQRHPLLPEIKTGGFRVTGKLATQCSLQDPLSGELTVEASSVPITSIDIHLLRVESIIVGERIVTETSLIQSTQIADGDVCRNMSLPIYVLLPRLLMCPSVFAGPFSVEFKVCITISFKSKLAKAQPKSDPTAPRLWMALERLPLELVRTKRDQFSC >fgenesh2_kg.1__3947__AT1G48560.1 pep chromosome:v.1.0:1:24689541:24692008:1 gene:fgenesh2_kg.1__3947__AT1G48560.1 transcript:fgenesh2_kg.1__3947__AT1G48560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKGELVWVSLSFSDTWIPGRILDPSESLVSFFGLMEPRYVPKPCLRSFDRDFGNLISDSRRIRRFVNRALRAHFWNISFGLWCSCQPLIDSPYFDRENSLPWFPLTSDSALGFVRDMAISIRVPLWRLAETNSSSAQILSFRRYIVDFKRSKSVYEEAIESAELMDRSEESYWCLEYSKKMDLASMFPETADADVGNSRDLSLSDPLPKDIPCCASPSFVQRVDKVVQICTWKNPLTTSSSSTIKACETMVRTAVDDGHRSKSKESCQVEQSICLLNSEPPIEDMIEEDTTSVAATRVDVSEALPDVMVDTHDDIIGLVDGPVTSTKQLSPLLDVSNDNAYLAKPVSFVVPGACHTLACSMRNKYASPRNKLDSSIISTNTLNQQSLDMNSLNGTRENCSEDALESHIVEVGQVSLHSTGISNKEFSSDDVGIAPAAQVQDLEPGTTVENQTRSVDNVRSIGIKRKASRDKSSARNSKRMKKAAQQSIATDKPLNLHLMKDMRLANPKCLRMKFLSRHGDLPSKAELLKRFSVFGKIDASRTDVNPGESSAKVVFVQSIDAVTAYQFARSKKFRLGRSKVTYHLDPFEEDNEVNKVPLAQKPQKSVPSPKSCLKEQGSVDKEEGRRNMKVKFQMETNSGIR >fgenesh2_kg.1__394__AT1G04580.1 pep chromosome:v.1.0:1:1518694:1524012:-1 gene:fgenesh2_kg.1__394__AT1G04580.1 transcript:fgenesh2_kg.1__394__AT1G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDDLVFAVNGERFEVLSVNPSTTLLEFLRSNTRFKSVKLSCGEGGCGACIVILSKYDPVLDQVEEYSINSCLTLLCSINGCSITTSDGLGNTEKGFHPIHKRFAGFHASQCGFCTPGMCISLYSALSKAHNSKNSQSSPDYLTALEAEKSIAGNLCRCTGYRPIADACKSFAADVDIEDLGFNSFWRKGESREEMFKKLPPYNPDKDLVTFPDFLKEKIKCQQNVLDQTRYHWSTPVSVAELQEILATTNPGKDRGLIKLVVGNTGTGYYKEEKQYGRYIDISHIPEMSMIKKDDRGIEIGAVVTISKVIDALMEENTSAYVFKKIGVHMEKVANHFIRNSGSIGGNLVMAQSKSFPSDITTLLLAADASVYMINAGRHEKLRMGEYLVSPPILDTKTVLLKVHIPSWIASSTTGLLFETYRAALRPIGSALPYINAAFLAVVSQDASSRGIIVDKCRLAFGSFGGYHSIRAREVEDFLTGKILSHSVLYEAVRLLKGIIVPSIDTSYPEYKKSLAVGFLFDFLYPLIESGSWDSKRKHIDGHVDPTVCLPLLSSAQQVFESKEYHPVGEAIIKFGAEMQASGEAVYVDDIPSLPHCLHGAFIYSTKPLAWIKSVGFGGNVTPIGVLAVITFKDIPQVGQNIGYISMFGTGLLFADEVTISAGQIIALVVADTQKHADMAANLAVVEYDSRYIGTPVLSVEDAVKRSSLFEVPPEYYPEPVGDISKGMAEADRKIRSVELRLGSQYFFYMETQTALALPDEDNCLVVYSSTQSPEYTQSVIATCLGIPAHNVRVITRRIGGGFGGKAIKSMPVATACALAAKKMQHPVRIYVNRKTDMVMAGGRHPMKITYSVGFRSDGKLTALALNMLIDAGCDVDVSLVMPQNIMNSLRKYEWGALSFDIKVCKTNLPSRTSLRAPGEVQGSYIAESIIENVASSLNMDVDVVRRINLHTYESLSKFYKQVAGEPDEYTLPLLWDKLEISADFRRRVESVKEFNRCNIWRKRGISRVPIIHQVVHRPTPGKVSILNDGSVAVEVAGIEVGQGLWTKVQQMVAYGLGMIKCDGSEDLLERTRLLQTDTLSMAQSSYTAGSTTSENCCEAVRLCCGILVERLKPTMNQILENARSVTWDMLIQQAYAQSVDLSARTFYKPESSSAEYLNYGVGASEVEVDLVTGRTEIIRSDIIYDCGKSLNPAVDLGQIEGAFVQGIGFFMYEEYTTNENGLVKEEGTWDYKIPTIDTIPKQFNVQILNSGHHKNRILSSKASGEPPLLVAASVHCATRSAIREARKQYLSWNCDNGDRTDVSDIGFELPVPAIMPVVKQLCGLESVEKYLEWKTYP >fgenesh2_kg.1__3950__AT1G48598.1 pep chromosome:v.1.0:1:24702406:24705731:1 gene:fgenesh2_kg.1__3950__AT1G48598.1 transcript:fgenesh2_kg.1__3950__AT1G48598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYKKERDIQKSYWMEHSSDLTVEAMMLDSKASDLDKEERPEVLSLIPPYEGKSVLELGAGIGRFTGELAQKAGEVIALDFIESAIKKNESVNGHYKNIKFMCADVTSPDLKIADGSIDLIFSNWLLMYLSDKEVELMAERMIGWIKPGGYIFFRESCFHQSGDSKRKSNPTHYREPRFYTKVFKECQTRDASGNSYELSMVGCKCIGAYVKNKKNQNQICWIWQKVSLENDKDFQRFLDNVQYKSSGILRYERVFGEGYVSTGGFETTKEFVAKMDLKPGQKVLDVGCGIGGGDFYMAENFDVHVVGIDLSVNMISFALERAIGLKCSVEFEVADCTTKTYPDNSFDVIYSRDTILHIQDKPALFRAFFKWLKPGGKVLITDYCRSAETPSPDFAEYIEQRGYDLHDVQAYGQMLKDAGFEDVIAEDRTDQFVRVLRRELDKVEKEKEEFISDFSEEDYNDIVGGWTAKLERTASGEQKWGLFIANKK >fgenesh2_kg.1__3954__AT1G48620.1 pep chromosome:v.1.0:1:24710194:24712864:-1 gene:fgenesh2_kg.1__3954__AT1G48620.1 transcript:fgenesh2_kg.1__3954__AT1G48620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLSVTNDPHHPPQFTSFPPFTTNSNPFASQNHPFFTGATAVAPPNNIHLYQAAPPQPQTSPVPPHPSISHPPYSDMICTAIAALNEPDGSSKQAISRYIERIYTGIPTAHGALLTHHLKTLKTSGILMMVKKSYKLAATPPPTSVDAASGLEPPRSDFIVNENQPLPDPGLASSTTPQTLKRGRGRPPKTKPDVVQPQLQTNGKPTWEQSELPVSRPEEIKIQPQPQPTVKRPPGRPRKDGASPTVKAAASVSGGVESVKRRGRPPSGRAVGRERKPIVVSAPASVFPYVANGGVRRRGRPKRVDAGGASSVAPPPPPPPNAESGGEEVAVKKRGRGRPPKIGGVIRKPMKPMRSFARTGRPLGRPRKNAVSVGASGRQDGDYGELKKKFELFQARAKDIVIVLKSEIGGSGNQAVVQAIQDLEGLTETTNEPQQHMPELQLPDEEHPETEPQPEGQGQTEAEAMQEALF >fgenesh2_kg.1__3956__AT1G48630.1 pep chromosome:v.1.0:1:24717244:24718717:-1 gene:fgenesh2_kg.1__3956__AT1G48630.1 transcript:fgenesh2_kg.1__3956__AT1G48630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLVLKGTMCAHTDMVTAIATPVDNSDVIVTSSRDKSIILWKLTKEDKSYGVAQRRMTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLATGESTRRFVGHTKDVLSVAFSTDNRQIVSASRDRTIKLWNTLGECKYTISEADGHKEWVSCVRFSPNTLVPTIVSASWDKTVKVWNLQNCKLRNTLAGHSGYLNTVAVSPDGSLCASGGKDGVILLWDLAEGKKLYSLEAGSIIHSLCFSPNRYWLCAATENSIRIWDLESKSVVEDLKVDLKTEAEKSDVSTGTGNKTKVIYCTSLNWSADGSTLFSGYTDGVIRVWGIGRY >fgenesh2_kg.1__3961__AT1G48660.1 pep chromosome:v.1.0:1:24753531:24762433:-1 gene:fgenesh2_kg.1__3961__AT1G48660.1 transcript:fgenesh2_kg.1__3961__AT1G48660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive GH3 family protein [Source:UniProtKB/TrEMBL;Acc:D7KD42] MSLSVELKDLEVLTTNAKQIQDDVLKEILTLNANTEYVQRFLHGSSDKELFKKNIPVVSYGDVKPYIERVANGEPSDVISGEPITRFVQSSGTSGGIHKIFPVNDKYIEKLGYLVDVSSFITSKHFNDNVAEKGKKMAFLYNRLESKTPSGLPVSSSFTSYFMSDYFKNRPSKCNSEYTSPDQVIFCPDNNQSMYCHLLCGLSQREKVVGVSATFAHALVKALNALQIYWKELSSNIRSGHVSEWITDTNCRNSVSATLGGPDLELADMIERECSNNSWEGIITRLWPKAKFIECIVTGQMAQYIPTLEFYSNKLPIVSMIYGSSESIFGVNVDPLSKPQDVSYTFLPNISYFEFLPVDHEEDMNNIVDLVNVKLGCYYETVVTSYFGLHRYLVGDILQVTGFYNKTPQFRFVRRKNTVLSVNSEATTEEDILRGLARATLVLESSNSMLMGFTCYAYISTFPGHYVFYWELKAKDVNDVVELDEKVLAECCNALEESFGSLYKRLRSKDGSVGALEIRVVQQGTFDSLMEYFISKGCSSAQYKTPMCINSSEALAVLEDKVLARFYSEKSPPLDL >fgenesh2_kg.1__3962__AT1G48700.1 pep chromosome:v.1.0:1:24775262:24776800:-1 gene:fgenesh2_kg.1__3962__AT1G48700.1 transcript:fgenesh2_kg.1__3962__AT1G48700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7KD44] MFQAKRRKTYRQEIISNYQPRFKGLYKLDPKLFLLPSFRKAISENTEESFRRIISEPFPGVFVFKMFQPEFFEKLLVEVENFRKWAHEKNFTIRRPDNTSKYGVVLDDFGLDIMLKQLMEEFIFPICKVCFPEVCGTMFDSHYGFLTENGEDRDADVGFHVEDSEVTLNVCLSKQGEGGEIFFAGTRCKKHMDTDPKPEECFDYCHIPGQAILHRGCHRHGARATTSGRWANMILWCQNSLFREMQTYEPAFSDWCGQCVHEMKEKESQSLAAKRKVTKKLESEAEAPRKN >fgenesh2_kg.1__3964__AT1G48745.1 pep chromosome:v.1.0:1:24818034:24818763:1 gene:fgenesh2_kg.1__3964__AT1G48745.1 transcript:fgenesh2_kg.1__3964__AT1G48745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNKTLLLRQMEEEEDRARKQISVLSSKRSQTANANANAAVTAPSEVAFNVCCLCVYCPLCVLWCCIKQPCTIGWRAILNAKRRLSGCSGCGRSFSRRVLAADYSSFSDIDSDDVNCKAHNCSKRNR >fgenesh2_kg.1__3966__AT1G48760.2 pep chromosome:v.1.0:1:24843285:24849626:-1 gene:fgenesh2_kg.1__3966__AT1G48760.2 transcript:fgenesh2_kg.1__3966__AT1G48760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit delta [Source:UniProtKB/TrEMBL;Acc:D7KD51] MSSSSTSIMDNLFQRSLEDLIKGFRLQLLGESNFISRALEEIRREIKSTDLSTKSTALHKLSYLAALHGVDMSWAAFHAVEVVSSSRFQHKRIGYQAITQSFNDQTSVMLLITNQVRKDLNSANEYEVSLALECLSRIGTHDLARDLTPEVFTLLASSKSFVKKKAIGVVLRVFEKYHDAVNVCFKRLVENFESSNPQILSAVVGVFCELATKDPQSCLPLAPEFYKVLVDSRNNWVLIKVLKIFAKLASIEPRLGKKVAEPICEHMRRTVAKSLVFECVRTVVSSLSDHEAAVKLAVAKIREFLVEDDPNLKYLGLNALSIVAPKHLWAVLENKEVIVKAMSDEDPNVKLEALHLLMEMVNEDNVSEISRILMNYALKSDPLFCNEIIFFVLSACSRNAYEIIVDFDWYVSLLGEMARIPHCQRGEEIEHQLIDIGMRVRDARPQLVRVSWALLIDPALLSNLFLHPILSAAAWVSGEYVEFSKNPYETVEALLQPRTGLLPPSIRAVYIHSAFKVLVFCLGSYFSSQETTSSSLAQESSSGSSSMNAFTHGSILNLVNVIERDLGPLSETHNVEVQERAKNVLGFIGMIKQEIAEKLDLQDNETESSRVTAFMEDVFSEEFGPVSATAQEKAGVPDGLELKENLVDLEEICGEFLKPVESESVSYTDKISFSISKLRIRDQQEASSSSSPPDEASSLLAEHRKRHGMFYLTSQKEDPDSNSTHSDYPLANELANEISQDPFNPKRKPNQSKPRPVVVKLDDGDESRITPQAKKNIQTAKDDESLSLAIQSALLVKNKGKEKDRYEGNPNSGQQEKEESSRIENHQNSENKKKKKKKKKNGESSKHNCTNHDGEMKFSLMSE >fgenesh2_kg.1__3969__AT1G48770.1 pep chromosome:v.1.0:1:24850620:24851235:1 gene:fgenesh2_kg.1__3969__AT1G48770.1 transcript:fgenesh2_kg.1__3969__AT1G48770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPERSKRLHNFSLPHLRWGQQRFLRCVNLPSPPPSSSSPDHAATNRSVSIAGGGGGAKNGEVVVAARPWNLRMRRAACSEPGDESAAKIEIGVKKRSIIDNEDGRDNKNEKSKLSISLLRDEIEQDFSIAFGKKPPKRPKKRPRLVQKKLNTIFPGLWLNEEEVTIDSYNGPEAA >fgenesh2_kg.1__3970__AT1G48780.1 pep chromosome:v.1.0:1:24852322:24853080:1 gene:fgenesh2_kg.1__3970__AT1G48780.1 transcript:fgenesh2_kg.1__3970__AT1G48780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICTEALQRISFSSDLGQSDKAPPPVIEPSGLIRRDETLLDSSNSDFEFHISNNFDPGDSSPADEIFADGMILPFHVTAASTVPKRLYKYELPPITSSLSPSPLSPQPLPTKHSEKETNGRASGANSDSEAEKSSKSFWSFKRSSSLNCDIKKSLICSFPRLTRSNSTGSVTNSKRAMLRDVNNHRPSSRSSSSSICCNAYQFRPQKHAGKKGEGGGSFSIIPVLNGPSTFGLGSILRHSKDKTKTKKKNKK >fgenesh2_kg.1__3971__AT1G48790.1 pep chromosome:v.1.0:1:24853991:24858169:-1 gene:fgenesh2_kg.1__3971__AT1G48790.1 transcript:fgenesh2_kg.1__3971__AT1G48790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mov34 family protein [Source:UniProtKB/TrEMBL;Acc:D7KD54] MGSSSEIIDIATSARRIGVDNRISLKFYFRIADNILKQADIFRAEKNVIDLYVMLLRFSSLALETIPSHRDYRTSLKSNKEYLRMRLLDVLTELEKLKPVVQKRIDELYPKLKPRYNVQAHPSNGSLGWSSAVKPSLNSYDPAKVRNPPGHNFGYMGSRGQQFLNAAPLEERFRKMSVNFRPNEETLSKHSILGPGGLSAQWQPPKYDTKVQYPSNIDFSPVVIPSFQQFVDSKPMITNGSNDEPERPIVEPSVASSENIQKNYTEELSSMISFEEPESVNDNNLIRQPSPPPVLAEVQDLVPALCPEVREPECIIENSLPDESLRSESPLELHIATTMMDTFMRLAKSNTKKNLETCGILAGSLKNRKFYITALIIPKQESTSDSCQATNEEEIFEVQDKQSLFPLGWIHTHPTQSCFMSSIDVHTHYSYQIMLPEAVAIVMAPQDSSRNHGIFRLTTPGGMTVIRNCDQRGFHAHSSPEDGGPIYNTCKEVYMNPNLKFDVIDLR >fgenesh2_kg.1__3974__AT1G48830.1 pep chromosome:v.1.0:1:24890443:24891927:-1 gene:fgenesh2_kg.1__3974__AT1G48830.1 transcript:fgenesh2_kg.1__3974__AT1G48830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S7 [Source:UniProtKB/TrEMBL;Acc:D7KD58] MFSFQHKIHKEKGVELSELDEQVAQAFFDLENTNQELKSELKDLYVNSAVQVDISGGRKAIVINVPYRLRKAYRKIHVRLVRELEKKFSGKDVILIATRRIVRPPKKGSAAKRPRNRTLTSVHEAILDDVVLPAEIVGKRTRYRLDGTKIMKVFLDPKERNNTEYKVEAFSAVYKKLTGKDVVFEFPITEA >fgenesh2_kg.1__3975__AT1G48840.1 pep chromosome:v.1.0:1:24892151:24895622:1 gene:fgenesh2_kg.1__3975__AT1G48840.1 transcript:fgenesh2_kg.1__3975__AT1G48840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRTRDVLEGLVKDSSLKWLLGKQSSFDEEIDEIENSPSAGVNWIPELSPVANVVIRRCSKILGVSVSELQDSFKQEASESVKQPSMFPRNFLEYCCFRALALSVGVTGHLSDKNFRRLTFDMMVAWEVPSAASQSLLSVDEDPTVGLEAFSRIAPAVPIIADVIICENLFGMLTSASNSVRLQFYVYDKYLYGLERAIKKMKSQSESSLLSGVRSKGEKILEVDGTVTTQPVLEHIGISTWPGRLILTDHSLYFEAIKVVSFDTPKRYSLSEDLKQVIKPELTGPWGTRLFDKAVSYKSISLPEPVVMEFPELKGHTRRDYWLAIILEVLYVHRYIKKYKINTSVAKDEAISKAVLGILRVQAIQEIGLTNPVRYGNLLPFNLCDQLPGGDRILETLAEMSSSRVVDRTNKAKEGTLHSISASDMLSQLGLVFGATSPKSRSSLVVGEVMVGDVNPLEKAVKQSRKNYEKVVLAQETVNGVKVDGIDTNVAVMKELLLPVIEIGNWLLSLAYWEDPLKSFVFCLFSTFIIYRGWIGYVFAIASLFIAGFMVLTRYFSNREKVMIELKVIAPPPMNTMEQLLAVQNAISQLEQLIQDANIVLLKFRALLLSLFPQASEKFAVAIVIAASMMALVPWNNLILVVFLELFTRYSPPRRASTERLMRRLKEWWFSIPAAPVVLEQSKDDNKKTK >fgenesh2_kg.1__3979__AT1G48860.1 pep chromosome:v.1.0:1:24925007:24927763:-1 gene:fgenesh2_kg.1__3979__AT1G48860.1 transcript:fgenesh2_kg.1__3979__AT1G48860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoshikimate 1-carboxyvinyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KD66] MASSLTSKSILGSTKPGSSSLPSELRRLSSPAVQISIRTQTRKNFQIQASGSSEKKSGMMLNGSEIRPVKVMASVSTAEKSSEIVLQPIREISGLIKLPGSKSLSNRILLLAALSEGTTLVDNLLNSDDINYMLDALKKLGLNVETDSENNRAVVEGCGGIFPASIDSKSDIELYLGNAGTAMRPLTAAVTAAGGNASYVLDGVPRMRERPIGHLVVGLKQLGADVECTLGTNCPPVRVNANGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIVDKLISVPYVEMTLKLMERFGVSAEHSDSWDRFFVKGGQKYKSPGNAYVEGDASSASYFLAGAAITGETVTVEGCGTTSLQGDVKFAEVLEKMGCKVSWTENSVTVTGPSRDAFGMRHLRAIDVNMNKMPDVAMTLAVVALFADGPTTIRDVASWRVKETERMIAICTELRKLGATVEEGSDYCVITPPKKVKPTEIDTYDDHRMAMAFSLAACADVPITINDPGCTRKTFPDYFQVLERITKH >fgenesh2_kg.1__3982__AT1G48880.1 pep chromosome:v.1.0:1:24947177:24948965:1 gene:fgenesh2_kg.1__3982__AT1G48880.1 transcript:fgenesh2_kg.1__3982__AT1G48880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFTKSSSFNRRALSSLAIESPRNSSSSVFTSPIGSAFASPRSQNFGGSPRPSTNRLKEISYLFQVLIIAGTIVSFLVIIAGGYLYVVPSLGQTFLGYNGALEFNSSVAGDKECDIFDGNWVVDDNYPLYNASECPFVEKGFNCLGNGREHDEYLKWRWKPKHCTVPRFEVSDVLERLRGKRIVFVGDSMSRTQWESLICMLMTGLEDKRSVYEVNGNNITKRIRFLGVRFSSFNFTVEFYRSVFLVQPGRLRWHAPKRVKSTLKLDVLDVINHEWSSADFLIFNTGQWWVPGKLFETSCYFQVGNSLRLGMSISAAYRVALETWASWIENTIDPNKTRVLFRTFEPSHWSDHRSCNVTKYPAPDTEGRDKSIFSEMIKQVVKNMTIPVSILDVTSMSAFRSDGHVGLWSDNPLVPDCSHWCLPGLPDIWNEILLFFLLSRQVQ >fgenesh2_kg.1__3984__AT5G28667.1 pep chromosome:v.1.0:1:24958845:24959853:-1 gene:fgenesh2_kg.1__3984__AT5G28667.1 transcript:fgenesh2_kg.1__3984__AT5G28667.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KD72] METRYGLQPTLNVSIEESVAMFLRICGHNEVQRDVGLRFFEVLRATELLACDYIKTPTRQELRRIPEKLQMDRRYWPYFSGFVGAI >fgenesh2_kg.1__3985__AT3G32904.1 pep chromosome:v.1.0:1:24960751:24962035:-1 gene:fgenesh2_kg.1__3985__AT3G32904.1 transcript:fgenesh2_kg.1__3985__AT3G32904.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KD73] MGNYRFKDPTPLGREFIVDKFNKEFNLNINYRFFKEKLDQLKRKYKKYKHLMKDSTGISVDTTTSVISASNSWWKEREPQFSVNQRREQLRNDGLDNDEGHVYFETYDGDMQDSQVPETQENEEIPTSRIQQRGGVRRGSSSSGSRGSRRKQSFETTLTDTITGFREFQRQSLQQLRPNFFDEADYNEFDMAVKIFESMALPNDTDFYWACMHAFKEERFWRKYFIDRAERTIEDKLKFLQALTGYTPDSEYVGKRQWGPGIQQWGTPPTGPQWNSPSNVPQWGMPPA >fgenesh2_kg.1__398__AT1G04610.1 pep chromosome:v.1.0:1:1547081:1549262:1 gene:fgenesh2_kg.1__398__AT1G04610.1 transcript:fgenesh2_kg.1__398__AT1G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7KE57] MYGNNNKKSINISSMFQNLIPDGSDIFSRRCIWVNGPVIVGAGPSGLAVAAGLKREGVPFIILERANCIASLWQNRTYDRLKLHLPKQFCQLPNYPFPDEFPEYPTKFQFIQYLESYAANFDINPKFNETVQSAKYDETFGLWRVKTISKMGQLGSCEFEYICRWIVVATGENAEKVVPDFEGLEDFGGDVLHAGDYKSGGRYQGKKVLVVGCGNSGMEVSLDLYNHGANPSMVVRSAVHVLPREIFGKSTFELGVTMMKYMPVWLADKTILFLARMILGNTDKYGLKRPKIGPLELKNKEGKTPVLDIGALPKIRSGKIKIVPGIIKFGEGKVELVDGRVLEIDSVILATGYRSNVPSWLKDNDFFSDDGIPKNPFPNGWKGEAGLYAVGFTRKGLFGASLDAMSVAHDIANRWKEESKQQKKTAAARHRRCISHF >fgenesh2_kg.1__3991__AT1G48920.1 pep chromosome:v.1.0:1:25003154:25006657:1 gene:fgenesh2_kg.1__3991__AT1G48920.1 transcript:fgenesh2_kg.1__3991__AT1G48920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKSATKVVAAPAATKPLKKGKREPEDDVDTKVSLKKQKKDVIAAVQKEKAVKKVPKKVESSDSSDSESEEDEKTKKVPAKKAASSSDDSSDDSSSDDEPASKKAVAATNGTVAKKSKDDSSSDDDSSDEEVAATKKPAAAAAKKAKAESSSEDDSSSEEEPAKKPAAKTAKPAAKDSSSSDEDSDDDSEDEKPATKKAAPAATKAASTSDSSEEDSDEESEDEKPTQKKADTKTAKKESSSDDSSESEESESEDEKETPMKKSSDVEMVDAEKSSAKQPKTPSTPAAGGSKTLFAANLSFNIERSDVENFFKEVGEVVDVRFSTNRDDGSFRGFGHVEFASSEEAQKALEFHGRPLLGREIRLDIAQERGERGERPAYTPQSGNYKSGGDGGDEKKVFVKGFDSSLSEDDIRNALTEHFSSCGEIKSVSVPMDRDTGNSKGIAYVAFSEGKEKALELNGSDMGGWSLVVDEPRPRDNSGGGFGRGNGRFGNSGGRGRDGGRGRFGNSGGRGRDGGRGRFGSGGGRGRDGGRGKPSFSGKKTTFGDYE >fgenesh2_kg.1__3992__AT1G48930.1 pep chromosome:v.1.0:1:25006701:25009883:-1 gene:fgenesh2_kg.1__3992__AT1G48930.1 transcript:fgenesh2_kg.1__3992__AT1G48930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7KDY2] MRKYGGSLFGLSLLLTVLLAAATAAAEYYNYGNALDKTFLFFEAQRSGKLPAAQRVKWRSHSGLKDGLAQGVSLEGGYYDAGDHVKFGLPMAFAVTMLSWAAVDNRKELSSSNQMQQTLWSIRWGTDYFIKAHPQPNVLWGQVGDGESDHYCWERPEDMTTSRTAYKLDQYHPGSDLAGETAAALAAASLAFKPFNSSYSALLLTHAKELFSFADKYRGLYTDSIPNAKAFYMSSGYSDELLWAAAWLHRATGDQYYLKYAMDNSGYMGGTGWGMKEFSWDNKYAGVQILLSKILLEGKGGVYTSTLKQYQTKADYFACACLKKNGGYNIQTTPGGLMYVREWNNLQYASAAAYLLAIYSDYLSAANAKLNCPDGLVQPQALLDFARSQADYILGKNRQGMSYVVGYGPKYPIHVHHRGASIPSIFVQRSFVSCVQGFDSWYRRSQADPNVIYGALVGGPDENDNYSDDRSNYEQSEPTLSGTAPLVGLFAKLYGGNLGSYGGGSYKPYVTTKPPVISYKATPTTYSPKQSGAQIEFLHSITTNWMAGNTRYYRHKVIIKNNSQKPISDLKLKIEDLSGPIWGLNPTGQKYTYQLPQWQKTLRPGQAYDFVYVQGGPQAKVSVLSYN >fgenesh2_kg.1__3993__AT1G48940.1 pep chromosome:v.1.0:1:25011213:25011834:-1 gene:fgenesh2_kg.1__3993__AT1G48940.1 transcript:fgenesh2_kg.1__3993__AT1G48940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQKIVLLSIFVMFNVFSLVSCTEFEAGGENGWTIPQSSNQSDMFNQWASKNRFKVGDTIRFKYKKDSVLVVTEDEYKKCQTTKPKLYSNHDDTVFKLDRPGLFYFISGVSGHCEKGQKMIIKVMEVESPPQSPPPSSSLAASSTHKKNHAVRKTSRFLGAGLITISILALTVFSVV >fgenesh2_kg.1__3994__AT1G48950.1 pep chromosome:v.1.0:1:25012309:25015605:1 gene:fgenesh2_kg.1__3994__AT1G48950.1 transcript:fgenesh2_kg.1__3994__AT1G48950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7KDY4] MAQDSEKRFHQIMDKLFTPSKSQLPSSSSSSVEQQSRGKKRPNPSSALALVEPKTVLATIDRSSALKVPAGTSPSGLCRPWDRGDLMRRLATFKSMTWFAKPQVISAVNCARRGWVNDDADSIACESCGAHLYFSAPSSWSKQQVEKAASVFSLKLDSGHKLLCPWIENSCEETLSEFPSMTPQDLVDRHEERSDALLQLLALPVISPSAIEYMRSSDLEEFLKRPIAPASSVTAAESSQTESLINHVGASPAQLFYQAQKLISLCGWEPRALPYIVDCKDKSSETVRGTDTIDLLPETATRELLSISDSTPIPDGVSGNNVNPTLPDTLNSDPSSVVLDCKLCGACVGLWVFSTVPRPLELCRVTGDTEISTEKHPRGGTLQNQPSSLKFTIAGGPPATKQNFKATISLPIIGRNLRSRFASYSRDHDHGDVSSIQDQQSKTAENNGDVTQNSDQVMNDIGEKADGGRNSADIESDIALQNKDKQMMVERSNLPDNSKPKDSTAGKSVTSNKQMEFDPIKQHRHFCPWIWSTGRRGPGWRQTLSALQRHKGSCQTPPTPSSLFKVDDPLTSVRNLFKSPSPKKRKLNGGSSS >fgenesh2_kg.1__3995__AT1G48960.1 pep chromosome:v.1.0:1:25017748:25018997:1 gene:fgenesh2_kg.1__3995__AT1G48960.1 transcript:fgenesh2_kg.1__3995__AT1G48960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein family protein [Source:UniProtKB/TrEMBL;Acc:D7KDY5] MGDVRTIVVVVEDKQAARTALQWALHNLLRQGDVIVLLHVYSPPPRKKKSTAARLLRRHGYNLALSFREICDAFFNTNTEIIVREGDDDGRMIAQVVKEIGASMLLVGLHQNSFLYRWALSGIDVARNFNCKVMAIKQPSPELSNPVKVKGYKTSQATATATSDSLTNFDFSQIEISGLQVPEIPTPPKVPYRLCPSPHAILWRTRPRRRSKDQYGVVS >fgenesh2_kg.1__3997__AT1G48990.1 pep chromosome:v.1.0:1:25057282:25057972:1 gene:fgenesh2_kg.1__3997__AT1G48990.1 transcript:fgenesh2_kg.1__3997__AT1G48990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRTNPSSHTQHRPIYNSTTVPRSNNTTTNHPLSSLLRQLLQSQSPNHSGQLFGFLAFFISGGILLLLTGITVTASVLGFIAFLPLIIITSPIWIPLFLVVTGFLSVAGSLFATGAVVLWLYRYFKGMHPLGSDQVDYARSRIHDTAAHVKDYAGGYFHGTLKDAA >fgenesh2_kg.1__3998__AT1G49000.1 pep chromosome:v.1.0:1:25060674:25061280:-1 gene:fgenesh2_kg.1__3998__AT1G49000.1 transcript:fgenesh2_kg.1__3998__AT1G49000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTPEHNSPSSHKRLSVSFLVSMMVLCARHANRLSKKLKLKSKKRTHSGGEGGGERFRWNMISSSMSSPRPKELFTALSNKAMTMVRREKTAEKKAVAVEEEHGLWQKEILMGGKCEPLDFSGVIYYDCNGRLLNEVPPRSPRGTLLPSYPTRS >fgenesh2_kg.1__3999__AT1G49005.1 pep chromosome:v.1.0:1:25066838:25067140:-1 gene:fgenesh2_kg.1__3999__AT1G49005.1 transcript:fgenesh2_kg.1__3999__AT1G49005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQPKPCSFLFHIALLISALFVFLLISFAFTTSYKHKSGINSLGHKRILASNFDFTPFLKSKDRTQWQRQSQSLTGKETVSWYNDEERLVPSGPNPLHH >fgenesh2_kg.1__399__AT1G04620.1 pep chromosome:v.1.0:1:1550351:1554234:-1 gene:fgenesh2_kg.1__399__AT1G04620.1 transcript:fgenesh2_kg.1__399__AT1G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coenzyme F420 hydrogenase family [Source:UniProtKB/TrEMBL;Acc:D7KE58] MITVTSKLSLLPPCFSVVNTSSSRSKDMNTEPKKKVKLREDWREKSKPIPPGGTYPAKDHCSRCGLCDTYYIAHVKEACAFLGDGMSRIESLEPVVHGRGRKPDSLEDTYFGVHQEQLYARKLKPVEGAQWTGIVTTIAIEMLKSNMVEAVVCVQSDPEDRLSPRPVLARTPEEVLAARGVKPTLSPNLNTLELIEASGVKRLLFCGVGCQVQALRSVEQHLNLEKLYVLGTNCVDNGTRDGLDKFLKAASKEPETVLHYEFMQDYKVQLKHLDGHIEEVPYFSLPANDLVDVIAPSCYSCFDYTNALADLVIGYMGVPKYSGLNMTDHPQYITVRNERGKEMLSLVENLLEITPTISSGDRRPFVTETVKADDNAKFGRGPAQPAPIFVGNIIAFILSLVGPKGLEFARYSLDYHTIRNYLHVNRKWGKQRANIHMPSYAKKIVEMYNKNGHIDKMLSRK >fgenesh2_kg.1__3__AT1G02180.1 pep chromosome:v.1.0:1:9512:10567:1 gene:fgenesh2_kg.1__3__AT1G02180.1 transcript:fgenesh2_kg.1__3__AT1G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGISFLKSILLFLSLSLVLASSKLHGNPAHEMVGVLNQNRTAQKLGKLNESPGLGCMALQYVELCEGNCNVNNTLSCDPPEDDFTQVFAPNCGVELPTFGTITGHILGCSSKYAAPEVTFSDILFRDNKALSVLRNRSHTEVGVGMARLHKGTFFWCLLFSDGVTNSSFALEDNGRGIKQRKGCYSGSAFPCSSNAYMMCMRLLNSFLGLVFSSFCLFEKHLKS >fgenesh2_kg.1__4001__AT1G49040.1 pep chromosome:v.1.0:1:25076954:25086823:-1 gene:fgenesh2_kg.1__4001__AT1G49040.1 transcript:fgenesh2_kg.1__4001__AT1G49040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stomatal cytokinesis-defective 1 [Source:UniProtKB/TrEMBL;Acc:D7KDZ7] MGRIFEYFVVCGLGPEIRTVDGDLGFHGMQTFYLPALLDQFPPTDQSPYPAPPPQLPTCVLPAGVEFHSSGFVSSDPASFPRSYPIVLTEGDGSKIFVSCIAFRDRVCEDIIEAYRLPPNTYADKCICLVSHAPNFRVLRNSLEEIFVLCFSSEGSCKPLWDIITYMVSNVPLPTPGKDRVLFAVENCLLSVEAPPEDSLPQADISLQPLVQCLDVDNLIKLFTSVLVERRILIRSNKYSLLTLVSESICHMIYPFRWQQVYIPLLFFSGVDYIDAPTPYMMGLHSDVDTSYLAMEGVVVVDLDFNQITTSEEIPPIPEPEFSALRNDILKLLHPNVVGIDQLKGFGNSVEQSPKSLSKPWGEDHDLQLRVIFLKFFASILGGYRNFIENKVFSSDAFLKRRSRTTNQPPEPMLVQFLGSFAFLDYLERRLSSDENSTNLLEKLQDAVGRGQDAMSILPKSSMEPEIITIAEPEVEESATRYTYDRFPANVRSEEQEEKRKQILAAASGALESNGRHPPSSPPGKNTKEDNFSSMERAAERERMVLDIQVKLQGLWLRLLKLGSDEDPLSSFEYGTILALIESDAEGIGGSGFIECIREHLYSGWHGRLTEEQFIAVKELLKMAVGRAASRSDLSTVRDALEVSAEMFKKDANNVSDYVQRHLISIPIWEELRFWEGYFEYLMEQPANESVNYATLVTARLIIVASHMAGLGLPDTEAWNMIETIAEKQKLGYKLLIKLRGFLSHVQQLRVGYWGASSFKQQAISSGLPSPRPKDVSVSDETQQPSEASGRSWVQSMFSRDTASRANSFSRVRKWVSDNASSDIAAAAQKKIQTNVRVLKGHGGAVTALHSVTRREVCDLVGDREDAGFFISGSTDCLVKIWDPSLRGSELRATLKGHTGTVRAISSDRGKIVSGSDDQSVIVWDKQTTQLLEELKGHDSQVSCVKMLSGERVLTAAHDGTVKMWDVRTDMCVATVGRCSSAILSLEYDDSTGILAAAGRDTVANIWDIRSGKQMHKLKGHTKWIRSIRMVEDTLITGSDDWTARVWSVSRGSCDAVLACHAGPVQSVEYSPFDKGIITGSADGLLRFWENDDGGIKCVKNITLHSSSILSINAGENWLGIGAADNSMSLFHRPSSAGTKVSGWQLYRVPQRTAAVVRCVASDLERKRICSGGRNGVLRLWDATINI >fgenesh2_kg.1__4004__AT1G49050.1 pep chromosome:v.1.0:1:25089959:25092621:1 gene:fgenesh2_kg.1__4004__AT1G49050.1 transcript:fgenesh2_kg.1__4004__AT1G49050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7KDZ8] MEPDLHEQQRLHSVVIITLPPSDDPSQGKTISAFTLNDHDYPLQIPPEDNPNPSFQPDPLHQNQQSRLLFSDLSMGSPRLVLGLLGFSLLAVAFYASVFPNSVQMFRVSDERNRDDDSSRETTSFVFPVYHKLRAREFHERILAEDLGLENGKFVESMDLELVNPVKVNDVLSTSAGSIDSSTTIFPVGGNVYPDGLYYTRILVGKPEDGQYYHLDIDTGSDLTWIQCDAPCTSCAKGANQLYKPRKDNLVRSSEPFCVEVQRNQLTEHCESCHQCDYEIEYADHSYSMGVLTKDKFHLKLHNGSLAESDIVFGCGYDQQGLLLNTLLKTDGILGLSRAKISLPSQLASRGIISNVVGHCLASDLNGEGYIFMGSDLVPSHGMTWVPMLHHPHLEVYQMQVTKMSYGNAMLSLDGENGRVGKVLFDTGSSYTYFPNQAYSQLVTSLQEVSDLELTRDDSDEALPICWRAKTNSPISSLSDVKKFFRPITLQIGSKWLIISKKLLIQPEDYLIISNKGNVCLGILDGSNVHDGSTIIIGDISMRGRLIVYDNVKQRIGWMKSDCVRPSEFDHNVPFFQG >fgenesh2_kg.1__4006__AT1G49110.1 pep chromosome:v.1.0:1:25130724:25131578:1 gene:fgenesh2_kg.1__4006__AT1G49110.1 transcript:fgenesh2_kg.1__4006__AT1G49110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEAGIEAVVEVQRSEKLKRRASVKGRTVAESAMIRAFNAQFAEYSSELKKARAALEKESDTGVRIRDKYWPVSTFDVAINIIHEESVLDFCRKEEVKCGLYKYVEGLIERKTVSFALREADNLRAVLKEMESFEVPVSSMDGNCLVSDKSKDIGSVLGHGGESSSKRERRLTCTKKDIGPVLGHGR >fgenesh2_kg.1__4007__AT1G49120.1 pep chromosome:v.1.0:1:25133319:25134278:1 gene:fgenesh2_kg.1__4007__AT1G49120.1 transcript:fgenesh2_kg.1__4007__AT1G49120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFREETIDLNLIKTVNIICNDPDATDSSSDDESISGNNPRRQIKPKPPKRYVSKICVPTLIKRYENVSNSTGNKVAGNRKSSSGFKGVRRRPWGKFAAEIRNPFEKKRKWLGTFPTEEEAAEAYQRSKREFDERLGLVKPERDVTRPCGVRKPEEKEVTDKSKCKKVNKRIVTETPFGCGYNADEEEGVIGKMLEDPLMTSSIADIFRDSVVEADDIWVDYNSVEFNSILDDLKLDFVENIDSVGMEKTFGFKIGDNTKVNQHTKIVSTIADIFDDPLLDDFKLEDFPMDDFGLLGDPEDDDYSWFNGTTDWIDKCL >fgenesh2_kg.1__400__AT1G04630.1 pep chromosome:v.1.0:1:1554512:1555942:-1 gene:fgenesh2_kg.1__400__AT1G04630.1 transcript:fgenesh2_kg.1__400__AT1G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAVIRNKPGMASVKDMPLLQDGPPPGGFAPVRYARRISNTGPSAMAIFLTVSGAFAWGMYQVGQGNKIRRALKEEKYAARRAILPILQAEEDERFVSEWKKYLEYEADVMKDVPGWKVGENVYNSGRWMPPATGELRPDVW >fgenesh2_kg.1__4010__AT1G49140.1 pep chromosome:v.1.0:1:25137411:25138779:-1 gene:fgenesh2_kg.1__4010__AT1G49140.1 transcript:fgenesh2_kg.1__4010__AT1G49140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKGLPEFEESPPDGFDPENPYKDPVAMVERREHIVREKWIQIEKAKILREKVKWCYRVEGVNHYQKCRHLVQQYLDATRGVGWGKDHRPISLHGPKPVAVEEAE >fgenesh2_kg.1__4012__AT1G49160.2 pep chromosome:v.1.0:1:25147436:25150290:-1 gene:fgenesh2_kg.1__4012__AT1G49160.2 transcript:fgenesh2_kg.1__4012__AT1G49160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEDASAIVEPPDPEVLEIDPTCRYIRYKEVIGKGASKTVFKGFDEVDGIEVAWNQVRIDDLLQSPDCLERLYSEVRLLKSLKHKNIIRFYNSWIDDKNKTVNIITELFTSGSLRQYRKKHRKVNMKAVKCWARQILTGLKYLHSQDPPIIHRDIKCDNIFINGNHGEVKIGDLGLATVMEQANAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLEMVTFEYPYCECRNSAQIYKKVSSGIKPASLSKVKDPEVMKFIEKCLLPASERLSAEELLLDSFLNVNGLVMNNPLPLPDIVMPKEGSFGERCLMSEGPPNARNRPMSMNLDEDNNLPIVISSNNSGSKCIEVRRAKRGNFFVLKGEENDENSVSLILRIVDENGRVRNIHFLFFQEGDTASNVSSEMVEQLELTDQNVKFIAELIDVLLVNLIPNWKTDVTVDHLIHPQQNQSSKDNHQNGANSQDGESISHSLSSDYCPRSDDEANPTVAATREDQEAEKPGSLEEEEEDERLKEELEKIEERFREEMKEITRKREEATMKTKNRFFEKKMQQVE >fgenesh2_kg.1__4014__AT1G49170.1 pep chromosome:v.1.0:1:25151625:25152713:-1 gene:fgenesh2_kg.1__4014__AT1G49170.1 transcript:fgenesh2_kg.1__4014__AT1G49170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKKGKKTKSAAESTTVTESSIFPACLRLLTPSSVAITIHAKPGSKAASITDVSDEAVGVQIDAPARDGEANAALLEFISSVLGVKRRQVSLGSGSKSRDKVVIVEDMTQQSVFQALSQASKPT >fgenesh2_kg.1__4015__AT1G49190.1 pep chromosome:v.1.0:1:25161700:25163756:1 gene:fgenesh2_kg.1__4015__AT1G49190.1 transcript:fgenesh2_kg.1__4015__AT1G49190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGKISGYEDNTRSLERETSEITSLLSQFPGNTNVLVVDTNFTTLLNMKQIMKQYAYQVTIETDAEKALAYLTSCKHEINIVIWDFHMPGIDGLQALKSIGSKMDLPVVIMSDDNQTESVMKATINGACDYVVKPVKEEVIANIWQHIVRKILMSKPDVVPPVQSDLAQSDGLDQGQDKADFKIVDEEPNINEKPLITRTEEIQPVQSDLVQVDEVGQLNDYSKIMNQDNMFNKAATKPRLTWTGVIQPVQSDLVQVNKFDQLNDYSQIMNQDNMFNKAAKKPRLTWTDVFQPVQSYLVQTAGLDRDYHDSITINGGNGRQNTEKKEAKKPRKPRMTWTEDLHQKFLEAIEIVGGIEKANPKVLLDCLLEMKVEGITRSNVASHLQKHRINLEENQIPEETQEDGWSTAYNRPAPSLQGSDNVNTTISPYLMNGRAVNQIQQNQYQNGYLTMNNNQVITNPLPPLPYLDHQILHQHQQQQYPSSPQFNYLMSNEEPQQASGIYATDLELTYPSLPYDPQEHLIKGYNYN >fgenesh2_kg.1__4016__AT1G49200.1 pep chromosome:v.1.0:1:25169209:25170126:1 gene:fgenesh2_kg.1__4016__AT1G49200.1 transcript:fgenesh2_kg.1__4016__AT1G49200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KE15] MAANELASSSAQAFQEQSLGGFVSRKLLLHNPFDHNTQRAFAVAPSPLMTHENNLSGNVLMLLSILICGIICCLGLHYIIRCAFRRTSSFMISEPISGLSTPCGSSNKGIKKKALRMFPVVSYSPEMNLPGLGEECVICLSDFVSGEQIRMLPKCHHGFHVRCIDKWLQQRLTCPKCRHCLVETCQKILGDFSQADQVAATPTESVIVMISPLEPEGRVNTLRESS >fgenesh2_kg.1__4017__AT1G49210.1 pep chromosome:v.1.0:1:25183327:25184109:1 gene:fgenesh2_kg.1__4017__AT1G49210.1 transcript:fgenesh2_kg.1__4017__AT1G49210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KE16] MSVNELPSSAQYFQEGFLGDFVSRKLLLHDPFDHNSLRVLAVAPSPLITHENNLRGNVLMLLSVLICGIICCLGLHYIIRCAFRRSSRFMISEPISSLPTPRGSSDKGIKKKALRMFPVVSYSREMNLPGLGEECVICLSDFVSGEQLRLLPKCNHGFHVRCIDKWLRQHLTCPKCRHCLVETCQKILGDFSQADSMAATPTESVIVRIAPLEPEGRVNTFRESS >fgenesh2_kg.1__4018__AT1G49220.1 pep chromosome:v.1.0:1:25193315:25193996:1 gene:fgenesh2_kg.1__4018__AT1G49220.1 transcript:fgenesh2_kg.1__4018__AT1G49220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KE17] MSAYELPSSAQAFQEQLTGGFVSRKLLLHNPFDHNTQRDFAVSPSPLITHVNNLRGNVLMLLSILICGIICCLGLHYIIRCASRRSSRFMISEPIPSHPTTRGSSNKGIKKKALRMFPVVSYSPEMNLPGLGEECVICLSDFVSGEQLRLLPKCNHGFHVRCIDKWLQQHLTCPKCRHCLVETCQKILGDFSQADSVAAEPTEIVIVMIAPLEPEGRVNTCREIS >fgenesh2_kg.1__4019__AT1G49240.1 pep chromosome:v.1.0:1:25216469:25218843:1 gene:fgenesh2_kg.1__4019__AT1G49240.1 transcript:fgenesh2_kg.1__4019__AT1G49240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSVVGRPRHHGVMVGMNQKDAYVGDEAQSKRGILTLKYPIEHGVVSNWDDMEKIWHHTFYNELRIAPEEHPVLLTEAPLNPKANREKMTQIMFETFNSPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGFSLPHAILRLDLAGRDLTDYLMKILTERGYMFTTTAEREIVRDIKEKLSFVAVDYEQEMETSKTSSSIEKNYELPDGQVITIGAERFRCPEVLFQPSFVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFSGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDEAGPGIVHRKCF >fgenesh2_kg.1__4020__AT1G49245.1 pep chromosome:v.1.0:1:25219517:25220067:1 gene:fgenesh2_kg.1__4020__AT1G49245.1 transcript:fgenesh2_kg.1__4020__AT1G49245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSSSSSGREFELEKEIKRQEVSLDELSSLSSSRSVYQKNGNLFFLTSTEKAKTSAQKQLDYAKSEINKIRSQT >fgenesh2_kg.1__4026__AT1G49320.1 pep chromosome:v.1.0:1:25283622:25329417:1 gene:fgenesh2_kg.1__4026__AT1G49320.1 transcript:fgenesh2_kg.1__4026__AT1G49320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KE28] MASTFRLSISFLTLLLFSLWVVEAHTSRKLISIKENEGQDISHLPKDGEFDDPSLYMYFTLNDLKLGTKLLIYFYKNDLQKLPPLLTRQQADLIPFTKSKLDFLLDHFSITKDSPQGKAIKETLGYCDAKAIEGEHKFCGTSLESLIDLVKKTMGYNVDLKVMTTRVMVPAHNSISYALHNYTFVEAPKELVGIKMLGCHRMLYPYAVYYCHGHKGGSRVFEVNLVTDDGRQRVVGPAVCHMDTSTWDADHVAFKVLKMEPRSAPVCHFFPLDNIVWVTK >fgenesh2_kg.1__4028__AT1G49340.2 pep chromosome:v.1.0:1:25344009:25356571:1 gene:fgenesh2_kg.1__4028__AT1G49340.2 transcript:fgenesh2_kg.1__4028__AT1G49340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-kinase [Source:UniProtKB/TrEMBL;Acc:D7KE30] MEALTELCDIIAENPKQFSEKLAWICGRCPQTEWLLADSPRVSRSHLNAVLAVARIISKNPESIDNRAKSVVNEFLSAIPASFRRSFWPHSFPSQSISSFYCDFLSYLSCAADLSPEFGTEVARFTGEVVIAAIAPSSGVSDGDPAISKAFLVALSQNFPSILQSDGDKLILMLLDQFVVNRAPASPKDQRQQNSANSETETSSSQGSSPGDDGTSHGSNVSSKSSSSVVVDGGSIVWKIGVDQLSFGFSEGSGGANPVFRQLVASFEDESIESLEKQEIAFRLITHILEKVKIDSKLQDQVRIIAKRKLQTMSAFLKSTKRDWNEQGPVLKTRVNAMLSVYQAAAKMKIKSLVSLETDGKTSKRLVLETLALLLDAADACLTSVWRKMKACEELFDSLLSGIAKIAVARGGQPLRGLLIRLKPLVLAVCAKPDTWVGNQGAMLESVFKTSCEIIESAWAKDRAPVDNFISGLASSIRERNDYEEQVDREKQVPAVQLNVIRLLADLNVAVKKPEVADMILPLFIESLEEGDASSPSFLRLQLLDAVSRIATLGFDKSYRETVVLMTRSYLSKLSSVGSVESKTSAPEATTERVETLPAGFLTIASGLMDTKLRSDYRHRLLSLCSDVGLAAESKSGGSGVDFLGPLLPAVAEICSDFDPTTDVEPSLLKLFRNLWFYIALFGLAPPIVKTPTPPLKSTSNSVNSVGSMSATALQAVGGPYLWNTQWALAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGNGNEKVASTQRLALSTALGGRVDVAAMNTISGVKATYLLAVAFLEIIRFISNGGILNGDSSVSASRSAFSCVFEYLKTPNLTPAVSQCLTAIVHRAFETAVSWLEDRISLTGKDARNRELTTYAHACFLIKSMSQRDEHVRDISVNLLTQLRDKFPQVLWHSSCLDSLLFSVHDNTPSTVVNDPAWTAAVRSLYQKVVREWIIISLSYAPCTSQGLLQDKLCKANTWQRAQTTTDVVSLLSEIKIGTGKNELWSGIRTANIPAVMAAAAAASGANLKVSEAFNLEVLGTGVVSATVKCNHAGEIAGMRRLYNSIGGFQSGSTPSGFGGGLQRLISGAFSQAPQPEDDSFNEMLIARFVRLLQQFVNTAEKGGEVEKSQFRETCSQATALLLSNLGGESKTNVEGFSQLLRLLCWCPAYISTPDAMETGIFIWTWLVSAAPQLVSLVLAELVDAWIWTIDTKRGLFASDVRYSGPAAKLRPHLSPGEPEDPPESDPVEQIVAHRLWLGFLIDRFEVVRHNSAEQLLLLGRMLQRSTDLDWCFTRHPAAAGTFFSLMLLGLKFCSCQTQGNMQKFRSGLQLLEDRIYRTSLGWFAHQPEWYDVNIPNFCQSEALSVSVFVHFLSNELSESSQSDSKGKPRESGNLIDVTDQYHPVWGEMDNYTVGKEKRKQLLLMLCQHEADRLDVWAQPISSKDSPYSRLKISSEKWTEYAKTAFAVDPRIALSVASRFPANASVKSEVTQLVQTNIVDLRTIAEALPYFVTPKNVEENSVLLQQLPHWAACSITQALEFLTPAYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQSLRYDEGRLVEGYLLRATQRSDIFAHILIWHLQGENVQETPKDGSIDKNAAFQEILPVVRQHIIDGFSPNALDMFTREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKIEMQGDDLYLPTAPNKLVRGIRVDSGIPLQSAAKVPIMITFNVVDRDGDHNDVKPQACIFKVGDDCRQDVLALQVISLLRDIFQAAGLNLYLFPYGVLPTGAERGIIEVVPNTRSRSQMGETTDGGLYEIFQQDYGPVGSTTFETARENFLISSAGYAVASLLLQPKDRHNGNLLFDDVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSKTWHQFVSLCVKGYLAARRQMDGIISTVQMMLESGLPCFSRGDPIGNLRKRFHPEMSEREAAHFMIHVCTDAYNKWTTAGYDLIQYLQQGIEK >fgenesh2_kg.1__4029__AT1G49350.1 pep chromosome:v.1.0:1:25357557:25359659:1 gene:fgenesh2_kg.1__4029__AT1G49350.1 transcript:fgenesh2_kg.1__4029__AT1G49350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-type carbohydrate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KE31] MEPVIIGALILDVHAKPCTAPISGTTVPGQVLFAPGGVARNVAECIFKLGITPFMIGTLGLDGPANVLLKEWKLSMTGILRREDISTPIVSLVYDINGEVAAGVAGVDAVEKFLTPEWIQRFEYNISSAPLLMVDANLSTLALEASCKLAAEFNVPVWFEPVSVTKSQRIASIAKYVTIVSPNQDELITLANALCAKKIFHPFRLEENNLSIEDMFCTLKPAILVLLDNDVKVVIVTLGSNGALLCSKGNPKMALNLNRKFPRSGEIFKRVQSVCSPNRFSELGSNRSPSLFAMHFPTIPAKVKKLTGAGDCLVGGTVASLSHGLDLIQSLAVGIASAKAAVESDDNVPPEFKLDLIYDDAELVYNGAKMLMVHQSML >fgenesh2_kg.1__4030__AT1G49380.1 pep chromosome:v.1.0:1:25369738:25372347:1 gene:fgenesh2_kg.1__4030__AT1G49380.1 transcript:fgenesh2_kg.1__4030__AT1G49380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein family [Source:UniProtKB/TrEMBL;Acc:D7KE35] MIVTLNPNILHFSKLHSFSRSSSYFYRTRNVSLTTNCKLQKPQNGNQRSSSNGNLTKTISLSDSAPPVTEETVGEVSGDGGGNGGGGGGGGDGRGGLGFLKILPRKVLSVLSNLPLAITEMFTIAALMALGTVIEQGETPDFYFQKYPEDNPVLGFFTWRWISTLGLDHMYSAPIFLGMLVLLAASLMACTYTTQIPLVKVARRWSFMKSDEAIKKQEFADTLPRASIQDLGMILMGDGFEVFMKGPSLYAFKGLAGRFAPIGVHIAMLLIMVGGTLSATGSFRGSVTVPQGLNFVMGDVLAPVGFFSIPTDAFNTEVHVNRFTMDYYDSGEVSQFHSDLSLRDLNGKEVLRKTISVNDPLRYGGVTVYQTDWSFSALQVTKDGEGPFNLAMAPIKINGDKKLYGTFLPVGDTNAPNVKGISMLARDLQSIVVYDLEGKFAGIRRPNSKLPIEINGMKIVIEDAIGSTGLELKTDPGVPVVYAGFGALMLTTCISYLSHSQIWALQNGTTLVVGGRTNRAKNQFPDDMNRLLDQVPELIKKNTSVVSEQS >fgenesh2_kg.1__4031__AT1G49390.1 pep chromosome:v.1.0:1:25372704:25373992:1 gene:fgenesh2_kg.1__4031__AT1G49390.1 transcript:fgenesh2_kg.1__4031__AT1G49390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7KE36] MEKPKFKTVQEVVAAGEGLPERYLHEPTSEGESQPLNGAVPEMDIPAIDLSLLFSSSEDGREELKKLHSALSTWGVVQVMNHGITEEFLDKIYKLTKQFFALPTEEKHKCARETGNIQGYGNDMILSDNQVLDWIDRLFLTTYPEDKRQLQFWPQVPVGFSETLHEYTMKQRVVIEKFFKAMARSLELEENCFLKMYGENAIMNSRFNFFPPCPRPDKVIGIKPHADGSAITLLLPDKDVEGLQFLKDGKWYKAPIVPDTILINLGDQMEIMSNGIYKSPVHRVVTNREKERISVATFCVPGPDKEIQPANGLVSEARPRLYKTITKYAELHYKYYQQGRRTIEAALI >fgenesh2_kg.1__4032__AT1G49400.1 pep chromosome:v.1.0:1:25465950:25477509:1 gene:fgenesh2_kg.1__4032__AT1G49400.1 transcript:fgenesh2_kg.1__4032__AT1G49400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1129 [Source:UniProtKB/TrEMBL;Acc:D7KEV2] MKPVIGTVVSNKMQKSVVVAVDRLFHNKLYNRYVKRTSKFMAHDDKDACNIGDRVKLDPSRPLSKNKHWVVAEIIKKARIYSPEAAAAAVVASSSASSASIADSSAQSQIPPSSTS >fgenesh2_kg.1__4035__AT1G49430.1 pep chromosome:v.1.0:1:25487985:25493268:1 gene:fgenesh2_kg.1__4035__AT1G49430.1 transcript:fgenesh2_kg.1__4035__AT1G49430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain acyl-CoA synthetase 2 [Source:UniProtKB/TrEMBL;Acc:D7KEV6] MVSAVDHVLLVEEGRPATAEHPSAGPVYRCKYAKDGLLDLPTDIDSPWQFFSEAVKKYPNEQMLGRRLTTDSKVGPYTWITYKEAHDAAIRIGSAIRSRGVDPGHCCGIYGSNCPEWIIAMEACMSQGITYVPLYDTLGVNAVEFIINHAGVSLVFVQEKTVSSILSCQKGCSSNLKTIVSFGEVSSTQKEEAKNQCVSLFSWNEFSLMGNSDETNLPRKRKTDICTIMYTSGTTGEPKGVILNNAAISVQVLSIDKMLEVTDRSCDTSDVFFSYLPLAHCYDQVMEIYFLSRGSSVGYWRGDIRYLMDDVQALKPTVFCGVPRVYDKLYAGIMQKISASGLLRKKLFDFAYNYKLGNMRKGFSQEEASPRLDRLMFDKLKEALGGRAHMLLSGAAPLPRHVEEFLRIIPASNLSQGYGLTESCGGSFTTLAGVFSMVGTVGVPMPTVEARLVSVPEMGYDAFSADVPRGEICLRGNSMFSGYHKRQDLTDQVLIDGWFHTGDIGEWQEDGSMKIIDRKKNIFKLSQGEYVAVENLENTYSRCPLIAQIWVYGNSFESFLVGVVVPDRKAIEDWAKLNNQSPNDFESLCQNLKAQKYFLDELNSTAKQYQLKGFEMLKAIHLEPNPFDIERDLITPTFKLKRPQLLQHYKGIVDQLYSEAKRSMA >fgenesh2_kg.1__4038__AT1G64670.1 pep chromosome:v.1.0:1:25592285:25594987:-1 gene:fgenesh2_kg.1__4038__AT1G64670.1 transcript:fgenesh2_kg.1__4038__AT1G64670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRWLNRTVGFFVFFILDIVDFLLCFTYKTLDFFFESEWKPCYCCPPPEAKPIAAGGSRVGKMIVSERSGEYSKVVSLTRTKIHLDEISDTLYSRPSLLTRLTKLVKCFKKDVVKCCDESKKRSPSTKKTLLTVNSTVVEKLRRTPRWSDCHCTFCTSWLSSSNHSLFVNVQQPKDNKAQENVVFIHGFLSSSTFWTETLFPNFSDSAKSNYRFLAVDLLGYGKSPKPNDSLYTLKEHLEMIERSVISQFRLKTFHLVAHSLGCILALALAVKHPGAIKSLTLLAPPYYSVPKGVQGTQYVMRKVAPKEVWPPMAFGASVASWYEHISRTVSLVLCKNHHLLEFLTRLLTRNRMRTYLIEGFLCHTHNASWHTLHNIIFGSGSKVEAYLDHVRDNVDCEVTVFHGGRDELIPVECSYGVKRKVPRARIHVVPDKDHITIVVGRQKEFARELELIWRRSTTPQLHSIN >fgenesh2_kg.1__4039__AT1G49470.1 pep chromosome:v.1.0:1:25650649:25651726:1 gene:fgenesh2_kg.1__4039__AT1G49470.1 transcript:fgenesh2_kg.1__4039__AT1G49470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFLGHFVPGLSLALLGIWHLFNTIRSYCLHGPETFSAKFWFPFPKLKHLELILILIFSFLSITLLTLDFPNFNFSSFKPDNLEHASMFLHLIIFACFALFCELTLCSDLFSGLIGVLSASVFAQELFLLHFHSTDHSGLEGHYHFLLQLIAFVSFSSALASASFPKSFSAAIVLPISVMFQGCWFLNMGFMLWVPEYVPRGCVSNMSTSTDNNRRSVVHSGAVACDSPGAEVRAKALANLQFSWMLSAILIITCALCLKFSGKVVLPKIRSSLEYERLCRQGSDRSATVTVEAPASSDQQ >fgenesh2_kg.1__4042__AT1G49510.1 pep chromosome:v.1.0:1:25689077:25690699:1 gene:fgenesh2_kg.1__4042__AT1G49510.1 transcript:fgenesh2_kg.1__4042__AT1G49510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1273 [Source:UniProtKB/TrEMBL;Acc:D7KEY0] MAMSHLFLSSPRPSLALRLHSTTQFTLSCNKDCTFKCANEAKVSKRSFLLCRAIHMESGHSGEQPKKLNFDNLLRKTKHVWDNSPQPVKEFPWNRAFENFIQLVLDLAISVVKFLFVPILAVSSISEMSYCAHERKLALVPFPLVIGMVVAGILQETALKISPRLKEAEVPWHLIAMMMFFTLIKLPGPYYPYWGRLFVPHFANGVLFRALWSMFFWYKKTRNTSGNTLQNHSLETK >fgenesh2_kg.1__4043__AT1G49520.1 pep chromosome:v.1.0:1:25697375:25699603:1 gene:fgenesh2_kg.1__4043__AT1G49520.1 transcript:fgenesh2_kg.1__4043__AT1G49520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB complex BAF60b domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KEY1] MVSDSDLVTQLREILRSSDLETTTPASVRRQLEAYFGVELTDKKAFVREQIDAFLESEALLESKPEEEEEDCNGDQNDEEGSENDDDKTEPPVKAKKRGGGFNKICQLSPQLEKFLGTSQLARTEVVKKMWAYIRQHDLQDPKNRRNILCDDSLHSLFRVKTIDMFQMNKALAKHIWPLNDGDGCVKNVKGEDEDETSGERYEKEVKIEEAVENNEEVSGEEEDRSVRKRKRKKRKPAKSEEKPKKKGGGFTKVCSLSPELQAFTGTAQLARTEVVRMLWKYIKENNLQDPSDKRTIICDESLRSLFPVESINMFQMNKQLTKHIWPLVQEDEAGTTNDSEKGKQKMKMEIDADNDEANDEKATSSRIKTEQ >fgenesh2_kg.1__4045__AT1G49560.1 pep chromosome:v.1.0:1:25730485:25732216:1 gene:fgenesh2_kg.1__4045__AT1G49560.1 transcript:fgenesh2_kg.1__4045__AT1G49560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7KEY7] MGSLGDELSLGSIFGRGVSMNVVAVERVDEHVKKLEEEKRKIESFELELPLSLQILNDAILYLKDKRCLEMETQPLLKDFISFNELVKRDELMREKKFQQWKANDDHTSKIKSKLEIERNEEKSSMLLIPKVETGLGLGLGLSSIRRKGIVASCGGFTSNSMPQPPPPPAVVPQQPAFLQQQALRKQRRCWNPELHRRFVDALQQLGGPGVATPKQIREHMQEEGLTNDEVKSHLQKYRLHIRKPNPNAEKQSAVVLGFKLWNSSSQEEEETGEGGESSKRCNSQSDSPQGPLQLPSTTTTTGGDSSMEDVEDAKSESIQMERLRSP >fgenesh2_kg.1__4047__AT1G49580.1 pep chromosome:v.1.0:1:25742288:25745391:1 gene:fgenesh2_kg.1__4047__AT1G49580.1 transcript:fgenesh2_kg.1__4047__AT1G49580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCTSKPSSSSGRPNPFAPGNDYPQIDDFAPDHPGKSPLPTASAAKASPFFPFYTPSPARHRRNKSRDVGGGGGGESKSLTSTPLRQLRRAFHPPSPAKHIRAALRRRKGKKEAALSAATQLTTEIPPREEEEELGLDKRFGFSKEFHSRVELGEEIGRGHFGYTCSAKFKKGERKGQMVAVKIISKSKMTTAIAIEDVRREVKILQALSGHKNLVQFYDAFEDNANVYIAMELCEGGELLDRILARGGKYSESDAKPVIIQILNVVAFCHLQGVVHRDLKPENFLYTSKEENSQLKAIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYTTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEPPWPFLSSDAKDFVKRLLFKDPRRRMSASQALMHPWIRGYNTDMNIPFDILIFRQMKAYLRSSSLRKAALRALSKTLIKDEILYLKTQFSLLAPNKDGLITMDTIRLALASNATEAMKESRIPEFLALLNGLQYRGMDFEEFCAAAINFHQHESLDCWEQSIRHAYELFDKNGNRAIVIEELASELGVGPSIPVHSVLHDWIRHTDGKLSFFGFVKLLHGVSVRPSAKTTR >fgenesh2_kg.1__4048__AT1G49590.1 pep chromosome:v.1.0:1:25747054:25748891:1 gene:fgenesh2_kg.1__4048__AT1G49590.1 transcript:fgenesh2_kg.1__4048__AT1G49590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYWVSQGNKWCEFCKIWIQNNPTSIRNHDLGKRHRECVDKKLTDMREKSAAKDKELKKNEKLLQQIEAKATRSYQKDIATAQQVAKANGAPEDGTSGWMLDSASGYYYNQTNGLHYDSQSGFYYSDSIGHWVTQDEAYAAVKTSSGTKVPLVKKPVLSSEAGPSVVKPPGRLVTASLNPKRAVKGAMSSVDLGNNKRKRPDEKPKKVSAEEKAALKAREAARKRVEDREKPLLGLYNRPF >fgenesh2_kg.1__4049__AT1G49600.1 pep chromosome:v.1.0:1:25749218:25752489:-1 gene:fgenesh2_kg.1__4049__AT1G49600.1 transcript:fgenesh2_kg.1__4049__AT1G49600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPNNNGSTDSVLPPTSAGTTPPPPLQQSTPPPQQQQQQQQQWQQQQQWMAAMQQYPAAAMAMMQQQQMMMYPHHQYAPYNQAAYQQHPQFQYAAAYQQQQQHHQSQQQKQQPRGGSGGDDVKTLWVGDLLHWMDETYLHTCFSHTNEVSSVKVIRNKQTCQSEGYGFVEFLSRSAAEEALQSFSGVTMPNAEQPFRLNWASFSTGEKRASENGPDLSIFVGDLAPDVSDAVLLETFAGRYPSVKGAKVVIDSNTGRSKGYGFVRFGDENERSRAMTEMNGAFCSSRQMRVGIATPKRAAAYGQQNGSQALTLAGGHGGNGSLSDGESNNSTIFVGGLDADVTEEDLMQPFSQFGEVVSVKIPVGKGCGFVQFANRQSAEEAIGNLNGTVIGKNTVRLSWGRSPNKQWRSDTGNQWNGGYSRGQGYNNGYANQDSNMYATAAAAVPGAF >fgenesh2_kg.1__404__AT1G04645.1 pep chromosome:v.1.0:1:1560854:1561260:-1 gene:fgenesh2_kg.1__404__AT1G04645.1 transcript:fgenesh2_kg.1__404__AT1G04645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNYIVCLFFFLLFGSVHGGVPPFWRDTVVTMTNLIGGPPLTIHCKSKQDDLGPHVVPFRQEYHFKFQPNLWKSTLFFCSFQWANQFKRFDIFDAQRDQDVCDQCHWEIKPDGPCRLGKKAKCFPWN >fgenesh2_kg.1__4050__AT1G49620.1 pep chromosome:v.1.0:1:25770451:25771406:-1 gene:fgenesh2_kg.1__4050__AT1G49620.1 transcript:fgenesh2_kg.1__4050__AT1G49620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor [Source:UniProtKB/TrEMBL;Acc:D7KEZ7] MSETKSKRDSDYEGSNIKRMRLDDDDGVLRSPTRTLSSSSSSSLAYSVSDSGGFCSVALSEEEYDHRSSSIISSGCSSSETNEIATRLPFSDLEAHEISETEISTLITNNNFSKQGSPLSENLGERAEMDSATTERRDQRKTEKKMEKSPTQAELDDFFSAAERFEQKRFTEKYNYDIVNDTPLEGRYQWVSLKP >fgenesh2_kg.1__4053__AT1G49660.1 pep chromosome:v.1.0:1:25797157:25798255:-1 gene:fgenesh2_kg.1__4053__AT1G49660.1 transcript:fgenesh2_kg.1__4053__AT1G49660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEIASEFPPFCRIYKDGRVERLMGTETIPASLDPTHDVVSKDVIYSPDHNLSVRLFLPHKSTKLTAGEKLPLLIYIHGGAWIIESPFSPIYHNYLTEVVKSANCLAVSVQYRRAPEDPVPASYEDAWSAIQWIFSHSNGSGPVDWINKHADFDKVFLAGDSAGGNISHHMAMKAGEEKNLDLKIKGIGVVHPAFWGTDPVDEYDVQDRETRIGIADVWEKIVSPNSVNGTDDPLFNVNGSGSDFSGLGCEKVLVAVAGKDVFVRQGLAYAAKLEKSEWKGTVEVVEEEGEGHVFHLEKPSSDKALRFLKKFVEFIIG >fgenesh2_kg.1__4055__AT1G49720.1 pep chromosome:v.1.0:1:25839400:25841886:1 gene:fgenesh2_kg.1__4055__AT1G49720.1 transcript:fgenesh2_kg.1__4055__AT1G49720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid responsive element-binding factor 1 [Source:UniProtKB/TrEMBL;Acc:D7KF13] MGTHIDFNNLGGDLSGGNECNTNQSKPLVRQSSLFSLTFDELQSTLGEPGKDFGSMNIDELLKNIWTTEDTQAIMTATSSVAAARPSGCVPGGNALQRQGSLTLPRTLIQKTVDEVWKYLNSKEGSNGNTGTDAPERQQTLGEMTLEDFLLRAGVVKEDNAQQNENSSTGFYANNGAAGLGFGFGQPNQNSISFNGNNNSMIMNKAPGLGLKVGGTMQQQPHQQQLQQPHQRLPPTIFPKQTNVTFAAPVNMVNRGLFESGADGPVNSNMGGAGATVTATSPGTSSAENNAWSSPVPYVFGRARRSNTGLEKVVERRQKRMIKNRESAARSRARKQAYTLELEAEIESLKQVNQDLQKKQAEIMKTQNSELKESSKQPPLLAKRQCLRRTLTGPW >fgenesh2_kg.1__4059__AT1G49730.1 pep chromosome:v.1.0:1:25841845:25845029:-1 gene:fgenesh2_kg.1__4059__AT1G49730.1 transcript:fgenesh2_kg.1__4059__AT1G49730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KF14] MVVNSQAFLLALIALLATQLPSLMASDCPLDFSASNFTLVASVCSNITNRGKCCRYMNAFVAVSVARYANLSTNLGVTSDLSEICIASISRTMESYGVSRNATSFCGLGTKILVKYDCEGRTTVTQMHQSPGFGHVSRNCRLPFSPGHQCRKCLNSGITYLRNLIGAETNNITLSTCRDATYTTLASRIDDASALELLGCFFQVTELSIPSESFSPVASPEPSPSTVGAISPSNSDSQMTTSRSTNPYHLTMVPTIGIVVTAVALTLLVVLVILIRRKNRELDESESLDKKSTKSVASSLPVFKIHEDDSSSAFRKFSYKEMTNATNDFNTVIGQGGFGTVYKAEFSDGLIAAVKKMNKVSEQAEQDFCREIGLLAKLHHRNLVALKGFCINKKERFLVYDYMENGSLKDHLHATGKPPPSWGTRMKIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDENFVAKLSDFGLAHSSRDGSVCFEPVNTDIRGTPGYVDPEYVVTQELTEKSDVYSYGVVLLELITGRRAVDEGKNLVEMSQRFLLTKSKHWDLVDPRIKDSIDDAGRKELEAVVAVVRWCTEKEGRSRPSIKQVLRLLCESCDQLHSAFAKAVEEEIGWDSRKRSNSRIQRGDSRINGQLNYSRSLPHSPINGLSF >fgenesh2_kg.1__4060__AT1G49740.1 pep chromosome:v.1.0:1:25847244:25849622:1 gene:fgenesh2_kg.1__4060__AT1G49740.1 transcript:fgenesh2_kg.1__4060__AT1G49740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase C [Source:UniProtKB/TrEMBL;Acc:D7KF15] MFQRSLLFLLIALLIQSSFLLKISSALKEGKTCITNSNCDVGLHCETCIANTDFRPRCSRTQPINPISKAKGLPFNKYSWLTTHNSFARLGEVSRTGSVILAPTNQQDSITSQLNNGVRGFMLDMYDFENDIWLCHSFDGTCFNFTAFQPAINILREFQVFLEKNTEEVVTIIIEDYVKSPKGLTKVFDAAGLRKFMFPVARMPKNGGDWPRLDDMVRQNQRLLVFTSDSHKEATEGIAYQWKYMVENQYGNGGLKVGVCPNRAQSAPMSDKSKSLVLVNHFPDAADLIVACKQNSASLLESIKTCYQAAGQRWPNFIAVDFYKRSDGGGAPQAVDVANGNLICGCDNFAACKGDGKCG >fgenesh2_kg.1__4061__AT1G49750.1 pep chromosome:v.1.0:1:25856341:25858402:-1 gene:fgenesh2_kg.1__4061__AT1G49750.1 transcript:fgenesh2_kg.1__4061__AT1G49750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILSILLLLLSLSNLHFTLPTGNSHINDRKSLEIIIGGGDDGNPPPSPEPEPEPADCPPPSPPPPCPPPPPCPPPPQLPPRSPPHPPPTPKKPPPTPDLQFASSLIKKVYPVLQRFKGLVADDKLKSWEGPDICNKYLGLKCATFPGTTDLALASVKFNGLKLRGKRGKKLLLDNFLDKLEEVTIFHANSNDFIGSVPDISKLTYLFELDLSNNKLTGDFPTNVLMKNNLTFLDLRFNQFSGSVPPQVFNLDLDVLFINNNNLVQKLPSNLGSITALYLTFANNRFTGSIPASIGNIKYLQEVLFLNNQLTGCLPYQIGNLTRATVFDVGFNQLTGPIPYSFGCLETMEQLNLAGNKFYGTIPEIVCEIACLKNVSLSYNYFTQVGPKCRNLIKRKIMDVSMNCILDLPNQKTPSECANFFMRKQTCLNSKSLFTVPCGKNPNRVKPDQERLEDEKARVSHPVSYNTLNPDRIRNL >fgenesh2_kg.1__4063__AT1G49780.1 pep chromosome:v.1.0:1:25881232:25882517:-1 gene:fgenesh2_kg.1__4063__AT1G49780.1 transcript:fgenesh2_kg.1__4063__AT1G49780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7KF20] MPGNLEPLDLGIQIPYHFRCPISLDLMSDPVTISTGQTYDRTSIDSWIAMGNTTCPVTRVALSDFTLIPNHTLRRLIQEWCVANRSNGVERIPTPKQPADPISVRSLLSQASAVTGTHVSVRSRAAAIRRLRGLARDSEKNRVLIAGHNAREILVRILFADVETTSSSSELVSESLALLVLLHMTETECEAIASDPGRVGFMTRLLFDSSIEIRVNAAALIEMVLTGSKSMDLKLIISGSDSIFEGVLDLLKNPISSRRALKIGIKAIFALCLVKQTRHLAISAGAPGILIDRLAADFDRCDTERGLATVELLCRLPEGCAAFGEHALTVPLMVKTILRVSDRATEYAAGALLALCTAEERCRDEAAAAGLVTQLLLLVQSDCTERAKRKAQMLLKLLRDSWPDDSTVNSDDFNRSEVAPF >fgenesh2_kg.1__4065__AT1G49800.1 pep chromosome:v.1.0:1:25908765:25909250:-1 gene:fgenesh2_kg.1__4065__AT1G49800.1 transcript:fgenesh2_kg.1__4065__AT1G49800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KF24] MAKNLTKFYVAFLVILIMVGSVLLAIEGRPVKDGSRSMTQLRDSSVFNGSVMSSFKPVESSVQDLSWLATVKQSGPSPGIGHRAKGYNMFGRAKDSGPSPGV >fgenesh2_kg.1__4067__AT1G49820.1 pep chromosome:v.1.0:1:25914319:25916636:1 gene:fgenesh2_kg.1__4067__AT1G49820.1 transcript:fgenesh2_kg.1__4067__AT1G49820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEEFTPLNEKSLVDYIKATPALSSKIGADKSDDLVIKEVGDGNLNFVFIVVGSSGSLVIKQALPYIRCIGESWPMAKERAYFEATTLRKHGNLSPDHVPQVYHFDRTMALIGMRYLEPPHIILRKGLIAGIEYPFLADHMSDYMAKTLFFTSLLYHDTTEHRRAVTEFCGNVELCRLTEQVVFSDPYRVSTFNRWTSPYLDDDAKAVREDSALKLEIAELKSMFCERAQALIHGDLHTGSVMVTQDSTQVIDPEFSFIGPMGFDIGAYLGNLILAFFAQDGHAAQGNDRKEYKQWILRSIEQTWDLFNKRFIALWDQNKDGPGEAYLADIYNNPGVLQLVQENYMRNLLLDSLGFGAAKMIRRIVGVAHVEDFESIEEDKRRAVCERSALEFAKMLLKERRKFTCIGEVVSAIQNQS >fgenesh2_kg.1__406__AT1G04660.1 pep chromosome:v.1.0:1:1573812:1575075:-1 gene:fgenesh2_kg.1__406__AT1G04660.1 transcript:fgenesh2_kg.1__406__AT1G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-rich protein [Source:Projected from Arabidopsis thaliana (AT1G04660) TAIR;Acc:AT1G04660] MSRALSVVCVLLAISFVYARARQVPGESDEGKTTGHDDTTTMPMHAKAADQLPPKSVGDKKCIGGVAGVGGFAGVGGVAGVGGLGMPLIGGLGGIGKYGGIGGAAGIGGFHSIGGVGGVGGGVGGLGGVGGGVGGLGGVGGLGGAGLGGVGGVGGGIGKAGGIGGLGGAGGGLGGLGGGLGKAGGIGVGGGIGGGHGVVGGVIDPHP >fgenesh2_kg.1__4071__AT1G49860.1 pep chromosome:v.1.0:1:25946623:25948194:1 gene:fgenesh2_kg.1__4071__AT1G49860.1 transcript:fgenesh2_kg.1__4071__AT1G49860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKMKLHCGWFFLNSAALFGINEKGLDFELVFVDWLAGEAKTKTFLSTLNPFGEVPVLEDGDLKLFGTKGDHGITRYLAEQYKDIGTNLLPDDPKKRAIVSMWMEVDTNQFLPIASTLIKELMIKPYQGLATDVASVQENQEKLSEILNIYETRLGESPYLAGESFTLADLHHLPLIDYLLNTEEEELKNLIYSRPNVAAWVDKMKMRPAWLKTVVMKNHIVDLMKQRRLPIRLDSSCHELTVVAQKTAVAVGNN >fgenesh2_kg.1__4073__AT1G49870.1 pep chromosome:v.1.0:1:25949861:25953240:1 gene:fgenesh2_kg.1__4073__AT1G49870.1 transcript:fgenesh2_kg.1__4073__AT1G49870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGCLDLGCLSDKKSGVGVSDHLECSSSPSKLGKNKSPRETSALRKSQSKRSSQRKTSSPLGWFPRTKGDSYLNRKIKKLQEVGGMNQTLDETLGDSNPHYCKIVREQMAVREAAGKAMELRKAALVEASWCRILRAARIPSLEAENLMENAEKAAVEAFEAASALGVIMHDKPNSSRKQYRIETSGTHGRGSPTHTVTASFETAFDVDKEVAAAVKTAFARLANCPSLSKAEFKDLLKKISQNPDVRDNHEITEMSSECDTESDSELGILHKVDEEVSECEETSYFKMRQLKVKRRQSFGKFSREKLVDMMLERLQGLQEDQLSSLASVVATCGLNEALAEVGSQRRQTTNIEPTVSDHGSSSSMDTRSRRDSKFWSLTEGKTTRDGTETEIPSLDKYLVKHMTKLEREVHEAKRASKEVSDKNKKVPQGVASNPVPDLGSILVKHSSRLEKEIEEAKKNAGVSFGKYQKTSSRNKTPLDPIPDLESLLVKKHVSGFEKEVQETIKNCGKMYENVKKPGQKDSLSEIPSLDSCLVKHVSKLEKEVQEAKKRGQEDLEASNSKTVSSVLTEELGKENVDSNNNTDAGQEESLDKILVKPVHRLETEKIAWEAVYGNRRAQKRKQAAKTESGYESLDKILVKHVPKLEKEKLRFKAGVEETENSKNNNEDSLNQTLEKNNQGPESMKMAKPSFTRKQLRDREIQETWGGLGLGESKNNNQKRHESKKTETTEHLGGEETRPVLTRRQERDKEMLEAWGGLGLGDSSLYQTVNKHKRKPESEKMETATPLLTRRQARDREMQEAWGGLDLGNSIRPSLSKLEREKAAWIKAEKEETTRGS >fgenesh2_kg.1__4075__AT1G49910.1 pep chromosome:v.1.0:1:25972101:25974641:1 gene:fgenesh2_kg.1__4075__AT1G49910.1 transcript:fgenesh2_kg.1__4075__AT1G49910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPAIGRELSNPPSDGISNLRFSNSSDHLIVSSWDKSVRLYDANADLMRGEFKHGGAVLDCCFHDDSSGFSVCADTKVRRIDFNAGKEDVLGTHDKPVRCVEYSYAAGQVITGSWDKTIKCWDPRGASGLERTQIGTYQQPERVNSLSVVGNRLVVATAGRHVNIYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVSMEFFDLSEAAQAKKYAFKCHRKSEDGRDIVYPVNAIAFHPIYGTFASGGCDGFVNIWDGNNKKRLYQYSKYPTSIAALSFSRDGGLLAVASSYTFEEGDKPHEPDAIFVRSVNEIEVKPKPKVYPNPPI >fgenesh2_kg.1__4077__AT1G49950.2 pep chromosome:v.1.0:1:25982180:25985247:-1 gene:fgenesh2_kg.1__4077__AT1G49950.2 transcript:fgenesh2_kg.1__4077__AT1G49950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomere repeat binding factor 1 [Source:UniProtKB/TrEMBL;Acc:D7KFT7] MGAPKQKWTQEEESALKSGVIKHGPGKWRTILKDPEFSGVLYLRSNVDLKDKWRNMSVMANGWGSREKSRLAVKRTLSLPKQEENSLALTNSLQSDEEMVDATSGLQVSSNPAPRRPNVRLDSLIMEAISTLKEPGGCNKTTIGAYIEDQYHAPMDFKRLLSTKLKYLTACGKLVKVKRKYRIPNSTPLSSHRKKGLGAFAGRQRTSSLPSPKTDRDEVNFQTRSQIDTELARMKSMNVHEAAAVAAQAVAEAEALMAEAEEAAKEAEAAEAEAEAAQAFAEEASKTLKGRNNCKMMIRA >fgenesh2_kg.1__407__AT1G04670.1 pep chromosome:v.1.0:1:1575254:1576059:1 gene:fgenesh2_kg.1__407__AT1G04670.1 transcript:fgenesh2_kg.1__407__AT1G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASKLGKVLISNGKTARSVPLCRTFVSASPRPLQGKEEAEQCQKVKEAAEAVKEGAKQVKETTEYIQDVASTTAGRVTKMTKDVTEKVTETTDSITEKAKGSVSGVLGTAKNATDIIKNKILGGD >fgenesh2_kg.1__4080__AT1G49960.1 pep chromosome:v.1.0:1:25987168:25990444:1 gene:fgenesh2_kg.1__4080__AT1G49960.1 transcript:fgenesh2_kg.1__4080__AT1G49960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:UniProtKB/TrEMBL;Acc:D7KFT8] MATKTDDFAPFPVKDQLPGVEFCVSSSPNWPEGIVLGFQHYIVMLGTTVIIPSILVPLMGGGDVEKAEVINTVLFVSGINTLLQSLFGSRLPVVMGASYAYVIPALYITFSYRFTYYLHPHLRFEETMRAIQGALIIASIIHMITGFFGLWRILVRFLTPLSAAPLVILTAVGLVALAFPQLARCIEIGLPALIILIILSQYLPHLFKCKRSICEQFAVLFTIAIVWAYAEILTAAGAYDKRPDSTQLSCRTDRSGLISASPWVRIPYPLQWGRPSFHASDAFAMMAATYVAIVETTGSFIAASRFGSATHIPPSVLSRGIGWQGIGVLLGGLFGTATGSTALVENTGLLGLTKVGSRRVVQIAAGFMIFFSIFGKFGAVLASIPLPIFAALYCVLFAYVASAGLGLLQFCNINSFRTKFILGFSIFIGLSVAQYFTEYLFISGRGPVHTRTSAFNVIMQVIFSSAATVGVMAAFLLDCTHSYGHASVRRDSGRHWWEKFRVYHTDTRTEEFYALPYNLNRFFPSF >fgenesh2_kg.1__4085__AT1G49990.1 pep chromosome:v.1.0:1:26006164:26009177:1 gene:fgenesh2_kg.1__4085__AT1G49990.1 transcript:fgenesh2_kg.1__4085__AT1G49990.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGRRTIPEVEILARLPLRSIARFKSVCKRWKSVIESGYFRRLFVSLHGNSSTSWSLMFRTEYLRQMTQAIGFHGCKTWDLPKSLLSYIMPFQVYPNLPAGSEYYYIASSNGLIWIDVFVSRIKDMVSSYKSFVGNPVLQQWVKIPQPPNPWVQDKHPWYPSPLSDVGMVTRVENGVVSSFKIVRTIQMELIDRRDEGMYLWRVCVYSSETGLWTFKQVFSSRPVNAGRIDSPVNLNGILYMWDRYMFSNGPGVLVAHDFYGPEADDQCQVIPLPGADDEDVRRCLTTSGEDVIFIEVIHQTLKVWRLNNEESERWQLIWEVIMPSFISDVNCFPLAMNPFDTDIVYLWDRQHGCLVSGYLQAQEFIVHQESEGWSSSEGDCCSVNTSGTEGYMEERCDGVLMLSQFVLPCWMDSVPRPPD >fgenesh2_kg.1__4090__AT1G50010.1 pep chromosome:v.1.0:1:26011872:26014329:1 gene:fgenesh2_kg.1__4090__AT1G50010.1 transcript:fgenesh2_kg.1__4090__AT1G50010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:D7KFU7] MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRSLSIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAFHEQLSVAEITNSAFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEDDEGEEY >fgenesh2_kg.1__4092__AT1G50020.1 pep chromosome:v.1.0:1:26014358:26016064:-1 gene:fgenesh2_kg.1__4092__AT1G50020.1 transcript:fgenesh2_kg.1__4092__AT1G50020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLEIVKLCGSPVSIPRHKSPIKLECRKRVLRLSDSRTWHRLGTCVRVYSSALNGDNQSKGEEPPESLFMKELKRRGMTPTSLLQDYEVDQDEIKTDKETGNSSKTTATSPAFDKSLLNQRERSLALNSEGLEGLIPRARILLTIGGTFFLGFWPLIVLTLGAFSALYLYFGADFIHDGSRTPVSPPPYIDPYALLEDERISGMNPRLN >fgenesh2_kg.1__4094__AT1G50030.1 pep chromosome:v.1.0:1:26016829:26034558:-1 gene:fgenesh2_kg.1__4094__AT1G50030.1 transcript:fgenesh2_kg.1__4094__AT1G50030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TOR [Source:UniProtKB/TrEMBL;Acc:D7KFU9] MSTSSQSFVAGRPASMASPSQSHRFCGPSATASGGGSFDTLNRVIADLCSRGNPKEGAPLAFRKHVEEAVRDLSGEASSRFMEQLYDRIANLIESTDVAENMGALRAIDELTEIGFGENATKVSRFAGYMRTVFELKRDPEILVLASRVLGHLARAGGAMTSDEVEFQMKTAFDWLRVDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPQLQVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGRNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILTVLRIPAERASGFIALGEMAGALDGELIHYLPTIMSHLRDAIAPRKGRPLLEAVACVGNIAKAMGSTVETHVRDLLDVMFSSGLSSTLVDALDQITISIPSLLPTVQDRLLDCISLVLSKSHYSQAKPPVTVIRGSTVGMSPQSSDPSCSAQVQLALQTLARFNFKGHDLLEFARESVVVYLDDEDAATRKDAALCCCRLIANSLSGITQFGSSRSTRAGGRRRRLVEEIVEKLLRTAVADADVTVRKSIFVSLYGNQCFDDYLAQADSLTAIFASLNDEDLDVREYAISVAGRLSEKNPAYVLPALRRHLIQLLTYLELSSADNKCREESAKLLGCLVRNCERLVLPYVAPVQKALVARLSEGTGVNANNNIVTGVLVTVGDLARVGGLAMRQYIPELMPLIVEALMDGAAVAKREVAVSTLGQVVQSTGYVVTPYKEYPLLLGLLLKLLKGDLVWSTRREVLKVLGIMGALDPHVHKRNQQSLSGSHGEVPRGTGDSGQPIPSIDELPVELRPSFATSEDYYSTVAINSLMRILRDASLLSYHKRVVRSLMIIFKSMGLGCVPYLPKVLPELFHTVRTSDENLKDFITWGLGTLVSIVRQHIRKYLPELLSLVSELWSSFTLPGPIRPSRGLPVLHLLEHLCLALNDEFRTYLPVILPCFIQVLGDAERFNDYTYVPDILHTLEVFGGTLDEHMHLLLPALIRLFKVDAPVAIRRDAIKTLTRVIPCVQVTGHISALVHHLKLVLDGKNDELRKDAVDALCCLAHALGEDFTIFIESIHKLLLKHRLRHKEFEEIHSRWRKREPLIVATTATQQLSRRLPVEVIRDPVIENEIDPFEEGTDRNHQVNDGRLRTAGEASQRSTKEDWEEWMRHFSIELLKESPSPALRTCAKLAQLQPFVGRELFAAGFVSCWAQLNESSQKQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCRVFAKALHYKEMEFEGPRSRRMDANPVAVVEALIHINNQLHQHEAAVGILTYAQQHLDVQLKESWYEKLQRWDDALKAYTLKASQTSNPHLVLEATLGQMRCLAALARWEELNNLCKEYWSPAEPSARLEMAPMAAQAAWNMGEWDQMAEYVSRLDDGDETKLRGLASPVSSGDGSSNGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIEYYTLPVGNTIAEERRALIRNMWTQRIQGSKRNVEVWQALLAVRALVLPPTEDVETWLKFASLCRKSGRISQAKSTLLKLLPFDPEVSPENMQYHGPPQVMLGYLKYQWSLGEERKRKEAFTKLQILTRELSSVPHSQSDILASMVSSKGANVPLLARVNLKLGTWQWALSSGLNDGSIQEIRDAFDKSTRYAPKWAKAWHTWALFNTAVMSHYISRGQIASQFVVSAVTGYFYSIACAANAKGVDDSLQDILRLLTLWFNHGATADVQTALKTGFSHVNINTWLVVLPQIIARIHSNNRAVRELIQSLLIRIGENHPQALMYPLLVACKSISNLRRAAAQEVVDKVRQHSGALVDQAQLVSHELIRVAILWHEMWHEALEEASRLYFGEHNIEGMLKVLEPLHDMLNEGASKDSTTIQERAFIEAYRHELQEAHECCCNYKITGKDAELTQAWDLYYHVFKRIDKQLASLTTLDLESVSPELLLCRDLELAVPGTYRADAPVVTISSFSRQLVVITSKQRPRKLTIHGNDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTAEKDLSIQRYSVIPLSPNSGLIGWVPNCDTLHHLIREYRDARKIILNQENKHMLSFAPDYDNLPLIAKVEVFEYALENTEGNDLSRVLWLKSRSSEVWLERRTNYTRSLAVMSMVGYILGLGDRHPSNLMLHRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQLALLGNNNPNAPADVEPEEEDEDPADIDLPQPQRSTREKEILQAVNMLGDANEVLNERAVVVMARMSHKLTGRDFSSSAIPSNPIADHNNLLGGDSHEVEHGLSVKVQVQKLINQATSHENLCQNYVGWCPFW >fgenesh2_kg.1__4096__AT1G50060.1 pep chromosome:v.1.0:1:26085742:26086447:1 gene:fgenesh2_kg.1__4096__AT1G50060.1 transcript:fgenesh2_kg.1__4096__AT1G50060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFKTPSVLIVAISFLVIATNAQNTPQDYLNSHNTARAQVGVPNVVWDTTLATYALNYANSRKANCSLVHSNGPYGENLAKGSSSTFSGISAVKLWVDEKPYYSYAYNNCTGGKQCLHYTQVVWRDSVKIGCARVQCTNTWWFVSCNYDSPGNWVGEYPY >fgenesh2_kg.1__4097__AT1G50090.1 pep chromosome:v.1.0:1:26095143:26102725:-1 gene:fgenesh2_kg.1__4097__AT1G50090.1 transcript:fgenesh2_kg.1__4097__AT1G50090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:D7KFV6] MAPSVHPSSSPLLTSKADEKYANVKWDELGFALVPTDYMYVAKCKQGESFSKGEIVPYGDISISPCAGILNYGQGLFEGLKAYRTEDRRITLFRPDQNTLRMQTGADRLCMIPPSPEQFVEAVKQTVLANNTWVPPPGKGALYIRPLLIGTGAVLGIASAPEYTFLIYTSPVGNYHKASSGLNLKVDHNHRRAHFGGTGGVKSCTNYSPVVKSLIEAKSSGFSDVLFLDAATGKNIEEVSTCNIFILKGNIVSTPPTSGTILPGITRKSISELARDIGYQVQERDVSVDELLEAEEVFCTGTAMVVKAVETVTYHDKRVKYRTGEEALSTKLHLILTNIQMGVVEDKKGWIVEIDHFTVTDSFPDEIEGK >fgenesh2_kg.1__4098__AT1G50110.1 pep chromosome:v.1.0:1:26114316:26116492:-1 gene:fgenesh2_kg.1__4098__AT1G50110.1 transcript:fgenesh2_kg.1__4098__AT1G50110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:D7KFV8] MAPSSSPLRTTSEADEKYANVKWEELGFALTPIDYMYVAKCKQGESFSQGKIVPYGDISISPCSPILNYGQGLFEGLKAYRTEDDRIIIFRPDQNALRMQTGAERLCMTPPSLEHFVEAVKQTVLANKKWVPPPGKGTLYIRPLLLGSGVTLGVAPAPEYTFLIYASPVGDYHKVSSGLNLKVDHKSHRAHSGGTGGVKSCTNYSPVVKSLLEAKSAGFSDVLFLDAATGRNIEELTACNIFILKGNIVSTPPTSGTILPGVTRKSISELARDIGYQVEERDVSVDELLEAEEVFCTGTAVVVKAVETVTFHDNKVKYRTGEAALSTKLHSMLTNIQMGVVEDKKGWMVEIDRCQG >fgenesh2_kg.1__409__AT1G04690.1 pep chromosome:v.1.0:1:1589146:1591403:1 gene:fgenesh2_kg.1__409__AT1G04690.1 transcript:fgenesh2_kg.1__409__AT1G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKNLGKSGLKVSTLSFGAWVTFGNQLDVKEAKSILQCCRDHGVNFFDNAEVYANGRAEEIMGQAIRELGWRRSDIVVSTKIFWGGPGPNDKGLSRKHIVEGTKASLKRLDMDYVDVLYCHRPDASTPIEETVRAMNYVIDKGWAFYWGTSEWSAQQITEAWGAADRLDLVGPIVEQPEYNMFARHKVETEFLPLYTNHGIGLTTWSPLASGVLTGKYNKGAIPSDSRFALENYKNLANRSLVDDVLRKVSGLKPIADELGVTLAQLAIAWCASNPNVSSVITGATRESQIQENMKAVDVIPLLTPVVLDKIEQVIQSKPKRPESYR >fgenesh2_kg.1__4104__AT1G50180.1 pep chromosome:v.1.0:1:26153627:26156565:1 gene:fgenesh2_kg.1__4104__AT1G50180.1 transcript:fgenesh2_kg.1__4104__AT1G50180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIVSVTVQKLGELLLEEPLFLFGIGDQVKQLQDELKRLKCFLKDADEKQYKSERVRNWVAGIREASYDAEDIIEACFLKAESRKQKGMKRVLRRLACILNEAVSLHSVGSEIREITSKLSKIAASMLDYGIIEAMGREGLSLSDSQREQRQSFPFVVEHNLVGLEQSLEKLVNDLVSGGEKLRVTAICVMGGLGKTTLAKQIFHHGKVRRHFDRFAWVYVSQDCRRTHIWQEIFLNLSYKDENQRILSLRDEQLGEELHKFLKRNKCLIVLDDIWGKDAWDCLKHVFPHETGSEIILTTRNKEVALYADPRGVLHEPQLLTCEESWELLEKISLSGREDIEPMLVKKLEEIGKQIVVRCGGLPLAITVLGGLLAMKSTWNEWQRVYENIKSYVSNGGSSNGSKNMLVADVLCLSYEYLPPHLKQCFLYFAHYPEDYEVHVGTLVSYWIAEGMVMPIKHTEAGTTVEDIGQDYLEELVKRSMVMVGRRDIVTSEVMTCRMHDLMREVCLQKAEQESFVQVIDSRDQDEAEAFLSLSTNTSRRISVQLHGGAEEHQIKRLSQVSFRKMKLLRVLDLEGAQIKGGKLPDDVGDLIHLRYLSVRLTNVKELTSSIGNLKLMITLDLFVKGQLYIPNQLWDFPVGKCNPRDLLAVTSLRRLSINLSSQNTDFEVVSSLSKVLKRLRGLTINVPCEPMLPPVDVTQLVSAFTDLSELELTEFSSDLGALRLWQCGLVDDPFLVLEKLPNLKILQLFEGSFVGSKLCCSKNGFPQLHSLTLSELENLEEWTVEDGAMMRLVSMELKCCKQLKSVPEGTRFLKNLQEVEIGNMKKAFKDKLISGGEDFYKVQHVPCVVFENCDL >fgenesh2_kg.1__4106__AT1G50200.1 pep chromosome:v.1.0:1:26161423:26168620:-1 gene:fgenesh2_kg.1__4106__AT1G50200.1 transcript:fgenesh2_kg.1__4106__AT1G50200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine--tRNA ligase [Source:UniProtKB/TrEMBL;Acc:D7KFW7] MPGSEPSETQWPARRVRDTYVDFFKGKGHKFWPSSPVVPHNDPTLLFANAGMNQYKPIFLGTADPNTELSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKKEAIEWAWELLTKVYGLPTDRIYATYFGGDEKAGLQPDNEARDIWLQFLPSGRVLPFGCKDNFWEMGDTGPCGPCTEIHYDRVGNRDAAYLVNNDDPTCLEIWNLVFIQFNRESDGSLKPLPAKHVDTGMGFERLTSVLQNKMSNYDTDVFMPIFDDIQKATGARAYSGKVGPEDDDRVDMAYRVVADHIRTLSFAIADGSRPGNEGREYVLRRILRRAVRYGKQILKAEEGFFNGLVSSVIRVMGDVFTELKEHEKKITEIIKEEEASFCKTLVKGIEKFQKAEQAVQGNTLSGEDAFVLWDTYGFPLDLTQLMAEERRLLVDVEGFNKAMEEARERSRSAQNKQAGGAIVMDADATSTLHKTGVSATDDSFKYIWFQDHESELKAIYTGSTFLESLAATDNVGLVLGSTSFYAEQGGQIFDTGLIEGSFGTFNVCNVQIFGGFVVHIGYLSKDAGEVSVGDKVICKVDYERRKLIAPNHTCTHMLNFALKEVLGDHIDQKGSIVLPEKLRFDFSHGKPVDPEDLRRIESIVNKQIKDELDVFSKEAVLSEAKRIKGLRAVFGEVYPDPVRVVSIGRQVEDLLADPENNEWSLLSSEFCGGTHITNTREAKAFALLSEEGIAKGIRRVTAVTTECAFDALNAASLLEREVEDASRAEGSALEKKVSALKSRVDAAIIPAAKKADIRAKIASLQNEVRKAQKKIAEQNLKKSVKVATEAAESAASDGKTFCIIQLDVGLDAAAVREAVSKVMEKKGMSIMVFSTDESTNKAVVCAGVPEKSDQFKPLDVTEWLTTALGPLKGRCGKGKGGLASGQGTDASQVQAALDMAASFASMKLN >fgenesh2_kg.1__4107__AT1G50240.2 pep chromosome:v.1.0:1:26175347:26182436:1 gene:fgenesh2_kg.1__4107__AT1G50240.2 transcript:fgenesh2_kg.1__4107__AT1G50240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEDYHVIELVGEGSFGRVYKGRRKYTGQTVAMKFIMKQGKSDKDIHSLRQEIEILRKLKHENIIEMLDSFENAREFCVVTEFAQGELFEILEDDKCLPEEQVQAIAKQLVKALHYLHSNRIIHRDMKPQNILIGAGSVVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREKPYNRTVDLWSLGVILYELYVGQPPFYTNSVYALIQHIVKDPVKYPDEMSPYFKSFLKGLLNKEPQNRLTWPALLEHPFVKESQEEVEAREIQTSVVDHKAAWMLKGNGGQQRNEKCDSVTLVENMSATKVLADVQSDMKSAVNVNSPPHEDFLGFPTQEEIKSSGNATLDKLENTSRTVKGAQVIGEDDKALDLVLLSLERFSKSPDSKRDKDVACSVQSLRIISNLVAVRAIVSVGLIEKITCALLDFTDALVGMKSSEFNKIIPKSLSVTKNLVGHIEGNSIHSSYIRHWAKVVEIFVQVVGWKEEGTGRIIYEACSCITTMLSRVAEDLKSSTPDSVSKQILEHANMSRLVDHLCLCLASSGSSLTSGTSQMLAAACEACRAIWILIDTSETFFKNDNVNILPLDALQNRLSQHEKGNSEWGPLSEKLVDTVTRTYLRSKHVQVAIGHCLHQRVEAPLVSAIQLLSRCCLHNGIMPSMLCGLPSSLPITTVVSGGEDGTVISELFSILSYATLSSKDQQTREKNNFEGRLNNLVFHSCLLLATVAQCLKLTGRNSALLMLTTSPRKHLHRLTAIANHIASDDKIEASLQNHSASAMLALASILSLEKGSSAESSVSEIAVPLIPRATKLCYHLRPMPSHEGEVISHSANFTKWHGLLDGCIGLLESRLKWGGPLTVQQLIASGAPLLLINLLAGKLSNASPDDIKKTPNRIGLSPVGVIWTVSSICHCLSGGTLTFRQVLVKIENMKLITCLLSDAHIKLVKNWGGPGGGKDGVRETINVIIDLLAFPFVALQSQPGSLSATASVNSGFILNMGSPGVRVCMEDRDLLKAIEEDMDKYIKVLLEVGVPSLILRCLEHLEIKDLVRPVAFLAKMVGRPRLAVELVSKGLLDPNRMKKLLNQSSPREVILDILMIISDLSRMDKAFYKYIGEASVLQPLKEFLTHVDPNIRAKACSALGNMCRHNGYFYSSLAEHQIIGLLIDRCADPDKRTQKFACFAIGNAAYHNDTLYEELRRSITQLANVLTTAEEDKTKANAAGALSNLVRNSNKLCEDIVSKGALQTLLRLVADCSTLALNPSKKETVSESPLKIALFSLAKMCSNHQICRQFVKSSELFPVIARLKQSPEANIAHYASVIVAKVGGDSDS >fgenesh2_kg.1__410__AT1G04700.1 pep chromosome:v.1.0:1:1591931:1596506:1 gene:fgenesh2_kg.1__410__AT1G04700.1 transcript:fgenesh2_kg.1__410__AT1G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KE69] MEFPGSSNQHLGRDRFNGEVGNNTRDDNICSQTGEEFSNEFLRDFGAQRRVQGGVSRNIEGNYNNRHLVYEDFNRILGLQRVDSNMSEGINSSSGYFAESNVADSPRKMFQTATSDVYLPEVLKLLCSFGGRILQRPGDGKLRYIGGETRIISIRKHVGLNELMHKTYALCNHPHTIKYQLPGEDLDALISVCSDEDLLHMIEEYQEAETKAGSQRIRVFLVSSTESSESPKIFNERNMNINRNTNQQTDIDHYQYVSALNNVVDVSPQKSSSGQSGTSQTTQFGNASEFSPTFHLRDSPTSVHTWEHKDCNSPTFMKPYANTNAVHFMPKMQIPRNSFGQQSPPASPFSAHKRANTDVPYFADQNGFFDPYLAAPNFPQQHRFFFDTTTQKQKHPEVNLHDRRPSDDIYPHGQAYMGAEKLTLKKNALSDPQLHDESQINNGLEAFTKQPWKILRKNLHVVATSKWEDSDDIYFNNPEGKRSKELVLTKEVPNNWIDGDHNPGSFDQATKKQGGSNSNSSFSPNYFSPNHQPAAQVTSSDSQDSGSSVFSLSVNTNENYLDCSKDKFNGFQHDMSLDILIRSHTSATDQLCCTTKSSDKADYSSPNTNFPVEFLRQEPMIPRHDLETNSDDSDTQNSLPREESIHYSGLPLRKVGSRETTFMHTQESDDFFKSKLLGPQLIVEDVTNEVISDNLLSATIVPHVHSESDDDHKSYTREKEITNADHESEMEEKYKKSRNTDDSFSEAAMVEIEAGIYGLQIIKNTDLEDLHELGSGTFGTVYYGKWRGTDVAIKRIKNSCFSGGSSEQARQTKDFWREARILANLHHPNVVAFYGVVPDGPGGTMATVTEYMVNGSLRHVLQRKDRLLDRRKKLMITLDSAFGMEYLHMKNIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSNRVSEKVDVFSFGIVMWEILTGEEPYANLHCGAIIGGIVNNTLRPAVPERCEAEWRKLMEQCWSFDPGVRPSFTEIVERLRSMTVALQPKRRT >fgenesh2_kg.1__4116__AT1G50350.1 pep chromosome:v.1.0:1:26269458:26269931:1 gene:fgenesh2_kg.1__4116__AT1G50350.1 transcript:fgenesh2_kg.1__4116__AT1G50350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KFY7] MTSGGNSIESSVVAAAADKMFFCYQCDQTITISITSSADPFCPLCSGGFLEEYVDPNPNPIPNLILPMSDPISSRFPFVPVMDFTNPSFLGESMEPQSTQQQPDAFDQNQLSDQANPLPGNHGDYFFGRGLEDLI >fgenesh2_kg.1__4117__AT1G50360.1 pep chromosome:v.1.0:1:26273384:26281017:1 gene:fgenesh2_kg.1__4117__AT1G50360.1 transcript:fgenesh2_kg.1__4117__AT1G50360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKVKPSIQSLKSMPADYRFLGSPISGPPETNLIIPPNGHLKNGVNGTESSVGGMDSANEDSPYSVRSISNGVRSSISDGDSNLPLPQSNDRSWSDTSAYARKKVLQFWVQLPNGNWELGKIVSTSGEESVIVVPEGKVLKVRSETLVPANPDILDGVDDLMQLSYLNEPAVLYNLEYRYNQDMIYTKAGPVLVAVNPFKEVHLYGNRNIEAYRKRSNESPHVYAIADTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAQIQTFLLEKSRVVQCTEGERSYHIFYQLCAGASPTLREKLNLTSAKQYKYLKQSNCYSINGVDDAERFHTVKEALDIVHVSKEDQESVFAMLAAVLWLGNVSFTIIDNENHVEPEPDESLSTVAKLIGCNINELKLALSKRNMRVNNDTIVQKLTLSQAIDARDALAKSIYACLFDWLVEQINKSLAVGKRRTGRSISILDIYGFESFTKNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWTRVDFEDNQDCLSLFEKKPLGLLSLLDEESTFPNGTDLTLANKLKQHLNDNSCFRGDRGKVFTVAHYAGEVTYETTGFLEKNRDLLHSDSIQLLSSCSCHLPQAFASSMLIHSEKPVFGPLHKAGGADSQRLSVATKFKGQLFQLMQRLGNTTPHFIRCIKPNNVQSPGLYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHHKFARRYGFLLLENIAAKDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILRLQSYFRGHQARCRLKELKRGITVLQSFVRGKKIRKEYTELLQRHRASAAIQSHVKRRIASRQYKATVDASVVIQSAIRGELVRRCAGDIGWLNSGGIKRNESDEVLVKASYLSEVQRRVLRTEAALREKEEENDILRQRLQQYDNRWSEYETKMKSMEEIWQRQMKSLQSSLSIAKKSLEVDDSARNSDASVNASDATDLDSGGSHYQMGHGRSRSVGVGLSVISRLAEEFGQRAQVFGDDRKFLMEVKSGQVEANLNPDRELRRLKQMFETWKKDYGGRLRETKLILSKLGSEETGGSAEKVKMKWWGRLKSTRF >fgenesh2_kg.1__4118__AT1G50370.1 pep chromosome:v.1.0:1:26285951:26289511:1 gene:fgenesh2_kg.1__4118__AT1G50370.1 transcript:fgenesh2_kg.1__4118__AT1G50370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7KFY9] MDLDQWISKVKDGQHLSEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARHPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDVRTIDQIRLIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTTEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNDNMEREVKFFTETEENNQMRGPRTGVPYFL >fgenesh2_kg.1__411__AT1G50920.1 pep chromosome:v.1.0:1:1597315:1599669:-1 gene:fgenesh2_kg.1__411__AT1G50920.1 transcript:fgenesh2_kg.1__411__AT1G50920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar GTP-binding protein 1 [Source:UniProtKB/TrEMBL;Acc:D7KE70] MVQYNFKKITVVPSGKEFVDIILSRTQRQTPTVVHKGYKITRLRQFYMRKVKYTQTNFHEKLSTIIEEFPRLDQIHPFYGDLLHVLYNKDHYKLALGQVNTARNLISKISKDYVKLLKYGDSLYRCKCLKVAALGRMCTVLKRITPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYTIAQQAALFHSIKSLFMNKPLVIVCNKTDLMPMENISEEDRKLIEGMKAEAMKTAMGASEESVLLKMSTLTDEGVMSVKNAACERLLDQRVEAKMKSKKINDHLNRFHVAMPKPRDSIQRLPCIPQVVLEAKAKEAAAMEKRKTEKDLEEENGGAGVYSASLKKNYILHHDEWKEDIMPEILDGHNVADFIDPDILERLEELEREEEIRLAGVEEADMEMDFEKLSEEEVKQLAAIRKKRAVLIREHRLKKTVAQNRSTVPRKFDKDKKYTTKRMGRELSAMGLDPSSAMNRARSKSRGRKRDRSEDAGNDAMDVDDEQQSNKKQRVRSKSRTMSISRSQSRPPAHEVVPGEGFKDSTQKKSAIKISNKSHKKRDKNARRGEADRVIPTLRPKHLFSGKRGKGKTDRR >fgenesh2_kg.1__4121__AT1G50410.1 pep chromosome:v.1.0:1:26301666:26307080:1 gene:fgenesh2_kg.1__4121__AT1G50410.1 transcript:fgenesh2_kg.1__4121__AT1G50410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KFZ4] MDSAIDISSDSDVEIQETRTRPQLPPRTAEGSHRRDPSTLRPQFFSGRSSGANGHTETGLTNPASRNGFEAKSLPRAEHRAHFAGNGTIGTSRIPNISVGDYEKFSSQQALKRTHSTAFSRTPFPPRPDIGTSNGNASHFRGGAHDEIGMGRVTNGTRILPPSVAHGTSASPSHFNGLSDPMHRNGIAEERNSENDERLIYQAALQELNQPKSEVDLPAGLLSVPLMKHQKIALAWMFQKETNSLHCMGGILADDQGLGKTVSTIALILKQMHEAKLKSKNSGNQEAKALDLDADDESENAFEKPESKVSNGSGVNGSSGIKKAKGEEASTSTRKFNRMRPAAGTLIVCPASVVRQWARELDEKVTDEAKLSVLIYHGGNRTKDPIELAKYDVVMTTYAIVSNEVPKQPLVDDDENDEKNSEKYGLASGFSINKKRKNVVGTTKKSKKKGKNNADDSSSDPDSGTLAKVGWFRVVLDEAQTIKNHRTQVARACCGLRAKRRWCLSGTPIQNTIDDLYSYFRFLKYDPYAVYKSFCHQIKGPISRNSLHGYKKLQAVLRAIMLRRTKGTLLDGQPIINLPPKTINLIKVDFSVEERSFYMKLESDSRSQFKAYAAAGTLNQNYANILLMLLRLRQACDHPQLVKRYNSDSVGKVSEEAVKRLPKEARFSLLSCLESSPICCVCHDPPEDPVVTLCGHIFCYQCVSDYITGDDDTCPVPRCREQLAHDVVFSKSTLRSCIADDLGCSSSQDKGHDKAVFQNGEFSSSKIRAVLNILQSLSNQGSPNSTQNGQMASSSQQPYDDDDDDDDVTIVEKPSLQSTPSNQGPVKTIIFSQWTGMLDLVELSLIENSIEFRRLDGTMSLIARDRAVKEFSNDPDVKVMIMSLKAGNLGLNMIAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRITIKDTVEDRILSLQEEKRKMVASAFGEDHGGSSATRLTVDDLKYLFMV >fgenesh2_kg.1__4122__AT1G50420.1 pep chromosome:v.1.0:1:26307328:26309538:-1 gene:fgenesh2_kg.1__4122__AT1G50420.1 transcript:fgenesh2_kg.1__4122__AT1G50420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMFQEDNGTSSVASSPLQVFSTMSLNRPTLLASSSPFHCLKDLKPEERGLYLIHLLLTCANHVASGSLQNANAALEQLSHLAAPDGDTMQRIAAYFTEALANRILKSWPGLYKALNATQIRTNNVSEEIHVRRLFFEMFPILKVSYLLTNRAILEAMEGEKMVHVIDLDASEPSQWLALIQAFNSRPEGPPHLRITGVHHQKEVLEQMAHRLIEEAEKLDIPFQFNPVVCRLDCLNVEQLRVKTGEALAVSSVLQLHTFLASDDDLMRKNCALRFQNNPSGVDLQRVLMMSHGSAAEAHENDMSNNGYSPSGDSASSLPLPSSGRTDCFLNAIWGLSPKVMVVTEQDSDHNGSTLMERLLESLYTYAALFDCLETKVPRTSQDRIKVEKMLFGEEIKNIIACEGFERRERHEKLEKWSQRIDLAGFGNVPLSYYAMLQARRLLQGCGFDGYRIKEESGCAVICWQDRPLYSVSAWRCRK >fgenesh2_kg.1__4124__AT1G50430.1 pep chromosome:v.1.0:1:26310884:26314631:-1 gene:fgenesh2_kg.1__4124__AT1G50430.1 transcript:fgenesh2_kg.1__4124__AT1G50430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVHSPIVTYASMLSLLAFCPPFVILLWYAMVHQDGSVTQTFGFFWENGVQGLINIWPRPTLIAWEIIFCYGAFEAALQLLLPGKRVEGPISPAGNRPVYKANGVAAYFVTLATYLGLWWFGIFNPAIVYDHLGEIFSALIFGSFIFCVLLYIKGHVAPSSNDSGSCGNLIIDFYWGMELYPRIGKNFDIKVFTNCRFGMMSWAVLAVTYCIKQYEINGKVSDSMLVNTILMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSVYTSPGMYLVNHPVELGTQLAIYILVAGILCIYINYDCDRQRQEFRRTNGKCLVWGRAPSKIVASYTTTSGETKTSLLLTSGWWGLARHFHYVPEILSAFFWTVPALFDNFLAYFYVIFLTILLFDRAKRDDDRCRSKYGKYWKLYCEKVKYRIIPGIY >fgenesh2_kg.1__4127__AT1G50440.2 pep chromosome:v.1.0:1:26314820:26316724:1 gene:fgenesh2_kg.1__4127__AT1G50440.2 transcript:fgenesh2_kg.1__4127__AT1G50440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KFZ7] MQLVPSDDDDDRKERIFLDESTSSNEIVAAERGDRVVEEGQVSEIAETDDDETTLLVSGDQPQCRICLDVGGEDLIAPCNCKGTQKHVHRSCLDNWRSTKEGFAFSHCTECRAFFKLRANVPADRWWLRLRFQLLVARDHAFIFISVQTIVAFLGLLVYKFYGEELREMFGYEEHPYGFYTLAVLAIVLVGLLYGFFIAIICGQKINERHYHVLAKQELTKEYIVEDRDCKNVPELDQSHVMELKMLGLY >fgenesh2_kg.1__4128__AT1G50450.1 pep chromosome:v.1.0:1:26316691:26319183:-1 gene:fgenesh2_kg.1__4128__AT1G50450.1 transcript:fgenesh2_kg.1__4128__AT1G50450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLLQPYRATVLAASSSETKYDGVPEVKLSDPTRNYRVLVLGGTGRVGGSTATALSKLCPKLKIVVGGRNREKGEAMVAKLGENSEFAQVDINDAKMLETSLRDVDLVVHAAGPFQQAPRCTVLEAAIKTKTAYLDVCDDTSYAFRAKSLEAEAIAANIPALTTAGIYPGVSNVMAAEMVAAAISEDKGKPEKLRFSYYTAGTGGAGPTILATSFLLLGEEVTAYKQGEKVKLRPYSGMITVDFGKGIRKRDVYLLNLPEVRSTHEVLGVPTVVARFGTAPFFWNWGMEIMTKLLPSEVLRDRTKVQQMVELFDPVVRAMDSFAGERVSMRVDLECSDGRTTVGLFSHKKLSVSVGVSTAAFVVAMLEGSTQPGVWFPEEPQGIAVEAREVLLKRASQGTFNFILNKPPWMVETEPKEVVLGIYV >fgenesh2_kg.1__4129__AT1G50460.1 pep chromosome:v.1.0:1:26324641:26328406:1 gene:fgenesh2_kg.1__4129__AT1G50460.1 transcript:fgenesh2_kg.1__4129__AT1G50460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:D7KFZ9] MGKVAVAFAAVAVVAACSVAAVMVGRRMKSRRKWRTVVEILKELEDDCDTPVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTFVDDLPTGREKGTYYALHLGGTYFRILRVHLGGQRSYLDVQDVERHPIPSHLMNSTSEVLFNFLAFSLERFIEKEGNGSNSQGVRRELAFTFSFPVKHTSISSGVLIKWTKGFEISEMVGQDIAECLQGALNRRGLDMHVGALVNDTVGALSLGYYHDPDTVVAVVFGTGSNACYLERTDAIIKCQGLLTTSGSMVVNMEWGNFWSSHLPRTSYDIDLDAESSNANDMGFEKMISGMYLGDIVRRVILRMSQESDIFGPISPVLSEPYVLRTNSVSAMHEDDTPELQEVARILKDLGVSDLPVKVRKLVVKICDVVTRRAGRLAAAGIAGILKKIGRDGSGGITSGRSRSEIQMQKRTVVAVEGGLYMNYTMFREYMEEALVEILGDEVSQYVVVKAMEDGSSIGSALLVASLQS >fgenesh2_kg.1__412__AT1G04710.1 pep chromosome:v.1.0:1:1600651:1603123:1 gene:fgenesh2_kg.1__412__AT1G04710.1 transcript:fgenesh2_kg.1__412__AT1G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KE71] ASPCLAKESAAYQYGDDVVIVAAQRTPLCKAKRGSFKDTYPDELLASVLRGLIEKTNVNPSEVGDIVVGTVLGPGSQRASECRMAAFYAGFPETVPIRIVNRQCSSGLQAVADVAAAIRAGFYDIGIGAGLESMTTNPRGWKGPVNPKVKKFEQAHSCLLPMGITSENVANRFNVSREEQDQAAVDSHRKAAFATASGKFKDEILPVKTKIVDPKTGDEKSITVSVDDGIRPNTTLSGLAKLRPVFKEDGTTTAGNSSQLSDGAGAVLLMRRNVAMQKGLPILGVFRTFAAVGVDPAIMGVGPAVAIPAAVKAAGLELNDIDLFEINEAFASQFVYCRNKLGIDPEKVNVNGGAIAIGHPLGATGARCVATLLHEMKRRGKDCRFGVVSMCIGSGMGAAAVFERGGGVDELCDVRKVGL >fgenesh2_kg.1__4130__AT1G50480.1 pep chromosome:v.1.0:1:26361360:26364210:1 gene:fgenesh2_kg.1__4130__AT1G50480.1 transcript:fgenesh2_kg.1__4130__AT1G50480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:10-formyltetrahydrofolate synthetase [Source:UniProtKB/TrEMBL;Acc:D7KG02] MSSSSRKLEVVSPVPADIDIANSVEPLHISEIAKDLNINPLHYDLYGKYKAKVLLSAFDELQGQEDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAYLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITASNNLLAAAIDTRIFHETSQSDKALFNRLCPPNKEGKRSFSDIMFRRLTKLGISKTSPEELTPEEIKKFARLDIDPASITWRRVMDVNDRFLRKITIGQGPEEKGMTRETGFDISVASEIMAVLALTTSLGDMRERLGKMVIGNSKAGDPITADDLGVGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPGGFVVTEAGFGSDIGTEKFMNIKCRYSGLTPQCAIVVATVRALKMHGGGPDVVAGRPLDRAYVNENVSLVEAGCVNLAKHISNTKAYGVNVVVAVNMFSTDTEAELNAVRKFSMDAGAFDAVVCSHHAHGGKGAVDLGIAVEKACQNITQPLRFLYPLDISIKDKIEAIAKSYGASGVEYSDQAEKQIEMYTQQGFSNLPICMSKTQYSFSHDASKKGAPSGFVLPIRDVRGSIGAGFIYPLVGTMSTMPGLPTRPCFYEIDIDTETGKVRGLS >fgenesh2_kg.1__4132__AT1G50500.1 pep chromosome:v.1.0:1:26379655:26387852:-1 gene:fgenesh2_kg.1__4132__AT1G50500.1 transcript:fgenesh2_kg.1__4132__AT1G50500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat-intolerant 1 [Source:UniProtKB/TrEMBL;Acc:D7KGP5] MDKSSALEYINQMFPTEASLTGVEPLMQKIQGEIRRVDASILSAVRQQSNSGTKAKEDLADATRAVEELSHKIQEIKSKAEQSEAMVQEICRDIKKLDFAKKNITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCNHFEAYRDVPKITELREKLNNIKQILKSHVFSDFSSLGTGKETEETNLLQKLSDSCLVVDALEPSVREELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRIRTNEEIWKIFPASWHVPYRLCIQFCKQTRKQVESILVNMKEKPVVAILLLALQRTVEFEKELEKKFGGGVPTKDIEDDIEEIGTWEDNSQNISKIRKKYEKKFAASQESEENGFQQEKTGNKDLSVTGAGFNFRGMISSCFEPHLTPYIELEEKTLMDDLEKIVQEETWDIEDGSQNNVLSSSTQLFSNIKKSLKRCNTLSKNQTLFNLFKVFQRVLKAYATKLFGKLPKGGTGIVAAATGMDGQIKVSERDERVICYIVNSAEYCHKTSGELAENVSEIIDPHYADGVDMSEVQDEFSAVITKALVTLVLGFETKFDTEMAAMTRVPWSTLESVGDQSGYVNGINTILSGSIPILGKLLTPVYFQFFLDKLASSLGPRFYANIFRCKQLSETGAQQMLLDTQAVKSILLEIPSLARQTSTAASYSKFVSREMSRAEALLKVILSPIDSVADTYRALFPEGTPMEFQRILELKGLKKADQQSILDDFNKHGPGFTQQSVAAAMPQPMPTPPAPPLAITNPATAAGFIANSEDVLTRAAALGRGAASTGFKKFIALTEAAKDRKDGPLRRLFNA >fgenesh2_kg.1__4134__AT1G50560.1 pep chromosome:v.1.0:1:26391037:26392928:1 gene:fgenesh2_kg.1__4134__AT1G50560.1 transcript:fgenesh2_kg.1__4134__AT1G50560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP705A25 [Source:UniProtKB/TrEMBL;Acc:D7KGP7] MAAITVDFQLCFIFILLGLFSLFYCGLPLSPPSLPVIGHLHFLLSVPCYKSFQKLSSKYGPLLHLRAFNSPIVLVSSGFMANEVLKTQDLNFATRQREVPIMERSLLFGSFSFVSAPYGDYWRFMKKLLVEKLLGSHSLEQTRLIRAKELKTFRAMLFDKAAEKETVDVGKEMMKLTNNSICRMIMGRKCSEENGEAEQVRGLVTKSLSLAKKFLIASIVGRFFKLVGISLFEKEIMEVSQMYDELLEKIMKEHEENPDKKENIDMMDVLLEVCADDNAEFQISRNQIKALFVELFIAGTDTSAQTTQWIMAELINHPEILKRLRKEIESVVGETRFIQETDLPSLPYLQAVMKEGLRLHPHTPILARNATEGCKIGGYYIGQNTTMIINAYAVLRDPDSWEYPGEFQPERFMTSPSKGKEDERAQLALNYIPFGSGRRGCLGKNLGYIFIGVAIGTMVQCFDWKINGDKVYMEETGEMALHMAHPLKCTLVTRINAASFESADL >fgenesh2_kg.1__4140__AT1G50580.1 pep chromosome:v.1.0:1:26399989:26401335:1 gene:fgenesh2_kg.1__4140__AT1G50580.1 transcript:fgenesh2_kg.1__4140__AT1G50580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KGQ1] MGSKFHAFMYPWFGFGHMIPYLHLANKLAEKGHTITFFLPKKAHKQLQPLNLFPDSIVLEPLSLPPADGLPFGAETASDLPNSTKKPIFLAMDLLRDQIEAKVLALKPDLIFFDFVHWVPEMAKEFGIKSVNYQIISAACVAMVLAPSAELGFPPPDYPLSKVALRGHDANVCSLFANSHELFGLITKGLKNCDVVSIRTCVELEGPMLPEPQNKSGKPLEDRWNHWLNVFEPGSVVFCAFGTQFFFEKDQFQEFCLGMELTGLPFLIAVMPPKGSSTVQEALPEGFEERVKGRGIVWEGWVEQPLILSHPSVGCFVNHCGFGSMWESLVSDCQIVFIPQLADQVLITRLLTEELQVSVKVQREDSGWFSKENLRDAVKSVMDRDSEIGNLVKKNHKKLKDTLVSPGLLSGCADKFVEALENEVNNTKSS >fgenesh2_kg.1__4145__AT1G50600.1 pep chromosome:v.1.0:1:26414005:26416392:-1 gene:fgenesh2_kg.1__4145__AT1G50600.1 transcript:fgenesh2_kg.1__4145__AT1G50600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVQTFDSYCTLESSSGTKSHPCLNNINNSSSTTSFSSNESPISQANNNNLSRFNNHSPDDNNNSPLSGSSATNNNETELSLMLKDLETAMMEPDLDNSFNHQGGFGQQHRVVSSAMYRAMEMISRGDLKGVLYECAKAVENYDLEMTDWLISQLQQMVSVSGEPVQRLGAYMLEGLVARLASSGSSIYKALRCKDPTGPELLTYMHILYEACPYFKFGYESANGAIAEAVKNESFVHIIDFQISQGGQWVSLIRALGARPGGPPKVRITGIDDPRSSFARQGGLELVGQRLGKLAEMCGVPFEFHGAALCCTEVEIEKLGVRNGEALAVNFPLVLHHMPDESVTVENHRDRLLRLVKRLSPNVVTLVEQEANTNTAPFLPRFVETMNHYLAVFESIDVKLARDHKERINVEQHCLAREVVNLIACEGVEREERHEPLGKWRSRFHMAGFKPYPLSSYVNATIKGLLESYSEKYTLEERDGALYLGWKNQPLITSCAWR >fgenesh2_kg.1__4146__AT1G50610.1 pep chromosome:v.1.0:1:26419534:26422175:1 gene:fgenesh2_kg.1__4146__AT1G50610.1 transcript:fgenesh2_kg.1__4146__AT1G50610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWENPFTLACNTALKKNLPSCIFLIIFISVLCPVAMSQVVVPDSDADCLLRFKDTLANGSEFTSWDPLTSPCQGNTANWFGVLCSNYVWGLQLEGMGLTGKLNLDPLVPMKNLRTISFMNNNFNGPMPQVKRFTSLKSLYLSNNRFSGEIPADAFRGMPLLKKILLANNAFRGTIPSSLASLPMLLELRLNGNQFQGQIPSFQQKDLKLASFENNDLDGPIPESLRNMDPGSFAGNKDLCDAPLSPCSPSSPGVPVVPVSPVDPKSTSPSTGKKTGSFYTLAIILIVIGIILVIIALVFFFVQTRRRNFLSAYPSAGKERIESYNYHQSASKNNKPAESVVNHTRRGSMPDPGGRLLFVRDDIQRFGLQDLLRASAEVLGSGTFGASYKAAISSGQTLVVKRYKHMNNVGRDEFHEHMRRLGRLNHPNILPLVALLLPEEKLLITQLMPNSSLANHLHANHSAGLDWITRLKIIKGVAKGLSYLFDELPTLTIPHGHIKSSNIVLDESFEPLLTDYALRPVMSSEHAHNFMTAYKSPEYRPSKGQVITKKTDVWCFGVLILEVLTGRFPENYLTQGYDSNMSLVTWVNDMVKEKKTGDVFDKEMKGKKNCKAEMINLLKVGLRCCEEEEERRMDMREVVEMIEMLREGESEDDFGSMDHRGTHNNLYSSMLLDDDDFGFSMNR >fgenesh2_kg.1__4147__AT1G50620.1 pep chromosome:v.1.0:1:26422828:26425573:1 gene:fgenesh2_kg.1__4147__AT1G50620.1 transcript:fgenesh2_kg.1__4147__AT1G50620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KGQ6] MDVAISDVPENLESVEEKRDEENVEESPVKKKPRFDEEVNRVAEIVLVLSALRKIRGGKTPTELEIELMVEAKSKLVDMCQEFTPKDIIGIDAIGAVIEDLGLNGKLKDQRLGFRAPKLTISEKLSLGKRKMEELKKAPIVSTTYTSPASNVSMAHQWPNSDMKASTSSVNASGREASGITQARMERPQFKSDMHTGTSQGPAVPAGNYFGNTTSWSAQPHSSSSTISFGTASDSKVPVQGSSRISDPSFRPFMSQTPPGPFPGMKGVTYGQTSSSFGNNHHAEIAKLIHKVLQPQAKQNLLWNPPSREYMSKAMTCQMCQGTINEVETVLICDACEKGYHLKCLQAHNIKGVPKSEWHCSRCVQLYNGKSFPPKYGRVMRSATTAKMSSSTAEVQLPTEKRVGKMDQKVSQEAMPHLETAKPTKDSAMEQTVEDAAMEQTVEDAAMNPIVEAEGEAMNPTVEAEDGAMNPIVEKAMSQIVEAEDAAINQAVDANLKTQFPIANDDAECDDPSEPVSQSETLNLPEPEKKEVLSKNPTERCVSANSQDKNSKIIAESPLQEENSASQTENSPTQLPLQSNTDHSQPQNMTPNVEEAIQKNVTENPEEDKSF >fgenesh2_kg.1__4150__AT1G50640.1 pep chromosome:v.1.0:1:26444740:26446005:-1 gene:fgenesh2_kg.1__4150__AT1G50640.1 transcript:fgenesh2_kg.1__4150__AT1G50640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATERF3/ERF3 [Source:UniProtKB/TrEMBL;Acc:D7KGQ9] MRRGRGSSAVAGPTAVAAINGSVKETRFRGVRKRPWGRFAAEIRDPWKKARVWLGTFDSAVEAARAYDSAARNLRGPKAKTNFPIDCSSPSPQQRFNQIQNQNQNQVDPFMDHRLYADHQQQFPIVNRPTSSSMSSTVESFSGPRPTTMKPATTKRYPRTPPVVPEDCHSDCDSSSSVIVDDDDIASSSRRRNPPFQFDLNFPPLDDVDLFNGSDDLHCTDLRL >fgenesh2_kg.1__4153__AT1G50670.1 pep chromosome:v.1.0:1:26475298:26477239:-1 gene:fgenesh2_kg.1__4153__AT1G50670.1 transcript:fgenesh2_kg.1__4153__AT1G50670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIVRRVIPSDNSCLFNAIGYVMDKDKNKSPELRQVIAAAVASNKEKYNEAFLGKHNEEYCAWILNPEKWGGAIELSILADYYGREIAAYDIQTSRCDLYGQTKNYNERVMLIYDGLHYDALALSPFEGAEEDFDMTIFPVGKDRSIGSIEGLVLNLVKDQQRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYK >fgenesh2_kg.1__4154__AT1G50680.1 pep chromosome:v.1.0:1:26480635:26481648:-1 gene:fgenesh2_kg.1__4154__AT1G50680.1 transcript:fgenesh2_kg.1__4154__AT1G50680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNDEPENALVVSSATKTVVVSGNVKYKGVVQQQNGHWGAQIYADHKRIWLGTFKSAAEAATAYDSASIKLRSFDANSHRNFPWSNITVHEPDFQDCYTTETVLNMIRDGSYPHKFRDFLRVRSQIVASMNIGGTKQVRGEVNQESDKCFSCTQLFQKELTPSDVGKLNRLVIPKKYAVKYMPFISDDQSEKEDGEIGGSVEDVEVVFYDRAMRQWKFRYCYWKSSQSFVFTRGWNSFVKEKNLKEKDVIVFYTCDVPNNVKTLEGQSKTFLMIDVHYFSDNGTVVAEEVSMTVHDSSEEGMKTENFVSSKSKDKETKTEENKGGFMLFGVRIQCP >fgenesh2_kg.1__4155__AT1G50691.1 pep chromosome:v.1.0:1:26484778:26485050:-1 gene:fgenesh2_kg.1__4155__AT1G50691.1 transcript:fgenesh2_kg.1__4155__AT1G50691.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGR8] MATHYSGRFPQNFQTLPISNNHGLGGQSMDQIYFGFQFIRNVGRTRKSRAVKPYETTKKKTNTRKTNQKRRKKDEEANKL >fgenesh2_kg.1__4156__AT1G50700.1 pep chromosome:v.1.0:1:26485807:26488353:1 gene:fgenesh2_kg.1__4156__AT1G50700.1 transcript:fgenesh2_kg.1__4156__AT1G50700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 33 [Source:UniProtKB/TrEMBL;Acc:D7KGR9] MGNCLAKKYGVVMKPQQNGERSAEIENRGRSNHQYPPEKPTGTNQPPPWRNPAKHSAAAAILEKPYEDVKLFYTLSKELGRGQFGVTYLCTEKSTRKRFACKSISKKKLVTKADKEDMRREIQIMQHLSGQPNIVEFKGAYEDEKAVNLVMELCAGGELFDRILAKGHYSERAAASVCRQIVNVVNICHFMGVMHRDLKPENFLLSSKDEKALIKATDFGLSVFIEEGRVYKDIVGSAYYVAPEVLKRRYGKEIDIWSAGIILYILLSGVPPFWAETEKGIFDAILEGEIDFESQPWPSISNSAKDLVRRMLTQDPKRRISAAEVLQHPWLREGGEASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENIDTEEIQGLKAMFANIDTDNSGTITYEELKEGLAKLGSKLTEAEVKQLMDAADVDGNGSIDYIEFITATMHRHRLESNENLYRAFQHFDKDGSGYITIDELEAALKEYGMGDDATIKEILSDVDADNDGRINYEEFCAMMRSGNPQQPRLF >fgenesh2_kg.1__4157__AT1G50710.1 pep chromosome:v.1.0:1:26488526:26491526:-1 gene:fgenesh2_kg.1__4157__AT1G50710.1 transcript:fgenesh2_kg.1__4157__AT1G50710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKALQGAAQNLPADVNQLIDQLERHCLAPDGSLVTKSAYSDLQLAREEMSRERLRYLEAMAIYCEAVAMVEEYQQAISVANHGGIRDVQGLYPQLGLKNSPQVYETLEHRLVVAEAAQKLRLPLISDDGEIHEEEIEKWSILSRSSLDSASTSFTISSTSNSVNYVNSSANSVAGGISLSTIDTDVVGGVPNRFLGITPAYLSYVQLQNTISMDMADYQMFLAREIEGRLKDKCDKLADAIVDDTDSSTGNRNSSARLPERVKFIIEEIERDEAALREDLYSADRKFAEYYNVLEQILGVLIKLVKDLKLEHQHKYNEMQKTWLCKRCETMNAKLRVLENVLLLETYTPDSISALHNIRNYLVEATEEASAAYNKAVTRLREYQGVDPHFDTIARQYHDIVKKLENMQWTIHQVEMDLKSHD >fgenesh2_kg.1__4162__AT1G50840.1 pep chromosome:v.1.0:1:26591544:26596934:1 gene:fgenesh2_kg.1__4162__AT1G50840.1 transcript:fgenesh2_kg.1__4162__AT1G50840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLTSHNNPLLRHLSPSSSWVSRSSSRFSSSPLPSFLFPCRRTLLQRKLSSTEGNVGYSTTTVCQGFQHPGHQRSSSVVLNGEWELRSESNKVRMVPKIIKVGNQTEVAETHQVPGTVSAWREEANKLRERNGQIARNLDDSGYFNGSVPIISSAPSYETSQKIDYEFKPRGNTTATLNKELIGVTQSEPVVSLPSKSLDVGDNMDVNPSGEGKRRPLISGKSSGTANSNRNTVAISKVEKSTEPSNVRENLRKIYDKVLVVDNVQAAKDTVAKLVNQYRNHVHSCDTEVSGIEVKEETPVDHGELICFSIYCGPEADFGYGKSCIWVDVLGENGREVLAEFKPYFEDSFIRKVWHNYSFDSHIIRNHGIEISGFHADTMHMARLWDSARRIEGGYSLEALTSDPKVLGGTQTKEEADFLGKISMKTIFGKRKLKKDGSEGKMVVIPPVEELQREDREAWISYSALDAISTLKLYESMTKKLQMMDWRLDGKPVLERTMLDFYHEFWRPFGELLVKMEAEGILVDREYLAEIEKVAKAEQQVAGSRFRNWASKYCPDAKYMNIGSDTQLRQLFFGGISNSVNGEVLPVEKLFKIPNIDKVIEVGKKTATKFRNIKLHRISDSPLSTENLTASGWPSISGDVLKELAGKVSAEYDFMEDVSDISLEEVAEDNDADQTSKTQKSKTDVQTDTSAYGIAYVAFGGGERGKEACHAIASLCEVCSIDALISNFILPLQGSNVSGKDGRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRKAFVASPGNTLVVADYGQLELRILAHLTGCKSMMEAFKAGGDFHSRTAMNMYPHIREAVENGQVILEWHPQPGEDKPPVPLLKDAFGSERRKAKMLNFSIAYGKTAIGLSRDWKVSVEEAQDTVKLWYNDRQEVRKWQERRKKEAIEDGYVRTLLGRSRRFPRYKSRAQKNHIQRAAINTPVQGSAADVAMCAMLEISTNQQLKKLGWRLLLQIHDEVILEGPIESAEIAKDIVVDCMSKPFNGRNILSVDLSVDAKCAQNWYAAK >fgenesh2_kg.1__4163__AT1G50870.1 pep chromosome:v.1.0:1:26646626:26649496:1 gene:fgenesh2_kg.1__4163__AT1G50870.1 transcript:fgenesh2_kg.1__4163__AT1G50870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPEENTERIHRKRRKRQSSSTPKTTTLLFPLDLILEILLRLPVKSVLRFRCVSKLWLSITTDPYFTNAYGARSSTRPSLLMFFKSRGKLFVYTFPHHNQNSNEPHSYSQHVDSYHIKYPKQCCFRFTESVHGLISFRISTKPIIWNPTMRQFLILPKPEKSWKGLSVLLGYDPVEGKHKLMCIPRDSTCDECRVLTLGLAQKSWRRIKTNHKHRSISFHIISKTVCNILWIGLRKIRLRRKKRKHKNCLRLACVNNTMKNDVNGGITLWTLENAEKHIWSCKLFLAPFAHYGRSLITDFKLDGITHDGEFIYVPVPSTFLKSFYILYFDPKKSNFRKVEFRGTTDEEFRLSNGFGDKRQNRLHTFSHHMQSLTSFY >fgenesh2_kg.1__4166__AT1G50910.1 pep chromosome:v.1.0:1:26672403:26675640:-1 gene:fgenesh2_kg.1__4166__AT1G50910.1 transcript:fgenesh2_kg.1__4166__AT1G50910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTQVKALEEKLKSQLGQLELEQAVFERMVYKNKNQHRRCSYFQYLLKVRRDLRLLRTANMESMLRPCFHVISGRISKQKIHVLESLKLKKSDTGKPNILERLLGALHLLSQMTEPILKAASGISTLLARSFFIGFSVTFLALLARLRVLIQQILLDAVSIFNSVTSTSLKKQSVKIAQDGVEVFREFYPKEEECITLLDCVWKTDKYVLLETLQNYENSKPMEENVSEDATTRDSLVQYQTSVSSLGEDLSPLLEADSSGVTVRKSSTPIAEAASSKTNIGLQPEDSEKLEDVTTRDCSVQYETFVSPLGEDLSPLREADNCGVTVRESSTPIAEAAPSKTNNALQPEDSENPEDATTRDCSIQYQTFVFPLGEDITPSPLPDADENRYVTATESLTPIAEAASSKTNNGSQTEDSKKVEDESTNPVSPTKINRDTVKPRCRATKVAFLPVKRPSSAIMPNTIEERPRKKQETGEKDKKEEDGFYNLLIRGTQKDSLF >fgenesh2_kg.1__4167__AT1G50930.1 pep chromosome:v.1.0:1:26679211:26680226:1 gene:fgenesh2_kg.1__4167__AT1G50930.1 transcript:fgenesh2_kg.1__4167__AT1G50930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVNNCVRQKVFSNHQIKTIHEEEDQEESSWIVYFEDIDHDDEMVETEGEMTHYYDNDSSMISDAASPVHTTKINNVVRRKANNINTNPKKRRIIHQHKEEEEDLQKGEEEEEDEEDTASSPSNKTKVFSVLDGANDNTRYGKSMYNVTSEEIGCITETGSKIKEIMNEEFSAELKKRGLCVVPLSMLSNLIA >fgenesh2_kg.1__4168__AT1G50940.1 pep chromosome:v.1.0:1:26680199:26682356:-1 gene:fgenesh2_kg.1__4168__AT1G50940.1 transcript:fgenesh2_kg.1__4168__AT1G50940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Etfalpha [Source:UniProtKB/TrEMBL;Acc:D7KGU5] MTRTVLLRALTKNKFVASNAPRSISISISITNLSRCISTLIIAEHESGSIKPQTVSAVVAANSLGENSSISLLLAGSGSSLQEAASQAASCHPSVSEVLVADSDKFEYPLAEPWAKLVDFVRQQGDYSHILASSSSFGKNILPRVAALLDVSPITDVVKILGSDQFIRPIYAGNALCTVRYTGAGPCMLTIRSTSFPVTPITADSESKKATISQIDLSNFKEDSVRKSRYVGSSTQDTERPDLGSARVVITGGRALKSVENFKMIEKLAEKLGGAVGATRAAVDAGYVPNDLQVGQTGKIVAPELYMAFGVSGAIQHLAGIKDSKVIVAVNKDADAPIFQVADYGLVGDLFEVIPELLEKLPEKK >fgenesh2_kg.1__4169__AT1G50950.1 pep chromosome:v.1.0:1:26691224:26695051:1 gene:fgenesh2_kg.1__4169__AT1G50950.1 transcript:fgenesh2_kg.1__4169__AT1G50950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTKIKSVDFYRKIPRDLTEASLSGAGLSIVAALAMLFLFGMELSSYLAINTSTSVIVDKSSDGDFLDIDFNISFPALSCEFASVDVSDVFGTHRLNITKTIRKVPIDPHLRATAAEFHSSSGLHLINHGDEDHDENSTYADIPLTGAAFEKFTHHFQILVVNFYAPWCYWSNRLKPSWEKASQITRERYNPGTDDRVLLGSVDCTEEPTLCKSNHIQGYPSIRIFRKGSDLREDHGNHEHESYYGDRDTDSLVKMVEELLKPIKKEDHKLALDGKSDNAASTIKKAPVSGGCRIEGYVRAKKVPGELVISAHSGAHSFDASQMNMSHIVTHLSFGTMVSERLWTDMKRLLPYLGQSHDRLNGKSFINQRKFDVNVTIEHYLQIVKTEVISRRSGKEHSLIEEYEYTAHSSVAHSYHYPEAKFHFELSPMQVLISENPKSFSHFITNVCAIIGGVFTVAGILDSIFQNTVRMVKKIELGKNI >fgenesh2_kg.1__4174__AT2G13640.1 pep chromosome:v.1.0:1:26798230:26805655:-1 gene:fgenesh2_kg.1__4174__AT2G13640.1 transcript:fgenesh2_kg.1__4174__AT2G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KGV9] KDFEGESDLCDLTTAAIKATYNTRNPCEVERMSDASISIGGTLICKEAQALFHSWLKTIYAQGSDNSFKADHLKMKKHVLTRCSELKKKEVQRSMAREKFIEASQSIYKSLFKLSFNHTTEFRFALEDDMTKKPREDVTYVLSFGSLIKKTIKTEKELYNKKVDEMVKQFVAANKAVDVANTKGVLSGKREVSRCIDALLLLMKINITPKPKEPRRMMDKLEGFTKHKDRKICHVASALLHFWRQRIREQERKDSGPRRLLTTLASLENLQICEDHGLNKESL >fgenesh2_kg.1__4175__AT1G47600.1 pep chromosome:v.1.0:1:26863775:26869149:-1 gene:fgenesh2_kg.1__4175__AT1G47600.1 transcript:fgenesh2_kg.1__4175__AT1G47600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7KGW3] MAITKAHYSLAVLVVLFVVSSSQKVCNPECKAKEPFHCDNTHAFNRTGFPKNFTFGAATSAYQIEGAAHRALNGWDYFTHRYPEKVPDRSSGDLACDSYDLYKDDVKLLKRMNVQAYRLSIAWSRVLPKGRLIGGVDENGITYYNNLINELKANGIEPYVTIFHWDVPQTLEDEYGGFLSSRIVEDYTNYAELLFQRFGDRVKFWITLNQPFSLATKGYGDGSYPPGRCTGCEFGGDSGVEPYTVAHNQLLAHAKAVSLYRKRYQKFQGGKIGTTLIGRWFAPLNESNNLDKAAAKRAFDFFVGWFLDPLVYGKYPKIMREMVGDRLPEFTSEESALVKGSLDFLGLNYYVTQYATDAPAPTQPSAITDPRVTLGFYRNGVPIGVVAPSFVYYPPGFRQILNYIKDNYKNPLTYITENGVADLDLGNVTLATALADNGRIQNHCSHLSCLKCVMMDGCNVAGYFAWSLMDNYEFGNGYTLRFGMNWVNFTNPADRKEKASGKWFSRFLAK >fgenesh2_kg.1__4177__AT1G51060.1 pep chromosome:v.1.0:1:26884992:26885700:1 gene:fgenesh2_kg.1__4177__AT1G51060.1 transcript:fgenesh2_kg.1__4177__AT1G51060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:D7KGW6] MAGRGKTLGSGSAKKATTRSSKAGLQFPVGRIARFLKKGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKTGASKPSAEDD >fgenesh2_kg.1__4178__AT1G51070.1 pep chromosome:v.1.0:1:26885989:26887777:1 gene:fgenesh2_kg.1__4178__AT1G51070.1 transcript:fgenesh2_kg.1__4178__AT1G51070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7KGW7] MVSPENTNWLSDYPLIDGAFSDQNPTFPWQIDASATVSVEVDGFLCDSDVIKEPGSRKRIKTESCAGSNSKACREKQRRDRLNDKFTELSSILEPGRAPKTDKVAIINDAIRMVNQAREEAQKLKDLNSSLQEKIKELKDEKNELRDEKQKLKIEKERIDQQLKAIKTQPQPQSCFLPNPPTLSQAQAPGSKLVPFTTYPGFAMWQFMPPAAVDTSQDHVLRPPVA >fgenesh2_kg.1__4179__AT1G51100.1 pep chromosome:v.1.0:1:26892090:26893015:1 gene:fgenesh2_kg.1__4179__AT1G51100.1 transcript:fgenesh2_kg.1__4179__AT1G51100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTFLLQSLSSTNLHITVPIRTYSFGLRTTKFSTKCSSKPEPKDQFINLTPAPESINTTSAEKFPIEKRRRSEIIRDRTQRGIEKQEPPNFEIGWKRTKEINLEKPKGYVIMDFLEKFEALMAREFGSKELLAKAGEIVAERAREEAEVLRDEGKVEERMVTELFRVLKLMEMDLAMVKASVKDETLSQRIEQARARCRQAILVANSF >fgenesh2_kg.1__417__AT1G04780.1 pep chromosome:v.1.0:1:1619649:1622071:-1 gene:fgenesh2_kg.1__417__AT1G04780.1 transcript:fgenesh2_kg.1__417__AT1G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIDVTKYGHSPVHHAVVTRDYAGLKKLLSALPRMRDPSEVKNEAASVAEETKADSIAAVIDRRDVVNRDTALHLAVKLGDETSAEMLMAAGADWSLQNEHGWSALQEAICGREERIAMIIVRHYQPLAWAKWCRRLPRLLATMHRMRDFYMEITFHFESSVIPFISRVAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQTILFLGDGSEDGKVPSGSLLMISHKDKEIMNALDGAGAAASEEEVRQEVAAMSKTSIFRPGIDVTQAVLFPQLTWRRQEKTEMVGQWKAKVYDMHNVVVSIKSRRVPGAMTDEELFSNTNQENDTESEDLGDILTEDEKRQLELALKLDSPEESSNSESSRISQKQNSCSFEDREIPVTDGNGYCKQEKKGWFSGWRKREEGHKRSSVPPRSSLSVDEKVSDLLGDDDSPSRGGRPIKPGRHSTVETVVRDENRGLRDSSKASTSEGSGSSKRKEGSKENEYKKGLRPVLWLSERFPLQTKELLPLLDILANKVKAIRRLRELMTTKLPSGTFPVKVAIPVIPTIRVLVTFTKFEELEAIEDEFVTPPSSPTSSVKNSPREEIQSLSNSSSSWFQWIKTPSQRPSTSSSSAGFNIGKAENDQDPFAIPRGYNWITAEEKKKKVQEKNKAKKGKSSQNS >fgenesh2_kg.1__4180__AT1G51110.1 pep chromosome:v.1.0:1:26893150:26895484:1 gene:fgenesh2_kg.1__4180__AT1G51110.1 transcript:fgenesh2_kg.1__4180__AT1G51110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein pap [Source:UniProtKB/TrEMBL;Acc:D7KGX1] MVALRFYTVEMSLPCLCQCPSSPISLSSSSPRYNLLNTTSKRLDSSRNCRTLRISCSSSSTVTDQTQQSSFNDAELKLIDALIGIQGRGKSASPRQLNDVDSAVKVLEGLEGIQNPTDSDLIEGRWRLMFTTRPGTASPIQRTFTGVDVFTVFQDVYLKTTNDPRVSNIVKFSDFIGELKVEAAASIKDGKRVLFRFDRAAFSLKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSPSGNLRISRGNKGTTFVLQKETLPRQKLLATISQDKGVAEAIDEFLATNSNPAEDDYELLEGSWQMIWSSQMFTDSWIENAANGLMGRQIIEKDGRIKFEVNIIPAFRFSMKGKFIKSESSTYNLKMDDAAIIGGAFGYPVDITNNIELKILYTDEKLRISRGFDNIIFVHIREI >fgenesh2_kg.1__4181__AT1G51120.1 pep chromosome:v.1.0:1:26895910:26896971:1 gene:fgenesh2_kg.1__4181__AT1G51120.1 transcript:fgenesh2_kg.1__4181__AT1G51120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMSYEAKTTTETSGSSDSVLHITKRMKPTLVTTTTIKPALSNTTKYKGVVQQQNGHWGAQIYAEHKRIWLGTFKSAPEAAAAYDSASIKLRSFDANSHRNFPWSDFTVHEPDFQECYSTEAVLNMIRDGSYQHKFRDFLRIRSQIVANINIVGSKQVRGGEGGAQESNKCFSCTQLFQKELTPSDVGKLNRLVIPKKYAVKYMPFISDDQSEKETSEGVEDVEVVFYDRAMRQWKFRYCYWRSSQSFVFTRGWNGFVKEKNLKEKDIIVFYTCDVPSNVKTLEGQSKNFLMIDVHYFSGNGFNLPEEVNKTVHESSDEEMKTETLFSSKLEEETKSEEKKGGFMLFGVRIQ >fgenesh2_kg.1__4182__AT1G51130.1 pep chromosome:v.1.0:1:26897102:26899637:-1 gene:fgenesh2_kg.1__4182__AT1G51130.1 transcript:fgenesh2_kg.1__4182__AT1G51130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTVKRESEAPGGSGGKREADEEPERLRSVKKEKQRKAGAGFVRPDEPPPTQEEEQGISDRRILRSKYLSLQNEINDSKDDLMKIDSDKFSRIINEVENLHKQVRKPREQIADAEALLDIANTVMSSVKSQSAHGVSPAEFVNALVSGFGQGSLGIDTDETAQVSLKWKDLGFAVCSTVLVSCGCSTMLGPMDTELKQRKRAANRKRTKPGEGVRPDEVDDSQSEEKTDTDKNMAIMFTILGKKRRVRLENLVLNRRSFAQTVENLFALSFLAKDGRVEIIVDKSGSHFALPRNGPDAILVMSGEVIYNHFVFRLDFKDWKLMSEMVPLGQELMPHRETAVASSSCPAASADFTQDTQTTPIRKLSRNRGLVVQEETVVEEETVVEDTPDTNGDETRRRCKRKLT >fgenesh2_kg.1__4183__AT1G51140.1 pep chromosome:v.1.0:1:26906636:26908964:-1 gene:fgenesh2_kg.1__4183__AT1G51140.1 transcript:fgenesh2_kg.1__4183__AT1G51140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7KHN3] MESEFQQHHFLLHDHQHQRPRNSGLIRYQSAPSSYFSSFGESIEEFLDRPTSPETERILSGFLQTTDTSNNVDSFLHHTFNSDGTEKKPPEVKTEEDETEIPVTVTTMEVVSGEISVNPEESIGYVASVSRSLGQNKRPREKDDRTPVNNLARHNSSPAGLFSSIDVETAYAAVMKSMGGFGGSNVMNTSTTEASSLTPRSKLLPPTSRAMSPISEVDVKPGFSARLPPRTLSGGFNRSFGNEGSASSKLTAIARTQSGGLDQYKTKDEDSASRRPPLAHHMSLPKSLSDIEQLLSDSIPCKIRAKRGCATHPRSIAERVRRTKISERMRKLQDLVPNMDTQTNTADMLDLAVQYIKDLQEQVKTLEETRARCRCSSA >fgenesh2_kg.1__4186__AT1G51170.1 pep chromosome:v.1.0:1:26929682:26931046:-1 gene:fgenesh2_kg.1__4186__AT1G51170.1 transcript:fgenesh2_kg.1__4186__AT1G51170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KHN6] METRPSSSSLTPATNLNLDRLKVLKLLGKGATGTVFLVHDSVSDSSVSSPFALKLVDKSSASSLRRARWEIQILRRLSDDTNPNTFLPKLLASSESSEFIAWALPYCSGGDLNVLRHRQNDGVFSSSVIKFYLAEIVCALDHLHTMGIAYRDLKPENILLQESGHVTLTDFDLSCSLNKPTRPDFYLSDLEPDPNSESKLSHKRSLRIFRQKKKTKSARVNPITRRRLSFSGGERSNSFVGTDEYISPEVIRGDGHDFAVDWWALGVLTYEMMYGETPFKGRSKKETFRNVLMKEPEFAGKPSELTDLIRGLLVKDPTKRLGFRRGAAEIKEHAFFRGVRWDLLTEVLRPPFIPLRDDGELTGKVTAESGFGIKEYFEKLKTLPLPLPHECPENNPFVDF >fgenesh2_kg.1__4188__AT1G51200.1 pep chromosome:v.1.0:1:26974580:26976579:1 gene:fgenesh2_kg.1__4188__AT1G51200.1 transcript:fgenesh2_kg.1__4188__AT1G51200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEMDHDKTGCQSPPEGPKLCINNCGFFGSAATMNMCSKCHKDMLFEQEQGAKFASAVSGTSSSSNLIKETITAALVDVETKSVEPMTVSVQPSSVQVVAEVVAPEEAAKPKGPSRCTTCNKRVGLTGFKCRCGNLFCGTHRYADIHDCSFNYHAAAQEAIAKANPVVKAEKLDKI >fgenesh2_kg.1__418__AT1G04790.1 pep chromosome:v.1.0:1:1630831:1634022:1 gene:fgenesh2_kg.1__418__AT1G04790.1 transcript:fgenesh2_kg.1__418__AT1G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KE78] MEDIDIDMVISIPDTPDRPVRHREVKRRPHSPEPPLRYQSEEYRNCLNGRARPVPEIGDNRESSDTRTENGHRSHASGGNALFRRTVAEKDKGKSISTDPRGARVEKNPVLNLNQRNGHAHVAASRCLPFEDIRELRTSNGCSPLRGDHNSFMLPGNSNKGKEKADSGSVSNRETIDLSSGAKQQNRGTKRLVRHGCISPNGIAARARQAADTNSKDTVSVELELAPETASLIGIREIVSENDIHGRARGKRPETSRSRVASRDGSEGWVSTRNRKLNMDQELNQRDESDTRGICSSVSRLDVHETGVVEREARQQRRRKNGFTTSTASNEPEVTVIRSSGEPSSSRPPRIQNHLRHGTQVLEIEDSSPEVLVFRGPRRVENNVSDGNVRQIEADEILARELQEQLYQEETLIRHQQIDENIARLLEQEENSIRASSSRSSSTRSTRNSNTIAANRGGRSRLEARLQQHSSRRRLNPPQARAPVRAPTRGRGYRLGRASASLHTALNLSFPYDMGIESRMDLLEGLENAIGHSINNSNLLHMDRDFTEDDYELLLALDENNHRHGGASTHRINNLPESTVQNDNFQETCVVCLETPTIGDTIRHLPCLHKFHKDCIDPWLGRSKSCPVCKSSVT >fgenesh2_kg.1__4194__AT1G51260.1 pep chromosome:v.1.0:1:27033660:27035925:-1 gene:fgenesh2_kg.1__4194__AT1G51260.1 transcript:fgenesh2_kg.1__4194__AT1G51260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPAALAFIPVGVLFLISGLIVNIIQLVFFIIVRPFSRSLYRRINKNVAELLWLQLIWLFDWWACIKINLYADAETLELIGKEHALVLSNHRSDIDWLIGWVMAQRAGCLGSSLAIMKKEAKYLPIIGWSMWFSDYIFLERSWAKDENTLKAGFKRLEDFPMTFWLALFVEGTRFTQEKLEAAQDYASIRSLPSPRNVLIPRTKGFVSAVSQIRSFVPAIYDCTLTVHNNHPTPTLLRMFSGQSSEVNLLMRRHKMSELPETDDGIAQWCQDLFITKDAQLEKYFTKDVFSDLEVHQINRPIKPLIVVIIWLCLLIFGGFKLLQWLSIVASWKIILLFVFFLVIATITMQVLIQSSESQRSTPAKRPLQEQLISA >fgenesh2_kg.1__4195__AT1G51310.1 pep chromosome:v.1.0:1:27039863:27042559:-1 gene:fgenesh2_kg.1__4195__AT1G51310.1 transcript:fgenesh2_kg.1__4195__AT1G51310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (5-methylaminomethyl-2-thiouridylate)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KHQ3] MFSAVAKPFLSPSPPLFRLPLSSISISFRVFPSFFSSSPSSSSSLLPSPQSSDPITPLLSSGLNYSRHAFLLDQYLSCSMPEKPLRVAVLLSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEGFENFWNQCPWEDDLKYAKHVCEQVDVPLEVVHLTDEYWERVVSYIIEEYRCGRTPNPDVLCNTRIKFGAFMDAISDMEYDYVGSGHYAKVVHPPVDQNDASSVLELSQDMVKDQTYFLSHLSQTQLKRLIFPLGCVKKDEVRKLATQFDLPNKDRKDSQGICFLGKIKFSDFVCAHIGEMEGIILEAETGDFLGNHRGFWFYTIGQRQGLRLPGGPWYVVEKDTKNNVVFVSRNYYSIDKRRRIFRVGSLRWLSGKPSGNVRELRCKVRHGPSFYSCSFEMEADGDVAVVHLDEDDQGLAAGQFAAFYEGTTCIGSGVILESWDDQCFPVCAKALQLAAMEDKTKLGKPVKIRTMPLTLSVEADSGESSAEEKLVNA >fgenesh2_kg.1__4196__AT2G36730.1 pep chromosome:v.1.0:1:27061256:27062334:1 gene:fgenesh2_kg.1__4196__AT2G36730.1 transcript:fgenesh2_kg.1__4196__AT2G36730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KHQ8] IQASNPGNTNVLSFSSYVPHLNIFYRSMVKSMSPHSKMTALSSASLYGVHHYPSSKSLTQRPYLCANPSASLFRFDSFYLELICSVEAILRMERRGIKPNKLTFPFLLKACASFLGLTAGPRKVFDEMTDRNFVSWNSTMTALVENGKFNLVFKCFCEMIGRRFCPDETTMYARLVFERMVDKNVWTWSAMIIFHTTLVDDRYKYFHDMEKAHKIKPMMIHYRAMVDILGRASRLNEAYDFINKMPFEPDAVVWRTLLSAC >fgenesh2_kg.1__419__AT1G04800.1 pep chromosome:v.1.0:1:1634276:1635113:-1 gene:fgenesh2_kg.1__419__AT1G04800.1 transcript:fgenesh2_kg.1__419__AT1G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-rich protein [Source:Projected from Arabidopsis thaliana (AT1G04800) TAIR;Acc:AT1G04800] MGLIACRACVFVLVFALVTNFTMGDTEFGDEKPLFSHPHPRPLLHKKGFKKGFGDLGGGISGGGGFGAGGGWIGGSVGGFGGGIGSGFGGGGFGGGVGKGVDGGAGKGVDGGAGKGFDGGIGKGVDGGAGKGFDGGAGKGFDGGAGKGFDGGVGKGFEGGVGKGFDGGAGKGVDGGAIGGIGGGAGKEIGGGIGGGGH >fgenesh2_kg.1__4200__AT1G51355.1 pep chromosome:v.1.0:1:27077471:27078202:1 gene:fgenesh2_kg.1__4200__AT1G51355.1 transcript:fgenesh2_kg.1__4200__AT1G51355.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KHR2] MASKGKKPIKKTTTRRRKRSHFKNPSPPCSTNSDVTSTSSTSTSPTSMVTPSSIPVESGCCTPKSEKSRIPEMLTCPPAPKKQKVAQNCSLRRRQIAFFAPPDVELFFVFA >fgenesh2_kg.1__4202__AT5G37120.1 pep chromosome:v.1.0:1:27082150:27082445:-1 gene:fgenesh2_kg.1__4202__AT5G37120.1 transcript:fgenesh2_kg.1__4202__AT5G37120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KHR3] MKLRNDDHVFKWVDEALINEIDTLTAKHGEIEKELKELRRERLEFEKMVSEKVEMNMEKELNEKVEEALSEAKTSNKKMMIVVVLGCMIMIGFSKLVG >fgenesh2_kg.1__4204__AT1G51380.1 pep chromosome:v.1.0:1:27093329:27095269:1 gene:fgenesh2_kg.1__4204__AT1G51380.1 transcript:fgenesh2_kg.1__4204__AT1G51380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLDDENLVFETTKGIKPIKSFDDMGMNDKVLRGVYDYGYKKPSEIQQRALVPILKGRDVIAQAQSGTGKTSMIAISVCQIVNTSSRKVQALVLSPSRELASQTEKTIQVIGAHTNIQAHACIGGKSIGEDIKKLERGVHAVSGTPGRVYDMIKRGSLQTKAVKLLVLDESDEMLSKGLKDQIYDVYRALPHDIQVCLISATLPQEILEMTEKFMTDPVRILVKPDELTLEGIKQYYVDVDKEEWKFDTLCDLYGRLIINQAIIFCNTRQKVDWLTEKMRSSNFIVSSMHGDKRQKERDEIMNQFRSFKSRVLIASDVWARGIDVQTVSHVINYDIPNNPELYIHRIGRAGRFGREGVAINFVKSSDMKDLKDIERHYGTKIREMPADLV >fgenesh2_kg.1__420__AT1G04810.1 pep chromosome:v.1.0:1:1637140:1642552:1 gene:fgenesh2_kg.1__420__AT1G04810.1 transcript:fgenesh2_kg.1__420__AT1G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMVSSAGGLLAMLNEPHPSLKLHALSYLNRLVDQFWPEISTSVPIIESLYEDEEFDQQQRQLAALLVSKVFYYLGELNDSLSYALGAGSLFDVSEDSDYIHTLLAKAIDEYAILRSKAVESSEDVEIDPRLEAIVERMLDKCITDGKYQQAMGIAIECRRLDKLEEAIIKSENVQGTLSYCINVSHSFVNHREYRHEVLRLLVNVHQKLASPDYLSICQCLMFLDEPQGVASILEKLLRSESKDDALLALQIAFDLVENEHQAFLMSVRDRLPAPKTRPVEAVQAVETSTAQNENTEGDLQMADETPSQTIVHETDPADAVYAERLTKVKGILSGDTSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTSVEVIQHGACLGLGLAALGTADEDIYDDIKSVLYTDSAVAGEAAGISMGLLLVGTATDKASEMLAYAHETQHEKIIRGLALGIALTVYGREEGADTLIEQMTRDQDPIIRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSDPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQISEASDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKVTAVIGLAVFSQFWYWYPLIYFISLAFSPTAFIGLNYDLKVPKFEFMSHAKPSLFEYPKPTTVATANTAAKLPTAVLSTSAKAKARAKKEAEQKANAEKSGNEAGKAKAASDEKEAESMQVDSTATTVEKKVEPEATFEILVNPARVVPSQEKYIKLMEESRYVPVKLAPSGFVLLRDLRPHEPEVLSLTDAPTSTASPAGGAAAAGQAQQASTTSAMAVDDEPQPPQAFEYASP >fgenesh2_kg.1__4210__AT1G51440.1 pep chromosome:v.1.0:1:27115335:27117065:1 gene:fgenesh2_kg.1__4210__AT1G51440.1 transcript:fgenesh2_kg.1__4210__AT1G51440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7KHS3] MASPSSPISLKNPRFFSSSPHKIFKTQPQTLILTTKFKTCSIICASSCTSISSSTTQQKQPKKQTHVSDNKREEDKEEEEEKEVSLREIWREVQGCNNWEGLLDPMNNHLRREIIRYGEFAQACYDSFDFDPHSKYCGSCKYHPSDFFSNLDLHLHKGYTITRYLYATSNINLPNFFQKSKLSSIWSQHANWMGYVAVATDEEEVGRLGRRDIVIAWRGTVTYLEWIYDLKDILCSANFGDDPSIKIELGFHDLYTKKEDSCKFSSFSAREQVLAEVKRLLEYYGTGEEGHEISITVTGHSLGASLALVSAYDIAELNLNHVPENNYKVPITVFSFSGPRVGNLRFKERCDELGVKVLRVVNVHDKVPSVPGIFANEKFQFQKYVEEKTSFPWSYAHVGVELALDHKKSPFLKQTKDLGCAHNLEALLHLVDGYHGKDEEAQKRFCLVTKRDIALVNKSCDFLRSEYHVPPCWRQDENKGMVKSSDGRWVLPDRPQLEPHRQEDIAHHLQQVLGKVNDDNFKPTTT >fgenesh2_kg.1__4212__AT1G51460.1 pep chromosome:v.1.0:1:27128484:27132777:-1 gene:fgenesh2_kg.1__4212__AT1G51460.1 transcript:fgenesh2_kg.1__4212__AT1G51460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7KHS6] MSTPEEAMYVAWEDLTVVIPNFGEGATKRLLNGVNGCGEPNRILAIMGPSGSGKSTLLDALAGRLAGNVVMSGKVLVNGKKRRLDFGAAAYVTQEDVLLGTLTVRESISYSAHLRLPSKLTREEISDIVEATITDMGLEECSDRTIGNWHLRGISGGEKKRLSIALEVLTKPSLLFLDEPTSGLDSASAFFVVQILRNIASSGKTVVSSIHQPSGEVFALFDDLLLLSGGETVYFGEAESATKFFGEAGFPCPSRRNPSDHFLRCVNSDFDNVTAALVESRRIQDSSFSLYQLHETSNTLDPLDDIPTAEIRTTLVRKFKCSVYAAASRARIQEIASIEGLVTERKQGSRTNWWKQLRILTQRSFINMSRDLGYYWMRIAVYIVLSICVGSIFFNVGRNHTNVMSTAACGGFMAGFMTFMSIGGFQSFIEEMKVFSRERLNGHYGVAVYTVSNLLSSLPFIILMCLSTSSITIYMVKFQSGGSHFFYNCLDLICAITTVESCMMMIASVVPNFLMGVMLGAGYIGIMVLSAGFFRFFPDLPMVFWRYPVSYINYGAWALQGAFKNEMIGVEYDSPLPLVPKMKGELILQTVLGINPERSKWLDLAVVMMILIGYRIAFFAILKFREKVFPIIHMLYTKRTLSYIQKRPSFRRMTPFHSRRYPVHHALSSQEGLNSPLH >fgenesh2_kg.1__4213__AT1G47600.1 pep chromosome:v.1.0:1:27141379:27144377:1 gene:fgenesh2_kg.1__4213__AT1G47600.1 transcript:fgenesh2_kg.1__4213__AT1G47600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7KHS7] MAITKAHYSLAVLVVLFVVSSSQKVCNPECKAKEPFHCDNTHAFNRTGFPKNFTFGAATSAYQIEGAAHRALNGWDYFTHRYPEKVPDRSSGDLACDSYDLYKDDVKLLKRMNVQAYRLSIAWSRVLPKGRLIGGVDENGITYYNNLINELKANGIEPYVTIFHWDVPQTLEDEYGGFLSPRIVEDYTNYAELLFQRFGDRVKFWITLNQPFSLATKGYGDGSYPPGRCTGCEFGGDSGVEPYTVAHNQLLAHAKAVSLYRKRYQKFQGGKIGTTLIGRWFAPLNEFSELDKAAAKRAFDFFVGWFLDPLVYGKYPKIMREMVGDRLPEFTPEESALVKGSLDFLGLNYYVTQYATDAPAPAKPSAITDPRVTLGFYRNGVPIGVVAPSFVYYPPGFRQILNYIKDNYKNPLTYITENGVADLDLGNVTLATALADNGRIQNHCSHLSCLKCAMKDGCNVAGYFAWSLMDNYEFGNGYTLRFGMNWVNFTNPSDRKEKASGKWFSRFLAK >fgenesh2_kg.1__4219__AT1G51520.1 pep chromosome:v.1.0:1:27170710:27173650:1 gene:fgenesh2_kg.1__4219__AT1G51520.1 transcript:fgenesh2_kg.1__4219__AT1G51520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KHT2] MDPGDPTSIIFSKIRTLEPENASKVIGYFLLQDMEQRDLIRIAFGPDSLIQTFCRKAKSDLGLSSNGFSRPINIHGQSLSQSSPRNGFLELSRNPSNPLSPSFTSNTLRDNPNFNSSPFRESSSLFASSSGGDEQQQQQFSNNFLFTKDEDPFANFHKRSFSANDACLESEEPGFGGGTGYHRFPQGGLVDDFGSSAGLGSPSEMDYMLEEMMRMKLAQQKRMVAAQFMAACSSPMLHRQGSGHFGEEGGYYFSPGRHEREDSVSKQIYLTFPSESSFTDEDVSTYFSDFGPVEDVRIPYQQQRMFGFVTFAKAETVRTILARGNPHFICDSRVLVKPYKEKGKILQKRQQQQLHELLERGNYSPSSSPSRMDSRDLYECRLGPRMFSNKTQEMLRRKTEQADLQQAIEVELQ >fgenesh2_kg.1__421__AT1G04830.1 pep chromosome:v.1.0:1:1644685:1647657:-1 gene:fgenesh2_kg.1__421__AT1G04830.1 transcript:fgenesh2_kg.1__421__AT1G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RabGAP/TBC domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KE82] MVRKKVPEWLNSTMWSTPPPPSSYDDGLLRHSPVTKMKEEAQSVSVAPRLNSAPPPSSTASVPSPSHRPRNGSSISGGSGEYGNSAGPSAEDFSRQAHVSAELSKKVINMKELRSLALQSLPDSPGIRSTVWKLLLGYLPPERSLWSTELKQKRSQYKHYKDELLTSPSEITWKMVRSKGFDNYDLKSESRCMLARSRITDEDHPLSLGKASIWNTYFQDTETIEQIDRDVKRTHPDIPFFSAESSFARSNQESMKNILLVFAKLNQGIRYVQGMNEILAPIFYVFRNDPDEDSSSHAEADAFFCFVELLSGFRDFYCQQLDNSVVGIRSAITRLSQLVRKHDEELWRHLEITTKVNPQFYAFRWITLLLTQEFSFFDCLHIWDALLSDPEGPLESLLGICCAMLVLVRRRLIAGDFTSNMKLLQHYPTTNISHLLYVANKLRSKMLV >fgenesh2_kg.1__4222__AT1G51550.1 pep chromosome:v.1.0:1:27182128:27184501:-1 gene:fgenesh2_kg.1__4222__AT1G51550.1 transcript:fgenesh2_kg.1__4222__AT1G51550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESTRNSSPPSTSQSSPIINLPDDHLLTILLLLPVDSILSFSMTCKRYKSLACSDSLWEALCEREWGPTSVDALKLSSLRDGFSWMLMFQRVYKMDSVCCHKISDPDDDDEESSSFPIPRASHSLNFVNDHLVLFGGGCQGGRHLDDTWTSYVDKSNQSILKWKKVESGTPSGRFGHTCIVIGEYLLLFGGINDRGERLNDTWIGQVFCHEGLAWKLLNVGSLQRPCPPPRGAHSACCIAEKKMVVHGGIGLNGVRLGDTWILELSEDFTSGTWHMVESQQSPPPRSGHTLTCIRENQVVLFGGRGLGYDVLDDVWILDIQEQCEEKWIQIFYNFQDVPEHASLPRVGHSATLVLGGRILIYGGEDSYRHRKDDFWVLDVKTIPSSGLKPQGLSLNGSSVWKKLDRISYGPKSRSFHRACVDCSGRFVYVFGGMVDGLLQPAASSGLRFDGELFMVELVLGFSDLDHQQRTGKGM >fgenesh2_kg.1__4224__AT1G51570.1 pep chromosome:v.1.0:1:27187351:27189850:-1 gene:fgenesh2_kg.1__4224__AT1G51570.1 transcript:fgenesh2_kg.1__4224__AT1G51570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KHT6] MQRPPPEDFSLKETKPHLGGGKVTGDKLTTTYDLVEQMQYLYVRVVKAKDLPGKDLTGSCDPYVEVKLGNYRGTTRHFEKKSNPEWNQVFAFSKDRVQASYLEATVKDKDLVKDDLIGRVVFDLNEIPKRVPPDSPLAPQWYRLEDGKGQKVKGELMLAVWFGTQADEAFPEAWHSDAATVSGTDALANIRSKVYLSPKLWYLRVNVIEAQDLIPSDKGRYPEVFVKVIMGNQALRTRVSQSRSINPMWNEDLMFVVAEPFEEPLILSVEDRVAPNKDEVLGRCAVPLQYLDKRFDYRPVNSRWFNLEKHVIMEGGEKKEIKFASKIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKPNIGVLELGVLNATGLMPMKAKEGGRGTTDAYCVAKYGQKWIRTRTIIDSFTPRWNEQYTWEVFDPCTVVTVGVFDNCHLHGGDKNNGGGKDSRIGKVRIRLSTLEADRVYTHSYPLLVLHPNGVKKMGEIHLAVRFTCSSLLNMMYMYSLPLLPKMHYLHPLTVSQLDNLRHQATQIVSTRLTRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLSGIIAVGKWFEQICVWKNPITTVLIHILFIILVIYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHADSAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERFQSLLSWRDPRATALFVLFCLIAAVVLYVTPFQVVAFLIGLYVLRHPRLRYKLPSVPLNFFRRLPARTDCML >fgenesh2_kg.1__4225__AT1G51580.1 pep chromosome:v.1.0:1:27201012:27205685:1 gene:fgenesh2_kg.1__4225__AT1G51580.1 transcript:fgenesh2_kg.1__4225__AT1G51580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KHT7] MEFSSSKRPATTATAAESVHFRLLCPAARTGAIIGKGGSVIRHLQSVTGSKIRVIDDIPVPSEERVVLIIAPSGKKKDDSNVFDSENPSSEEPKQEKGSECAGTSGGDDEEAPSSAQMALLRVLERIVFGDDAASVDGDGLDKGESESLCRMIVRGNQVDFLMSKGGKMIQRIREDSGAIVRISSTDQIPPCAFPGDVVIQMKITGKFSSVKKALLLITNCLQESGAPPTWDECPFPQPGYPPDYHSMEYHPQWDHPPNPMPEDVVPFNRPVVEEEVSFRLLCPADKVGSLIGKGGAVVRALQNESGASIKVSDPTHDSEERIIVISARENLERRHSLAQDGVMRVHNRIVEIGFEPSAAVVARLLVHSPYIGRLLGKGGHLISEMRRATGASIRVFAKDQATKYESQHDEIVQVIGNLKTVQDALFQILCRLREAMFPGRLPFQGLGGPPPPFMGPYPEPPPPFGPRQYPASPDRYHSPVGSFHERHCHGPGFDRPPGPGFDRPPSPMSWTPQPGIDGHPGGMVPPDVNHGFALRNEPIGSENPAMTSANVEIVIPQAYLGHVYGENCSNLNYIKQVSGANVVVHDPKAGATEGLVVVSGTSDQAHFAQSLLHAFILCGQS >fgenesh2_kg.1__4226__AT1G51590.1 pep chromosome:v.1.0:1:27205694:27209726:-1 gene:fgenesh2_kg.1__4226__AT1G51590.1 transcript:fgenesh2_kg.1__4226__AT1G51590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:D7KHT8] MARSRSISGYGIWKYLNPAYYLRRPRRLALLFIVFVSVSMLVWDRINLAREHEVEVLKLNGEVSRLEQMLEELKGVGDDKPLKNLKNVPENPVDIQRRQKVKEAMIHAWSSYEKYAWGKDELQPRTKDGTDSFGGLGATMVDSLDTLYIMGLDEQFQKAREWVASSLDFDKDYDASMFETTIRVVGGLLSAYDLSGDKMFLEKAKDIADRLLPAWNTPTGIPYNIINLRNGNAHNPSWAAGGDSILADSGTEQLEFIALSQRTGDPKYQQKVEKVITELNKNFPADGLLPIYINPDNANPSYSTTTFGAMGDSFYEYLLKVWVQGNKTSAVKPYRDMWEKSMKGLLSLVKKSTPSSFTYICEKNGNNLIDKMDELACFAPGMLALGASGYGPDEEKKFLSLAGELAWTCYNFYQSTPTKLAGENYFFTAGQDMSVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFEAFEKNSRVESGYVGLKDVNTGAKDNKMQSFFLAETLKYLYLLFSPSSVISLDEWVFNTEAHPLKIVARNDPRKPTIALRQRKFGQQIKV >fgenesh2_kg.1__4230__AT1G51620.1 pep chromosome:v.1.0:1:27218081:27219787:1 gene:fgenesh2_kg.1__4230__AT1G51620.1 transcript:fgenesh2_kg.1__4230__AT1G51620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KHU1] MINRASVYNIVQFTKEVHDFVKVRHRNLVSLIGYCDDGEHLALIYEFVANGDLNDQLSGKFGNVLSWESRLKIIIGVAQGLEYLHSELRILHRYVKPTNILLDENFEAKLADFGLSRSSPTNPDTEASNKIYVKPGRDPYLDDQYFNSNWLTQTSDIYSFGIVMLEMITNQPVVDNNRESPHISKWVDLKVAKGDTLEIVDPRLNNDFEPNSVRKAMDIACSCAARAHNRPSMSQVVIELNECLALEKARSIGRTGEITQTQ >fgenesh2_kg.1__4232__AT1G51640.1 pep chromosome:v.1.0:1:27225632:27227683:-1 gene:fgenesh2_kg.1__4232__AT1G51640.1 transcript:fgenesh2_kg.1__4232__AT1G51640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXO70G2 [Source:UniProtKB/TrEMBL;Acc:D7KHU3] MAEAKRLERLKAMRSLLKSEMEKSETFSLVLNKTGSKIKEINHKLLSLEADLKVERWKASPFSDHIRHTIAPISAVLRVFSTVQELERSLVSSDGVLGYVSDVKRLGEVMKLLSSSCVLALNWLEDTIEFLTEKGMPEDHPCGLRFKTSIELLRELQMTEPRAYLKGGILYTALKNLETEFKRILKEEQVLSKVNLRKLQAIIKRLHAHTRLKNCVSVYIKVRTTVIQKRFEIGYLEKTITEADNVHDIEGDIDQWRSHMEIAVRETYEFESKLCYDVFEDVGEDVPSRCFGEIASNSVILQLLRFGSRISKCKKDPPKLLKLLDCFSTMDNIRIEFNRLFQGEQCSEIRRVTRELINNLVKGVCEIFWELPCQVELQRPNCPPLDGGVPRLVSVVTEYCNKLLGNNNKPTLSKILEIDLGWKNTKYQDELLTGHIYNILREIALNLDAWSSSNKETALSCIFMMNNHSHFCGLRETHLGEMMGESWLNAHEQYRDYYAALYVKESWGNLLSLLTTNKPQTTSSSSSSSESSPVKRKRARESIKRTLQAFSKGFDEIYTKQANWVVEDDKLAWKICQAMVKTVVPRYKSYLQSYIKLLVEEDPTSDSKHLYYNPKGLEMKLKTMFQKKEETEKRDKESHFVNKVMDLEITQNSHLTLEAI >fgenesh2_kg.1__4233__AT1G51650.1 pep chromosome:v.1.0:1:27228486:27231388:1 gene:fgenesh2_kg.1__4233__AT1G51650.1 transcript:fgenesh2_kg.1__4233__AT1G51650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase epsilon chain, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7KHU4] MASNAAVPFWRAAGMTYISYSNICANIVRNCLKEPHKAEALTREKVHFSLSKWADGKPQKPVLRSDTPEI >fgenesh2_kg.1__4234__AT1G51660.1 pep chromosome:v.1.0:1:27240071:27241648:1 gene:fgenesh2_kg.1__4234__AT1G51660.1 transcript:fgenesh2_kg.1__4234__AT1G51660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIQSPPGVSVPVKSRPRRRPDLTLPLPQRDVSLAVPLPLPPTSGGSAPSSGGSASSAPSTNTNSSSESKNYSDLVRGNRIGSGAGGTVYKVVHRPSSRLYALKVIYGNHEETVRRQICREIEILRDVNHPNVVKCHEMFDQNGEIQVLLEFMDKGSLEGAHVWKEQQLADLSRQILSGLAYLHSRHIVHRDIKPSNLLINSAKNVKIADFGVSRILAQTMDPCNSSVGTIAYMSPERINTDLNQGLYDGYAGDIWSLGVSILEFYLGRFPFPVSRQGDWASLMCAICMSQPPEAPPTASPEFRHFISCCLQREPGKRRSAMQLLQHPFILRASPSQNRSPQNLHQLLPPPRPLSSSSSPTT >fgenesh2_kg.1__4239__AT1G51690.1 pep chromosome:v.1.0:1:27261722:27281963:1 gene:fgenesh2_kg.1__4239__AT1G51690.1 transcript:fgenesh2_kg.1__4239__AT1G51690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:D7KHU8] MNGGDEVVAASADPPLPLEWRFSQVFGERSAGEEVQEVDIISAIEFDNSGNHLATGDRGGRVVLFERTDTNNSSGTRRELEEDDYPLRHPEFRYKTEFQSHDPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQDKKIKKICDMNSDPSRTIGNGTVASSSNSNITSSCLVNGGVSEVNNSSCTDFSLPPGGISSLRLPVVVTSHESSPMARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPAKMEDLSEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHSKLFEEPEQAGPKSFFTEIIASVSDIKFAKEGRYLLSRDYMTLKLWDINMDAGPVATFQVHEYLKPKLCDLYENDSIFDKFECCISGNGLRAATGSYSNLFRVFGVAPGSTETATLEASRNPMRRHVPIPSRPSRTLSSITRVVSRGSESPGVDGNTNALDYTTKLLHLAWHPNENSIACAAANSLYMYYA >fgenesh2_kg.1__423__AT1G04850.1 pep chromosome:v.1.0:1:1650784:1654502:-1 gene:fgenesh2_kg.1__423__AT1G04850.1 transcript:fgenesh2_kg.1__423__AT1G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated /TS-N domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KE84] MAGVSLKCGDCGTLLKSVEEAQEHAELTSHSNFAESTEAVLNLVCTTCSKPCRSKTESDLHTKRTGHTEFVDKTLETVKPITLEAPKVAMEIDDNASGSGEAAEEMVVPDVDNNILEELEAMGFPKARATRALHYSGNASLEAAVNWVVEHENDPDVDEMPKVPANSNVGPAKPALTPEEVKLKAQELRERARKKKEEEEKRMEREREKERIRIGKELLEAKRMEEVNERKRLMFLRKAEKEEEKRAREKIRQKLEEDKAERRRKLGLPLEDPATAAAKPSVPVAEEKKVTLPIRPATKTEQMRECLRSLKQTHKEDDAKVKRAFQTLLTYMGNVAKNPDEEKFRKIRLTNQTFQERVGSLRGGIEFMELCGFEKIEGGEFLFLPRDKIDSAIINSAGTELNSAINNPFFGVL >fgenesh2_kg.1__4241__AT1G51700.1 pep chromosome:v.1.0:1:27289016:27289816:1 gene:fgenesh2_kg.1__4241__AT1G51700.1 transcript:fgenesh2_kg.1__4241__AT1G51700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLTSAAAYYHQSMMMTTAKQNQPELPEQEQLKCPRCDSPNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGALRNIPVGGGIRKNNKRSGSSSSSSSPSSNLKNQTVADHHQSGSGSKLEEKEERVSGQEMDPTRMLYGLPVGDPISGGSFSSLLASNMQMGGLVYESGSRWLPSMDLGLGSGLRRNDDTWTDLAMNRVEKN >fgenesh2_kg.1__4244__AT1G51720.1 pep chromosome:v.1.0:1:27297968:27303880:1 gene:fgenesh2_kg.1__4244__AT1G51720.1 transcript:fgenesh2_kg.1__4244__AT1G51720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMNLIQQAQRHQLVVSNLGEEIDLEIGPGEDDAAFANNSLIGGPPREPSTGEHDETKHMVLVSDLPSEDQDMSKGQPAKRKKKVVKRWREEWADTYKWAYVDMKDGTARIFCSICREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQTASKDKIVVDKPIYVKTLMSKSAGSIVEGALKRDPNEIEFVQSVQESVHALERVIAKNSHYVNIMERLLEPERMIVFRVPWIDDRGETHVNRGFRVQFNQALGPCRGGIRFHPSMNLSIAKFLGFQQTLKNALSPYKLGGASGGSDFDPKGKSDNEIMRFCQSFMNEMYRYMGPDKDLPSEEVGVGTREMGYLFGQYRRLAGQFQGSFTGPRIYWAASSLRTEASGYGVVYFARLMLADMNKEIKGLRCVVSGCGKIAMHVVEKLIACGAHPVTVSDSKGYLVDDDGFDYMKLAFLRDIKSQQRSLRDYSKTYARAKYFDEVKPWNERCDVAFPCASQNEVDQADAINLVNAGCRLLVEGSNMPCTAEAVDVFRKANVLIAPAIAAGAGGVAAGEIEVLRESNSMQWSAEDFESRLQEALKQTYEKALKAANDFGYQKESPEALLHGATIAAFLNIAQAMTDQGCV >fgenesh2_kg.1__4246__AT1G51745.2 pep chromosome:v.1.0:1:27309024:27312273:1 gene:fgenesh2_kg.1__4246__AT1G51745.2 transcript:fgenesh2_kg.1__4246__AT1G51745.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLACEDWYTLENSKTVKAFRCGDYDTCIEKAKASSSKKKTGKCTRREDAINNALEIENEHLAKEEDNLCTLSGEEDSKRCLSGNEDEDLGSSDSGETEDELDSAPEQLQSSMSSQEMNNVGASKVQPKRRRTPNDSEDDGTEGVKRMRGLEDIGKEQAGAIVEHKQELGLICAVSLSDSVSNGNIIANGNKVCSPSSSLKRKRAQVLNAHEWSKRKNRRRQLTKVLESTAMVSVPVTCDQLVNLDCEGIYDSKVPGMESVESMKSVSVVINNNSDSTGISCEDAYENVVGASHNNKAKDSEISSISVSAEDDFSDRLFDVPLTGEENHSEGFPETCRISSSRKALVTDLTRWRGRSSHNVFVKNEGSNGSACTSPPASEPVNCNLSGIEKSTSKWKLKGKRNSRQMSKKQEERRNVYGEEANNNSCTPHSALYEVKIEVKANYNKPRVPLVSRMSELNGKAIVGHPLSVEILEEDYSNGMVMPQVVVKAKSLSKKNGKKRNKDEAALYSNEPEVDGKKQEADNRKGKGNGCSLYTVESSFQ >fgenesh2_kg.1__424__AT1G04860.1 pep chromosome:v.1.0:1:1654647:1658487:-1 gene:fgenesh2_kg.1__424__AT1G04860.1 transcript:fgenesh2_kg.1__424__AT1G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:D7KE85] MGKKAKKKARAPTKEIQTTEISMKVSEEPPSQAGEIAEGDVKPVKETQACVHFDKGLNLEKVLDKIKSSRQIKCEECKEGLSGKRGTKAKGNKGKKDFSSSDKKAIWLCLECGCYVCGGVGLPNGAQSHVLRHTRVTRHRLVIQWENPQLRWCFPCRSLLPVVKEDNGEKKDVLSEVVKLIKGRSLNNLASSDIEDQCSVSGSITSDIKLEGAAVTSDIEAREGYVVRGLVNLGNTCFFNSIMQNLLSLDRLRDHFLKENGSGAGRPLASSLRKLFAETKPEAGLKSVINPRVFFGSFCSKAPQFRGYDQHDSHELLRCLLDALSTEESALRKKRGISDNDEKSTTLIDSVFGGETSSIVRCMECGHSSKVYEPFLDLSLPVPFKKSPPKKLQPVSRARKAKLPPKRVPKNVSKVSKVSNVLPSKALSELNSPGKALVVIADSDTSCSSFAPLDNGPVLETLSVLTADNKQASESVTQSDTGFDSFWLDIIGPENSGDETNLDMQEDGTDNVSTTEGDQSLECNTERLMQDNVQAMQSDEGPVTSGISAEFIQASCISCDPGIGESSSSVNPWDEEELPLVVGDSQILYMPYNEISCNDKSVAEGEFEASSSFGTGDHEPQNSDFVDFGGLFDEPETTEGPVFGPPSKAEASGVGIMAFSSESDPEEIDDSDSPVSVERCLAHFTKPEILSDDNAWHCENCSKNLKLQRLREKQKSKKDESRSSNTSNGWVKENESEGFGETDILAVKQDPNDTCCVKDHSSNGRKATRSHSADESESKGTQDEDEDSEKVITVKRDASKRVLINKAPPVLTIHLKRFSQDLRGRLSKLNGHVAFQEVIDLGQYMDTRFSGEDPPVYRLAGLVEHSGTMRGGHYVAYVRGGQRVKESDSSSTVWYNVSDAHVRQVSLEKVLHSEAYILFYERIISQD >fgenesh2_kg.1__4266__AT1G51913.1 pep chromosome:v.1.0:1:27562923:27563757:1 gene:fgenesh2_kg.1__4266__AT1G51913.1 transcript:fgenesh2_kg.1__4266__AT1G51913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANERSSLTLLLSVLVLSLVFSPTLPCQAARVHLDVEGRMLLRAPVPIRFCPACACCAPAPKGSCCPCRCTNNP >fgenesh2_kg.1__4267__AT1G51915.1 pep chromosome:v.1.0:1:27564045:27564468:1 gene:fgenesh2_kg.1__4267__AT1G51915.1 transcript:fgenesh2_kg.1__4267__AT1G51915.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KIL8] MATDRFSIILISVLVLALVLFPLLPCQATRAHLDAEKRMLRRVCPSCVCCAPAPRGACCPCRCPKNP >fgenesh2_kg.1__4268__AT1G51940.1 pep chromosome:v.1.0:1:27566926:27570137:-1 gene:fgenesh2_kg.1__4268__AT1G51940.1 transcript:fgenesh2_kg.1__4268__AT1G51940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KIM1] MNCSDTSRLCTSFLAFKPNQNQSFSVIQSMFDVLPADITADISGGYFFIKKNCSCLTTTHQYTTNTTFTIRQNVGYVYNVVVSAYSGLAFPPNTRRAARAGSVVSVQLLCGCSSGLWNYLMSYVAVAGDSVQSLSSRFGVSMDRIEEVNEILNLDNITAGDVLYIPLDSVPGEAYETRKINPPAPSPAPASSLANGNFSDDQVNHTAKSGSHVPYIWIVGGLGVVLALLVLCILVCICLRSSSCSSSEEDGNGHNFQILRKSGFFCGSGRYNCCRSGDFRQTNGENQSHHQVVAIPKALGDGMFEIEKPMVFTYEEIRAATDEFSDSNLLGHGNYGSVYFGLLREQEVAVKRMTATKTKEFAAEMKVLCKVHHSNLVELIGYAATVDELFVVYEYVQKGMLKSHLHDPQSKGNTPLSWIMRNQIALDAARGLEYIHEHTKTHYVHRDIKTSNILLDEAFRGKISDFGLAKLVEKTGEGEISVTKVVGTYGYLAPEYLSDGLATSKSDVYAFGVVLFEIISGREAVIRTEAMGTKNPERRPLASIMLGALKNSPDSMNMSSLKEFVDPNMMDLYPHDCLFKIAMLAKQCVDDDPILRPNMKQVVISLSQILLSSIEWEATLAGNSQVFSGLVQGR >fgenesh2_kg.1__4273__AT1G51980.1 pep chromosome:v.1.0:1:27604709:27608166:-1 gene:fgenesh2_kg.1__4273__AT1G51980.1 transcript:fgenesh2_kg.1__4273__AT1G51980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTAASRARALKGVLTRSLRPARYASSSAVAATSSSTPGYLSWLSGGSGASLTSLDMPLQGVSLPPPLADKVEPSKLKITTLPNGLKIASETSPNPAASIGLYVDCGSIYEAPYFHGATHLLERMAFKSTLNRTHFRLVREIEAIGGNTSASASREQMSYTIDALKTYVPEMVEVLIDSVRNPAFLDWEVNEELRKMKVEVAELAKNPMGFLLEAIHSAGYSGALASPLYAPESALDKLNGELLEDFMTENFTAARMVLAASGVEHEELLKVAEPLVSDLPNVPRQVEPKSQYVGGDFRQHTGGEATHFAVAFEVPGWNNEKEAVTATVLQMLMGGGGSFSAGGPGKGMHSWLYRRVLNEYQEVQSCTAFTSIFNNTGLFGIYGCSSPEFAAKAIELAAKELKDVAGGKVNQAHLDRAKAATKSAVLMNLESRMIAAEDIGRQILTYGERKPVDQFLKTVDQLTLKDIADFTSKVISKPLTMGAFGDVLAVPSYDTISSKFR >fgenesh2_kg.1__4276__AT1G52030.1 pep chromosome:v.1.0:1:27613297:27639833:-1 gene:fgenesh2_kg.1__4276__AT1G52030.1 transcript:fgenesh2_kg.1__4276__AT1G52030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myrosinase-binding protein 2 [Source:UniProtKB/TrEMBL;Acc:D7KIN1] MSEKVGAMGGNNGGAFDDGVFDGVKKVIVGKDFRKVTYIKIEYEKDGRFEIREHGTNHGELKEFSVDYPNEYITAVGGSYDTVFGYGSELIKSLLFKTSYGRTSPILGHTTLLGNPAGKEFMLESKNGGKLLGFHGRSGEALDAIGPHFFAVNSPLKHFKLQGGNGGSAWDDGAFDGVRKVLVGRNGKFVSYVRFEYAKGERMVPHAHGKRQEAPQEFVVDYPNEHITSVEGTIDGYLSSLTFKTSKGRVSPALGNVVGNKFVFKETGFKLVGFCGRSGDAIDALGAHFAPLPVPAPAPVPAPAPAPAPAPAPAPDHAPVPAPVSTIEPTPSQAFKAYFAPISSSSSLAPPNKVEAQGGNGGETFDDGAFDHVRKVYVGQGESGVAFVKFEYEKYGKSETREHGKMTLLGTEEFEVDSDDYITSVQVSVDKVFGYKSEIVTALVFKTFKGKSSPPFGMVTEKIFELKDGDGGKLAGFHGKASDVLYALGAYFAPTTTSTTPLTPSTAKKLQARGGNGGASWDDGVFDGLRKILVGQGNDGVAFVTFEYNKGSQAIIGDVHGKQTVLGTETFELDYPSEYITSVEGYYDKIFGVEAEVVTSLTFKTNKRTSQPFGLTAGEHFELKEDGYKIVGFHGKAGNVVHQIGVHVVPIFTNYRVTI >fgenesh2_kg.1__4277__AT1G52050.1 pep chromosome:v.1.0:1:27658942:27660661:-1 gene:fgenesh2_kg.1__4277__AT1G52050.1 transcript:fgenesh2_kg.1__4277__AT1G52050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKIKAIGGKGGKLWDDGANHDNIAKVYIRGDHEGIQYIKFDYVKDGQSLNGSVHGGSADGFTQTLEIDHLQYEQIVSVKGYYNRITGVMQALQFKTNLKTSEFIGYQKGIKFSLGVDGKIIVGFHGSALRNLRSLGAYLKTPPTKSELQGGITGGVYWDDGPNFDGVRKVYVTFTETHIRSMNIDYDQDGQVVTRSHGMKNGETQEFAVDFPNEYMTSVEGTYDHISEGNYLVLTSLTFKTSKGRISQTFGLVIGTKFVLESKGNVIVGFHGRDGGSFDAIGVYFSPMISS >fgenesh2_kg.1__4279__AT1G52070.1 pep chromosome:v.1.0:1:27675340:27682974:-1 gene:fgenesh2_kg.1__4279__AT1G52070.1 transcript:fgenesh2_kg.1__4279__AT1G52070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin lectin family protein [Source:UniProtKB/TrEMBL;Acc:D7KIN5] MFIIYIFLFLSSAIIDSNGFAKAQKLEAIGGKGGKQWDDGGDHDNVAKVYIRGGLEGIQYIKFDYVKDGQSIDGSIHGVSGSGFTQTFEIDYLNSEYIVSLDGYYDKTGVMQALEFKTNLKTSELIGYPKGTTKFSLGGVNGKMMIGFHGSAGKALNSIGAYLTTAPPTKSELVGGLTGGEPWDDGPNNDGVKKISVTYTTTLIRSINVDYEKDGQVVTRYHGMKNGDTEEFVVDYPNEYLISVEGTYNTIPDDNVLVIRSLIFKTSKGRISPTYGFVSGTKFVLESQGNAIVGFHGRDGGAFDAIGVYFSPIPS >fgenesh2_kg.1__427__AT1G04880.1 pep chromosome:v.1.0:1:1661568:1664743:-1 gene:fgenesh2_kg.1__427__AT1G04880.1 transcript:fgenesh2_kg.1__427__AT1G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group family protein [Source:UniProtKB/TrEMBL;Acc:D7KE87] MASSSCLKQGSVPMNNVCVTPEATYEAVVADPRLFMSSLERLHSLLGTKFMVPIIGGRDLDLHKLFVEVTSRGGINKILNERRWKEVTATFVFPPTATNASYVLRKYYFSLLNNYEQIYFFRSSGQIPPDSLQIPSARPGLMHGAIRPSQELQALTFTPQPKINSEEFPGGPVAGSNVIGVIDGKFESGYLVTVTIGSEQLKGVLFQLLPQNTVAHQTPQQSHGVVPNTWNNSANPQGVMGGVTKRRRRRKKSEIKRRDPNHPKPNRSGYNFFFAEQHARLKPLHPGKDRDISRIIGELWNKLNEDEKLVYQGKAIEDKERYRTEMEDYREKQRTGQLISNAVPLQQRLPEQNVDMAEADLPIDEVEEDEEEGDSSGSSGESEPRDDQSIETDPELEEPSPNPSGPNLNPNPTEIVMAPKDNNGDVVMETSPLKKADEPTVAVTAEQN >fgenesh2_kg.1__4280__AT1G52080.1 pep chromosome:v.1.0:1:27689571:27692339:1 gene:fgenesh2_kg.1__4280__AT1G52080.1 transcript:fgenesh2_kg.1__4280__AT1G52080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMIITKHKRDINLFVLQLGAALAVSFAGFLFSRFRKKTKRIGPTLPPLRPQSSDNGYRDCSNQSIDRGDAGTQNTDEETLIGFSPRRECDLDEEDVFLLPEFEEEAKKLDLLVCDECETPRSDITAPLAFPSEEEADYENEIKRLRNTVRALRERERCLEDKLLEYYSLKEQQKIAMELRSRLKLNQMETKVFNFKIKSLQAENEKLKAQCSEHSKLLLELEKAKSEVQVLKKKLNINTQQHVAQILSLKQRVARLQEEEIKAVLPDPEADKMMQRLRDLEGEINALTDSNMRLQFENFELSEKLESVQIIANSKLEDPEEEIETLREDGNRLRSENEELKKEVEQLQGDRCTDLEQLVYLRWINACLRYELRTYQPPVGKTVARDLSTTLSPTSEEKAKQLILEYAHSEENTDYDRWSSSQEESSMITDSMFLDDSSVDTLFATKTKKSGKKKLMHKLMKILHGKDTQDRKKRAGSSEPSSANTGVHSTPRQLRSTHSMDFQMLMHGKDEEEDFKDHIRKLRRKSEAAGSSTYGEEHCLESDQNGKKELIKFADALTKSRSTKKLHKKSVSFFF >fgenesh2_kg.1__4285__AT1G52155.1 pep chromosome:v.1.0:1:27724174:27725757:1 gene:fgenesh2_kg.1__4285__AT1G52155.1 transcript:fgenesh2_kg.1__4285__AT1G52155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGVYVTGVLFQTASFVSFLLISHGYCITCERLSLTERRTTASLGCVFYLTLVGYRASVPYFAVLLILNYMISFYVIFHHISQNLAVLREQLSFIEDENVQAMHDAVYAKYIMFKKFQGAMQIVAMAETVIYMNMDKSSQNYWLRLLIREWAQFCIFLYIGWTFRSQDMAPRFSVMPTLKPKENTIIPPIYSMEMDAKSFKEFRSQEWNIGVPMPYSNYEKQKDSVLVIIQHPR >fgenesh2_kg.1__4286__AT1G52160.1 pep chromosome:v.1.0:1:27726189:27729824:-1 gene:fgenesh2_kg.1__4286__AT1G52160.1 transcript:fgenesh2_kg.1__4286__AT1G52160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNESTNGTKSSSFVFNKRRAEGIDKTDKKKRNLERKTQKLNPSNTIAYAQILGTGMDTQDTSSSVLLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHVFLSRVCSETAGGLPGLLLTLAGIGEEGLAVNVWGPSDLNYLVDAMKSFIPRAAMVHTRSFGPSSTPDPIVLVNDEVVKISAIILKPCHSEEDSGNKSGELSVVYVCELPEILGKFDLEKAKKIFGVKPGPKYSKLQSGESVKSDERDITVYPSDVMGPSLPGPIVLLVDCPTESHAAELFSVKSLDSYYSCTDDQTDGPKFVNCIIHLSPSSVTSSPTYQSWMNKFHSSQHILAGHQRKNMAFPILKASSRITARLNYLCPQFFPAPGFWPQLADMSMIDSTPWNKCSSSNLAESISAENLLKFNLRPVAIRGIDRSCIPARLTSSEVLDELFDEIPEIKDKCGEIMRFWNKQHDKTIIEKLWLTESNTVLPSCLENIRRDDMEIVILGTGSSQPSKYRNVSAIYIDLFSRGSLLLDCGEGTLGQLKRRYGLNGADEAVRKLRCIWISHIHADHHTGLARILALRSKLLKGVTHEPVIVVGPRPLKRFLDAYQRLEDLDMEFLDCRSTTATSWASLESGGGDAEGSLFSDGSPMQSVFKRSDIPTDNSSVLLCLKNLKKVLSEIGLDDLISFPVVHCPQAYGVVIKAAERVNSVGERILGWKMVYSGDTRPCPEIVEASRDATVLIHEATFEDALIEEALAKNHSTTKEAIDVGSSANAYRIVLTHFSQRYPKIPVIDESHMHNTCIAFDLMSINMADLQVLPKVLPYFKTLFRDEMVEDEDADGVAMEEAL >fgenesh2_kg.1__4288__AT1G52190.1 pep chromosome:v.1.0:1:27750342:27754763:1 gene:fgenesh2_kg.1__4288__AT1G52190.1 transcript:fgenesh2_kg.1__4288__AT1G52190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7KIP6] MENPPNETEAKQIQPNEGKKTKGGIITMPFIIANEAFEKVASYGLLPNMIMYLIRDYRFGVAKGTNVLFMWSAASNFTPLLGAFLSDSYLGRFLTISIASLSSFLGMVLLWLTAMLPQVKPSPCDPTAAGSHCGSATASQLALLYSAFALISIGSGGIRPCSLAFGADQLDNKEKPKNERILESFFGWYYASSAVAVLIAFTGIVYIQEHLGWKIGFGIPAVLMLIAALLFILASPLYVTRGVTKSLFTGLAQAVVAAYKKRKLSLPDHHDSFDCYYHMKDSEIKAPSQKLRFLNKACLISNREEEIGSDGFALNPWRLCTTDKVEELKALIKVIPIWSTGIMMSINTSQSSFQLLQATSMDRRLNRHGSSFQVPAGSFGMFTIIALALWVVLYDRAVIPLASKIRGRPFRLSVKLRMGLGLFMSFLAMAISAMVESFRRKKALSQGYANDANAVVDISAMWLVPQYVLHGLAEALTAIGQTEFFYTEFPKSMSSIAASLFGLGMAVASLLASVVLNAVNELTSRNGKESWVSDNINKGHYNYYYWVLAIMSFINVIYYVICSWSYGPLVDQVRNGRVNGVREEEELLDIVGKGFEKEDLSPVIKTI >fgenesh2_kg.1__428__AT1G04890.1 pep chromosome:v.1.0:1:1666525:1669097:-1 gene:fgenesh2_kg.1__428__AT1G04890.1 transcript:fgenesh2_kg.1__428__AT1G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KE88] MTVQGMKGKRFVTRRSRNGLKNHRRGRVNCASLRSVSSSEAFDETAKTHLMQPYDETCSNDDQKDCVKAGEGTNLSLWPEFEKTVSVNEQVKSNSSADQSFVRNAEENSVRDLEELLKEERAARAAVCVELDKERNAAASAADEAMAMIHRLQDEKAAIEMEARQFQRMVEEKSTFDAEEMVILKDILIRREREKHFLEKEVEAYRQLLEETEELECSLTKEKNVPEPEHKQNQDSQERRALLVQELDGTVLDMPYREEENRDKSRDLYKSDSEVAYSRVRDVYMVKDETDNISKKKNLEESSVSKPKESLEENSIIVSGIARKLPPLCRPRKKSLSSSGSRRKSMSAVDYERLKIENEVELLRERLKAVQEEREELTRRASLPPLPSKVRATSERRSWRRS >fgenesh2_kg.1__4294__AT1G52230.1 pep chromosome:v.1.0:1:27805519:27806327:1 gene:fgenesh2_kg.1__4294__AT1G52230.1 transcript:fgenesh2_kg.1__4294__AT1G52230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFATIAAVQPSAAVKGLGGSSLVGAKLFIKPSRQSFKTKSTRAGAVVAKYGDKSVYFDLEDLGNTTGQWDVYGSDAPSPYNPLQSKFFETFAAPFTKRGLLLKFLILGGGSLLTYVSANSTGDVLPIKRGPQEPPKLGPRGKL >fgenesh2_kg.1__4296__AT1G52250.1 pep chromosome:v.1.0:1:27809238:27810150:-1 gene:fgenesh2_kg.1__4296__AT1G52250.1 transcript:fgenesh2_kg.1__4296__AT1G52250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein [Source:UniProtKB/TrEMBL;Acc:D7KIQ9] MLEGKAMVEDSDMPVKMQMQAMSFASQALDLFDVFDCKSIAGHIKKEFDERYGSGWQCVVGSNFGCFFTHSKGTFIYFQLETLKFLIFKGASTP >fgenesh2_kg.1__4298__AT1G52280.1 pep chromosome:v.1.0:1:27814079:27815581:-1 gene:fgenesh2_kg.1__4298__AT1G52280.1 transcript:fgenesh2_kg.1__4298__AT1G52280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRRVLLKVIILGDSGVGKTSLMNQFVNRKFSNQYKATIGADFLTKEVQIDDRIFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKTDVDGGKSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVDAAFECITKNAFKNEPEEEPYLPDTIDVAGGQQQRSTGCEC >fgenesh2_kg.1__4299__AT1G52290.1 pep chromosome:v.1.0:1:27816094:27818451:-1 gene:fgenesh2_kg.1__4299__AT1G52290.1 transcript:fgenesh2_kg.1__4299__AT1G52290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KIR2] MSSSPFPSFSSPPAPEFLSTTQNTATSPAPSQPSINGPSWLSPFPETTTNIDGGSRNVALTGLITGVVLGATFVLIGVCIFVCFYKRKQRKLKMKKKKDLEAILAPKDSIFFMKLSIPLFFFFFIESSNNLQQWGSSEIGHNLFTYEDLSKATSNFSNTNLIGQGGFGYVHRGVLVDGTLVAIKQLKAGSGQGEREFQAEIQTISRVHHRHLVSLLGYCITGAQRLLVYEFVPNKTLEFHLHEKGRPVMEWSKRMKIALGAAKGLAYLHEDCNPKTIHRDVKAANILIDDSYEAKLADFGLARSSLDTDTHVSTRIMGTFGYLAPEYASSGKLTDKSDVFSFGVVLLELITGRRPVDKSQPFADDDSLVDWAKPLMIQVLNGGNFDGLVDPRLENDFDINEMTRMVACAAASVRHSAKRRPKMSQIVRAFEGNISIDDLTEGAAPGHSTIYSLDGSSDYSSTQYKEDLKKFKKMALESQTFGSSECSGLTSDNGQNPSVSSSITEGQRTTQEIEPEMKTNETIS >fgenesh2_kg.1__429__AT1G04900.1 pep chromosome:v.1.0:1:1670187:1674308:1 gene:fgenesh2_kg.1__429__AT1G04900.1 transcript:fgenesh2_kg.1__429__AT1G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine methyltransferase NDUFAF7 [Source:UniProtKB/TrEMBL;Acc:D7KE89] MALVRFIPSRKIPLLSWSRNVDQFELPSLGSLRAFFSTQKLIGDEPVLVRDFIHTALYDPQKGYFSQRSKSVGVLERSIKFNQLEGRKAYMKLLEKVYKQSDISWFTPVELFKPWYAHGIAEAILRTTNLSVPLKIYEIGGGSGTCAKGVLDYIMLNAPERIYNNMSYTSIEISPSLAKIQKETVAQVGSHLSKFRVECRDASNLSGWKNVEQQPCWVIMLEVLDNLPHDLVYSKSQVSPWMEVLVENKPESEALSELYKPLEDPLIKRCIEIVEHEDDPVSKPKEIWSKLFPKPRRSWLPTGCLKLLDVLHAKLPKMSLIASDFSFLPDVKVPGERAPLVSTKKDGCSSDYSSYLDAKGDADIFFPTDFWLLERMDHYCSGWRKMEKDGTPSKKGRKRRTLTLDTSAFMDEFGLPSKTRTKDGYNPLLDDFKNTKFYLSVPTHNTK >fgenesh2_kg.1__42__AT1G01910.4 pep chromosome:v.1.0:1:150165:152787:1 gene:fgenesh2_kg.1__42__AT1G01910.4 transcript:fgenesh2_kg.1__42__AT1G01910.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase ASNA1 homolog [Source:UniProtKB/TrEMBL;Acc:D7KP62] MAADLPEATLQNILDQESLKWVFVGGKGGVGKTTCSSILAICLASVRSSVLIISTDPAHNLSDAFQQRFTKSPTLVQGFSNLFAMEVDPTVETDDLAGADGMDGLFSDLANAIPGIDEAMSFAEMLKLVQTMDYATIVFDTAPTGHTLRLLQFPATLEKGLSKLMSLKSRFGGLMTQMSRMFGIEDEFGEDALLGRLEGLKDVIEQVNRQFKDPDMTTFVCVCIPEFLSLYETERLVQELAKFEIDTHNIIINQVLYDDEDVESKLLRARMRMQQKYLDQFYMLYDDFNITKLPLLPEEVTGVEALKAFSHKFLTPYHPTTSRSNVEELERKVHTLRLQLKTAEEELERVKSG >fgenesh2_kg.1__4300__AT1G52310.1 pep chromosome:v.1.0:1:27824716:27827198:1 gene:fgenesh2_kg.1__4300__AT1G52310.1 transcript:fgenesh2_kg.1__4300__AT1G52310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KIR4] MELKCVFCQKRSLFLISCLTLLCLASLDTISSESTQNATNFKKSSHRVSCPSDWIIGLNQTKCYGYFRNSTTWEKSEMFCRTYGGHLASVASSKELSFVQKLCIGNVSGCWIGGRSLNSSTSGFRWSWSDPKTPQWNQSLFPKVPLRTRCGNGNGSSSCRANICIAVTNGSAPIFGERCNASHAFVCAVDSDIKCRNCHKYLIILAVVSGLILFTTFAIILWLLVYKRSKKRRKSRKVSNPASSSSVVPPSWKIFTSEELRSMTKNFSEANRLAGDAKTGGTYSGGLSDGTKVAVKRLKRSSFQRKKEFYSEIRRAAKLHHPNVVAIKGCCYDHGERFIVYEFIASGPLDRWLHHVPRGGRSLDWNMRLNIATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGAHLMGVGLSKFVPWEVMQERTVMAGGTYGYLAPEYVYRNELTTKSDVYSFGVLLLEIVSGRRPTQAVNSSVGWQSIFEWATPLVQANRWLEILDPVITCGLPEASVVQKVVDLVYSCTQNVPSMRPRMSHVVHQLQQLVQPLEIK >fgenesh2_kg.1__4302__AT1G52320.2 pep chromosome:v.1.0:1:27830124:27833237:1 gene:fgenesh2_kg.1__4302__AT1G52320.2 transcript:fgenesh2_kg.1__4302__AT1G52320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSKIENEEAVTRCKERKQLMKDAVTARNAFAAAHSAYAMALKNTGAALSDYSHGEFLVSNHSSSSSAAAIASTSSLPTAISPPLPSSIAAISNSTASSSAAVPQPIPDTLPPPPPPPPPLPLQRAATMPEMNGRSGGGPSGNGLNGIEEDGTLDNDDDDDDDSELENRDRLVRKSRSRGGRTTIEDHHHQEEKAPPPPPAVNSRPIQPPRQHQHQHQQQQQQPFYDYFFPNVENMPGTTLEDTPPQPQPQPQPTRPVPPQPHSPVVTEGEEDEEEEEEDEEDEEEEEEEEAVLERKPPVEERPKRVEEVSVELEKVTNLRGMKKSKGIGIPGERRGVRITTDLANVFNELDDSFLKASESAHEVSKMLEATRLHYHSNFADNRGHIDHSARVMRVITWNRSFRGIPNADDGKDDVDLEENETHATVLDKLLAWEKKLYDEVKAGELMKIEYQKKVAHLNRVKKRGGHSDSLERAKAAVSHLHTRYIVDMQSMDSTVSEINRLRDEQLYLKLILLVEAMGKMWEMMQMHHQRQAEISKVLKSLDVSQAVKETNDHHHERTIQLLAVVQEWHTQFCRMIDHQKEYIKALGGWLKLNLIPIESTLKEKVSSPPRVPNPAIQKLLHAWYDRIDKIPDEMARTAIINFAAVVSTIMQQQEDEINLRNKCEETKKELGRKIRQFEEWYYKYMQKRGPEGMNPEGSEADNDHKDEVMVRQFNVEQIKKRLEEEEEAYHRQSHQVREKSLASLRTRLPELFQAMSEVAYSCSDMYRAVTYVTKRQSQSERHQKPSQGQSSKELL >fgenesh2_kg.1__4306__AT1G52325.1 pep chromosome:v.1.0:1:27833577:27834214:-1 gene:fgenesh2_kg.1__4306__AT1G52325.1 transcript:fgenesh2_kg.1__4306__AT1G52325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDFEEAGHKLLKIRLEQGQEMELCVMVLECCTEEKTYRSFYVYRECFENLFVQQYSMVHRFETNKLISVATFFGHLLATDALPWHLLGIKLLNEKLHDPTMEETFESIFPKDHLKNTLFSINFFTKIGLGGITQKLRQFIAKRKETDSEESSGDDGTKRKRRRKRG >fgenesh2_kg.1__4307__AT1G52330.1 pep chromosome:v.1.0:1:27842103:27843264:1 gene:fgenesh2_kg.1__4307__AT1G52330.1 transcript:fgenesh2_kg.1__4307__AT1G52330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEEDPKAATPSLPDYKPLPSSSHDQNDAVLISSHSSFTSRRRFIISIFLISFASILIYIFWPSDPRIKIVRVKISHVHVHRRPVPSIDMTLLVTLKVSNADVYSFDFTDLDVTIDYRGKTLGHVSSDGGHVTAFGSSYLDAEAELDGVTVFPDVIHLIHDLAKGSVEFDTVTETNGNLGVWFFRFPLKAKVACGILVITVNQTISRQSCSPL >fgenesh2_kg.1__4308__AT1G52340.1 pep chromosome:v.1.0:1:27847798:27849414:-1 gene:fgenesh2_kg.1__4308__AT1G52340.1 transcript:fgenesh2_kg.1__4308__AT1G52340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNTPSSSSALPPCDRLLGKVALITGGATGIGESIVRLFHKHGANVCIVDLQDDLGNEVCKSLLSGETKETACFIHGDVRVEDDISNAVDFAVKKFGTLDILINNAGLCGAPCPDIRNNSLSEFEKIFDVNVKGAFLSMKHAARVMIPEKKGSIVSLCSVGGVMGGIGPHAYVGSKHAVLGLTRSVAAELGQHGIRVNCVSPYAVATKLALAHLPEEERTEDAFVGFRNFAAANANLKGVELTVDDVANAVLFLASDESRYISGDNLMIDGGFTCTNHSFKVFR >fgenesh2_kg.1__4309__AT1G52342.1 pep chromosome:v.1.0:1:27851037:27851475:-1 gene:fgenesh2_kg.1__4309__AT1G52342.1 transcript:fgenesh2_kg.1__4309__AT1G52342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKILPPLVILPENFHENTTVAEVTAATEVEASAGHRSGGGGKKKCVCSPSKHPRSFKCRYHQHEYQWLPSSSSSSLHK >fgenesh2_kg.1__430__AT1G04910.1 pep chromosome:v.1.0:1:1674291:1677627:-1 gene:fgenesh2_kg.1__430__AT1G04910.1 transcript:fgenesh2_kg.1__430__AT1G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGHHRLHGKTGGVGTKGMVAKLSIGVIVLLICTLSLLFSANIGSNREPTRPSKINVEELWESAESGGWRPSSAPRSDWPPPTKETNGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPELDANSFWHDDSGFQGIYDVEHFIETLKYDVKIVGKIPDVHKNGKTKKIKAFQIRPPRDAPIEWYLTTALKAMREHSAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKPHIMQLSESIVDRLRSQGHFMSIHLRFEMDMLAFAGCFDIFNPEEQKILRKYRKENFAEKRLIYNERRAIGKCPLTPEEVGLILRAMRFDNSTRIYLAAGELFGGERFMKPFRTLFPRLDNHSSVDPSEELSAKSQGLIGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIAREKGKTAGFEEAVRRVMLKTNFGGPHKRVSPESFYTNAWPECFCQINPKKSSDKCPPNNVIEILDSRLESVRDPDSTSQTNSTVTGLER >fgenesh2_kg.1__4311__AT1G52360.1 pep chromosome:v.1.0:1:27900127:27907067:1 gene:fgenesh2_kg.1__4311__AT1G52360.1 transcript:fgenesh2_kg.1__4311__AT1G52360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta' [Source:UniProtKB/TrEMBL;Acc:D7KJG4] MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTLCIWNYQTQVMAKSFEVTELPVRSAKFIARKQWVVAGADDMYIRVYNYNTMDKVKVFEAHSDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWACTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYIKSSRRVVIGYDEGTIMVKLGREIPVASMDNTGKIIWAKHNEIQTANIKSIGADYEVTDGERLPLSVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSGLEFVWSSEGECAVRESSSKIKIFSKNFQEKRSIRPTFSAEKIFGGTLLAMCSSDFICFYDWAECRLIQRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDLVSSHFDSGRPTEEEGVEDAFEVLHENDERVRTGIWVGDCFIYNNSSWKLNYCVGGEVTTMYHLDRPMYLLGYLASQSRVFLVDKEFNVIGYTLLLSLIEYKTLVMRGDLDKASEILPTIPKDQHNSVAHFLESRGMIEDALEIATDPDYRFELAIQLGRLEIAQEIAVEVQSESKWKQLGELAMSSGKLQMAEECMKYAMDLSGLLLLYSSLGDAEGVTKLATLAKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVALWRKDLSKVNSKAAESLADPEEYPNLFEDWQVALSVEARAVETRGVYTGAENYPSHADKSSMTLVEAFRNLQVEEEESLENGDIDHEEVVAEENGNEQRNEEDVEEHHEEKEAEEEEGIVDGDSTDGAVLVNGSEADEEWGTNNEGNPSA >fgenesh2_kg.1__4318__AT1G52400.1 pep chromosome:v.1.0:1:27935890:27938907:1 gene:fgenesh2_kg.1__4318__AT1G52400.1 transcript:fgenesh2_kg.1__4318__AT1G52400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 1 [Source:UniProtKB/TrEMBL;Acc:D7KJG9] MVRFEKVPLVLGLALVLTLVGAPTKADGPVCGAGLPGKFSRLNFPKDFIWGTATAAFQVEGAVDEGCRGPSMWDTFTKQFPHRCENHNADVAVDFYHRYKEDIQLMKDLNTDGFRLSIAWPRIFPHGRMSKGISKVGVQFYHDLIDELLKNNIIPLVTVFHWDTPQDLEDEYGGFLSGRIVKDFTEFANFTFHEYGHKVKNWITFNEPWVFSRAGYDNGKKAPGRCSPYIPGYGQHCQDGRSGYEAYQVSHNLLLSHAYAVDAFRKCKQCAGGKIGIAHSPAWFEPQDLEHVGGAIERVLDFILGWHLAPTTYGDYPQSMKDRVGHRLPKFTEAEKKLLKDSTDYVGMNYYTSVFAKEINPNPKSPSWTTDSLVDWDSKSVDGYKIGSKPFNGKLDVYSKGMRYLLKYIKDNYGDPEIIITENGYGEDLGEKHNDVDFGTQDHNRKYYLQRHLLSMHEAICQDKVNVTGYFVWSLMDNFEWQDGYKARFGLYYIDFQNNLTRHQKVSGKWYSDFLEPKFPTSKLREEL >fgenesh2_kg.1__431__AT1G04920.1 pep chromosome:v.1.0:1:1678193:1682519:-1 gene:fgenesh2_kg.1__431__AT1G04920.1 transcript:fgenesh2_kg.1__431__AT1G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSPS3F [Source:UniProtKB/TrEMBL;Acc:D7KE91] MAGNEWINGYLEAILDSQAQGIEETQQKPQAAVNLREGDGQYFNPTKYFVEEVVTGVDETDLHRTWLKVVATRNSRERNSRLENMCWRIWHLTRKKKQLEWEDSQRIANRRLEREQGRRDATEDLSEDLSEGEKGDGLGEIVPPETPRKQLQRNLSNLEIWSDDKKENRLYVVLISLHGLVRGENMELGSDSDTGGQVKYVVELARALARMPGVYRVDLFTRQICSSEVDWSYAEPTEMLTTAEDCDGDETGESSGAYIIRIPFGPRDKYLRKEILWPYVQEFVDGALAHILNMSKVLGEQIGKGKPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIKRRIEAEELSLDAAELVITSTRQEIDEQWGLYDGFDVKLEKVLRARARRGVNCHGRFMPRMAVIPPGMDFTNVEVQEDTPEGDGDLASLVGGTEGSSPKAVPTIWSDVMRFFTNPHKPMILALSRPDPKKNITTLLKAFGECRPLRELANLTLIMGNRDDIDELSSGNASVLTTVLKLIDKYDLYGSVAYPKHHKQSDVPDIYRLAANTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDIHRALHNGLLVDPHDQDAIANALLKLVSEKNLWHECRINGWKNIHLFSWPEHCRTYLTRIAACRMRHPQWQTDADEVAAQDDEFSLNDSLKDVQDMSLRLSMDGDKPSLNGSLEPNSADPVKQIMSRMRTPEIKSKPELQGKKQTDNLGSKYPVLRRRERLVVLAVDCYNNEGAPDEKAMVPMIQNIIKAVRSDPQMAKNSGFAISTSMPLDELTRFLKSAKIQVSEFDTLICSSGSEVYYPGGEDGKLLPDPDYSSHIDYRWGMEGLKNTVWKLMNTTAVGGEARNKGSPSLIQEDQASNNSHCVAYLIKDRSKVMRVDDLRQKLRLRGLRCHPMYCRNSTRMQIVPLLASRSQALRYLFVRWRLNVANMYVVVGDRGDTDYEELISGTHKTVIVKGLVTLGSDALLRSTDLRDDIVPAESPFIGFLKADSPVQEITDIFKQLSKATA >fgenesh2_kg.1__4320__AT1G52410.1 pep chromosome:v.1.0:1:27943697:27948523:1 gene:fgenesh2_kg.1__4320__AT1G52410.1 transcript:fgenesh2_kg.1__4320__AT1G52410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tsk-associating protein 1 [Source:UniProtKB/TrEMBL;Acc:D7KJH0] MKTNFLALALSLCLLLSSFHEVSCQDDGSGLSNFDLIERDYQDSVNALQGKEDEDQSAKIQSENKNNTTVTDKNTISLSLSDETEVGSVSDESVKRSSLLDQIELEFEAHHNSLNQAGSDGVKAESKDDEELSAQRQKMLEEIEREFEAASSSLKQLKTDEINEGNDEEHSAKRQSLLDEIEREFEAATKELEQLKVNDFTIDKDDEEQSAKRKSMLEAIEREFEAAMEGIEELKVSDSTGSGDDEEQSAKRLSMLEEIEREFEAATKGLEQLKAGDSTGEKNEEEQAAKGQSLLEEIEREFEAATESLKLLQVDDSTEDTEQSAKRQSMLEEIEREFEAATKDLKQLNDFTEGNADDEHSAKRNKMLEEIEREFEAATKGLEQLKANDFTEGSDNEEQSAQRKSMLEEIEREFEAAIGGLKQIKVDDSRNIEEESAKRKIMLEEMEREFEEAHSGINGKADTEESAKKQSDYATPEVLGLGKPGVCSCFNQDNADLKHDDDASIVIPTKYSIEEILSEESAVQGTETSSLTASLTQLVENHRKEKESPLGHRVLTSSSSSSASSTSESSATSETVETLRAKLKELRGLTARELVTRQDFDQILITAASFEELSSAPISYISRLAKYRNVIKEGLEASERVHIAQARATMLKEVATEKQTAVDSHFATAKTLAQKGDALFVRIFAIKKLLAKLEAEKESIDGKFKETVKELSHFLVDASDAYEEYHGAVRKAKDEQAAEEFAKEATQSAEIIWVKFLSSL >fgenesh2_kg.1__4322__AT1G52420.1 pep chromosome:v.1.0:1:27950999:27954580:1 gene:fgenesh2_kg.1__4322__AT1G52420.1 transcript:fgenesh2_kg.1__4322__AT1G52420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7KJH1] MEEIRLSPLRQTSVKSSLSGRSTPRGTPRLHSGRTPRRGHVGGGAFQLFRSSRLVYWLLLITLWTYLGFYVQSRWAHDNESKVEFLRFGGRPRTDVLYVEKIKGMDAVANENSEALVNITGKDDAGLSKRTDVSLIKKDDGASRRSLSSKQKTRKTVRSSRSKVRGKQKVIKEVLETKDLDAEQDPQLPLTNATYGKLLGPFGSLEDKVLEWSPHRRSGTCDRKSDFKRLVWSRRFVLLFHELSMTGAPISMMELASELLSCGATVSAVVLSRRGGLMQELTRRRIKVVEDKGELSFKTSMKADLVIAGSAVCTSWIDQYMNHHPAGGSQIAWWIMENRREYFDRAKPVLDHVKMLIFLSESQSRQWLTWCEEEHIKLRSQPVIVPLSVNDELAFVAGIPSSLNTPTLSPEKMREKRQILRESVRMELGLTDADMLVMSLSSINPTKGQLLLLESIALALSERGKESQRNHKGIIRKEKVSLSSKHRLRGSSRQMKSVSLTLDNAVRSEKQELKVLLGSVGSKSNKVGYVKEMLSFLSKNGNLSKSVMWTPATTRVASLYSAADVYVTNSQGVGETFGRVTIEAMAYGLAVVGTDAGGTKEMVQHNVTGLLHSMGRSGNKELAHNLLYLLRNADARLRLGSEGRKMVEKMYMKQHMYKRFVDVLVKCMRP >fgenesh2_kg.1__4329__AT1G52510.1 pep chromosome:v.1.0:1:27988627:27992475:-1 gene:fgenesh2_kg.1__4329__AT1G52510.1 transcript:fgenesh2_kg.1__4329__AT1G52510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7KJH6] MSQLSPLPLLHSFSSTVVTKSTASRITATPSKLRFSVVNATPENGNSGGRKKDRDEDPSFNPFGFVTDNPSSRSAIQLPESPAEDGNVGQMLYRTEDKGKEYGSIIKSGKLRWFVRETGSKESRRGTIVFVHGAPTQSFSYRTVMSEMSDAGFHCFAPDWIGFGFSDKPQPGYGFNYTEKEYHEAFDKLLEVLEVKSPFFLVVQGFLVGSYGLTWALKNPSKVEKIAILNSPLTVSSPVPGLFKQLRIPLFGEFTCQNAILAERFIEGGSPYVLKNEKADVYRLPYLSSGGPGFALLETAKKINFGDTLSQIANGFSSDSWDKPTLLAWGIADKYLPQSIAEEFEKLNPQNVKLRLIEGAGHLPQEDWPEKVVAALRAFF >fgenesh2_kg.1__4331__AT1G52530.1 pep chromosome:v.1.0:1:27997692:27998778:1 gene:fgenesh2_kg.1__4331__AT1G52530.1 transcript:fgenesh2_kg.1__4331__AT1G52530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAFLTENGVNLLEKRFLPAFDKMGKNCHLLLTKEHLFFLHNLLNGDGVQCIAQFRKDVLFDDYRISSQNEDRIAFSLDVALLYRAVKSSVSICTEFSGGLASNRLQIKLVKKLPPNCTQPMPFLTFETKGYKSAVIQDVPISKPLSRSQVIELQTALDSAQDLPPTLVQVQDPNQLQNFVDHMRHVGDVLNVTISKHGDLQVQISTTLIRLGTEFQRLSVIGEKSQAPVEDRNLSAQARSERAIARGDAQSVQVSVKHFSKSLQCHLTKPNSAFYGIAPQGACLTVIFQFMVPGTRQTDKSISLHCRLPVLDPGSN >fgenesh2_kg.1__4338__AT1G52580.1 pep chromosome:v.1.0:1:28027529:28028998:1 gene:fgenesh2_kg.1__4338__AT1G52580.1 transcript:fgenesh2_kg.1__4338__AT1G52580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:D7KJI5] MGKRPPIPPDIEHGPPPPARPQFRPPIPVPWVAWLVPLILAANFVTFATTMYVNDCPARSDECLLFDVLGRLSFQPIKENMLLGPSIPTLRKLGALERRLVEEGERWRLISCIWLHGGFLHLMANMISLMCIGMRLEQEFGFMRIGALYVISGLGGSLMSCLTDSQGERVSVGASGALFGLLGAMLSELITNWTIYENKCTALMTLVLIIVLNLSVGFLPRVDNSAHFGGFLAGFFLGFVLLLRPQYGYVSPKYIPPGYDMKHKKSKHKCYQHILMYTSLAVLLVGFIAGYTKLLREHTIQSVPLRDFN >fgenesh2_kg.1__4339__AT1G52590.1 pep chromosome:v.1.0:1:28028979:28030170:-1 gene:fgenesh2_kg.1__4339__AT1G52590.1 transcript:fgenesh2_kg.1__4339__AT1G52590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILFPASFGRLTVPSRAQVKLRVSASANHRTIRRDSVDWVKETSSFFEEDKRPIMLFDGVCNLCNGGVKFVRDHDRNRSIRFEALQSEAGKKLLMRSGRAPDDISSVVLVENDRSYIKSEAVLKIMKYIDLPFPQLAFFIQFAPLFVRDFLYENVANNRYAMFGRSDSCEI >fgenesh2_kg.1__4340__AT1G52600.1 pep chromosome:v.1.0:1:28032243:28034024:1 gene:fgenesh2_kg.1__4340__AT1G52600.1 transcript:fgenesh2_kg.1__4340__AT1G52600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase I [Source:UniProtKB/TrEMBL;Acc:D7KJI7] MGWIGETVDSIKSIQIRQLFTQAISLGMIVTSALIIWKALMCVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNVDGRDIPIVHRVIKVHERENTGEVDVLTKGDNNYGDDRLLYAEGQLWLHRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKD >fgenesh2_kg.1__4342__AT1G52630.1 pep chromosome:v.1.0:1:28040438:28042781:-1 gene:fgenesh2_kg.1__4342__AT1G52630.1 transcript:fgenesh2_kg.1__4342__AT1G52630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSPVKPLFVFVLTFSLLLVVILLSPSPHILQIPFPSGSSVGSDIWSVKRIVEWRPCKWWLQGHLTPLPAKTNGYIRVDCYGGLNQMRRDLCDGVGIARLLNATLVLPKFEVAAYWNESSGFADVFDVDYFIQKMSGYIEVVKELPKDIASKEPFKVDCSKRKGQFDYIESVLPSLLEHHYISFTPAMSQRRDRYPEFARATLCQACYSAIRLTSSLEKKAIELFDAIPKPFLSLHLRFEPDMVAYSQCEYPNLSPSSIAAIEAARVDRKPWTGELAQTWRKRGKCPLTPNETVLMLQSLNIPTSTNIYLAAGDGLMEMEGFTSIYTNVFTKSVLLNQEDFTRMHGNTKAALDYHVSINSDAYVATYFGNMDKIVAAMRTYKQMHNTLFLSRKAFAELTSQGLEGAELKKALWEVHKSDFAIGRGFALPDCFCEFEL >fgenesh2_kg.1__4347__AT1G52680.1 pep chromosome:v.1.0:1:28051192:28052084:-1 gene:fgenesh2_kg.1__4347__AT1G52680.1 transcript:fgenesh2_kg.1__4347__AT1G52680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQQLSHSAGEVTGQVQLKKEEYLNNVSHAMNQNADHHTHSQSQLHSEHDQSNPSLISQASSVIQQTGGQVKNMAQGAADAVKNTLGMSPATNSPSSPAGTARSSKPGSKNI >fgenesh2_kg.1__4349__AT1G52690.1 pep chromosome:v.1.0:1:28053079:28054275:1 gene:fgenesh2_kg.1__4349__AT1G52690.1 transcript:fgenesh2_kg.1__4349__AT1G52690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis-abundant protein [Source:UniProtKB/TrEMBL;Acc:D7KJJ5] MASHQEQSYKAGETRGKVQEKTGEAMGTMGEKTQAAKDKTQETAQTAQQKAHETAQSAKDKTSQAAQTTQQRAQESKDKTGSYMSETGEAIKNKSHDAAEYTKETAEAGKEKTSGILGQTGEHVKQMAMGATDAVKHTLGLGADEGNKEHVSSAPSTTTTTTRETQRK >fgenesh2_kg.1__434__AT1G04945.2 pep chromosome:v.1.0:1:1687707:1689901:1 gene:fgenesh2_kg.1__434__AT1G04945.2 transcript:fgenesh2_kg.1__434__AT1G04945.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSVCEECKLNPWKYKCPGCSIRSCGLPCVKAHKQRTGCTGKKNLINVVPLSKFDDNLLLSDYIMLEETKRVAESALRTRNQLCKNHYSYKLPYLLRSLQNAAYSRRTKLLYLPSGMFKRGNNQSRYDNRSKCISWTIEWRFHSTDVILVDHGVGEDTNLCSVIRNHLKPGPWIHKLKPFCDVDLDSLKLFIRQYPKGAKAPFKELDIKAPLRQQLAKVAILEYPVIHVYLPSQSYDFEVINHVNTTPNPNDSLFDGYGCTNGTTFREEEIEEDDINSFEPKVLELVKQMNYNPCLRVSEESKAEGVGTNKSNPLVDPREQEDAGNMELEFEEGLIDTYSDLFAEMSPGDYFNFECEFAKGLDSDDNCNLQNLDTDFIADGLDLEEGEIVE >fgenesh2_kg.1__4350__AT1G52695.1 pep chromosome:v.1.0:1:28058509:28060655:-1 gene:fgenesh2_kg.1__4350__AT1G52695.1 transcript:fgenesh2_kg.1__4350__AT1G52695.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase/carboxylesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7KJJ7] MASGSGNVSGLEFGQVNVIKHTGIHKATIIWLHDVDNTGFDSLEPLRNLRLPNIKWICPTAPRRRVTSLGGEITNAWCDITKVSENMQDDFGTLNYVNEYITYLFSSEPQNVIKGVAGIGLGAAQALYYTSCYAFGWVPINPQITIGINGWLPGWRRLEYNMNNTNFGTANRAAASKILILHGTSDDVVPSSFGYRCADSLRVAGFPTLFKQCGGDHVTNEIRVWLTNLGL >fgenesh2_kg.1__4351__AT1G52700.1 pep chromosome:v.1.0:1:28075681:28078163:-1 gene:fgenesh2_kg.1__4351__AT1G52700.1 transcript:fgenesh2_kg.1__4351__AT1G52700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase/carboxylesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7KJK1] MSYSRQSMGSGSRNARGYEFGRTYVVRPKGKHQATLVWLHGLGDNGSSINSCLVLALLVLSINICFRKKLHLLAHLSSWKACMHLPNIKWICPTAPSRPVTSLGGFTCTAWFDVGEISEDGHDDLEGLDASASHIANLLSAEPADVQVGIGGFSMGAAISLYSATCYALGRYGTGHAYPLNLRAVVGLSGWLPGWKSLIRSKIECSYEAARRAASLPIILTHGTSDDVVPYRFGEKSAQSLGMAGFRQAMFKPYEGLGHYTVPKEMNEVVHWLTARLGLEGSC >fgenesh2_kg.1__4352__AT1G52730.2 pep chromosome:v.1.0:1:28095894:28098277:1 gene:fgenesh2_kg.1__4352__AT1G52730.2 transcript:fgenesh2_kg.1__4352__AT1G52730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7KJK6] MEKKKVTIPQVCHGHSRPVVDLFYSPITPDGFFLISASKDSHPMLRNGETGDWIGTFEGHKGAVWSSCLDNNALRAASASADFSAKLWDALTGDVLHSFEHKHIVRACAFSEDTKLLLTGGFEKILRVFDMNRLDAPPTEVDKSPGSIRTLTWLHSDQTILSSCTDIGGVRLWDVRSGKIVQTLETKSPVTSAEVSQDGRYITTADGSTVKFWDANHFGLVKSYDMPCNIESASLEPKSGEKFVAGGEDMWVRVFDFYTGEEIGCNKGHHGPVHCVRFTPTGLSYASGSEDGTIRIWQTTPANPEENETSSRRVKHSVDEVSKKIEGFHINKEGKTAEKPSDT >fgenesh2_kg.1__4354__AT1G52740.1 pep chromosome:v.1.0:1:28098558:28099567:1 gene:fgenesh2_kg.1__4354__AT1G52740.1 transcript:fgenesh2_kg.1__4354__AT1G52740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:D7KJK7] MSGKGAKGLIMGKPSGSDKDKDKKKPITRSSRAGLQFPVGRVHRLLKTRSTAHGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRISPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSAKE >fgenesh2_kg.1__4356__AT1G52760.1 pep chromosome:v.1.0:1:28104548:28105974:1 gene:fgenesh2_kg.1__4356__AT1G52760.1 transcript:fgenesh2_kg.1__4356__AT1G52760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7KJK9] MPSEAESSANSPPPPPPNFWGNMPEEEYYTSQGVRNTKSYFETPNGKLFTQSFLPLDGEIKGTVYMSHGYGSDTSWMFQKICMSFSTWGYAVFAADLLGHGRSDGIRCYMGDMEKVAATSLAFFKHVRCSDPYKDLPAFLFGESMGGLVTLLMYFQSEADTWTGLMFSAPLFVIPEDMKPSKAHLFAYGLLFGLADTWAAMPDNKMVGKAIKDPEKLKIIASNPQRYTGKPRVGTMRELLRKTQYVQENFGRVTIPVFTAHGTADGVTCPTSSKLLYEKASSADKTLKIYEGMYHSLIQGEPDENAEIVLKDMREWIDERVKRYGSKTV >fgenesh2_kg.1__4357__AT1G52770.1 pep chromosome:v.1.0:1:28108701:28110598:1 gene:fgenesh2_kg.1__4357__AT1G52770.1 transcript:fgenesh2_kg.1__4357__AT1G52770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:UniProtKB/TrEMBL;Acc:D7KJL0] MDETSSPESATISTKSPSTSTGKMECSWFDDGCILDIDNFIKSIAGIKSKGVRPDIIGSIIVHYASKWLPDLSDVVINPEEPQLQPQQQSESFSVTAFVMKKRFFVETLLGIIPPEKDSVPCDFLLRLLRTANMVGADSNYKKELEERVSWQLDQASLKELMIPSFSHLCGTLLDVELVTRLVKKFARLDNEGVKSGASLVKVAKLVDSYLAEAALDGDLSLAEFISLAEALPNHARVTEDGLYRAIDTYLKAHPKVTKQERKRLCGLIDSKKLSMEASLHAAQNDRLPVRTIIQVLFSEKVKLIHRSHNNIEWSGSSFSGARSSPNPSGSHYSESGPARCMSKREINVQQAEIRRLREDMAKLKSECEAMQTQLHKDKVIEKKGTCTSGNKGFFRWKKLGFRSGLSVSVVENTIGEDFGDNREGEEYEYATQTPGNMKTKLVKGRTPSRWRKSMS >fgenesh2_kg.1__4363__AT1G52830.1 pep chromosome:v.1.0:1:28143921:28145156:-1 gene:fgenesh2_kg.1__4363__AT1G52830.1 transcript:fgenesh2_kg.1__4363__AT1G52830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7KJL5] MAKEGLGLEITELRLGLPGDNYSEISIWGSKNKKRVLSDMMTSSSLNTENENGVVSSAEDESLPVVKSQAVGWPPVCSYRRQKNNEEASKAIGYVKVSMDGVPYLRKIDLGSSNGYNNLATVLENLFGCLGLGVAKEGKKCEYIIIYEDKDRDWMLVGDVPWQMFKESCKRLRIVKRSDATGFGLQRD >fgenesh2_kg.1__4365__AT1G52870.2 pep chromosome:v.1.0:1:28154991:28156988:1 gene:fgenesh2_kg.1__4365__AT1G52870.2 transcript:fgenesh2_kg.1__4365__AT1G52870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLHTSISPRSFLPLSKPSLKPHRSQIFLRNKQRTCALIRDEIDVIPVQSRDRTDHEEGSVVVMSTETERDVNESVVVGFSAATSEGQLSLEGFPSSGGDLGDEKRGENEEREKMIDRTINATIVLAAGSYAITKLLTIDHDYWHVWTLLEILRYAPQHNWIAYEEALKQNPVLAKMVISGVVYSVGDWIAQCYEGKPLFEIDRARTLRSGLVGFTLHGSLSHFYYQFCEELFPFQDWWVVPVKVVFDQTVWSAIWNSIYFTVLGFLRFESPLSIFKELKATFLPMLTAGWKLWPFAHLITYGLVPVEQRLLWVDCVELIWVTILSTYSNEKSEARISESVIETSSSSTTSIDPSKE >fgenesh2_kg.1__4366__AT1G52880.1 pep chromosome:v.1.0:1:28158495:28160047:-1 gene:fgenesh2_kg.1__4366__AT1G52880.1 transcript:fgenesh2_kg.1__4366__AT1G52880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDSSGDPPPPQPNLPQGFRFHPTDEELVIHYLKRKADSVPLPVAIIADVDLYKFDPWELPAKASFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVISTGGGGNKKVGVKKALVFYSGKPPKGVKSDWIMHEYRLTDNKPTHICDFGNKKNSLRLDDWVLCRIYQKNNSTTSRHHHHHHLHLDNNDHHRHDMMIDDERFRHVPHGLHFPAIFSDNNDPTAIYDGGGGGYGGGNYSMNHNFASGSKQEQLFPPVMMMTSINQDSGIGSSSSPSKRFNGGGLGDCSTSLAATPLMQNQGGIYQLSGLNWYT >fgenesh2_kg.1__4367__AT1G52890.1 pep chromosome:v.1.0:1:28179525:28180941:-1 gene:fgenesh2_kg.1__4367__AT1G52890.1 transcript:fgenesh2_kg.1__4367__AT1G52890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC019 [Source:UniProtKB/TrEMBL;Acc:D7KJM1] MGIQETDPLAQLSLPPGFRFYPTDEELMVQYLCRKAAGYDFSLQLIAEIDLYKFDPWVLPNKALFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKIISTEGQRVGIKKALVFYIGKAPKGTKTNWIMHEYRLIEPSRRNGSTKLDDWVLCRIYKKQSSAQKQVYENVITSGREFSNNGTSSTTSSSSHFEDVLDSFHHEIDNRNFQFSNPNRFSSLRPDLTEQKTGINGLADTSNFDWGSFAGNVEHNNYSVPELGLSHVVPNLEYNCSYLKTEEEVESSHGFNNSGELAQKGYGVDSVGFGYSGQVGGFGFM >fgenesh2_kg.1__4369__AT1G52910.1 pep chromosome:v.1.0:1:28201070:28202211:1 gene:fgenesh2_kg.1__4369__AT1G52910.1 transcript:fgenesh2_kg.1__4369__AT1G52910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVIIIVFILDLIAVGLAIAAEQRRSVGKVVPDKEKDYEYCEYGSDIATSYGAGAFVLLFTSQVIIMVASRCFCCGKALNPGGSRACAIMLFLICWVFFLIAEICLLAGSIRNAYHTKYRRMWNVEDPPSCEVIRKGVFAAGASFALFTAIVSQFYYVSYSRAQDGYRNPHY >fgenesh2_kg.1__4371__AT1G52930.1 pep chromosome:v.1.0:1:28204180:28206215:1 gene:fgenesh2_kg.1__4371__AT1G52930.1 transcript:fgenesh2_kg.1__4371__AT1G52930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brix domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KJM6] MGKKRKHSETEAPAPVKKNDESAPERPKRTLLGWKDKSEGEAEKSKALSSSGFKNKEKVLVTCSRRISFRYRSLMLNMVSLLPHCKKDSKVEAKSSKGATLNELIELKGSNSCLFFECRKHKDLYMWMVKSPSGPSVKFLVKAVHAMEELKLTGNHLKGSRPLLTFSSNFDKDAHWKLLKEMLTQVFGIPKEHRKSKPYHDHVFVFSIVDEHIWFRNYQISVPHNESDKIAKGGLDKMTLIEVGPRFCLNPIKIFGGSFGGPTLYENPLYVSPNQIRALEKRNKAGKFAKKIKAKTRKKMHELSNPLEPDEFADMWKDDE >fgenesh2_kg.1__4373__AT1G52980.1 pep chromosome:v.1.0:1:28218890:28221929:1 gene:fgenesh2_kg.1__4373__AT1G52980.1 transcript:fgenesh2_kg.1__4373__AT1G52980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear/nucleolar GTPase 2 [Source:UniProtKB/TrEMBL;Acc:D7KKC6] MVKKEKKSNVSGKPKHSLDANRADGKKKTTEGRTKATVNRLKMYNTRPKRNSGGKILSNEYQSKELPNSRIQPDPRWFGNTRVVNQKELEYFREELQSKMSNTFNVIVKPRKLPMSLLIDNKKQSRVHLLDMEPFQDAFGRKTKRKRPKLVASDYEALVKKATESQDAFEEKNGAGPSGEGGEEEDGFRDLVRHTMFEKGQSKRIWGELYKVIDSSDVIVQVIDARDPQGTRCHHLEKTLKEHHKHKHMILLLNKCDLVPAWATKGWLRVLSKEYPTLAFHASVNKSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQSRDTETDIVLKGVVRVTNLEDASEHIGEVLRRVKKEHLQRAYKINDWEDDHDFLLQLCKSSGKLLKGGEPDLMTGAKMILHDWQRGRIPFFVPPPKLDAVASESEATVPGIDEEAIADNSQAAAALKAIAGIMSTQQQKDVPVQRDFYDEKDLKDDNKAKESTETDGENETDAQEDEDAVSEDGVGSESDEDEDAVSENDEENESDYAE >fgenesh2_kg.1__4374__AT1G53000.1 pep chromosome:v.1.0:1:28225077:28227074:-1 gene:fgenesh2_kg.1__4374__AT1G53000.1 transcript:fgenesh2_kg.1__4374__AT1G53000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidylyltransferase family [Source:UniProtKB/TrEMBL;Acc:D7KKC8] MSVCSSSSSSSSSQKTWIVHGILAGAAIAAAIGASAYLGRSRKFRSRVVGIIPARYASSRFEGKPLVQILGKPMIQRTWERSKLATTLDHIVVATDDERIAECCRGFGADVIMTSESCRNGTERCNEALEKLDKKYDVVVNIQGDEPLIEPEIIDGVVKALQVTPDAVFSTAVTSLKPEDGLDPNRVKCVVDNRGYAIYFSRGLIPYNKSGKVNPDFPYMLHLGIQSFDSKFLKVYSELQPTPLQQEEDLEQLKVLENGYKMKVIKVDHEAHGVDTPDDVEKIESLMRERNLS >fgenesh2_kg.1__4375__AT1G53010.1 pep chromosome:v.1.0:1:28228630:28229163:1 gene:fgenesh2_kg.1__4375__AT1G53010.1 transcript:fgenesh2_kg.1__4375__AT1G53010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KKC9] MSSEEDVFSLISVLGLAVFIGLCILLVVLIATSALILVIYAIVDCILRPFLGTCLDLDLEIGVQRGQQRARIVTYHAIIPTGLRLPDFEREGKKRGLKQSAIETLLPKLLVGQGNHEDDKERSLESRECAICLSGYVINEECRVFPVCRHIYHALCIDTWLKNHLTCPTCRKDLPDS >fgenesh2_kg.1__4381__AT1G53080.1 pep chromosome:v.1.0:1:28283972:28285897:1 gene:fgenesh2_kg.1__4381__AT1G53080.1 transcript:fgenesh2_kg.1__4381__AT1G53080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KKD8] MQIQKLCFFALFISLFSTKTISAVKFNFNHFDGTDLIFLGYAELGPATDGMSRSGALSMTRDKNPFSHGRGLYTDLIPFKSSNNISSSVYSFKTSFTFSITPRRSNPNPGHGLAFIVVPTIHYDQDSTRGFLGLVNRTTNGNPNNHLFAVEFDVFQDKRFGDINDNHVGININSVTSKVSEKAGYWVQTRTGGNNQWLFKEVKLSSGDNYKAWIEYKNSKVIVWLAPAHLKKPKRPLIETQVDLSEVVLETMYTGFAGSMGRGIERHDIWSWSFENTA >fgenesh2_kg.1__4384__AT1G53100.1 pep chromosome:v.1.0:1:28290942:28292633:-1 gene:fgenesh2_kg.1__4384__AT1G53100.1 transcript:fgenesh2_kg.1__4384__AT1G53100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKCVFSLVITSLVCVVLIATSFNIGLISSLRPPVNGTLSRYAKNDSKVVAQQPLEVDKLPRFAYLVSGSKGDLESLWRTLRALYHPRNQYIVHLDLESPVDERSELASRIKNDPMYSNIGNVYMIAKANLVTYTGPTMVANTLHACAILLKRTPDWDWFINLSASDYPLVTQDDLIHTFSTLDRNLNFIDHTSRLGWKNKKRAMPLIIDPGLYMVNKSNVLWVRPNRSLPAAFKLFTGSAWMALSHSFVEYIIWGWDNLPRTLLMYYTNFVSSPEGYFHTVICNVPEFSKTAVNHDLHYIAWDKPPRQHPRMLSLRDMGNMIASRSAFGRKFRRNDTVLDKIDKQLLRRMNEDGFTPGGWCGGKPECSVVEDVARIRPSSGAVRLKGLVDMLVTEAKSGKNQCV >fgenesh2_kg.1__4388__AT1G53140.1 pep chromosome:v.1.0:1:28309657:28312890:1 gene:fgenesh2_kg.1__4388__AT1G53140.1 transcript:fgenesh2_kg.1__4388__AT1G53140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin family protein [Source:UniProtKB/TrEMBL;Acc:D7KKE2] MANSNTYLTTPTKTPSSRRNQQSQSKMQSHSKDPINAESRSRFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDLSALEPRCRFQDEDSEEYGGPIVSATAVADVIRSRTESLLKKTKTAVSSKPIVMRAEYAHCPNLTIIDTPGFVLKAKQGEPETTPDEILSMVKSLASPPHRILLFLQQSSVEWCSSLWLDAVREIDSSFRRTIVVVSKFDNRLKEFSDRGEVDRYLSASGYLGENTRPYFVALPKDRSTVSNDEFRRQISQVDTEVIRHLREGVKGGFDEEKFRSYIGFGSLRDFLESELQKRYKEAAPATLALLEQRCSEVTDDMLRMEMKIQATSDVAHLRKAAMLYTASISNHVGALIDGAANPAPEQWGKTTEEERGESGIGSWPGVSVDIKPPNAVLKLYGGAAFERVIHEFRCAAYSIECPPVSREKVANILLAHAGRGGGRGVTEASAEIARTAARSWLAPLLDTACDRLAFVLGSLFEIALERNLNQNSEYEKKTENMDGYVGFHAAVRNCYSRFVKNLAKQCKQLVRHHLDSVTSPYSMACYENDYHQGGAFGSYNKFNQASGNSFCFELSDTSRDEPMKDQENIPPENNNGQETTPGKGGESHITVPETPSPDQPCEIVYGLVKKEIGNGPDGVGARKRMARMVGNRNIEPFRVQNGGLMFGNGDNGMKSSSAYSEICSSAAQHFARIREVLVERSVTSTLNSGFLTPCRDRLVVALGLDLFAVNDDKFMDMFVAPGAIDVLQNERQQLQKRQKILQSCLTEFKTVARSL >fgenesh2_kg.1__4389__AT1G53160.2 pep chromosome:v.1.0:1:28321160:28322476:1 gene:fgenesh2_kg.1__4389__AT1G53160.2 transcript:fgenesh2_kg.1__4389__AT1G53160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding-like protein [Source:UniProtKB/TrEMBL;Acc:D7KKE3] MEGKRTQGQGYLKKKSYRVEEEMEYDTDGEEEVGRDRVRGSSGSIDRGGSSRLCQVDRCTSDMKEAKLYHRRHKVCEVHAKASSVFLSGLNQRFCQQCSRFHELQEFDEAKRSCRRRLAGHNERRRKSSGESSFGEGSGRRGITGQVIQNQERSRVEMTLPMPNSSFKRPQIR >fgenesh2_kg.1__438__AT1G04960.1 pep chromosome:v.1.0:1:1695453:1698349:-1 gene:fgenesh2_kg.1__438__AT1G04960.1 transcript:fgenesh2_kg.1__438__AT1G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQAGVQTSKVLILLGAGVSGSIVLRHGRLSDLIAQLQELLKGAEGVESTPFKYDGALLAAQIRQLANEIKELTMTNPVTIFNGDSNSNGYASYLVPAAAVGAMGYCYMWWKGWSFSDAMFVTKKNMADAVASVSKQLDDLSDTLASTRKHLSNKLATLDWKVEEQNETSKMILSDVTEMRSSISQIGFDFKKLNEMISGIEGKIGSLESKQDVTLSGLWHLCQVAGVKDSTSTKVFQDVGARLPIDGKPYTNNSLSGLRFLTEGKEDANVIHKPVLAKEIAVVEEKTKAATVTRSRVHRAFPGGISWVRDVSGLS >fgenesh2_kg.1__4391__AT1G53163.1 pep chromosome:v.1.0:1:28325564:28326488:1 gene:fgenesh2_kg.1__4391__AT1G53163.1 transcript:fgenesh2_kg.1__4391__AT1G53163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDQQSTPNSGETKPETSVETSSPSDFEFGSLTPSSPSQDPFSENSPADHLFLNGRLLPHSFPTSTTTTRSVSRTTSDNTFRSNSSSSRSSYSSSPTSYTPRTSTCNIFKITSSSTGHVKETNLTCFGTRSEEIMCLRPVTSLKKTNTCSSKEYYNKPSLILDLKKRPPVKNGKAVNTSTTNKVSSLPQCKRKKATEIVTAQLYGSYSRRWQHITPVFKREGSVKSRGGGIKVGGRKKKTTRRKKPTKAEERRGMSKLMKWWKRILKAVVFACRECHAMEPQILVNDDADVKKKITKCP >fgenesh2_kg.1__4392__AT1G53165.1 pep chromosome:v.1.0:1:28329040:28334784:1 gene:fgenesh2_kg.1__4392__AT1G53165.1 transcript:fgenesh2_kg.1__4392__AT1G53165.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Map 4 kinase alpha1 [Source:UniProtKB/TrEMBL;Acc:D7KKE5] MDDVAGLQEAAGTRFSQFELIGRGSFGDVYKAFDTVLNKDVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQPGNPLDEISIACITRDLLHAVEYLHAEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITMIEMAKGEPPLADLHPMRVLFIIPRESPPQLDEHFSRPLKEFVSFCLKKAPAERPSAKELLKHRFIKNARKSPKLLERIRERPKYQVKEDEEIPTNGPKSPVESSGTVRVAGDERGQGTSGTSFQVKTVRNAGWDFSFGGSQGAGTVRALKPPQARERRQEVSSNQTSQKTSRASGSQLSSTFGVPEISEGGFNKRDSYQNDNQEEDDSSGSGTVVIRSPRSSQPSSMYRDQSSGSNRYTSFDDASTSGTVVVRGQNDDSGSPRTPRSRLGLQERSSSASEDSISNLAEAKLALEAGFRRGNAREKLGNGKVNKRREQATDNSDYLRNSRDHSDKQKPVIRSQQVSDDEDDSKLASLSASLSLLLLPSLKEAVGGDDSKGSVGHRVSRSLVNMEREKPGSSEAFIAKLIEQLGSTKEVSVKEVQDMAIRVFAKTMNKDAENKRKQASKEFSSNANFSPLARFLFSRWLGQTSRDLNQS >fgenesh2_kg.1__4394__AT1G53170.1 pep chromosome:v.1.0:1:28338996:28339930:-1 gene:fgenesh2_kg.1__4394__AT1G53170.1 transcript:fgenesh2_kg.1__4394__AT1G53170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPDPVAPTNPTRHESNAAKEIRYRGVRKRPWGRYAAEIRDPVKKTRVWLGTFDTAQQAARAYDAAARDFRGSKAKTNFPTFLELNGKTEGVFVGSPTQSSTVIDSTSPTAPRFVTPPQLELSLGGGACRRKIPLVRPIYYFNMTAYPRKMTACGVQSESETSSVLDFEGGAGKISQPLDLDLNLAPPAE >fgenesh2_kg.1__4395__AT1G53180.1 pep chromosome:v.1.0:1:28368461:28369944:1 gene:fgenesh2_kg.1__4395__AT1G53180.1 transcript:fgenesh2_kg.1__4395__AT1G53180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVSSSDLLIDDLLEDYWFFENLITRRSRGLSYCHSDPYPSSSTSTAAEKMGDLDSGNVLEASTGRSLIRASSIDSREGGSQTKLTRQFSEKIRVQEPRHVGSSLQKKEPVVLPKSGSRSAPGKIQEASKKRDLIRTPSLPPQIEKRDMDREAKKMINKLTRQFSEKIRVLEPTRPGEHFLQKKETIVRDKGISESSRRNKIGSSSSSYSSGKISLQRTQTMPNNIRREEDNEIDEFEDQESDSRMGFLIREALASSHNVPKVSNNQRQRPPRSLRLEDTVMVKQGGSSPKTLRKTLSSVETSKEIQRHRDYDQLVEPRVASGLATPPRVPKDSSKEMKDQIKFWARAVATNVRQEC >fgenesh2_kg.1__4400__AT1G53210.1 pep chromosome:v.1.0:1:28389372:28392434:1 gene:fgenesh2_kg.1__4400__AT1G53210.1 transcript:fgenesh2_kg.1__4400__AT1G53210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger family protein [Source:UniProtKB/TrEMBL;Acc:D7KKF3] MRFRSLISLLFLLFFTSSAYARFVSLHPSSTSLISDGIDGGSNLDGGSGSVIKTVVSAPAEEKEEACEQTYGFMPCTKTALGNVFLILVYGFLMFTAATYLSAGSELLLEILGPGIVGGLFLPMLGALPDAMLIMVSGLSGDAATAQSQVSVGMGLLAGSTVMLLTVIWGTCTVVGKCDLRDSIAVNNQDTKGFHLKDSGVTVDIWTSYAARIMAISVIPFVIVQLPQMLGSTSGRQLSVLVALILSVLMLISYCVYQVFQPWIQRRRLAFAKHKHVISGILKHLKQHALGRLLDDEGQPDEHVIRRLFETIDANKDGHLSAAELKALIIGISFEEIDFDKDDAVGKLLQDFDKTLDEQVDEEEFVRGIKHWLIQAMGGAPSGPDAGPRTMKFLDNFHVQTKREHALLGDNENGENDEEGEGGEVADPKWVTIKAALLLLLGAAIAAAFADPLVDTVNNFSAATGIPSFFISFIALPLATNSSEAVSAIIFASRKKIRTASLTFSEICGGVTMNNILCLSVFLAIVYLRGLTWNFSSEVLVILIVCLVMGGFASFRTTYPLWTCFIAYLLYPFSLGLVYILDYWFGWS >fgenesh2_kg.1__4401__AT1G53230.1 pep chromosome:v.1.0:1:28394599:28396356:-1 gene:fgenesh2_kg.1__4401__AT1G53230.1 transcript:fgenesh2_kg.1__4401__AT1G53230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDNDHLVDSPSPPLLEMRHHQSATENGGGCGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAPTAIQFYDVQDRLGFDRPSKAVDWLITKAKSAIDDLAQLPPWNPADTLRQHAAAAANAKPRKTKTLISPPPLPQPPPHEETEHHHQQIGEEADNESSFLPASMDSDSIADTIKSFFPVASTQQNYHHQPPSRANTQNQDLLRLSLQSFQNGPAFPNQTEPVLFSGQSNNPLAFDSSTASWEQNHQSPEFGKIQRLVTWNNSGAGDSTGTGGVVFASPSSLQPVYSQSQLLSQRGPLQSINTPMIRAWFDPHHHHHHQSITTDDLHHHHPYHIPPGIHQSAIPGIAFASSGEFSGFRIPARFQGEQEEHGGDNKPSSASSDSRH >fgenesh2_kg.1__4403__AT1G53240.1 pep chromosome:v.1.0:1:28399328:28401672:-1 gene:fgenesh2_kg.1__4403__AT1G53240.1 transcript:fgenesh2_kg.1__4403__AT1G53240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7KKF5] MFRSMLVRSSSSAKQAVIRRSFSSGSVPERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIANTPGVAADVGHINTRSEVVGYMGDDNLAKALEGADLVIIPAGVPRKPGMTRDDLFNINAGIVKNLCTAIAKYCPHALINMISNPVNSTVPIAAEIFKKAGMYDEKKLFGVTTLDVVRAKTFYAGKANVPVAEVNVPVIGGHAGVTILPLFSQATPQANLSSDVLTALTKRTQDGGTEVVEAKAGKGSATLSMAYAGALFADACLKGLNGVPDVIECSYVQSTITELPFFASKVRLGKNGVEEVLDLGPLSDFEKEGLEALKPELKSSIEKGVKFANQ >fgenesh2_kg.1__4404__AT1G53250.1 pep chromosome:v.1.0:1:28405434:28407350:1 gene:fgenesh2_kg.1__4404__AT1G53250.1 transcript:fgenesh2_kg.1__4404__AT1G53250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F12M16.15 [Source:UniProtKB/TrEMBL;Acc:D7KKF7] MEMKYPFQSIRAPIRCAIYWNLKEPVILRVSNSLASLSCIRQLELKSVGSLCNVFETHNKEVNPSLLEVKAMDKETEADSDSDRKIKEEERRRKIGLANKGKVPWNKGRKHNEDTRRRIKQRTIEALRNPKVRKKMSDHQQPHSDETKEKIRASVKQVWAERSRSKRLKEKFTSSWSENIAEAARKGGSGEVELEWDSYERIKQDFSSEQLQLAEEKARAKEQTKMIAKKAAQARTEKMRKVAERKKEREEKDRREGKIRKPKQKRENLTIVSRSKLKKRLTKIHKKKTSLGKIAIGKDRVVSVAAKLEKLDLELIRKERTRGDISLADQIQAAKNQRGNDVLSRFGLFAMRSMDFD >fgenesh2_kg.1__4407__AT1G53270.1 pep chromosome:v.1.0:1:28410196:28411968:1 gene:fgenesh2_kg.1__4407__AT1G53270.1 transcript:fgenesh2_kg.1__4407__AT1G53270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7KKF9] MELPVKAPIPGGRKFSYRLETKNLSYRLGGNAPKFSNLCGLLSEKEEKVILKDVSCDARSTEITAIAGPSGAGKTTLLEILAGKVSHGKVSGQVLVNGRPMDGPEYRRVSGFVPQEDALFPFLTVQETLTYSALLRLKTKRKDAVTKVKRLIQELGLEHVVDSRIGQGSRSGISGGERRRVSIGVELVHDPNVILIDEPTSGLDSASALQVVMLLKDMTVKQGKTIVLTIHQPGFRILELIDRIVLLSNGLVVQNGSVNSLHQKIKFSGHQIPRRVNVLEYAIDIAGSLEPIRTQSCREISCYGHSKTWKSCYINAGGELHQSDSHSNSVLEEVQILGQRSCKNIFRTKQLFATRALQASIAGLILGSIYLNVGNQKKEAKVLRTGFFAFTLTFLLSSTTEGLPIFLQDRRILMRETSRRAYRVLSYVLADTLIFIPFLLIISMLFATPVYWLVGLRRELDGFLYFSLVIWIVLLMSNSFVACFSALVPNFIMGTSVISGLMGSFFLFSGYFIAKERIPVYWEFMHYLSLFKYPFECLMINEYRGDVFLKQQDLKESHKWSNLGIMASFIVGYRVLGFFILWYRCYRTRS >fgenesh2_kg.1__4409__AT1G53290.1 pep chromosome:v.1.0:1:28418423:28420414:1 gene:fgenesh2_kg.1__4409__AT1G53290.1 transcript:fgenesh2_kg.1__4409__AT1G53290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KKG2] MHSPRKLFHARSSLATRRSTALVVLTSLAIGIAGFTFGLAVILLPGLRLTGRNCLTNTPPKTVRVVWDVAGNSNGVVGGERKRHKVMGFVGIQTGFGSAGRRRSLRKTWMPSDPEGLRRLEESTGLAIRFMIGKTKNEAKMAELRREIAEYDDFVQLDIEEEYSKLPYKTLAFFKAAYALYDSEFYVKADDDIYLRPDRLSLLLAKERSHSQTYLGCLKKGPVFTDPKLKWYEPLSHLLGKEYFLHAYGPIYALSADVVASLVALKNNRHVMFFRMFNNEDVTIGAWMLAMNVNHENHHILCEPECSPSSVAVWDIPKCSGLCNPEKRMLELHKQESCSKSPTLPSDDE >fgenesh2_kg.1__4413__AT1G53310.2 pep chromosome:v.1.0:1:28438178:28442476:-1 gene:fgenesh2_kg.1__4413__AT1G53310.2 transcript:fgenesh2_kg.1__4413__AT1G53310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPPC1 [Source:UniProtKB/TrEMBL;Acc:D7KKG4] MAYRKLEKMASIDVHLRQLVPGKVSEDDKLVEYDALLLDRFLDILQDLHGEDLRETVQELYEHSAEYEGKHEPKKLEELGNVLTSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRIKKLKKGDFVDESSATTESDLEETFKKLVGDLNKSPEEIFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRDCLAQLYAKDITPDDKQELDEALQREIQAAFRTDEIKRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIEERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAATMYFNQIEDLMFEMSMWRCNDELRVRADELHANSRKDAAKHYIEFWKSIPTTEPYRVILGDVRDKLYHTRERAHQLLSNGHSDVPVEATFINLEQFLEPLELCYRSLCSCGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITTHLDIGSYREWSEERRQEWLLSELSGKRPLFGSDLPKTEEIADVLDTFHVIAELPADSFGAYIISMATAPSDVLAVELLQRECRVKQPLRVVPLFEKLADLEAAPAAVARLFSVDWYKNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELVKVAKEYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTINGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMRPPISPKPEWRALLDEMAVVATEEYRSVVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGSAIRHVIEKDVKNLHMLQDMYQHWPFFRVTIDLIEMVFAKGDPGIAALYDKLLVSEELWPFGEKLRANFEETKKLILQTAGHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPSYHVTLRPHISKEIAESSKPAQELIELNPTSEYAPGLEDTLILTMKGIAAGLQNTG >fgenesh2_kg.1__4417__AT2G06550.1 pep chromosome:v.1.0:1:28474645:28475387:1 gene:fgenesh2_kg.1__4417__AT2G06550.1 transcript:fgenesh2_kg.1__4417__AT2G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KJW7] MGYYLTDGIYPKWATFIQSITRPQGPKASLFASCQEAVRKDVERAFGVLQARFAII >fgenesh2_kg.1__4422__AT1G53380.1 pep chromosome:v.1.0:1:28491175:28494658:-1 gene:fgenesh2_kg.1__4422__AT1G53380.1 transcript:fgenesh2_kg.1__4422__AT1G53380.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVRPMVVAPKGKLRRKFAKVLNIHKLTGVAPEGEMKKIKFDSKTAKLSESFYKLEEEYERSLAFEALLAKLFATVSSIKAAYAQLQHSQSPYDSIGIQKADNLVVAELKTLSELKQCFLKKQVDPNPERTLVLAEIQELRSLLKTYEIMGKKLESQYKLKDSEIIFLREKLDESMKQNKLTEKRLNGSGQLCNPLDNLHLSALNPTHFVTYLHHTVKSTRGFVKLMIEQMKLAGWDISSAANSIHPGVFYYKQDHKCFTFEHFVSNVMFEAFHLPYFSTSSDSRSYKKKKQSNADREMFFERFKELRSMKSKDYLTARPKSRFARFCRAKYLQLIHPKMEQAFFGHLHLRNQVSAGEFPETSLCSGFLEMAKRIWLLHCLAFSFEHEAEIFRVPKGCRFSEVYMKSVAEEAFFPAAESSPESEPLVAFTVVPGFRIGKTSIQCEVYLSLS >fgenesh2_kg.1__4424__AT1G53400.1 pep chromosome:v.1.0:1:28513048:28515413:1 gene:fgenesh2_kg.1__4424__AT1G53400.1 transcript:fgenesh2_kg.1__4424__AT1G53400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTQSQADGSVKKIRKPKPWKHTQPITKAELMKLREEFWDTAPHYGGRKEIWDALRAAAEADISLAQAIVDSAGVIVQNTDLTVCYDERGAKYELPKYVLSEPTNLEEEN >fgenesh2_kg.1__4425__AT1G53420.1 pep chromosome:v.1.0:1:28515512:28520392:-1 gene:fgenesh2_kg.1__4425__AT1G53420.1 transcript:fgenesh2_kg.1__4425__AT1G53420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIQFLFTSFLLFLFFLFGFASSATLPTQEGEAFKVALTTLKKTNIDLNADPCEVSSTGSEWSTISRNLKRENLQGSLPKEFVGLPFLQKIDLSRNYLNGSIPPEWGVLPLVNISLRGNRLTGPIPKEFGNITTLTSLVLEANQLSEELPLELGNLPNIKKMILSSNNFNGNIPSTFTKLTTLRDFHVCDNQFSGTIPDFIQKWTKLERLFIQASGLGGPIPIAIASLVELKDLRISDLNNGPESPFPPLRNIKKMETLILRNCNLTGDLPAYLGTITSLKLLDLSFNKLSGAIPNTYVNLSDGGYIYFTGNMLNGSVPNWMVNKGYKIDLSYNNFSVDPTNAVCKNNALSCMRNYQCPKTFNGLHINCGGDEMSINGTIYEADKYDRLESLYKSRNGWFSSNVGVFVDDKHVPERITIGSNSSELNVVDFGLYTQARISAISLTYYALCLENGNYNVNLHFAEIMFSGNNTYQSLGRRFFDIYIQRKLEVKDFNIAEAAKAVGNVVIKTFPVEVTNGKLEIQLYWAGKGTTVIPKKRVYGPLISAISVDPNFNPPPRNGMSTGTLHALVVMACIFILFSVLGILWKKGCLKSKSQMERDFKSLELMIASFSLRQIKIATNNFDSANRIGEGGFGPVYKGKLSDGTIIAVKQLSTGSKQGNREFLNEIGMISALHHPNLVKLYGCCVEGDQLLLVYEFVENNSLARALFGPQETQLRLDWPTRRKICIGVARGLAYLHEESRLKIVHRDIKSTNVLLDKELNPKISDFGLAKLDEEDSTHISTRIAGTFGYMAPEYAMRGHLTDKADVYSFGIVALEIVHGRSNKIERCKNNTFYLIDWVEVLREQNNLLELVDPRLGSDYNREEAMTMIQIAIMCTSSEPCVRPSMSEVVKILEGKKIVELEKLEEASVHRETKRLENMNTMKKYYEMIGQEISTSMSMIMSDHSESSADH >fgenesh2_kg.1__4427__AT1G53440.1 pep chromosome:v.1.0:1:28533247:28545852:1 gene:fgenesh2_kg.1__4427__AT1G53440.1 transcript:fgenesh2_kg.1__4427__AT1G53440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KKI1] MGFIFSTKEVVYVLLLIFICLENFGSNAQLLPDDEVQTLRTIFRKLQNQTVNIERTSCTDENWNFVAGSSPNAVTSNITCDCTFNASSVCRVTNIQLRGFNLRGIIPPEFGNLTRLTEIDLMLNFLSGTIPTTLSQIPLEILAVTGNRLSGPFPPQLGEITTLTDVVMETNLFTGQLPSNLGNLRSLKRLLISSNNITGRIPESLSNLKNLTDFRIDGNSLTGKIPDFIGNWTRLVRLDLQGTSMEGPIPASISNLKNLTQLRVTDLRGPTSPFPDLQNMTNMERLVLRNCLIREPIPEYIGTSMSMLKLLDLSSNMLNGTIPDTFRSLTAFNFMYLNNNSLTGPVPQFIINSKENIDLSDNNFTQPPTLSCNQLDVNLISSYPSVTDNSVQWCLRKDLPCPGDAHHSSLFINCGGNRLKVDKDEYADDLNKRGASTFSSVSERWGYSSSGAWLGNDSAPYLATDTFNLINESTPEYYKTARLASQSLKYYGLCMRRGSYKVQLHFAEIMFSNDQTYSSLGQRVFDIYVQGILLERDFNIAERAGGVGKPFLRQIDDVQVNGSTLEIHLKWLGKGTNVIPTRGVYGPLISAITITPNFKVDTGKPLSNKAVAGIVIAACAAFGLLVLVILWLTGYLGGKEVDENEELRGLDLQTGSFTLKQIKRATNNFDPENKIGEGGFGPVYKGVLADGMTIAVKQLSSKSKQGNREFVTEIGMISALQHPNLVKLYGCCIEGKELLLVYEYLENNSLARALFGTEKQRLHLDWSTRNKICLGIAKGLAYLHEESRLKIVHRDIKATNVLLDQSLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVCLEIVSGKSNTNYRPKEEFIYLLDWAYVLQEQGSLLELMDPDLGTSFSKKEAMRMLNIALLCTNPSPTLRPPMSSVVRMLEGKIKVQPPLVKREADPSGSAAMRFKAFELLSQDSESQVSTHTSNREHKSSSSMDGPWVDSSFSEPSKDVSLQQQEEGNSSSSSRRLLDDLTDVEIE >fgenesh2_kg.1__4428__AT1G53450.2 pep chromosome:v.1.0:1:28545304:28565907:-1 gene:fgenesh2_kg.1__4428__AT1G53450.2 transcript:fgenesh2_kg.1__4428__AT1G53450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERSLEAWEEVQRHGHDLADRLAQGFNGLIQINPPSFPWPNHYNSKLFDLEFSGQHFGIRDSKFSINQPINGVSAILDIGNKIGQAGVDFGAGLNVMVQQFFRRLPVPFRHDENPSVSTEIDTVRRSHINQPEKMKALIGKAYVDTKEDSRLSKTDTASSVTVSEEKVTELDLRTIGLHRRPKGTVELSSSYESSTSGMEHSLAARGDLWRVEASTSSSPARDDSSSLFLLQLGPLLFLRGSTLLLPVHLSKQHILWYGYDRKKGMHSLCPALWSKHRRWLMMSMLCLNPLDCSFVDLQFPNGQLTYVSGEGLTTSVFVPLCGGLLQAQGQYPGDMRFSFSCKSKQGTRITPMVNWPEKSFALGVSQALAWRRSGLMLKPAIQLSVCSTFGGSNPGIKTEVIHSLNDHINMICGCAFTAHPSAFASVSLGRSKWNGNIGRTGIVVRADIPLPNVARPSFSIQINNAFEF >fgenesh2_kg.1__442__AT1G04980.1 pep chromosome:v.1.0:1:1705023:1707393:-1 gene:fgenesh2_kg.1__442__AT1G04980.1 transcript:fgenesh2_kg.1__442__AT1G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMYKSTLFPICCVLFALFDRGNALYGSSSPVLQLTPSNFKSKVLNSNGVVLVEFFAPWCGHCQSLTPTWEKVANTLKGIATVAAIDADAHKSVSQDYGVRGFPTIKVFVPGKPPIDYQGARDAKSISQFAIKQIKTLLKDRLDGKTTGTKNGGGKSEPSASVELNSSNFDELVVESKQLWIVEFFAPWCGHCKKLAPEWKKAANKLQGKVKLGHVNCDAEQSIKSRFKVQGFPTILVFGADKSSPVPYEGARSASAIESFALEQLESNAGPVEVTELTGPDVMEEKCGPAAICFVSFLPDILDSKAEGRNKYLEMLLSVADKFKKDPYGFVWVAAGKQPDLEKRVGVGGYGYPAMVALNAKKGAYAPLKSGFEVKHLKDFIKEAAKGGKGNLPIDGTMEIVKTEAWDGKDGEVVDADEFSLEDLMGNDDDASTESKEDL >fgenesh2_kg.1__4430__AT1G53460.1 pep chromosome:v.1.0:1:28567526:28569580:-1 gene:fgenesh2_kg.1__4430__AT1G53460.1 transcript:fgenesh2_kg.1__4430__AT1G53460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLKTILKNQTLFKTLLKPQFVSPLIVSRNFTSEEAHKKPLSVFFEEAVGLRPKSETSEIEEEEGNELKRKLLELERKLIELKKSEPVRKKKHKGKVLISEKTEQNEKRNNLYKLFKGDEEKEMKKHSREKEDVIRVYKELPIEMVSFVRLLHKEGYLNKANFITGEKLDMGNLDEEYARTFVKFAAERFGKDYQEIAKWLSGSDLKKIVLFGCPSLEKRAVFAAKTLRNFFDIHENNVCEKCVLKEKCKFPNQSVWDGKTKHLHLSVVMKVITLYPLDLAHPKLQVPQEVQDSVSRLLMEIQNLSRTICTPLA >fgenesh2_kg.1__4432__AT1G53500.1 pep chromosome:v.1.0:1:28577321:28580138:-1 gene:fgenesh2_kg.1__4432__AT1G53500.1 transcript:fgenesh2_kg.1__4432__AT1G53500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mucilage-modified 4 [Source:UniProtKB/TrEMBL;Acc:D7KKI6] MDDTTTYKPKNILITGAAGFIASHVANRLIRSYPDYKIVVLDKLDYCSDLKNLDPSFSSPNFKFVKGDIASDDLVNYLLITENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAAVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKMIPKFILLAMSGKPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEIGHVYNIGTKRERRVIDVARDICKLFGKDPESSIQFVENRPFNDQRYFLDDQKLKKLGWQERTTWEDGLKKTMDWYTQNPEWWGDVSGALLPHPRMLMMPGGRLSDGSSEKKDASSNTVQTFTVVTPKGGGSGDKASLKFLIYGKTGWLGGLLGKLCEKQGITYEYGKGRLEDRASLVADIRSIKPTHVFNAAGLTGRPNVDWCESHKPETIRVNVAGTLTLADVCRENDLLMMNFATGCIFEYDAAHPEGSGIGFKEEDKPNFFGSFYSKTKAMVEELLREYDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVDIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYTNYIEPGFKWSNFTLEEQAKVIVAARSNNEMDGSKLSKEFPEMLPIKESLIKYVFEPNKRT >fgenesh2_kg.1__4433__AT1G53510.1 pep chromosome:v.1.0:1:28581261:28584997:-1 gene:fgenesh2_kg.1__4433__AT1G53510.1 transcript:fgenesh2_kg.1__4433__AT1G53510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:D7KKI7] MEFFTEYGDANRYRILEVIGKGSYGVVCAAIDTHTGEKVAIKKINDVFEHISDALRILREVKLLRLLRHPDIVEIKSIMLPPSKREFKDIYVVFELMESDLHQVIKANDDLTREHHQFFLYQMLRALKFMHTANVYHRDLKPKNILANANCKLKVCDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKSVVHQLELITDLLGTPKSETISGVRNDKARKYLTEMRKKNPVTFSQKFSKADPLALRLLQRLLAFDPKDRPTPAEALADPYFKGLSKVEREPSSQPISKMEFEFERRRLTKDDIRELIYREILEYHPQLLKDYLSGSEGSNFVYPSAIGHLRQQFTYLEENSSRNGPVIPLERKHASLPRSTVHSTVVHSTTQPNLSATDSRRVTFEPSKNGVPSAGHPSTSAYPTKSIGPPPRVPPSGRPGRVLESSVSYENGRNLKEAYFRSAVSSPHCYFRAYTMTNPENRNVEASCFPPKPQNPVHQFVPTEPPAATTNQTDVETMSHPNPYFQPRLPNIDQLNNNNTHMAIDAKLLQAQSQFGPAGAAAVAVAAHRNIGTISYGTAS >fgenesh2_kg.1__4434__AT1G53520.1 pep chromosome:v.1.0:1:28589326:28590826:-1 gene:fgenesh2_kg.1__4434__AT1G53520.1 transcript:fgenesh2_kg.1__4434__AT1G53520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:D7KKI8] MDGIIAAVPFAMCVSLRSSCRNRDNAESIYHFPGKSSNRVSVLQTGNNVSSQRCNSLLKKRHCSEISRVIVKSAASSVGNVDEYAEETATSVKFQRSVTLPGCSSPLSLLGTGFREKKFAIIGVKVYAAGYYVNESILSGLSAWKGRSADEIQRDSSLFSSIFQAQAEKSLQIVLVRDVDGKTFWDALDEAISPRIKSPSSDDTTALSTFRGIFQNRPLNKGSVILLTWINTSKMLVSISSEGLPTDVDATIESGNVTSALFDVFFGDSPVSPTLKSSVANQLAMTLV >fgenesh2_kg.1__4436__AT1G53540.1 pep chromosome:v.1.0:1:28593408:28594037:1 gene:fgenesh2_kg.1__4436__AT1G53540.1 transcript:fgenesh2_kg.1__4436__AT1G53540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:17.6 kDa class I small heat shock protein [Source:UniProtKB/TrEMBL;Acc:D7KKJ0] MSLIPSIFGGRRTNVFDPFSLDVWDPFEGFLTPSGLANAPAKDVAAFTNAKVDWKETPEAHVFKADLPGLKKEEVKVEVEDGNILQISGERSNENEEKNDKWHRVERSSGKFMRRFKLPENAKMEEIKASMENGVLSVTVPKVPEKKPEVKSIDISG >fgenesh2_kg.1__4438__AT1G53560.1 pep chromosome:v.1.0:1:28608691:28609832:-1 gene:fgenesh2_kg.1__4438__AT1G53560.1 transcript:fgenesh2_kg.1__4438__AT1G53560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKDKNRGASPPSYGTFQGVPTYPPPSYPHPPSHHPVIRFPQPSPPPGTSHHNISVHQYIQEHQTVPGYPVSEGRPARQNRLPCCGLGLGWFLFIIGFLLGAIPWYIGVFILVCARIDTREKPGYIACTIAAVVATIAIVFGVMGGRGAWS >fgenesh2_kg.1__4442__AT1G53570.2 pep chromosome:v.1.0:1:28611338:28615178:1 gene:fgenesh2_kg.1__4442__AT1G53570.2 transcript:fgenesh2_kg.1__4442__AT1G53570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEK kinase [Source:UniProtKB/TrEMBL;Acc:D7KKJ6] MPTWWGRKSCKNKDDNHKGIISTDRDIKSCVVDPPLTPTRVGTPRCSREFAGASSAFSDSTEKKGHPLPRPLLSPVSIHHQDHVSGSTSGSTSVSSVSSSGSADDQSQLVASRGHGDVKFNVAAAPRSPERASPKVATATIRPTSPLHQRLSAVVSLESSTGRNDDGRSSSECHPLPRPPTSPTSPSAVHGSWIGGGYETTSPSGFSKWKKGKFLGSGTFGQVYLGFNSEKGKMCAIKEVKVISDDQTSKECLKQLNQEINLLNQLCHPNIVQYYGSELSEETLSVYLEYVSGGSIHKLLKEYGSFTEPVIQNYTRQILAGLAYLHGRNTVHRDIKGANILVDPNGEIKLADFGMARHVAAFSTMLSFKGSPYWMAPEVVMSQNGYTHAVDIWSLGCTILEMATSKPPWSQFEGVAAIFKIGNSKDTPEIPDHLSNDAKNFIRLCLQRNPTVRPTASQLLEHPFLRNTTRVASTSMPKDTSPRSHDGSFSLPTRESYPGRLSHDNYPRQPLSRTIKSPSRENVRAITSLPVSPCSSPLRQLGPAYKSCFLSPPHPSYAFPGQDSGYNLAEFAASPFRMKKDTMMEPASFRGQTSNFPLRSRLV >fgenesh2_kg.1__4448__AT1G53625.1 pep chromosome:v.1.0:1:28653360:28653709:-1 gene:fgenesh2_kg.1__4448__AT1G53625.1 transcript:fgenesh2_kg.1__4448__AT1G53625.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KL95] MCRMLCCCFCRKKKKPRDVKKGKKGKKDGGLVVSKQTKPKKKRRDDVGAIGIDSCCCCGGGDGGGDGGGDGGGGGGCGGGGGGGGCGGGGGGG >fgenesh2_kg.1__4458__AT1G53710.1 pep chromosome:v.1.0:1:28747182:28750470:-1 gene:fgenesh2_kg.1__4458__AT1G53710.1 transcript:fgenesh2_kg.1__4458__AT1G53710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein serine/threonine phosphatase [Source:UniProtKB/TrEMBL;Acc:D7KLA6] MKHHHKLTVALCLIWAATILYGEMFAFWVPSLFTCSWPHHKSDGVESDGNFTKVAIVADPQLMDKTSFRLSSKTLALELAQLYTDINMRRSFFRSVLPFKPDVVLFLGDYFDGGPFLPEEEWQESLSRFKHVFGLNSQGKVGDIPTFYIPGNHDLGYSRVASHKQDVIDRYEKIFGFRNHRFMIGSVEFISIDAQAIDGNPQKDMASEVWKFVQNVSTDAQSHDSHPRVLLTHIPLYRPDQTPCGPHRGGSVIDQRFWRHFQDQEVIYQNYITPESSTKLLELIKPILVLSGHDHDQCTVTHKSKAGSVKEHTLGTISWQQGNIYPSFMLLSVPNAVHQNSSDPDKMLHTQLCFLPCQLFIYMWYLSLFAVSLLALLLWPNHGISFLNNAADCISNVMKSSFLSSVTKEKNEDENCEYEMVWDAEGSMHLVKKALQTPVKRHSDKPLVEKGNAVLRSAARKNASEQIELVMDSDVNAGAGGSDPLMRSASKSRTKLVIQRVIRTIMMTIVIAALNVPIYIWLLFKDWVEQE >fgenesh2_kg.1__445__AT1G05000.1 pep chromosome:v.1.0:1:1719899:1723157:1 gene:fgenesh2_kg.1__445__AT1G05000.1 transcript:fgenesh2_kg.1__445__AT1G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine specific protein phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7KEA1] MKLVEKTTATEEDDNGEDVCRTIEVSEVDRNGFQAPDGEVDPFRVVSGDELNLIPPLNFSMVDNGIFRSGFPDSANFSFLQTLGLRSIIYLCPEPYTESNLQFLKSNGIRLFQFGIEGNKEPFVNIPDRKIRMALKVLLDEKNHPVLIHCKRGKHRTGCLVGCLRKLQKWCLTSIFDEYQRFAAAKARVSDQRFMETFDVSSFSHIPMSFSCSIR >fgenesh2_kg.1__4460__AT1G53720.1 pep chromosome:v.1.0:1:28750666:28753526:1 gene:fgenesh2_kg.1__4460__AT1G53720.1 transcript:fgenesh2_kg.1__4460__AT1G53720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSLGDIVIDLYPNKCPLTCKNFLKLCKIKYYNGCLFHTVQNDFTAQTGDPTGTGAGGDSIYKFLYGEQARFFRDEIHLDLKHSKTGTVAMASGGENLNASQFYFTLRDDLDYLDGKHTVFGEIAEGFDTLTRINEAYVDAKNRPYKNIRIKHIHILEDPFDDPPQLAEMIPDASPEGKPKEEVEDDVRLEDDWVPMDEELGVQELEEVIREKAAHSSAVVLESIGDIPEAEVKPPDNVLFVCKLNPVTEDEDLHTIFSRFGTVVSADVIRDFKTGDSLCYAFIEFENKEACEQAYFKMDNALIDDRRIHVDFSQSVSKLWSQFRQKDSQKGKGNGCFKCGSTDHIAKDCVGGNQPSKFIVKDQNRQHGGGEGYDMVFEGDVPEIPKREHSHERERSEKIQRRSPHGNGEGKRRHRDEVDDGRRQHDREDAREMERKHRERKERESREDEDRRRRKRREEIRDKESRRERNDDDHRSHRDYKERRRERDDRHGRDARHERRDR >fgenesh2_kg.1__4461__AT1G53730.2 pep chromosome:v.1.0:1:28757631:28761603:1 gene:fgenesh2_kg.1__4461__AT1G53730.2 transcript:fgenesh2_kg.1__4461__AT1G53730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRENWAVVALFTLCIVVGFELRFIHGATDASDTSALNTLFSGMHSPAQLTQWTAAAGDPCGQNWRGVTCSGSRVTQIKLPGLELSGTLGGYMLDKLTSLTELDLSSNNLGGDLPYQFPPNLQRLYLANNQFTGAAAYSISQITTLKYLNLGHNQFKGQIAIDFTKLDSLTTLDFSFNSFTNSLPGTFSSLTSLKSLYLQNNQFSGTVDVLAGLPLETLNIANNDFTGWIPSSLKGITLIKDGNSFNTGPAPPPPPGTPPIRGSPNRKPGGRESRSSDDSTRNGDSKKSGIGAGAIAGIIISLLVVTALLVAFFLFKRKKSKRSSSMDIEKTDNQPFTLASNDFHENNSIQSSSSVETKKLDTSLSINLRPPPVDRNKSFDDEDSTRKPTTVKKSTVVVPSHVRLYSVADLQIATGSFSVDNLLGEGTFGRVYRAEFDDGKVLAVKKIDSSALPHGMTDDFIEMVSKIANLDHPNVTKLVGYCAEHGQHLMVYEFHKNGSLHDFLHLSEEESKALVWNSRVKIALGTARSLEYLHEVCSPSIIDKNIKSANILLDSELNPHLSDSGLASFLPTANELLNQTDEGYSAPEVSMSGQYSLKSDVYSFGVVMLELLTGRKPFDSSKRSRSEQSLVRWATPQLHDIDALAKMVDPALKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVVLVQRANMSKRTVGVDPSQRAGNADTTSDYM >fgenesh2_kg.1__4464__AT1G53760.1 pep chromosome:v.1.0:1:28765153:28767174:-1 gene:fgenesh2_kg.1__4464__AT1G53760.1 transcript:fgenesh2_kg.1__4464__AT1G53760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARLVVFPIKGKKWCFSRSVDPFAAQSPSGVTPTTVRGLWKKISSESKPINANAELLVDFISDKMNKAWVGLEKSPDGSIKNKIHGFGLKLLARVKPSEIFLKSISKEVTSVQVTYPPSLDPRLVRRRLRHIAMSGTILHKKYLVGSVTLLPLTSAFMVLPLPNIPFFWVLFRTYSHWRALQGSEKLLKLISNESNPDKPDSTDDADESKNKKKPEQKLQSPTCILLPSEELYQLIRDASEEGLDEATIVEICKSFDLNKNDVLKYRNLV >fgenesh2_kg.1__4465__AT1G53770.1 pep chromosome:v.1.0:1:28767374:28769767:-1 gene:fgenesh2_kg.1__4465__AT1G53770.1 transcript:fgenesh2_kg.1__4465__AT1G53770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDSSSVSSSSSLSSPDEESDLHNLLEESDSQIDQFRVSDAAAEQRPTFDVESLRSRLRRNLKLNLTKKQSIVIFLPIVIILIYLSTDFSNYFSVRVPDSVFRSNTLTGRAHESDLQALYLLRKQESDLFSIWNHTLSNLSTIEDVKSAVFRQISLNRQIQNALLSPHKTGNVEIGGSSDVYFAGGSCRKVDQKLNGRKTIQWKPRPDKFLFAICLSGQMSNHLICLEKHMFFAALLKRVLVIPSHRFDYHYSRIIDIDRINTCLGRNVVVSFEEFWKKDKNRKKHHHVHINRFICYFSKPEPCYVDKEHITKLKALGITIGGKLDTPWEEDIASPSNKTAEEVETNFRSDDDLIAIGDVFYANVEREWVMQPGGPVAHKCRTLIEPNRLILLTAQRFIQTFLGKNYIALHFRRHGFLKFCNAKNPSCFYPIPQAANCITRLIEKVEAPVIYLSTDAAESETGLLQSLLILNGKTVPLVKRPARDSAEKWDALLYRHGLEGDPQVEAMLDKTICAMSSVFVGASGSTFTEDILRLRKDWGTASECDEYLCANEHPNFVADPE >fgenesh2_kg.1__4466__AT1G53800.2 pep chromosome:v.1.0:1:28770681:28773674:1 gene:fgenesh2_kg.1__4466__AT1G53800.2 transcript:fgenesh2_kg.1__4466__AT1G53800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease [Source:UniProtKB/TrEMBL;Acc:D7KLB2] MPSLGTFSDIATIQPSLQAHLVPLGAQSIIHAKTLPNPWRQSCFSKNLKFYTGHTHVRRGKVLITAVATLETKYPAQKENEQSSSLSSASSKSSDGSADDDKVDDREKLRRMRISKANRGNTPWNKGRKHSPETLQKIRERTKIAMQDPKIKLKLANLGHAQNKETRMKIGEGVRMRWARRKERRKVQETCHFEWQNLLAEAAKEGYRDEEELQWDSYKILDQQNQLEWLESVEQRKAAKGAKSNRRAPKSPEQRRRIAEAIAAKWADPSYRERVCSGLAKYHGIPVGVERRRRRPRTDAEPRKKNPTKKSTRDSEFERQSQVQVVKVRKRKTPVYKDPLASSKLEMIKSIRAKRVAEESKKMDAVERARLLISEAEKAAKVLEIAALKSPVAQASLLESKKLIAEATQLIESLEMRQIASDEDGTYPSLLSPQHNNSESETKDTNDQEQQGEINGTHTFPINGESLHLNMRSSDLPTFNIEGTTNLSISDKESNTSQGDREDIKLGIVPQPNGTRVHPPAESNGTISLAENHPLPNGYHRMDEKAISLESGNVTRKWVRGRLVEVTEAA >fgenesh2_kg.1__4471__AT1G53850.2 pep chromosome:v.1.0:1:28829033:28830953:-1 gene:fgenesh2_kg.1__4471__AT1G53850.2 transcript:fgenesh2_kg.1__4471__AT1G53850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:D7KLC2] MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGVKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDDHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGEEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQFNKDLSLQEAETIAVSILKQVMEEKVTPNNVDIAKVAPAYHLYTPQEVEAVIARL >fgenesh2_kg.1__4472__AT1G53860.1 pep chromosome:v.1.0:1:28833915:28836299:-1 gene:fgenesh2_kg.1__4472__AT1G53860.1 transcript:fgenesh2_kg.1__4472__AT1G53860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein [Source:UniProtKB/TrEMBL;Acc:D7KLC3] MDITRNSNTKLHLQTQLLFPPKMEKTSNVSTNPFGEDSLANRINLKETTDFIKSLPISSNQSSSSSSSSEMLNERRPSVSSQKSIGEGRSNGQRRLMLMESPCTPGRGVFSFSSNVSGRRRNFPSKWIDAEKWVTSSGHDSPAHSLKNTQFDGFKHQVEVVYSEKSRVTEECFHGSVSLSPQDLILKDKLANEVPQILPSTEGFIFKDSDKFLRYEEAQVQHRDMGTEMTPIGSVTTSRCHTPFKSSSPARHNTPSQLSGPLTETKNVIDISEFADKLRLSGSTASHYCNSVTSHWNSREEEEEEISKSLRHFDMESELRRSVSESKAPLWDDEDDKIKFCQRYQREEAKIQAWVNLENAKAEAQSRKLEVKIQKMRSNLEEKLMKRMDMVHRRAEDWRATARQQHVEQMHKAAETARKLTNRRGYLVTGRSSCGCLPCNNTYH >fgenesh2_kg.1__4482__AT1G54010.1 pep chromosome:v.1.0:1:28918054:28920512:-1 gene:fgenesh2_kg.1__4482__AT1G54010.1 transcript:fgenesh2_kg.1__4482__AT1G54010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNCNLVSVLCVFLVLTLFHNPIAVSGQINVPVVALFTFGDSNFDAGNKQTLTKTLVAQGFWPYGKSRDDPNGKFSDGFIAPDFVGKFMKIPIAIPPALQPNVNVSRGASFAVADATLLGAPVESLTLNQQVRKFNQMKAANWNDDFLKKSVFMIYVGANDYLNFTKNNPNADASAQQAFVTSVTNKLKNDISLLYSSGASKFVIQTLAPLGCLPIVRQEYNTGIDQCYEKLNDLAKQHNEKIGPMLNEMARTTPGFQFTVFDFYNAILTRTQRNQNFRFFVTNTSCCGVGTHDAYGCGLPNVHSRLCEYQRSYLFFDGRHNTEKAQEMFGHLLFGADTNVVQPMNVRELVVYPVDEPMREFWVPTTTSATVQASDSRGYEYY >fgenesh2_kg.1__4485__AT1G54020.2 pep chromosome:v.1.0:1:28922427:28924434:-1 gene:fgenesh2_kg.1__4485__AT1G54020.2 transcript:fgenesh2_kg.1__4485__AT1G54020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECSLVSVLGILLVFPLFHNLVTVSGQNIPAVGLFTFGDSSFDAGNKKFLTSASLPQNFWPYGKSRDDPKGKFSDGKIVPDFIAKFMGIPHDLPPALKPGADVSRGASFAVGSASIVGSPRDSLTLNQQVRKFNQMISNWKVDYIQKSVFMISIGMEDYYNFTKNNPNAEVSAQQAFVTSVTNRLKSDINLLYSSGASKFVVQLLAPLGCLPIARQEFKTGNDCYEKLNDLAKQHNAKIGTMLNEMAETKPDFQFTVFDFYNVILRRTQRNMNYRFSVTNISCCGVGTHNAYGCGLPNVHSKLCEYQRSYLYFDARHNTEKAQEAFAHLIFGADPNVIQPMNVRELIVYPVNEPMREFWEDPMEEKLSLVES >fgenesh2_kg.1__4487__AT1G54030.1 pep chromosome:v.1.0:1:28928151:28929870:1 gene:fgenesh2_kg.1__4487__AT1G54030.1 transcript:fgenesh2_kg.1__4487__AT1G54030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKSHFFSLFPLLLLLHFPTVSFAQTLFVFGDGLYDAGNKQFLSQNRVDASFPPYGVTVGQATGRWSDGSIVPDYLANFMGIPRIFPILLTTGDFSHGANFAIADASVLGSPPETMTLSQQVRKFLENKNKWTNQTRSEAIYLFYIGSDDYLNYAKNNPSPSDDQKQAFVDQVVTTLKAEIKVVYGSGGRKFAFQNLAPLGCLPAVKQASGNVQECVKLPSEMAALHNKKLLQLLVELSRELNGFQYSFYDFFSSIQNRVIKSKTYTFETGIAACCGTGSINGSDCSAKNVCAKPEEYIFFDGKHLTQEANLQVGHLMWGADPEVIGPNNIRELMVLPLELTVILADIQEAMAAMRPRQSNIESLYDIKKMESEMANHWLYQVDKASSFMI >fgenesh2_kg.1__4489__AT1G54060.1 pep chromosome:v.1.0:1:28933673:28935238:1 gene:fgenesh2_kg.1__4489__AT1G54060.1 transcript:fgenesh2_kg.1__4489__AT1G54060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KLE1] MEDDDEIQSIPSPGDSSLSPQAPPSPPILPTNDVTVAVVKKPQTLVSSQSPSRNALALVVHTPSVTGGGSGNTRNGRGGSGGGGGGRDDCWSEEATKVLIDAWGDRFSEPGKGTLKQQHWKEVAEIVNKSRQCKYPKTDIQCKNRIDTVKKKYKQEKAKIASGDGPSKWVFFKKLESLIGGTTTVVASSKASEKAPMGGGLRSNIYKRQAKGNQIVQGGDLKRSSNSMRWHFRKRSASETESESDPEPEASPDSAESLPPLQPPQPLSFHLPKRLKVDKSGDGGSGVGDVARAILGFTEAYEKAETAKLKLMAQLEKERMKFAKEMELQRMQFLKTQLEITQNNQEEEERSRQRGERRIADDDDRNGKN >fgenesh2_kg.1__448__AT1G05030.1 pep chromosome:v.1.0:1:1732444:1735699:-1 gene:fgenesh2_kg.1__448__AT1G05030.1 transcript:fgenesh2_kg.1__448__AT1G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTNTVILHQPNSLTRLTFSYPTRLTHSRKVSPFSRFLRKNRVTALSTTKKPDEDHELSPDEKLEKFSADLGWLSAFPHVSVASMANFLFGYHIGVMNGPIVSIARELGFEGNSILEGLVVSIFIAGAFIGSIVSGPLVDKFGYRRTFQIVTIPLILGALVSAQAHSLDEILCGRFLVGLGIGVNTVLVPIYISEVAPTKYRGSLGTLCQIGTCLGIIFSLLLGIPAEDDPHWWRTMLYVASMPGFLLALGMQFAVESPRWLCKVGQLDDAKVVIRNIWGGSEIEKAVEDFQSVMKNNGSNLNSRWLELLDKPHSRVAFIGGTLFVLQQFAGINGVLYFSSITFQNVGITSGAQASLYVGVTNFAGALCASYLIDKQGRKKLLIGSYLGMAVSMFLIVYSVGFPLDEDLSQSLSILGTLMYIFSFAIGAGPVTGLIIPELSSNRTRGKIMGFSFSVHWVCNFLVGLFFLDLVEKFGVGTVYAGFGSVSLLAAAFSYRFIVETKGRSLEEIEMSLNSRDLS >fgenesh2_kg.1__4492__AT1G54080.1 pep chromosome:v.1.0:1:28938095:28941534:-1 gene:fgenesh2_kg.1__4492__AT1G54080.1 transcript:fgenesh2_kg.1__4492__AT1G54080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQRLKHQQHQQQQQAMIQQAMMQQHPSLYHPGVMAPPQMEPLPSGNLPPGFDPTTCRSVYAGNIHTQVTEILLQEIFASTGPIESCKLIRKDKSSYGFVHYFDRRCASMAIMTLNGRHIFGQPMKVNWAYATGQREDTSSHFNIFVGDLSPEVTDAALFDSFSAFNSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQTAINEMNGKWLSSRQIRCNWATKGATFGEDKHSSDGKSVVELTNGSSEDGRELSNEDAPENNPQYTTVYVGNLSPEVTQLDLHRLFYTLGAGAIEEVRVQRDKGFGFVRYNTHDEAALAIQMGNAQPYLFSRQIKCSWGNKPTPSGTASNPLPPPAPVPVPALSAMDLLAYERQLVLAKMHPQAQHSLRQAGAGGSAAMYDGGFQNVAAAHQQLMYYQ >fgenesh2_kg.1__4493__AT1G54090.1 pep chromosome:v.1.0:1:28945949:28948266:1 gene:fgenesh2_kg.1__4493__AT1G54090.1 transcript:fgenesh2_kg.1__4493__AT1G54090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXO70D2 [Source:UniProtKB/TrEMBL;Acc:D7KLE4] MATPENHHGDSSDLETAEKIILRWDSTTSEEAKEKNLIFQSGGDRDEVDRYLKAVDELQRHISSISISDEVKAASSTIQIAMARLEDEFRNILISQTSTFEPDSLLLDSSLSSSSFASSSHTELEDDGNVEEEEQQQLVDLVLPDESGSDSGSRRLSRSRRSNSKSTSSIREIDLVSPEAVSDLRSIAQRMIGAGYSRECVQVYGNVRKSAMEMIFKQLGIVKLGIGDVQRLDWEAVEVKIRRWIRAAKVCVRVVFASEKRLCEQIFEGTMEETCFMEIVKGSALELFNFPEAISISRRSPEKLFKILDLHDALTDLLPDMEEIFDSSSSESILVQATEIQSRLAEAARGILTEFENAVFREPSVVPVPGGTIHPLTRYVMNYLNLISDYKETLIDLIMTKPCRGLQCTNDPNNPDMDISQLEGISPLALHMIWTMVMLQFNLEEKSLHYKDEPLSHIFVMNNVHYIVQKVKSSPELMELIGDKYLRKLTGIFRQAATKYQRATWVRVLNSLRDEGLHVSGSFSSGVSKSALRERFKAFNTMFEEVHRIQSTWSVPDTQLREELRISLSEHLIPAYRSFLGRFRGHIESGRHPENYLKYSVDNLETAVLDFFEGYTTAPHLRRSQ >fgenesh2_kg.1__4495__AT1G54100.1 pep chromosome:v.1.0:1:28952440:28955962:-1 gene:fgenesh2_kg.1__4495__AT1G54100.1 transcript:fgenesh2_kg.1__4495__AT1G54100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALDH7B4 [Source:UniProtKB/TrEMBL;Acc:D7KLE6] MGSANNEYEFLSEIGLTSHNLGSYVAGKWQANGPLVSTFNPANNQPIAQVVEASLEDYEQGLKACEEAAKIWMQVTAPKRGDIVRQIGDALRSKLDYLGRLLSLEMGKILAEGIGEVQEVIDMCDFAVGLSRQLNGSVIPSERPNHMMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKLVAEVLEKNNLPGAIFTAMCGGAEIGEAIAKDTRIPLVSFTGSSRVGSMVQQTVNARSGKTLLELSGNNAIIVMDDADIQLAARSVLFAAVGTAGQRCTTCRRLLLHESVYDKVLEQLLTSYKQVKIGNPLEKGTLLGPLHTPESKRNFEKGIEVIKSQARGKILTGGKAVEGEGNFVEPTIIEISADAAVVKEELFGPVLYVLKFKSFEEAVAINNSVPQGLSSSIFTRNPENIFRWIGPLGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGNELPLAQGINFG >fgenesh2_kg.1__4496__AT1G54115.1 pep chromosome:v.1.0:1:28961767:28963848:1 gene:fgenesh2_kg.1__4496__AT1G54115.1 transcript:fgenesh2_kg.1__4496__AT1G54115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVNFLYSSSNPKFRGIFNGLCAIVLFIFFFDQSDILRNPLLKNLSFVDSSGQFSNGFSQFTVIRRHLSGSFGNSSLSGDTTVSCSGLHEHRGYVDQCEFLISNPICSPDGFFDYLKYFYCSCRDFKILGYLVLGVWLVALFYLLGNTAADYFCCSLEKLSKLLRLPPTVAGVTLLPLGNGAPDVFASIAAFVGSDKGEVGLNSVLGGAVFVTCVVVGIVSLCVADKEVKIDKKCFIRDLSFFLFTLAALMVILMVGKVTVRIAIAFVSIYVLYASLVAANEILRKHSRRLKLDSITPLLPMQGSVFSPSVEEDIPMYSPLLELETEEGPPRLHDSLPQWMWATNVAIYSNHFAKANVHDEERPPWGWTEDGAEVESSLCSKFTSLLETPLTVPRRLTIPLIEEDSWSKTYAVASVSLAPVLLSFLWSSQDDTSLQARIVAYFIGIAIGSTLGYLAYKNTESDRPPQRYLIPWVLGGFIMSIVWFYMIANELVALLVTFGGIYGINPSILGLTVLAWGNSMGDLVSNIALSMNGGDGVQIALSGCYAGPMFNTLVGLGMSMFLGAWSKSPETYMIPEDNSLFYTLGFLIFGLIWSLVMLPRNEMRPNKVMGIGLIALYLIFVTFRLSSAMGFVPWAS >fgenesh2_kg.1__4497__AT1G54120.1 pep chromosome:v.1.0:1:28965893:28966273:1 gene:fgenesh2_kg.1__4497__AT1G54120.1 transcript:fgenesh2_kg.1__4497__AT1G54120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRIAATPLFRWSRTRSRRKIHLRRRKWQVVRLGGKNNAVSRGGFSLKKMVRKMKLRWLKLHYVRLVKKIKGFYLNLVKEFVDAGAELEAIQQRMAVEAAAFAVPGLGLSFSSFSVHDRARYFLV >fgenesh2_kg.1__4499__AT1G54140.1 pep chromosome:v.1.0:1:28974037:28975180:-1 gene:fgenesh2_kg.1__4499__AT1G54140.1 transcript:fgenesh2_kg.1__4499__AT1G54140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TAFII21 [Source:UniProtKB/TrEMBL;Acc:D7KLF1] MAGEGEEDVPRDAKTVKSLLKSMGVEDYEPRVIHQFLELWYRYVVEVLTDAQVYSEHASKSNIDCDDVKLAIQSKVNFSFSQPPPREVLLELAASRNKIPLPKSIAGPGVPLPPEQDTLLSPNYQLVIPKKSVSTEPEETEDDEEMTDPGQSSQEQQQQQQASDLPSQTPQRVSFPLSRRPK >fgenesh2_kg.1__449__AT1G05055.1 pep chromosome:v.1.0:1:1740579:1742686:-1 gene:fgenesh2_kg.1__449__AT1G05055.1 transcript:fgenesh2_kg.1__449__AT1G05055.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATGTF2H2/GTF2H2 [Source:UniProtKB/TrEMBL;Acc:D7KEA7] MSNQRKRSNAEREEDDDEDAEGIGEWERAYVDDRSWEELQEDESGLLRPIDNSAIYHAQYRRRLRMLSAAAAGTRIQKGLIRYLYIVIDFSRAAAEMDFRPSRMAIMAKHVEAFIREFFDQNPLSQIGLVSIKNGVAHTLTDLGGSPETHIKALMGKLEALGDSSLQNALELVHEHLNQVPSYGHREVLILYSALCTCDPGDIMETIQKCKKSKLRCSVIGLSAEMFICKHLCQETGGLYSVAVDEVHLKDLLLEHAPPPPAIAEFAIANLIKMGFPQRAAEGSMAICSCHKEVKIGAGYMCPRCKARVCDLPTECTICGLTLVSSPHLARSYHHLFPIAPFDEVPALSSLNDNRRKLGKSCFGCQQSLIGAGNKPGPCVTCRKCKHYFCLDCDIYIHESLHNCPGCESIHRPKSVSLMEE >fgenesh2_kg.1__44__AT1G01860.1 pep chromosome:v.1.0:1:159615:161800:1 gene:fgenesh2_kg.1__44__AT1G01860.1 transcript:fgenesh2_kg.1__44__AT1G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KP65] MNAVITSTIINCNSLSPSSWISGENWPSKVLLGEISAAFSRRRTKVSCGKSSPDDYHSTLKSLNSRGRFPRKSLGQHYMLNSDINDQLASAADVKEGDFVLEIGPGTGSLTNVLINLGATVLAIEKDPHMVDLVSERFAGSDKFKVLQEDFVKCHIRSHMLSILESRRLSHPDSSLAKVVSNLPFNISTDVVKLLLPMGDIFSKVVLLLQDEAALRLVEPALRTSEYRPINILINFYSEPEYNFRVPRENFFPQPKVDAAVVTFKLKHPSDYPDVSSTKNFFSLVNSAFNGKRKMLRKSLQHISSSPEIEKALGVAGLPVTSRPEELTLDDFVKLHNVIASE >fgenesh2_kg.1__4500__AT1G54150.1 pep chromosome:v.1.0:1:28975402:28977415:1 gene:fgenesh2_kg.1__4500__AT1G54150.1 transcript:fgenesh2_kg.1__4500__AT1G54150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KLF2] MSSPERALLNLLTDIALSFDGAILGLTLAISAVGSALKYASTNAALNKIKEAPEVSVADLRSLLPVSEDKSETNDHGKIVVVRGVVKPKYSGDESHKNNNVLISPETGDKALIIQRTQTYVYSGWKRLFQSTGHRFMLERSMRKHGADFMRTVPFVIVGKDQQSQSTFLDVNMDGSRQPLPLTTVYNRLQPINSSFLQAFLFPDYPVGLLDIEKILPPGKDITAVGICSFNNGVPEIKSCQDLPYFLSEMTKDKMIEELMDQTSFIFFGSVILGIVSVGILSYAAVRTWNKWKQWNHQRDLPQRPNEPVVDDEPEDADEIPDGELCVICVTRRRVPAFIPCGHVVCCRVCASTVERELNPKCPVCLQSIRGSMRVYYS >fgenesh2_kg.1__4503__AT1G54170.1 pep chromosome:v.1.0:1:28982965:28987797:-1 gene:fgenesh2_kg.1__4503__AT1G54170.1 transcript:fgenesh2_kg.1__4503__AT1G54170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ctc-interacting domain 3 [Source:UniProtKB/TrEMBL;Acc:D7KLF4] MKPVLHSGSSSNGFSHRRVEKEAGMNNAQPSAGTTENGWEAGSVDTPSHKLLVYFTTCNIGHQVEVHLKNGSVYSGIFHAADVEKDFGIILKMACLMRDSRGTKSRSPLVSKPPSKILIIPADEVVQVIAKDLPVSSHNGSDSVQCEKPLELLTDSLISQFYNVDLERELKPWVPDEDVPDCSDLENVFDDPWKRGWNQFEVNKSLFGVTSTFDEELYTTKLERGPGTRELEEQALRIAREIVGENTRDLHVAEERGLQLSGKFDIDEETKYSSVCTANRFDDTCYEDEDEEEDILLDCCNNLTFGDSSASDGKKPASTGMVYEETWGDSLHLRNNKIVDQSWSNSNKHSHQLMSEQPSKDFPVAGNKIRNESQLGEQRKSKFLGSSLYKKPSEESVSGLEDAPPSEKPSFTDGRVGLLSDRAKSESSSGWPGSSISRNSENYAASSASNRPILSPSSSIGSLSSEKSTLNPNAKEFKLNPNAKSFKPSSPSAKSPQSPVPDGSFYYPPVPPMPGLRIRYGMGGAFPGQQHPMMYNNTTQLSPNQTYYSPNSPQYPQQMMITQQRPVLFMPPTPYQPEMPYNGRDSY >fgenesh2_kg.1__4505__AT1G54200.1 pep chromosome:v.1.0:1:29008842:29010144:1 gene:fgenesh2_kg.1__4505__AT1G54200.1 transcript:fgenesh2_kg.1__4505__AT1G54200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KM33] MDPWDNYNKPIDHHSLRNRRDHRHPSFSSSLLDQIYRSIDDSSTNSSSQNRGDETRVSANRRGTANDFNRSKNLIKTIEPVFFKHSSSSSSDSSGFSSSESDSFYRRSKSSPAISHPKPIRTTVERFERSPQNHRLNSKQEHGSFLKTKSKALKIYSDLKKVKQPISPGGRLATFLNSIFTGAGNTKKLNKINTTVTSATVAATASSTTTCSSASSFSRSCLSKTPSSSEKSKRSVRFCPVNVIFDEDSSSKYNKNKLYGNNEREYESTRHTLEIRVMEENRRVIEAAKELLRTYQKKNKDVVEISGEDDDNDDALSCTSSDLFELDNLSAIGIDRYREELPVYETTRLSS >fgenesh2_kg.1__4506__AT1G54215.1 pep chromosome:v.1.0:1:29030023:29030535:1 gene:fgenesh2_kg.1__4506__AT1G54215.1 transcript:fgenesh2_kg.1__4506__AT1G54215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLQSLFRSRSLNILILALFFSSNSFPLVQSQDPPFIPQSPPPPPPPPPPPPPPPPPPPPPPAPPVNVSVDTGTAPPPPPVTDMIKPLSSPPPPKPPPRSQSPPQPPQKNLPRRLPPPPRPPEKLKSGGLNKGKTVGLVFVGLIAMLQVLVVVFLVFKRKQLFSLKDTN >fgenesh2_kg.1__4507__AT1G54217.1 pep chromosome:v.1.0:1:29030804:29032374:-1 gene:fgenesh2_kg.1__4507__AT1G54217.1 transcript:fgenesh2_kg.1__4507__AT1G54217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANLEEQRKGKYILIRDDVEDSELGHFYKPLPCFGCGIGWFSFLLGFVFPFAWYVATFLYLTNYYRRDPRERSGLAASAIAALIFSVALVITVLVLVFSGR >fgenesh2_kg.1__4509__AT1G54260.1 pep chromosome:v.1.0:1:29051707:29053547:1 gene:fgenesh2_kg.1__4509__AT1G54260.1 transcript:fgenesh2_kg.1__4509__AT1G54260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDTRYDAMVFEAISTVNDENGSNLKEMLSFIEKLLSYSLRILVSQDKLKKVRNRYKIEITKATKPTLTLRPKDSTKPLELPKTREIDAAANRVAERINEWAKENDDWYEERQDLRKFLIECLAILVSQGKLEKVLLDGYKISELENKVMEVAPEVVAMKLAESDNKRLIAAEAVEEEERMQKLVEESHTMLQLCLEIHQQCALGEEVVLR >fgenesh2_kg.1__450__AT1G05060.1 pep chromosome:v.1.0:1:1742865:1744690:-1 gene:fgenesh2_kg.1__450__AT1G05060.1 transcript:fgenesh2_kg.1__450__AT1G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAAGRLAGKEAAYFFQESKHAVNRLAEKSPATGKKLPSSPADPPEIQPDVLPEILRHSLPSKIYGRPPDPSSLSQFSKWALESDPNASVSISPDVLNPLRGYVSLPQVTFGRRRWDLPESENSVLASTANELRRDRYGTPVNPEKLKAAGEGLQHIGKAFAAATIIIFGSATLVFGTAASKLDMHNTDDIRTKGKDLFQPKLESMKEQVEPLRTWAGNMSKKWHIDNDGGSTLKEKPILKELSKILGPKS >fgenesh2_kg.1__4510__AT2G07110.1 pep chromosome:v.1.0:1:29060766:29061279:-1 gene:fgenesh2_kg.1__4510__AT2G07110.1 transcript:fgenesh2_kg.1__4510__AT2G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KM43] LQNTDLKQEQRRTKMLRRLVLKSYGSLKPDRLVIVNVFRCSEEGLFCCLRKVEREYKTILKRTLQSICVLTVVPNSTTSVIIQVVHDGGSVSFLCSLHLGKYLLMLSETHIIRYKQCLFEYL >fgenesh2_kg.1__4511__AT1G54140.1 pep chromosome:v.1.0:1:29062081:29063218:1 gene:fgenesh2_kg.1__4511__AT1G54140.1 transcript:fgenesh2_kg.1__4511__AT1G54140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEEDVPRHAKTVKSLLKSMGVEDYEPRVIHQFLELWYRYVVEVLTDAQVYSEHASKSNIDCDDVKLAIQSKVNFSFSQPPSREVLLELAASRNKIPLPKSIAGPGVPLPPEQDTLLSPNYQLVIPKKSVSTEPEETEDDEEMTDPGQSSQEQQQQQQQASDLPSQTPQRVSFPLSRRPK >fgenesh2_kg.1__4513__AT1G54280.1 pep chromosome:v.1.0:1:29069161:29073687:-1 gene:fgenesh2_kg.1__4513__AT1G54280.1 transcript:fgenesh2_kg.1__4513__AT1G54280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7KM47] MARRRIRSRIRKSHFYTFRCLRPKTLEDQGPHVINGPGYTRIVHCNQPHLHLASKLIRYRSNYVSTTRYNLLTFLPKCLYEQFHRVANFYFLVAAILSVFPLSPFNKWSMIAPLVFVVGLSMGKEALEDWRRFMQDVEVNSRKASVHKGSGDFGRRAWKRIRVGDIVRVEKDEFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRCLDATLALEKDESFQNFSGTIKCEDPNPNLYTFVGNLECDGQVYPLDPNQILLRDSKLRNTAYVYGVVVFTGHDTKVMQNSTKSPSKRSRIEKRMDYIIYTLFALLLTVSFISSLGFAVMTKLLMADWWYLRPDKPESLTNPSNPLYAWVVHLITALLLYGYLIPISLYVSIEVVKVLQAHFINQDLQLYDSESGTPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTSYGVRASEVELAAAKQMAMDLEEKGEEVTNLPMNKVRTQRYSKLASKTSSDFELETVVTASDEKDRKQNTGVKGFSFEDNRLMNENWLNEPNSDDILMFFRILAVCHTAIPEVDEDTGMCTYEAESPDEVAFLVASREFGFEFTKRTQSSVFIAERFSSSGQPVDREYKILNLLDFTSKRKRMSAIVRDEEGQILLLCKGADSIIFDRLSKNGKEYLGATSKHLNEYGEAGLRTLALGYRKLDETEYSAWNTEFHKAKTSVGADRDEMLEKVSDMMEKELILVGATAVEDKLQKGVPQCIDNLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICISLTNVEESSQNSEAAAKESILMQITNASQMIKIEKDPHAAFALIIDGKTLTYALKDDVKYQFLALAVDCASVICCRVSPKQKALVTRLAKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNITFGLTLFYFEAFTGFSGQSIYNDSYLLLFNVVLTSLPVISLGVFEQDVPSDVCLQFPALYQQGPKNLFFDWYRILGWMGNGVYASIVIFALNLGIFHVQSFRSDGQTADMNAMGTAMFTCIIWAVNVQIALTMSHFTWIQHVMIWGSIGAWYVFLALYGMLPAKLSGNIFHMLVEILAPAPIFWLTSLLVIAATTLPYLFHISYQRSVNPLDHHIIQEIKHFRIDVEDERMWKREKSKAREKTKIGFTARVDAKIRQLRGRLQRKHSVLSVMSGTSSNDTPSSSSQQT >fgenesh2_kg.1__4514__AT1G54290.1 pep chromosome:v.1.0:1:29075073:29076671:-1 gene:fgenesh2_kg.1__4514__AT1G54290.1 transcript:fgenesh2_kg.1__4514__AT1G54290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLEVQVPTAFDPFADANAEDSGAGTKEYVHIRVQQRNGRKSLTTVQGLKKEYSYSKILKDLKKEFCCNGTVVQDSELGQVIQLQGDQRKNVSTFLVQAGLVKKDNIKIHGF >fgenesh2_kg.1__4516__AT1G55080.1 pep chromosome:v.1.0:1:29083469:29084668:-1 gene:fgenesh2_kg.1__4516__AT1G55080.1 transcript:fgenesh2_kg.1__4516__AT1G55080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFSGGGGNWSMIPNVQAQGNFGTPTNHDQQLFLQQQQFHLQQQQTQQQQQQFQPQQQEMQQFQQFQQQQHFIQQQQFQQQQRLLQSPPLQTQSLQSPPPQQTVVHTPQSMMHTPQQQQQLVQTPVQTPQQHQSLASHFHLYPMVEKLADVIENGTRDQNSDALVTELNSHFDKCQQLLNSISGSLGSKTMTVDGQKRNVEESEQLLQQRRDLIVEYRKSIEEILKMEP >fgenesh2_kg.1__4517__AT1G55090.1 pep chromosome:v.1.0:1:29084737:29088712:1 gene:fgenesh2_kg.1__4517__AT1G55090.1 transcript:fgenesh2_kg.1__4517__AT1G55090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-dependent NAD(+) synthetase [Source:UniProtKB/TrEMBL;Acc:D7KM52] MRLLKVATCNLNQWAMEFESNMKNIKTSIAEAKAAGAVIRLGPELEVTGYGCEDHFLELDTVTHSWECLKELLVGDWTDGILCSIGMPVIKGAERYNCQVLCMNRRIIMIRPKMWLANDGNYRELRWFTAWKQREKLEDFQLPIEISEALDQKSLPFGYGYIQFIDTAVAAEVCEELFSPLPPHAELALNGVEVFMNASGSHHQLRKLDIRLNAFMGATHARGGVYMYSNQQGCDGSRLYYDGCACIVVNGDVVAQGSQFSLRDVEVIISQIDLDAVASLRGSISSFQEQASCKVKVSSVAVPCRLTQSFNLKMTLSSPKKIIYHSPQEEIAFGPACWMWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIAKGDEQVKADASRIGNYANGQFPTDSKEFAKRIFYTVFMGSENSSEETKRRAKQLADEIGAWHLDVCIDGVVSAVLSLFQTVTGKRPRYKVDGGSNAENLGLQNIQARMRMVLAFMLASLLPWVHSKPGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSISKMDLRLFLKWAATNLGYPSLAEIEAAPPTAELEPIRSDYSQLDEVDMGMTYEELSVYGRMRKIFRCGPVSMFKNLCYKWGTKLSPAEVAEKVKYFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSKWPYQFKKIDEIVDGLNGDSVAFPEEEANSNREIGVVAANSEDPSAGL >fgenesh2_kg.1__4519__AT1G55120.1 pep chromosome:v.1.0:1:29110609:29113180:1 gene:fgenesh2_kg.1__4519__AT1G55120.1 transcript:fgenesh2_kg.1__4519__AT1G55120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-fructofuranosidase 5 [Source:UniProtKB/TrEMBL;Acc:D7KM57] MAKLNRSNIGLSLLLSLFLANFIIHLEASHQDLNQPYRTGYHFQPPRNWMNDPNGPMIYKGIYHLFYQYNPYGAVWDVRIVWGHSTSVDLVNWISQPPAFNPSQPSDTNGCWSGSVTILPNGKPVILYTGIDQNKSQVQNVAVPVNVSDPYLREWSKPPRNPLMTPNAVNGINPDRFRDPTTAWLGRDGEWRVIVGSSTDDRRGLAILYNSKDFFNWTQSTKPLHYEDLTGMWECPDFFPVSITGSDGVETSSFSENGIKHVLKVSLIETLHDYYTIGSYDREKDVYVPDLGFVQNGSAPRLDYGKYYASKTFYDDVKKRRILWGWVNESSPAKDDIKKGWSGLQSFPRKIWLDESGKQLLQWPIEEIETLRGTQVNWHKKVLKAGSTLQVHGVTAAQADVEVSFKVKELEKADVIEPSWTDPQKICSEGDFSVNSGLGPFGLMVLASKDMEEYTSVYFRIFKSNDDTNKNNKYVVLMCSDQSRSSLNEENDKSTFGAFVAIDPSHQTVSLRTLIDHSIVESYGGGGGTCITSRVYPKLAIGENANLFAFNKGTQSVDVLSLSAWSLKSAQINDESMSPFIEREDSHSPNQS >fgenesh2_kg.1__4521__AT1G55130.1 pep chromosome:v.1.0:1:29113544:29116301:1 gene:fgenesh2_kg.1__4521__AT1G55130.1 transcript:fgenesh2_kg.1__4521__AT1G55130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:D7KM58] MAIMIRIYGTLLLSFLFFSTLHAFYLPGVAPRDFQKGDPLYVKVNKLSSTKTQLPYDFYYLNYCKPPKILNTGENLGEVLRGDRIENSVYTFEMLEDQPCRVGCRVRVDAESAKNFREKIDDEYRASMILDNLPVAVLRQRKDGIQSTTYEHGFRVGFKGSYQGSKEKKYFIHNHLSFRVMYHRDLESGSSRIVGFEVTPNSVLHEYKDWDENNRQLTTCNKDTKNLIQSNTVPQEVEEGKEIVFTYDVAFKESEIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDISNYNQLETQDEAQEETGWKLVHGDVFRTPMNSGLLCVYVGTGVQIFGMTLVTMMFALLGFLSPSNRGGLMTAMVLLWVFMGIFAGYSSSRLHKMFKGNEWKRITLKTAFLFPGILFAIFFVLNTLIWGERSSGAIPFGTMFALVCLWFGISVPLVFIGSYLGHKKSAIEDPVKTNKIPRQVPEQPWYMKPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFLILIVTCAEITIVLCYFQLCSEDYNWWWRAYLTSGSSSLYLFLYSVFYFFTKLEISKLVSGLLYFGYMIIISYSFFVLTGSIGFYACLWFVRKIYSSVKID >fgenesh2_kg.1__4524__AT1G55150.1 pep chromosome:v.1.0:1:29118492:29121259:1 gene:fgenesh2_kg.1__4524__AT1G55150.1 transcript:fgenesh2_kg.1__4524__AT1G55150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDSRTGDSTSFRDRRSDSGFGGTSAYGSSGSHSSSKKDNDGNESPRKLDLDGLTPFEKNFYVESPTVAAMTDTEVEEYRKLREITVEGKDIPKPVKSFRDVGFPDYVLEEIKKAGFTEPTPIQSQGWPMAMKGRDLIGIAETGSGKTISYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEASKFGSSSKIKSTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMMESNNTNLRRVTYLVLDEADRMLDMGFDPQIRKIVSHIRPDRQTLYWSATWPKEVEQLSKKFLYNPYKVIIGSSDLKANRAIRQIVDVISESQKYNKLVKLLEDIMDGSRILVFLDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFRSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTVANARFAKELSNILEEAGQKVSPELASMGRSTAPPPPGLGGFRDRGSRRGWS >fgenesh2_kg.1__4525__AT1G55160.1 pep chromosome:v.1.0:1:29121555:29123068:1 gene:fgenesh2_kg.1__4525__AT1G55160.1 transcript:fgenesh2_kg.1__4525__AT1G55160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQTPKLFTNKPKKKAIIAQLKHVEANFNNPTVPPSSKPSPAAAAAASYTMGGGSVPPPPPPKESFARRYKYVWPLLLTVNLAVGGYLFFRTKKKDIDPPLNEEIAAKLSSVAAPVTVEKTVSSTVVAEPVVVKAREPIPEKQQRELFKWMLEEKRKVNPKNAQEKKRNDEEKAILKQFISSKTIPTL >fgenesh2_kg.1__4526__AT1G55170.1 pep chromosome:v.1.0:1:29165109:29166652:1 gene:fgenesh2_kg.1__4526__AT1G55170.1 transcript:fgenesh2_kg.1__4526__AT1G55170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNRIHRDSYHDRRELPPERPFLRGPPLLQPPPPSLLEDLQIQDAEIRRLLNDNHRLADDRMVLERELVAAKEELHRMNLMISDLRAEQDLQSMEFSEKRHKLEGDVRAMESYKKEASQLRGEVQKLNEIKRELSGNVQMLRKDLAKLQSDNKQIPGMRAEVKDLQKELMHARDAIDYEKKEKFELMEQRQTMEKNMVSMAREVEKLRAELATVDSRPWGFGGSYGMNFNNMDGTFRGSYGENDSYLGSSERSQYYSHGSGSQKKPRLDRH >fgenesh2_kg.1__4529__AT1G55200.1 pep chromosome:v.1.0:1:29175152:29178057:-1 gene:fgenesh2_kg.1__4529__AT1G55200.1 transcript:fgenesh2_kg.1__4529__AT1G55200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KM70] MSREKQEKRSGSNGTEKVLVAVKASREISKTALVWALTHIVHPGDCITLIVVVTSHNAGRKLWTFPRFAGDCATGHRKLHSDAIPEIKSDLTDTCSQMILQLHDVYDPNKVNVRIKIVSGSPCGAVAAEAKKSQANWVVLDKHLEHEKKRCIDELQCNIVAMKRSQAKFLRLNLVGSPTKQPVLASEKNKNKLLDSVKAVVTSTPMSSPEVETSFTGTEVGTSSVSSSDLGASSPFFTAEVKKDETLAIKENESDSDSESENVSLPSTSMRFQPWISEYLGTHRLSLQESEESLWKTDDKAAQVSSKKAFLEKISKVDEGEESAMSSKRNDLEEFSGTLRETISLSRNAPPVSPPLCSICQHKAPVFGKPPRFFSYKELELATNGFSRANFLAEGGFGSVHRGVLPEGQIVAVKQHKLASTQGDVEFCSEVEVLSCAQHRNVVMLIGFCIEDTRRLLVYEYICNGSLDSHLYGRHKDTLGWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDYEPLVGDFGLARWQPDGELGVDTRVIGTFGYLAPEYTQSGQITEKADVYSFGVVLVELITGRKAMDIYRPKGQQCLTEWARSLLEEYAVEELVDPRLEKRYSETEVICMIHTASLCIRRDPHLRPRMSQVLRLLEGDMVMNEISGRFNGD >fgenesh2_kg.1__452__AT1G05070.1 pep chromosome:v.1.0:1:1748902:1750570:-1 gene:fgenesh2_kg.1__452__AT1G05070.1 transcript:fgenesh2_kg.1__452__AT1G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAAALKIGLALLGLSMTGYILGPPLYWHLTEALAAVSTSSCPSCPCECSPYSAITIPKELSNASFADCAKHDPEVNEDTEKNYAELLTEELKLREAESLEKHKRADMGLLEAKKVTSSYQKEADKCNSGMETCEEAREKAELALAEQKKLTSKWEERARQKGWREGATKSNVKPKSNV >fgenesh2_kg.1__4531__AT1G55205.1 pep chromosome:v.1.0:1:29180813:29182066:1 gene:fgenesh2_kg.1__4531__AT1G55205.1 transcript:fgenesh2_kg.1__4531__AT1G55205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKICRLSSKIHSLTQKLSKTNVHASSLPSPIKSSSPSSATTRINQSFRLPVELSSCLSMLPLHSAIASARLISSLSVESKSWTLVPQGISMPL >fgenesh2_kg.1__4532__AT1G55210.1 pep chromosome:v.1.0:1:29183913:29184736:-1 gene:fgenesh2_kg.1__4532__AT1G55210.1 transcript:fgenesh2_kg.1__4532__AT1G55210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7KM73] MAKLIFFLAVQILLLAVVSSAGDGEDFFRTMDRKLLGLHKKEKLTHFKVYWHDILSGPNPTSIMIQPPVTNTSYFGAISMIDNALTAKVPMNSTVLGQAQGFYAGAAQKELGFLMAMNFAFKTGKYNGSTIAILGRNTAMSEVREMPIVGGSGLFRFARGYVEARTKWINLKNGDATVEYSCYVLHY >fgenesh2_kg.1__4539__AT1G55265.1 pep chromosome:v.1.0:1:29210453:29211108:1 gene:fgenesh2_kg.1__4539__AT1G55265.1 transcript:fgenesh2_kg.1__4539__AT1G55265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTLFCLSLLSLSSSSSLNLRRPIFPQSIGLDLDRPSLAADDIHDLLPRYGFPKGLLPNNVKSYTLSDDGDFTVDLISNCYVKFSDQLVFYGKNIAGKLSYGSVIDVHGIQAKEAFLWLPITAMESDPSSATVVFSVGFVSKTLPVSMFENVPSCSRNLNLQDS >fgenesh2_kg.1__453__AT1G05080.1 pep chromosome:v.1.0:1:1751401:1752865:1 gene:fgenesh2_kg.1__453__AT1G05080.1 transcript:fgenesh2_kg.1__453__AT1G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KEB1] MAEAKIEEIGCEDRISALPEDVLVEILDLLPTKDVVATMILSKRWLSIWTMVRTLEYKEDMDDESKKSVWWFLNKSLQLHKSPVIDSLCIELGPQCPTTDDVDIGKWVAKAVDCLVWTLTIKLLWSAGPTSLPKSLYSCDTLFELTLSDQILVNVPSSSSAYLPFLSDLELIRVVYKDEDSLVTLLSSCPVLETLFVKRKIDDNVKKFTIKVPSLLYLWYINDSSDVVDYTDRCLVVDAPAVNTCRITDYSLDSFSIEDMPCLQDANINVEESYHPDDKFLTSFSSVLSLHLNLSDAMVMRCTNVNFSRLIKLSICPYGPDMLETLLLLLKNAPKLKEFLVDYKFVYHPEDLPWSWKQPSHVPECLSSQLEIFEWREYGDRIIEEEFLTYVLANSKRLKTATISLRLNLEDPELIIEELKDLPRVSTTSHLLFK >fgenesh2_kg.1__4541__AT1G55300.2 pep chromosome:v.1.0:1:29217995:29219677:-1 gene:fgenesh2_kg.1__4541__AT1G55300.2 transcript:fgenesh2_kg.1__4541__AT1G55300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVSERIDRLLSDEASTSDEIPLDLCFSEDGRNGTFMIGNDEFPASLLDLPAVVESWKTYDDCALVKTADIGQMIMVREPGDPAPNTVEYRHGLTPPMKDARKRRFRREPDLNPELVQRVERDLLNILSGGTVENVNEQEEAAANENASNENKKVSSSPTPAEKPEAPETGTSNPAGVEPERSESEDSDDSM >fgenesh2_kg.1__4546__AT1G55340.1 pep chromosome:v.1.0:1:29241843:29243673:1 gene:fgenesh2_kg.1__4546__AT1G55340.1 transcript:fgenesh2_kg.1__4546__AT1G55340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKGQRGSGTTEADFVLQWGERKRVRCMKVKKDQSLTNGKSTDCLTKRKLISRAVSSERGSPSRHLNRPNKITDSLVNVRRSFVASPEKEDRYYTTRGSMGIDESGKIIKEPVKETKKHVWPKLFITLSNKEKEEDFLAMKGCKLPQRPKKRAKLVQKTLLLVSPGAWLSDLCKERYEVREKKTSKKRPRGLKAMGSMESDSE >fgenesh2_kg.1__454__AT4G20720.1 pep chromosome:v.1.0:1:1758466:1761123:1 gene:fgenesh2_kg.1__454__AT4G20720.1 transcript:fgenesh2_kg.1__454__AT4G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEISVDLINQLKVSLRKEAKLSSVGDYSDSSSSSLPTSEEAIAELDASAPYLRCRNCKGKLLRGIESLICVFCGNQQRTSDNPPDPIKFTSTSAYKWFLSSLNLHGSEMVEPLKETDGSSRGATKAPVLKGIALSKFLDLEIQWSASEDKSDDEQSVQNKNPLNLGGINLDDFFIEGRGDLSKVEPAEIKPVEDDDDFKDPRSLSLFDSVKSEGVVGSQQHENFGLFDKKDAHKSVSSGEHENLSLFAGRDAQESVSLAEQGNFGFFEEKDGQNSFKENENLSLFEGKVAQKTSSSKEDESFGLFEGKDTQRNSSSKEDESPGLFMGKDAQRTSSSKDDESFGMFEGKEDAQRNSSSKEDENFGLFEGAPSSTADLKSFDDKIVATSSDWDSDFQSADHNPSQKKVGGDPFVSSPVDLAAHMDSVFGSGKDLLYAKPGDWLQDDLFGNVTGEAQNSDSAVHDKNEGQVVGGNGSSSMDIDWIGDDLWQTNEKKSIEKTPTDVNDDDDDWNDFASSANSKTPNNPLSQTMESSQDEFFYGQAQVKNGVKEQSVDEKQNTVMSDIGKGQEDDIFGTWDSFTSSTIPQTSGEKYPKMNLFGENNNHRDLDFDSISRSDFFSESSGGKTNSEEVKVIPSGTSTLDRTSDPDGSKDQTVDLVVGTTTTAPKSKSDVAEELMSQMHDLSFMLETKLSVPPISKTE >fgenesh2_kg.1__4551__AT1G55360.1 pep chromosome:v.1.0:1:29256267:29258725:-1 gene:fgenesh2_kg.1__4551__AT1G55360.1 transcript:fgenesh2_kg.1__4551__AT1G55360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVHLSTVKLARGFLVCLFLWGFFSLSYAARSGVSKQKFEVKKHLNRLNKPAVKSIQSPDGDIIDCVPISKQPAFDHPFLKDHKIQMKPNYHPQGLFDDNKVSAPKSNEKEMHIPQLWHRYGKCTEGTIPVRRTKEDDVLRASSVKRYGKKKRTSVPLPKSAEPDLINQSGHQHAIAYVEGDKYYGAKATINVWEPKIQQQNEFSLSQIWLLGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQVNSDIAMGASISPVSGYRNSQYDISILIWKDPKEGHWWMQFGNGYVLGYWPSFLFSYLTESASMIEWGGEVVNSQSDGQHTSTQMGSGRFPEEGFSKASYFRNIQVVDGSNNLKAPKGLGTFTEQSNCYDVQTGSNDDWGHYFYYGGPGKNQKCP >fgenesh2_kg.1__4555__AT1G55460.1 pep chromosome:v.1.0:1:29298699:29299940:1 gene:fgenesh2_kg.1__4555__AT1G55460.1 transcript:fgenesh2_kg.1__4555__AT1G55460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQNPTRVVDGYSEEFEQTFLDLMRRSHRFSRIAATVVYNEYINDRHHVHMNSTEWATLTEFIKHLGKTGKCKVEETPKGWFITYIDRDSETLFKERLKNKRVKSDLAEEEKQEREIQKQIERAAEKLNAVGGEGESSGKEAVEDDDDDDVKKKDEDLRLKSGVKVGFALGGVVKQVATGKERGESSKLVFGDEENEKVERGEKRKRSGDSGRSDKDRRSALDELMKEEEKKKERMNRKDYWLFEGIIVKVMSKALAEKGYYKQKGVVKKVIDKYVGEIEMLDSKHVLRVDQVELETVLPQIGGIVKIVNGAYRGSNAKLLGVDTEKFCAKVQIEKGVYDGRVIKSIEYEDICKLA >fgenesh2_kg.1__4560__AT1G55490.2 pep chromosome:v.1.0:1:29320000:29323594:-1 gene:fgenesh2_kg.1__4560__AT1G55490.2 transcript:fgenesh2_kg.1__4560__AT1G55490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CPN60B [Source:UniProtKB/TrEMBL;Acc:D7KM99] MASTFTATSSIGSMVAPNGHKSDKKLMNKLSSSSFGRRQSVCPRPRRSGSAIVCAAKELHFNKDGTTIRKLQAGVNKLADLVGVTLGPKGRNVVLESKYGSPRIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGFIAEGVKVVAAGANPVLITRGIEKTAKALVTELKKMSKEVEDSELADVAAVSAGNNDEIGNMIAEAMSKVGRKGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMSVEFDNCKLLLVDKKITNARDLVGVLEDAIRGGYPILIIAEDIEQEALATLVVNKLRGTLKIAALRAPGFGERKSQYLDDIAILTGATVIREEVGLSLDKAGKEVLGNASKVVLTKETSTIVGDGSTQDAVKKRVTQIKNLIEQAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKATLDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSNDNVKFGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEPVPVGNPMDNSGYGY >fgenesh2_kg.1__4564__AT1G55520.2 pep chromosome:v.1.0:1:29332911:29335326:-1 gene:fgenesh2_kg.1__4564__AT1G55520.2 transcript:fgenesh2_kg.1__4564__AT1G55520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGMEGSQPVDLTKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEHLSKLAARKYARIVQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHSAFSSYEPELFPGLIYRMKLPKIVLLIFVSGKIVITGAKMREETYTAFENIYPVLTEFRKVQQ >fgenesh2_kg.1__4567__AT1G55535.2 pep chromosome:v.1.0:1:29339315:29341608:-1 gene:fgenesh2_kg.1__4567__AT1G55535.2 transcript:fgenesh2_kg.1__4567__AT1G55535.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCGSLRDRIQPWLRDYVRLQSLAVFLIYAQIGCALIGSLGALYNGVLLINLAIALFALVAIESNSQSLGRTYAVLLFCALLLDISWFILFTQEIWSISAETYGTFFIFSVKLTMAMEMIGFFVRLSSSLLWFQIYRLGASIVDTSLPRETDSDLRSSFLNPPTPAIARQCSGSEEILGGSIYDPAYYTSLFEESQTNINSPQVNHYSAGNNGSPSAAEGSHTKYPISRSLHSIDEEKGLKQPVSFH >fgenesh2_kg.1__456__AT1G05100.1 pep chromosome:v.1.0:1:1766691:1767966:1 gene:fgenesh2_kg.1__456__AT1G05100.1 transcript:fgenesh2_kg.1__456__AT1G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPKKK18 [Source:UniProtKB/TrEMBL;Acc:D7KEB5] MNWTRGKTIGRGSTATVSAATCYKSGETIAVKSAEFHRSEFLQREAKILSSLNSPYVIGYRGCEITREPVYNNGEATTYNLLMEYAPYGTLTDVAIKNGGFIDEARVVKYTRQILLGLEYIHNSKGIAHCDIKGSNVLIGENGEAKIADFGCAKWVEPELTEPVRGTPAFMAPEVARGERQGKESDIWAVGCTVIEMVTGSPPWIGADSTDPVSVLYRVGYLGESPELPCSLTEQAKDFLGKCLKKEAKERWTASQLLNHPFLLNKEPELVTGLVTNSPTSVTDQMFWRSVEEEVSADRPSWWECHGDERIGVLSWIGHVVVESTWDLDGEDWITVRRNND >fgenesh2_kg.1__4570__AT1G55550.1 pep chromosome:v.1.0:1:29370210:29374358:1 gene:fgenesh2_kg.1__4570__AT1G55550.1 transcript:fgenesh2_kg.1__4570__AT1G55550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRSKPIRDLPETIHSLLGLKSHMTSDWVKSVCNIVKNNSSTSKEEEDDSVSTDLQSIRDKLSALTVQVNDQNKQRRQILNEFLDLKGNMRVFCRVKPLGASEKLRPPVASDTRNVIIKLSETKRKTYNFDRVFQPDSSQDDVILEIEPVIKSVIDGYNACIFAYGQTGTGKTYTMEGLPNSPGIVPRAIKGLFKQVEESNHKFLIHFSMLEIYMGNLKDLLLSQATKPISPIPPSLSIHADASGEIEIDNLVNLKVDDFNQVFKLYKEGCRNRATASTNSNSASSRSHCMIRVSVTCLGASERRRETNKIWLVDLGGSERVLKTRATGRRFDEGKAINLSLSALGDVINSLQRKNSHIPYRNSKLTQVLKDSLGQDSKTLMLVHISPKEEDLCETICSLNFATRAKNIHLGQDESTEEQQKKEAVMMNLQKMMEKIEQEREMSLREMRNLNKTLEKFTGKPHVIEEEEKDVIREEIQVTPKKPKNKSRRASDVFPSFMRPTASSRRLSGADFSVTPNASGYKSRRNSMISVRAESVRLPVKKNRYDSACDSSDRSVSKSTCVMRQNTSDDATVYSQDISECDIKLVVSEHKPKALQMGPGSATKSRSKICNFEKDAAQKMDGTEFSRINSWLRSQSENRSYVLDKDQLPATPQNRSLEKSSTQSLTTEKITGNEFLEKLEDIEESKTDETVVKPTQMLKKLFELQCLCSAEEEDQILSRFPNPGYEDVDESLYPPVLENDGFSQHIDNEWFGVNHSAAWERDSPATIPLLEREPDLKQLLPELAFDHSLKPRGLAFAEDVAPPLLRAQETLGERGKAPTFMQKVQALCFRILLGLGFIDVGYGNDFFSGLTK >fgenesh2_kg.1__4571__AT1G55560.1 pep chromosome:v.1.0:1:29375901:29378247:-1 gene:fgenesh2_kg.1__4571__AT1G55560.1 transcript:fgenesh2_kg.1__4571__AT1G55560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLLTVLVCLVSTVAIVNAGDPYFFYTWNVTYGTASPLGVPQKVILINGQFPGPNLNSTSNNNVVINVFNHLDEPFLLTWSGIQHRKNCWQDGVAGTSCPIPAGQNFTYHFQPKDQIGSYFYYPTTSLHRFAGGFGGLRVNSRLLIPVPYADPEDDYTVLLGDWYTAGHTALKNFLDSGRTLGLPNGVLINGKSGKVGGKNEPLFTMKPGKTYKYRLCNVGFKSTLNFRIQNHKMKLVEMEGSHVLQNDYDSLDVHVGQCFSVLVTADQAAKDYYMVASTRFLKKELSTVGVIRYEGSNVQASAELPKAPIGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGKINITRSIKLVNSKSVVDGKVRFGFNGVSHVDTETPLKLAEYFQMSEKVFKYNVIKDEPAAKITALTVQPNVLNITFRTFVEIIFENHEKSMQSFHLDGYSFFAVASEPGRWTPEKRKNYNLLDAVSRHTVQVYPKSWSAILLTFDNAGMWNIRSENLERRYLGEQLYVSVLSPEKSLRDEYNIPLNTNLCGIVKGLPLPAHYS >fgenesh2_kg.1__4574__AT1G55590.1 pep chromosome:v.1.0:1:29393853:29396286:-1 gene:fgenesh2_kg.1__4574__AT1G55590.1 transcript:fgenesh2_kg.1__4574__AT1G55590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KMZ7] MEEVTRSVLAEEILKRLDLENLCSVACVSTTLRSAVVSGVLPSLTSLDLSIFSPDDETLNHVLRGCIGLRSLTLNCLRLNAASVREVLGPHLRELHLLRCSLLSSTVLTSIGILCPNLRVLSLEMADLDSPAVFQSNLTQMLNGCPYLESLQLNIRGILVDATAFQSVRFSLPETLKVLRLQPLLESEAILLMNRFKVTGTYLSQADYTALLSPSPSFTLQSLSLVLDLISDRLIIAITGSLPQLVKLDLEDRPEKEPFPDSDLTYTGLQALGYCQQLTSLSLVRTCYNRKISFKRINDMGIFLLSEACKGLESVRLGGFPKVSDAGFASLLHSCRNLKKFEIRGAFLLSDLAFHDVTGSSCSLQEVKLSTCPLITSEAVKKLGLCGNLEVLDLGSCKSISDSCLNSVSALRKLTSLNLAGADVTDSGMLALGKSDVPITQLSLRGCRRVSDRGISHLLNNEGTITKTLSTLDLGHMPGISDRAIHTITHCCKALTELSIRSCFHVTDSSIESLATRERQAEGGSKQLRKLNVHNCVSLTTGALRWLSKPSFAGLHWLGLGQTRFAGRKETVTATICGHRPWLTLCFDGCELGCYDGWEFHTPQRH >fgenesh2_kg.1__4577__AT1G55620.2 pep chromosome:v.1.0:1:29421261:29425414:-1 gene:fgenesh2_kg.1__4577__AT1G55620.2 transcript:fgenesh2_kg.1__4577__AT1G55620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:D7KN01] MSSGGAGEYNEDRHLLRSTDGDEVGIGGGDGDLDVESQSPAVRNGAGGVRDLFKHLDRRFSLSGRRLSFKRMENIRVDRERHNPSSSSALSAAGVDDGGGISNLHNGDDRNDEYGFDEEVLGDSAPPEWALLLIGCLIGVAAGICVAGFNKGVHVIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVTGGVIVGMMHGLLEILDQIRQSTSSQRQGLDFLAGIYPVIKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGFALMMENNRERRIALTAAGAASGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNALLGTQSAFTVPSYDLKSAAELPLYLILGMLCGAVSVVFSRLVTWFTKSFDFIKDKFGLPAIVCPALGGLGAGIIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAEIINRAIPGNAAVAQPQAYALVGMAATLASMCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVANQGKESDSSEGRSTGRGYSSISPSDRKTEGVWRHTDNADSVELTVIENPDDNSLLDEETILEDLKVMRVMSKNYVKVSSGTTLREARNILNDSHQNCLMVVDDDEFLAGILTHGDIRRYLSNNVSTIFDENTCPVSSVCTKKISYRGQERGLLTCYPDATVGVAKELMEARGVKQLPVVKRGEVIHKGKRRKLLGLLHYDSIWSFLRDEMSRRRSINDRRKDKEVGANGH >fgenesh2_kg.1__4579__AT4G00315.1 pep chromosome:v.1.0:1:29431741:29432902:1 gene:fgenesh2_kg.1__4579__AT4G00315.1 transcript:fgenesh2_kg.1__4579__AT4G00315.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KN04] NVRELCLKLLSFAERPTKLPSSLYICKSIVILTLKDEILVDVPGTVCLPSLKTLFLRRVTYSDENSLHRLLSNCPVLEDLVVERDEIDNLGKVSVIVKSLQRLTLKMSVACDLDGLMMNTPSLKYFKVTDERLDSNGDSDSPRYAYSFEAMPKLEEADFLLTFQNIKKCFRSFTSVKRLSLCLGVYKEESLYHEGLVFNQLETLKICSCDSDWSILLARLLRDSPNLRDLETYVIEDHPDRRVNLPNHWDNQLNCVPKCLLSSLETFYWSEMHGLLQNQMDVAKYILRNALCLKSATISFSTTYAQETIDEMIEELALSFRGPETCQVF >fgenesh2_kg.1__457__AT1G05120.1 pep chromosome:v.1.0:1:1768573:1773207:-1 gene:fgenesh2_kg.1__457__AT1G05120.1 transcript:fgenesh2_kg.1__457__AT1G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KEB6] MELRSRNKAIPPSIEVVVDIEEETGTNSNDEPYAISSDDDDSIGSDFQGDEEEEEVEVEEVDANDDIPNPVPVLAPAPLAIVNLPRASKKRKKACASKEKEKEVLMWEIWEKEQNRWIDEHMAEDVDLDQQNAVITETAEPPPDLIMPLLRYQKEFLAWASKQEQSVAGGILADEMGMGKTIQAISLVLARREVDRAQFGEAVGCTLVLCPLVAVSQWLNEIARFTSPGSTKVLVYHGVKREKNIKEFMNYDFVLTTYSTVESEYRRHIMPPRVQCAYCSKSFYPKKLLVHLRYFCGPSAVKTAKQSKQKRKKSTASSSQQGKEADAGEDNKMKNTKKKTKQTVEEDQLGSDDREKSLLHSVKWNRIILDEAHYIKERRSNTARAVFALEATYRWALSGTPLQNRVGELYSLIRFLQIRPYSYYFCKGCDCRILDYAAHQSCPHCPHNLVRHFCWWNKYVAKPITVHGSFGLGKRAMILLKHKVLKDILLRRTKLGRAADLALPPRIISLRRDTLDVKESDYYESLYKNSQAEFNTYIEAGTLMHNYAHIFDLLTRLRMAVDHPYLVVYSNSSGANANLVDENKNEQECGLCHDPAEDYVVTTCAHVFCKACLIGFSTSLGKVTCPTCSKLLTVDWTTKADTEHKASKTTLKGFRASSILNRIKLDDFQTSTKIEALREEIRFMVERDGSAKAIVFSQFTSFLDIINYTLGKCGVSCVQLVGSMTMAARDTAINKFKEDPDCRVFLMSLKAGGVALNLTVASHVFMMDPWWNPAVERQAQDRIHRIGQYKPIRVVRFIIENTVEERILRLQKKKELVFEGTVGGSQEAIGRLTEEDMRFLFTT >fgenesh2_kg.1__4580__AT1G55670.1 pep chromosome:v.1.0:1:29433212:29433964:-1 gene:fgenesh2_kg.1__4580__AT1G55670.1 transcript:fgenesh2_kg.1__4580__AT1G55670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KN05] MASSASALLSPTTFSTAISHKNPNSISFHGLRPLRLGGSSSALPKLSTTSGRKSSSAVVRAELSPSIVISLSTGLSLFLGRFVFFNFQRENVAKQGLPEQNGKTHFEAGDDRAKEYVSLLKSNDPIGFNIVDVLAWGSIGHIVAYYILATSSNGYDPSF >fgenesh2_kg.1__4582__AT1G55690.1 pep chromosome:v.1.0:1:29437844:29442567:-1 gene:fgenesh2_kg.1__4582__AT1G55690.1 transcript:fgenesh2_kg.1__4582__AT1G55690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein [Source:UniProtKB/TrEMBL;Acc:D7KN07] MSGVEEISTFDEFRGRRSDFEISEDERRRSKIGNFKKKAINASTKFTHSLKKRGKRKIDYRIPAVSIEDVRDEKEESVVLEFRRKLLERDLLPPRHDEYHTLLRFLNARDLNIEKTIQMWEEMLRWRKEYGTDTILEDFDFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPAKLMRITTIDRYLKYHVQEFERALLEKFPACSIAAKRRICSTTTILDVQGLGIKNFTPTAANLVAAMSKIDNSYYPETLHRMYIVNAGTGFKKMLWPAAQKFLDAKTIAKIHVLEPKSLFKLHEVIDSSQLPEFLGGSCSCFGDGGGCLRSNKGPWNDPEIMKLIYHGESSLFRQMTRKLSDPHNSSSYISIHPSKAIQAETSAAESVSCSDVPTSPTGRLCSASAHENSAYVEARASDVNGYYSCDDKFAIPDKATNRKNQERQSLYKMPELNQTTLDLKCETSPPGAPIMRWLHDLRGTIDNIKCENLAKRLLSLMLKLAAVFRYTPLELLRSQTTVSPSSPTEDDSRCSFISAPREPTMKDRILPCLERIQELEKCYEDIRNKPVSIPVEKERMLMDSLDRIKSVEFDLDKTKRLLHATVMKQMEINEMLQNLRESQLHRRRRLFC >fgenesh2_kg.1__4585__AT1G55720.1 pep chromosome:v.1.0:1:29450534:29452561:-1 gene:fgenesh2_kg.1__4585__AT1G55720.1 transcript:fgenesh2_kg.1__4585__AT1G55720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCAX6 [Source:UniProtKB/TrEMBL;Acc:D7KN08] MEQGSLRERRAKAPRNSVIQSFKIVILSNKLNLLLPFGPLAILVHYLTDTKGWFFLLSLVGITPLAERLGYATEQLACYTGSTVGGLLNATFGNVIELIISIIALKNGMIRVVQLTLLGSILSNMLLVLGCAFFCGGLVFSRKDQVFDKGNAVVSSGMLLLAVMGLLFPTVLHYTHSEVHAGSSELALSRFISCIMLLAYAAYLFFQLKSQPSFYTENKEMSEDDEDPEISKWEAIIWLSIFTAWVSLLSGYLVDAIEGASVSWKIPISFISVILLPIVGNAAEHAGAIMFAMKDKLDLSLGVAIGSSIQISMFAVPFCVVIGWMMGVQMDLNFQLFETATMFITVIVVAFFLQEGTSNYFKGLMLILCYLVVAASFFVHEDPHQGWC >fgenesh2_kg.1__458__AT1G05140.1 pep chromosome:v.1.0:1:1778673:1780098:1 gene:fgenesh2_kg.1__458__AT1G05140.1 transcript:fgenesh2_kg.1__458__AT1G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSISSSPISHRIPHFLSTFNNPTSNFPPKSHLSTNLSTLSNHSFYGTKNRALLRNKRYPYYRTRALAGFDFGSFESVLEASAVLAAIIVVHETGHFLAASLQGIHVSKFAIGFGPILAKFNSNNVEYSLRAFPLGGFVGFPDNDPDSGIPLDDKNLLKNRPILDRVIVVSAGIVANVIFAYAIIFTQVVSVGLPVQESFPGVLVPDVKSFSAASRDGLLPGDVILAVDGTELSNSGSDSVSKVVDVVKRNPEHNVLLRIERGKENFEIRITPDKSFDGTGKVGVQLSPNVRFSKVRPKNIPETLSFAGREFFGLSYNVLDSLKQTFLNFSQTASKVAGPVAIIAVGAEVARSNADGLYQFAALLNLNLAVINLLPLPALDGGTLALILLEAVRDGRKLPLEVEQGIMSSGIMLVLFLGLFLIVKDTLNLDFIKEML >fgenesh2_kg.1__4594__AT1G55780.1 pep chromosome:v.1.0:1:29511008:29511511:-1 gene:fgenesh2_kg.1__4594__AT1G55780.1 transcript:fgenesh2_kg.1__4594__AT1G55780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSDSEKSIKKAMKIASTKSGVRSVSIQGQNDQLVLLGEGIDLAELTRELKKKVCHTTIITVQAAPPQQPPPQPHQMGQYNQMPPARRCTCEIPNSGFCGLCSSMSQHNYQVVPSPYYPPVLYCRDESDGCRIL >fgenesh2_kg.1__459__AT1G05150.1 pep chromosome:v.1.0:1:1780198:1783024:-1 gene:fgenesh2_kg.1__459__AT1G05150.1 transcript:fgenesh2_kg.1__459__AT1G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7KEB8] MATRGSRSEKVKRIFQQFDGNHDGGLNREEMAALVVAVNPRVKFSDEQINAILDEVFRTYAEFIDPNKGLTYDGLLRTYDDGAGDVDRDFDALGLELNADESIKDSDVASSSSITDERAVEAQKKQRTAAWAVSPNHGIVFDETWKLVDDLEILVKRLKSKQEKDGKLKADNNNNNNNVDAFSDAGWSRELGPSSEISEKRIYWEESSHDYGVFVKELGVLRSKADGARSREEAFDGHMAIGRVLYEHQLFKEALVSFKRACELQPTDVRPHFKAGNCLYVLGKCKESKDEFLLALEAAESGGNQWAYLLPQIYVNLGIALEGEGMVLSACEYYREAAILCPTHFRALKLLGSALFGVGEYRAAVKALEEAIYLKPDYADAHCDLASSLHSMGEDERAIEVFQRAIDLKPGHVDALYNLGGLYMDLGRFQRASEMYTRVLAVWPNHWRAQLNKAVSLLGAGETEEAKRALKEALKLTNRVELHDAISHLKHVQKKKGKKNGNGNGGEGPFIVVEPSKFKTVGEKTTLRPDLATALQIRAFQRVTRLGKCDVEAVRKEMRDNDVPVSYSGSGGPTKSIRKPNLEEILRRLLSSLKPDTFQGAIKAINEKILSLLDDSGSGRVDMGMFYAVIAPLCGGHSDKRKRVAFDALLWRPVNEGSSQITKTDAVKYIKLLRAIYIPSHGMSEMLEVHGEEEADSSMTVTFNQFLTMFDDPDWGFGIMTTILKLEANDRNRHGNQVCSVCRYPIIGSRFKEVKARFSLCNQCYSEGKVPPSFKQEEYKFREYESEAEAMKAKCVCFSMQSHKKPIAT >fgenesh2_kg.1__4605__AT1G55860.1 pep chromosome:v.1.0:1:29536796:29553529:-1 gene:fgenesh2_kg.1__4605__AT1G55860.1 transcript:fgenesh2_kg.1__4605__AT1G55860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase 1 [Source:UniProtKB/TrEMBL;Acc:D7KN29] MAVAEEVTVFAETNLGTRIVMTVPLDITAADFKRKLEKTHAICFSNLGEIQVHGLMVKRKSSFYYLVESVPIKYIFRDNRKPWFIHAEARAVSRSQELSVSNSIDKTHIRHCPGSDNSIEGVTALIQGKNKKIKKSRAKPDSRNLASRQQTCLDKREYLGPSTSEPETIRSSSSIARVAEMKNSECLESFTPKPPGRNPVDPISEMIGKKVTVAANNIRMQGKTHISSSLSSSIIRSNSCRNRSLDGKIITSLAKFMVFEPSKIKSFINSVTSVPLELIQEPLACFRWEFDKGDFHHWVDLFNHFDTFFEKHVKVRKDLHIEENFEESDPPFPKDAVLQVLRVIRLVLENCTNKHFYSSYEQHLSLLLASTDADVVEACLQTLAAFLKRQIGKYSIRDASLNSKLFSLAQGWGGKEEGLGLTSCATENSCDQVSLQLGCTLHFEFYASDESPSELPGGLQVIHVPDVSMRAESDLELLNKLVIDHNVPPSLRFALLTRLRFARAFSSLATRQQFTCIRLYAFIVLVQASGDTENVVSFFTGEPEFVNELVTLVSYEDSVPEKIRILCLLSLVALSQDRTRQPTVLTTVTSGGHRGLLSSLMQKAIDSVICNSSKWSLAFAEALLSLVTVLVSSSSGCSAMREAGLIPTLVPLIKDTDPQHLHLVSTAVHVLEAFMDYSNPAAALFRDLGGLDDTIFRLKLEVSRTEDDVKEKICSSDSSGPDTEQLPYSEALISYHRRLLIKALLRAISLGTYAPGNTNLYGSEESLLPECLCIIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFNALDSAGLTSAFLDAISDEVICSAEAITCIPQCLDALCLNNSGLQAVKDRNALRCFVKIFTSPSYLRALTGDTPGSLSSGLDELLRHQSSLRTYGVDMFIEILNSMLIIGSGMEATTSKSVDVPSGAAPVPMEIDVDEKSLAVSDEAEPSSDTSPANIELFLPDCVCNVARLFETVLQNAEVCSLFVEKKGIDAVLQLFSLPLMPLSTSLGQSFSVAFKNFSPQHSAGLARIVCSYLREHLKKTNSLLVSIEGTQLLKLESAVQTKILRSLSCLEGMLSLSNFLLKASASVISELSAADADVLKELGITYKQTIWQMALCNDTKEDEKKSVDRGSDNSVLASSSTAERESDEDSSNASAVRYTNPVSIRSSSSQSIWGGDREFLSVVRSGEGIHGRTRHAIARMRGGRTRRHLESFNFDSEIPADLPVTSSSHELKKKSTEVLIVEILNKLNSTVRFFFTALVKGFTSANRRRIDGASLSSASKTLGTALAKVFLEALNFEGYGAAAGHDISLSVKCRYLGKVVDDITFLTFDTRRRVCFTAMVNSFYVHGTFKELLTTFEATSQLLWTVPFSIPASSTENEKPGERNIWSHSKWLVDTLQNYCRALDYFVNSTYLLSPTSQTQLLVQPASVGLSIGLFPVPREPETFVRNLQSQVLDVLLPIWNHPMFPDCNPNFVASVTSLVTQIYSGVVVARQNQTGVTRGANQRALPLQPDETIVGMIVEMGFSRSRAEDALRRVGANSVEMAMDWLFTNPEDPVQEDDELAQALALSLGNSSETPKLEDTEKPVDVPQEEAEPKEPPVDEVIAASQLKLVQLDFSKDTGALTMIPHILALVLSEDDNTREIAAQDGIVTVAIGILTDFNLKSESETEILAPKCISALLLVLSMMLQAQTRQSSEYVEGNHGGSLEPSDSPQDSTAVLKDALSSDVAKGESNQALESIFGKSTGYLTMEEGHKALLIACGLIKQRVPSMIMQAVLQLCARLTKSHDLALQFLENGGLSSLFNLPKKCFFPGYDTVASVIVRHLVEDPQTLQIAMETEIRQTLSGKRHIGKVLPRTFLTTMAPVISRDPVVFMKAVASTCQLESSGGRDFVILSKEKEKPKVSSSEHGFSINEPLGISENKLHDGSGKCSKSHRRVPANFIQVIDQLIDIVLSFPRVKRPEDDETNLISMEVDEPTTKVKGKSKVGEPEKAELGSEKSEELARVTFILKLLSDIVLMYLHGTSVILRRDTEISQLRGSNLPDDSPENGGLIYHVIHRLLPISLEKFVGPEEWKEKLSEKASWFLVVLCSRSSEGRKRIINELSRVLSVFASLGRSSSKSVLLPDKRVLAFANLVYSILTKNSSSSNFPGCGCSPDVAKSMIDGGTIQCLTSILHVIDLDHPDAPKLVTLILKSLETLTRAANAAEQLKSEVPNEKKNTDSDERHDSHGTSIETEVDERNQNNSSLQQVTDAADNGQEQPLVPSQSEGERGSSQTQAMPQEMRIEGDETILPEPIQMDFMREEIEGHQIEMSFHVENRADDDVDDDMGDEVEDDEGDDEDADLVEDGAGVMSLGETDVEDPEDTGLGDEYNDDMIDEDEDDFHENRVIEVRWREALDGLDHFQILGRSGGGNGFIDDITAEPFEGVNVDDLFALRRPLGFERRRQTGRSSFDRSGSEVHGFQHPLFSRPSQTGNTASVSASAGSISRHSEAGSYDVAQFYMFDTPVLPFDQVPVDPFSDRLGGGGAPPPLTDYSVVGMDSSRRGVGDSRWTDIGHPQPSSQSASIAQLIEEHFISFISNLRASAPADTVVERETNTTEVQEQQQPDVPPTVGSETVLGEGNEGGQQSEERALLNNNENVNNPPDATAGSFSQGQANLASPVVRDAGESLQQHEVMQPLPLNCTPNEIDRMEVGEGGGAPIEQADREAVHLISTAQGQPDISSIQNVSVTVTHPPVDDPNSNFQPSVDVDMSSDAAEGNQSVQPSPLDGDNNELSSMEATQDVRNDEQVDEGSLDGRAPEVNAIDPTFLEALPEDLRAEVLASQQAQSVQPPTYEPPPVDDIDPEFLAALPPDIQTEVLAQQRAQRMVQQSQGQPVDMDNASIIATLPADLREEVLLTSSEAVLAALPSPLLAEAQMLRDRAMSHYQARSSVFGSSHRLNNRRNGLGYNRLTGMDRGVGVTIGQRAVSASADGLKVKEIEGDPLVNADALKSLIRLLRLAQPLGKGLLQRLLLNLCAHSITRANLVQLLLDMIRPEMETSPSELAITNPQRLYGCQSNVVYGRSQLLNGSLPPLVFRRVLEVLTYLATNHSAVADLLFYFDSSLLSQLSSRKPSVCEGKGKEKVTHVTDSRDLEIPLVVFLKLLSRPQLLQSTSHLALVMGLLQVVVYTAASRIEGWSPSSGVPEKLENKPVGEEASSETRKDGESELVGEAELSVARRKNCAEIYNIFLKLPQSDLCNLCILLGYEGLSDKIYSLAGEVLKKLAAVDVAHRKFFTKELSELASSLSASTVRELATLSSTQKMSHSTGSMAGASILRVLQVLSSLTSPIDDSNAGTERETEQEEQNIMQRLNVALEPLWQELSQCISMTELQLDHTAATSNVNPGDHVLGISPTSSLSPGTQRLLPLIEAFFVLCEKIHTPSMLQQDAIVTAGEVKESSAHGSSSKTCVDSQKKTDGSVTFSKFAEKHRRLLNSFVRQNPSLLEKSLSMMLKAPRLIDFDNKKAYFRSRIRHQHDQHISGPLRISVRRAYVLEDSYNQLRMRSPQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNDATFQPNPNSVYQTEHLSYFKFVGRMVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWLLENDVSDILDLTFSMDADEEKHILYEKTEVTDYELKPGGRNIRVTEETKHEYVDLVAGHILTNAIRPQINAFLEGFNELIPRELVSIFNDKELELLISGLPEIDFDDLKANTEYTSYTAGSPVIHWFWEVVKAFSKEDMARFLQFVTGTSKVPLEGFKALQGISGPQRLQIHKAYGAPERLPSAHTCFNQLDLPEYQSKEQLQERLLLAIHEASEGFGFA >fgenesh2_kg.1__460__AT1G05160.1 pep chromosome:v.1.0:1:1783291:1786818:-1 gene:fgenesh2_kg.1__460__AT1G05160.1 transcript:fgenesh2_kg.1__460__AT1G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-kaurenoic acid hydroxylase 1 [Source:UniProtKB/TrEMBL;Acc:D7KEB9] MAETTSWIPVWFPVMVLGCFVLNWLVKMVNVWLYESSLGENRQYLPPGDLGWPFIGNMLSFLRSFKTSDPDSFTSTLIKRYGPKGIYKAHMFGNPSIIVTTPDTCRRVLTDDDAFKPGWPTSTMELIGRKSFIGISFEEHKRLRRLTAAPVNGHEALSTYIPYIEENVITVLDKWTKMGEFEFLTHLRKLTFRIIMYIFLSSESENVMDALEREYTALNYGVRAMAVNIPGFAYHRALKARKTLVAAFQSIVTERRNQREQNILPNKKDMLDNLLNVKDEDGRTLDDEEIIDVLLMYLNAGHESSGHTIMWATIFLQEHPEFLQRAKVNEQEMILKNRPEGQKGLTLKETRQMEFLSQVVDETLRVITFSLTAFREAKTDVEMNGYLIPKGWKVLTWFRDVHIDPEVYPDPRKFDPSRWDNGFVPKAGAFLPFGAGSHLCPGNDLAKLEISIFLHHFLLKYQVKRSNPKCPVMYLPHTRPTDNCLARISYQ >fgenesh2_kg.1__4612__AT1G55890.1 pep chromosome:v.1.0:1:29568500:29569789:1 gene:fgenesh2_kg.1__4612__AT1G55890.1 transcript:fgenesh2_kg.1__4612__AT1G55890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KN35] MSSLSRVLRGTFNISTIRRFSSAATVTTAVSAAISPPQNSLTSIVNGERNPQRIVEKFKKACESERFRNNIAVYDRTVRRLVAAKRLHFVEEILEEQKKYRDMSKEGFAARIISLYGKAGMFENAQKVFDEMPDRNCKRSVLSFNALLSAYGLSKKFDVVEQLFNELPGKLSIKPDIVSYNTLIKALCEKDSLPEAVALLDEFENKGLKPDIVTFNTLLLSSYLKGQFELGEEIWAKLVEKNVPIDIRTYNARLLGLASEVKSKELVSLFEELKASGIKPDVFSFNAMIRGSINQGKMDEAESWYKEIVKHGYRPDKATFALLLPAMCKAGDFEFAIELFKETFSKRYLVGQTTLQQLVDELVKGSKREEAEEIVEIAKTNDFLKLKLILPSQEE >fgenesh2_kg.1__4613__AT1G55900.1 pep chromosome:v.1.0:1:29570116:29572717:1 gene:fgenesh2_kg.1__4613__AT1G55900.1 transcript:fgenesh2_kg.1__4613__AT1G55900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVLRSRLLPRLTKLRSRNLRCFSAEASSSNSSSRYPGVTSTQSMFSDFPPPNQPPPPPPPPVEASAAAATGKERKGLKYLGYTLLWALTGATAATGYASYAYTIDEVNEKTKAFRESAKKTPVIKSSGIDVIDKYQTKLYSAALTGSAKAIDKYLELREIVEEQVKGFTEPLSEKLLPDLHPSEQHVFTLVLDLNETLLYTDWKWERGWRTFKRPGVDAFLEHLGKFYEIVVYSDQMDMYVLPVCEKLDPNNYIRYRLGRGATKYENGKHYRDLSKLNRDPRKILFVSANAFESTLQPENSVPIKPYKLEADDTALVDLIPFLEYVARNSPTDIRPVLASFERKDVAKEFIDRSIEYQKRKQQQQGQGRFWRR >fgenesh2_kg.1__4615__AT1G55915.1 pep chromosome:v.1.0:1:29574557:29576459:1 gene:fgenesh2_kg.1__4615__AT1G55915.1 transcript:fgenesh2_kg.1__4615__AT1G55915.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7KN38] MNLGDLNKVWEIKALKKKPRADEARKILEKVANQVQPIMTRRKWRVKLLSEFCPKNPMLLGVNVNRGVQVKLRLRRVNHDGEFLSYHEILDTMLHELCHNAHGPHNANFYKLWDELRKECEELMSKGITGTGQGFDIPGKRLGGLSRQPPLSSLRATAATAAEKRVCAGTLLPSGPHRLGGDSSIMSDLSPIQAAAMAAERRLLDDIWCGSQSTEALEDEESDSDTCKEPVSIRETCMSMNAKSVKRCNSLSNANSCPPSSSLQGGSDVIDLTEESFEPRCAKRNCSPGDQGPSCAKDVPNSGFTKSSITLPSTSYNANQSREESTMWECAECTLLNPSLAPICELCTATKPKEKEMKHKVWSCKFCTLENEVKLEKCEACGQWRYSYGAPLSTGAPNVGT >fgenesh2_kg.1__4616__AT1G55920.1 pep chromosome:v.1.0:1:29578863:29580046:1 gene:fgenesh2_kg.1__4616__AT1G55920.1 transcript:fgenesh2_kg.1__4616__AT1G55920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtSerat2_1 [Source:UniProtKB/TrEMBL;Acc:D7KN39] MATCIDACRTGTTQDDDSRFCCIKNFFRPGFSLNRKIHHTQIDDDDDVWIKILEEAKSDVEQEPILSNYYYASITSHRSLDSALAHILSVKLSNLNLPSNTLFELFISVLEESPEIIEATKQDLIAVKERDPACISYVHCFLGFKGFLACQAHRIAHKLWKQKRKIVALLIQNRVSEAFAVDIHPGAKIGKGILLDHATGVVIGETAVVGDNVSILHGVTLGGTGKQSGDRHPKIGDGVLIGAGTCILGNITIGEGAKIGSGSVVVKDVPPRTTAVGNPARLIGGKENPRKHEKIPCLTMDQTSYLTEWSDYVI >fgenesh2_kg.1__4617__AT1G55930.1 pep chromosome:v.1.0:1:29582649:29585800:1 gene:fgenesh2_kg.1__4617__AT1G55930.1 transcript:fgenesh2_kg.1__4617__AT1G55930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLSVLGRSFIATRRNSSLTRLCIQSSNFSLRLLQRNKQRPLCILTNLSSSSFIRFRKGCDFSHRNQFVVLSATEDHVGSSQKHSDSSEKLDSIRILLKRGIVLGAVVCGVLLYGCGKVLASTNVVDVAFSKSVLLLKNAWPKTSQVLKVLREQGLILAVLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFRMLRSDVTRFLTTILIGTTVVNIAATALVTKAATAIFGEAGVSAATGVMTVVILLLTEITPKSVAVHNAQEVARIVVRPVAWLSLILYPVGRVVTYLSMGILKILGLKGRSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDGSGSLETIVVHFCGMNLYVSSVLQGKLLESTTVVDMAHKPAFFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRAEGIYDVDANTSIDQLSEELNIKMAEGHQYETVSGFVCEAFGYIPKTGESVTVVLEKENWEENDEQDEGKQERQDQKEKHQIYRLEVLAGNARKVSAVRFERVNDMDQVSEARDVKNMVPKFVRKWSSEEDSDGNLQAKNAVFDEHLIAETESMKKE >fgenesh2_kg.1__4621__AT1G56000.1 pep chromosome:v.1.0:1:29639475:29642174:1 gene:fgenesh2_kg.1__4621__AT1G56000.1 transcript:fgenesh2_kg.1__4621__AT1G56000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTISPAIRKQMSTVAVAMKVAVIGSGISGAVCASTLARNGVSVTIFDSGRGPGGRMSQRREIGEDGKELMFDHGAPFFCVGNSDAMALVHEWESRGFVSEWKQVFGSFDCAFNKFLGIQQEGDAKKYVGVPGMNSISKALCHESGVKTMFGTGIAKLEWLEEEIPWLLTDSKGDNLGRFYGVVASDKNIVSPRFTQVTGLPPPLDLSLVPELATKLQNIPVLPCFSLMLAFKEPLSSIPVKGLSFKNSEILSWAHCDSTKPGRSTDSERWILHSTPDYANSVIAKTGLQKLSSETLNKISEEMFKEFQCSGLVSSLPFFMKAHRWGSAFPAKSIAVEERCLWDRNRNLAICGDFCVSPNVEGAILSGLAATSKLLQTSSCL >fgenesh2_kg.1__4624__AT1G56020.1 pep chromosome:v.1.0:1:29649430:29650809:1 gene:fgenesh2_kg.1__4624__AT1G56020.1 transcript:fgenesh2_kg.1__4624__AT1G56020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACVKSAGVSPEKFSSYGWTSPRMSLTREDNTRSSSVDKQQSDPSPENQDPVVDFEFCLEDPVTMLSADELFSDGKLVPLKFSGPKTTTVSTTTTEPRGSPEVLKSCRRLEMEISDLFSPKAPRCTTRWKELLGLKRLVNAKAQESVKASSSSSPTNAKTSSFKQFLHRGSKSSTTTAAATATSPLQKESDISESISIASSRLSLSSSSSSSHEIDDLPRLSLDLDKPSPNPFAPSRTHSRNLNQPRIRLAKPRRHNHPPSTPSVDGSSSSSANIESRGLTVTADSPRLNASGKIVFHGLERSSSSPGSFTGGPRMKQHHGMPRSYSANVRITPVLNVPVCSLKSGLFFGQLFSSSSSSSSSSSSSPSPGNKSQLQSNGGKNRINRTRLEPKFEQLEK >fgenesh2_kg.1__4626__AT1G56050.1 pep chromosome:v.1.0:1:29666996:29669381:1 gene:fgenesh2_kg.1__4626__AT1G56050.1 transcript:fgenesh2_kg.1__4626__AT1G56050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Obg-like ATPase 1 [Source:UniProtKB/TrEMBL;Acc:D7KNV0] MARAACTSVVTALAFLPSTSLLCRNQLLFSGNSKFVGVLTLQKRCFASKVSMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDSRLQVLSKLSGSQKTVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDIIHVNGKVDPKSDIDVINLELIFCDLDQIGKRIERLKKGKAKDSQSKNKEEAEKSALERIQEALLDGKPARSVALSDLEMEVVKHLCLLTMKPMIYVANVAENDLAEPEKNAYVEEVKGLSSDLQSGHVVVSAQVESELTELPLEERTEYLNSLGVSESGLGNLIRATYSLLGLQTYFTSGEKETRAWTIHAGMTAPQAAGVIHSDFEKGFIRAETVAYEDFVSAGSLAAAREKGLLRSEGKEYIVKEGDVMLFRFNV >fgenesh2_kg.1__4627__AT1G56060.1 pep chromosome:v.1.0:1:29669711:29670305:-1 gene:fgenesh2_kg.1__4627__AT1G56060.1 transcript:fgenesh2_kg.1__4627__AT1G56060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYHQQHEMKQTMTETPYVTAPPPMGYPVMKKESPQTVQPPHQTQSKGTGGFLRGCLAAMCCCCVLDCVF >fgenesh2_kg.1__4629__AT1G56080.1 pep chromosome:v.1.0:1:29675791:29677715:-1 gene:fgenesh2_kg.1__4629__AT1G56080.1 transcript:fgenesh2_kg.1__4629__AT1G56080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSSGDFSLSDEILAVIPTDPYDQLDLARKITSMAIASRVSNLESQVSGLRQKLLEKDRLVRELEDRVSSFERRYHEADSTLKNVVDENMKLTQERDSLAITAKKLGRDFAKLEAFKRQLMQSLNDDNPSQTETADVRVVPRGKDENSNGLSAHGSYSNNQGLSEARQRQSMTPQFSPGFTPSGTPKILSTGASPRSYSAASSPKLFSGAASPTTSHYDIRMWSSSSQQSSVANSPPRSHSTSARHPRIDGKEFFRQARSRLSYEQFSAFLANIKELNARKQSREETLQKAEEIFGKENNDLYISFKGLLTSGR >fgenesh2_kg.1__4631__AT1G56090.1 pep chromosome:v.1.0:1:29680737:29682258:-1 gene:fgenesh2_kg.1__4631__AT1G56090.1 transcript:fgenesh2_kg.1__4631__AT1G56090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KNV5] MASGKVEKGHQLYRDGKYKEALLFFTEALMAAEAKPQKIALHSNRAACFLKLNDFNKAAEECTCVLELDQKHSGALSLRAQTLVTLKEYQSALFDVTRLMELNPDSKVYQNLEARLRTQLSLAPIPEDEEESDAEEQDTQDKKSRQVEFGVHERRDQRFESVVSIRRHIETLGEDADINKGEVVAPKTPEVREQNSKEVPLLSGKQSNAWQAIPKPKGHSTLDYARWDTVEDDSSEEEDDEDSDDSDESPPQYRFRVKTVGVRPVK >fgenesh2_kg.1__4632__AT1G56110.1 pep chromosome:v.1.0:1:29686201:29688706:-1 gene:fgenesh2_kg.1__4632__AT1G56110.1 transcript:fgenesh2_kg.1__4632__AT1G56110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYVLHESSSGYALLEVHGLDEIGQNTEAVRTSVSDLSRFGRVVQLTAFHPFESALDALNQVNAVSEGVMTDELRSFLELNLPKVKEGKKPKFSLGLAEPKLGSHIFEATKIPCQSNEFVLELLRGVRQHFDRFIKDLKPGDLEKSQLGLAHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFAMRVREWYSWHFPELVKIVNDNYLYARVAKMIDDKSKLTEDHIPMLTEALGDEDKAKEVIEAGKASMGQDLSPLDLINVQTFAQRVMDLADYRKKLYDYLVTKMSDIAPNLAALIGEMVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASAKNKGRIARYLANKCSIASRIDCFADGATTAFGEKLREQVEERLEFYDKGVAPRKNVDVMKEVIENLQKQDEEGKEPVDASVKKSKKKKAKGEEEEVVAMEEDKSEKKKKKEKRKMETAEENEKSEKKKTKKSKAGGEEETDDGHSTKKKKKKSKTAE >fgenesh2_kg.1__4638__AT1G56160.1 pep chromosome:v.1.0:1:29758980:29760050:1 gene:fgenesh2_kg.1__4638__AT1G56160.1 transcript:fgenesh2_kg.1__4638__AT1G56160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB72 [Source:UniProtKB/TrEMBL;Acc:D7KNW6] MGKGRAPCCDKNKVKRGPWSPQEDLTLITFIQKHGHQNWRSLPKLAGLMRCGKSCRLRWINYLRPDVKRGNFSKKEEDAIIHYHQTLGNKWSKIASFLPGRTDNEIKNVWNTHLKKRLITPSSSSISSTHDQSTKADHDKNCDGAQEEMHSGLKESQDSATSSHPQGECMHTKPELHEVNELNEIQFLLDHDDFDDITSEFLQDNEMLFPLDSLLHYHESHISTTCGVTQEVVTKSQSSDHPQLGRIPYGFEDTNGESNLWRQVVESTTHTPSLNNEYDEWFNFIDNQTYFDDFNFVGEVCP >fgenesh2_kg.1__4640__AT1G56170.2 pep chromosome:v.1.0:1:29760578:29761630:1 gene:fgenesh2_kg.1__4640__AT1G56170.2 transcript:fgenesh2_kg.1__4640__AT1G56170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSEEGQQQQGMMDYVPPHAYQSGPVNAASHMPFQQAHHFHHHHHQQQQQQQLQMFWANQMQEIEHTTDFKNHTLPLARIKKIMKADEDVRMISAEAPVIFAKACEMFILELTLRAWIHTEENKRRTLQKNDIAAAISRTDVFDFLVDIIPRDELKEEGLGVTKGAIPSVVGSPSYYYMQQQGMMQHWPQEQHHDES >fgenesh2_kg.1__4641__AT1G56180.1 pep chromosome:v.1.0:1:29761859:29763838:-1 gene:fgenesh2_kg.1__4641__AT1G56180.1 transcript:fgenesh2_kg.1__4641__AT1G56180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KNW8] MALSPSSPPCLRSLSPFSRRIGGFLVPRVQSLAFGSVRRHELRRPSALREWREYEDAVRRKDLAGALRFLKSIENDEERDAVESIVTAKLSGLGGLELERDWQVLDACLNADDMRLVGSAFRFLKERGLLANFGKFSSIVLEGTREVTPTVLKSATGLEVTKLSPKKWGLSGGSSIALAAFLGGVSYLLSQEIDVRPNLAVILGLAYMDSVFLGGTCLAQVSCYWPPHKRRIVVHEAGHLLVAYLMGCPIRGVILDPIVAMQMGVQGQAGTQFWDQKMESEIAEGRLSGSSFDRYSMVLFAGIAAEALIYGEAEGGENDENLFRSISVLLEPPLSVAQMSNQARWSVLQSYNLLKWHKAAHRAAVEALQVGSPLSIVIRRIEEAM >fgenesh2_kg.1__4643__AT1G56190.1 pep chromosome:v.1.0:1:29764027:29766489:1 gene:fgenesh2_kg.1__4643__AT1G56190.1 transcript:fgenesh2_kg.1__4643__AT1G56190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:D7KNW9] MASTAATAALSIIKSTGGAAVTRSSRASFLPIPSTAVSARRLGFSAFVDSRFSVHVASKVQSVRGKGTRGVITMAKKSVGDLTSADLKGKKVFVRADLNVPLDDNQNITDDTRIRAAIPTIKFLIENGAKVILSTHLGRPKGVTPKFSLAPLVPRLSELLGIEVVKADDCIGPQVESLVASLPEGGVLLLENVRFYKEEEKNEPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLAKAKARGVSLLLPTDVVIADKFAPDANSKIVPASAIPDGWMGLDIGPDSVKTFNEALDTTQTVIWNGPMGVFEFEKFAQGTEAVANKLAELSKKGVTTIIGGGDSVAAVEKVGVAGVMSHISTGGGASLELLEGKVLPGVVVLDEATPVTV >fgenesh2_kg.1__4644__AT1G56200.1 pep chromosome:v.1.0:1:29766688:29767894:1 gene:fgenesh2_kg.1__4644__AT1G56200.1 transcript:fgenesh2_kg.1__4644__AT1G56200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASIIQSSPLSFNSNNEKLRIHSSGSLGGIKLQNRVSPLGLSSGLGSRRQSLLICHSAINAKCSEGQTQTVTRESPTITQAPVHSKEKSPSLDDGGDGFPPRDDGDGGGGGGGGGNWSGGFFFFGFLAFLGLLKDKEGEEDYRGSRRR >fgenesh2_kg.1__4648__AT1G54330.1 pep chromosome:v.1.0:1:29777107:29778362:-1 gene:fgenesh2_kg.1__4648__AT1G54330.1 transcript:fgenesh2_kg.1__4648__AT1G54330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC020 [Source:UniProtKB/TrEMBL;Acc:D7KNX4] MAPMSLPPGFRFHPTDEELVAYYLDRKVNGRAIELEIIPEVDLYKCEPWDLPEKSFLPGNDMEWYFYSTRDKKYPNGSRTNRATRAGYWKATGKDRIVESKKMKMGMKKTLVYYRGRAPHGLRTNWVMHEYRLTHAPSSSLKESYALCRVFKKNIQIPKRKGEEEEAEEESTCVGKEEEEEKEKKWRKCDGNCIEDESLKRASAETSSSELTQGILLDEANSSSIFALHFSSSLLDDHDHLFSNYSHQLPYHPPLQLQDFPQLSMNEAEIMSIQQDFQCRDSMNGTLDEIFSSSATFPASL >fgenesh2_kg.1__4649__AT1G54340.1 pep chromosome:v.1.0:1:29785140:29788581:1 gene:fgenesh2_kg.1__4649__AT1G54340.1 transcript:fgenesh2_kg.1__4649__AT1G54340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:D7KNX5] MEFEKIKVINPVVEMDGDEMTRVIWKFIKDKLIFPFLELDIKYFDLGLPNRDLTDDKVTIESAEATLKYHVAIKCATITPDEARVKEFGLKKMWRSPNGTIRNILNGTVFREPIICRNIPRIVPGWTKPICIGRHAFGDQYRATDIIVNEPGKLKLVFEPSGSSQKTEFEVFNFTGGGVALAMYNTDESIRAFAESSMYTAYQKKWPLYLSTKNTILKTYDGRFKDIFQEVYEANWRSKYEAAGIWYEHRLIDDMVAYAMKSEGGYVWACKNYDGDVQSDFLAQGYGSLGMMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDSNAALLSYTEKLEAACMGTVESGKMTKDLALLIHGPKVRRDQYVNTEEFIDAVAWELRRRLLGNSRL >fgenesh2_kg.1__4655__AT1G54360.4 pep chromosome:v.1.0:1:29792569:29795265:1 gene:fgenesh2_kg.1__4655__AT1G54360.4 transcript:fgenesh2_kg.1__4655__AT1G54360.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA binding protein associated factor 6b [Source:UniProtKB/TrEMBL;Acc:D7KNX7] MVTKESIEVIAQSIGLSSLSPEVSAALAPDVEYRVLEVMQEAIKCMRHARRTTLMAHDVDSALHFRNLEVSSSSLLLPTSGSKSMRFKRAPENRDLYFLDDKDVELKNVIEAPLPNAPPDASIFSHWLAIDGIQPSIPQNSHLQAISDLKRSEYKDDGLAARQVLSKDLQIYFDKVTEWALTQSGSTLFRQALSSLETDPGLHPLVPFFTSFIAEEIVRNMDNYPILLALMRLARSLLHNPHVHIEPYLHQFMPSIITCLIAKSLGRKSSDNHWHLRNFTASTVASTCKRYGHVYHNLLPRVTRSLLHTFLDPTKALPQHYGAIQGMVALGLNMVRFLVLPNLGPYLLLLLPEMEPEKQKDEAKRHGAWLVYGALMVAAGRCLYERLKSSETLLSPPNSSVWKTNGKLTSPRQSKRKASSDNLTHQPPLKKIAVGGIIQMSSAQMQMHGTTTVPQQSLVGRDIARRTSAALGTDVDNYLFPLFEYFGESMLMFTPKHELSFFL >fgenesh2_kg.1__4656__AT1G54370.1 pep chromosome:v.1.0:1:29795221:29799308:-1 gene:fgenesh2_kg.1__4656__AT1G54370.1 transcript:fgenesh2_kg.1__4656__AT1G54370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:D7KNX8] MEELVISPVDHDPQGQVKQQQAAGVGILLQIMMLVLSFVLGHVLRRHRFHYLPEASGSLLIGLIVGVLANISDTETSIRTWFNFHEEFFFLFLLPPIIFQSGFSLQPKPFFSNFGAIVTFAIIGTFVASVVTGGLVYLGGSMYLMYKLPFVECLMFGALISATDPVTVLSIFQDVGTDVNLYALVFGESVLNDAMAISLYRTMSLVNRQSSSGEHFLMVVIRFLETFAGSMSAGVGVGFTSALLFKYAGLDTENLQNLECCLFVLFPYFSYMLAEGVGLSGIVSILFTGIVMKRYTFSNLSEASQSFVSSFFHLISSLAETFTFIYMGFDIAMEQHSWSHVGFILFSILFIGVARAVNVFGCAYLVNLFRQENQKIPMKHQKALWYSGLRGAMAFALALQSLHDLPEGHGQIIFTATTTIVVVTVLLIGGSTGKMLEALEVVGDDLDDSMSEGFEESDHRYVPPPFSIGASSDEDTSSSGSRFKMKLKEFHKTTTSFTALDKNFLTPFFTTNSGDGDGDGNGE >fgenesh2_kg.1__4657__AT5G48920.1 pep chromosome:v.1.0:1:29801129:29801871:1 gene:fgenesh2_kg.1__4657__AT5G48920.1 transcript:fgenesh2_kg.1__4657__AT5G48920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDFFPFYPPPSHQHPLPSPFPAPPHHPPPPSHIVPPSPPSSRPHYPPPPPSLFGPPPPPLPHSPPPPYPRPHQPPPPPHVLPPPPPPPGHHVIIVVVISLGSLFLLAFLAAALFCYLKKRRMSSTKTEIIKFDEHLKVQEVIVPGPHGEPTRVVMLEEDIHLEEDIHKTEKLSRANHLSSTGGHAIDISDPNHHFIENKA >fgenesh2_kg.1__465__AT1G05180.1 pep chromosome:v.1.0:1:1794501:1798730:-1 gene:fgenesh2_kg.1__465__AT1G05180.1 transcript:fgenesh2_kg.1__465__AT1G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-activating enzyme E1 regulatory subunit [Source:UniProtKB/TrEMBL;Acc:D7KEC1] MQPIKRSRKHVEEEPTMAEPKIKYDRQLRIWGEVGQAALEEASICLLNCGPTGSEALKNLVLGGVGCITIVDGSTVQIGDLGNNFMVDAKSVGQSKAKSVCAFLQELNDSVNARFIEENPDTLITTNPSFFSQFTLVIATQLVEDSMVKLDRICREANVKLVLVRSYGLAGFVRISVKEHPIIDSKPDHFLDDLRLNNPWPELKSFVETIDLNVSEPPAAHKHIPYVVILVKMAEEWALSHSGNLPSTREEKKEFKDLVKSKMISTDEDNYKEAIEAAFKVFAPRGISSEVQKLINDSCAEANSNSSAFWVMVAALKEFVLNEGDGEAPLEGSIPDMTSSTEHYINLQKIYLAKAEADFLAMEERVKNILKKIGRDPNSISKPTIKSFCKNARKLKLCRYRMVEDEFSNPSVTEIQKYLADEDYSGAMGFYILLRAADRFAANYNKFPGQFDGGMDEDISRLKTTALSLLTDLGCNGAVLPDDLINEMCRFGASEIHVVSAFVGGIASQEVIKLVTKQFVPMLGTYIFNGIDHKSQLLKL >fgenesh2_kg.1__4660__AT1G54390.2 pep chromosome:v.1.0:1:30071011:30073600:1 gene:fgenesh2_kg.1__4660__AT1G54390.2 transcript:fgenesh2_kg.1__4660__AT1G54390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARTGVYVDDYLEYASTFPAELQRLLNTVRELDERSQSLINQTRQQTKYCLGLASQSSKKGNGNHYNNGGLDEEETIEKMRKEIESSQENALSLCTEKVLLARQAYDLIDSHVKRLDEDLNNFAEDLKQEGKIPQDEPSVLPPLPIVPKPEKRKSFYGTPQSKKIDYRDREWDRDRDFELMPPPGSNRKDLMPIEEQPIDPNEPTYCVCHQVSFGDMIACDNENVSLYYETFLSFSCLDSAKEVNGFTIHALASHLRPDSKGNGIAPPAGSSHSHINIIPCDKVPMASSLAPSLHDQCHQSHLSCYASSSKCK >fgenesh2_kg.1__4663__AT1G54385.2 pep chromosome:v.1.0:1:30080381:30083101:1 gene:fgenesh2_kg.1__4663__AT1G54385.2 transcript:fgenesh2_kg.1__4663__AT1G54385.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNLNPILRQELANLDKDTESRKSAMKALKSYVKDLDSKAIPGFLAQVFETKETNSLSGEYTISLYEILARVHGPNIVPQIDTIMSTIVKTLASSAGSFPLQQACSKVIPAIARYGIDPTSKDDKKRVIIHSLCKPSYGFSTGLSREPYFRGCFVFEGSCGLVCQNVVVALDSNSNQTHLQMGLVMSLAKHNPLIVEAYARLLIHTGLRILGFGVSEGNSQKRLSAVQMLNFLMKCLDPRSIYSEVELIIKEMERCQSDQMAYVRGAAYEAMMTSKRIAGELESKLEKGCRSVTGSNYTRRNCSPIVNGHFPDDSLSPESQTLGSFSGYDSPVESSPISHTSCNSEFDRRSVNRKLWRPSQNGGGVDISLKDGLFSGVTKGNTTVSDSPLVPYDTCENGDEFEGFLMESLRNRRLHNTTPSPQRQRSRRINAEDFNIFSTPRKLISSLQYPDDVDLDHSDIQSPIPRSGREKTIGSRKNPQVRKQFSTMAETMSSTVKFSGYTSQTQMISGKKKKKMSYAKFVIAISFVVMVLFTTVILMVNQDDDVGYYTVPT >fgenesh2_kg.1__4665__AT1G54380.1 pep chromosome:v.1.0:1:30083372:30086193:1 gene:fgenesh2_kg.1__4665__AT1G54380.1 transcript:fgenesh2_kg.1__4665__AT1G54380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNSDSGHCIPAKTSVSRDGFEKESDLTKQLNSEIDPQASSSQTDAITMEDGAVSVPATVSAVLEDNRDLQQIKESSFFKESEQFGDDDVHKIEEVVDDTDGALQEQESEVQRLLEAEKRRLLAEIELGSIFRKRVDVDTLPKIEETMDSYVDKRSVKIDDTALVDVVTHPKRPGSAQNDKDAPRKHKKLGEKKPIDKINTFEVVEGSGSAKVCDFHNGGGENNGKQFRRVYTRKQLESMRFAHTVNQKILWSEMYARILPEVLTEYESLVYVKNYKSSKSNRVRGQTESCIGENLSTDEGAEDLTPEDYTDDNDDYNSILRPAFEVDGEPDFSIGPPEDGLEYLRRVRWEAKGIPNVRVAKIDESKYIKKEQSVYMPLIPEIPKCPEYLLPMKEWEDSLLSDFIHLRQTLSQSANSCEDEIVSSQCVDDLLVEIFNKHLHTEKDESFGGVVSDIQGMDSVTRVSKLKKRICLVEKESGLQSSDCKWVVALCASLETPLDADTCACLRGLLRKCASVRAEKSLEVGDEEVITMANMLITIAGRYFGQMGQ >fgenesh2_kg.1__4666__AT2G23840.1 pep chromosome:v.1.0:1:30096296:30098028:-1 gene:fgenesh2_kg.1__4666__AT2G23840.1 transcript:fgenesh2_kg.1__4666__AT2G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KNY6] MAHFSSRLKLLSSNDGLSFGVDSRDQFRQSLYMAGGGTPLVSIRRSRLKFCARIFSSYSFPYKRKKIRDFDNTEKNLGIDEDNDDWDFDGDDDVLETDDLSCFRGLVLDISYRPVNVVCWKRAICLEYMDKADVLEYYDQTVSSPTGSFYIPAVLRVPHLLQVVKRRRVKNSLSRKNILLRDDYTCQYCSSRENLTIDHVMPVSRGGEWSWQNLVAACSRCNSKKGQKTAEEAHMKLLKVPKEPKDYDIVAIPLTNAAIRMLRSNKGMPEEWRRYLAKPLTEP >fgenesh2_kg.1__4668__AT2G26230.1 pep chromosome:v.1.0:1:30102881:30105454:-1 gene:fgenesh2_kg.1__4668__AT2G26230.1 transcript:fgenesh2_kg.1__4668__AT2G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uricase [Source:UniProtKB/TrEMBL;Acc:D7KNY7] MAQEAHGIRLEQRHGKARVRVGRVWRHDHDGSHHFVEWNVSISLLSHCLSSYYRDDNSDIVATDTMKNTVYVKAKECGDRLSVEEFAILIGKHFCSFYPQVFTAIVSIIEKPWERVSIDGKPHLHGFKLGSENHTAEARVEKSGALNLTSGIGGLALLKTTQSGFERFIRDKYTVLPETGERMLATEVNASWRYSYESVASIPTKGLYFTEKFMDVKKVLVDTFFGPPETGVYSPSVQRTLYLMGSAVLKRFADVSSIHLKMPNIHFLPVNLSTKENPSMVKFKDDVYLPTDEPHGSIEATVSRITSKL >fgenesh2_kg.1__466__AT1G05190.1 pep chromosome:v.1.0:1:1799011:1800431:-1 gene:fgenesh2_kg.1__466__AT1G05190.1 transcript:fgenesh2_kg.1__466__AT1G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2394 [Source:UniProtKB/TrEMBL;Acc:D7KEC2] MASSLVSSFQPRSAFLGDRNVFKVSSTPFAQVGYSSKTIECKESRIGKQPIAVPSNVTIALEGQDLKVKGPLGELALTYPREVELTKEESGLLRVKKTVETRRANQMHGLFRTLTDNMVVGVSKGFEKKLILVGVGYRATVEGKELVLNLGFSHPVKMQIPDSLKVKVEENTRITVSGYDKSEIGQFAATVRKWRPPEPYKGKGVKYSDEIVRTKEGKAGKKK >fgenesh2_kg.1__4670__AT1G54410.1 pep chromosome:v.1.0:1:30242614:30243547:-1 gene:fgenesh2_kg.1__4670__AT1G54410.1 transcript:fgenesh2_kg.1__4670__AT1G54410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLINKIGDALHIGGGNKEGEHKKEEEHKKHVDEHKSGEHKEGIVDKLKDKIHGGEGKSHDGEGKSHDGEKKKKKDKKEKKHHDDGHHSSSSDSDSD >fgenesh2_kg.1__4673__AT1G54445.1 pep chromosome:v.1.0:1:30551819:30552277:1 gene:fgenesh2_kg.1__4673__AT1G54445.1 transcript:fgenesh2_kg.1__4673__AT1G54445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGKYYDCKQDGCITTPPCWRKCLSMGYPKGGECRTYSYGGVCCCELSSKPPN >fgenesh2_kg.1__4677__AT1G54470.1 pep chromosome:v.1.0:1:30618625:30622221:1 gene:fgenesh2_kg.1__4677__AT1G54470.1 transcript:fgenesh2_kg.1__4677__AT1G54470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIVHNLQFLDFSVNDISGLLPDNIGHALPNLVRMNGSNNGFQGHLPSSMGEMVNITFLDLSYNNFSGNLPRSFVMGCFSLKHLKLSHNKFSGHFLPRETSFTSMEELRMDSNLFTGKIGVGLLSSNTTLSILDMSNNFLTGNIPSWMANLSSLNMFSISNNFLEGTIPPSLLAISFLSLIDLSGNILSGALPSHVGGEFGIKLFLHDNNLTGPIPDTLLEKVQILDLRYNKLSGSIPQFVNTESIFILLLRGNNLTGPISSTLCHLRKIRLLDLSDNKLNGFIPSCLYNLSFGREDTNFMIGPAISKITPFKFYESTFVVEEFVVMSSTLQGIEIKFSTKRRYDSYFGATEFNNYVLDFMYGMDLSSNELSGVIPAELGDLSKLRVMNLSRNFLSSSIPSNFSNLKDIESLDLSHNKLQGRIPHELTNLSSLVVFDVSYNNLSGIIPQGRQFNTFDENSYSGNSLLCGPPTNRSCEAKKSSEESENGGGEEDVDEAPIDMLAFYFSTASTYVTVLIGIMILMSFDCPLRRAWLRIVDDSIASVKGMLP >fgenesh2_kg.1__4679__AT1G54500.1 pep chromosome:v.1.0:1:30672273:30672980:-1 gene:fgenesh2_kg.1__4679__AT1G54500.1 transcript:fgenesh2_kg.1__4679__AT1G54500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7KP11] MASATFSFCPLSQSHSHYSLIKPTPFLRYGKKLHHLHHHLFITISYPTHHSRYLAVSRDDATTPLASSDQTQETETQEVEDTIEKRRMEEKFAVLNTGIYECRSCGYKYDESAGDPSYPIPPGFQFDKLPEDWRCPTCGAAQSFFESKMVEIAGFAQNQQYGLGGNALTSGQKTGLIFGSLLLFFMLFLSGYFIQ >fgenesh2_kg.1__467__AT1G05200.2 pep chromosome:v.1.0:1:1802086:1806631:1 gene:fgenesh2_kg.1__467__AT1G05200.2 transcript:fgenesh2_kg.1__467__AT1G05200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7KEC3] MAKAIRVVLLCLSVLWVVPKECACRSNFSRNSSSTSSLPPLSQKPSSVNVGALFTYDSFIGRAAKPAFIAAMDDVNADQTVLKGIKLNIVFQDSNCSGFIGTMGALQLMENKVVAAIGPQSSGIAHMISYVSNELHVPLLSFGATDPTLSSLQYPYFLRTTQNDYFQMHAIADFLSYSGWRQVIAIFVDDECGRNGISVLGDVLAKKRSRISYKAAITPGADSSSIKDLLVSVNLMESRVFVVHVNPDSGLNVFSVAKSLGMMGSGYVWIATDWLPTAMDSMEHVDSDTMDFLQGVVAFRHYTIESSVKRQFIARWKNLRPNDGFNSYAMYAYDSVWLVARALDVFFRENNKITFSNDPNLHKTNGSTIQLSALSVFNEGEKFMKIILGMNHTGVTGPIQFDSDRNRVNPAYEVLNLEGTGPRTVGYWSNHSGLSVVHPETLYSKPPNTSTANQRLHGIIWPGEVTKPPRGWVFPNNGKPLKIGVPNRVSYTDYVSKDKNPPGVRGYCIDVFEAAIELLPYPVPRTYILYGDGKRNPSYDNLVNEVVADHFDVAVGDITIVTNRTRYVDFTQPFIESGLVVVAPVKEAKSSPWSFLKPFTIEMWAVTGAFFLFVGAMVWILEHRFNQEFRGPPRRQLITIFWFSFSTMFFSHRENTVSSLGRLVLIIWLFVVLIINSSYTASLTSILTVRQLTSRIEGIDSLVTSNEPIGVQDGTFARNYLINELNILPSRIVPLKDEEQYLSALLRGPKAGGVAAIVDELPYIEVLLTNSNCKFRTVGQEFTRTGWGFAFQRDSPLAVDMSTAILQLSEEGELEKIHRKWLNYKHECSMQISNSEDSQLSLKSFWGLFLICGISCFMALTVFFWRVFWQYQRLLPESADEERAGEVSEPSRSGRGLRAPSFKELIKVVDKREAEIKEILKQKSSKKLKTTQSAAGTSQSQHGEIT >fgenesh2_kg.1__4680__AT1G54510.1 pep chromosome:v.1.0:1:30675055:30679416:-1 gene:fgenesh2_kg.1__4680__AT1G54510.1 transcript:fgenesh2_kg.1__4680__AT1G54510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KP12] MEQYEILEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTQRTRRSAHQEMELISKMLHPFIVEYKDSWVEKACYVCIVIGYCEGGDMAQAIKKSNGVHFSEEKLCKWLVQLLMGLEYLHSNHILHRDVKCSNIFLTKEQDIRLGDFGLAKILTSDNLTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAYLKPAFKAFDMQALINKINKTIVAPLPAKYSGSFRSLVKSMLRKNPEVRPSASDLLRRPHLQPYVLDVKLRLNNLRRKTLPPQWPDSKRIMKRAHFSEPAVTCPAFGERQHSSLWNDRALNPEAEEDTASSIKCISRRISDLSIESSSKRTVICKQVASSASKVSKYPLAKSSVTSRRIMETGRRFEHLHPVSGGGTTSKIIPSARRTSLPLTKRATNQEAAAYNRTVGILQNVKSPEYSINEPQVDKIAIFPLAPYEQDIFFTPMQRKTSSKSSSVSDRSVTKDKCTVQTHTTWQGIQLNMVDNISDGSSSSDQNATAGASSQTTSSTTRRCRFDPSSYRQRADALEGLLEFSARLLQEGRYDELNVLLKPFGPGKVSPRETAIWIAKSLKENREKSKMVNLNVSREIPPVGFL >fgenesh2_kg.1__4682__AT1G54520.1 pep chromosome:v.1.0:1:30727007:30729690:1 gene:fgenesh2_kg.1__4682__AT1G54520.1 transcript:fgenesh2_kg.1__4682__AT1G54520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTFLELTPFQWNQPLPYMKRPHHRSVLLYAKPQQRSNSIRLQISVKYKQSTNSDSDLRSNSNPFEQIAIQVKKALDSLKKPAIAAVLLGLLLFYDPNSALAASGGRIGGNSFSSRSRSSSSSSQSYSVPRTSSPSFSYSARTAPYYGPSPFGGGLMGPAVGFGFGGFSSFSLILVGFAAFVLVSGFLSDRSQDDSILTDTQKTSVIKLQVGLLGLGRTLQQDFNRLAESADTSTPEGLSYVLTEATLALLRHPDYCISCYSSVDVKPSIEKGEKRFNQLSIEERGKFDEETLVNVNSIKRQSSKIKKASGFSNEYIVVTILVAAEGIHKLPPINGTTDLKEALLKLGSIPRNKIMAVEVLWTPQNEADALSERELLEDYPLLRPL >fgenesh2_kg.1__4686__AT1G54575.1 pep chromosome:v.1.0:1:30773321:30773982:1 gene:fgenesh2_kg.1__4686__AT1G54575.1 transcript:fgenesh2_kg.1__4686__AT1G54575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHHADRVVARVEKSVNLVIGHRQTQRLAGSTEHERNQMDYKGTSSNEGKTKGKYTTKKIPKWKHNDSGNKIGQKENNEPPTMIVAGGWVRPNRAMWASPPNKPHNNN >fgenesh2_kg.1__4688__AT1G54580.1 pep chromosome:v.1.0:1:30819885:30821391:1 gene:fgenesh2_kg.1__4688__AT1G54580.1 transcript:fgenesh2_kg.1__4688__AT1G54580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:D7KPX4] MASIAASASTSLQARPRQLAIAANQVKSFSYGRRSHLSFNLRQLPTRLTVSCAAKSETVDKVCAVVRKQLSLKEADEITAATKFAALGADSLDTVEIVMGLEEEFGIEMAEEKAQSIATVEQAAELIEELLLEKAK >fgenesh2_kg.1__4690__AT1G54600.1 pep chromosome:v.1.0:1:30822939:30823992:1 gene:fgenesh2_kg.1__4690__AT1G54600.1 transcript:fgenesh2_kg.1__4690__AT1G54600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIFYICKTLVYLKLARVSFDDVEFVSLPLLKTMHLKDNMYPSEATFERLVSSCPLLEELKIVGCLNHNAKVFRLCFLSIYDYLSESFRIINMDSNAKLDISLAFPSMRKNMRSFLLGISKVRDMTICSKTVKLIHEYVTSEPCPNLKSLILKWEDSSKYLEVMNSF >fgenesh2_kg.1__4697__AT1G54640.1 pep chromosome:v.1.0:1:30840568:30841491:-1 gene:fgenesh2_kg.1__4697__AT1G54640.1 transcript:fgenesh2_kg.1__4697__AT1G54640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQQSSHLISLHKDGLYLLDLNETTLSKLDLPFTLPAKTEPACILHCRGMMCLTLKDNNDLAIWKPGSDEFKRIPMVIRGQTTNLLGFGYDRISDDYKIVTIIGFKTYIYAFKESCWRESVRETSLHCKFKDRTGTVVDHCMYWIPDRSHTKNPRRVPRAIITDVRRVEIQFMVRGSKRRAMCYRSLPIKGKKIMHWNLDPWTVNENVKAFNIFNVTRFKKFDVGFAYIARNEELFIVVNGNGKGEDKFMVYNERQEEFTEVPIGGSLEGFRCMSIYDNRF >fgenesh2_kg.1__469__AT1G05205.1 pep chromosome:v.1.0:1:1806587:1807735:-1 gene:fgenesh2_kg.1__469__AT1G05205.1 transcript:fgenesh2_kg.1__469__AT1G05205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETRPVPRRESPWGLPEGHREPKAHRCNDRVEDVVQAFFEGNPFKTVPGPFKLFYRCMRSKPGEEPTEPFKYLDLEPPKREVKLEEAKLE >fgenesh2_kg.1__46__AT1G01830.3 pep chromosome:v.1.0:1:164416:168249:1 gene:fgenesh2_kg.1__46__AT1G01830.3 transcript:fgenesh2_kg.1__46__AT1G01830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVTMGKFGAMAEEQQVNQMIMDKQSAEEWLSRVHSLIPSVLSKAKTVKNFAGRWKTIISKIEQIPACLSDLSSHPCFSKNKLCNEQLQSVAKTLSEVIELAELCSTEKYEGKLRMQSNLDALSGKLDLNLRDCMVLIKTGVLGEATLPLYISSSSETPKISSLKELLARLQIGHLESKHNALESLLGAMQEDEKMVMPLIGRANVAALVQLLTATSTRIREKAVNLISVLAESGHCDEWLISEGVLPPLVRLIESGSLETKEKAAIAIQRLSMTEENAREIAGHGGITPLIDLCKTGDSVSQAASAAALKNMSAVSELRQLLAEEGMVRVSIDLLNHGILLGSREHMAECLQNLTAASEGLREAIVSEGGVPSLLAYLDGPLPQEPAVTALRNLIPSVNPEIWVALNLLPRLTHVLKSGSLGAQQAAASAICRFTCSPETKRLVGESGCIPEMVKLLESKSNGCREAAAQAIAGLVTEGRIRRELKKDGKSVTNLVMLLDSNPGNTAKKYAVAGLLGLSGSEKSKKMMVSYGAIGYLKKLSEMEVIGADKLLEKLERGKLRSFFHR >fgenesh2_kg.1__4700__AT1G54710.1 pep chromosome:v.1.0:1:30898902:30903164:-1 gene:fgenesh2_kg.1__4700__AT1G54710.1 transcript:fgenesh2_kg.1__4700__AT1G54710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNSKINNGDNHNQTKTNGTNGFLPNSLKFISTCIRTASSGVRSASASVAASLSSDSHELKDQVLWSSFDRLHTSESSFKNVLLLGYTNGFQVLDIDDASDVTEFVSRRDDPVTFLQMQPLPAKCDGVEGFRSSHPILLAVADEAKGSGPIVTGRDGSVRNGYEDPLALSPTVVRFYSLRSHNYVHVLRFRSTVYMVRCSPRIVAVGLGSQIYCFDALTLENKFSVLSYPVPQLGNQGISGVNVGYGPMAVGPRWLAYASNSPLSSSIGRLSPQNVTPPGVSPSTSPSNGNLVARYAMESSKHLAAGLLNMGDKSFKTISKYCQDLKHDGPGPSLSSSPGRKVGRLASHSAESDVVGTVIVKDFESRAIIAQFRAHTSPISALCFDPSGTLLVTASIHGNNINVFRIMPSHTKNGPGAQSYDWSSSHVPLYKLHRGMTSAVIQGICFSSYSQWIAIVSSKGTCHIYVLSPFGGENVLEIRNSQFDGPTLAPTLSLPWWSSPSFMTTHFSYPPPASVTLSVVSRIKCNNFFHAASSVVGKPTFPSGCLAAVFHQSVPQESQSSSHALDYLLVYTPSGHVVQYKLIPSLGGDQAESNTRNGAPSVLTSEEELRVKVEPVQCWDVCRRADWPEREENICGLTYGGRNIAEQTVDTSDSEDLTKPLEKHHVYLANAEVLINSGRKPIWQNSEISFYPMFPPDSDGKNLNSHQGGGETEIGKVSANEVDIRRKDLLPVYDNFHSVYTSMRNRGFSAERDSDSTSSSDPGQVKEIHPFNGMAYPEDVESRGSAHFALSPNQNPHTGIVTFKQPVVSISSAVKDTDYIDDAHLLPKNASLPAETRIENSSGISGDSNVSSNRSDMSMNAADEGEGPIDGSPNFEQFFKEVISNETVTETEHKDAPSDGKLDDDDEDDMLGGVFAFSEEGKKNPSHIP >fgenesh2_kg.1__4702__AT1G54730.2 pep chromosome:v.1.0:1:31001129:31029798:-1 gene:fgenesh2_kg.1__4702__AT1G54730.2 transcript:fgenesh2_kg.1__4702__AT1G54730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEIDEANLAPETSLIEKENQDSSATTTTTLLLTTFVAVSGSFVFGSAIGYSSPVQSDLTKDLNLSVAEYSLFGSILTIGAMIGAAMSGRIADLIGRRATMGFSEMFCILGWLTIYLSKVAVWLDVGRFLVGYGMGVLSFVVPVYIAEITPKDLRGGFTTVHQLMICLGVSVAYLLGSFIGWRILALIGLVPCVIQMMGLFIIPESPRWLAKVGRWEEFEIALQRLRGESADISYESNEIKDYTQRLTNLSEGSILDLFQPKYAKSLFVGVGLMVLQQFGGVNGIAFYSSSIFESAGFSSKIGMIAMVVVQIPMTTLGVVLMDKSGRRPLLLISATGTCIGCFLVGLSFSLQFVKLLSGDASYLALAGVLVYTGSFSLGMGGIPWVIMSEIFPIDIKGPAGSLVTVVSWVGSWIISFTFNFLMNWNPAGTFYVFASVCGATVIFVAKLVPETIGRTLEEIQYSIGYVEL >fgenesh2_kg.1__4706__AT5G34828.1 pep chromosome:v.1.0:1:31093587:31093745:-1 gene:fgenesh2_kg.1__4706__AT5G34828.1 transcript:fgenesh2_kg.1__4706__AT5G34828.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KPZ0] MMVSTCASTVEMCVKHCVPNQCMKVSKKATLPLCENACKKFCNQNKFADMKFY >fgenesh2_kg.1__4708__AT1G54790.2 pep chromosome:v.1.0:1:31097244:31100253:-1 gene:fgenesh2_kg.1__4708__AT1G54790.2 transcript:fgenesh2_kg.1__4708__AT1G54790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFYVILYFIMYIQNSNSIDFDYPSAFNFGDSNSDTGDLVAGLGIRLDLPNGQNSFKTSSQRFCDGRLVIDFLMDEMDLPFLNPYLDSLGLPNFKKGCNFAAAGSTILPANPTSVSPFSFDLQISQFIRFKSRALELLSKTGRKYDKYLPPLDYYSEGLYMIDIGQNDLAGAFYSKTLDQVLASIPSILETFEAGLKRLYEEGGRNFWIHNTGPLGCLAQNIAKFGTDSTKLDEFGCVSSHNQAAKLFNLQLHALSNKFQAQFPDSSVTYVDIFSIKSNLIANYSRFGFEKPLMACCGVRGAPLNYDSRITCGQTKVLDGISVTAKACNDSSEYINWDGIHYTEAANQFVSSQILTGKYSDPPFSDQMPFFLSLKF >fgenesh2_kg.1__470__AT1G05210.1 pep chromosome:v.1.0:1:1807857:1808902:1 gene:fgenesh2_kg.1__470__AT1G05210.1 transcript:fgenesh2_kg.1__470__AT1G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALGKLIDGALFVYFASMAIIAPLIDGQTSLPSGIYPAFLTDLKSKYIADFGDYLLMEKPHFLVGLVWHELLFLWPLSVANVYAILAGKSWFGTTCLLYGASVVTSMAAILGEMIGSGKASDRLLMMYVPFMGFGILAVLRGLVCRSTKNTGSVGKRSTIMPRRKLA >fgenesh2_kg.1__4714__AT1G54830.1 pep chromosome:v.1.0:1:31133595:31134825:1 gene:fgenesh2_kg.1__4714__AT1G54830.1 transcript:fgenesh2_kg.1__4714__AT1G54830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGQSSAMNYGSNPYQTNAMTTAPTGSDHPAYHQIHQQQQQQLTQQLQSFWETQFKEIEKTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAVTRTDIFDFLVDIVPREDLRDEVLGGVSAEAATAAGYPYGYLPPGTAPIGNPGMVMGNPGAYPPNPYMGQPMWQQQGPEQQDPEN >fgenesh2_kg.1__4717__AT1G54840.1 pep chromosome:v.1.0:1:31135119:31136745:-1 gene:fgenesh2_kg.1__4717__AT1G54840.1 transcript:fgenesh2_kg.1__4717__AT1G54840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENSQEQEENVLISLDIEEDKLFLFHFIIGTYFGPDLRKHHRPKQSAFQIQASKNVVVDELSGSLMKRAELERVYYHILRNVDPSLIMKSKKLREYFNGKRNVSNRDYPFFADLFPRKLHPETHVSHKFKFIRSIVFINDPDTSCMREECVARFKRLTGLDSFALSLSVDITEANGVAVSEVKDEIDEGVEPCLEVPITCAGNDNAGTCTNGEESDVGAKPEAISEAQGGLMVGLMDIGECDDAYLFRVSLPGVNRDERDFSCEVEDNGKVLVRGVTTTGGKRVQRYSQVFEMQTRNLCPPGHFSVSFRLPGPVHPQEFSGNFGEDGILEGIVMKNLQKQTV >fgenesh2_kg.1__4722__AT1G54920.2 pep chromosome:v.1.0:1:31219528:31223922:1 gene:fgenesh2_kg.1__4722__AT1G54920.2 transcript:fgenesh2_kg.1__4722__AT1G54920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLDFFFSPSPTVDTSTGNNGEGDDWGEFVVSSDADRNGADPSPNRIESEKKSQANYVNSRGPVPLSVFGEEEEDDAESGASVPSFGFSFDSFSSKHNDGNGSVNRVVNSNTNPTVGISGLIANLYRENGHSDLNNPGNSNGFNVDLSSSNRKIENSAVSLETMSWNPLNLGTERSEKASNVVNSSTLGVTLDPNYSDFGFAEKNDDDLDGWEFKTAESMFGTPDGSYKEERENAKSESVVVQNTSIWSSYTNGTGPNFDTDKVDAVKLVSERENRDDDPWDNDGWEFKVAEAGEPKNDLTNKESNGWEFGFGFEPISKIETINSFQSNVEKETQKMENGSISFPSNGDVNSGGTSWAFKQPSLETGNEKEEKELQTGKPKGVLPLSFFEDEKSETSDTLVHEDKFILASDFPVREKTKAPSPTVSISDLISSLYSQVEEKIAVNISEKSATDSNVVNSEDDSWEFQGPKKPITDSGIAEGADDFDSTWEFQGPSPALKNSDVTEGVDEFDDDSWEFQGPKQLVKDTTSRIGDNGSWEYTHSSVENEDGNRSSFPNGFGELHEKPVIRIEPSDYQDLFHKLKIDLYHIALNHLEKLKEARDLAADSDEVLEVQKCDSEIEDLQNLLNDDVLISGVNLESLQPRSSGSTELIKVIQEPKFRPLDSEDLLTERLLSAEKDWKSTIELLKHTTLTLKILNLGSPEQQSKHASTWFEIASACAQELRHAASIWKQVIKYDVQEEILSKPQGKSYALSVGEIYRVVKILRASTRLYKPWILLSPTSSNVLAVLDECVKLWLSSGLVEALLNGHDDSADQLLESIKYINEVDASTLHTCITSATSPTCYISGLNTDIVTGIKTVEWNGEHYLLPLANLWANLISLDPPNLPGHHFPLLS >fgenesh2_kg.1__4725__AT1G54950.1 pep chromosome:v.1.0:1:31314036:31314698:-1 gene:fgenesh2_kg.1__4725__AT1G54950.1 transcript:fgenesh2_kg.1__4725__AT1G54950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KQ12] RRPNGFHQFSSHLPPRTSISLSVAFGYSLSTRAAFSKQEDESFLVATNDQGSSMIVVEDLVKTRSLQALVDGSKVVVNEEVPKRRSTKSKLFSKLQKLASFYYI >fgenesh2_kg.1__4726__AT1G54970.1 pep chromosome:v.1.0:1:31413485:31414697:1 gene:fgenesh2_kg.1__4726__AT1G54970.1 transcript:fgenesh2_kg.1__4726__AT1G54970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITRTSFAICLLLSLATIATADYYAPSSPPVYTSPVNKPTLPPSVYTPPVQKPTLPPPVYTPPAHKPTLSPPVYAKPTLPPPAYTPPVYTKPTLQAPVYTPPVYKPTLSPPVYTKPTLSPPVYKPTLPPPVFTKPTLSPPVYKPTLSPPVYTKPTLSPPVYKPTLSPPVYTKPTLHPPVYKKSPSFSPPPPYVPKPTYTPPTKPYVPEIIKAVDGIILCKNGYESYPIQGAKAKIVCSEMVSYGKNKNEVVIYSNPTDSKGYFHVALTHIKNLSHCRVKLYTSPVETCKNPTNVNKGLTGVLLSMYSDINLKLFNVGPFYFTGSKAAPATPKY >fgenesh2_kg.1__4727__AT1G54990.1 pep chromosome:v.1.0:1:31425999:31428153:1 gene:fgenesh2_kg.1__4727__AT1G54990.1 transcript:fgenesh2_kg.1__4727__AT1G54990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIITEEEEEPKTLNPPNNKAKDSDFTKSESTMKNPKPQSQNPFPFWFYSTLIVSLATIIFISLSVFSSQNDPRSWFLSLPPALRQHYSDGRTIKVQVNPNEPPIEVFVAESGSIHTENVVIVHGLGLSSFAFKEMIQALGSKGFHGVAIDLPGNGFSDKSMVVVGGDREIGFVARVKEVYGLIQEKGVFWAFDQMIETGDLPYEEIIKLQNSKRRSFKAIELGSEETARVLGQVIDTLGLAPVHLVLHDSALGLASNWVSENSQSVRSVTLIDSSISPALPLWILNVPGIREVLLGFSFGFEKLVSFRCSKEMTLSQIDAHRILLKGRNGREAVVASLKKLNHSFDMAQWGNSDGINGIPMQVIWSSEGSKEWSDEGQRVAKALPKAKFVTHSGSRWPQESKSGELADYISEFVSLLPRSTRRVTEEPIPEKVQKVLKEAKAGGDHDHHHGHGHAHAGYTDAYGLGEEFTT >fgenesh2_kg.1__4728__AT1G55000.1 pep chromosome:v.1.0:1:31467347:31468704:1 gene:fgenesh2_kg.1__4728__AT1G55000.1 transcript:fgenesh2_kg.1__4728__AT1G55000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding LysM domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KQ29] MALSCRDTLILIFQKLTVADLARASCVCKVWNSVATEDDLVVSAFTAPWRIKELVGRPASASFWRDNGIWKFAISHRILRGDSVTSLAVKYSVQVMDIKRLNNMMSDHGIYSRDRLLIPISNPGILANATCYVELDKYAKREVAVLYLEGAPKREQPVPGMNQPSNLSADGKRRLIESLRRSMQVDDGTALYYLAIAEGDPRSALSEFSADLRWERQAGLN >fgenesh2_kg.1__472__AT1G05220.2 pep chromosome:v.1.0:1:1809404:1811227:1 gene:fgenesh2_kg.1__472__AT1G05220.2 transcript:fgenesh2_kg.1__472__AT1G05220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALGKLINISLFFFFALMAINVPLLNGQILFPEIYPKLLTDLKDWYSSEFNDYLFTEKPLFFVGLVWHEIIFLLPLSIVNIYAILTSKSWFGTTSLLYGASFLTSMAAILGDMIGSGKVTNKLLLAYLPFVGLAILAMLRGLVFCSTKRSTVLARRKLA >fgenesh2_kg.1__4730__AT1G55020.1 pep chromosome:v.1.0:1:31498878:31503601:1 gene:fgenesh2_kg.1__4730__AT1G55020.1 transcript:fgenesh2_kg.1__4730__AT1G55020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:D7KQ31] MIGELVDLLTGGGNETKKVKGTVVLMKKNVLDFNDFNASFLDRLHEFLGNKITLRLISSDVTDSENGSKGKLGKAAHLEDWITTITSLTAGESAFKVTFDYESNFGYPGAFLIKNSHFSEFLLRSLTLEDVPGHGRVHYICNSWIYPAKHYTKDRVFFSNKTYLPHETPATLLKYREEELVSLRGTGEGELKEWDRVYDYAYYNDLGVPPKNPRPVLGGTQEYPYPRRGRTGRKPTKEDPQTESRLPITSSLDIYVPRDERFGHLKMSDFLAYALKAIAQFIQPALEAVFDDTPKEFDSFEDVLKIYEEGIDLPNQALIDSIVKNIPLEMLKEIFRTDGQKFLKFPVPQVIKEDKTAWRTDEEFAREMLAGLNPVVIQLLQEFPPKSKLDSESYGNQNSTITKSHIEHNLDGLTVEEALEKERLFILDHHDTLMPYLGRINTTPTKTYASRTLLFLKDDGTLKPLVIELSLPHPNGDKFGAVSEVYTPGEGVYDSLWQLAKAFVGVNDSGNHQLISHWTQTHASIEPFVIAANRQLSVLHPVFKLLEPHFRDTMNINALARQILINGGGIFETTVFPSKYAMEMSSFIYKNHWTFPDQALPAELKKRGMAVEDPEAPHGLRLRIKDYPFAVDGLEVWYAIESWVRDYISLYYKTEEDIQSDTELQAWWKEVREEGHGDKKSEPWWPKMQTREELVESCTIIIWVASALHAAVNFGQYPVAGYLPNRPTISRQFMPKENTPEFEELEKNPDKVFLKTITAQLQTLLGISLIEILSTHSSDEVYLGQRDSKEWAAEKEALEAFAKFGEKVKEIEKNIDERNDDETLKNRTGLVKMPYTLLFPTSEGGVTGRGIPNSVSI >fgenesh2_kg.1__4731__AT1G55030.1 pep chromosome:v.1.0:1:31504769:31506114:-1 gene:fgenesh2_kg.1__4731__AT1G55030.1 transcript:fgenesh2_kg.1__4731__AT1G55030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KQ32] MNNRSVVTDKISQLPEALILQILCLLPTKVAITTSVLSKKWQSHWKMMPKLKFDSFLRRLDLENVCKSLLSHKAPVLQSFGLKVRLDGRNNAVDIGILIGIAMTRNLRKLVLEVYFHRGSFAFPRSLYNCETLETLKLILNVVMDVPSSVSLKSLRTLHLLAVDFKDDESVINLLSGCPNLQDLVMRRNSSSSVKTFTIVVPSLQKLAIHNGSGRQQHWGYVINTPSLKYLKLEGSKAFESFMIENVPELMEVHITDVSEIIDEKLLVFLTSVKRLSLALTPTVFTFPTGIIFNQLVYLEVYTNKKEWWKLLPLVLHSSPKLQVLKLIDDIDWMNFVEASGEWNQPKNVPECLLFHLETFMWEGYKWKREEEVEVAKYILKNTNRLKKAMFSLKCISSEERLVVVKDLKNVVRATTNSCQFQFI >fgenesh2_kg.1__4732__AT1G55035.1 pep chromosome:v.1.0:1:31603687:31604845:-1 gene:fgenesh2_kg.1__4732__AT1G55035.1 transcript:fgenesh2_kg.1__4732__AT1G55035.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KQ37] MSLNPNTRAEVRRNQYKVAVDAEEGRRRREANMVEVRKIKREESLMKKRREVLNEFSGLVDTKLESLSDMVAGVWSDDIALQLKSTTQIRELLSRARDPPIDEVIDSGVVPRFVEFLKKEDNPNIQYEAAWALTNIASGTSDHTKVVVDHNAVPIFVQLLASSPSDDVRELAVWALGNVSADSSQCRDYVLRCGALVPLLNQL >fgenesh2_kg.1__4733__AT1G55040.1 pep chromosome:v.1.0:1:31625220:31629382:1 gene:fgenesh2_kg.1__4733__AT1G55040.1 transcript:fgenesh2_kg.1__4733__AT1G55040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISLLLIKRISHFHINPKSRRLFQPFLTKSLSTSPQSNTDSTLEPNHKPSSLSSRLSFVFDQIDAIEKRHSEKDETLERIRAWRQSKQTHQSKIPSSAPQQQDPDPKEVESVVSNEELDSCVVVESSQIDSGELSKEKGVVELVHPWPEWMELMERLLKQNYFDHRRERDDDEMVNSLGIDVSNVGLGEEENLGVALFQDFRAVQNACINFGKDRFDILRSLSRNDIQILVGHGCPATDRKVVFSGKLLRKRVHLDEGDVCSSCSLRNSCEKAFLLTNKEDEARTIDLMRILFTYGFDPLNDTVANKNLLKKKSVKTVVRKLLHEIVKLSAVPIDPNLTPPVIKRAPPKVKQPPPPPKRRVGRDDVEMKKGDWLCPKCDFMNFAKNTICLQCDAKRPKRQLLPGEWECPECNFLNYRRNMACFHCDCKRPADAILENKTQDTHRFTEAQTDRVVKRDDVSNAWNFDFDDDESDGAEVAAFEYADSSKKNENLLREGLRDPEEEFGNLPPGARESSEFGRSRRPGVGFDDFDDEDDIDSYEIDESGEREVPVEGARSSFASDEFSEDEKFPESKAGFNAHRGGSSNLHNSRKKHGNSKGGFSRDDELSFSSDDEVSANPRWKSSHVASTQRGPPSRKLTFGSDEEFGLDSDLEDDSPRSSLRRGERNNGARGFKGKRSSYSASESDDDMDDQKYRGSSFSGNRSRGSRGRMRGGGRGGFNDNFASDSYRGSSGSSANRSRGRGGRTGSRRSSFDSDDEDYRGSSSSASRSRGNREDSGGFGGRMGSRRGGFDEFDRKSSRGRGSGSFRGSNRGGRGGGNRHGHSDGKDTDVGDFRNSRRVIER >fgenesh2_kg.1__4735__AT1G55050.2 pep chromosome:v.1.0:1:31639823:31643605:1 gene:fgenesh2_kg.1__4735__AT1G55050.2 transcript:fgenesh2_kg.1__4735__AT1G55050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEESNPMEDSCDEEFVCGDPKVDVRVGDEYQVEIPPMISESQRAALLLNPLDSDSSCSFAVGLPVEVMWIDTKCRDRDGLGSDNIDMNESLKSLKTKRSRRGGSDGNPGSKQHMNLEVVPGKSSSSWEDLEVDGFVLGLYTFGKNFAQVQKLLESKETGDILLFYYGKFYESAKYKIWSNSLKKRSRRCIQGKKLYSDWRLQLLLSRLIRNIPDELKEQKLVDVSKSFAEGKKSLEEYINAVKELVGLRYLLEAVAIGKDKEDLTVLTIEPENAKQWFTVSSAVPAGLGEYSSLTVEGIIEKLTGCSRLIKGRYNDIFWDAVWPRLLHRGWRSEQPKDRSYIKSKDHIVFLVPGVKKFSRRKLVKHDHYFDSISDILKKVVSEPELLEETAEIRAPDGIIQENTCNQSKQEKHCYLRSPNSSSTHMKFTVVDTSRLASGGNLFKCRELRIPNPEYLASQSMACRGDNNSSVERFQTMPLEVHKRKWERPRKMKQVDEPMKFMILDTSVEQGGHSSGIRRRRHLPGDENPCKIRKCIPKEAFGESSQHQSRSNKGVKGKHPMGTDSGLGEETLENVQQGRSKKIKQRYSRISESNNHHSVPLPKRRRLSTCVRKDIKRSGESSVLKPPALHVDSMNLKTDQLEETENIEIQEEPESEPNGFCPISETVHELSSPAQQKEPNGLCSKDQGGLHELSSSEQQQDESNRLGLDKKCSSKDLETAQKQEQPIQLPPKNKNSPSTDHGTTQERASLEQQEEEHNQQTDTDIPRRQSTRKRPLTTRALEALESGFFTPKSMKTTTSKPRKRKRSANIKHSANASNKTPRESDNGYLVKEATTNKPLDLDQIKDSEPSFLVDKVTTTSKHVEQIEDSKKVTTEFPKLPPIVLKLPFKRG >fgenesh2_kg.1__4736__AT1G56210.1 pep chromosome:v.1.0:1:31648402:31650014:-1 gene:fgenesh2_kg.1__4736__AT1G56210.1 transcript:fgenesh2_kg.1__4736__AT1G56210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPETKKTEQKQKQSSQIKEDLPPVTIPPLPLPYKSCSLKVSIHCEGCKKKVKKILTSIEGVYKVDIDVKQHKVTVIGIVSPEILLKKLHKAGKNAELLPEIPDPVENKQKTVDPKEKNKKKKNEENVQRTEEATSAGTDKPEKTEVGKSDKPESEKTDAGECCSGDGCEVAPVKEKKDVLKEKDSVKEESPSPPADSAAPVAEKKAEETGGGGNGKVGKKKKKKGQNLNTTNNPTDGPARTQSLPLPTTTDYDRPFNQINDHHIITNNNPPRHDMYPYPAAGYYAPQVMYGVSYNVAQPPVSVDAASYYTPPPPYSYAYMHNGYQPSDQNPYQPRPSDSFELFSDENPNGCSVI >fgenesh2_kg.1__4738__AT1G56220.3 pep chromosome:v.1.0:1:31682698:31684043:1 gene:fgenesh2_kg.1__4738__AT1G56220.3 transcript:fgenesh2_kg.1__4738__AT1G56220.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KQ43] MGLLDHLWDDTVAGPRPENGLGKLRKHHTFSFRPSSGNDQPEAGSARSYGEDSLPEEAVKVTRSIMIVKPPGYQGGSAPASPASPAGSTPPLSPFSPPLSPFSANAGGKEPFRFRRRSTSDAFVKAAGGSETGPRS >fgenesh2_kg.1__4741__AT1G56230.1 pep chromosome:v.1.0:1:31696893:31699914:-1 gene:fgenesh2_kg.1__4741__AT1G56230.1 transcript:fgenesh2_kg.1__4741__AT1G56230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLERSEFADGVAARSLSEISEVDAVRIGTDIVSSARRLIALLRSVGDCQWLHHPPVIAEAIRRYDELWMPLISDLTVGLKPPMILPPLDVEWVWFCHCLNPVSYMDYCEKRFSKLIGKPAIYDEENEDYAVLQCEKIWSLRYPLESFENRADPDSLETVSSVNEDIKSLVEKQKCLWEKFSAPYMSETVYLIAARLRYKGFLLILHKFKDEVSSLIPASDILLMWLTHQSYPTVYKDDVDEVLEEMTRKVVQVGEKIEKTEVETTKELWDRYFNQPYEKAGGELRIIANESGLSNNTVFYWPVSDLDVNTAYKSIRPRFVLELCIFLRLNPKAERSDSMDRSFLRLRVARCHRKLQLDKKMTELSRDASWQKAWHLYCEFGTLGFVLESHCDRPRGICFKSGKPEGMIEFPWNDLLRAHSLASGRFLGKQVSVFASVTPPVQAPYLLRFVPDRVTDDSGAMISDSVQRTNNFRPQEGRWLTRTVLDHAGRECFVIRIRVGKGVFKRGGEVPSPVKSEERITEIRVGSWSYVEGSIGKAPAKVVGTVTPKEPVEDWEDAWEFSTGDELCIRWDSSGAISELGLYSRNPGSLVRLLTGRRMQYKGEDEEDDEGFVTVVRSTEEDPTEKATALIDWKHQAVEFLPEEDAVFVLLLSVSILRSVIQKRREDVGKLLVRKRITEATGERDWGSVIVDASSTNVSSSSSPYVEPWYRNSGKVMAMEEKTSQVARYPYPVMSYSNIDGGDNLYKHVIFG >fgenesh2_kg.1__4743__AT5G40480.1 pep chromosome:v.1.0:1:31955092:31964563:-1 gene:fgenesh2_kg.1__4743__AT5G40480.1 transcript:fgenesh2_kg.1__4743__AT5G40480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3012 [Source:UniProtKB/TrEMBL;Acc:D7KAY4] MVRFSFCFFFLLLLLSAGEISSQLGSGPHITDVNILLPPKMKNPVDYRLQGSDGCFKWSWDHHDILSVIPEFNSSSRCSTSARLRSISPYSGRKETAVYGTDIQTGMVIRCKVFIDNFSRIQIFHNSIKLDLDGLSLLRVRAFDNEENEFSSLVGLQFMWKLMPESGGSTHHLAHVPLKESPLTDCGGLCGYLDIQKKLADSGVFADLFVVKGTKIGHEKVSVHLLEDPLTHISDEIVLTVAEAMSLEPRSPVYVLMGASFGYTLKVMRENVPQAVHLPSPHHRWSVLNTSVAQVDSLIGLTKGLSLGLTTVVVEDTRVAGHIQGSSINVVTPDTLILYISPWSMSGDLITESKPFPSSMHWYVVSGRQYLIQMKIFSGRPDAHEIYITETDDIKLYGKESHYWKIFSLPDELSSEYGQQNSRILNAMSPGLGELTATLTYFSGHQESKEVLKIVQEIMVCEKVQFTLNSKDDTPKILLPWTPAVYQEMELIVTGGCAKASSDYKWFTSDMSIFSVSAYGIIQAKRPGIATVKVVSTFDSQNFDEVIVEVSIPSSMVMLQNFPVETVVGSHLKAAVTMKASNGASFSRCDAFNSLIKWKTGSDSFVIVNATSEMMMWDELRSMDSSPPCSRASIYTSSPGRTVLQATVAKEFHYFDKSLSESIDLKATLSIGAYLPLSVRQDSDGNHHGGYLFDKAQEETDFGVSKLYLVPGTYVDVMLLGGPERWDDNVEFTETVKTLYEDEEDLTSRVNVHHEFDRHANIYRISCQKLGSYKLVFLRGYLVGMDHPVPAVAEALLSVHCSFPSSVVLIVDEPVNKLDVIRAASQADRAPGRLRVTPVTVANGQIIRVAAVGISEFGEAFSNSSTLSLRWELTSCNNLAYWDDDYNSKMTKSSWERFLALRNESGLCTVRATVSGIDYSYSTPLPQGSQSTLTDAVRLQLVSTLRVTPEFNLVFFNPNAKVNLSMTGGSCLWEAVVNNSRVAEVIRPPSGLQCSQMMLSPKGLGTTLVTVYDIGVSPRLSALALIKVADVNWIKIASGDETSIMEGSSHSIDLLTGIDDGMTFDSSQYPLMDIMVHIEDDLVEHVTVDDNSLSVGEHVGTSSFKIAARRLGITTLYVSARQQSGDKVLSQTIKVEVYSPPRLHPQGIFLVPGASYVLTVVGGPTMNVSVDYTTVDSEVAKVEKSGRLYATSPGNTTIYATIYGSEGTVVCQAIGNAEVGLPAAAMLVAQSDTVAVGHEMPTSPLFPEGDLLSFYELCSAYKWTIEDEKVLIFIASSINVEENAAGFINVVQGRSAGKTRITIAFSCDFVSPGLYSESRTYEASMILSVVPDLPLSLGAPMTWVLPPFYTSSGLLPSSLEPQKHRDGQSHRGNRVYSILKDCSSPADFERDTISINGGSVKTTDSNNVACIQAKDRTSGRIEIAACVRVAEVAQIRMKSEGIPFHVIDLAVGGELELPINYYDTLGIPFLEAHGVITYNAETNHRDVVYIKTVNDQPSAYIKGIKHGKALIRVSIGGKNLRKSDYVLVSVGAHIFPQNPVIHTGNLLNFSIAGADHEVSGQWVTSNRSVLSVNVASGQAKAISQGSTHGNINLSFLLWFFNLPCDEMFSTGQSVEIDVSYETGESLVASSKDGYSFLFKILWCVLVWAISGIISMKVIGSRIATNSGTAGPGTPERRSGAVIYHEESPRTPSPFMEYVKRTVDETPYYRREGRRRFNPQNTM >fgenesh2_kg.1__4744__AT1G56260.1 pep chromosome:v.1.0:1:32088871:32090307:-1 gene:fgenesh2_kg.1__4744__AT1G56260.1 transcript:fgenesh2_kg.1__4744__AT1G56260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRIEPGVPITLQELYPSSQFYKEAVSLRVTGMLSGYSVETAIGVIEDGGKSLKINTQNLRDISFRVGSIYQFIGELHIEPNNEAILQARTGRNVDGIDMNLYRKTVELLRQFLKEEGNSNMVE >fgenesh2_kg.1__4747__AT1G56300.1 pep chromosome:v.1.0:1:32114556:32116036:-1 gene:fgenesh2_kg.1__4747__AT1G56300.1 transcript:fgenesh2_kg.1__4747__AT1G56300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEGGGSNNRSSYYNVLGIRKDASVSDIRTAYRKLAMKWHPDRYARNPGVAGEAKRRFQQIQEAYSVLNDENKRSMYDVGLYDPLEDDDDDFCDFMQEMISMMNNVKDEGESLEDLQRMFTDMVGGDGVNFDCNNNPKASKRPRVNVSRTSAAMR >fgenesh2_kg.1__4750__AT5G37070.1 pep chromosome:v.1.0:1:32133321:32134626:-1 gene:fgenesh2_kg.1__4750__AT5G37070.1 transcript:fgenesh2_kg.1__4750__AT5G37070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQILNKVGSYWLGQKANKEFNSVGDDFNSLSSSIEGGTKWLVNKLKGKMQKPLPDLLKEFGLPVGIFPQDATNYEFNEDTGKLTVFIPETCEVGYRDSSVLRFSTTVTGYLEKGKLAEVEGLKTKVMIWVKVTCISADTSKVYFTAGIKKSRNRDAYEVIRPGVGVDKF >fgenesh2_kg.1__4751__AT1G56345.1 pep chromosome:v.1.0:1:32153982:32155355:-1 gene:fgenesh2_kg.1__4751__AT1G56345.1 transcript:fgenesh2_kg.1__4751__AT1G56345.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:UniProtKB/TrEMBL;Acc:D7KAZ8] MSLLRNLFSFSISTNSILTKPYFAISTKAMEATSELNLHYPKPISPPPPPISKDIELRRAMEASSKSSLFNLTRDHVLYEDEYLMAVNKPKGVYCEAVLRSAPQIVLDSSSEFHLANRLDRDTSGVMIITKSHKVAAKLVKAFTEHKIRKSYIALCIGSSPNWRKITVSSGHGRSKHGAWRVYAALDVGRVLPGGSFVRDMETTFEVVSVNGVETESCEVENVIVVEREQELSCAGDDVVVVRAFPRSGRTHQIRLHCQYLGISIRGDVKYHGMYEWRGRTFEGHELHAECLSLDHPVTDDPIVIRAPLPCWATRDESCNG >fgenesh2_kg.1__4752__AT1G56350.1 pep chromosome:v.1.0:1:32165595:32168236:1 gene:fgenesh2_kg.1__4752__AT1G56350.1 transcript:fgenesh2_kg.1__4752__AT1G56350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLIIRRSRSRFINGIKTRTIFSSCSSLSFPDSSSSVLVSRRIFNSTPASSSFGFNSGSSFVSTTRSLNSEAVAVAATCDGLTVERIIANQWPILDENESDWKSHAAAISQSIQVIKRRLQWKKLLVRLKVLSAELNKPDLWNDPIHAGKISREHGSLTGKMKGVMTFERELLEHIDMLKLAKEENDTELESETLKAMMDMRRVSKEKELEALLSAENDPCSCYIEVQAGAGGTESNDWASMVMEMYKTWAQRRKFSVTVVDEAAGEIAGIKRATIKVNGEYSYGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVIPILGDGSTRVQINDSDLRIERFRSGGAGGQHANTTDSAVRIIHIPTGITATCQNERSQHMNKASAMAVLQSRLDQLEMARQTAMNAQHTQSLTEISWGNQIRTYVLHPYRMVKDLRTNYEVSDPDSVLEGDLDGFILSFLSSSLDKDDPEH >fgenesh2_kg.1__4753__AT1G56360.1 pep chromosome:v.1.0:1:32168636:32177955:-1 gene:fgenesh2_kg.1__4753__AT1G56360.1 transcript:fgenesh2_kg.1__4753__AT1G56360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7KB00] MKNLVIFVFLFLSITTVINGGITSEFVRQALPSIEMSLDTFPSPDGYNTPEQVHLTQGDHDGHGMIVSWVTPLNLAGSNIVTYWIATNGSDIKPAKKRAHASTKSYRFYDYASGFLHHATINGLEYDTKYIYEVGTDKSVRQFSFTTPPKIGPDVPYTFGIIGDLGQTYASNETLYHYMSNPKGQAVLFAGDLSYADDHPNHDQRKWDTWGRFMEPCAAYQPFIFAAGNHEIDFVPNIGEPHAFKPYTHRYPNAYKASQSTSPLWYSVRRASAHIIVLSSYSAYGKYTPQYIWLEQELKNVNREETPWLIVMVHSPWYNSNNYHYMEGESMRLMFESWLVNSKVDLVLSGHVHAYERSERISNIKYNITNGLSSPVKDLSAPIYITIGDGGNIEGIANSFVDPQPSYSAYREASFGHAVLEIKNRTHAQYTWHRNQDNEPIAADSVMLLNRHFFPGEEIVSSNIRV >fgenesh2_kg.1__4758__AT1G56420.1 pep chromosome:v.1.0:1:32272781:32274674:-1 gene:fgenesh2_kg.1__4758__AT1G56420.1 transcript:fgenesh2_kg.1__4758__AT1G56420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSVIKPEDVLEHLMNDGTIDALRLRIINQLKGNEELKSTTIKMAEESKVLNTPGAEKQTKRELFDALRQELEGPVLEKASKSVWDLILEKDGLGKEINETVERVFCHLSGQEPPFYSSSNVEKTPMEIEKDTEMKENNSSKTKPKKRSLSEVNSSDGIDEVATTKKKQGDSATVTLESKKTP >fgenesh2_kg.1__4759__AT1G56423.1 pep chromosome:v.1.0:1:32309751:32311766:1 gene:fgenesh2_kg.1__4759__AT1G56423.1 transcript:fgenesh2_kg.1__4759__AT1G56423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRRRRVQFILFAIGLIALSMTAEKCRELVGQEAASKSGQFTFLNCFDMSSGTLACAVKEGVKLYFYNIRSIHVEKARNVAIEKALHEALVNSMPAKEAAKEVQRAGEKAAKLASRQAKRIIGPIVAAGWDFFEALYFGGTLTEGFLRGSGTMVGAYSGGYVGEQRFGRFGYLVGSTLGNWVGARVGLMVYDVVNGVNFFLESSQSGEIYKGQSTYESPADQSTFESPKDQSTSESPEDQSTYESPQDRPENQSTYETSSDEL >fgenesh2_kg.1__4760__AT1G56430.1 pep chromosome:v.1.0:1:32323474:32324472:1 gene:fgenesh2_kg.1__4760__AT1G56430.1 transcript:fgenesh2_kg.1__4760__AT1G56430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCQDDQLVNKICHLYEKISKLETLKPCEDVDTLFKQLVSTCIPPNPNIDVTKMSENIQEMRSNLIKICGEAEGYLEHHFSSILTSFEDNPLHHLNLFPYYNNYLKLSKLEFDLLKQNLNGSVPKTVAFIGSGPLPLTSVVLASSHLKDSIFHNFDIDPSANLVAARLVSSDPDLSQRMFFHTVDVMDVTESLKGFDVVFLAALVGMDKKEKVKVIEHLEKHMSPGALLMLRSAHGPRAFLYPIVEPCDLQGFQVLSVYHPTDEVINSIVISRKLGGEDVGNGVVHDHIDQASDLACNCSKIHVIMNKKKSIIEEFAGANEEQLT >fgenesh2_kg.1__4761__AT1G56440.1 pep chromosome:v.1.0:1:32324988:32327920:-1 gene:fgenesh2_kg.1__4761__AT1G56440.1 transcript:fgenesh2_kg.1__4761__AT1G56440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPNKHGRDQTQGFLNDLQDWELSLKDKDKKIKQQRDNSPNPSSENFRPSGSGQYDFVKNYHSVRDLSSSLIGESLLDSNSEKEQGNEFFKQKKFNEAIDCYSRSIALSPNAIAYANRAMAYLKIKRYREADVDCTEALNLDDRYIKAYSRRATARKELGMIKEAKEDAEFALRLEPESQELKKQYADIKSLLEKEIIEKATGAMQSTAQELLKTSGLDKKIQKPNTETLKPVTLGTKTNRDMGQPVPGSKESSGKKLIENIQPEGKSIEGSKKIPAIMENLDSKKVTPRVQSYEKEVKSSDRNGTQPSGQGNQVSKKLELKPSVQELAAHAASLAMSEASKNIKAPKSAYEFENSWRSFSGDLALQTQLLKVMSPSTLPQIFKNAMTSPVLVDIIKCVASFFTEDMDLAVKYIENLTKVPRFNMLVMCLTLTEKNELLKLWEDVFCNKATPMEYAEVLDKLRSRYCLKQ >fgenesh2_kg.1__4762__AT1G56450.1 pep chromosome:v.1.0:1:32329190:32332527:1 gene:fgenesh2_kg.1__4762__AT1G56450.1 transcript:fgenesh2_kg.1__4762__AT1G56450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta [Source:UniProtKB/TrEMBL;Acc:D7KB13] MTTLTVPIDNGDSVKIAEEESQRTLYPYVTGTSIVAIKYKDGVLMASDMGGSYGSTLRYKNIERVKAIGKHSLLGASGEISDFQEILRYLDELTLNDNMWDDGNSLGPKEIHNYLTRVMYNRRNKFNPLWNTLVLGGVKNGKSYLGMVSMIGVSFEDDHVATGFGNHLARPILRDEWHADLSFEDGVKLLEKCMRVLLYRDRSAINKLQIAKITEEGVTVSQPYSLKTFWEFSSFHNPTAGAEGSW >fgenesh2_kg.1__4763__AT1G56460.1 pep chromosome:v.1.0:1:32336078:32339406:1 gene:fgenesh2_kg.1__4763__AT1G56460.1 transcript:fgenesh2_kg.1__4763__AT1G56460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILGGIGFSNTNSTTKKKRSTTLRRPWNERQLQDSSSLPSTPITDNYLWRMSLLLYCHKRSNQVRQGGGHSTASTEGFLVPCKRKDSIDTTERRAGFDEHTIKKVKLKLGGSSKTINVVSASDGASDIGLCSTKSSHASDDVLGIGRTNQEISNERSTKERGTPWDTASKADSCNDTRVSKTNTNPIRKSNRISKRRVLDEELDSLDDDDEEIQFLKRMKMAKVVAVEDVDDDEERSRKHKKLSKVMKQIVEFPRGVGTSEKSAKKDKMGKAFDTDYVKDDDDEEEEEAVSDIELENKSVKTRRRGAEEGQSEIKTEMTVTTRRRSGHSGNLIEFPRGLPPAPPRKRKENGLEVDQQLKKAEAAQRRKLQVEKAARESEAEAIRKILGQDSSRKKKEDKIKKRQEDKAKEKAADSIARRSDTVKWVMGPSGTIVTFPEELGLPSIFNSTPHSYPPPRERCAGPECTNPYKYRDSESNLPLCSLRCYKAIKG >fgenesh2_kg.1__4766__AT1G56540.1 pep chromosome:v.1.0:1:32458589:32467082:1 gene:fgenesh2_kg.1__4766__AT1G56540.1 transcript:fgenesh2_kg.1__4766__AT1G56540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KB38] NFPFPFVRDNEAKMIEKIACDVSDKLNVTPSRDFDGMVGLEAHLRKMESLLDLDNDGAKIVGISGTAGIGKSTIARALHNALSTRFQHNCFMDNLHESNKIGLVDYGLKLRLQEQLLSKILNLDGIKIGHSGVIQERLHDQRVLIILDDVESLDQLEALANIMWFGPGSRVIVTTENKEILQQHGISDIYHVGFPSSREALMIFCLSAFRQISPPGGFMDLAVEVAKLCGNLPLALHVLGSSLRGKNYSDWIEELPRLQTCLDGRIESVLKVGYESLHEKDQALFLYIAVFFNYEHADHVTSMLAKTNLNVRPGLKILPGLKILANRHLIHIGHGAKGEVVMHRLLQVMARQVISKQEPWKRQILVDNQEISYVLENAEGNGSIVGISFDVGEINKLTLSARAFERMHNLFLLKVYDRWLTGKRQLHIPEEMDFLPPLSLLRWDAYQRKTLPRRFCPENLVELHMPDSQLEKLWDGTQPLLNLTKMNFRGSSCLKKLPDLSNASNLERLDLYECIALVELPSSISNLRKLNYLETNLCRSLQVIPTLINLAFLKEIKMMGCSRLRSFPDIPTNIINLSVMETTVAEFPASLRHFSLLKSFDISGSVNLKTFSTHLPTVVVTELHLDNSGIESITDCIRGLHNLRVLALSNCKKLKSLPKLPSSLKWLRANYCESLERVSEPLNTPNADLDFSNCFKLGRQARRAIFQQWFVDGRALLPGRKVPALFDHRARGNSLTIPNSASYKVCVVISTEFDHQAKDSTIVSRLLCRCRVIGNLVNSTDVKFVLSDICKYRMEHLFIFHITNPMPFFYPSSREIVLEFSSIYQDFDIIECGVQILTDETDGNKNGGSGDEDDLWYIHEFSESLDKEEKDNDSVAKSESCGVSDKDDEEGNKTVSGEASKDKDEDIADDSDSESISKKHPRKRTMIIATTNLKKWILCLLLFFLFSFAFVKVSIYFDLL >fgenesh2_kg.1__4767__AT1G56550.1 pep chromosome:v.1.0:1:32501702:32506957:-1 gene:fgenesh2_kg.1__4767__AT1G56550.1 transcript:fgenesh2_kg.1__4767__AT1G56550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KB40] MAQQNQRPISNRSISLLNRNGLLLLLLLALFVILGVFLPLTGSSLFLSPDTTSSSLSPSSSLSVSDWSDYSLAQAVKFVAKNETVIVCAVSYPFLPFLNNWLISISRQNHQEKVLVIAEDYATLYKVNEKWPGHAVLIPPALDPQAAHKFGSQGFFNLTSRRPQHLLNILELGYNVMYNDVDMVWLQDPFQYLQGSHDAYFMDDMIAIKPLNHSHGLPPRSRSGVTYVCSCMIFLRSTDGAKLLMKTWVEEIQAQPWNNTQAKKPHDQPAFNRALHKTANQVDVYLLPQSAFPSGGLYFKNETWVNETKGKHVIVHNNYIIGYERKMKRFQDFNLWLVDDHALESPLGILKIYQEQNTTTEGKNQTKTVRKQRKNRGKKH >fgenesh2_kg.1__4769__AT1G56560.1 pep chromosome:v.1.0:1:32547168:32549810:1 gene:fgenesh2_kg.1__4769__AT1G56560.1 transcript:fgenesh2_kg.1__4769__AT1G56560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIYLLRKISTKTPSRFHRSLFFSTFSKESKPDSSRTNSIRHLSSQRFIPSSIYRFPQSKILPNRKFSTSVETNSSDKSFERIHVQSGAILERIHKIEEEETVSKVNVETERVVREESEAEKEAWRILENAVVRYCGSPVGTVAANDPGDKMPLNYDQVFIRDFVPSALAFLLKGEGDIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVALDENTTEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDFSLQERIDVQTGIKLIMNLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQSLFYSALRCSREMLSVNDSSKNLVRAINNRLSALSFHIREYYWVDIKKINEIYRYKTEEYSTDATNKFNIYPEQIPPWLMDWIPEQGGYLLGNLQPAHMDFRFFTLGNFWSIVSSLATPKQNEAILNLIEAKWDDIIGNMPLKICYPALEYDDWRIITGSDPKNTPWSYHNSGSWPTLLWQFTLACMKMGRPELAEKALAVAEKRLLADRWPEYYDTRSGKFIGKQSRLYQTWTVAGFLTSKLLLANPEMASLLFWEEDYELLDICACGLRKSDRKKCSRVAAKTQILVR >fgenesh2_kg.1__476__AT1G05240.1 pep chromosome:v.1.0:1:1825677:1828452:-1 gene:fgenesh2_kg.1__476__AT1G05240.1 transcript:fgenesh2_kg.1__476__AT1G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7KEC8] SKCPDAERIVRRVTEQYVSRKPSLAASLLRMHFHDCFVRGCDGSVLLKTPKNDAERNAIPNLTLRGFEVVDAAKTALEKKCPNLVSCADVLALVARDAVAVIKGPWWPVPLGRRDGRISKLTDALQNLPSPFADIKTLKKNFADKGLNAKDLVVLSGGHTIGISSCALVNTRIYNFTGKGDFDPSMNPSYVRALKKKCSPTDFKSVLEMDPGSAKKFDPHYFTAVAQKKGLFISDSTLLDDLETKLYVQTANEVTFNKDFSDSMVKLGKVQILTGKNGEIRKRCAFPN >fgenesh2_kg.1__4772__AT1G56590.1 pep chromosome:v.1.0:1:32577406:32580026:-1 gene:fgenesh2_kg.1__4772__AT1G56590.1 transcript:fgenesh2_kg.1__4772__AT1G56590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7KB49] MLQCIFLISDSGEVMLEKQLTGHRVDRSICAWFWDQSISQGDSFKLLPVIASPTHYLFQIVRDGITLLACSQVEMPPLMAIEFLCRVADVLSEYLGGLNEDLVKDNFIIVYELLDEMIDNGFPLTTEPSILREMIAPPNLVSKMLSVVTGNASNVSDTLPSGAGSCVPWRPTDPKYSSNEVYVDLVEEMDAIVNRDGELVKCEIYGEVQMNSQLSGFPDLTLSFANPSILEDMRFHPCVRFRPWESHQVLSFVPPDGEFKLMSYRVKKLKNTPVYVKPQITSDAGTCRISVLVGIRSDPGKTIESITLSFQLPHCVSSADLSSNHGTVTILSNKTCTWTIGRIPKDKTPCLSGTLTLETGLERLHVFPTFKLGFKIMGIALSGLRIEKLDLQTIPPRLYKGFRAQTRAGEFDVRL >fgenesh2_kg.1__4773__AT1G56600.1 pep chromosome:v.1.0:1:32585336:32587657:1 gene:fgenesh2_kg.1__4773__AT1G56600.1 transcript:fgenesh2_kg.1__4773__AT1G56600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KB50] MAPEINTKLTRPVLSATAYGGEKRAYVTFLAGTGDYVKGVVGLAKGLRKAKSKYPLVVAVLPDVPEDHRKQLVDQGCVVKEIEPVYPPENQTEFAMAYYVINYSKLRIWKFVEYSKMIYLDGDIQVFDNIDHLFDLPNGQFYAVMDCFCEKTWSHSPQYKIGYCQQCPDKVTWPEAELIGPKPPLYFNAGMFVYEPNLSTYHSLLETVKVVPPTLFAEQDFLNMYFKDIYKPIPPVYNLVLAMLWRHPENIELDQVKVVHYCAAGAKPWRFTGEEENMDREDINMLVKKWWDIYNDESLDYKNVVIGDGHKKQQTLQQFIEALSEAGVLQYVKAPSAA >fgenesh2_kg.1__4776__AT1G56630.1 pep chromosome:v.1.0:1:32660549:32664119:-1 gene:fgenesh2_kg.1__4776__AT1G56630.1 transcript:fgenesh2_kg.1__4776__AT1G56630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7KC39] MVQPENYFVLDPREATVMDLMRLLLYSDLENRKFVDTSVENLENRLCEIGGRWIIFVSIVVQKLLIILRKPLSFLGFALGFWLNLPSSNGGFFKIFLNLVRDFDQTRSTEVIVIRDTKENSNLIIVSFRGTDPFNADDWCTDLDLSWHDVMNVGKIHGGFMKALGLPKEGCLGGALAILFTAVLMMHDEKKMLERLEGVYTFGQPRLKEFDVKYKRYVYCNDMVPRLPFDDKTLMFKHFGDCLYCNSFYKGKVEEEEPNKNYFNIFWVIPKILNAVWELIRSFIISCWQGKEYREGWLLTCFRLVALLIPGLPAHFPNEYVNVALLGNFPPHVPDSQNLHADDLNVSLLRN >fgenesh2_kg.1__4777__AT1G56650.1 pep chromosome:v.1.0:1:32696869:32698397:-1 gene:fgenesh2_kg.1__4777__AT1G56650.1 transcript:fgenesh2_kg.1__4777__AT1G56650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSKGLRKGAWTAEEDSLLRQCINKFGEGKWHQVPVRAGLNRCRKSCRLRWLNYLKPSIKRGKLSSDEVDLLLRLHRLLGNRWSLIAGRLPGRTANDVKNYWNTHLSKKHESCCKTKMKKKNITPIPTTPALKINVYKPRPRSFSVNNDCNHLNGPPEVDVNPPCLGLNNNNVCDNSITYNKDEKKDQLVNNLIHGGNMWLGSFLEESQEEDMLVPEATTTEKEDTLAFDVDQLWSLFDGETVKFD >fgenesh2_kg.1__477__AT1G05260.1 pep chromosome:v.1.0:1:1836652:1838258:1 gene:fgenesh2_kg.1__477__AT1G05260.1 transcript:fgenesh2_kg.1__477__AT1G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7KED0] MNYLRAISLSLSFFLVGMVGPIQAQLQMNFYANSCPNAEKIVQDFVSNHISNAPSLAAALIRMHFHDCFVRGCDGSVLINSTSGNAERDATPNLTVRGFGFIDAIKAVLEAQCPGIVSCADIIALASRDAVVFTGGPNWSVPTGRRDGRISNASEALANIPPPTSNITNLQTLFANQGLDLKDLVLLSGAHTIGVSHCSSFTNRLYNFSGRGDQDPALDSAYAANLKSRKCPSLNDNKTIVEMDPGSRKTFDLSYYQLVLKRRGLFQSDSALTTNPTTLSNINRILTGSVESFFSEFAKSMEKMGRINVKTGSAGVVRRQCSVANS >fgenesh2_kg.1__4782__AT1G56660.1 pep chromosome:v.1.0:1:32731522:32733212:1 gene:fgenesh2_kg.1__4782__AT1G56660.1 transcript:fgenesh2_kg.1__4782__AT1G56660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KC42] KTQELDPKEKGENVEVEMEVKAKSSEKVKTKNGEKSGEKSKKKKEKEKTKDKKDESEVKEDKDDKHDKKKDGKIVSKKHEEGHGDMKVKDSDVKVEEHEKEHKMDKEKKHEDVSERNEELEEEKEGKKKKKKEKDESGAEGKNKKADKEKKHEDVSKQKEELEKEDGKKNKKKGKDESSTEEKKKKPEKEKKQKEESKGKEDKKVKGKKENGEKDEPEKEDEEKKNDATGQEMKEKDGKKNKKKEKDESGTEEKKKKQEKENKQTDELTDKEDKKLKGKKDEHEKEDEGKKKEHDATDHEMKEEEVEHKEGKKKKNKDKAKKKETDEICEKETKDKDEDEGETKQKKNKKKEKKNEKGEKDEKKDKKKEKPIETEVISRDIKLEEPEAEKKEEDDNEEKKKSKGEGGESEEGKKKKKNDKKKNKKKDTKETKTTEDEEEKKDHTKDVKIEGSKAEDGAEEKGKKAKTKEEKKDKDVKKKKGGNDIGKLKTKLAKIDEKIGALMEEKAEIENQIKDAEGEQ >fgenesh2_kg.1__4783__AT1G56670.1 pep chromosome:v.1.0:1:32736673:32739024:1 gene:fgenesh2_kg.1__4783__AT1G56670.1 transcript:fgenesh2_kg.1__4783__AT1G56670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7KC43] MATLFLYSNTFSIFFVTLVSLPLLILRQPTAAASCTTPPVIFNFGDSNSDTGGLVAGLGYPVGFPNGRLFFRRSTGRLSDGRLLIDFLCQSLNTSLLRPYLDSLGGTRFQNGANFAVVGSSTLPKNVPFSLNIQLMQFSHFKSRSLELASSTNSLKGMFISNDGFKNALYMIDIGQNDIAHSFARGNSYSQTVKLIPQIITEIKSGIKRLYDEGGRRFWIHNTGPLGCLPQKLSMVKSKDLDQHGCLASYNSAANLFNQGLDHMCEELRTKLRDATIIYIDIYAIKYTLIANSNQYGFERPLMACCGYGGAPYNYNVNITCGHKGSNVCEEGSRYISWDGIHYTETANAIVAMKVLSMHYSKPPTPFDFFCRR >fgenesh2_kg.1__4788__AT1G56710.1 pep chromosome:v.1.0:1:32774106:32775975:-1 gene:fgenesh2_kg.1__4788__AT1G56710.1 transcript:fgenesh2_kg.1__4788__AT1G56710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7KC46] MALPNSLFYTIFFILFSSIPIAHNMYLSPSPAPNPAYDDNDSIGPTVFDVTSFGAIGDCATDDTSAFKMAWDVACMSTGSKSALLLVPYTFCFLVKPTTFNGPCRTQLVLQIDGFILSPDGPGSWPSNYQRQWMMFYRVNGLSIQGSGVINGRGQKWWNLPCKPHKGINGTTQPGPCDSPVTIRVFQSSKVRIQGLNFMNSAQFHVRFDNCSDVVVDSVTIKAPASSPNTDGIHIENTHNVQIHNSVISNGDDCISIGGGCFNVDIQNVTCGPSHGISIGSLGLHNSQAYVSNITVTNSTIWNSDNGVRIKTWQGGSGSVSRIVFSNILMVNVRNPIMIDQYYCQTNNCANQTSAVIIRDVLYANIKGTYDLRSPPMHFGCSDSVPCTNLRLADVDLFPSKGQHLENPFCWNAYGSTQITVPPVYCLLDAPPDF >fgenesh2_kg.1__4791__AT1G56720.2 pep chromosome:v.1.0:1:32780869:32783856:-1 gene:fgenesh2_kg.1__4791__AT1G56720.2 transcript:fgenesh2_kg.1__4791__AT1G56720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KC47] MGGDLKSQLSRESHVFGLKVWEVIGIAVALLTIVILSVLSFCLTSKKKSRRSKTGLPVIQTPPVVSKEIREVRVEHVSASNFAPGEGILLTIQDKNNKDSEKVMVHLDMRKKRSSSGRSGSFHHLEIIDKHSESAEEVSASSSLYNIATPSPLSGLPESHLGWGHWFTLRDLEVATNRFSKENVIGEGGYGVVYRGELLNGTPVAVKKILNQLGQAEKEFRVEVDAIGHVRHKNLVRLLGYCIEGTHRILVYEYVNNGNLEQWLHGAMRQHGYLTWEARMKVLVGTSKALAYLHEAIEPKVVHRDIKSSNILINDEFNAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVVLLEAITGRDPVDYGRPAHEVNLVDWLKMMVGTRRSEEVVDPNIEVKPPTRSLKRALLTALRCVDPDSDKRPKMSQVVRMLESEEYPIPREDRRRPRTREGSMEINSDTDMSTPVSRSQSKRQ >fgenesh2_kg.1__4793__AT1G57540.3 pep chromosome:v.1.0:1:32847502:32848586:-1 gene:fgenesh2_kg.1__4793__AT1G57540.3 transcript:fgenesh2_kg.1__4793__AT1G57540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQKLGWSEGDLMRSDAKPCSRLMRQTAAIFTVGGALGFWVLCRLHYGPRITVPRSLRWAGCGAVSVSTSTAMLVRLFSPECEPQNIAAYDHIKTHQASLP >fgenesh2_kg.1__4795__AT1G57565.1 pep chromosome:v.1.0:1:32871031:32871555:1 gene:fgenesh2_kg.1__4795__AT1G57565.1 transcript:fgenesh2_kg.1__4795__AT1G57565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPVYMKGALHWLRNDGSIVAFNPKTEKARLIPIRFPKELCVKTLFTAADNNLTLISATEEFVYVYALENILNDPKWVLVKKIRNGILDEKRLYSWGLEAYDGKCLVLKETMLKKDDYKQVLRGYDLRANKWEVIGSIPRWCTYAIDFYQFKPSSSSVIGLDDKEGELRDIGL >fgenesh2_kg.1__4796__AT1G57590.1 pep chromosome:v.1.0:1:32874508:32876777:-1 gene:fgenesh2_kg.1__4796__AT1G57590.1 transcript:fgenesh2_kg.1__4796__AT1G57590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:D7KC59] MKKLLWIGLILLNIHVNGMMMEFDDMEWYTDFNGTKMFDTENDVFSEAKFPMVGLTLIQSAAAKGAVCLDGSLPGYHLHRGFGSGASNWLVQLEGGGWCDTVRNCVYRKTSRRGSSSYMEKEIPFTGILSNQAAENPDFYNWNRVKVRYCDGGSFSGDSENKAAQLQFRGMRIWLAAMEDLMAKGMRQAKQALLSGCSAGGLAVILRCDDFGKLFPPSTRVKCLSDAGFFLDAIDVSGGRSLRRLYAGVVKLQNLQTKLPQDCVNRLNPTSCFFPQNLINQVKTPLFILNAAYDSWQIQESLAPKSADPSGSWNDCRLNYAKCTASQIQFLQGFRTHMVNLVKGFAMPSKNGVFLNSCFAHCQTERHDTWFAKNSPAVKNKGIAVAVGDWYFERGGAKLIDCAYPCDKTCHNLVFR >fgenesh2_kg.1__4797__AT1G57600.1 pep chromosome:v.1.0:1:32878290:32882483:-1 gene:fgenesh2_kg.1__4797__AT1G57600.1 transcript:fgenesh2_kg.1__4797__AT1G57600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane bound O-acyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KC60] MNTHNNRYAKWKQRELVLILLYAIAFYTYVVWRSIRLSHDHYFKLHGLAPGWLIPNRRNDVSDAQWRNFRGNLPILSFVFAVFTVIANGFRSFFHLKAKGMAILWLSMSFIYLIYLHGACVIYILSIATANFLLVKVFARTKYFPFMLWTFNIFFLFCNRIYEGYSFSIFGQQFEFLDNFRGTFRWHICFNFVVLRMISFGYDYHWSQLDSHFDQEKHVARCSLCKLGKTCYVVRQERGLASDSCSFSLYLCYLVYAPLYLAGPIISFNAFASQLDMPQNTHSFKDVAWYGVRWLFSFLLIELMTHLFYYNAFVISGLWRELSPVEIFIVGYGVLNFMWLKFLLLWRYFRFWSLVNGIETVENMPNCINNCYSLESFWKTWHASFNRWLIRYMYIPLGGSRRKFLNVWVVFTFVAMWHDLEWKLLSWAWLTCLFFMPEMLLKSASNAYKVESAFGEFILRELKALSGAVTITCLMIANLAGYVIGPSGINWMVSSFLKREGVPVLGGVFFSLYVGTKLMFHIQDLRSRVHSPK >fgenesh2_kg.1__4800__AT1G57610.2 pep chromosome:v.1.0:1:32899473:32900973:-1 gene:fgenesh2_kg.1__4800__AT1G57610.2 transcript:fgenesh2_kg.1__4800__AT1G57610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVRRTAISSTVNKSSPVRSLLGGFRCLNVEDEKKDMTVMEAKKLMRLVNVEDMKKKLIGMSDKEMVSYTTLIESSQGLGIAKSLDEAHAFARVLDDAGVILIFRDKVYLHPHKVVDLIRKAVPLGLNPEDDLIREEFDKMRSMKEEIDVLAHQQVRKILWGGLGYSVVQIGIFVRLTFWEFSWDVMEPITFFTTATGIIVGYAYFLMTSRDPTYQDFMKRLFLSRQRKLLKSHKFDVERFKELENKWKIMSCSSSSCHANASIRNRVGLDLDLEDSLQSRHRD >fgenesh2_kg.1__4803__AT1G57660.1 pep chromosome:v.1.0:1:32984157:32985170:1 gene:fgenesh2_kg.1__4803__AT1G57660.1 transcript:fgenesh2_kg.1__4803__AT1G57660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L21 [Source:UniProtKB/TrEMBL;Acc:D7KC68] MPAGHGVRARTRDLFARPFRKKGYIPLSTYLRTFKVGDYVDVKVNGAIHKGMPHKFYHGRTGRIWNVTKRAVGVEVNKQIGNRIIRKRIHVRVEHVQQSRCAEEFKLRKKQNDVLKAAAKARGETISTKRQPKGPKPGFMVEGMTLETVTPIPYDVVNDLKGGY >fgenesh2_kg.1__4808__AT1G57680.1 pep chromosome:v.1.0:1:33000779:33003039:-1 gene:fgenesh2_kg.1__4808__AT1G57680.1 transcript:fgenesh2_kg.1__4808__AT1G57680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTKLVPDAFGVVTICLVALLVLLGLLCIAYSFYFQSHVRKQGYIQLGYFSGPWIIRITFILFAIWWAVGEIFRLSLLRRHRRLLSGLDLRWQENVCKWYIVSNLGFAEPCLFLTLMFLLRAPLKMESGALSGKWNRNTAGYIILYCLPMLALQLAVVLSESHLNGGSGSYVKLPHDFTRTYSRVNIDHDEVALCTYPLLSTILLGVFAAILTAYLFWLGRQILKLVINKRLQKRVYTLIFSVSSFLPLRIVMLCLSVLTAADKIIFEALSFLAFLSLFCFCVVSICLLVYFPVSDSMALRGLRDSDEEDTAVTEERSGALLLAPNTSLTDEGLSLRGRRDSGSSTQERYVELSLFLEAEN >fgenesh2_kg.1__4809__AT1G57690.1 pep chromosome:v.1.0:1:33020199:33021633:-1 gene:fgenesh2_kg.1__4809__AT1G57690.1 transcript:fgenesh2_kg.1__4809__AT1G57690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KC76] MADNSAACVRVPSDRNSRRKIKGEVDSISSLPDVILQHILFSFETKFAIRTSVLSKRWRHEADAINKALAKYTAPKMMDFHLKINKNNNVHHIKKWIEFAMSRNVENMSLDVLFRCKKIPRFSDINSYFKPLNHKLDFSDVIPGHGVSWTSLKKLSLRYCGLPDESAKILSGCPILEWPTQIVAPHINCLKLRNTQLPCTLVDVSSLTEAEVLDIIIFPLNMSLKADFLYATMLEMLKKLENVEKLTFSGGSLQLSSIPEKRGVPFPMFKVKALTLKMEHFVISDIERMLQNSPNLKKLTVRAKDNTGKYLDRHFTRLESGSMLEIKKGV >fgenesh2_kg.1__4811__AT1G57720.1 pep chromosome:v.1.0:1:33076980:33079750:1 gene:fgenesh2_kg.1__4811__AT1G57720.1 transcript:fgenesh2_kg.1__4811__AT1G57720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVMHTYKGNKGANKALVAAEYAGVKIEEASDFQMGVTNKSPEFLKMNPIGKVPVLETPEGPIFESNAIARYVSRKNGDNSLNGSSLIEYAHVEQWIDFASLEIDAHMLKWFAPRLGFAPFSAPAEEAAISALKRGLEALNTHLASNTYLVGHSVTLADIVTICNLNLGFATVMTKKFTSAFPHVERYFWTMVNQPNFKKVLGDAKQTEAVPPVPSKKAPQPAKPKEEPKKAAPVAEAPKPAEEEEAPKPKAKNPLDLLPPSPMVLDDWKRLYSNTKSNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENMVSFVTLNKVGGFLQRMDLARKYSFGKMLICGSEGPFKVKGLWLFRGPEIPKFIMDEVYDMELYEWTKVDISDEAQKERVSQMIEDAEPFEGEALLDAKCFK >fgenesh2_kg.1__4813__AT1G57750.1 pep chromosome:v.1.0:1:33099379:33100958:-1 gene:fgenesh2_kg.1__4813__AT1G57750.1 transcript:fgenesh2_kg.1__4813__AT1G57750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGLLELFVAFLFSLVFLFTCFFIHKKPHGQPILKNWPFLGMLPGMLPQIPRIYDWTVEVLEASNLTFYFKGPWLSGTDMLFTADPKNINHILSSNFGNYPKGPEFKKIFDVLGEGILTVDLELWEDLRKSNHAMFHNQDFLELSLSSNKSKLKEGLVPFLDNAAHENIIIDLQDVFMRFMFDTSSILMTGYDPMSLSIEMLEVEFGEAADIGEEAIYYRHFKPVMLWRLQNWLGIGLERKMRTALATVNRMFAKIISSRRKEEISRGETEPSKDALTYYMNVDTTKYKLLKPKNDTFIRDVIFSLVLAGRDTTSSALTWFFWLLSKNPQVMAKIRHEINTKYDPEDLEKLVYLHAALSESMRLYPPLPFNHKAPAKPDVLPSGHKVEPESKIVICIYALGRMRSVWGEDASDFKPERWISDNGGLRHEPSYKYVAFNSGPRTCLGKHLALLQMKIVALEIIKNYDFKVIEGHKIEAIPSILLRMKHGLKVTVTKKM >fgenesh2_kg.1__4816__AT1G57765.1 pep chromosome:v.1.0:1:33110747:33112433:1 gene:fgenesh2_kg.1__4816__AT1G57765.1 transcript:fgenesh2_kg.1__4816__AT1G57765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREISISTLTLSWSHLLLFASISLHFVLGISGDSNSSSTGAKAESHTSSGITGTKVILVLLGFGAVAGVSFSLYKLWQKKKRDEQYARLLKLFEEDDELEVELGLRD >fgenesh2_kg.1__4819__AT4G08025.1 pep chromosome:v.1.0:1:33127686:33128178:-1 gene:fgenesh2_kg.1__4819__AT4G08025.1 transcript:fgenesh2_kg.1__4819__AT4G08025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSHVTALFIVVALVCAFVPAFSVEEAEAKTLWDTCLVKITPKCALNIIAVVFGNGTLIESCCHDLVQEGKVCHDNLIKYIADRPSLVGRETQYLKKRDDVWSHCVSISKTA >fgenesh2_kg.1__481__AT1G05300.1 pep chromosome:v.1.0:1:1852695:1856237:-1 gene:fgenesh2_kg.1__481__AT1G05300.1 transcript:fgenesh2_kg.1__481__AT1G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITQNGKLFLFFFFISFLFIAVSAGESKCECSHEDDEANKAGANKYKIAAIPSVLTAGVIGVLFPLLGKFFPSLKPETTFFFVTKAFAAGVILATGFMHVLPEGYEKLTSPCLKGEAWEFPFTGFVAMVAAILTLSVDSFATSYFHRLHFKTSKRIGDGEEQGGGGGGGDELGLHVHAHGHTHGIVGVESGESEVQLHRTRVVAQVLEVGIIVHSVVIGISLGASQSPDTAKALFAALMFHQCFEGLGLGGCIAQGNFNFMSITIMSIFFSVTTPVGIAVGMAISSSYNESSPTALIVQGVLNAASAGILIYMSLVDFLAADFMHPKMQKNTRLQIMAHISLLVGAGIMSLLAKWA >fgenesh2_kg.1__488__AT1G05340.1 pep chromosome:v.1.0:1:1868734:1869592:-1 gene:fgenesh2_kg.1__488__AT1G05340.1 transcript:fgenesh2_kg.1__488__AT1G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYDHNQSAGANPPPPTSTGPSPPPPIGYPTNQPSHGSVAQGKVETKSKGDGFFKGCLAAMCCCCALDICF >fgenesh2_kg.1__489__AT1G05350.1 pep chromosome:v.1.0:1:1870222:1873491:-1 gene:fgenesh2_kg.1__489__AT1G05350.1 transcript:fgenesh2_kg.1__489__AT1G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ThiF family protein [Source:UniProtKB/TrEMBL;Acc:D7KF32] MEVEFKALLDDLDVLEKSLSDPAPIHKLRSHVENLATLSKCNPHRRSKVKELSSEVVDNNPYSRLMALQRMGIVDNYERIREFSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPDQVGMTKTDAAVQTLAEINPDVVLESFTMNITTVQGFETFTSSLTNKSFCPSKEGGSGVDLVLSCVDNYEARMAVNQACNELRQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLECISGIWVNLRILLSRVVASGIDERTLKREGVCAASLPTTMGVVAGLLVQNSLKFLLNFGEVSPYLGYNSLKDFFPTMKMRPNPQCSNVACLQRQKEYMLAKPERDAAAKAKMEADASTAIDEGPLHDDNEWNISVVDDENEKDTTKAASSSDTLPEGLTRELPVADEYEKAIAIASGSGETEEEDDLEDLKKQLEALNAA >fgenesh2_kg.1__48__AT1G01820.1 pep chromosome:v.1.0:1:171411:173431:1 gene:fgenesh2_kg.1__48__AT1G01820.1 transcript:fgenesh2_kg.1__48__AT1G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal biogenesis factor 11 family protein [Source:UniProtKB/TrEMBL;Acc:D7KP69] MSTLETTRAELGLVVLYLNKAEARDKICRAIQYGSKFLSDGQPGTAQNVDKSTSLARKVFRLFKFVNDLHALISPVPKGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRTGIYKDKERAELLGRISLFCWMGSSVCTSLVEVGELGRLSASIKKLEKEIGNKDKHQNEQYRAKLEKSNERSLALIKAGMDVVVAFGLLQLAPKKVTPRVTGAFGFASSLISCYQLLPSHPKSKTV >fgenesh2_kg.1__493__AT1G05380.2 pep chromosome:v.1.0:1:1892505:1898317:1 gene:fgenesh2_kg.1__493__AT1G05380.2 transcript:fgenesh2_kg.1__493__AT1G05380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7KF36] MERGGGSGAANTSLILKEKSDGVARTVSSTGTRQKRSRMIVSDSESSDEFMKPPPRTSGLDRKTLGAKEKFVGKRDRNGYVRRNNEASGSFMRRDKLDVFEFDEYDGFDSANLMRKRFDNGSVGVSGRSSFASRRVDSSVGRSGSGRDRLFDRRRRHTFVNGTGSTSSQEDSSSESDSDEQTRVQGRNGVLKVKVNNKTSTLAASIHHQDAEIYERPLSSRKAQRRENVVVKPTFRKSNNVESNSESEGSDVPRKSEYSKPKKEINTKSKSNFPESVKPDVREERRGRRGGGTEKQRLRERIKGMLTDAGWTIDYKPRRNQSYLDAVYVNPSGTAYWSIIKAYDALLKQLKDEGVDARPRKDTAAVASVSEDIVNKLARKAKKTRTEMTKKWKKNSSGSDSENKSDGGAYTDTSEERIRSSIKLGGKSTKKGRNGIDWDELHTKSKRSLYYKSARPSSGSDSHYLHGRKSKKIGRCTLLVRSSKDKKNPAIDGFNPYSGKRTLLSWLIESGVVQLRQKVQYMKRRGAKVMLEGWITREGIHCDCCSKILTVSRFEIHAGSKACQPFQNIYLESGASLLQCQVRAWNMQKDATNVGLHQVDTDGDDPNDDACGICGDGGDLICCDGCPSTYHQTCLGMQVLPSGDWHCPNCTCKFCDAAVASGGKDGNFLSLLSCSMCERRYHQLCLSDEAQKVQSFGSASSFCGPKCLELFEKLQKYLGVKNEIEGGYSWSLIHRVDTDSDINSQLSAQRIENNSKLAVGLAIMDECFLPIVDRRSGVNLIRNVLYNCGSNFNRINYTGFYTAILERGDEIISAASLRFHGTQLAEMPFIGTRHIYRRQGMCRRLFDAIESAMRSLKVEKLVIPAIPDFLHAWTGNFGFTPLDDSVRKEMRSLNTLVFPGIDMLQKPLLHKENIIAPAAAGDAMISEIETEKKSEVASSVEIGPYSVEGTECVDGAANCYKDILASDEDNILVPVETAMGTISKSEDELSRHFRAEECGISSSSCQITLKSGTKHVLGHICDDTGSSCEDGLTDVNVEADASLLSQEIQQASANFQVENNLSLSISGRGSSDLSSISQEAKSEHTSSKLDGFPSCKDYNILGPGAKLDKSKDDAFADGFLL >fgenesh2_kg.1__494__AT1G05385.1 pep chromosome:v.1.0:1:1898421:1899348:-1 gene:fgenesh2_kg.1__494__AT1G05385.1 transcript:fgenesh2_kg.1__494__AT1G05385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVAAMNFSPTLMHHHMKSKHHCQNEKLLQGQTSSLFDRRGFLKCVVGASSFMAAFEFSGLQAQAAEEKLEEGEGVVGAFKTLFDPNERTKSGKELPKAYLKSAREVVKTMRESLKENPKDNAKFRRSADAAKESIRDYLSNWRGQKTVAGEESYVELENVIRALAKFYSKAGPSAPLPDEVKTQILDDLNKAEEFL >fgenesh2_kg.1__497__AT1G05410.1 pep chromosome:v.1.0:1:1906640:1909128:1 gene:fgenesh2_kg.1__497__AT1G05410.1 transcript:fgenesh2_kg.1__497__AT1G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMDIDQSNRGESPHLLLRPVRPMESGEGLPYAPENWPNPGDTWHWKAGPRVSGKGYFVDRYLYPPKHLPGLDTEIWRKNKVFRSRLSLERYIRVTFPEADVQKFFASFSWSIPCRDGQDVLPQKLVLLPVYSSDEDPMHDNGSDTAVCKAGNDKCGSLMPQCEAETLPTMPCDICCGEPNFCSDCCCILCCKLISLEHEGYSYIKCEAVVSEGHICGHVAHVNCALRAYMAGTIGGSIGLDAEYYCRRCDAKKDLVPHVNKFLEICQTVEYQGDVEKILNLGICILQGSQRDNAKELLNCIESTVIKLKCGTSLEDLWNDDTPTIWSDYSDSGEARDNDTLQSLQDVTPIGPIPFNHEAEMHKLEEEIGEVLRALRKAQEFEYQIAEGKLHAQKECLSDLYRQLEKEKSELSRRVSGTDVNSLMTNVLKRLDQIRKEVTKLKEMEEVAKGFGRTPRGVLEEYFHLSIED >fgenesh2_kg.1__498__AT1G05430.1 pep chromosome:v.1.0:1:1911538:1913307:-1 gene:fgenesh2_kg.1__498__AT1G05430.1 transcript:fgenesh2_kg.1__498__AT1G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALNQLFYVLATKPEQEKITPEESSAIISCHYKVLWTASFASGVGGGLTWQVTKKLKKPKGLERIALATGVAAATFVVAWKRASSKNAVSCLDHILSQDATRMQKELVNVLVRSNRGEDWRWQLMSKHFYPESVYGDEGDKPQMRWRRRTTFTEIASSYDDVIATKSQRNPSGLPNPSHRSISNGSDASKTKPMLQNSSGNSDGEMPEEDVLDIVFGGSEPTESIPAPVISKVASKTQTRKQKRAQRRQRLKNREASTNTPQYELA >fgenesh2_kg.1__49__AT1G01810.1 pep chromosome:v.1.0:1:173573:174151:-1 gene:fgenesh2_kg.1__49__AT1G01810.1 transcript:fgenesh2_kg.1__49__AT1G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPENTKRNTAPRRRTNDDGCSPFNLRSLKQAIEAIDAAKDNSINLSSLSVALSAASREMKQREKSQRRRETRKRRKKNKKLVIGAKPETDAELRRWFSNFDKSFKLSLPIRKLNNHHKTSKEAQICELNTDFSMNHCSESFRH >fgenesh2_kg.1__500__AT1G05450.2 pep chromosome:v.1.0:1:1922176:1923449:1 gene:fgenesh2_kg.1__500__AT1G05450.2 transcript:fgenesh2_kg.1__500__AT1G05450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSVTGCMSFLTGGGSSPTSDCCGALKSLTGTGMDCLCLIVTASVPINIPINRTLAISLPRACGMPGVPVQCKASAAPLPAPGTSGPASFGPTTSPTDSQTSDPEGSASFGPPTSPTTSQNPNDQDYSGSGNGGDTMGFGPPPPSASSSSSHSLKLSRLLFAFVLMIIKFI >fgenesh2_kg.1__503__AT1G05490.1 pep chromosome:v.1.0:1:1946258:1951125:-1 gene:fgenesh2_kg.1__503__AT1G05490.1 transcript:fgenesh2_kg.1__503__AT1G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIGKRVKSRSWQRLQVVNKRKKMETVAPVTSPPKKRRQKKRKDPDSDIEDITPTYNDRVPPPQVSNRYSVPNNSVKESFSRIIRDLNVEKKSGPSSSRLRDGSEQNPCVKESSFRVSDLGVEKKCSPEIIPVPSFSKLKDGSEQKNTCLNEKTSPEITDLDVVISVPSSYVLKDMSEENRFLKEKCSPEIRGLVVEKSVLREIEILSDSESEIEARRRASAKKKLFEDSSRIVESISDGEDSTSETDEEEEENQDSEDNNTKDNITVESLSSEDPSSSSSSSSSSSSSSSSSSDDEIYLKEVVGDNTDDDDLRKASSPIKKVSLAERKPLVRYKRSGSSLTKPITKDKKIQKLNHREEEEKERQGEVVRVVTKQPSNVVFTCAHCGKENTGIPESHSSFIRPHSLRDEIEDVDNFASTNVSKYEDSVSINSGKTTGNPSRPEVENAETGKEVKKFEKPSISRPETFTTEKAKEVQVPEKPSTPEIFGSEKAKEVQAPEMPSRPEIFSSEKTKEPQAPEMPSRPEIFSSEKAKEVQANNSFGLMTPTVAVAEGLNKSVVTNEHVDDDSDSSISSADGYESDPTLKDKEVKVNNNSDWRMMNGNHKEVDLFRLLVNSVWEKGQLGEGEEEADELISLPEDQSQEQAKEDQRKYDDDGLLIIRPPPLIERFGVEEPESPPVVSELDSEEETLWEELAFFSKSNEIGGNELPSNVEKDILTKETPAAQCKKGNHELCLDLEVGLKCMHCGFVEREIRSMDVSEWGEKITRERRKIDRFEEEEGSSFIGKLGFEPPNNSLNEGCISSEGTVWDKIPGVKSQMYPHQQEGFEFIWKNLAGTILLNELKDFENSDETGGCIMSHAPGTGKTRLTIIFLQAYLQCFPDCKPVIIAPASLLLTWAEEFKKWNISIPFHNLSSLDFTGKESSAALGLLMQKNATARSNNEIRMVKIYSWIKSKSILGISYNLYEKLAGVKDEDKKTKTVREVKPDKELDDIREILMGRPGLLVLDEAHTPRNQRSCIWKTLSKVETQKRILLSGTPFQNNFQELCNVLGLARPKYLERLTSTLKKSGMTVTKRGKKALGNEINNRGIEELKAVMLPFVHVHKGSILQSSLPGLRECVVVLNPPELQRRVLESIEVTHNRKTKNVFETEHKLSLVSVHPSLVSRCKLSEKERLSIDEALLAQLKKVRLDPNQSVKTRFLMEFVELCEVIKEKVLVFSQYIDPLKLIMKHLVSRFKWNPGQEVLYMHGKLEQKQRQTLINEFNDPKSKAKVFLASTKACSEGISLVGASRVILLDVVWNPAVERQAISRAYRIGQQRIVYTYHLVAKGTPEGPKYCKQAQKDRISELVFACSSRHDKGKEKIAEVVTEDKVLDTMVQHSKLGDMFDNLIVQPKEADLVEGFSILMP >fgenesh2_kg.1__504__AT1G05500.1 pep chromosome:v.1.0:1:1952720:1956837:1 gene:fgenesh2_kg.1__504__AT1G05500.1 transcript:fgenesh2_kg.1__504__AT1G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant synaptotagmin [Source:UniProtKB/TrEMBL;Acc:D7KF47] MGFIVGVVIGLVVGVAIIIGFVKLENSRSKLRSELANTVAAFARMTVEDSRKLLPPEFYPSWVVFSERQKLTWLNHHLTKIWPYVDEAASELIKASVEPVLEQYRPAIVASLTFSKLTLGTVAPQFTGVSIIDGDKNGVTMELDMQWDGNPNIVLGVKTLVGVSLPIQVKNIGFTGVFRLIFRPLVEDFPCFGAVSVSLREKKKLDFTLKVVGGDISAIPGLSEAIEETIRDAVEDSITWPVRKVIPIIPGDYSDLELKPVGMLEVKLVQAKNLTNKDLVGKSDPFAKMFIRPLREKTKRSKTINNDLNPIWNEHFEFVVEDASTQHLVVRIYDDEGIQASELIGCAQIRLCELEPGKVKDVWLKLVKDLEIQRDTKNRGEVHLELLYVPYGSGNGIVNPFVTSSMTSLERVLKNDTTDEENASSRKRKDVIVRGVLSVTVISAEEIPIQDLMGKADPYVVLSMKKSGAKSKTRVVNDSLNPVWNQTFDFVVEDGLHDMLVLEVWDHDTFGKDYIGRCILTLTRVIMEEEYKDWYPLDESKTGKLQLHLKWMAQSIYRDS >fgenesh2_kg.1__505__AT1G05510.1 pep chromosome:v.1.0:1:1957145:1958440:1 gene:fgenesh2_kg.1__505__AT1G05510.1 transcript:fgenesh2_kg.1__505__AT1G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVHLSTKNGPKVPGEPTKTGTSMVDTAASAVQSFAPINQIHQHLCAFHFYAYDMTRQVEAHHFCGHINEDMRQCLIYDGPDANARLIGLEYIVTEKLFMTLPDDEKKLWHTHEWEVKGGFLFMPGVPEAIQRQDLEKVAKTYGKVYHFWQVDKGHDLPIGLPNIMMAVTRDGQLYPEMIKETEKQFGVSMEKERESRAYMKGPDHGIHPLANGGGKGLKLEVREVDIKPVESVPRVFV >fgenesh2_kg.1__506__AT1G05520.1 pep chromosome:v.1.0:1:1958635:1963458:-1 gene:fgenesh2_kg.1__506__AT1G05520.1 transcript:fgenesh2_kg.1__506__AT1G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDPEGIDGVRMTWNVWPRTKVEASKCVIPVAACISPIRYHRDIPSVDYAPLRCRTCSAALNPFARVDTHAKIWICPICFQRNHFPHHYHAISDTNVPCELYPQYTTVEYTLPNPSQPTGAGNFDQSGAVSGQPSPSVFVFVLDTCMIEEEFGYAKSALKQAIGLLPENALVGFVSFGTQAHVHELGFSDLTKVYVFRGDKEISKDQILEQLGLGASGRRTPVGGFPMGRDNSGNSGYSGVNRFLLPASECEFTIDSLLEELQTDQWPVQAGRRQSRCTGVALSVATGLLGACFPGTGARIVALIGGPCSEGPGTIVSKDLSEPLRSHKDLDKDAAPFYKKAEKFYDALANQLVNQGHVLDLFASALDQVGVAEMKAAVERTGGLVVLSESFGHSVFKDSFKRVFEDGEESLGLCFNGTLEICCSKDIKIQGIIGPCASLQKKGPSVADTVIGEGNTTEWKMCGLDKSTCLTVFFDLSSSDQSSTPGGGNNQLYLQFMTSYQNSKGKTLQRVTTVTRQWVDTALSTEELVQGFDQETAAVVVARLASLKMETEEGFDATRWLDRNLIRLCSKFGDYRKDDPASFTLNPNFSLFPQFTFNLRRSQFVQVFNNSPDETAYSRMLLNRESISNAAVMIQPSLTTYSFNSLPQPALLDVASIGADRILLLDSYISVVVFHGMTIAQWRNMGYQNQPEHQAFAQLLEAPQEDAQMIIRDRFPVPRLVVCDQHGSQARFLLAKLNPSATYNNASETNAGSDIIFTDDVSLQVFFQHLQKLAVQS >fgenesh2_kg.1__508__AT1G05540.1 pep chromosome:v.1.0:1:1967626:1969118:1 gene:fgenesh2_kg.1__508__AT1G05540.1 transcript:fgenesh2_kg.1__508__AT1G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLFHLSKLSSRNNVLIHKSVRLFSTSPYLTLGSVGEDLPGEGGGYIGDILLFDPAKEELVTVKDKTIPEELINSKLVGASHGWTFFSDRCNHNSVRISDLFNPLASKSNTKIIPLPLLTTMIYGQTDVVWNVAMSSSSPHQDNEEEDCVVAINFLGNQLSMCRPGRDLCWTNKQIPFVCSENSNLMYSKRDQRFYLPAPGGNYLCSYDLHFDNDPMFNELVFLNLPELPQSEWELLNSCFKEDHWVESPSGQSFLVKWYSHVPSQRYKEPILMVFREDEETEEGTRNMCYTEDIGDLCIFLSKSDPFCVVASSCPGLKPNSIYLMGRCFAVYDLTTRTAHHFKPPKDGPERVPFLPYWLPPFST >fgenesh2_kg.1__509__AT1G05550.1 pep chromosome:v.1.0:1:1969457:1971981:-1 gene:fgenesh2_kg.1__509__AT1G05550.1 transcript:fgenesh2_kg.1__509__AT1G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFPYLTLGTRVKEVLPGGCKTGDVLLFDPTKEEIVTVPDKTIPKELMDEEMMGASHGWGFFCDRRDRSVRISDIFNPLASKSNPVMIPLPRLTVLPTGQTEEVCNVAMSSSSPLGEEDCVVAIKTEYLVESPSGDERFLVKWYYIYLYTHSYLYKYVNSLKNAGNKRAFLCAGIYYKTKRLMVFREEETTEGKIMCYTEDIGDMCIFLANNEAFCIPASSCLGLKPNSIYFMGRGLGIYDLTTGEAHHYKAPKGAPSVLTTPYWLPPFSI >fgenesh2_kg.1__512__AT1G05577.1 pep chromosome:v.1.0:1:1992582:1994659:-1 gene:fgenesh2_kg.1__512__AT1G05577.1 transcript:fgenesh2_kg.1__512__AT1G05577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGGGGEVRRVNLVYFLSRSGHVDHPHLLRVHHLSRNGVFLRDVKKWLADARGDAMPDAFCWSCKRRYKNGYVWQDLLDDDLITPISDNEYVLKGSEILLSSPKEDSPYVEKKAWVTRNGGVGGTDAEEKLQKSKLRSEKIQKESPVFCSQRSTATTSTVTEESTTNEEGFVLKKQDPKKVSGQRDGSTENGSGNDVESGRPSVSSTTSSSSYIKSERYSSVRASHVLRNLMKCGGLDTNDAVLVPLNKSRSGVFGPAWEDERRYQYHQQHNARKSFEGAWSGIKMKETIEFCKPKVASSKPSMAPLCSQCGKMFKPEKMHSHMKLCRGMKNSSANNDLMTGNNTGKPRQRCRNIPGNPLGHQRVLRTTLKE >fgenesh2_kg.1__515__AT1G05590.1 pep chromosome:v.1.0:1:2000583:2002994:1 gene:fgenesh2_kg.1__515__AT1G05590.1 transcript:fgenesh2_kg.1__515__AT1G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:UniProtKB/TrEMBL;Acc:D7KF61] MLTLSEFHVIPLLFFITLLSPLLSTARPINIWPKPRFLSWPQQKAIALSPNFTILTPEHQYLSASVTRYLNLIRSENYSPLINRPVKLTKGYTLRNLVVIVTDLSLPLHHGVDESYNLSIPIGSVSAHLLAHSAWGAMRGLETFSQMIWGTSPDLCLPVGIYIQDSPLFGHRGVLLDTSRNYYGVDDIMRTIKAMSANKLNVFHWHITDSQSFPLVLPSEPSLAAKGSYGPDMVYTPEDVSKIVQYGFEHGVRVLPEIDTPGHTGSWGEAYPEIVTCANMFWWPAGKSWDERLASEPGTGQLNPLSPITYEVVKNVIKDVVKQFPESFFHGGGDEVIPGCWKTNPAIISFLSSGGTLSQLLEKYINSTLPYIVSQNRTVVYWEDVLLDAQIKVDPSFLPKEHTILQTWNNGPANTKRIVAAGYRVIVSSSEFYYLDCGHGGFLGNDSIYDQQGSGGGSWCAPFKTWQSIYNYDITDGLLDEKERKLVLGGEVALWSEQADSTVLDSRLWPRASALAESLWSGNRDERGVKRCGEAVDRLNLWRYRMVTRGIGAEPIQPFWCLKNPGMCDTVHGSLQDQ >fgenesh2_kg.1__516__AT1G05600.1 pep chromosome:v.1.0:1:2003303:2004854:1 gene:fgenesh2_kg.1__516__AT1G05600.1 transcript:fgenesh2_kg.1__516__AT1G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KF62] MSVRWPRVLTPSLLSQILKKQKNPVTALKLFEEAKVRFPSYGHNGTVYATMIDILGNSNRVLEMKYVIERMKGDSCECKDSVFASAIRTFSRAGRLDDAISLFKSLHEFNCVNWTLSFDTLLQEMVKESELEAACHIFRKYCYGWEVNSRITALNLLMKVLCQVNRSDLASQVFQEMNYQGCYPDRDSFRILMKGFCLEGKLDEATHLLYSMFWRISQKGSGEDIVVYKILLDALCDAGEVDQAIEILGKILRKGLKAPKRCYHQIEAGHWESNSEGIKRVKRLLTETLIRGAIPSLDSYSAMATDLFEEGKLVEGEEVLLAMRRKGFEPTPFIYGAKVKALCKAGKLEEAVSVINKEMMEGHCLPTVGVYNVLIKGLCDEGKSMEAVGYLKKMSKQVSCVANEETYQTLMDGLCRDSQFLEASQVMEEMLIKSHFPGVETYHVMIKGLCDMDRRYEAVMWLEEMVSQDMVPESSVWKALAESVCFCAIDVVEILEHLISSKR >fgenesh2_kg.1__517__AT1G05610.1 pep chromosome:v.1.0:1:2005146:2007223:-1 gene:fgenesh2_kg.1__517__AT1G05610.1 transcript:fgenesh2_kg.1__517__AT1G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophoshorylase small subunit 2 [Source:UniProtKB/TrEMBL;Acc:D7KF63] MQISSSSFTKFTNLYTIRSSSDHHQWRHNYNLKQLFVPSSCPNLSVSNFQHLPLNQSVAAIVFGGGSDTELYPLTKTRSKGAIPIAANYRLIDAVISNCINSDITKIYAITQFNSTSLNSHLSKAYSGFGLGKDRFVEVIAAYQSLEDQGWFQGTADAIRRCLWVFEEFPVTEFLVLPGHHLYKMDYKTLIEDHRRSRADITIVGLSSVTDHDFGFGFMEVDSTNLVTRFTIKGQQDMISVENRTTTRSEGTSSRSVPSAGIYVIGREQIVKLLRECLIKAKDLASEIIPGAISEGMKVKAHMFDGYWEDVKSVGAYYRANMESIKSYRFYDRQCPLYTMPRCLPPSSMSEAVITNSIIGDGCILDRCVIRGSVVGMRTRIADEVIVEDSIIVGSDIYEMEEYERRKGKEKKIEIRIGIGEKSRIKRAIVDKNARIGKNVMIINRDNVEEGNREAEGYVIREGIIIILRNAVIPNDSIL >fgenesh2_kg.1__518__AT1G05620.1 pep chromosome:v.1.0:1:2011149:2013447:1 gene:fgenesh2_kg.1__518__AT1G05620.1 transcript:fgenesh2_kg.1__518__AT1G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine-uridine preferring nucleoside hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7KF65] MAIGDRKKIIIDTDPGIDDAMAIFVALNSPEVDVIGLTTIFGNVYTTLATRNALHLLEVAGRTDIPVAEGTHKTFLNDTKLRIADFVHGKDGLGNQNFPPPKGKPIEKSGPEFLVEQAKLYPGEITVVALGPLTNIALAIQLDPDFSKNVGQIVLLGGAFAVNGNVNPASEANIFGDPEAADIVFTCGADIIAVGINVTHQVIMTANDKDKLASSNGKFAQYLCKILDVYYDYHLTAYEIKGVYLHDPATILAAFLPSLFTYTEGVARVQTSGITRGLTLLYNNPKKFEEENEWSDKPSVKVAVTVDAPAVVKLIMDRLMES >fgenesh2_kg.1__520__AT1G05630.1 pep chromosome:v.1.0:1:2014052:2019143:1 gene:fgenesh2_kg.1__520__AT1G05630.1 transcript:fgenesh2_kg.1__520__AT1G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5PTASE13/AT5PTASE13 [Source:UniProtKB/TrEMBL;Acc:D7KF66] MDSPLIIEEEDEEALATLVPVPPRRKTHSYSLQFDQKPHHQIRKHSLDEVPRSATLASEAVYFDSSDDEFSTGGNITENAADETNAGAEDYIIVNPPPNGGLGDDDTEPLPEFIGAGGGAGIFKVPVRAAVHPGRPPCLELRPHPLRETQTGKFLRNIACTETQLWAGQENGVRFWNLEDAYEAGCGIGGQVRRGDEDTAPFQESVTTSPTMCLVADQSNKLLWSGHKDGKIRAWKMDQSSVSDDDDSNPFKERISWLAHRGPVNSIVISSYGDMWSCSEGGVIKIWPWDTLEKSLLLKPEEKHMAALLVERSAIDLRSQVTVNGTCSISSSEVKFLLADSVRAKVWAVQSLSFSIWDARSKDLLKVLNVEGQVENRGDMPPIQDQQVDDEMKLKFFSASKREKPQGFLQRSRNAIMGAAGAVRRVATRSAGAFSEDTRKTEAIVLAVDGTIWTGSISGLIVQWDGNGNRLRDVNHHHRPVLCFCTFGDRIYVGYASGYIQVLDSDGKLISSWVSHNEPVIKLAAGGGFIFSLATHGGVRGWYVTSPGPLDNIIRTELSQKETLYARQDNVRILIGTWNVGQGRASHDALMSWLGSVTSDVGIVAVGLQEVEMGAGFLAMSAAKETVGLEGSAVGQWWIDAIGKALDEKNTFERMGSRQLAGLLISLWARKDIRSHVGDLDVAAVPCGFGRAIGNKGGVGLRIRVYDRIMCFVNCHLAAHLEAVNRRNADFNHIFRLMVFSRGQNLSNAAAGMVPYLFLSCSLGFSTYLFWLLYSSGLPWALSLAAGVSTSAYTTKSNTIPSTGAEEIKSDLAAADMVTFFGDFNYRLFGITYDEARDFISQRSFDWLRERDQLRAEMKVGKVFQGMREALITFPPTYKFERNRSGLGGYDSGEKKRIPAWCDRVIYRDTQSSPFSESNLQCPVVSSVIMYEACMDVTESDHKPVRCKFHATIAHVDKSVRRQELGKIIRSNEKILSIFEDLRFVPETFVSTNNIVLQSQDTVILTITNNSPTSKAIFNILCSGQAVVKDDGEDSDYNPRGSFGLPRWLEVSPAAGIINPEGSVDVKVHQEDFHSMEECVDGIPQNWWCEDTRDKEAILTVNIRGSCSTTLTSHSIKVRHCFSARVCLLENRPTNLTKNLGGSRRYPTDITRNGSTRPRTEDSVRRGKSR >fgenesh2_kg.1__525__AT1G05670.1 pep chromosome:v.1.0:1:2030807:2038123:-1 gene:fgenesh2_kg.1__525__AT1G05670.1 transcript:fgenesh2_kg.1__525__AT1G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KF69] MKEGSHVIVLPFPAQGHITPMSQFCKRLASKGLKLTLVLVSDNPSPPYKTEHDSIAVVPISNGFEEGEERSQDLDDYMERVEASIKNSLPKLIEDMKLSGNPPRALVYDSTMPWLLDVAHTYGLSGAVFFTQPWIVSAIYYHVFKGSFSVPSTKYGHSTLASLPSFPMLNANDLPSFLCESSSYPYILRTVIDQLSNIDRVDIVLCNTFDKLEEKLLKWVQSVWPVLNIGPTVPSMYLDKRLPEDKNYGFSLFGAKVAECMEWLNLKQPSSVVYVSFGSLVVLKEDQLIELAAGLKQSGHFFLWVVRGGEKNKLPENYIEEIGEKGLIVSWSPQLEVLTHKSIGCFLTHCGWNSTLEGLSLGVPMIGMPHWADQPTNAKFMEDVWKVGVRVKANGDGFVRRGEIVRRVGEVMEGEKVPKRIVSFVKMTVRKYDVVSTKIPKSIVVFNRTPCPSFSDFSTLTDTRPFPDYSPKKASVRDTEFVHQITNVIKLRRAEPLRRSLKPYECKFKTDHLIWVLMKIKCDYRLVLDFFDWARSRRDSNLESLCIVIHLAVASKDLKVAQSLISSFWERPKLNVTESFVQFFDLLVYTYKDWGSDPRVFDVFFQVLVEFGMLPEARKVFEKMLNYGLVLSVDSCNVYLARLSKDCNKTATAIIVFREFPEVGVCWNVASYNIVIHFVCQLGRINEAHHLLLLMELKGYTPDVISYSTVINGYCRFGELDKVWKLIEKMKQKGLKPNSYTYGSIIGLLCRICKLAEAEEAFSEMIGQGILPDTIVYTTLVDGFCKRGDIRAASKFFYEMHSRDITPDVLTYTAIISGFCQIGDMVEAGKLFHEMLCRGLEPDIITFTELMNGYCKAGHIKDAFRVHNHMIQAGCSPNVVTYTTLIDGLCKEGDLDSANELLHEMWKIGLQPNIFTYNSIVNGLCKSGNIEEAVKLVGEFEAAGLNADTVTYTTLMDAYCKSGEMDKAQEILTEMLGKGLQPTIVTFNVLMNGFCLHGMLEDGEKLLNWMLAKGIAPNATTFNCLVKQYCIRNNLKAATAIYKDMCSRGVEPDGKTYENLVKGHCNARNMKEAWFLFQEMKGKGFSVSVSTYSVLIKGFFKRKKFVEAREIFDQMRRDGLAADKEIFDFFSDTKYKGKRPDTIVDPIDEIIENYLVDEQLREAN >fgenesh2_kg.1__526__AT1G05680.1 pep chromosome:v.1.0:1:2038732:2040356:-1 gene:fgenesh2_kg.1__526__AT1G05680.1 transcript:fgenesh2_kg.1__526__AT1G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KF70] MREGSHLIVLPFPGQGHITPMSQFCKRLASKGLKLTLVLVSDKPSPPYKTEHDSITVFPISNGFQEGEEPLQDLDDYMERVETSIKNTLPKLIEDMKQSGNPPRAIVYDSTMPWLLDVAHSYGLRGAVFFTQPWLVSAIYYHVFKGSFSVPSTKYAHSTLASFPSFPMLNANDLPSFLSESSSYPNILRIVVDQLSNIDRVDILLCNTFDRLEEKLLKWVQSLWPVLNIGPTVPSMYLDKRLSEDKNYGFSLFNAKVAECMEWLNSKQPNSVVYVSFGSLVILKEDQMLELAAGLKQSGRFFLWVVRETETDKIPRNYVEEIGEKGLIVSWSPQLDVLAHKSIGCFLTHCGWNSMLEGLSLGVPMIGMPHWTDQPTNAKFMEDVWKVGVRVKAEDDGFVRREEIVRSVGEVMEGEKGKEIRKNAEKWKVLAQEAVSEGGSSDKSINEFVSVFY >fgenesh2_kg.1__52__AT1G01770.1 pep chromosome:v.1.0:1:186514:191052:-1 gene:fgenesh2_kg.1__52__AT1G01770.1 transcript:fgenesh2_kg.1__52__AT1G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPDVKEILCDCVINLRENPKRRRETVYVGCGAGFGGDRPLAALKLLQSVEDLNYLVLECLAERTLADRWLSMASGGVGYDPRVSEWMHLLLPVALDKGTCIITNMGAIDPSGAQKKVLEVASKLGLTISVAVAHEVHFETGSGSSFGGQYCSAGGTSTYLGAAPIVECLEKYQPDVIITSRVADAALFLAPMVYELGWNWNDLELLAQGTLAGHLLECGCQLTGGYFMHPGDQYRDMAFPLLQGLSLPYAEIGYDGKVCVSKAEGSGGILNTSTCAEQLLYEIADPSAYITPDVVIDIRGVSFLPLSDCKVQCSGAKPSANTSVPEKLLRLIPKECGWKGWGEISYGGHGSIQRAKASEFLVRSWMEETIPGVNHCILSYVVGLDSLKATSNGTESWQSCGDIRLRMDGLFKLKEHAVQLTKEFTALYTNGPAGGGGISTGHKMEIFLEKLLVSRESVMWKTGLQHTNTSESETPEHHSPVAREKIGKIPKESQDNLTMRGYQSGFHHSPAPSGQKIPLYSVAHSRAGDKGNDINFSIIPHYSPDIERLKLIITPQWVKHVMSVLLSTSSFLEIDAKPVDENVSVEIYDVEGIHAMNVVVRNILDGGVNCSRRIDRHGKTISDLILCQQVVL >fgenesh2_kg.1__534__AT1G05730.1 pep chromosome:v.1.0:1:2054328:2056016:1 gene:fgenesh2_kg.1__534__AT1G05730.1 transcript:fgenesh2_kg.1__534__AT1G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIAAAEEQIITERIRRKLEEVNASAQSQLSPIQDHINFTLQQAYFKCAYECFDRNRKQEEIANCVEHCSVPVVNAQQHFEGEMAQFQERMNRSLMVCQDKFEASKLHKNRVDAAKAMESCVNTSIEDSLDTLPHIVQRMKTSFSIAD >fgenesh2_kg.1__536__AT1G05750.1 pep chromosome:v.1.0:1:2057827:2061057:1 gene:fgenesh2_kg.1__536__AT1G05750.1 transcript:fgenesh2_kg.1__536__AT1G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE247 [Source:UniProtKB/TrEMBL;Acc:D7KF75] MGLLPVVGITSPALITRKRHANPKIQRLNQSTSENTVSWTSRITLLTRNGRLAEAAKEFSDMRLAGVEPNHITFIAILSGCGDFPSGSEALGDLLHGYACKLGLDRNHVMVGTAIIGMYSKRGRVKKARCVFDYMEDKNSVTWNTMIDGYMRSGQVDNAAKMFDKMPERDLISWTAMINGFVNKGFHEEALAWFREMQISGVKPDYVAIIAALNACTNLGALSFGLWVHRYVMSQDFKNNVRVSNSLIDLYCRCGCVEFARQVFDKMEKRTVVSWNSVIVGFAANGNAHESLVYFRKMQEERFKPDAVTFTGALTACSHVGLVEEGLRYFQIMISDYRISPRIEHYGCLVDLYSRAGRLEDALKLVQSMPMKPNEVVIGSLLAACRNHGNNTVLAEKLMKHLTDLNVKSHSNYVILSNMYAADGKWEGASKMRRKMKGLGLKKQPGFSSIEIDDCTHVFMAGDSAHVETTNICEVLKLISSNLRLQGCVVETLPGDLLNA >fgenesh2_kg.1__538__AT1G05780.1 pep chromosome:v.1.0:1:2061725:2063217:1 gene:fgenesh2_kg.1__538__AT1G05780.1 transcript:fgenesh2_kg.1__538__AT1G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATPase assembly integral membrane protein VMA21 homolog [Source:UniProtKB/TrEMBL;Acc:D7KF76] MAGVMHKFLIASMFMWILPVAILYGFNYNLLPGSTTLSPHSLTLLSGFLAVVSVNIVIVFYICMALKEPADKHKPDAAFLAEAKDSVSKLTKGATSGDDHALKKQE >fgenesh2_kg.1__541__AT1G05800.1 pep chromosome:v.1.0:1:2078001:2079437:1 gene:fgenesh2_kg.1__541__AT1G05800.1 transcript:fgenesh2_kg.1__541__AT1G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7KF78] MAAKVFTQNPICSPSLIRDKTPQQKHNLGHFSLSKNTSKRLVVSSSIMSPPIPSSPLALPSSSSSQVFPPSRAPAATLPLSRIWREIQGSNNWENLIDPLSPILQQEITRYGNLLSASYKGFDLNPDSKRYLNCKYGKKNLLKESGIHDPDGYQLTKYIYATPDVNLNPIKNEPNRARWIGYVAVSSDESVKRLGRRDIVVTFRGTVTNHEWLANLKSSLTPARLDPHNPRPDVKVESGFLGLYTSGESESKFGLESCREQLLSEISRLMNKHKGEEMSITLAGHSMGSSLAHLLAYDIAELGMNQRSDEKAVPVTVFSFAGPRVGNLGFKKRCEELGVKVLRITNINDPITKLPGFLFNENFRSLGGVYELPWSCSCYTHVGVELTLDFFDVQNISCVHDLETYINLVNRPRCSRSAVNEDNFGGDFLNRTSEMMFGKGRRRALHLTNAATNAAYLLCSISNHMLYYNIF >fgenesh2_kg.1__542__AT1G05805.1 pep chromosome:v.1.0:1:2085326:2088362:1 gene:fgenesh2_kg.1__542__AT1G05805.1 transcript:fgenesh2_kg.1__542__AT1G05805.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7KF79] MYQSSSSTSSSSQRSSLPGGGGLIRYGSAPGSFLNAVVDEVIGGGSSNARDFTGYQPSSDNFIGNFFTGADSSSLRSDSTTCGVNSSDGQKQLGNNNNNKDIFLDRTYGGYNEISQQHKSNDIGGNSSGSSYSLARQRSSPADFFTYLSADKNNFSLNQPTSDYNPQGGSNAGRGHSRLKSQLSFTNHDSLARINEVNETPVHDGSDHSFSAASFGAAATDSWDDGSGSIGFTVTRPNKRSKDMDSGLFSQYSLPSDASMNYMDNFMQLPEDSVPCKIRAKRGCATHPRSIAERERRTRISGKLKKLQDLVPNMDKQTSYSDMLDLAVQHIKGLQHQLQNLKKDQENCTCGCSERPS >fgenesh2_kg.1__549__AT1G05840.1 pep chromosome:v.1.0:1:2103651:2107286:-1 gene:fgenesh2_kg.1__549__AT1G05840.1 transcript:fgenesh2_kg.1__549__AT1G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7KF83] MTFSIVSFPICARITLIWFLTCLISVSSNPGVFNVKYRYPRLQGSLSALKEHDDRRQLTILAGIDLPLGGTGRPDIPGLYYAKIGIGTPAKSYYVQVDTGSDIMWVNCIQCKQCPRRSTLGIELTLYNIDESDSGKLVSCDDDFCYQISGGPLSGCKANMSCPYLEIYGDGSSTAGYFVKDVVQYDSVAGDLKTQTANGSVIFGCGARQSGDLDSSNEEALDGILGFGKANSSMISQLASSGRVKKIFAHCLDGRNGGGIFAIGRVVQPKVNMTPLVPNQPHYNVNMTAVQVGQEFLNIPADLFQPGDRKGAIIDSGTTLAYLPEIIYEPLVKKITSQEPALKVHIVDKDYKCFQYSGRVDEGFPNVTFHFENSVFLRVYPHDYLFPYEGMWCIGWQNSAMQSRDRRNMTLLGDLVLSNKLVLYDLENQLIGWTEYNCSSSIKVKDEGTGTVHLVGSHFISSALPLDTCLFLLSVLLLMTMFLL >fgenesh2_kg.1__550__AT1G05850.1 pep chromosome:v.1.0:1:2107636:2109819:-1 gene:fgenesh2_kg.1__550__AT1G05850.1 transcript:fgenesh2_kg.1__550__AT1G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pom-pom1 [Source:UniProtKB/TrEMBL;Acc:D7KF84] MVTIRSGSIVILVLLAVSFLALVANGEDKTIKVKKVRGERVCTQGWECSWWSKYCCNQTISDYFQVYQFEQLFSKRNTPIAHAVGFWDYQSFITAAALYEPLGFGTTGGKLQGQKEMAAFLGHVASKTSCGYGVATGGPLAWGLCYNREMSPMQSYCDESWKFKYPCSPGAEYYGRGALPIYWNFNYGAAGEALKADLLNHPEYIEQNATLAFQAAIWRWMTPIKKYQPSAHDIFVGNWKPTKNDTLSKRGPTFGSTMNVLYGEYTCGQGSIDPMNNIISHYLYFLDLLGIGREDAGPNEELSCAEQKPFNPSTVPSSSSS >fgenesh2_kg.1__551__AT1G05860.1 pep chromosome:v.1.0:1:2110143:2112118:1 gene:fgenesh2_kg.1__551__AT1G05860.1 transcript:fgenesh2_kg.1__551__AT1G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKQNPSSSKPPRHPSPITASIPSKPGVSEESQFRNPNNPSTSSNSPISMAVEDQILGSSSHITRTELLRRRSHNLKQLARCYRDHYWALMEDLKAQHRYYSWNYGVSPFKDENHQQNKRRKVEGQTGDEIEGSGDNDNDGVKAGNCVACGNGCKSKAMALTNYCQLHILMDKKQKLYTSCTYVNKRAQSKAITCPKPTLASTVPALCNVHFQKAQKDVARALKDAGHNVSSTSKPPPKLHDIVAAFVHHIQAKRKDPRKEGKLKSLVKEEIAS >fgenesh2_kg.1__552__AT1G05870.2 pep chromosome:v.1.0:1:2112503:2114159:-1 gene:fgenesh2_kg.1__552__AT1G05870.2 transcript:fgenesh2_kg.1__552__AT1G05870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCARCKSSDPWQTSASALEEYVDESGINEAWVEISHRGSFVSGEGSSRKKLERKKSQVLLEGYVETAAVDDQKDDLTRSKSLTDDDLEDLKGCLDLGFGFSYDEIPELCNTLPALELCYSMSQKFLDDKHKSPESSSVEDSPSPSPVTSTPIANWKISSPGDNPDDVKARLKYWAQAVACTVQLCS >fgenesh2_kg.1__554__AT1G05880.1 pep chromosome:v.1.0:1:2116675:2119489:1 gene:fgenesh2_kg.1__554__AT1G05880.1 transcript:fgenesh2_kg.1__554__AT1G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNSVIKSQGELEIQSEAEAEAYESYVNAALEDGQTTKKSVQRNYATVLTEEDIRALMEIDIRSVSDLTSLSKAEATLLLSHLRWDVDDIREQWSAGAQIVRESVGLLELDPPSDDNEYFCGACGKSNPHKNFASVSCGHRICTRCWKSHINKIISEKPSAEWNLWLKCPVRVGLHASCPASVGRDMIEEFASREEKFNYNQYLLRTYVENRETMKWHPIRGSRCAIDLSPDSGNASVSCLRLVRFCWNCREDAHSPVDCKTAAKWLLENAVPCPTCMQSIPRNQDNSLKMKCLPCNYEFCWYCRLDWIEHLEGTGGDSYTCNFNAVSSDQSGEMSESDPNRYGDCYEDWSSNELLMQKAEANLPKLDTAIKDLSNTQLENVSQLKFILEAGLQIIECLRVLKWTYVYGYYLREDEVGKQNLLKDTQERLKNFVENLNHCLVTNLEPFRYEEEPSKDFKAFRIKLTELTSLTRNHYENVVKDIENGLASVVSEGEASGSGRNHDD >fgenesh2_kg.1__555__AT1G05890.1 pep chromosome:v.1.0:1:2120424:2125210:1 gene:fgenesh2_kg.1__555__AT1G05890.1 transcript:fgenesh2_kg.1__555__AT1G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDDMLDAHDMEYGDDDFYSGGTDDYDDSDDVETDYGFGEADADDSAIIASHRSQINYVVLKEEDIHRHQNDDVGRVSVVLSITDVEASILLLHYHWDVSKVHDEWFADEERVRRTVGILEGPVVPTPDGRELTCGICFESYPLEDIVSASCGHPFCNTCWTGYISTTINDGPGCLMLKCPDPSCPAAIGRDMIDKLACKEDKEKYYRYFLRSYVEDNRKMKWCPAPGCEHAIDFAAGTESYDVSCLCSHSFCWNCTEEAHRPVDCDTVGKWILKNSAESENMNWILANSKPCPRCKRPIEKNHGCMHMTCTPPCKHEFCWLCLNAWTEHGERTGGFYACNRYEAAKQEGLYDEAERRREMAKNSLERYTHYYERWASNQVSRQKAMGDLQKMQSEKLGKLSDIQCTPESQLKFITEAWLQIIECRRVLKWTYAYGYYLPDHAKRQFFEYLQGEAESGLERLHKCVEKDLEVFQIADGPSDEFNHFRTKLTGLTSITKTYFENLVKALENGLADVDIQAASSKPANSKSSSKTKGGGKGKGSSKNGGSSRNPDDN >fgenesh2_kg.1__557__AT1G05894.1 pep chromosome:v.1.0:1:2125512:2126926:1 gene:fgenesh2_kg.1__557__AT1G05894.1 transcript:fgenesh2_kg.1__557__AT1G05894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTNEKDAGSKGFVKRVASSFSMRKKKNATSEPKLLPRSKSTGSTNFESMRLPATKKISDVTNKTRIKPSGGVTPPQLRREKIDDRGGGINNKFVKWRSFDDSDSIWLSSDCASPTSLLEERRLSVSFRFSVDESVVSWLSNLAKASLSLNHQEVSSIKDRPRIPRNTKENIQKKDSFSSAPNLTVIDSSTQSSQGKKVNFSQSSGIELESGNHSPSLIISSDVPSDPNNHTATSLVRKISLDEKSAEIVDSKSSSSNVDEPLFWPYEQRFDWTPEDILKHFSMSPRRKKLFNAKVSAGSSPRSMRAQLLQARKLDLKDGSKRKLVFNGPLTNASKIPELKRTISNNSNKKNDSIKNEPIRNCVKRNKSLPSRLRKSSKTCSKVVPFEVAEEVIAVERAKVEITARKLINRRSKTMLEDDFALINDFSIENAVGLGEFKGREGIDSEFNSDTFLFDDSL >fgenesh2_kg.1__558__AT1G05900.2 pep chromosome:v.1.0:1:2130929:2133710:1 gene:fgenesh2_kg.1__558__AT1G05900.2 transcript:fgenesh2_kg.1__558__AT1G05900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease III homolog [Source:UniProtKB/TrEMBL;Acc:D7KF91] MILTTGAASTIPIAARVFNAMNRRMYAAATLSSAKSISAESLNPRPDSNFDSGAAIGTSESETRVSLRKKRLKQEDLEPVQQCSSRGINARKEMCGLPDIEESPYKKTNGSASSRTSKINSFIKSTEASTSIKTAGIPPENWKKVLEGIQKMKSSEEAPANAVECDRTGSFLPPKERRFYVLIGTLLSSQTKEHITGAAVERLHQNGLLTPEAIDKADESTIKELIYPVGFYTRKATNVKKVAKICLMKYDGDIPRTLEELLSLPGVGPKIAHLVLHVAWNDVQGICVDTHVHRICNRLGWVSKPGTKQKTLSPEETRVALQQWLPKEEWVAINFLLVGFGQTICTPLRPRCGTCSITELCPSAFKETPSTSSKLKKSIKSKKL >fgenesh2_kg.1__55__AT1G01730.1 pep chromosome:v.1.0:1:197601:198678:-1 gene:fgenesh2_kg.1__55__AT1G01730.1 transcript:fgenesh2_kg.1__55__AT1G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTSPATQVTEPPPTSSLPAQAPPLPTSADQRSAELPSPAQMTAPPSTVTTDPSSRGRKRALEANLQIESSNYYKMRLLVKDLRPHVLEVLRTPDFSNSKAAIEIQEKMKLMLQLYQEMIGESPKREKTAKSESLSNGKATTTSVLRSSETSYVNSDGDGDKVVGGSAFGWNFITSGGPGTEPVYSGMSKEEYRSSHPIIQVEAAVELHDTF >fgenesh2_kg.1__560__AT1G05910.1 pep chromosome:v.1.0:1:2134256:2140940:1 gene:fgenesh2_kg.1__560__AT1G05910.1 transcript:fgenesh2_kg.1__560__AT1G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKRSSQGDGSVTKPVRSSDRLRRRPKLYNRSYVYYSPNILHNRKRNAKTRTAASQIAKMLHKGNRPARASNAAPIASDLRRSTRKRRISVNLEDYTDSSGAEDEDMMSPAYRTLRSRVYNGVHKNYSTSKSRKDMDAELAPRREGLRPRRSNKRLKTESGTDQDTSEEKDGQDETENGNELDDADDGENEVEAEDEGNGEDEGDGEDEEEEDGDDDEEGDEEQEGRKRYDLRNRAEVRRMPTEEINKQQQPRSPRRVLHQGMGTRVGRHVRRGGSRPHKRHRFTRTDDSDDSLLVDELDQGPAIPWARGGNRSGAPWLFGGLDTYGSSSLGLNVGASGWGHQSDGLAALTSGVQTAGPSSKGGADIQPLQINEDINFDDIGGLSEYINDLKEMVFFPLLYPEFFASYSITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRVDAIDGALRRPGRFDREFNFSLPGCEARAEILDIHTRKWKHPPTRELKEELAATCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKYAIDVGLVNVEKSHFVEAMSAITPAAHRGSVVQSRPLSPVVLPCLHRHLLESMSIISDIFPSSATSSDLTKLSILSFGSAIPLVYRPRLLLLGGEGVGLDHLGPAILHELEKFPIHSLGLPSLLSDPGAKTPEEALVHIFSEARRTTPSILYIPMFNNWWENAHEQLRAVFLTLLEELPSNLPILLLATSYGELSDVEEQTVFDNRSIYTVDKPSSEDRSLFFDRLIEAALSVISGLNGKPFGPQPLPELPKVPKEPAGPKPAEVKAKVEAEQHSLRRLRMCLRDVCNRILYDKRFSAFHFPVTDEDAPNYRSIIQNPMDTATLLQRVDTGQYLTCAPFLQDVDLIVRNAKAYNGDDYAGARIVSRAYELRDVVHGMLSQMDPALLTYCDKIAAEGGPSQIPDDLSGSILGLAPVVQMGTVTRTSARLRNVQPEVNLDRDYEGLKKPKKTTDAVCTDSAADKSQNQDASKEMPSPEAANTQSAVPSPTDGDREDRSEPPSKEASAEDVSGDSSRDFAKSDEEISSQTESVKGLLMERTDNYSIPQMERLYTRIMKGVLETLDKGLRDDDNNPKHSILRFLSEFAQHQANF >fgenesh2_kg.1__564__AT1G05970.2 pep chromosome:v.1.0:1:2160263:2161200:-1 gene:fgenesh2_kg.1__564__AT1G05970.2 transcript:fgenesh2_kg.1__564__AT1G05970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide binding protein [Source:UniProtKB/TrEMBL;Acc:D7KF96] MATPEEAAYEKFLERVRRTVYIDELTPLATTPVIESAFNQFGTVKKVSFIPNFLGPKELPVSVLVEMETEDMAQAVISTVSQLPFMVAGMPRPVRASAAEPNMFVDRPKKPGRTIRFRWIEPNDPDFDKARRMKRLARKHTAENSFMLKKQLEEAEKLSKQQSETAVTHHKKFEMMDKLLYDGVAQKLADRYSMKCFPYR >fgenesh2_kg.1__569__AT1G06030.1 pep chromosome:v.1.0:1:2170952:2172201:1 gene:fgenesh2_kg.1__569__AT1G06030.1 transcript:fgenesh2_kg.1__569__AT1G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-type carbohydrate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KFA1] MTSSNTPSTGDKGLVVSFGEMLIDFVPTESGVSLSESSGFLKAPGGAPANVAIAVSRLGGRAAFVGKLGDDDFGHMLAGILRKNGVDDQAINFDRGARTALAFVTLRSDGEREFMFYRNPSADMLLRPDELNLELIRSAKVFHYGSISLITEPCRSAHMKAMEVAKEAGALLSYDPNLREPLWPSPDEARTQIMSIWDKADIIKVSDVELEFLTGNKTIDDEIAMSLWHPNLKLLLVTLGENGCRYYTKDFHGSVETFHVDAVDTTGAGDSFVGALLNQIVDDHSVLEEEERLRKVLRFANACGAITTTKKGAIPALPSDCEALSFLKRQIET >fgenesh2_kg.1__56__AT1G01725.1 pep chromosome:v.1.0:1:198837:204518:1 gene:fgenesh2_kg.1__56__AT1G01725.1 transcript:fgenesh2_kg.1__56__AT1G01725.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KP78] MNPQIEKVVRVTSVVATAVVSYFLLTADYGPEPNALDPIRQRILSAQDSVKELIFPSKK >fgenesh2_kg.1__570__AT1G06040.1 pep chromosome:v.1.0:1:2172559:2173982:-1 gene:fgenesh2_kg.1__570__AT1G06040.1 transcript:fgenesh2_kg.1__570__AT1G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KFA2] APATVICCADEAALCPQCDVEIHAANKLASKHQRLHLNSLSTKFPRCDICQEKAAFIFCVEDRALLCRDCDESIHVANSRSANHQRFLATGIKVALTSTSCNKEMEKNQPEPSNNQQKPNQIPAKSPSQQQQPSSATPLPWAVDDFFHFSDIESTDQKGQLDLGAGELDWFSDMGFFGDQINHKALPAAEVPELSVSHLGHVHSYKPMKSNVSYKKPRYEIRDDDDDEEHFIVPDLG >fgenesh2_kg.1__572__AT1G06060.1 pep chromosome:v.1.0:1:2176790:2178729:1 gene:fgenesh2_kg.1__572__AT1G06060.1 transcript:fgenesh2_kg.1__572__AT1G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRQFAHTVVDDNDIHSIVMSYLLHNCFNETADSLASCTGVKQPAIDRDNLERRKQIIHFILERKALKAVELTEQLAQDLLEKNKDLHFDLLCLHFVDRVCAGNCTEALEFAQTRLAPFGKVPKYVEKLEDVMALLAYEDPEKSPMFHLLSSEYRQQVADNLNRTILEHTNHPSYTPMERIIQQVTVVRQYLTEENGKDAFPPFSLKDSLKG >fgenesh2_kg.1__575__AT1G06090.1 pep chromosome:v.1.0:1:2191039:2192656:1 gene:fgenesh2_kg.1__575__AT1G06090.1 transcript:fgenesh2_kg.1__575__AT1G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase family protein [Source:UniProtKB/TrEMBL;Acc:D7KFA8] MGDTTKDDGSSQSKAVRRGKRAFFFRKWTRIDVMRASAVGSVHLLCLLAPFNFKWEAFRFGVILAIVTSLSITFSYHRNLTHRSFKLPKWLEYLFAYTALFALQGHPIDWVSTHRFHHQFTDSDRDPHSPIEGFWFSHVLWIFDTSYIREKCGGRDNVMDLKKQWFYRFLRNTIGLHILSFWTLVYLWGGLPYLTCGVGVGGAIGYNGTWLINSACHIWGTQAWNTKDTSRNVWWLGPFTMGESWHNNHHAFEASARHGLEWYQVDLTWYLIWFFQALGLATDVKLPTDAQKRKMAFAR >fgenesh2_kg.1__578__AT1G06110.1 pep chromosome:v.1.0:1:2198674:2200660:-1 gene:fgenesh2_kg.1__578__AT1G06110.1 transcript:fgenesh2_kg.1__578__AT1G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Skp1/ask-interacting protein 16 [Source:UniProtKB/TrEMBL;Acc:D7KFB0] MGLEDAGDLILHIVLSKIGPESTARVACVSKRLKVSASEESLWSIFCSNDLNISTPLDPHGDPAPSFKRAYQLWRESFRMYPWNLVKRVRLCWDKLKLWLSLNFPEAKATLRKGATEDDLQELETSLKVKLPLPTRLLYRFVDGQELSSSNGLDGSLGLIGGYSAYSHDVNVYLLPLKEVIRETKETMLHLGFSTRLNLIVMAASVVASLKIFLLDCTNGQLFTGTSNRQLLPCVPDSLVRSVHDINGDQQQDAMLLWLEEHGRRLQTGTIKVREQYNVKSISLFPEIPPLCSVAVTNGVQVRASSVFIPEISNLRDEPPAYWYAYSIRMSLMPEGCILNGTHHSSCQLYWRRWVIRADNEAIDNVNGEAVIGKYPLLQAGEEEFVYESCSSFPTTAGSIEGSFTFVPGSLRDPKGSQFEVKVAEFPLELPDYIF >fgenesh2_kg.1__583__AT1G06140.1 pep chromosome:v.1.0:1:2208539:2210215:1 gene:fgenesh2_kg.1__583__AT1G06140.1 transcript:fgenesh2_kg.1__583__AT1G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KFB2] MLPVNRNRALLSILSQTKTLHHTQQVHAKVIIHGFQDEVVLGSSLTNAYIQSNRLDFATASFDRIPCWKRNRHSWNTILSGYSKSKSCYYSDVLLLYNRMRRHCDGVDSFNLVFAIKACVGLGLLENGMLIHGLAMKNGLDKDDYVAPSLVEMYAQFGTMESAQKVFDEMPVRNSVLWGVLMKGYLKYSKDSEVFRLFYLMRDTGLALDALTLICLVKACGNVSAGKEGKCVHGLSIRRSFIDQSGYLEASIIDMYVKCRLLDNARKLFETSVERNVVMWTTLISGFAKCERAVEAIDLFRQMLGESILPNHCTLAAILVSCSSLGSLRHGKSVHGYMIRNGIEMDAVNFTSFIDTYARCGNIQMARKVFDMMPKRNVISWSSMINAFGINGLFEEALDCFDNMKSQNLVPNSVTFVSLLSACSHSGNVKEGWKQFESMTRDYGLVPEEEHYACMVDLLGRAGEIGEAKSFIDNMPVKPMASAWGALLSACRIHKEVDLAGEIAEKLLSMEPDESSVYVLLSNIYADAGMWEMVNCVRHKMGIKGYRKPMGLSATEGG >fgenesh2_kg.1__587__AT1G06160.1 pep chromosome:v.1.0:1:2225280:2226130:1 gene:fgenesh2_kg.1__587__AT1G06160.1 transcript:fgenesh2_kg.1__587__AT1G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQNTFLSGEFSPENSSSSSWSSQESFFWEESFLHQSFDQSFLLSSPSYYSDDFFAFESSIIKEEEEATVAAEEEEKSYRGVRKRPWGKYAAEIRDSTRKGIRVWLGTFNTAEEAALAYDQAAFALKGSLAVLNFPVDVVEESLRKMENVNLNDGESPVIALKRKHSMRNRPRGKKKSSSSSTLTSSPSSSYSSSSSLSSRGRKQSVVMTQESNTTLVVLEDLGAEYLEELMRSCS >fgenesh2_kg.1__58__AT1G01710.1 pep chromosome:v.1.0:1:206707:211900:-1 gene:fgenesh2_kg.1__58__AT1G01710.1 transcript:fgenesh2_kg.1__58__AT1G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7KP80] MNTDSVVEFLGNVPLLQKLPSSSLKKIAQVVVLKRYGKGDYVVREEQTWDGCYFILQGEAQVSGPDEEDNRSEFLLKQYDYFGLGLSGNVHSADIVAMSQLTCLVLPRDHCHLLETNSIWQSDTSVDKCSLVERILQLDPLELNIFRGITLPDAPIFGKVFGGQFVGQALAAASKTVDFLKIVHSLHSYFLLVGDIDIPIIYQVHRIRDGNNFATRRVDAVQKGNIIFILLASFQKEQQGFDHQESTMPSVPDPNTLLSLEELRERRITDPHLPRSYRNKVATRNFVPWPIEIRFCEPSNSTNQTKSPPRLNYWFRAKGRLSDDQALHRCVVAFASDLIFCGVGLNPHRRKGLRSAALSLDHAMWFHRPLRADDWLLYVIVSPSAHETRGFVTGEMFNRKGELVVSLTQEALLREARPPKPSVTSKL >fgenesh2_kg.1__590__AT1G06180.1 pep chromosome:v.1.0:1:2235481:2237250:1 gene:fgenesh2_kg.1__590__AT1G06180.1 transcript:fgenesh2_kg.1__590__AT1G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPCCEKIGLKKGPWSAEEDRILINYISLHGHPNWRALPKLAGLLRCGKSCRLRWINYLRPDIKRGNFTPHEEDTIINLHQILGNRWSAIAAKLPGRTDNEIKNVWHTHLKKRLHHNQDQNNKENFASTTAAERLQQQSSSSADISEITTSGNNNDISNNNKDSATSSEDVLAVIDESFWSEVVLMDCNISGDGEKNEQKIENWEGSLDKNDKGYNHDMEFWFDHLTSSRIVGEICDISEF >fgenesh2_kg.1__596__AT5G25230.1 pep chromosome:v.1.0:1:2251833:2255907:1 gene:fgenesh2_kg.1__596__AT5G25230.1 transcript:fgenesh2_kg.1__596__AT5G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLYDEFGNYIGPEIESDRDSDDEIEDENLQDKQLEENGSDGEHGPGGSNGWITTINDVEMENQIVLPEDKKYYPTAEEVYGEGVETLVMDEDEQPLEQPIIKPVRDIRFEVGVKDQSTYVSTQFLIGLMSNPALVRNVALVGHLQHGKTVFMDMLVEQTHHMSTFNTKNEKHMKYTDTRVDEQERNISIKAVPMSLVLEDSRSKSYLCNIMDTPGHVNFSDEMTASLRLADGAVLIVDAAEGVMVNTERAIRHAIQDRLPIVVVINKVDRLITELKLPPRDAYYKLRHTIEVINNHISAASTTAGNLPLIDPAAGNVCFASGTAGWSFTLQSFSKMYAKLHGVAMDVDKFASRLWGDVYYHPDTRVFKRSPPVGGGERAFVQFILEPLYKIYSQVIGEHKKSVETTLAELGVTLSNSAYKLNVRPLLRLACSSVFGSASGFTDMLVKHIPSPREAAARKVDHSYTGTKDSPIYESMVECDPSGPLMVNVTKLYPKSDTSVFDVFGRVYSGRLQTGQSVRVLGEGYSPDDEEDMTIKEVTKLWIYQARYRIPVSSAPPGSWVLIEGVDASIMKTATLCNASYDEDVYIFRALQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLDRGLAEDIENGVVSIDWNRKQLGDFFRTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDRNLMMAVKDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIAPEPLHRGSGQMIPTARRVAYSAFLMATPRLMEPVYYVEIQTPIDCVTAIYTVLSRRRGHVTSDVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKAIQLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSGNKFFDEAMMVELAQQTGDLHLQMI >fgenesh2_kg.1__598__AT1G06240.1 pep chromosome:v.1.0:1:2266875:2268780:1 gene:fgenesh2_kg.1__598__AT1G06240.1 transcript:fgenesh2_kg.1__598__AT1G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIHHLRAFPTTCLSPRSLTISSTKRQHKLWSGLDNWRKSPVNDLRLWGPTGPLLPSSDSISPGFYGLVSAASSLADLGALVLSTSDPLSKSHISHLAFSRWRHENLPVGSISHLPSSPARPPKPLLVATNEVPNPKDSNLPLNAHMLHNLAHVELNAIDLAWDTVARFSPFFDVLGRNFFDDFAHVADDESRHFLWCSQRLAELGFKYGDIPANNLLMRECEKTSNNVAARLAVIPLVQEARGLDAGPRLVKRLTGFGDNRTSKIVAKIAEEEVAHVAVGVDWFLSVCQKMNHLIKEYGVELRGPFNHSAREVAGIPRDWYDPSCGTEVDKGDNKQGDKEQLSAVYDRLTHIISMESENSSLGRPAK >fgenesh2_kg.1__5__AT1G02160.2 pep chromosome:v.1.0:1:12552:13416:-1 gene:fgenesh2_kg.1__5__AT1G02160.2 transcript:fgenesh2_kg.1__5__AT1G02160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGEAAAYPSAARISDSPCYLQYSASLKCLEEFGSDKSKCQDHFDVYKECKKKEALVFPTSLLRLPMCSFVSQREARLERNKTRSLFS >fgenesh2_kg.1__600__AT1G06265.2 pep chromosome:v.1.0:1:2270607:2271822:-1 gene:fgenesh2_kg.1__600__AT1G06265.2 transcript:fgenesh2_kg.1__600__AT1G06265.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KG14] MKKHVTQKFEASRYLILISEPVEKLCSDAVQDLLAKASFGYGKPVPPLQMLHEYALI >fgenesh2_kg.1__603__AT1G06290.1 pep chromosome:v.1.0:1:2283798:2287776:1 gene:fgenesh2_kg.1__603__AT1G06290.1 transcript:fgenesh2_kg.1__603__AT1G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:D7KG20] MSDNRALRRSHVLANHILQSTPLASNLSLTREVCLQYSPPELNENYGFDVKEMRKLLDGHNVVDRDWIYGLMMQSNLFNRKERGGKIFVSPDYNQTMEQQREITMKRIWYLLENGVFKGWLTETGPEAELRKLALLEVCGIYDHSVSIKVGVHFFLWGNAVKFFGTKRHHEKWLKNTEDYVVKGCFAMTELGHGSNVRGIETLTTYDPTTEEFVITTPCESAQKYWIGGAANHATHTIVFSQLHINGTNQGVHAFIAQIRDQDGNICPNIRIADCGHKIGLNGVDNGRIWFDNLRIPRENLLNAVADVSSDGKYVSSIKDPDQRFGAFMAPLTSGRVTIASSAIYSAKVGLAIAIRYSLSRRAFSVTANGPEVLLLDYPSHQRRLLPLLAKTYAMSFAANELKMIYVKRTPETNKAIHVVSSGFKAVLTWHNMHTLQECREAVGGQGVKTENLVGQLKGEFDVQTTFEGDNNVLMQQVSKALFAEYVSCKKRNKPFKGLGLEHLNSPRPVLPTQLTSSTLRCSQFQTNVFCLRERDLLERFTSEVAQLQGRGESREFSFLLSHQLAEDLGKAFTEKAILQTILDAEAKLPAGSVKDVLGLVRSMYALISLEEDPSLLRYGYLSQDNVGDVRREVSKLCGELRPHALALVTSFGIPDSFLSPIAFNWVEANAWSSV >fgenesh2_kg.1__607__AT1G06350.1 pep chromosome:v.1.0:1:2301288:2302632:-1 gene:fgenesh2_kg.1__607__AT1G06350.1 transcript:fgenesh2_kg.1__607__AT1G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase family protein [Source:UniProtKB/TrEMBL;Acc:D7KG25] MCDPTRDDVSSQSRAVSIEKRAYFQRKWPLVDVIRALTVVIVHFLCLLAPFNYEWEAFRFGLVLFVITTLSITFSYHRNLSHRSFKIPKWIEYPFAYSAVFALQGDPMDWVSIHRFHHQFTDSDRDPHSPKEGLWFSHILWIFDTQYIKYKCGGRDNVMDLKKQWFYKFLRRTIALHIAMFWTVLYLYGGLPYLTCGGGVGGVIGYHVTWLVNSACHIWGSRSWKTKDTSRNVWWLSLFTMGESWHNNHHAFESSARQGLEWWQIDITWYLIRLFEVLGLATDVKLPSDFQKQKMAIVR >fgenesh2_kg.1__608__AT1G06360.1 pep chromosome:v.1.0:1:2304507:2305881:-1 gene:fgenesh2_kg.1__608__AT1G06360.1 transcript:fgenesh2_kg.1__608__AT1G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase family protein [Source:UniProtKB/TrEMBL;Acc:D7KG26] MCDPIREDGSSQRGALSKEKRPYIHREWSWADIIRALTVMVVHFLCLLAPFNYKWEALRFGLVLYALTSLSITFSYHRNLAHRSFKLPKWLEYPLAYFAVFALQGDPLDWVSIHRFHHKFTDSDRDPHSPIEGFWFSHVWWICDTRYIKYKCGGRNNVMDLKQQWFYRFLRMTIGFHVLMFWTVLYLYGGLPYLTCGGGVGGVIGYHVTWLVNSACHIWGSRSWKTKDTSRNVWWLSLFTMGESWHNNHHAFESSARQGLEWWQIDITWYLIRLFEVLGLATDVKLPSEFQKRKLALIR >fgenesh2_kg.1__610__AT1G06390.1 pep chromosome:v.1.0:1:2312369:2316292:1 gene:fgenesh2_kg.1__610__AT1G06390.1 transcript:fgenesh2_kg.1__610__AT1G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLGHQPHALAPPPPQPQLHDGDALKRRPEMDSDKEMSAAVIEGNDAVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGESVAIKKVLQDRRYKNRELQLMRPMDHPNVISLKHCFFSTTSRDELFLNLVMEYVPETLYRVLRHYTSSNQRMPIFYVKLYTYQIFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKLCDFGSAKVLVKGEANISYICSRYYRAPELIFGATEYTASIDIWSAGCVLAELLLGQPLFPGENSVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHPFFNELREPNARLPNGRPLPPLFNFKQELGGASVELVNRLIPEHVRRQMGTGLQNS >fgenesh2_kg.1__614__AT1G06410.1 pep chromosome:v.1.0:1:2321113:2324444:1 gene:fgenesh2_kg.1__614__AT1G06410.1 transcript:fgenesh2_kg.1__614__AT1G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSYTNLLDLASGNFPVMGRERRRLPRVMTVPGNVSEFDEDQAYSVSSDNPSSVSSDRMIIVANRLPLKAERRNGSWSFSWDQDSLYLQLKDGLPEDMEILYVGSLSVDVDSHEQDDVAQILLDKFKCVPTFFPPDLQSKFYDGFCKRQIWPLFHYMLPFSADHGGRFDRSLWEAYVATNKLFFQKVIEVINPDDDFVWIHDYHLMVLPTFLRRRFNRIRMGFFLHSPFPSSEIYRSLPVREEILKALLNSDLIGFHTFDYARHFLTCCSRMLGLEYQSKRGYIGLEYYGRTVGIKIMPVGINMGRIQSVMRYSEEEGKVMELRNRFEGKTVLLGIDDMDIFKGINLKLLAMEQMLRQHSNWRGRAVLVQIVNPARGKGIDVEEIRGEIQESCRRINGEFGKPGYQPVIYIDTPVSINEINAYYHIAECVVVTAVRDGMNLTPYEYIVCRQGLLGSESDFSGPKKSMLVASEFIGCSPSLSGAIRVNPWNVEATGEALNEALSMSDAEKQLRHEKHFRYVSTHDVAYWSRSFLQDLERICVDHFKKRCWGMGISFGFRVVALDPNFRKLSIPCIVSDYKRAKSRAILLDYDGTLMPQNSINKAPSQEVLNFLDALCEDKKNSIFIVSGRGRESLGKWFTPCKNIGIAAEHGYFLKWSGSEEWETCGQSSDFGWMQIVEPVMKQYTESTDGSSIEIKESALVWQYRDADPGFGSLQAKEMLEHLESVLANEPVAVKSGHYIVEVKPQGVSKGSVSEKIFSSMAEKGKPVDFVLCIGDDRSDEDMFEAIGNAMSKRLLCDNALVFACTVGQKPSKAKYYLDDTTEVTCMLESLAEASEASNFSMRELDDAL >fgenesh2_kg.1__615__AT1G06420.1 pep chromosome:v.1.0:1:2324679:2325304:1 gene:fgenesh2_kg.1__615__AT1G06420.1 transcript:fgenesh2_kg.1__615__AT1G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KG33] MEDHEKIDGKMKKKKKSVASIPANYVSILQLQQRWLKEKEQKQKEKDYVERGVKQQVDHGQRRREDEEEGSKGHGNKSEARGSVKKEEIEVSAIVVSNKDDVGGDTREKKKKYTVKENARRAFKYKGENAAKEGTHCWIKKKVEEQGETSEVKEPARLNTKQDYHQNQRHDLSSTRVMRATTSTMVWVKKGK >fgenesh2_kg.1__622__AT1G06475.1 pep chromosome:v.1.0:1:2339889:2340321:-1 gene:fgenesh2_kg.1__622__AT1G06475.1 transcript:fgenesh2_kg.1__622__AT1G06475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMMKWASLKKKKQQQEETAKETQTWQRLRNLFSTSSSSAKWKRVEIIMVTEIVDGVVYKVMYVVEALVLVSTLCFFYLCCGCHI >fgenesh2_kg.1__623__AT1G06490.1 pep chromosome:v.1.0:1:2347291:2357835:1 gene:fgenesh2_kg.1__623__AT1G06490.1 transcript:fgenesh2_kg.1__623__AT1G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGGRAEDGRPPQMQPVRSMSRRMTRAGTMMIDHPNEDESAIDSELVPSSLASIAPILRVANDIEEDNPRVAYLCRFHAFEKAHRMDPTSSGRGVRQFKTYLLHKLEKEEEITEPMLAKSDPREIQLYYQTFYENNIQEGEGKKTPEEMAKLYQIATVLYDVLKTVVPQARIDEKTLRYAKEVERKKEQYEHYNILPLYALGAKTAVMELPEIKAAILAVCNVDNLPRPRFHSASANLDEVGRERGRSFNDILEWLALVFGFQRGNVANQREHLILLLANIDVRKRDLENYVELKPSTVRKLMEKYFKNYRSWCKYLRCESYLRFPPGCDEQQLSLLYISLYLLIWGEASNVRFMPECLCYIFHNMANEVHGILFGNVYPVTGDTYEAGAPDEEAFLRNVITPIYQVLRKEVRRNKMGKASHSKWRNYDDLNEYFWDNRCFRLKWPMNSKADFFIHTDEISPLPNERHDQVSHGKRKPKTNFVEARTFWNLYRSFDRMWMFLVLSLQTMIIVAWHPSGSILAIFYKDVFRNVLTIFITSAFLNLLQATLDLILSFGAWKSLKFSQIMRYITKFLMAAMWAIMLPITYSKSVQNPTGLIKFFSSWVGSWLHQSLYNYAIALYVLPNILAAVFFLLPPLRRIMERSNMRIVTLIMWWAQPKLYIGRGMHEEMFALFKYTFFWVMLLLSKLAFSYYVEILPLVNPTKLIWDMHVVNYQWHEFFPNATHNIGVIISIWGPIVLVYFMDTQIWYAIFSTLFGGIYGAFSHLGEIRTLGMLRSRFKLVPSAFCIKLTPLPLGHAKRKHLDDTVDEEDIARFSQVWNKFILTMRDEDLISDRERDLLLVPSSSGDVSVVQWPPFLLASKIPIALDMAKDFKGKEDVDLFKKIKSEYYMHYAVVEAYETVRDIIYGLLQDESDKRIVREICYEVDVSIQQHRFLSEFRMTGMPLLSDKLEKFLKILLSDYEEDETYKSQIINVLQDIIEIITQDVMVNGHEILERAHFQSGDIESDKKQQRFEQRFEKIDLRLTQNVSWREKVVRLLLLVTVKESAINIPQSLEARRRMTFFANSLFMNMPDAPRVRDMLSFSVLTPYYKEDVLYSEEELNKENEDGITILFYLQRIYPEEWSNYCERVNDLKRNLSEKDKAEQLRQWVSYRGQTLSRTVRGMMYYRVALELQCFQEYTGENATNGGFLPSESNEDDRKAFTDRARALADLKFTYVVSCQVYGNQKKSSESRDRSCYNNILQLMLKYPSLRVAYIDEREETVNGKSQKVFYSVLLKGCDKLDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEESFKMRNVLQEFDEGRRGKRNPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRIFHITRGGISKASKIINLSEDIFAGYNSTLRGGYITHHEYIQAGKGRDVGMNQISFFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMITVLTVYVFLYGRLYLVLSGLEKEILQSATVHQSNALEQALAAQSVFQLGFLMVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYFGRTILHGGSKYRATGRGFVVFHAKFAENYRLYSRSHFVKGLELVILLVVYQVYGTSYRSSSTYLYITFSMWFLVTSWLFAPFIFNPSGFEWQKTVDDWTDWKRWMGNRGGIGIVLDKSWESWWDIEQEHLKHTNLRGRVLEILLALRFLLYQYGIVYHLNIAHRDTTFLVYGLSWAVLLSVLLVLKMVSMGRRKFGTDFQVMFRILKVLLFLGFLSIMTLLFVVCGLTVSDLFASILAFLPTGWALLLIGQALRSVFKGLGFWDSVKELGRAYEYIMGLVIFTPIAVLSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKKDKDTPSTK >fgenesh2_kg.1__633__AT1G06580.1 pep chromosome:v.1.0:1:2384666:2386605:-1 gene:fgenesh2_kg.1__633__AT1G06580.1 transcript:fgenesh2_kg.1__633__AT1G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KG49] IVIALTAKGFLHRHLLEKGNPVTALSLNVCNFGAFSGGSDYRERLRSGLHSIKFNDALTLFCDMAESRPLPSIVDFSRLLVAIAKLKKYEAVISLFRHIEILGISHDLYSFSTLIDCFCRCSRLSLALSCLGKMMKLGFEPSIVTFGSLVNGFCHVNRIQEAMSLVDQIVGLGYEPNVVIYNTIIDSLCENRQVDTALDVLNHMEKMGIRPDVITYNSLITRLFHSGKWGVSARILSDMMRMGIHPDVITFSAMIDVFGKEGQLLEAKKQYDEMIQRSVDPNIVTYNSLINGLCIHGLLDEAKKVLNFMVSKGFFPNAVTYNTLVNGYCKSKRVDDAMKILCVMSHDGVDGDTFTYNTLYQGYCQAGQFNAAEKVLARMVSCGVLPDIYTFNMLLDGLCEHGKIEKALVKLEDLQKSETVVGIITYNIIIKGMCKANKVEDAWYLFCSLALKGVSPDVITYTTMMIGLRRKRLWLEAHELYRKMKKDDGLMPI >fgenesh2_kg.1__638__AT1G06650.2 pep chromosome:v.1.0:1:2403370:2406714:1 gene:fgenesh2_kg.1__638__AT1G06650.2 transcript:fgenesh2_kg.1__638__AT1G06650.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTKIASSFDRAGELKAFDETKTGVKGLVDAGVSQIPRIFHHPSVKLSNHKPLSSDLVHLKTIPTIDLGGRIVEDTSKRKNAIEGIKEAAEKWGFFQVINHGVSLDLLEKMKDGVRDFHEQSPEVRKEFYSRDFSRRFLYSSNFDLFSSPAANWRDTFSCTMAPDTPKPQDLPEICRDVMMEYSKQVMILGKFLFELLSEALGLEPNHLNNIDCSKGLLMLSHYYPPCPEPDLTFGTSQHSDNSFLTVLLPDQIEGLQVRREGYWFDVPHVPGALIINIGDLLQLITNDKFISLEHRVLANRATRARVSVACFFTTGVRPNPRVYGPIRELVSEENLPKYRETTIREYATYYNAKGLDGTSALLHFKI >fgenesh2_kg.1__643__AT1G06680.1 pep chromosome:v.1.0:1:2417062:2418597:1 gene:fgenesh2_kg.1__643__AT1G06680.1 transcript:fgenesh2_kg.1__643__AT1G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxygen-evolving enhancer protein 2 [Source:UniProtKB/TrEMBL;Acc:D7KG56] MAYSACFLHQSALASSAARSSSSSSSQRHVSLSKPVQIMCKAQQPHEDDNSAVSRRLALTLLVGAAAVGSKVSPADAAYGEAANVFGKPKTNTDFLPYNGDGFKVQVPAKWNPSKEIEYPGQVLRYEDNFDATSNLNVMVTPTDKKSITDYGSPEEFLSQVNYLLGKQAYFGETASEGGFDNNAVATANILESSSQEVGGKPYYYLSVLTRTADGDEGGKHQLITATVNGGKLYICKAQAGDKRWFKGARKFVESAATSFSVA >fgenesh2_kg.1__645__AT1G06690.1 pep chromosome:v.1.0:1:2418781:2421359:-1 gene:fgenesh2_kg.1__645__AT1G06690.1 transcript:fgenesh2_kg.1__645__AT1G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7KG57] MAMATHFTFPFNYVVSEGSRGRGSFVRKLVRAVASGDSVAPATSEESKVKLGGSDLKVTKLGIGVWSWGDNSYWNDFQWDDRKLKAAKGAFDTSLDNGIDFFDTAEVYGSKFSLGAISSETLLGRFIRERKERYPAAEISVATKFAALPWRLGRKSVITALKDSLSRLELSSVDLYQLHWPGLWGNEGYLDGLGDAVEQGLVKAVGVSNYSEKRLRDAYERLKKRGIPLASNQVNYSLIYRAPEQTGVKAACDELGVTLIAYSPIAQGALTGKYTPENPPSGPRGRIYTREFLTKLQPLLNRIKQIGENYSKTPTQVALNWLVAQGNVIPIPGAKNAEQAKEFAGAIGWSLTDNEVSELQTLASEIKPVIGFPVEYL >fgenesh2_kg.1__649__AT1G06720.1 pep chromosome:v.1.0:1:2431238:2437655:-1 gene:fgenesh2_kg.1__649__AT1G06720.1 transcript:fgenesh2_kg.1__649__AT1G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADELMPSHRSHRTPKSGPTARKKSEQDKKKRGITVNKTPNFKAFGVKSARRAQRSKSRAAEKDQKRLHLPKIDRTFGEAPPFVVVVQGPPGVGKSLVIKSLVKDFTKQNVPEVRGPITIVQGKHKRFQFVECPNEVNAMVDCAKVADLALLVVDGSYGFEMETFEFLNIMQVHGFPKVMGVLTHLDKFNNVKKLRNTKHHLKHRFWTEIYSGAKLFYLSGLIHGKYSPREVHNLARFVSIITPQPLTWRTSHPYVLADRLEDVTPPENVQMDKKCDRNITLYGYLRGCNFKKGMKVHIAGVGDYSVAGVTALPDPCSLPSAGKRKGLRDRDKLFYAPMSGIGDLLYDKDAVYININDHQVQYSKTDDGKGEPTNKGKGRDVGEDLVKSLQNTKYSVDEKLDKTFINIFGKKTSASSETKLKAEDAYQSLPEGSDSESQSGDDEEDVVGNDSEIKQETEIHGGRLRRKAIFKQDLNEDDFEEADDVELDSYDPNIYGFKEADDVESDKNEVEDGGDDFASDSPDDEAGDYQIDDKDFGNISKWKAPLKEKARKKNPNLMQIVYGASGSSATPLINENHDISDDEESDDEDFFKPKGEQSKNLGGEWDVGYVNSEDCSKFVNYGYLKNWKEKEVCESIRDRFTTGDWSKAALRDKNLGTGGEGEDDELYGDFEDLETGEKHKSHVNMESGANENEDEDAEVVERDGNNPRSQANEPGYVDKLKEELEIIKQRNELEYNDLDEETRIELAGFRTGTYLRLEIHNVPYEMVEFFDPCHPILVGGIGFGEDNVGYMQARLKRHRWHKKVLKTRDPIIVSIGWRRYQTMPVYAIEDRNGRHRMLKYTPEHMHCLATFWGPLVPPNTGFVAFQNLSNNQAGFRITATSVVLEFNHQARIVKKIKMVGTPCKIKKKTAFIKDMFTSDLEIARFEGSSVRTVSGIRGQVKKAGKNMLDNKAEEGIARCTFEDQIHMSDMVFLRAWTTVEVPQFYNPLTTALQPRDKTWNGMKTFVELRRELNIPVPVNKDSLYKPIERKPKKFYPLKIPKSLQKDLPFTSKPKDIPKRKRASVDDKRAVIMEPKERKEHAIIQQFQLLQNYKMKKKKVTDQKKRKEYEAEKAKNEEINKKRRREERRDRYREEDKQKKKTRRSQD >fgenesh2_kg.1__64__AT1G01650.1 pep chromosome:v.1.0:1:236778:241721:1 gene:fgenesh2_kg.1__64__AT1G01650.1 transcript:fgenesh2_kg.1__64__AT1G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase [Source:UniProtKB/TrEMBL;Acc:D7KQ50] MILWKSLSCFSFVFGLLLYSASFVSAGDIVHHDDSLPQRPGCNNNFVLVKVPTRVNGSEYTEFVGVGARFGPTLESKEKHATLIKLAIADPPDCCSTPKNKLTGEVILVHRGKCSFTTKTKVAEAAGASAILIINNSTDLFKMVCEKGENVLDITIPVVMLPVDAGRSLEDIVKSNSLVTLQLYSPKRPAVDVAEVFLWLMAVGTILCASYWSAWTVREEAIEQDKLLKDGSDELLQLSTTSSRGVVEVTVISAILFVVVASCFLIMLYKLMSFWFIEVLVVLFCIGGVEGLQTCLVALLSCFRWFRRFGESYLKVPILGAVSYLTLAICPFCIAFAVFWAVKRQYSYAWIGQDILGISLIITVLQIVRVPNLKVGFVLLSCAFMYDIFWVFVSKWWFRESVMIVVARGDRSGEDGIPMLLKIPRMFDPWGGYSIIGFGDIILPGLLVTFALRYDWLANKRLKSGYFLGTMSAYGLGLLITYIALNLMDGHGQPALLYIVPFILGTLFVLGHKRGDLKTLWTTGEPDRPCPHVRLQPQSS >fgenesh2_kg.1__651__AT1G06750.1 pep chromosome:v.1.0:1:2444506:2446736:1 gene:fgenesh2_kg.1__651__AT1G06750.1 transcript:fgenesh2_kg.1__651__AT1G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAAVHYRLRRIRDSKIIPRLRLSHKHKGHEKLERFSHYVARQMGFKDRRECPNLCKLAAEYISKSECCEEDIYSFFSEEPDADTLFIKLVEEFERCILSYFAFHWSHADLMISQILSADAEPKRKLKQIVMAATREQRIKRVTKNLKVARVFNTLVEEMKAMGLASADDSQCTEVMAPVAHKDRSPVLLLMGGGMGAGKSTVLKDILKEAFWAGADSVVIEADAFKESDVIYRALSSRGHVDMIQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTITMARNVHRHHYRMGAGYKVGDDGVITENYWERIGERQQLQEDGRRRKPYRIELVGVVCDAYLAVIRGIRRAIMCRRAVRVRSQLRSHKRFAEAFPTYCSLVDNARLYCTNALEGSPKLIGWKEKEKTLLVDTEEIDCLKRVGGLNENADSIYELYRQPNPACETGSIWKDIVLSPSRFNIQQELKYSIQKVESSKQLLNNTKS >fgenesh2_kg.1__652__AT1G06760.1 pep chromosome:v.1.0:1:2446914:2448112:-1 gene:fgenesh2_kg.1__652__AT1G06760.1 transcript:fgenesh2_kg.1__652__AT1G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KG63] MSEVELENAATIDGTAAEDATVTDAAVEKKKPAAKGRKTKKVKEVKEKKTVAAAPKKRTVSSHPTYEEMIKDAIVTLKERTGSSQYAIQKFIEEKRKELPPTFRKLLLLNLKRLVASGKLVKVKASFKLPSASAKASSPKAAAAATTSAPAKKKPAAAVAVTKPKGKVAAASKAKKSSAVKPKTAAAKKVTAKAKAKVVPRATAAAPKRKAVDTKPKAKGRPAKAAKTAKVTSPAKKAVAAKKKVATVATKKKTPVKKVVKPKTVKSPAKRASSRVKK >fgenesh2_kg.1__655__AT1G06780.1 pep chromosome:v.1.0:1:2454159:2457733:1 gene:fgenesh2_kg.1__655__AT1G06780.1 transcript:fgenesh2_kg.1__655__AT1G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KG65] MKQIRRWQRILILSLLSISVFAPLIFVSNRLKSITPVGRREFIEELSKTRFRTNDLRLSAIEHEDGEGLKGPRLMLFKDGDFNSLVRENSSDQSDGGNNHKNREEQVIDSQKMTVSSDEKGQILPTVNQLANKTDFKPPLSKGEKNTRVQPNRATDVKIKEIRDKIIQAKAYLNFAPPGSNSQIVRELRGRTKELERSVGDATKDKDLSKGALRRVKPMENVLYKASRVFNNCPAIATKLRAMNYNTEEQVQAQKNQAAYLMQLAARTTPKGLHCLSMRLTSEYFSLDPEKRQMPNQQNYYDPNFNHYVVFSDNVLASSVVVNSTISSSKEPERIVFHVVTDSLNYPAISMWFLLNIQSRATIQILNIDDMDVLPPDYDQLLMKQNSNDPRFISPLNHARFYLPDIFPGLNKIVLFDHDVVVQRDLSRLWSIDMKGKVVGAVETCLEGESSFRSMSTFINFSDTWVAGKFSPRACTWAFGMNLIDLEEWRIRKLTSTYIKYFNLGTKRPLWKAGSLPIGWLTFYRQTLALDKRWHVMGLGRESGVKAVDIEQAAVIHYDGVMKPWLDIGKENYKRYWNIHVPYYHTYLQQCNLQA >fgenesh2_kg.1__656__AT1G06800.1 pep chromosome:v.1.0:1:2460316:2462438:-1 gene:fgenesh2_kg.1__656__AT1G06800.1 transcript:fgenesh2_kg.1__656__AT1G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7KG67] MATIPSHNFHLRLPHMINQRTQYSLSLKPHFSHSTLITFPTRASPARAMSTTDEEASISTRLEPESYGLTTAEDIRRRDREAKESKRLRDTWRKIQGEDDWAGLMDPMDPVLRSELIRYGEMAQACYDAFDFDPFSRYCGSCRFTRRHLFDSLGIIDSGYEVARYLYATSNINLPNFFSKSRWSKVWSKNANWIGYVAVSDDDEATRCRLGRRDIAIAWRGTVTRLEWIADLKDFLKPVSGNGFRCPDPAVKAESGFLDLYTDKDTCCNFSKFSAREQVLTEVKRLVERYGDEEGEELSITVTGHSLGGALAVLSAYDVAEMGLNRTRKGKVIPVTAFTYGGPRVGNIRFKERIEELGVKVLRVVNEHDVVAKSPGLFLNERAPQALMKLAGGLPWCYSHVGEMLPLDHQKSPFLKPTVDLSTAHNLEALLHLLDGYHGKGQRFVLSSGRDPALVNKASDFLKDHFMVPPYWRQDANKGMVRNTDGRWIQPDRIRADDHHAPDILQLLTQLHHPSQLL >fgenesh2_kg.1__658__AT1G06820.1 pep chromosome:v.1.0:1:2463220:2466223:-1 gene:fgenesh2_kg.1__658__AT1G06820.1 transcript:fgenesh2_kg.1__658__AT1G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid isomerase [Source:Projected from Arabidopsis thaliana (AT1G06820) TAIR;Acc:AT1G06820] MNLCFQNPVKCGSCLFSALITSNYKLGTWNLGFNGRILENRKNIKPPVVTVKSVSSSVVGTVEGTRRDETSVYDAIVIGSGIGGLVAATQLAVKEARVLVLEKYLIPGGSSGYYERDGYTFDVGSSVMFGFSDKGNLNLITQALKAVGRKMEVIPDPTTVYFHLPNNLSVRIHREYDDFIAELTSKFPHEKEGILAFYGVCWKIFNSLNSLELKSLEEPIYLFGQFFQKPLECLTLAYYLPQNAGAIARKYIKDPQLLSFIDAECFIVSTVNALQTPMINASMVLCDRHYGGINYPVGGVGGIAKSLAEGLVDQGSEILYKANVKSIILDDGKAVGVRLADGREFFAKTIISNATRWDTFGKLLKGEKLPKEEENFQKVYVKAPSFLSIHMGVKAEVLPPDTDCHHFVLEDDWKNLEEPYGSIFLSIPTILDPSLAPNGRHILHIFTTSSIEDWEGLPPKEYEAKKEDVAARIIQRLEKKLFPGLSSSITFKEVGTPRTHRRFLARDKGTYGPMPRGTPKGLLGMPFNTTAIDGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADIGLEKKSRVLDVGLLGLLGWLRTLA >fgenesh2_kg.1__659__AT1G06830.1 pep chromosome:v.1.0:1:2470683:2471180:1 gene:fgenesh2_kg.1__659__AT1G06830.1 transcript:fgenesh2_kg.1__659__AT1G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7KG70] MDKVMRMSSEKGVVIFSKSSCCLSYAVQVLFQDLGVNPKIHEIDKDPECREIEKALMRLGCSKPVPAVFIGGKLVGSTNEVMSMHLSSSLVPLVKPYLC >fgenesh2_kg.1__663__AT1G06870.1 pep chromosome:v.1.0:1:2482556:2485008:1 gene:fgenesh2_kg.1__663__AT1G06870.1 transcript:fgenesh2_kg.1__663__AT1G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVTFTYSSYVARSIASSAGTRVGTGDVRSCFESWVRPRFCGHNQIPDIADKSPGSNTCSSPRARPASSMYSTIAREILEEGSKSPLVLGMISLMNLTGAAPQLSGMTGLGISPFKTSSVIPFLRGSKWMPCSIPATLSTDITEVDRGGKVCDAKVKLELSDKVSNGGNGWVNKLLNICSEDAKAAFTAVTVSLLFRSALAEPKSIPSTSMLPTLDVGDRVMAEKVSYLFRKPEVSDIVIFKAPPILVEHGYSCADVFIKRIVASEGDWVEVCDGKLLVNDTVQAEDFVLEPIDYEMEPMFVPEGYVFVLGDNRNKSFDSHNWGPLPIKNIIGRSVFRYWPPSKVSDIIHHEQVIQKRPVDVS >fgenesh2_kg.1__665__AT1G06890.1 pep chromosome:v.1.0:1:2485846:2488962:-1 gene:fgenesh2_kg.1__665__AT1G06890.1 transcript:fgenesh2_kg.1__665__AT1G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGQKFQLGTIGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKMFEHKPFDPRAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKKFSRKIQFSLTILLLGVGIATVTDLQLNMLGSVLSLLAVVTTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQAITLFVTGPFLDGLLTNQNVFAFKYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLRDPFDWRNILGILVAVIGMVVYSYYCSIETQQKASETSTQLPQMKESENDPLIAAENGSGVLSDGGGGVQQKTVAPVWNSNKDFQA >fgenesh2_kg.1__667__AT1G06920.1 pep chromosome:v.1.0:1:2499145:2500065:-1 gene:fgenesh2_kg.1__667__AT1G06920.1 transcript:fgenesh2_kg.1__667__AT1G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYKFRLSAMIPSRWFHKLKNMTRPRNKHPLPSYSLNTTKKRKPSSESKSLPHSSTSYFSNRSHTSFESKILQISPRNSPHKIQSKRKTVYKPSPPSTSSVSAGFNKKKIKFHRNQDSFSEDDVIIDMNNRDFKKKMFKEINKFDSTEKACPASNRTKETLITHHLSVKVNKEKEDEEEEDACRIQKKHQKTLVSGGRRSSDKSTRIKLRVSSPRIQVSPRRSKSRSQNKQVLDSFAVIKSSLDPKKDFRESMVEMIAESNIRTSKDMEDLLACYLTLNAKEYHNLIIKVFVQVWLEVINYDQKL >fgenesh2_kg.1__668__AT1G06930.1 pep chromosome:v.1.0:1:2503683:2504675:1 gene:fgenesh2_kg.1__668__AT1G06930.1 transcript:fgenesh2_kg.1__668__AT1G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KG80] MPQLDRSRVSTTKLILSVVVDGDYYGGSSAAVPFKWESQPGTPRRLSKRSSSSGFDSDSDFNSPVSAPLTPPPSYFYAYPSSTKPANPKTNTLFGSLLPKNRSVPSSPASSSSSSSSVPSSPLRTSDLSRRNRRKRSMWFESASSLDYGSNHYNNAKSSGCYASIVKVLL >fgenesh2_kg.1__669__AT1G06950.1 pep chromosome:v.1.0:1:2512441:2517744:-1 gene:fgenesh2_kg.1__669__AT1G06950.1 transcript:fgenesh2_kg.1__669__AT1G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATTIC110/TIC110 [Source:UniProtKB/TrEMBL;Acc:D7KG84] MNPSLVTAINAPISPSPRSPLLSHFLPSLPHRFSKSECLTRRRYRVSFPRSSAASSDQLSQAKNPGIHGDKKELTGLQPIVEKMTPPVRLATSAVVLAASLATGYGLGLRLAGSRNIAFGGAAVAGAAGGAVVYALNSAVPEVAAISLHNYVADFEDPASVTKDDVEKIADRYGVNKGDEAFQAEICDIYCRYVTSVLPTEGQSLKGDEVAKIIKFKNALGIDEPDAAAMHMEIGRRIFRQRLETGEREGDAEQRRAFMRLVYVSALVFGDASSFLLPWKRVLKVTDAQVEIAIRENAKQLYAERLKLVGRAINVENLVDLRKAQLSFKLSDELAEDLFREHTRKVVVENISSALSILKSRTRAVKSLASVVEELEKVLEFNNLLVSLKSHSEADQFARGVGPISLIGDESDFERRMDDLKLLYRAYVTDALAGGRLEENKLVAMSQLRNILGLGKREAEAISIDVTSKAYRKRLANAVSSGDLEAQDSKAKYLQKLCEELHFDAQKAGAIHEEIYRQKLQQCVTDGELSDDNVAALLRLRVMLCIPQQTVETAHAEICGTIFEKVVRDAISSGVDGYDAETRKSVRKAAHGLRLSRETAMSIASKAVRRVFTNYIRRARAAENRTESAKELKKMIAFNTLVVTEMVADIKGESSDKAPEEDPVEEKEEDGEDEEWGSLESLRKTRPNKELAEKMGKPGQTEITLKDDLPDRDRIDLYKTYLLYCVTGEVTRIPFGAQITTKRDDSEYLLLNQLGGILGLSSKEIVNIHVGLAEQAFRQQAEVILADGQLTKARVEQLDELQKQVGLPQPQAEKVIKNITTTKMANAIETAVNQGRLNIKQIRELKEANVSLDSMIAVSLREKLFKKTVNDIFSSGTGEFDEIEVYQTIPSDLSIDVEKAKRVVHDLAQSRLSNSLVQAVALLRQRNSKGVVSSLNDLLACDKAVPAEPMSWEVSEELSDLYAIYSKSDPKPAPEKVSRLQYLLGIDDSTATALREMEDGALSSAAEEGNFVF >fgenesh2_kg.1__674__AT1G06980.1 pep chromosome:v.1.0:1:2525810:2526475:-1 gene:fgenesh2_kg.1__674__AT1G06980.1 transcript:fgenesh2_kg.1__674__AT1G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGYVEEITRSITAGEILQANPNHVLSKPCSQGVVRKILILSPESELKRGSIYFLIPDSSLPEKKRRRKDAPRRKKTLNNPSADATGEVKGDDECVKLCEKYLEEVVSSASTGKEHRHRRRHSRSASVSTWRPLLDSISEDLN >fgenesh2_kg.1__676__AT1G07000.1 pep chromosome:v.1.0:1:2546845:2552929:-1 gene:fgenesh2_kg.1__676__AT1G07000.1 transcript:fgenesh2_kg.1__676__AT1G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXO70B2 [Source:UniProtKB/TrEMBL;Acc:D7KGX6] MAEAGDENLYAAARDIARALGKDPSAAGDILQILSGYGASGNRGGDPRPTASRGGSNVNLDRALNSLERQISSYIVADRPIWSDPVDSRTFLDSVDELISIAGDLRSMAGDKSVAVCQSRADELIQQVMFRLQEEFGFVMDRAPDSFDSDDEFSGEEDNDTSDGVIVARPITDYKIVIEALQSSVIGDLNAIAVRMVAGGYAKECSRAYSSRRREFLEESLSRLHLRGLSMEEVQETPWQDLEDEIDRWIKAVTLVFRVFFPSERLLCDRVFSDLPVSSVTDLSFMEVCRGTTTQLLNFADAIALGSRLPERLFKVVDLYEAMQDLIPKMETLFSDRYCLPLRHEAIAIHKRLGEAIRGIFMELENLIRRDPPKTAFPGGGIHPITRYVMNYLRAACKSRQSLEQILDQTGNESGSDTRPLSVQIVWVLELLESNLEGKKRTYRDPALCFLFMMNNDKYILDKAKDNELGLILGEDWIVKHAAKLRQYHSNYRRSSWNQVVGLLRTEGPYPKLIENLRLFKAQFDEVCKTQSQWVVTDGQLREELRSSVAGIVSPAYSNFIRRLKESPEINGRRGEPFIPYTVEDVEFRIKGLFKESSR >fgenesh2_kg.1__678__AT1G07010.1 pep chromosome:v.1.0:1:2554129:2556623:1 gene:fgenesh2_kg.1__678__AT1G07010.1 transcript:fgenesh2_kg.1__678__AT1G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYLNSLLPLPPSHPQKLIEPSSSSPSSLMSTSNGNEVSLKPIVINGDPPTFVSAPARRIVAVGDLHGDLGKARDALQMAGVLSSDGRDQWIGQDSVLVQVGDILDRGDDEIAILSLLRSLDGQAKANGGAVFQVNGNHETMNVEGDFRYVDARAFDECTDFLDYLEDYAQDWDKAFTNWIFESRQWKEDRRNSQTYWDQWNVVKRQKGVIARSILFRPGGRLACELARHGVILRVNNWIFCHGGLLPHHVAYGVERINREVSTWMRSPTNYEDSPQMPFIATRGYDSVVWSRLYSRETSELEDYQIEQVNKILHDTLEAVGAKAMVVGHTPQLSGVNCEYGCSIWRVDVGMSSGVLDSRPEVLEIRGDKARVIRSNRDRLHELQVADYI >fgenesh2_kg.1__67__AT1G01630.1 pep chromosome:v.1.0:1:243695:245459:-1 gene:fgenesh2_kg.1__67__AT1G01630.1 transcript:fgenesh2_kg.1__67__AT1G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKETKEEPAAAPAEQENGPLIEDEIERSKVGIMRALCDRQDPETKEVDDLMIRRFLRARDHDIEKASTMFLKYLTWKRSMLPKGHIPEAEIANDLSHNKVCMQGHDKMGRPIVVAIGNRHNPSKGNPDEFKRFFVYTLEKICARMPRGQEKFVSIGDLQGWGYSNCDIRGYLAALSTLQDCYPERLGKLYIVHAPYIFMTAWKVIYPLIDANTKKKIVFVENKKLTPTLLEDIDESQLPDIYGGKLPLVPIQET >fgenesh2_kg.1__680__AT1G07030.1 pep chromosome:v.1.0:1:2571879:2574227:-1 gene:fgenesh2_kg.1__680__AT1G07030.1 transcript:fgenesh2_kg.1__680__AT1G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7KGY2] MATEATTVPKFQEPDLRQVSQTPDFKPEIAHDGLKFWQFMIAGSIAGSVEHMAMFPVDTVKTHMQALRPCPLKPVGIRQAFRSIIQKEGPSALYRGIWAMGLGAGPAHAVYFSFYEVSKKYLSAGNQNNSVAHAISGVFATISSDAVFTPMDMVKQRLQMGEGTYKGVWDCVKRVLREEGIGAFYASYRTTVLMNAPFTAVHFATYEAAKKGLIEFSPERVSDEEGWLVHATAGAAAGGLAAAVTTPLDVVKTQLQCQGVCGCDRFTSGSISHVLRTIVKKDGYRGLLRGWLPRMLFHAPAAAICWSTYEGVKSFFQDFNGDSNTA >fgenesh2_kg.1__683__AT1G07070.1 pep chromosome:v.1.0:1:2583071:2584240:1 gene:fgenesh2_kg.1__683__AT1G07070.1 transcript:fgenesh2_kg.1__683__AT1G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L35a [Source:UniProtKB/TrEMBL;Acc:D7KGY6] MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLVQVEGVNTTEEVSWYKGKRMAYIYKAKTKKNGSHYRCIWGKVTRPHGNSGVVRAKFTSNLPPKSMGSRVRVFMYPSNI >fgenesh2_kg.1__684__AT1G07080.1 pep chromosome:v.1.0:1:2584458:2586882:1 gene:fgenesh2_kg.1__684__AT1G07080.1 transcript:fgenesh2_kg.1__684__AT1G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSKLLLVLVCYVFLFPFASFSSSADFSGISLPSSSPKVSVGLYYESLCPYCSSFIVNHLTKLFEDDLISIVDLHLSPWGNTKLRPDNVTAVCQHGAFECFLDTVEACAIDAWPKVSDHFPFIYCVEKLVTEHKYNKWETCYEKLNLNSKPVADCLSTGHGNELALHYAAETNALQPPHQYVPWVVVDGQPLYEDYENFISYICKAYKGNKVPGACAKYATGNFIRSVKLKRSPLVSRKGVNKMWDVLERIKTSLSSYIEITRLL >fgenesh2_kg.1__686__AT1G07110.1 pep chromosome:v.1.0:1:2594017:2599882:-1 gene:fgenesh2_kg.1__686__AT1G07110.1 transcript:fgenesh2_kg.1__686__AT1G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGASKNTEEDDDGSNGGGGQLYVSLKMENSKVEGELTPHVYGSLPLIGSWDPSKALPMQRESASMSEISFVVPPDHETLDFKFLLKPKHRNTPCIVEEGQNRLLTGGSLQGDARLALFRLEGDVIVEFRVFINADRVSPFDLATSWRAYRENLQPSTVRGIPDVSINPDPKTVECPLESLELDLAHYEVPAPASSANSYLVYAADNAENPRSLSASGSFRNDSTTNSAQNNSEDSGVTVGSPLAKEMKIIVPDSSNIYSASGEAESKSVETLSPFQQKDGQKGLFVDRGVGSPRLVKSISASSFLVDTKQTKNSMPAAAGAVAAAAVADQMLGPKEDRHLAIVLVGLPARGKTFTAAKLTRYLRWLGHDTKHFNVGKYRRLKHGVNMSADFFRADNPEGVEARTEVAALAMEDMIAWMQEGGQVGIFDATNSTRVRRNMLMKMAEGKCKIIFLETLCNDERIIERNIRLKIQQSPDYSEEMDFEAGVRDFRDRLANYEKVYEPVEEGSYIKMIDMVSGNGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESMDNVRGRIGGDSVISESGKLYAKKLASFVEKRLKSEKAASIWTSTLQRTNLTASSIVGFPKVQWRALDEINAGVCDGMTYEEVKKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPQIEMPLHTIIEIQMGVSGVQEKRYKLMD >fgenesh2_kg.1__687__AT1G07120.1 pep chromosome:v.1.0:1:2600539:2602477:-1 gene:fgenesh2_kg.1__687__AT1G07120.1 transcript:fgenesh2_kg.1__687__AT1G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNGEDDSDLMRLVKELQASLVRNDKLEKDNHELRQEVARLRAHVSNLKAHDNERKSVLWKKLQSSYDGSNTDGSNLKAPESVKSNTKGQEIRNPNPKPMVQEQPTAIKPPPPPPLPSKTTLGKRSVRRAPEVVELYRALTKRESRVGNKINQNGVLSPAFSRNMIGEIENRSKYLSDIKSDTDRHRDHIHILISKVEGATFTDISEVETFVKWIDEELSSLVDERAVLKHFPKWPERKADYLREAACNYKRLKNLEIEILSFKDNPKESLTQALQRIQSLQDRLEENVNNTEKMRDSTGKRYKDFQIPWEWMLDTGLIGQLKYRSLRLAQEYMKRISNELESNGGAKEGNLMLQGVRFAYTIHQFAGGFDGETLGIFHELKNISTSETR >fgenesh2_kg.1__688__AT1G07135.1 pep chromosome:v.1.0:1:2605742:2606345:-1 gene:fgenesh2_kg.1__688__AT1G07135.1 transcript:fgenesh2_kg.1__688__AT1G07135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRTTWLVLYILFIFHLQHNLTSVSSRPSSVDTNHEALPFSVSKPDVVVFEGKARELAVVIKRGGGGGRGGGGARSGGSSRRGGGGSSSSRSRDWQRGGGVIPIHTGGGSAGSHRSSGSRNLRGTVCAFGWLALSVLAGLVLVQ >fgenesh2_kg.1__690__AT1G07160.1 pep chromosome:v.1.0:1:2614752:2616437:-1 gene:fgenesh2_kg.1__690__AT1G07160.1 transcript:fgenesh2_kg.1__690__AT1G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVAVCNSPVFSPSSSLFCSKPLNISPAHETLTLSLSHLNPPVSSLSPSSAASPTSPFCLRLPKPQAKQGFGSDPGPGGVLKRKRPMRLDIPVAPVGIAAPISETAVNAQTPREESREVERDGDGYSVYCKRGKRAAMEDRFSAITNIQGEPKKAIFGVYDGHGGPIAAEFAAKNLCNNILGEIVGGGNESKIEEAVKRGYLATDSEFLKEKDVKGGSCCVTALISDGNLVVANAGDCRAVLSFGGYAEALTSDHRPSRDDERNRIESSGGYVDTFNSVWRIQGSLAVSRGIGDAHLKQWIISEPETKILRINPQHEFLILASDGLWDKVSNQEAVDIARPFCIGTDQKRKPLLACKKLVDLSVSRGSLDDISVMLVPLCRFF >fgenesh2_kg.1__696__AT1G07240.1 pep chromosome:v.1.0:1:2655215:2656829:1 gene:fgenesh2_kg.1__696__AT1G07240.1 transcript:fgenesh2_kg.1__696__AT1G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KH03] MKKAELIFVPLPETGHLLSTVEFGKRLLNLDRRISMITILSMNLPYAPHADASLASLTASEPGIRLISLPEIHDPPPIKLLDTSSETYILDFVDKNIPCLRKTIQDLVSSSSSGDDCHVAGLILDFFCVGLIDIGHEVNLPSYIFMTSNFGFLGVLQYLPQRHRFTASEFYESSAGEELQIPAFVNRVPAKVLPPGVFDKLSYGSLVKIGERLHQAKGILVNSFAEVEPYAAEHFSRGRDYPHVYPVGPVLNLTGRTNPGLASAQYEEMMKWLDEQPDSSVLFLCFGSMGVLPAPQITEIAKALELIGCRFIWAIRTNMAGDGDPHEPLPEGFVDRTMGRGIVCSWAPQVDILAHKAAGGFVSHCGWNSVQESLWYGVPIATWPMYAEQQLNAFEMVKELDLAVEIRLDYVADGDRVTLEIVSADEIATAVRSLMDSGNPVRKKVKEISAVARKAVGDGGSSMVATGTFIRDILGDHF >fgenesh2_kg.1__697__AT1G07260.1 pep chromosome:v.1.0:1:2659274:2660901:-1 gene:fgenesh2_kg.1__697__AT1G07260.1 transcript:fgenesh2_kg.1__697__AT1G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KH05] MKAEAEIIFVTYPAPGHLLVSIEFAKSLIKRDDRIHTITILHWALPLAPQAHLFAKSLVASEPRVRLVALPDVQNPPPLELFFKAPEAYILESTKKTVPLVRDALSTLFTSRNESGSVRVVGLVIDFFCVPMIQVANEFNLPSYIFLTCNAGLLSMMKYLPERHCITASELDLSSGDVEHPIPGYVCSVPTKVLPPGLFVSESYKAWVEIAEKFPGAKGILVNSFTCLEQNAFDYFARLRESYPPVYPVGPVLSLKDRPSPDLDPSDRDRIMRWLEDQPESSIVYICFGSLGIIGKPQIEEIAQALELTGHRFLWSIRTNPTEKASPYDLLPEGFLDRTACKGLVCDWAPQVEVLAHKAIGGFVSHCGWNSVLESLWFGVPIATWPMYAEQQLNAFTMVKELGLAVELRLDYVSAYGEIVKAEEIAGAIRSLMDGEDTPRKRVKEMAEAARKALMDGGSSFLAVKGFLDELLGGDV >fgenesh2_kg.1__699__AT1G07280.1 pep chromosome:v.1.0:1:2670242:2673098:1 gene:fgenesh2_kg.1__699__AT1G07280.1 transcript:fgenesh2_kg.1__699__AT1G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7KH07] MGVKVATASTFHQWVAHPIVHHSSSSAVSSPSRRRVIGNDGRSSLSCRSAMQSQRLNPSSPLGTSSTNLQHSNSCELWRSTKQPNTQLIRRAFSANCDPFSEEEFSKKMQELTLKFQVSNQEDKYESDTSIDDSTRKMDIVGSHNNFRSDSMEPPWPEMVQMSSIERKANSVDLPLSLRIIKRKLQMEEGVLKQVGESACSSVERAFSSMVFMIRELQSFTLHMRELLLFEDLQGILHRVRKEMQASFVWLFQQVFSATPTLMVSVMILLANFTVYSIESNSALAAAVSPPTTTLSFSFETTSELSETQEINQKFDSSMVKTFSVSSPYGKTSFVGGGGGNNIPPPVQSGTEGDGSDQFKKSQFSSSSLGATSADSDVSVSGQEEIRLWNSILEETEKMETLDHDTMKQLVSPVEARLEAEESMDYFKTELLYQTGLSQEPDNVLLLANYAQFLYLIIHDYDRAEKYFKRAAKAEPADAEALNKYATFLWRARNDIWRAEETYLEAISADPTNSVYSANYAHFLWNTGGDETCFPLDAPPQQNNTT >fgenesh2_kg.1__69__AT1G01620.1 pep chromosome:v.1.0:1:246920:248384:1 gene:fgenesh2_kg.1__69__AT1G01620.1 transcript:fgenesh2_kg.1__69__AT1G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRVGANKFPERQPIGTSAQTDKDYKEPPPAPLFEPGELSSWSFYRAGIAEFIATFLFLYITVLTVMGVKRAPNMCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQCLGAICGAGVVKGFQPNPYQTLGGGANTVAHGYTKGSGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWDDHWIFWVGPFIGAALAALYHQLVIRAIPFKSRT >fgenesh2_kg.1__700__AT1G07290.1 pep chromosome:v.1.0:1:2674997:2677134:-1 gene:fgenesh2_kg.1__700__AT1G07290.1 transcript:fgenesh2_kg.1__700__AT1G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7KH08] MTAVKLEAIVCHEPDESELSHFSGNGSKNVVFQLLDQKSSERRWLSERNIALNFLDSSSRFLRWRRRYLPVDGDNRRDHGSVKQSGPLVSGAAYCVSSCSMIILNKIVLSSYNFNAGISLMLYQNLISCLVVAVLDISGVVSVEKFNWKLIRVWMPVNVIFVGMLVSGMYSLKYINVAMVTILKNATNIITAIGEVYMFRKRQNNKVWAAMQSLSDIKVTPTKSLQYRSFLQSAELTLRRVMDKAKQSTKSGSLNEVSMGCDKRCNVLGSGNSKRLPWSSHQLHFDVVPASNRTDNIQFGGIIKQGSDITSWSCTLQRPSESPKFVQHTFRFICWSGLCQSKNVVKRIFQADSVIEV >fgenesh2_kg.1__702__AT1G07310.1 pep chromosome:v.1.0:1:2680406:2681807:-1 gene:fgenesh2_kg.1__702__AT1G07310.1 transcript:fgenesh2_kg.1__702__AT1G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KH10] MASSEPLDLVVTVVSAKHLKNVNWRNGDLKPYVVLYLDQDHPHSTRSDDSASIKPVWNERITLPLTRSVHESVLNIEIFHSNSSDLAKTLVGSVRFPLARLIDSDGSMIPESINSLELVRPSGRPQGKIRLKLAIKERPIPPPQHPPPRPQSQPLDYYSAPQGNHYYSPTPPPPQAPITSPSPQRDYREFSQSPSPSPYAFTDHYYSGYYYPPPPPRSMYDRASNYGLPSGPSAPVDAFSSIDHKQPPLAPPRFSNYGPPPSGPSAPVDAFPGNEYKPQAPPIGSRLSSYGVPNGPSAPVDYSPYDHRQLQKTMGGLSLEEERAAAERSESDFGARPNYSYGRDYRREC >fgenesh2_kg.1__705__AT1G07320.2 pep chromosome:v.1.0:1:2682029:2683365:1 gene:fgenesh2_kg.1__705__AT1G07320.2 transcript:fgenesh2_kg.1__705__AT1G07320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATAPNPLSFFSSSLFLSSSHQIPKTYISVAKFGSGRVSKPLSVASQLATLPIISFEGEKVGETYLDLKTAPEDTARAVVHRAIVTDLQNKRRGTASTLTRGEVRGGGIKPYAQKKTGHARRGSQRTPLRPGGGVVFGPRPKDWSIKINRKEKKLAISTALSSAVSAEGGAIVVEEFGEKFEKPKTKDFLAAMQRWGLDPKEKAMFLMIDVDENVAKSSRNIGTLRMLTPRTLNLFDILNSDKLVLTPAAVEFLNARYGVDAVEEEDDDEDDTEEEA >fgenesh2_kg.1__707__AT1G07330.1 pep chromosome:v.1.0:1:2684017:2686576:1 gene:fgenesh2_kg.1__707__AT1G07330.1 transcript:fgenesh2_kg.1__707__AT1G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F22G5.33 [Source:UniProtKB/TrEMBL;Acc:D7KH12] MRIDAKDVGTLFWKILRFSTNIVYTNRSEEEETRKADLKHQRSVRRNARRKVEEVGKDWDSSQASEDERDKVILTTLYGEIPNTDKSPKLEKPKKDRAFLVAEDFSFEPSLDEETLSTTGNVDPSERLTSGGGETEIESSSSSEGEEEEIIHEDKKVLVAWTEDDQKNLMDLGNSEMERNKRLEHLITRRKMRRLVRLAAESSLMDMEVPSICVGRNYFGLHQENYIVDGLQMPESAPSVLLPTKNPFDIPYDPQEEKPNLSGDSFQQEFAANPNDIFFCRHESFCRRVFPLENQLDTKWEPWKKSIDGLSRPQQGCNDGLVGDKHPIMKGKDLTRGEVNDMESEHMTEIVVSDSNSLLSPVERETDSNVSNQADSSGTSGKPNGDLRIENPLVGLVPRNTGSLSTSLAAERQRYVEHFGYSSRKGHKLSAESDLQVEFSEIGSPPTTVDGNNSSDEEKSLFVYESETGKETRFSGEENEVKQKSIVDRTAETQMLPVEKVGQVFDEKSSTISPETYEAKQFEGLSDGTNVSERSEEEDSSKSGHFPLETVPHINEVIPRREEESLELVQNSTDEMKINDDSDEPEPLTNQESEEHFGGNDGDQSTQEMQELIEPEVSNVNNVTSDESATSPRSVLPDMLLPLDQTYTLNSESLEHTLDSQPQLVIPYPESPHNQSGGVDGDSR >fgenesh2_kg.1__710__AT1G07350.1 pep chromosome:v.1.0:1:2690325:2692720:-1 gene:fgenesh2_kg.1__710__AT1G07350.1 transcript:fgenesh2_kg.1__710__AT1G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGNVKFILFRWVRCREFWNIIHCARGNMSYSRRSRYSPSLSPYDKRRGRSVSRSLSRSRSRSFSSDAENPGNSLYVTGLSHRVTERDLEDHFAKEGKVTDVHLVLDPWTRESRGFGFISMESVGDANRCIRYLDHSVLQGRVITVEKARRRRGRTPTPGKYLGLRTARGRHKSPSYSPCRSVSSSRSRSYSSDRSRSYSPSYGRRGRSSSSSSFYRRGRSYSPSRSPSPVDRYYRRRERSYSPYYRRRDRSRSRSYSPYYRARDRSPYYRRRYRSRSRSYSPCYRARDRSYSPYYRGRDRSYSPYYQGRDRSYSPESRHYRRYRSVSRSVSPGRRSMSWSTSPKKGRKESRSKSRRRDRQSSMSHSRSARSSTSRSVSP >fgenesh2_kg.1__711__AT1G07360.1 pep chromosome:v.1.0:1:2693158:2695480:-1 gene:fgenesh2_kg.1__711__AT1G07360.1 transcript:fgenesh2_kg.1__711__AT1G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRILRDHEADGWERSDFPIICESCLGDNPYVRMTKANYDKECKICTRPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALNISTHDSIPKSDVNREYFAEEHDRKTRAGLDYESSFGKMRPNDTILKLQRTTPYYKRNRAHVCSFFIRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVAMKLLGKAGEMGTLESPDDESIKTLYVGGLNSRILEQDIRDQFYAYGEIESIRILADKACAFVTYTSREGAEKAAQELANRLVVNGQRLKLTWGRPKPDQDGSNQQGGVAHSGLLPRAVISQQHNQPPPMQQYYMHPLPANQDKPYYPSMDPQRMGAVISTQEAGGSSTENNGASSSSYMVPPHQPYPPPPYGYMPSPYQQQYPPNHHHHPGPMQHYAPPPAAYPYPQQAGPGSRPAPSPSAVSAPSPDSAPTGSGAPSGSSQEPPTVSTTTGLSQ >fgenesh2_kg.1__714__AT1G07390.1 pep chromosome:v.1.0:1:2708279:2713026:1 gene:fgenesh2_kg.1__714__AT1G07390.1 transcript:fgenesh2_kg.1__714__AT1G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KH19] IGLLQLKSYLKNLLDAEEEEEEGLSILKSWTHHNGDCCLWERVKCSDAISGHVIDLSLDRLIPVAFESQIRTLNLSLLHSFPQLQSLNLSWNWFTNLSDHVLGYKSFGRLEKLTTIDFSQNMFDNSIVPFLSATTSVKNLHLESNYMEGVFPPQELANMTNLRVLNLKDNSFSFLSAQGLTYFRELEVLDLSLNGVNDSEASHWFSTAKLKTLDLSFNPFSDFSQLKGLQSLRELLVLKLRGNKFNHTLSTHALKDLKKLQELDLSDNGFTNLDHGREVRRSLLLETLFSNHFTCLLEVEQSNLYLFMYHYVLFNCSLNSSYDDGVDEYLYCYLGICRLMKLRELDLSSNALTSLPSCLGNLTHLRTLDLSNNQLNGNLSSFVSGLPPVLEYLSLLDNNFNGSFLFNSLVNQTRLTVFKLSSKVGVIQVQTESSWAPLFQLKMLHLSNCNLGSTMLVFLVHQHDLCFVDLSYNKLTGTFPTWLVKNNTRLQTILLNGNSLTKLQLPMLVHGLQVLDISSNMIYDSIQEDIGMVFPNLRVLKLSNNQLQGKIFPKHANLTGLVGLFLDGNNFTGSLEEGLLKSKNLTLLDISDNRFSGMLPRWIGRMSWLSYLYMSGNQLKGPFPFQQQSPWVEVMDISHNSFSGSIPRNVNFPSLRELRLQNNEFMGSVPGNLFNAAGLEVLDLRNNNFSGKILNTIDQTSKLRILLLRNNSFRTYIPGKICQLSEVGLLDLSHNQFRGPIPSCFSKMSFGAEQNNGTMSLVADFDFSYITFLRHCHYASHLNLDDGVRNGYQPKPATVVDFLTKSRYEAYQGDILRYMHGLDLSSNELSGEIPIEIGDLQNIRSLNLSSNRLTGSIPDSIQKLKGLESLDLSNNKLYGSIPPMLADLNSLGYFNISYNNLSGEIPFKGHLVTFDERSYIGNAHLCGLPTNKNCISQRVPEPPSVSTQAKEEDNEEEGDVIDMVWFYWTCVAVYIATSLALLTFLCIDTRWSREWFYRVDLCVHHLLRFKRSSICN >fgenesh2_kg.1__718__AT1G07430.1 pep chromosome:v.1.0:1:2720824:2723345:-1 gene:fgenesh2_kg.1__718__AT1G07430.1 transcript:fgenesh2_kg.1__718__AT1G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADICYEDETSACESRPSWSSRKWRIGVQRFRMSPSEINPTASTAEEEEDKKSEGIYNKRNKQEEYDFMNCASSSPPPSQSSPEESDVSVSDGNSSVNDITLIPAKKTVKETDLRPRYGFASVCGRRRDMEDAVAIHPSFVRRQTEFSRTRWHYFGVYDGHGCSHVALRCKERLHELVQEEALSDKKEEWKKTMERSFTRLDKEVVRWGETVMSANCRCELQTPDCDAVGSTAVVSVITPEKIIVANCGDSRAVLCRNGKAVPLSIDHKPDRPDELDRIQEAGGRVIYWDGARVLGVLAMSRAIGDNYLKPYVTSEPEVTVTDRTEEDEFLILASDGLWDVVTNEAACSMVHTCLNRKSGRGRRRGETQTQTPGTRSEEEEEKVVGSRKNGKKGEITDKACTEASVLLTKLALAKHSSDNVSVVVINLRRRRKRHVA >fgenesh2_kg.1__720__AT1G07440.1 pep chromosome:v.1.0:1:2728031:2729441:-1 gene:fgenesh2_kg.1__720__AT1G07440.1 transcript:fgenesh2_kg.1__720__AT1G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEQSQRWSLKAKTALVTGGTKGIGHAIVEEFAGFGAVIHTCARDESQLNECLSKWQKKGFQVTGSVCDASSRPDREKLMQTVSSMFGGKLDILINNVGAIRSKPTVDYSEEDFSFHISTNLESAFHLSQLAHPLLKASGCGNVVFMSSIAGVVSASVGSIYSATKGAMNQLARNLACEWARDGIRANAVAPAVIATPLAEAVYDDEFKKVVISRKPLGRLGEPEEVASLVAFLCMPASSYITGQTICVDGGLSVNGFSYQPQG >fgenesh2_kg.1__721__AT1G07450.1 pep chromosome:v.1.0:1:2731010:2732328:-1 gene:fgenesh2_kg.1__721__AT1G07450.1 transcript:fgenesh2_kg.1__721__AT1G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINRWSLQGMTALVTGGAKGIGYAIVEELVGFGARVHICDRDQTLLNECLSEWQAKGFEVSGSVCDVSSRPQREQLIQTVSSLFGAKLNILINNVGKYILKPTLECTAEDFSSLMATNLESAYHISQLAHPLLKASGYGNIVFISSVTGVVSCTSSIYGATKGALNQLARNLACEWARDNISANSVAPWYLEDEKFAEAMLSRTPLGRVCEPREVASLVTFLCLPEASYITGQTICIDGGFTVNGFSYKPKAQTLETLLQLTLL >fgenesh2_kg.1__722__AT1G07460.1 pep chromosome:v.1.0:1:2733206:2733978:1 gene:fgenesh2_kg.1__722__AT1G07460.1 transcript:fgenesh2_kg.1__722__AT1G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KH25] MGQAFYGFPIPFNNSTNSSNTLSFSTSFVFSINAPGHGLTFLISPSMDFTQAMPSQFLGLFNTTNNGNSTNRILAVEFDTVKSTEFLDIDDNHVGIDVNGLVSVESAPAAFFSNKQSKNISLKLSSKDPIRAWIEYNGVERLLNVTLAPLDTSKPKFPLLSRKMNLSEIFMEKMYVGFSASTGNITSSHYVIGWSFSREGKAQDFDLSLLPSISTPSPSDFDDLDPIPDPPSDSADDHNLHTCNHNLYD >fgenesh2_kg.1__725__AT1G07480.2 pep chromosome:v.1.0:1:2734411:2737613:1 gene:fgenesh2_kg.1__725__AT1G07480.2 transcript:fgenesh2_kg.1__725__AT1G07480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTTTSAVYIHVIEDVVNKVREEFINNGGPGESVLSELQGIWETKMMQAGVLNGPIERSSAQKPTPGGPLTHDLNVPYEGTEEYETPTAEMLFPPTPLQTPLPTPLPGTADNSSIYNIPTGSSDYPTPGNENGVNLDVKGRSSPYMPPPSPWANPRLDVNVAYVDGRDEPERGNSNQQFTQDLLSSGKRKRDDSAAHYQNGGSILQQDGASDAIPKANFECTALRITNVGDRNIARDFICSSSKIPQVDGPMPDPYDEMLSTPNIYSYQGPNEDFNEARTPAPNEIQTSTPVAVQNDIVEDDEELLNEDDDDDELDDLDSGEDMNTQHLVLAQFDKVTRTKSRWKCNLKDGIMHINDKDILFNKATGEFDF >fgenesh2_kg.1__728__AT1G07470.1 pep chromosome:v.1.0:1:2739516:2742492:-1 gene:fgenesh2_kg.1__728__AT1G07470.1 transcript:fgenesh2_kg.1__728__AT1G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIA large subunit [Source:UniProtKB/TrEMBL;Acc:D7KH29] MGTTTTTSAVYIHVIEDVVNKVREEFINNGGPGESVLSELQGIWETKMMQAGVLNGPIERSSAQKPTPGGPLTHDLNVPYEGTEEYETPTAEMLFPPTPLQTPLPTPLPGTADNSSMYNIPTGSSDYTTPGTENGVNLDVKGRPSPYMPPPSPWANPRLDVNVAYVDGRDEPERGNSNQQFTQDLFVPSSGKRKRDDSSGHYQNGGSIPQQDGASDTIPKANFECYALRITSVGDRKVPRDFFCSSSKIPQVDGPMPDPYDEMLSTPNIYSYQGPSEDFNEARTPAPNEIQTSTPVTVQNNIVEDDEELLNEDDDDDELDDLESGEDMNTQHLVLAQFDKVTRTKSRWKCNLKDGIMHINDKDILFNKATGEFDF >fgenesh2_kg.1__729__AT1G07485.1 pep chromosome:v.1.0:1:2745718:2746372:1 gene:fgenesh2_kg.1__729__AT1G07485.1 transcript:fgenesh2_kg.1__729__AT1G07485.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KH30] MRSIYLGIMFMPTYKHSHTPSHDVKEEEEEGTEHLVDELRKNAGAFEEEALVEKLLENLDLDEVEEEEHDAANASFFDSFHFSSMFCVAEERERENELELL >fgenesh2_kg.1__738__AT1G07570.2 pep chromosome:v.1.0:1:2779003:2781420:-1 gene:fgenesh2_kg.1__738__AT1G07570.2 transcript:fgenesh2_kg.1__738__AT1G07570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLSAQVKAESSGASPKYDAKDTASLGSKGSSVSVRPSPRTEGEILQSPNLKSFSFADLKSATRNFRPDSVLGEGGFGCVFKGWIDEKSLTASRPGTGLVIAVKKLNQDGWQGHQEWLAEVNYLGQFSHRHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGLYFQPLSWKLRLKVALGAAKGLAFLHSSETRVIYRDFKTSNILLDSEYNAKLSDFGLAKDGPIGDKSHVSTRVMGTHGYAAPEYLATGHLTTKSDVYSFGVVLLELLSGRRAVDKNRPSGERNLVEWAKPYLVNKRKIFRVIDNRLQDQYSMEEACKVATLSLRCLTTEIKLRPNMSEVVSHLEHIQSLNAAIGGNMDRTERRMRRRSDSVVSKKANAGFARQTAVGSTVVAYPRPSASPLYV >fgenesh2_kg.1__739__AT1G07590.1 pep chromosome:v.1.0:1:2783618:2786524:-1 gene:fgenesh2_kg.1__739__AT1G07590.1 transcript:fgenesh2_kg.1__739__AT1G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KH37] MRSIIALVRQRECFGQAIRRTTCVPSSLAVNQTNFQASSFLTTLISSKKSPEETSCLGSDVEEEEPNKCLSVRIEKLPKGVTVGSALQSWMGDGFPVHGGDVYHAINRLRKLGRNKRALELMEWIIRERPYRPGELEYSYLLEFTVKLHGVSQGEKLFTRVPQEFQNELLYNNLVIACLDQGVIRLALEYMKKMRELGYRTSHLVYNRLIIRNSAPGRRKLIAKDLALMKADKATPHVSTYHILMKLEANEHNIDGVLKAFDGMKKAGVEPNEVSYCILAMAHAVARLYTVAEAYTEEIEKSVTGNNWSTLDILMILYGRLGKEKELVRTWNVIRGFHHVRSKSYLLATEAFAQVGNLDRAEELWLEMKNVRGLKETEQFNSLLSVYCKDGLIEKAIGVFREMMGDGFKPNSITYRHLALGCAKAKLMKEALKNIEMGSNLKTSNSIRSSTPWLETTLSIIECFAEKGDVENSEKLFEEVKNAKYNRYAFVYNALFKAYVKAKVYDPNLFKRMVLGGARPDAESYSLLKLVEQYKP >fgenesh2_kg.1__73__AT1G01580.1 pep chromosome:v.1.0:1:266834:270418:-1 gene:fgenesh2_kg.1__73__AT1G01580.1 transcript:fgenesh2_kg.1__73__AT1G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITKSNNGSGSNPSAGEEFKDMIKGVTKFFMMVIFLGTIMLWIMMPTLTYRTKWLPHMRIKFGTSTYFGATGTTLFMYMFPMMVVACLGCVYLHFKNRKSSDHIDRETKGGVWSKLRKPMLVKGPLGIVSVTEITFLAMFVALLLWCFITYLRNSFATITPKSAAAHDESLWQAKLESAALRLGLIGNICLAFLFLPVARGSSLLPAMGLTSESSIKYHIWLGHMVMALFTVHGLCYIIYWASMHEISQMIMWDTKDVSNLAGEIALVAGLMMWATTYPKIRRRFFEVFFYTHYLYIVFMLFFVLHVGITFSFIALPGFYIFLVDRFLRFLQSRENVRLLSARVLPSDTMELTFSKNSKLVYSPTSIMFVNIPSISKLQWHPFTITSSSKLEPEKLSVVIKKEGKWSTKLHHRLSSSDQIDRLAVSVEGPYGPASTDFLRHEALVMVCGGSGITPFISVIRDLIATSQKETCKIPKITLICAFKKTSEISMLDLVLPLSGLETELSSNINIKIEAFITREKEAGVEATAGKIKTLWFKPSLSDQSISSILGPNSWLWLGAILASSFLIFMIIIGIISRYYIYPIDHNTNKIYSLTSKTIIYILVISVSIMATSSAAMLWNKKKYSNVESKQVQNVDRPSPTSSPTSWGYNSLREIESTPQESLVQRTNLHFGERPNLKKLLLDMEGSSVGVLVCGPKKMRQKVAEICSSGLAENLHFESISFSW >fgenesh2_kg.1__741__AT1G07630.1 pep chromosome:v.1.0:1:2796462:2799061:1 gene:fgenesh2_kg.1__741__AT1G07630.1 transcript:fgenesh2_kg.1__741__AT1G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGVTKLRICFTGGGGGERLRQKDISVLLPDPLDEGLGHSFCYVRPDPTLITSSKVHSEEDTTTTTFRTISGASVSANTATPLSTSLYDPYGHIDRAAAFESTTSFSSIPLQPIPKSSGPIVLGSGPIERGFLSGPIERGFMSGPLDRVGLFSGPLDKPNSDNHHQFQRSFSHGLALRVGSRKRSLVRILRRAISKTMSRGQNSIVAPIKSVKDSDNWGIRSEKSRNLHNENLTVNSLNFSSEVSLDDDVSLESQNLQWAQGKAGEDRVHVVVSEEHGWLFVGIYDGFNGPDAPDYLLSHLYPVLHRELKGLLWDDSNVESKSQDLERSNGDESCSNQEYNDTCDRWWRCEWDRESRDLDRRLKEQISRRSGSDRLTNHSEVLEALSQALRKTEEAYLDTADKMLDENPELALMGSCVLVMLMKGEDIYVMNVGDSRAVLGQKSEPDYWLAKIRQDLERINEETMMNDLEGCEGDQSSLVPNLSAFQLTVDHSTNIEEEVERIRNEHPDDATAVTNERVKGSLKVTRAFGAGFLKQPKWNNALLEMFQIDYVGKSPYINCLPSLYHHRLGSKDRFLILSSDGLYQYFTNEEAVSEVELFITLQPEGDPAQHVVQELLFRAAKKAGMDFHELLEIPQGERRRYHDDVSIVVISLEGRMWKSCV >fgenesh2_kg.1__745__AT1G07650.1 pep chromosome:v.1.0:1:2807883:2814696:-1 gene:fgenesh2_kg.1__745__AT1G07650.1 transcript:fgenesh2_kg.1__745__AT1G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLQRIYFIIVLFTLTFHGRLGFSDNTKLHEAEVRALKEIGEKLGKKDWNFNKDPCSGEGNWVVTTYTTKEFESNITCDCSFLPPNSSCHVIRIALKSQNLTGIVPSEFSKLRHLKVLDLSRNSLTGSIPKEWASMRLEDLSFMGNRLSGPFPKVLTRITTLRNLSLEGNQFSGPIPPDIGKLVHLEKLHLPSNAFTGPLTEKLGLLKNLTDMRISDNNFTGPIPDFIGNWTRVMKLQMHGCGLDGPIPSSISTLTSLTDLRISDLGGKPSSFPQLKNLESIKTLILRKCNLNGPIPKYIGDLMKLKTLDLSFNLLSGEIPSSFEKMKKADFIYLTGNKLTGGVPNYFVERNKNVDVSYNNFTDESSIPKNGDCNRVSFNMVEGFALNKSHKNSTCFLQHFPCAHPKRHHTYKLYINCGGGEVKVDKGITYQTDDEPKGASMYVLGKHWALSSTGNFMDNDDDADDYTVQNTSRLSVNASSPSFELYRTARVSPLSLTYYGLCLGNGNYTVNLHFAEIIFTDDNTLYSLGKRLFDIYVQDQLVIKNFNIQEAARGSGKPIIKSFMVNVTDHTLKIGLRWAGKGTTGIPIRGVYGPMISAISVEPNFKPPVYYDIKGIILKAGVPVAAATLLLFIIVGVFWKKRRDKNAIDKELRGLDLQTGTFTLRQIKAATDNFDVAKKIGEGGFGSVYKGELSEGKLIAVKQLSAKSRQGNREFVNEIGMISALQHPNLVKLYGCCVEGNQLILVYEYLENNCLSRALFGKDESSRLKLDWSTRKKIFLGIAKGLTFLHEESRIKIVHRDIKASNVLLDKDLNAKISDFGLAKLNDDGNTHISTRIAGTIGYMAPEYAMRGYLTEKADVYSFGVVALEIVSGKSNTNFRPTEDFVYLLDWAYVLQERGSLLELVDPTLVSNYSEEEAMLMLNVALMCTNASPTLRPTMSQVVSLLEGKTAMQELLSDPSFSTVNPKLKALRNHFWQNELSRSLSFSTSGPRTGSANSLVDAEEKTGLLD >fgenesh2_kg.1__747__AT1G07820.2 pep chromosome:v.1.0:1:2816665:2817146:1 gene:fgenesh2_kg.1__747__AT1G07820.2 transcript:fgenesh2_kg.1__747__AT1G07820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KH43] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRT >fgenesh2_kg.1__748__AT1G07810.1 pep chromosome:v.1.0:1:2817720:2821664:-1 gene:fgenesh2_kg.1__748__AT1G07810.1 transcript:fgenesh2_kg.1__748__AT1G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-type calcium-transporting ATPase 4 [Source:UniProtKB/TrEMBL;Acc:D7KH44] MGKGGEDCGNKQTNSSDTFPAWAKDVAECEEKFGVSREKGLGTDEVLKRHQIYGLNELEKPEGTSIFKLILEQFNDTLVRILLAAAVISFVLAFFDGDEGGEMGITAFVEPLVIFLILIVNAIVGIWQETNAEKALEALKEIQSQQATVMRDGTKVSSLPAKELVPGDIVELRVGDKVPADMRVVALISSTLRVEQGSLTGESEAVSKTTKHVDENADIQGKKCMVFAGTTVVNGNCICLVTDTGMNTEIGRVHSQIQEAAQHEEDTPLKKKLNEFGEVLTMIIGLICALVWLINVKYFLSWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAMGSRIGTLRSFNVEGTSFDPRDGKIEDWPTGRMDANLQMIAKIAAICNDASVEQSEQQFVSRGMPTEAALKVLVEKMGFPQGLNETSSDGDVLRCCRLWSELEQRIATLEFDRDRKSMGVMVDSSSGKKLLLVKGAVENVLERSTRIQLLDGSIRELDQYSRDLILQSLHDMSLSALRCLGFAYSDVPSDFATYDGSEDHPAHQQLLNPSNYSSIESNLVFTGFVGLRDPPRKEVRQAIADCRTAGIRVMVITGDNKSTAEAICREIGVFEADEDISSRSLTGKEFMDVQDQKNHLRQTGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGISGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITAWILFRYMVIGMYVGVATVGVFIIWYTHNSFMGIDLSQDGHSLVSYSQLAHWGQCSSWEGFKVSPFTAGSQTFSFDSNPCDYFQQGKIKASTLSLSVLVAIEMFNSLNALSEDGSLVTMPPWVNPWLLLAMAVSFGLHFVILYVPFLAQVFGIVPLSLNEWLLVLAVSLPVILIDEVLKFVGRCTSGYRYSPRTRSAKQKEE >fgenesh2_kg.1__749__AT1G07680.1 pep chromosome:v.1.0:1:2835235:2836446:1 gene:fgenesh2_kg.1__749__AT1G07680.1 transcript:fgenesh2_kg.1__749__AT1G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLRTLLVILLFALIAIVGSINGEPGCGGSSPTWEDLHDEQAQRNLDNADKFGRITLDCETTGGGGNGANNGFVTIIDLSFGLFFFIFVAIGIWFFCVRRQKAINAAIVNSSPGQVGAKGKGYGMDEKTKTVAMTMGAPAGKLSNGDTNV >fgenesh2_kg.1__756__AT1G07720.1 pep chromosome:v.1.0:1:2852791:2854529:-1 gene:fgenesh2_kg.1__756__AT1G07720.1 transcript:fgenesh2_kg.1__756__AT1G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7KH50] MDLLVMLSSLLISYLIFKIWKHIDSKRDQNCYILDYQCHKPSDDRMVSTQFSGDVILRNKHLRLNEYKFLLKAIVSSGIGEQTYAPRLFFEGREQRPTLQDGISEMEEFYIDTIEKVLERNKISPSEIDILVVNVSMLNSTPSLSARIIKHYKMREDIKVFNLTAMGCSASVISIDIVKNIFKTYKNKLALVVTSESLSPNWYSGNNRSMILANCLFRSGGCAVLLTNKRSQSRRAMFKLRCLVRTHHGARDDSYSACVQKEDELGHIGVHLDKTLPKAATRAFIDNLKVITPKILPVTELLRFMLCLLLKKLRSNPSKGSTNVTQAAPKAGIKAGINFKTGIDHFCIHTGGKAVIDAIGYSLDLNEYDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRLKRGDRVFMISFGAGFKCNSCVWEVVRDLTVGESLGNVWNHCIDQYPPKSILNPFFEKYGWIHEEEDPDTFKMPEGFM >fgenesh2_kg.1__758__AT1G07740.1 pep chromosome:v.1.0:1:2862898:2865895:-1 gene:fgenesh2_kg.1__758__AT1G07740.1 transcript:fgenesh2_kg.1__758__AT1G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KH52] MRRRLSSVLINNHCIASQRHYHTSRPEKPTKKATSHEPTHKFTRKPWEEVPFLTDLKEIEDPEEALSLFHQYQEMGFRHDYPSYSSLIYKLAKSRNFDAVDQILRLVRYRNVRCRESLFMALIQHYGKAGWVDKAVDVFHKLTSFDCVRTIQSLNTLINVLVDNGELEKAKSFFDGAKDMRLRPNSVSFNILIKGFLDKCDWEAACKVFDEMLEMEVQPSVVTYNSLIGFLCRNNDMGKATSLLEDMIKKRIRPNAVTFGLLMKGLCCNGEYNEAKKLMFDMEYRGCKPGLVNYGVLMSDLGKRGKIDEAKILLGEMKKRRIKPDFVIYNILVNHLCTEGRVPEAYRTLTEMQMKGCKPNAATYRMIVDGFCRIGDFDSGLNVLNAMLASRHSPTPATFVRMVSGLIKGGNLDHACFVLEVMGKKKLSFGYSAWQNLLCDLCIKDGGAYCEALSEAIST >fgenesh2_kg.1__762__AT1G07750.1 pep chromosome:v.1.0:1:2877030:2878855:-1 gene:fgenesh2_kg.1__762__AT1G07750.1 transcript:fgenesh2_kg.1__762__AT1G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLSPKLPKKVYGGDGGSYFAWCPEELPMLKQGNIGAAKLALEKHGFAIPRYSDSSKVAYVLQGSGTAGIVLPEQEEKVIAIKQGDSIALPFGVVTWWFNNEDAELVILFLGETHKGHKAGQFTEFYLTGSNGIFTGFSTEFVSRAWDLDENTVKKLVGSQTGNGIVKLDASVKMPQPKKEHRDGFVLNCLEAPLDVDIKDGGRVVVLNTKNLPLVGEVGFGADLVRIDGHSMCSPGFSCDSALQVTYIVGGSGRVQVVGADGKRVLETHIKAGSLFIVPRFFVVSKIADSDGMSWFSIVTTPDPIFTHLAGKTSVWKALSPEVLQAAFNVAPEVEKSFRSKRTSDAIFFPPSN >fgenesh2_kg.1__764__AT1G07770.2 pep chromosome:v.1.0:1:2881528:2882851:-1 gene:fgenesh2_kg.1__764__AT1G07770.2 transcript:fgenesh2_kg.1__764__AT1G07770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPS15A [Source:UniProtKB/TrEMBL;Acc:D7KHV6] MVRISVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >fgenesh2_kg.1__765__AT1G07790.1 pep chromosome:v.1.0:1:2883096:2883775:1 gene:fgenesh2_kg.1__765__AT1G07790.1 transcript:fgenesh2_kg.1__765__AT1G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:D7KHV7] MAPRAEKKPAEKKPAAEKPVEENKAAEKAPAEKKPKAGKKLPPTKEAGDKKKKRSKKNIETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >fgenesh2_kg.1__766__AT1G07795.1 pep chromosome:v.1.0:1:2884360:2885025:1 gene:fgenesh2_kg.1__766__AT1G07795.1 transcript:fgenesh2_kg.1__766__AT1G07795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRLPSLMEPFLRRVSDRWPVIAQAATWTVLLMFTVAVASFAPEMAFVSTVSSSCGGGDGFVKIPMDFAGESICVPANMVKRSRFDLFVPSIFAAVMVTASACLIRSCIGTENVDDF >fgenesh2_kg.1__767__AT1G07810.1 pep chromosome:v.1.0:1:2885391:2889825:1 gene:fgenesh2_kg.1__767__AT1G07810.1 transcript:fgenesh2_kg.1__767__AT1G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 1, endoplasmic reticulum-type [Source:UniProtKB/TrEMBL;Acc:D7KHV9] MGKGSEDLVEKESLNSTPVNSDTFPAWAKDVAECEEHFGVSREKGLSTDEVLKRHQIYGLNELEKPEGTSIFKLILEQFNDTLVRILLAAAVISFVLAFFDGDEGGEMGITAFVEPLVIFLILIVNAIVGIWQETNAEKALEALKEIQSQQATVMRDGTKVSSLPAKELVPGDIVELRVGDKVPADMRVVALISSTLRVEQGSLTGESEAVSKTTKHVDENADIQGKKCMVFAGTTVVNGNCICLVTDTGMNTEIGRVHSQIQEAAQHEEDTPLKKKLNEFGEVLTMIIGLICALVWLINVKYFLSWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAMGSRIGTLRSFNVEGTSFDPRDGKIEDWPTGRMDANLQMIAKIAAICNDAGVEQSEQQFVSRGMPTEAALKVLVEKMGFPEGLNKVPSNDDVLSCSRLWSELEQRIATLEFDRDRKSMGVMVDSSSGKKLLLVKGAVENVLERSTRIQLLDDSVQELDQYSRDLILQSLRDMSLSALRCLGFAYSDVPSDFTTYDGSEDHPAHQQLLNPSNYFSIESNLTFAGFVGLRDPPRKEVRQAIADCRTAGIRVMVITGDNKSTAEAICREIGVFEADEDISSRSLTGKEFMDVQDQKNHLRQTGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGISGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITAWILFRYMVIGMYVGVATVGVFIIWYTHNSFMGIDLSQDGHSLVSYSQLAHWDQCSSWEGFKVSPFTAGSQTFSFDSNPCEYFQQGKIKASTLSLSVLVAIEMFNSLNALSEDGSLVTMPPWVNPWLLLAMAVSFGLHFVILYVPFLAQVFGIVPLSLNEWLLVLAVSLPVILIDEVLKFVGRCTSGYRYSPRTRSAKQKEE >fgenesh2_kg.1__768__AT1G07820.2 pep chromosome:v.1.0:1:2890297:2890822:-1 gene:fgenesh2_kg.1__768__AT1G07820.2 transcript:fgenesh2_kg.1__768__AT1G07820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KH43] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRT >fgenesh2_kg.1__769__AT1G07830.1 pep chromosome:v.1.0:1:2891530:2892780:1 gene:fgenesh2_kg.1__769__AT1G07830.1 transcript:fgenesh2_kg.1__769__AT1G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L29 family protein [Source:UniProtKB/TrEMBL;Acc:D7KHW1] MFLTRFVGRRFLAAASARSESTTAAAASAIRTPQNPLEEFFEFDRSQDEDKPVVYGRGWKASELRLKSWDDLQKLWYVLLKEKNMLMTQRQMLQAQNMQFPNPERIPKVRRSMCRIKHVLTERAIEEPDPRRSAEMKRMVNGM >fgenesh2_kg.1__774__AT1G07860.1 pep chromosome:v.1.0:1:2897873:2898430:-1 gene:fgenesh2_kg.1__774__AT1G07860.1 transcript:fgenesh2_kg.1__774__AT1G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSFSQLPISSSHIGRKTQNSRRGIFPVSEYWIHAIPVIVFLCFFTLWIFSHSVSVMNDGEIMSIHRLEKSMAVRNESHVSLAILASSAVSPASGLVVSTNQNLTTPHNATQSQQNATQSVNKAKQPHAV >fgenesh2_kg.1__775__AT1G07870.1 pep chromosome:v.1.0:1:2898682:2901044:-1 gene:fgenesh2_kg.1__775__AT1G07870.1 transcript:fgenesh2_kg.1__775__AT1G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KHW5] MGCFGCSKKSSKRSETNKDTVINRKIVGGTTSVVKSDKRDDQAQPSSDSTKVSPYRDVNNEGVGKEDQLSLDVKGLNLNDQVTGKKAQTFTFQELAVATGNFRSDCFLGEGGFGKVFKGTIEKLDQVVAIKQLDRNGVQGIREFVVEVLTLSLADHPNLVKLIGFCAEGDQRLLVYEYMPQGSLEDHLHDLPSGKKPLDWNTRMKIAAGAARGLQYLHDRMTPPVIYRDLKCSNILLGEDYQPKLSDFGLAKVGPSGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLELITGRKAIDNTKTRKDQNLVGWARPLFKDRRNFPKMVDPLLQGQYPVRGLYQALAISAMCVQEQPSMRPVVCDVVSALNFLASSKYDPNSPSSSSRKNPSFHRDRDDEEKRPDLVKETEYEGSS >fgenesh2_kg.1__778__AT1G07890.1 pep chromosome:v.1.0:1:2909271:2911642:1 gene:fgenesh2_kg.1__778__AT1G07890.1 transcript:fgenesh2_kg.1__778__AT1G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNYPTVSEDYKKAIEKCKRKLRGLIAEKNCAPIMVRLAWHSAGTFDCQSRTGGPFGTMRFDAEQAHGANSGLHIALRLLDPIREQFPTISFADFHQLAGVVAVEVTGGPEIPFHPGREDKPQPPPEGRLPDATKGCDHLRDVFAKQMGLSDKDIVALSGAHTLGRCHKDRSGFEGAWTSNPLIFDNSYFKELLSGEKEGLLQLVSDKALLDDPVFRPLVEKYAADEDAFFADYAEAHMKLSELGFADA >fgenesh2_kg.1__786__AT1G07910.1 pep chromosome:v.1.0:1:2922041:2930145:1 gene:fgenesh2_kg.1__786__AT1G07910.1 transcript:fgenesh2_kg.1__786__AT1G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRNL [Source:UniProtKB/TrEMBL;Acc:D7KHX0] MDAPSEAADRSVSVVAEAVNNQFGGLILEESNTNVPVLPSQTTTNHSVQNLVWKPKSYGTASGKTSSVSQAGSSGDAKVGLNLSKIFGGDLLEKFSVDKSTYCHAQIRATFYPKFENEKTDQEIRTRMIEMVSKGLATLEVSLKHSGSLFMYAGHKGGAYAKNSFGNIYTAVGVFVLSRMFREAWGTKSLEKEVEFNDFLEKNRMCISMELVTAVLGDHGQRPLDDYVVVTAVTELGNGKPKFYSTSEIIAFCRKWRLPTNHVWLFSTRKSVTSFFAAFDALCEEGIATSVCRALDEVADISVPASKDHVKVQGEILEGLVARIVSSQSARDMENVLRDHPPPPWDGANLDLGLSLREICASHRSNEKQQMRALLRSVGPSFCPSEVDWFGDDLIRKMLTNLYRLYVYLSLAVSFHVEMVRLMKEKRLPAAFKCYHNFHRADDISPDNLFYKLVVHVHSDSGFRRYQKEMRHMPSLWPLYRGFFVDINLFKSNKVRDLMALKSIDNAVKDASENDGQREKDGLADGDANLMIKLKFLTYKLRTFLIRNGLSILFKEGPAAYKTYYLRQMKIWGTSDGKQKELCKMLDEWAAYIRRKCGNDQLSSSTYLSEAEPFLEQYAKRSPMNQILIGAAGNLVRTEDFLAIVDGDLDEEGDLVKKEGVTPATPEPAVKEAVQKDEGLIVFFPGIPGCAKSALCKELLNAPGGFADDRPVHTLMGDLVKGKYWPKVADERRKKPQSIMLADKNAPNEDVWRQIEDMCRRTRASAVPIVADSEGTDTNPYSLDALAVFMFRVLQRVNHPGKLDKESSNAGYVLLMFYHLYEGKNRNEFESELIERFGSLIKMPLLKSDRAPLPDPVKSVLEEGIDLFNLHSRRHGRLESTKGTYAAEWTKWEKQLRDTLVANSEYLNSIQVPFESVVHLVREELKKIAKGEYKPPSSEKTKHGSIVFAAINLPATQVHSLLEKLAAANPTMRFFLEGKKKTIQEKLERSHVTLAHKRSHGVAAVASYGQHLNREIPVELTELIYNDKMAALTANVGCVDGETVVSKNEWPHVTLWTAEGVTAKEANTLPQLYLEGKASRLVIDPPVSISGPLEFF >fgenesh2_kg.1__78__AT1G01550.1 pep chromosome:v.1.0:1:284339:286433:-1 gene:fgenesh2_kg.1__78__AT1G01550.1 transcript:fgenesh2_kg.1__78__AT1G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQDPPRGFFPFGNPFKNLSSKNSVLSPKLLSLLNNFETNLASSISKLVPKEKSQILTVSWMKQAMESLCETHNGIKTLITDLELPVSDWEDKWVDVYLDISVKLLDLCNAFSSELTRLNQGHLLLQFALHNLEANSPHNLLKAQSSLDSWKQHIVSKNPRIENCRAILSSLVQTLNLPKVKNSAKGKVLMRALYGVKVKTLYISGVFAAAFSGSSQNLMYLTVSHELPWAQSFMEMQNTMNAEIKNIFLSDGLTVLKELVAVDSGVKKLYPAIQQGSIDPISLQPLKDSVTELSNGLDLVSKEVDCFFKILLTGRDTLLENLRSIGVSTLQATSPKKAAGKNYRGF >fgenesh2_kg.1__791__AT1G07930.1 pep chromosome:v.1.0:1:2931229:2932842:1 gene:fgenesh2_kg.1__791__AT1G07930.1 transcript:fgenesh2_kg.1__791__AT1G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-alpha [Source:UniProtKB/TrEMBL;Acc:D7KHX1] MGKEKFHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGMIKPGMVVTFAPTGLTTEVKSVEMHHESLLEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSEILTKIDRRSGKEIEKEPKFLKNGDAGMVKMTPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKVTKAAVKKGAK >fgenesh2_kg.1__799__AT1G07950.1 pep chromosome:v.1.0:1:2951159:2952306:-1 gene:fgenesh2_kg.1__799__AT1G07950.1 transcript:fgenesh2_kg.1__799__AT1G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus protein 5 family protein [Source:UniProtKB/TrEMBL;Acc:D7KHX3] MNKGGGSGGGMAGSGGGSGPTAAAAAAALQKQKALLQRVETDITSVVDNFTQIVNVSRVSDPPVKNSQEAYMMEMRASRLVQAADSLLKLVSELKQTAIFSGFASLNDHVEQRIEEFDQEAEKTNGLLARIADDASASLKELKSHYYSSAQRLTLI >fgenesh2_kg.1__79__AT1G01540.2 pep chromosome:v.1.0:1:287793:290675:-1 gene:fgenesh2_kg.1__79__AT1G01540.2 transcript:fgenesh2_kg.1__79__AT1G01540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KQ62] MSVYDAAFLNTELSKPTSIFGLRLWVVIGILLGSLIVIALFLLSLCLTSRRKNRKPRADFASAAIATPPISKEIKEIVPAQTQSVPAEIQVDIGKIEHRVVFSDRVSSGESRGTASASETASYSGSGNCGPEVSHLGWGRWYTLRELEAATNGLCEENVIGEGGYGIVYRGILTDGTKVAVKNLLNNRGQAEKEFKVEVEVIGRVRHKNLVRLLGYCVEGAYRMLVYDFVDNGNLEQWIHGDVGDVSPLTWDIRMNIILGMAKGLAYLHEGLEPKVVHRDIKSSNILLDRQWNAKVSDFGLAKLLGSESSYVTTRVMGTFGYVAPEYACTGMLNEKSDIYSFGILIMEIITGRNPVDYSRPQGETNLVDWLKSMVGNRRSEEVVDPKIPEPPSSKALKRVLLVALRCVDPDANKRPKMGHIIHMLEAEDVLYRDERRTTRDHGSRERQETAVVVAGSESGESGSRHHQQKLR >fgenesh2_kg.1__802__AT1G07960.3 pep chromosome:v.1.0:1:2952468:2954337:1 gene:fgenesh2_kg.1__802__AT1G07960.3 transcript:fgenesh2_kg.1__802__AT1G07960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGARLIAPMIILLLFVPIELVKAEVITLTPETFSDKIKEKDTAWFVKFCVPWCKHCKKLGNLWEELGKAMEGDDEIEIGEVDCGTSRAVCTKVEIHSYPTFMLFYNGEEVSKYKGKRDVESLKAFVIEETEKAAEKAQLEDKEL >fgenesh2_kg.1__804__AT1G07985.1 pep chromosome:v.1.0:1:2960274:2960887:1 gene:fgenesh2_kg.1__804__AT1G07985.1 transcript:fgenesh2_kg.1__804__AT1G07985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEEPILSRLDRIDFMVRKLEEMKGSSPRSSSPSTPSSGTQPSSMDMSSPRSIGKVQCRSMEQVMEETERKGTLLERLNNVEEQVLKLCSQFEEEVEEERKREDKTDKEKKTPKKKKGLRKLVDKVVGSSSPTNIHSKSWRC >fgenesh2_kg.1__806__AT1G08010.1 pep chromosome:v.1.0:1:2968394:2973001:-1 gene:fgenesh2_kg.1__806__AT1G08010.1 transcript:fgenesh2_kg.1__806__AT1G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KHX9] MNRLPEAEAEEDFKGLLSGDFFDDLINHLDCPLEDIDSTNVEGDWVARFQDLEPPPMDMFPSLPSELISCATGGRLGIQRNMIPAFKQSCSSEALSSIDTTLHQSSAPPDIKVSKLFQSLSPVSVLENSYGSLSTQNSGSQRLAFPVKGMRSKRKRPTTLRLSYLFPSEPRKPEKSTPAESESESYYSSEQHAKKKRKIHLTTRTVSSSSEASNSDGIVRKCTHCETTKTPQWREGPKGRKTLCNACGVRFRSGRLVPEYRPASSPTFIPSVHSNSHRKIVEMRRKDDEQFDTSMIRAVISRG >fgenesh2_kg.1__815__AT1G08060.1 pep chromosome:v.1.0:1:2990555:3000102:-1 gene:fgenesh2_kg.1__815__AT1G08060.1 transcript:fgenesh2_kg.1__815__AT1G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDEKNSSAGRTICTRSLAASIPASAEHETPGLRRSSRGAPSTNLITPASATRKSERLAPSPASVAIKSGGMEKNYTPSPLRRSNRGKNVVSLQNSKGSDNSGRNADTSSYIEQRKDKRKNSVEESTNKINKQGPIMSARSFRALFRGKLKESEALVDVSPNEEELVVVGCSRRIPAGNDDAQGKTDCPPPANAGSKRLPVGETSLEKGTDFPLKSITDTENMVLDASPIVETGDGSVIGSPSENLETQKPQDGNTDCPPPANTESKRLVIGSPSEKLETQKLQDGNTDFGETSLEKKYPQKFQDGNTDCLPPANAESKKLPVGQTSLDKDTDFPLKSITETEKMVLYASPIVETRDDSVIASPSENLETQKPFVSKTGLETDIGLPLKRKRDTAGIELDACATVANGDDHVMSSDGVNPSPFGCKNDNQPEMCNTCKKRQKVNGDFQNLSVCSCIAQPVEESDHLTQDMKETGPATSREYEENGQMQHGKSSEPKLYSSMYPEYWVPVQLSDVQLEQYCRTLFSKSLSLSSLSKIDLGALEETLNSVRKTCDHPYVMDASLKQLLTKNLELHKILDVEVKASGKLQLLDEMLTHIKKNGLKAVVFYQAAQTPEGLLLGNILEDFVGHRFGPKSYEHGIYSSKKNAINNFNKESQCCVLLLETRACSQTIKLLRAEVFILFGSSLNPSHDVKHLEKIKIESCSERTKIFRLYSVCTVEEKALILARQNKRHHKAVENLSRSLTHALLMWGASYLFDRLDHFHSSETPHSGASFEQSIMDGVIHEFSSILSSKGEEENEVKLCLLLEAKHAQGTYSSDSTLFGEDHIKLLAEDSHSPNIFWTKLLGGKNPMWKYPSDTPQRNRKRVQYFEGSEESPKIGDGGNAKKRKKASDDVTDPPVDDDERKASGKDHIGALESPKVIALQSSCKSTSGADGTLDGNDTYGLYSMGGHISGIPEGMLAGHDWRKIPGESQRRLHAVLKLKMAKLCQVLHLSDACTSMVENFLEYVIENHQIYEEPATTLQAFQLALSWIAALLVKQNLSHKESLVRAKSELAFDCSRVEVDYIYSILSCMKSLFLERTQGLQFDCFGTNSKQSMVSTQLVNESLSGATVREEKNNTKSMRNSSEDEECITERRCSHYSTATEDVEKTISDIEKKWKKQVQKLVQEHEEKKLELLNMYADKKQKLETRKNVEAAVIRITCSRTSTQVDDLKLLDDKFERMFDEIKSEKNECLKSLEQMHEAAKKKLAEDKACWINRLKSWAQANLKVCVPMQSRNNKHLSGLCSSNISQNAPDVQICNDMNVEGTYADTNLPEAENTLATMSGGSTQQVHEMEAVRNDKKMDVSDLSHGQPTKIMVTKSQSNELASITVPEILVPAGCQEEFAALNVHLSENQNCDGITSAGPDEDVSSRVPEVSQPLENLAKSASPESSLNREEALVTTENNRTSHVVSDTDNIFDQQNREACSLDQDISDELALPMPHPASVVETRSATESDQDGQDISPMPSSLAGKQPDPAANTQGQNLEAAIELQSTGSETVETTDFAASHQGDQVTCPLPSSPAGNQPAPEANIGSQNINTSAEPHVAGSEVVESGDYAVSDQETMGAQDACSLPSASFGTQSDLGANNEGQNITTVAQLPTDGSDAVETGGSPVSDQCAQDASPMPLSSPGNHPDTAVNIEGLNNTTIAEPHISGSDACEMEIAEPGPRVERSNFASLVHEGGVEHSAGVTALVPSLLNNGTEQSAVQPVPQIPFPVFNDPFLHELEKLRRESENSKKTFEEKKSILKAELERKMAEVQAEFQRKFNEVEAEHNTRTTKIETDKNLVIMNKLLANAFLSKCTDKKVSPSAAPRGRIQQLAQRATQVSALRNYSASAPQQLQASSFPAPALVPAPLQLQQSSFPAPGPAPLQPQASSFASSVSRPSALPLNSAICPMPQPRQPLISNIAPTPSVSPAANPVPSASLRSPAPHLNSYRQSSSTSVATATPTSSAPPQALTYSAVSIQKQQQEKQPQQSLRSGGLQMNNDVVCLSDDE >fgenesh2_kg.1__816__AT1G08065.1 pep chromosome:v.1.0:1:3000977:3002784:-1 gene:fgenesh2_kg.1__816__AT1G08065.1 transcript:fgenesh2_kg.1__816__AT1G08065.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonate dehydratase/ zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7KHY4] MKISSIGCVVFLIFISITIVSSSPDNGEVEDETQFNYEKKGEKGPDNWGRLKPEWAMCGKGNMQSPIDLTDKRVLIDHNLGYLRSQYLPSNITIKNRGHDIMMKFEGGNAGLGITINGTEYKLQQIHWHSPSEHTLNGKRFVLEEHMVHQSKDGRNAVVAFFYKLGKPDYFLLTLERYLRKITDTHESEEYVGMIHPRTFDFESRHYYRYTGSLTTPPCSENVIWTISKEMRTVTLKQLIMLRVTVHDQSNTNARPLQRENERPVALYTPTWHIKPS >fgenesh2_kg.1__817__AT1G08070.1 pep chromosome:v.1.0:1:3003233:3005639:-1 gene:fgenesh2_kg.1__817__AT1G08070.1 transcript:fgenesh2_kg.1__817__AT1G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KHY5] MIPSCSPLTVPSSSYPFHFLPSSSDPPYDSLRNHPSLSLLHNCKTLQSLRLIHAQMIKTGLHNTNYALSKLLELCVISPHFDGLPYAISVFETIQEPNLLIWNTMFRGHALSSDPVSALKLYVCMISLGLLPNSYTFPFLLKSCAKSKAFKEGQQIHGHVLKLGYDLDLFVHTSLISVYVQNGRLEDARKVFDRSPHRDVVSYTALIKGYASRGYIESAQKLFDEIPVKDVVSWNAMISGYAETGNYKEALELFKEMMKTNIRPDESTMVTVVSACAQSGSIELGRQVHSWIDDHGFGSNLKIVNSLMDLYSKCGELETACGLFEGLLYKDVISWNTLIGGYTHMNLYKEALLLFQEMLRSGERPNDVTMLSILPACAHLGAIDIGRWIHVYIDKRLKSATNASSLRTSLIDMYAKCGDIEAAHQVFNSILHKSLSSWNAMIFGFAMHGRADAAFDIFSRMRKIGIEPDDITFVGLLSACSRSGMLDLGRHIFRTMTQDYKITPKLEHYGCMIDLLGHSGLFKEAEEMINNMEMEPDGVIWCSLLKACKIRGNVELGESFAQNLIKIEPENPGCYVLLSNIYATAGRWNEVAKIRALLNDKGMKKVPGCSSIEIDSVVHEFIIGDKFHPRNREIYGMLEEMEVLLEKAGFVPDTSEVLQEMEEEWKEGALRHHSEKLAIAFGLISTKPGTKLTIVKNLRVCRNCHEATKLISKIYKREIIARDRTRFHHFRDGVCSCNDYW >fgenesh2_kg.1__820__AT1G08100.1 pep chromosome:v.1.0:1:3020009:3021820:-1 gene:fgenesh2_kg.1__820__AT1G08100.1 transcript:fgenesh2_kg.1__820__AT1G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATNRT2:1 [Source:UniProtKB/TrEMBL;Acc:D7KHY8] MGDSNGEPGSSMHGVTGREQSYAFSVDTPTVPTNTKKKYNLPVDAEDKATVFKLFSFAKPHMRTFHLSWISFSTCFVSTFAAAPLIPIIRENLNLTKQDIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLSAPTVFSMSFVSGAAGFITVRFMIGFCLATFVSCQYWMSTMFTSQIIGLVNGTAAGWGNMGGGITQLLMPIVYEIIRRCGSTAFTAWRIAFFVPGWLHIIMGILVLTLGQDLPGGNRAAMEKSGEVAKDKFGKILWYAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFFDRFHLKLHTAGIIAACFGMANFFARPAGGWASDIAARRFGMRGRLWTLWIIQTAGGLFCVWLGRANTLVTAVVSMVLFSLGAQAACGATFAIVPFVSRRALGIISGLTGAGGNFGSGLTQLVFFSTSRFTTEEGLTWMGVMIVACTLPVTLIHFPQWGSMFLPPSNDSIDATEHYYVSEYNKQEQQDGMHLKSIKFAEGARTEGGPSVHNGNISNNA >fgenesh2_kg.1__825__AT1G08135.1 pep chromosome:v.1.0:1:3041078:3043735:-1 gene:fgenesh2_kg.1__825__AT1G08135.1 transcript:fgenesh2_kg.1__825__AT1G08135.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCHX6B/CHX6B [Source:UniProtKB/TrEMBL;Acc:D7KHZ3] MDAENATWRKELMWDDDGKRAEMGTKMFCDVSPHIMLNSHGVSEKMDSGYKGMEFWEYPLPQLEIIILSIFLLWRLFDMLFKKLGVPIPKFTSMMLVRNYGFQISTFPWRFLNEVFGSMQISCFKHIFIHDNKYMPKVADTIGAFAFVLDWFLRGVTTDVGIIKKSGTKSVVIGITSMIIPWQIGKLLYSSREKSSILTMTENEYNVMTFTMSMTPFTCVNMLLTDLKIVHTDFGQIAQSSGMVTDVLAFFLTVWAYISRDETQGVKMGFAFMAFFIFVYLVRQFMLWVIRHTPEGAPVKNIYLYIGLLLAYLSYLYWNRFLFFGPLGAFVLGLAVPNGPPLGSVFIQKFDSFNEGIFLPLFGSLSMIKLDWSFLRKEFGDEFGDGKHLHGHMYECFSFLPIVYIAKFGTSFLAAIATKIPLRDSIILAVIMGTKSSFELAYVLTAFEKYRISLEVLSLLGVYILVNSLLTPMAIHFLYDRSKRFVCYGRRNLKQKSELQTLVCINKPDNITSMISLLRATSPSKDSPMECCVLHLIELQGQATPTFISHQLQKPKPGSRSYSENVISSFQLFQEIYCDSASINMFTSLTSAKEMHEHICWFALSQGSNLILLSFHRTWGPDGSVVISDDQTLRTLNRNVLKRAPCSVGIFVYRKPIWQTKALESPCRVCLIYVGGNDDKEALALADHMRGNQQVILTVMRLIPASHADDISKRIHSQMVDMNRHEDRPRDDSIIIDWTVGDGTETSKILHSVAYDYDLFIVGRRSGFGTTVTRGLGDWMEFEELGIIGDLLASEYFPSRASVLIVQQQE >fgenesh2_kg.1__826__AT1G08140.1 pep chromosome:v.1.0:1:3044580:3047672:-1 gene:fgenesh2_kg.1__826__AT1G08140.1 transcript:fgenesh2_kg.1__826__AT1G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCHX6a [Source:UniProtKB/TrEMBL;Acc:D7KHZ4] MDTEQMDMSYWDMTWREYNEDNNTSRFCETHPYIVNSHGIWEVLTYKRGMKFWEYPLPNLEILIFSTFFIWRLLDISFNRIGLRVPRFTYMMIAGIILGQTCHVSNKSWLHNIFFPDDNRPKVAETLGAFGFVLYWFLKGVTMDAELPFKTEKRSSVIGFITVFIPLICGSLTFRWRERRGNISLLRTEYRLIIFLQSISAFTSIDTLLKDLQIKHSEFGRIALSGAMVTDMLAFVVTFFNAIYWEGFYGFMQTIGFCLFVVVMIFVVRPTMYWVIKQTPEGRPVKDFYLYSIFGLAFASFTFFDKAIHLFGPAGSFVFGLTVPNGYPLGATLVQKFESFNLGAILPLFGSLTMMQVDLLWLVKESGNFIRMEGQIYEVISFILLVNTVKFVVTTIAAYAFKMPLRDSFALALVLSNKGIFELAYYTFAVEIKLIRPEVFTILAAFSLLNSIFIPMLLELVHDPTKRFKCYRKRNLGILKDGAALQCLMCVYKPDHITSMIDLLETFNPSQDSPMACNTLHLVELVGQANPMFISHQLQQPEPGSTSLSDNVIISFRRFQRQFFEFTSLDIFTSVSLSQHMHEDICWLALSRSLSLIVLPFHRTWSVDRSTIISNDDKLRVLNLNVLRRAPCSVAIFIYRKPIVESHMINSHSKICLIFNGGKDDTEALAITNRMRLTDKRTRLTIIRFIPKSSEMDNHEWEQQQSINLKESVTNLIGSNIKQNDEKVTYIDKAVSDGSETSRILRAMANDYDLFIVGRDSGIGTEATSGISEWTEFDELGPIGDLLASHEYPSSASVLVVQKQVYIHHTKSQKRISF >fgenesh2_kg.1__830__AT1G08150.1 pep chromosome:v.1.0:1:3059942:3062986:-1 gene:fgenesh2_kg.1__830__AT1G08150.1 transcript:fgenesh2_kg.1__830__AT1G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCHX5 [Source:UniProtKB/TrEMBL;Acc:D7KHZ6] MEAYNATFWSDFSYHGYGYNEDGTKFCEQIPMLVNSFGVWEKLGLPVLGMKIWDYSLPLLESVIVLVLCLWQFFYFSLKMIGLPVPKITSMMIAGATLSQTSLLPNDWTIQHILFPDDYRPKVPETLGGFAFVFYWFLEGVKMDVGMVKKTGTKVIVTGIVTVLLPIFVANMVFGKLRETGGKYLTGMEYRTILFMQSISAFTGISRLLRDLKINHSEFGRIVLSTAMVADVTGFAVNLFALVAWLDWRVSALQGVGIIGYVIVMVWVVRPAMFWVIRRTPQERPVKECFIYIILILAFGGYYFLKEIHMFPAVGPFVLGLCVPHGPPLGSLLIEKFESFNTGILLPLFLFFSMMQIDGPWIAKEIGQIWHFEGQLYEALTIIIVVLVAKIVFSTIPALLAKMPLTDSFVMALILSNKGIVELCYFMYGVESNVLHVKSFSIMALMILVSSTISPVLIHYLYDSSKRFISFQKRNLMSLKLGSELKFLVCIHKADHISGMINLLGQSFPLHESTISCYVLHLVELVGLDNPVFISHQMQKAEPGNRSYSNNVLIAFDNFKHYWKSISLELFTSISNPKYMHQEIYALALDKQASFIMLPFHIIWSLDQTTVVSDDVMRRNANLNVLRQAPCSVGIFVHRQNLFSAQKSNPSYQVCAIFVGGKDDREALALGRQMMRNPNVNLTVLKLVPAKMDGMTTGWDQMLDSAEVKEVLRNNNNTAGQHSFVEYVEETVNDGSDTSTLLLSIANSFDLFVVGRSAGVGTDVVSALSEWTEFDELGVIGDLLVSSDFPRRGSVLVVQQQQNVACR >fgenesh2_kg.1__831__AT1G08160.1 pep chromosome:v.1.0:1:3063453:3064340:-1 gene:fgenesh2_kg.1__831__AT1G08160.1 transcript:fgenesh2_kg.1__831__AT1G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPNPAHQPARRTQPQQPRPQPLPGRRMNPVLCIIVALVLLGLLVGLAILITYLTLRPKRLIYTVEAASVQDFAIGNNDDHITAKFSYVIKSYNPEKHVSVRYHSMRISTAHHNQSVAHKNISPFKQRPKNETRIETQLVSKNVALSKFNARDLRAEKSKGTIEMEVYITARVSYKTWIFRSRRRTLKAVCTPVMINVTSSSLDGFQRVLCKTRL >fgenesh2_kg.1__832__AT1G08170.1 pep chromosome:v.1.0:1:3067955:3068569:-1 gene:fgenesh2_kg.1__832__AT1G08170.1 transcript:fgenesh2_kg.1__832__AT1G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKPKVVSVTKKKKVVEETIKVTVTEGEDPCVTTETANDQETQDLTFSIPVGENVTTVEIPVEVRDEQSPQPPETPASKSEGTLKKTDTVEKKKKKKKKKKRDDLAGDEYRRYVYKVMKQVHPDLGITSKAMTVVNMFMGDMFERIAQEAARLSDYTKRKTLSSREIEAAVRLVLPGELSRHAVAEGSKAVSNYVGYGSRKR >fgenesh2_kg.1__835__AT1G08200.1 pep chromosome:v.1.0:1:3079376:3082107:-1 gene:fgenesh2_kg.1__835__AT1G08200.1 transcript:fgenesh2_kg.1__835__AT1G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-apiose/UDP-D-xylose synthase 2 [Source:UniProtKB/TrEMBL;Acc:D7KI01] MANGAGRLDLDGKPIKPITICMIGAGGFIGSHLCEKLMTETPHKVLALDVYNDKIKHLLEPDTVQWAGRIQFHRINIKHDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDHPLRQDPEFYVLKEDISPCIFGSIEKQRWSYACAKQLIERLVYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFIYIKDAIEAVLLMIENPERANGHIFNVGNPNNEVTVRQLAEMMTEVYAKVSGETAIESPTIDVSSKEFYGEGYDDSDKRIPDMTIINRQLGWNPKTSLWDLLESTLTYQHTTYAEAIKKATSKPVAS >fgenesh2_kg.1__836__AT1G08210.1 pep chromosome:v.1.0:1:3082405:3085813:-1 gene:fgenesh2_kg.1__836__AT1G08210.1 transcript:fgenesh2_kg.1__836__AT1G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7KI02] MAVDSPAGVIIIATVLLHAVTTLVCGSDAVLKLERLIPPNHELGLTELRAFDSARHGRLLQSPVGGVVNFPVDGASDPFLVGLYYTKVKLGTPPREFNVQIDTGSDVLWVSCTSCNGCPKTSELQIQLSFFDPGVSSSASLVSCSDRRCYSNFQTESGCSPNNLCSYSFKYGDGSGTSGFYISDFMSFDTVITSTLAINSSAPFVFGCSNLQTGDLQRPRRAVDGIFGLGQGSLSVISQLAVQGLAPRVFSHCLKGDKSGGGIMVLGQIKRPDTVYTPLVPSQPHYNVNLQSIAVNGQILPIDPSVFTIATGDGTIIDTGTTLAYLPDEAYSPFIQAIANAVSQYGRPITYESYQCFEITAGDVDVFPEVSLSFAGGASMVLRPHAYLQIFSSSGSSIWCIGFQRMSHRRITILGDLVLKDKVVVYDLVRQRIGWAEYDCSLEVNVSASRGGRSKDVINTGQWRESGSESFNRSYYYLLQQLVFLLHLFARFL >fgenesh2_kg.1__837__AT1G08220.1 pep chromosome:v.1.0:1:3086321:3088482:-1 gene:fgenesh2_kg.1__837__AT1G08220.1 transcript:fgenesh2_kg.1__837__AT1G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLNRFLKHRSVSTLNKHHQGVFSFTRYENHDSLPSKMPALRSTTRSFLDFYQFGNKKAIEDERTRLNDEMNRGYFADMKEFKEHGGKIAAANKILIPAASAMKFPVLAVTYSNGQRLNLPITPNSNEVDTESLAVPKVSLVCLSFRASSQEMISSWSKPFLETFGNRKDLQLFEVSFIDKWLLGLAPIRKLLLRVLQKPNNNENSVLQRQAVYAFGDHYNFRKQIKVLNLLTGYILLLDKSGRIRWQGFGTATPEEVSQLLSCTSLLLEDQ >fgenesh2_kg.1__843__AT1G08280.1 pep chromosome:v.1.0:1:3113743:3115176:1 gene:fgenesh2_kg.1__843__AT1G08280.1 transcript:fgenesh2_kg.1__843__AT1G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 29 protein [Source:UniProtKB/TrEMBL;Acc:D7KI08] MKRSVRPLFSALLFAFFAATLICRVAIRRSSFSFASAIAELGTSGLMTEEIVFNETLLEFAAIDPGEPKFKQEVDLISDYDHTRRSHRRHFSSMSRPSEQPRHGSRDIASSKFPVNSQVYRYWSEFKRNLRLWARKRAYEPNIMLDLIGLVKNPIDVHNGVVSISSERYLSCAVVGNSGTLLNSQYGDLIEKHEIVIRLNNAKTESFEKKVGSKTNISFINSNILHQCGRRESCHCHPYGETVPIVLYICQPIHVLDYTLCKPSHRAPLLITDPRFDVLCARIVKYYSVKKFLEEKKAEGFVDWSKDHEGSLFHYSSGMQAVMLAVGICEKVSVFGFGKLNSTKHHYHTNQKAELSLHDYEAEYRLYRDLENNPRAIPFLPKEFKIPLVQVYH >fgenesh2_kg.1__845__AT1G08300.1 pep chromosome:v.1.0:1:3122033:3124257:1 gene:fgenesh2_kg.1__845__AT1G08300.1 transcript:fgenesh2_kg.1__845__AT1G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNRDGSWSLRASAYGGSGNGNGGYIPQATRVFPNYIIQQQPIRYNFQTQQNLNFTHPQFGGGANVEVMRIDKAINNTRKSLIAAGENVSSTRVSQSVLEQLQADDIWRSLGIQMQDVPSLRQLMALEGKIIAFIHCFIGARGIVTLHDLEVAICRNEFVGCFDDLGLGPLLQHPLVLLYFPSISGSTAPVQITSEELISFLDSFLKTYDMDDVKLDEFLDFVAEEKAVTSKDKLGVRIQSLRMYVSFIQNAKRQEGETLKILLTGLHQKYHILPSKKQQQDKDYCGKHTRFNSLSSEDNDSADYEVENVNSCDQFSSCPYPSVAEEMKQPVSSNKKRKAESRSHEKSDLSKLRRRYPSKLHRGHVKQEIHNLADDSDAKQIFSGNEADFTLSEGALRLFISTWKDTCKELSMSMFVKKLLSFYNLGGSEVQAQIKRAKAMSSFPFFGLLHVAVR >fgenesh2_kg.1__849__AT1G08340.1 pep chromosome:v.1.0:1:3139674:3141445:1 gene:fgenesh2_kg.1__849__AT1G08340.1 transcript:fgenesh2_kg.1__849__AT1G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGGPTNIRHVAHVTFDRFNGFLGLPSEFEPDVPTKAPSASATVFGVSTESMQLSYDSRGNCVPVILLLLQSRLYDQGGLQAEGVFRITGENSEEEFVREQLNKGIIPDGIDVHCLAGLIKAWFRELPRGVLDPLPSEQVMQCESDEDFVKVVRLLPQTEASLLNWAINLMADVVQFEHVNKMNSRNLALVFAPNMSQMADPLTALMYAVQVMKLLKSLTEKTVREREASSSVVDRRCSKEAEDGEKEEDNEEEEEEEEEEEEEEDEDEEEEEEGDGVYIIKEEEASERMKVVADEHKSGSMKSEFEGSSALDSKGDNGVVQPPICSSNRIGSK >fgenesh2_kg.1__852__AT1G08370.1 pep chromosome:v.1.0:1:3147187:3149632:1 gene:fgenesh2_kg.1__852__AT1G08370.1 transcript:fgenesh2_kg.1__852__AT1G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNGKIIPNLDQNSTRLLNLTVLQRIDPYIEEILITAAHVTFYEFNIELSQWSRKDVEGSLFVVKRSTQPRFQFIVMNRRNTDNLVENLLGDFEYEVQGPYLLYRNASQEVNGIWFYNKRECEEVATLFNRILSAYSKVNQKPKASSSKSEFEELEAKPTMAVMDGPLEPSSTARDSPDDPAFVNFFSSTMTLGNTASGSASGPPYQSSAIPHQPHQAHQSTIAPPVAAAAPPQIQSPPPLPSSSPLMTLFDNNPDLISSNSNVHADLVKPSFFGPPRMMAQPHLIPGVSMPTAPPLNPNNASHQQRPYGTPVLQPFPPPTPPPSLAPAPTGPVISRDKVKEALLSLFQHDEFIDMMTRALQNAHQP >fgenesh2_kg.1__853__AT1G08380.1 pep chromosome:v.1.0:1:3149864:3150833:-1 gene:fgenesh2_kg.1__853__AT1G08380.1 transcript:fgenesh2_kg.1__853__AT1G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFATPSTVIGLGGSSITTKPFSSPFLKPTLSAKNPLRLAGASGGRVTCFERNWLRRDLNVVGFGLIGWLAPSSIPAINGKSLTGLFFDSIGTELAHFPTPPAVTSQFWLWLVTWHLGLFLCLTFGQIGFKGRTEDYF >fgenesh2_kg.1__854__AT1G08390.1 pep chromosome:v.1.0:1:3151215:3152322:1 gene:fgenesh2_kg.1__854__AT1G08390.1 transcript:fgenesh2_kg.1__854__AT1G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLAAVKMLCSQLRDAKPTPSQNATALGGVLFQRAWLQGVLVPISGGGDNHMVLDDGTGLVELGLSSDFALRQWKSGMYVMVVGVYHIRTGDIPLLKVHKMVDLSVSPDREAMWYLEVMDAYRLFYEPLIQEFS >fgenesh2_kg.1__855__AT1G08400.1 pep chromosome:v.1.0:1:3152370:3155067:-1 gene:fgenesh2_kg.1__855__AT1G08400.1 transcript:fgenesh2_kg.1__855__AT1G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMEHPLPLSFVLPNPADLPGLSLGFLDGSFEDLRDLLLRASTLTSNLNHDCSDLNHRLLHLRTDLTKHAVSWISTSLSAKVSFEDLRLNLESLLCLPTDSVGKQTNWELHQLVEELCRIQNRRKYFVTALKLESLVGDLEDSVFHHPISKRKGSTLQDLALKQERFSHAIKTMNQIEEILGDVTRHHSQWRRLVDSADSRVDKSLSVLRPQIIAEHRAFLSSLGWPPKLATSKVEHGDVDSIPNPLLLMQGDKKESYSQSFLLLCGLQQLNTHKEKRKKLHMPKENDNDGLWATDELVKPVASRMEYHFMKWADQPEFIFELVYKVTRDFADGVDDFLQPLIDRAMLVSCSAKEAWVSAMVQMLSGFLEKKVFPGLIDMYKEKQMKSEVISSWFHLVDQMVTFDKRMQSFVNSDTCLSYEGSSTAFSQGISVMGLFCKKPEWLKTWGKIELKDAYRKTKEDVKNDKAWVVDSERTTLGNESNTRSAKYVLSTREDYKAPLVADSFLNRTWRLIDHGLSLPAILPRIQFIRATATRFLWCIFKILLLEFKKTDLSHYILFEDTLIHACGPINAARYLESKLREWSDDLVFVEMWAAESSVKVDKKPEVSCQGCFFGEELKSLVELETNWLMEIITVFLHQFDNLCSDHFHNNAVSWEEDIITSSSNLTVSQGVAEALDNLRRHLCVLHVNMNPKDFLDLWRNLAEGLDHYVSRKFFSGDPVLRRKKFERFEVDAEALLTVLQPYCVRPGAFFPRVREILRLLRMHEEEKARLRGALSRNGNNCLRLFGISNLSAQLVEQFCRCY >fgenesh2_kg.1__860__AT1G08460.1 pep chromosome:v.1.0:1:3190640:3192773:1 gene:fgenesh2_kg.1__860__AT1G08460.1 transcript:fgenesh2_kg.1__860__AT1G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRVDVFWHEGMLRHDAVEGVFDTGHDPGFLDVLEKHPENADRVRNMLSILRRGPIAPHVNWFTGRPAIVSELLMFHTSEYIDELVEADKSGERREIAAGTFMSPGSWEAALLAAGTTLSAMQHILDCHGKVAYALVRPPGHHSQPSQADGYCFLNNAALAVKLALNSGSCSRVAVIDIDVHYGNGTAEGFYSSDEVLTVSLHMNHGSWGSSHPQKGSIDELGEDLGLGYNLNVPLPNGTGDRGYEYAMTELVVPAVRRFGPDMVVLVVGQDSSYAFDPNGRQSLTMNGYRRIGQIIRGVAEEHSHGRLLMVQEGGYHVTYAAYCLHAMLEGVLMIPEPHLSDPIAYYPEEEAIAVAAVESIKRYHSEFVPSLRGT >fgenesh2_kg.1__861__AT1G08465.1 pep chromosome:v.1.0:1:3194121:3198060:1 gene:fgenesh2_kg.1__861__AT1G08465.1 transcript:fgenesh2_kg.1__861__AT1G08465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDLSSERVCYVHCSFCTTILAVSVPYASLFTLVTVRCGHCTNLLSLNIGVSLHQTAPPPIHQDLQPHKQHTTSLVTRKDCASSSRSTNNLSEHIDREAPRMLPIRPPEKRQRVPSAYNRFIKEEIQRIKACNPEISHREAFSTAAKNWAHFPHIHFGLKLDGNKKGKQLDQSVAGQKSNGYY >fgenesh2_kg.1__862__AT1G08470.1 pep chromosome:v.1.0:1:3201097:3203108:-1 gene:fgenesh2_kg.1__862__AT1G08470.1 transcript:fgenesh2_kg.1__862__AT1G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strictosidine synthase family protein [Source:UniProtKB/TrEMBL;Acc:D7KIS3] MALSIVAKISLVFAIYCALDPFSHSSISKFPDFKTYKIDMPPLSSLPKERDRENLLQNSEIRFLNEVQGPESIAFDPQGRGPYTGVADGRILFWNGTRWIDFAYTSNNRSELCDPKPSLLDYLKDEDICGRPLGLRFDKKTGDLYIADAYLGIMKVGPEGGLATSVTNEADGVPLRFTNDLDIDDQGNVYFTDSSSFFQRRKFMLLIVSGEDSGRVLKYNPKTKETTTLVRNLQFPNGLSLGKDGSFFIFCEGSIGRLRKYWLKGEKAGTSEVVALLHGFPDNIRTNKDGDFWVAVHCHRNIFTHVMAHHPRVRKFFLKLPISVKFQYLLQVGGWPHAVAVKYSEEGKVLKVLEDSKGKVVKAVSEVEEKDGKLWMGSVLMSFIAVYDLP >fgenesh2_kg.1__864__AT1G08490.1 pep chromosome:v.1.0:1:3204665:3207653:-1 gene:fgenesh2_kg.1__864__AT1G08490.1 transcript:fgenesh2_kg.1__864__AT1G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVAMKLPSFKSLAPNAISIGHRSFSRVRRSSSLSVCSAASSSATISTDSESVSLGHRVRNDFRILHQVVNGSKLVYLDSAATSQKPAAVLDALQNYYEFYNSNVHRGIHYLSAKATDEFELARKKVARFINASDSREIVFTRNATEAINLVAYSWGLSNLKPGDEVILTVAEHHSCIVPWQIVSQKTGAVLKFVTLNDDEVPDIEKLREMISPKTKLVAVHHVSNVLASSLPIEEIVVWAHDVGAKVLVDACQSVPHMVVDVQKLNADFLVASSHKMCGPTGIGFLYGKSDLLHSMPPFLGGGEMISDVFLDHSTYAEPPSRFEAGTPAIGEAIALGAAVDYLSGIGMPKIHEYEVELGKYLYEKLSSLPDVRIYGPRPSESVHRGALCSFNVEGLHPTDLATFLDQQHGVAIRSGHHCAQPLHRYLGVNASARASLYFYNTKEDIDSFIVALADTVSFFNSFK >fgenesh2_kg.1__865__AT1G08500.1 pep chromosome:v.1.0:1:3208095:3209261:1 gene:fgenesh2_kg.1__865__AT1G08500.1 transcript:fgenesh2_kg.1__865__AT1G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSCVNVLLLIMCLMLLSLSADAYKNYTVGESTGWFDIQERPSANYQKWADSKSFSLGDFLIFNTDSNHSVVQTYDFKTYKDCDYDNNENNDTTEWSAANPSATSPVPVSISVPLVKEGSNYFFSGNYDGEQCKFGQHFMINVTHGQGLPDLSSPDEAAAPGPGESGDDEVAPDTIVPANFDHPKDIESDNDDDKEVRNRKSSSSITKSNLFCLVFMGFFASF >fgenesh2_kg.1__869__AT1G08540.1 pep chromosome:v.1.0:1:3222805:3226110:1 gene:fgenesh2_kg.1__869__AT1G08540.1 transcript:fgenesh2_kg.1__869__AT1G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor [Source:UniProtKB/TrEMBL;Acc:D7KIT0] MSSCLLPQFKCPPDSFSIHFRTSFCARKHNKGSVFFQPQCAVSTSPALLTSMLDVAKLRLPSFDTDSDSRISDRQWTYTRTDGPSTEAKYLEALASETLLTSDEAVVVAAAAEAVALARAAVKVAKDATLFKNSNNTNLLISSTADKRSKWDQFTEKERAGILGHLAVSDNGIVSDKITAPASNKESPGDLESEKQEEVELLEEQPSVSLAVRSTRQTERKARRAKGLEKTASGIPSVKTGSNPRKKRLVAQEVDHNDPLRYLRMTTSSSKLLTVREEHELSAGIQDLLKLERLQTELTERCGRQPTFAQWASAAGVDQKSLRKRIHHGTLCKDKMIKSNIRLVISIAKNYQGAGMNLQDLVQEGCRGLVRGAEKFDATKGFKFSTYAHWWIKQAVRKSLSDQSRMIRLPFHMVEATYRVKEARKQLYSETGKHPKNEEIAEATGLSMKRLMAVLLSPKPPRSLDQKIGMNQNLKPSEVIADPEAVTSEDILIKEFMRQDLDKVLDSLGTREKQVIRWRFGMEDGRMKTLQEIGEMMGVSRERVRQIESSAFRKLKNKKRSNHLQQYLVAQS >fgenesh2_kg.1__870__AT1G08550.1 pep chromosome:v.1.0:1:3226203:3228843:1 gene:fgenesh2_kg.1__870__AT1G08550.1 transcript:fgenesh2_kg.1__870__AT1G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-photochemical quenching 1 [Source:UniProtKB/TrEMBL;Acc:D7KIT1] MAVATHCFTSPCHGRIRFFSSDDGRLGITRKRINGTFLLKILPPIQNAGGRSSRPISAFRSGFSKGIFDIVALPSKNELKELTTPLLLKLVGVLACAFLIVPSADAVDALKTCACLLKGCRIELAKCIANPACAANVACLQTCNNRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPRKSDLGEFPAPDPSVLVQNFNISDFNGKWYITSGLNPTFDAFDCQVHEFHTEGDNKLVGNISWRIKTLDSGFFTRSAVQKFVQDPNQPGVLYNHDNEYLHYQDDWYILSSKIENKPDDYIFVYYRGRNDAWDGYGGAVVYTRSSVLPNSIIPELEKAAKSIGRDFSTFIRTDNTCGPEPPLVERIEKTVEEGERIIVKEVEEIEEEVEKEVEKVGKTEMTLFQRLAEGFNELKQDEENFVREFSKEEMEFLDEIKMEASEIEKLFGKALPIRKVR >fgenesh2_kg.1__872__AT1G08560.1 pep chromosome:v.1.0:1:3229102:3230077:-1 gene:fgenesh2_kg.1__872__AT1G08560.1 transcript:fgenesh2_kg.1__872__AT1G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP111 [Source:UniProtKB/TrEMBL;Acc:D7KIT2] MNDLMTKSFMSYVDLKKAAMKDMEAGPDFDLEMASTKADKMDENLSSFLEEAEYVKAEMGLISETLARIEQYNEESKGVHKAESVKSLRNKISNEIVSGLRKAKSIKSKLEEMDKANKEIKRLSGTPVYRSRTAVTNGLRKKLKEVMMEFQGLRQKMMSEYKDAVERRYFTVTGEHPDEAMIEKIITDNAGGEEFLTRAIQEHGKGKILETVVEIQDRYDAAKEIEKSLLELHQVFLDMAVMVESQGEQMDEIEHHVINASHYVADGANELKTAKNHQRNSRKWMCIGIIVLLLIILIVVIPIITSFSSS >fgenesh2_kg.1__874__AT1G08570.1 pep chromosome:v.1.0:1:3232153:3234024:1 gene:fgenesh2_kg.1__874__AT1G08570.1 transcript:fgenesh2_kg.1__874__AT1G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7KIT3] MAEVISKTSLFTGACGNHHHRVDDFSLSPVSFGGFGLKKSFSCLKLKNQKPLRSEFHGKQIVFGDAQDESFRRSLCVNLQTTLRIGTAQKWWEKGLKENMREISSAQELVDSLTNAGDKLVVLDFFSPGCGGCKALHPKICQLAEMNPDVQFLQVNYEEHKSMCYSLGVHVLPFFRFYRGSQGRVCSFSCTNATIKKFRDALAKHGPDRCSLGPTKGLEEKELVALAANKELNFTYTPKPVPVEKEAATPNLNPSLPVPLPSMSANDEKTLVSAGR >fgenesh2_kg.1__877__AT1G08590.1 pep chromosome:v.1.0:1:3238225:3241665:1 gene:fgenesh2_kg.1__877__AT1G08590.1 transcript:fgenesh2_kg.1__877__AT1G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLAVATA1 receptor kinase [Source:UniProtKB/TrEMBL;Acc:D7KIT5] MAIPSHLFFLFYYIGFALFPFVSSETFQNSEQEILLAIKSDLFDPSNNLQDWKRPENATTFSELVHCHWTGVHCDANGSVVKLLLSNMNLSGNVSNQIQSFPSLQALDLSNNAFESSLPKSLSSLTSLKVFDVSVNSFFGTFPYGLGMATGLTHVNASSNNFSGFLPEDLSNATTLEVLDFRGGYFEGSVPSSFKNLKNLKFLGLSGNNFGGKLPKVIGELSSLETIILGYNGFTGEIPAEFGNLTHLQYLDLAVGNITGQIPSSLGKLKQLTTVYLYQNRLTGKIPRELGDMTSLVFLDLSDNQITGQIPMEVAELKNLQLMNLMRNQLTGIIPSKIAELPNLEVLELWQNSLMGSLPVHLGKNSPLKWLDVSSNKLSGEIPSGLCYSRNLTKLILFDNSFSGQIPEEIFSCPTLVRVRIQKNLISGLIPAGSGDLPMLQHLELAKNNLTGKIPDDIALSTSLSFIDISFNHLSSLSSSIFSSPNLQTFIASHNNFAGKIPNQIQDRPSLSVLDLSFNHFSGEIPERIASFEKLVSLNLKSNQLVGKIPEALAGMHMLAVLDLSNNSLTGNIPVNLGASPTLEMLNVSFNKLTGPVPSNMLFAAINPKDLMGNDGLCGGVLSPCPKSLALSAKGRNPGRIHVNHAIFGFIVGTSVIVSLGMMFLAGRWVYTRWDLYSNFAKEYLFCKKPREEWPWRLVAFQRLCFTAGDILSHIKESNIIGMGAMGIVYKAEVMRRPLLTVAVKKLWRSPSPQNDIEDHHQEEEEEDDILREVNLLGGLRHRNIVKILGYIHNEREVMMVYEYMPNGNLGTALHSKDEKFLLRDWLSRYNVAVGVVQGLNYLHNDCYPPIIHRDIKSNNILLDSNLEARIADFGLAKMMLHKNETVSMVAGSYGYIAPEYGYTLKIDEKSDIYSLGVVLLELVTGKMPIDPSFEESIDVVEWIRRKVKKNESLEEVIDASIAGDCKHVIEEMLLALRIALLCTAKLPKDRPSIRDVITMLAEAKPRRKSVCQVAGDLPIFRNSPVVGLI >fgenesh2_kg.1__878__AT1G08600.1 pep chromosome:v.1.0:1:3245847:3254826:1 gene:fgenesh2_kg.1__878__AT1G08600.1 transcript:fgenesh2_kg.1__878__AT1G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRX/CHR20 [Source:UniProtKB/TrEMBL;Acc:D7KIT6] MEVKEKDEVLEKKEDTVEAKEDEMDIIITEERMEAKLDEVKARDERGEQNDVLMEEINNQAEQKDVLMEEDNNQAELKDEEMQDTSSRSESSDFNSDEDEQFLPGRDDELDFEKPLSEEEIEELISDLLGVESKAAEAQEALEKESLSKVESEVREELAQALRGDELDEAVAAEMMTFKDEWEANLDELETESAHLLEQLDGAGIELPKLYEMIESQAPNGCYTEAWKQRAHWVGTQVTKETGESLANAERFLQTHRPVRKRHGKLLEEGASGFLEKKFADGDIKESLAGTSELEWSSLNKVFSEKRDESISFGSKHWASVYLASTPHQAAAMGLEFPGVNEVEEIEEIDASLADPFLADAIDNERELALTEEQKTNYIRVKEEDDINCDRELQLRLKRKRRKKRSKQVIRCAAENMDDDSVYLDGNYIAPNFAKDQVKSPETSTQVHSNEVNKEGNGNLSNSDVDKMVSSPNINVDTMRDDSQNPANSFMCTACNNVAVEVHSHPLLEVIVCMDCKRSIEDRVSKVDDSLERHCEWCGHIADLIDCRTCEKLFCASCIKRNIGEEYLAEAQSSGWDCCCCSPIPLQRLTLELEKAMRDKKSIESSSDSSSDSSSDNNSVDTDADVNVTISSKKKSKKKIRRIIDDAELGKDTRTKIAIEKARQERLRSLQFSARYKTISSMGDVKSIPEGAEVEVLGDAHSGYIVNVVREIGEEAVRVPRSISAKLKVHQVTGIRFMWENIIQSISRVKSGDKGLGCILAHTMGLGKTFQVIAFLYTAMRCVDLGLKTALIVTPVNVLHNWRSEFVKWGPSEVKPLRIFMLEDVSREKRLDLLKKWRNKGGVFLMGYAKFRNLSLGKGVKDLNAAREICNALRDGPDILVCDEAHIIKNTRADTTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSPEFRNRFQNPIENGQHMNSTAEDVKIMNQRSHILYEQLKGFVQRMDMNVVKKDLPPKTVFVISVKLSPLQRKLYKRFLKLYGFSDGRTDERMRKNFFAAYQVLAQILNHPGIPQLRSEDSKNGRRGSIVDIPDDCSSDENIDYNMVTGEKQRTMNDFQDKVDGYLQKDWWVDLLEKNNYKVSDFSGKMILLLDILSMSADVGDKALVFSQSIPTLDLIELYLSRVPRHGKQGKFWKKGKDWYRIDGKTESSERQKLVDRFNEPDNKRVKCTLISTRAGSLGINLYAANRVIIVDGSWNPTYDLQAIFRAWRYGQKKPVFAYRLMARGTIEEKIYKRQVTKEGLAARVVDRQQVHRTISKEEMLHLFEFDDDDEKSDAVTEISKQNEAAQSNLVDNSQKQKATLSRVGCDKLMQNLLQRHGPNWISSFHEHETLLQENEEERLTKEEKDMAWEVYRRALEWEEVQRVPLSESPVVPKPSPSIQTEPLPQPKGFNRSRFVNRNCTRTAHQLTLISQGRKIGSSTVCGECGRILRWEDVIPASKLSAVN >fgenesh2_kg.1__879__AT1G08610.1 pep chromosome:v.1.0:1:3255030:3256712:-1 gene:fgenesh2_kg.1__879__AT1G08610.1 transcript:fgenesh2_kg.1__879__AT1G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KIT7] MASSNLLLEVHFLHLPSLSPKQVNPLSCRKFSSLDWKQEIGLKNLRCHGLMTSVCIDNVADVDDHAERSSEFHHYGVGNNLRARKQLGLSSDGPITENDEETNNEILHNLCSNGKLTDACKLVEVMARHNQVPHFPSCSNLVRGLARIDQLDKAMVILRIMVMSGGVPDTITYNMIIGNLCKKGHIRSALVLLEDMSLSGSPPDVITYNTVIRCMFDHGNAEQAIRFWKDQLRNGCPPYMITYTVLVELVCRYCGSARAMDVLEDMAVEGCYPDIVTYNSLVNYNCRRGNLEEVALVIQHILSHGLELNTVTYNTLLHSLCSNEYWDEVEEILNIMYQTSYCPTVITYNILINGLCKARLLSRAIDFFYQMLEQKCLPDIVTYNTLLGAMSKEGMVDDAIELLGLLKSTSCLPGLITYNSVIDGLARKGLMKKALELYHHMLDAGISPDDITRRSLIYGFCRANLVEEASQVLKETSNRGNGIRGSTYRLVIQGLCKKREMEMAIEVVEIMLTSGCKPDETIYTAIVKGVEEMGMGSEAVQLQKKLTQWKLLREV >fgenesh2_kg.1__884__AT1G08630.2 pep chromosome:v.1.0:1:3265545:3269922:-1 gene:fgenesh2_kg.1__884__AT1G08630.2 transcript:fgenesh2_kg.1__884__AT1G08630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRSVDLRSDTVTKPTDAMREAMCSAEVDDDVLGYDPTARRLEEEMAKMMGKEAALFVPSGTMGNLISVMVHCDVRGSEVILGDNCHIHVYENGGISTIGGVHPKTIKNEEDGTMDLEAIEAAIRDPKGSTFYPSTRLICLENTHANSGGRCLSVEYTEKVGEIAKRHGVKLHIDGARLFNASIALGVPVHKLVKAADSVQVCLSKGLGAPVGSVIVGSQSFIEKAKTVRKTLGGGMRQIGVLCAAALVALHENLPKLQHDHKKAKLLAEGLNQMKGIRVNVAAVETNMIFMDMEDGSRLTAEKLRKSLEENGILLIRGNSSRIRMVIHHQITTSDVHYTLSCFQQAMQTIQEPSRI >fgenesh2_kg.1__886__AT1G08640.1 pep chromosome:v.1.0:1:3270618:3273304:-1 gene:fgenesh2_kg.1__886__AT1G08640.1 transcript:fgenesh2_kg.1__886__AT1G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7KIU0] MARALSTCCCSIMAFSISNALRYPHPQIALRNSLRTPKSPSFVRLPLGRVLQSRIVIRAASSAAGNPQSDADFNPYEVLGVNPIEGFDKIKQTYGRKLKDAQRSGDEATAALLEKAYDKLMYAQLMNRKKGVTFGSFKVSKDIKYADKQPIIPWGPRFSRSSRNDMLINLAISVVFSAWIAIKRNVEYKPLQFMSFVFVYRIFEKLKSFEAPSSPIYNEEGEESGRGLRMGKRLLRSLSLVFGSILVASLVYTGFLNGIEYMGKSIPMVLYNNQELIVTASSAFMLYVIASYYR >fgenesh2_kg.1__888__AT1G08660.1 pep chromosome:v.1.0:1:3279123:3282189:-1 gene:fgenesh2_kg.1__888__AT1G08660.1 transcript:fgenesh2_kg.1__888__AT1G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 29 protein [Source:UniProtKB/TrEMBL;Acc:D7KIU2] MRSHQAGRKLPLLQLLGCVAVFSVFVFTIQSSFFADSNRKVDLQPEDIQILSDFQSSVQQCVANRGLGLSAHIIDHCNLILKFPEGTNSTWYNAQFKVFEALEFKYNVCEAVLLWEQYRNMTTVLTREYLDVRPDGWLDYAAMRIAQLGADKCYNRTLCEEHLNVILPAKPPFHPRQFHKCAVVGNSGDLLKTEFGEEIDSHDAVFRDNEAPVNEKYAKYVGVKRDFRLVVRGAARNMIKILNGSDNEVLIIKSVTHRDFNEMIKRIPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRSQRKEDWSSVPSREMISRAHTAALRLQRSQQPTSSKRDGSGQFGNCKVWGDADPTKGPVSGSPDMSEIRRKSNYKKWEVMPFKSLRKEARDHYIQMKGVSQYKMDGNKLDDLVCVRHPLKLEA >fgenesh2_kg.1__891__AT1G08680.1 pep chromosome:v.1.0:1:3288725:3295143:1 gene:fgenesh2_kg.1__891__AT1G08680.1 transcript:fgenesh2_kg.1__891__AT1G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKREEERNEKIIRGLMKLPPNRRCINCNSLGPQYVCTTFWTFVCMACSGIHREFTHRVKSVSMSKFTSKEVEVLQTGGNQRAREIYLKNWDHQRQRLPENSNAERVREFIKSVYVQKKYAGANDADKPPKDNRLMSDTLFLLTCSKFNHDRGSSEDMTRRANSYHSYSQSPPYDYQYEERRYGKIPLGFTGKSASVKGLHAKASSFVYSPGRFSDHMFEDQFANEGSAPRASDFSVSSGGDPFRSDIQSPNFQQEAEFRSPQFQHSNAPPSENLFPGRQPQRTTSSGSVRSVDSSSMSIKSYTSSGLGEAVSESRQNTVRQQDKASNHVPLVAESTKAPIDLFQLPGAPVAQSVNTFQPSIASQPPTVDFHQAPQTYTSTPTNLFAGNFGQQPTSRPPDLSAPKNEGWASFDNPMPTVKSTNVITSSGVPQLEVKNEGIPQPSTSMQWPPYPSIVDQHALSILSPWQEDLSDVLKNVVDNPQPWNAFPDSIEANPLDSGRNTHQQGDGASTSSYNIDQQHLESQVIEELSNDGIQTTRIPAGSSAFGFPGNIGMAPSYSEEAWQHVNEQKSANPFDLPYDSEFASNDMFLDMSSLHGALPDIQTPQGFLNGVSQPWLTADSVPSYLPAPAVSQGGLACMAGQASTSQLQNSAAQGPVASTGGNPFA >fgenesh2_kg.1__895__AT1G08700.1 pep chromosome:v.1.0:1:3297546:3299166:-1 gene:fgenesh2_kg.1__895__AT1G08700.1 transcript:fgenesh2_kg.1__895__AT1G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin [Source:UniProtKB/TrEMBL;Acc:D7KIU7] MESSILDSLGVEIIGVMAPVSICMFLVVLLTYSLSVTSDPQIRTAANLIYIENPSDSATVKLEGSLANAIVFVVLVAAVTFILVLLFYYNFTNFLKHYMRFSAFFVSGTMGGAIFLSIIQHFSIPVDSITCFILLFNFTILGTLSVFAGGIPIVLRQCYMVVMGIVVAAWFTKLPEWTTWFVLVALALYDLVAVLAPGGPLKLLVELASSRDEELPAMVYEARPTVSSGNQGRNRGSSLRALVGGGGVSDSGSVELQAVRNHDGNQLGRENTHNLDYNAVAVRDIDNVDGNGNGNRGGVERSPLVGSPGASEHSTRVGTRGNIDDRESAIDEEMSPLVELMGWGDNREEARGLEESDNVVDSSNRGIKLGLGDFIFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSVYNRALPALPISIMLGVVFYFLTRLLMEPFVVGVTTNLMMF >fgenesh2_kg.1__903__AT1G08760.1 pep chromosome:v.1.0:1:3321454:3324751:1 gene:fgenesh2_kg.1__903__AT1G08760.1 transcript:fgenesh2_kg.1__903__AT1G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVPGVLLKLLQHMNTDVKIAGEHRSSLLQVVSIVPALAGGELFPNQGFYLRVSDSSHATYVSLPDEHDDLILSDKIQLGQFIHVDRVESSSPVPILRGVRPVPGRHPCVGTPEDIVATHSLGFLCDDNKVNDNNGVANSKPKERVKASVIKGGVVSNGSGSEGERILGNRLSVSISRDQSSEGKKPVSALFRAKSAKSSLTLDVKKESLGKLKISSGSKSIPSSPTSCYSLPNSFAKFANGIKQQQNVKPKLLEKGSPRMGLSDKGRSLLKAESPKGGKKLPMIKNFVQGIEFGAKALRKSWEGNLEIRSSAKSNLSRRDLTPDSRSLAAPRKSTSSEKLPSKQERANVFARSSKEHNKIQSTKKVETTAISDTKDKTSRPKSTSVEKKSIAENGLPGNLVKVPVNGKRLAAANIQWSSLPSSLSRMGQEVLRHRDAAQVVAIDALQEASASESLLQCLIIYSNLLSTAKEDDPLPVVEQFLKLHSGLKDVQIIAESLSKLISSTSSPENEENRSEEAIKAASEKQKLAASWVQAALVTNLSSFSVYSSKQAKLAASRSKPVIILESPGNNSSGKTRGTVQNKPTIGSKLVAQGMIRKHRENSSSQKATSVAGSESPPLNWVKGNGLNEATDLADKLQMVSQDWFLGFVERFLDADVITSSNLNLSDNGQIAGMLSQLKSVNDWLDEIGSKEDEEGLQEVSKETIDRLRKKIYEYLLTHVESAAAALGGGGGSVSSPRPKPIESKAKR >fgenesh2_kg.1__907__AT1G08800.2 pep chromosome:v.1.0:1:3332066:3337028:-1 gene:fgenesh2_kg.1__907__AT1G08800.2 transcript:fgenesh2_kg.1__907__AT1G08800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSFTRALALAFNEWLLMFMLFVNSIFSYVIARFADYSELQSPCLMCSNLDHILGRTKHSKKTHWDMICSIHKSEISSLVYCHAHGKLVDVRGMCETCLFSFATTNKSNAETYRLLVGKLGDNSYFGSKSDRSTNPNCSKLTDCTCCNQLWGPQTAATQVAEREMLPKIGLLSKVRTGKQSTPKKSVSFNHLPDVGYTELKIHSDTESEALFSDTKPKQESSSSHFTHVGYNEPKIGFVGDVRTEKPSTPKKSASFNHLPDVGYTELKIHSDTESEAVFSEDEGVVLKDEDHKYETVDLETPPIITLPYDLATDKLLNFDFPLEPLLTLNDREEVHLQESKWRTYSSFPEIIPVNDVPEASEKVFKEEEINSLDNLFLTSRAMEHFAAFPKKKEEPIRLQGISLTPDFKENPANASLMEETELICLNDFTSTSRAMEHSEVILKEREEPLHLQDISVTPDFKENPANSSLMEETELVCFNDVISPSRAIEHSEAVLKDKEEPIRLQDSSSTPDFMENPANAPLMEETELICVNDVTSTSHAMEHSAVILKENEESICFQDISLTPDLKEDPANAFLMEETKLICLNEVTSTSRAMEHSAVVIEDKAELVRHEDITSTPDFKENPANASLMEETELVCLNDATSTSEAAETLEVVLEGIELMSIHDKSLDEVPQTLTTDETFVEMSKERDPHQADINSLESEYIVVPSPNSMPQNSTENCVSDNKEMKETSLTVSSLSEMAPRDVTSHTEAALESESSSFNSMSVAAETNQYSGELLDLADAYNIVVGNEGNNDSNGRQQIENWMKKDTSRVSEDLKALLTQISASRGIEFLSPRDVSPKISVNSSDQETKNLDHDMQLLLQKRMLERNESNLSLEEVSVSEIEGESESDRLKRQVDYDRKLLSGLYKELEEERSASAVATNQAMAMITRLQEEKASFQMEALQNLRMMEEQAEYDMEAIQRLNDLLVEREKLIQDLEAEIEYFRDQTPQKKNKLDVPEKVTEMDSPSEGMSNKIQSCLVGFDEERLYITSCLEKIENRVNGEAHGDNLPAQESVTELHERVEMLKGDFYFLEQVMNSLGHGKEGVEFVKEIASHLQILRSVGMKRQNHTES >fgenesh2_kg.1__912__AT1G08845.1 pep chromosome:v.1.0:1:3360590:3362171:1 gene:fgenesh2_kg.1__912__AT1G08845.1 transcript:fgenesh2_kg.1__912__AT1G08845.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of ribosome [Source:UniProtKB/TrEMBL;Acc:D7KIV9] MLKQVVTKYSIGKAAIYGSNREKLRPFLCQTSCRFHNARTVFAPRSFFGVEDYVDDDTSRPYTYQKEKKSKNPDKHVSFKQRTVAYMEPFTLDVFISKRFVSASLTHRVTCRQVAVAGTNSKDVKAVLRSRCDIPACMSIGRILSERAKEADVYTASYTPRDQEKFEGKIRAVVQSLIDNGIDVKIYLD >fgenesh2_kg.1__913__AT1G08860.1 pep chromosome:v.1.0:1:3363713:3367845:1 gene:fgenesh2_kg.1__913__AT1G08860.1 transcript:fgenesh2_kg.1__913__AT1G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCLSGDVQGGKQAIGGVHQRPTSSSIANNAAHNDAVDFFFRSRGQYPLFSQIELTLSALNLLDCDITSKSDPVAVMYLRKKDGRLEEIGRTEVILNSLNPKWIEKITVSFQFEAVQTLVFHIYDVDTRYHNVPVKTLKLKDQDFLGEATCVLSEIMTRPNRTVTLTLTGNVRAGVNRNLGTLSVQAEETVASKTVAEINFRCVNLDNKDLFSKSDPFLRISRIVETSAAVPICRTEVVDNNLNPMWRPVCLTMQQFGSKDTPLVIECFDFNTSGNHELIGKTEKSVAELERLCLQKEAANFVYPSLRGNKVLKGQLIVDRYMEKVQYSFLDYISSGFELNFMVAVDFTASNGDPRTPSSLHYIDPSGRLNSYQQAIMEVGEVIQFYDSDKRFPAWGFGGRTSDGSVSHAFNLNGASYGDEVVGVEGIMAAYASALRNVALAGPTLFSHVVDKAAHTASQSLSQNSPKYFVLLIITDGVLTDMAGTVDALVRASDLPLSVLIIGVGNTDFKQMEMLDADNGRRLESSTGRIATRDIVQFVPMKDIHSGQVSVVQALLEELPGQFLSYVRSRKINPVGAPAI >fgenesh2_kg.1__915__AT1G08890.1 pep chromosome:v.1.0:1:3370501:3375516:1 gene:fgenesh2_kg.1__915__AT1G08890.1 transcript:fgenesh2_kg.1__915__AT1G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7KIW2] MESGSIKTPLVNNDQEEARTSSSITSGLLLSTSVAVTGSFVYGCAMSYSSPAQSKIMEELGLSVADYSFFTSVMTLGGMITAALSGKIAAIIGRRQTMWISDVFCIFGWLAVAFAHDKMLLNIGRGFLGFGVGLISYVVPVYIAEITPKAFRGGFSFSNQLLQSFGISLMFFTGNFFHWRTLALLSAIPCGIQMICLFFIPESPRWLAMYGRERELEITLKRLRGENGDILEEAAEIRETVETSRRESRSGLRDLFNIKNAHPLIIGLVLMLLQQFCGSSAISAYAARIFDTAGIPSDIGTSILAVILVPQSIIVMFAVDRCGRRPLLMSSSIGLCICSFFIGLSYYLQVYHGDFQEFCSPMLIVGLVGYVLSFGIGLGGLPWVIMSEVFPVNVKITAGSLVTVSNWFFSWIIIFSFNFMMQWSAFGTYFIFAGVSLMSFVFVWTLVPETKGRTLEDIQQSLGQLS >fgenesh2_kg.1__918__AT1G08900.2 pep chromosome:v.1.0:1:3375809:3379922:1 gene:fgenesh2_kg.1__918__AT1G08900.2 transcript:fgenesh2_kg.1__918__AT1G08900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESESFESPLLNKQEEASSFTSGLILSTSVVVAGSFSYGCAMSYSSPAQSKIMEELGLSFSFFTSVMTLGGMITAAFSGKISALVGRRQTMWISDVCCIFGWLAVAYAHDILLLNIGRLFLGFGVGLISYVVPVYIAEITPKTFRGGFSYSNQLLQCLGISLMFFIGIFFHWRTLALLSAIPSASQVICLFFIPESPRWLAMYGRDQELEVTLKRLRGENSGILEEAAEIRETVEISRKESRSGIRDLFHIGNAHSLIIGLGLMLLQQFCGSAAISAYAARIFDKAGFPSDVGTTILAVILIPQSIVVMLTVDRWGRRPLLMISSIGMCICSFLIGLSYYLQKHGEFQKLCSVMLIVGLVGYVSSFGIGLGGLPWVIMSEVFPLNVKITAGSLVTMSNWFFNWIIIYSFNFMIQWSASGTYFIFCGVSLVTIVFIWTLVPETKGRTLEEIQASLVRLS >fgenesh2_kg.1__919__AT1G08910.1 pep chromosome:v.1.0:1:3380994:3386688:1 gene:fgenesh2_kg.1__919__AT1G08910.1 transcript:fgenesh2_kg.1__919__AT1G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3001 [Source:UniProtKB/TrEMBL;Acc:D7KIW4] MVIPATSRFGFRAGFDTKEFQAFCISLANEIDAAIGKNEVPVNIQELALILNHVCRRKCDDYQTSAVVMALMISAKSACQLGWFPQRETHQLLAIIDLMWNSFSCPETVTPSLNSPVSLISQVIERFYPCVKLGHILVSFEAKPESKMLVKDFHISKAMPHSHKQRVGLFVVWTEDISRSNCIVHPQEVSFLLNGNGVDKRVNISMESGPQLPTNVTALLNPGANLLQAIGCFRGSYLIAIAFMDVIPLPDKPLLKDYVHPEVIESNSDCDIIEGPSRISLSCPISRTRIKLPVKGHVCKHLQCFDFWNYVNMNTRRPSWRCPHCNQSILEEVGRNAADVVISADGTWTVETENDDDVELVPETTHDHGDPNSFINLGPTVSNLARDENEMETSGGTQVDEQNPCLSEIQGPSNNTHRPATDYTMLNQSPASINTLPQTLNAFDGQQFVNLPQVVNTRDSAARQASPVTFLPTSSPQDILATNAANFGTSMPAAQSSQFQGSHVTSLGNCQGRTSDLMERWNHIHGRGRNQTQLPPPAPLSQHHYAMQNQSPSPAQQRPVPSYIVHPQTLPVNYGGNTHQRPMPSSIAHPRTLSVNYGGNAHQRPMNADQRPTPSSITHLQTLLNYRGSAHQRPMPSSITNLQTLPVSYGGYAHQRPMSSSITQSGTSPVNYGGTADQRPMPSSITHPQTLPVSYGGIADQRHMPRPMPSSITYLQNQILNPGGAMGQFSSRDFINLTPANTENWHPQSRMRGSLAPGTNYDHMIIRPTRPVLPLAQTLPAPLPTAYNGADEIQAFLVDPSYPVSNNETQVGTSSMPVAEGLGYSGSFWSMPPETW >fgenesh2_kg.1__91__AT1G01430.1 pep chromosome:v.1.0:1:343263:345197:1 gene:fgenesh2_kg.1__91__AT1G01430.1 transcript:fgenesh2_kg.1__91__AT1G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRLISKSIKIEGNPFGSSHQRNQIFLKSVAFFLLIGLAYRFIVTDSTVSPVTNVQTLPPADPSGLTAQASLDSPGNITTIIPSQNVSTKCDIFIGNWVPDPSGPAYTNVSCRHIQDYQNCLKNGRPDVNYLQWRWQPRDCDLPRFNPEQFLDNMRNKWLAFIGDSISRNHVQSLICILSQVEEVEDIYHDKEYKSKIWRFPSYNFTLSVIWSPFLLQTETFENNVNGVDIQLHLDKLDQKWTDQYINFDYVIISGGKWFLKTTIFHENNTVTGCHYCQGKNNLTELGYLYSYGKALRLVLDFVAEPNHKAQVLFRTTTPDHFENGEWDSGGFCNRTMPFTDSNEGEMKSEDVSMRDIELEEFYKTTKQEGSNSKIGLLDTTSMSLLRPDGHPGPYRYPNPFAGVKNKELNQVQNDCLHWCLPGPIDSWNDLMVEVMLNRERQRRE >fgenesh2_kg.1__920__AT1G08920.2 pep chromosome:v.1.0:1:3392832:3396198:1 gene:fgenesh2_kg.1__920__AT1G08920.2 transcript:fgenesh2_kg.1__920__AT1G08920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSENSRNLEAGLLLRKNQNDINECRITAVVLFSTFVSVCGSFCFGCAAGYSSVAQTGIINDLGLSVAQYSMFGSIMTFGGMIGAIFSGKVADLMGRKGTMWFAQIFCIFGWLAVAFANDSMWLDIGRLSTGFAVGLLSYVIPVYIAEITPKHVRGAFVFANQLMQSCGLSLFYVIGNFVHWRKLALIGLIPCALQVVTLFFIPESPRLLGKWGREKECRASLQHLRGDDADISEEANTIKETMILFDEGPKSRVMDLFQRRYAPSVVIGVGLMLLQQLSGSSGIMYYVGSVFDKGGFPSSIGSMILAVIMIPKAILGLILVEKMGRRPLLLMNNLFNHRPLPVECAFSAYSLSYGMLDELTPIFTCIGVVGFISSFAVGMGGLPWIIMSEIFPMNVKVSAGTLVTLANWSFSWIVAFAYNFMLEWNASGTFLIFFTICGAGIVFIYAMVPETKGKTLEDIQASLTDFLQ >fgenesh2_kg.1__929__AT1G08970.2 pep chromosome:v.1.0:1:3409373:3411144:1 gene:fgenesh2_kg.1__929__AT1G08970.2 transcript:fgenesh2_kg.1__929__AT1G08970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQEHGQSGAINYGTNPYQTNPMSTTAATVPGSAAQPGQLAFHQIHQQQQQQQLAQQLQAFWENQFKEIEKTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAVTRTDIFDFLVDIVPREDLRDEVLGSIPRGTVPEAAAAGYPYGYLPAGTAPIGNPGMVMGNPGGAYPPNPYMGQPMWQQQAPDQPDQEN >fgenesh2_kg.1__92__AT1G01420.1 pep chromosome:v.1.0:1:354524:356040:1 gene:fgenesh2_kg.1__92__AT1G01420.1 transcript:fgenesh2_kg.1__92__AT1G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KQ77] MAEANSSHVAIIPSPGIGHLIPLVELAKRLVDNHGFTVTFVIPGDSPPSKAQRSVLNSLPSSIASFFLPPADLSDVPSTARIETRISLTVTRSNPALRELFRSLSAEKRLQVVLVVDIFGTDAFDVAIEFHVSPYIFYASNANVLTFLLHLPMLDETVSCEFRDLIEPVMIPGCIPITGKDFVDPCQDRNDDSYKWLLHNVKRFKEAEGILVNSFIDLEPNTIKILQEPAPDKPPVYPIGPLVNSGSYDANVHDEYKCLNWLDSQPFGSVQYVSFGSGGTLTCEQFNELAFGLAESGKRFIWVIRSPSGIASSSYFNPHSQTDPFSFLPQGFLDQTKEKGLVVGSWAPQTQILTHTSVGGFLTHCGWNSTLESIVNGIPLIAWPLYAEQKMNALLLVGEDGIVGREEVVRVLKEGAIRDDGSVWLRVLRDDGFSTKSLNEVSLKWRAHQRKIDQE >fgenesh2_kg.1__932__AT1G08990.1 pep chromosome:v.1.0:1:3416200:3418438:1 gene:fgenesh2_kg.1__932__AT1G08990.1 transcript:fgenesh2_kg.1__932__AT1G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KIX1] MIKSPSIVEIRYSLPEPVNRHPIWLRLIRNYLPDEKKIRVGLLNIAVNERESYEASGTSILKNVHVSLDPLPNNLTWERLFPVWIDEDHTWHTPSCPEVPLPKMEGIDADVDVVVVKVPCDGFSEKRGLRDVFRLQVNLAAANLAVESGWRNVDRMVYVVFIGSCGPMHEIFRCDERVKRVGDYWVYRPDLTRLKQKLLMPPGSCRIAPPGQEEAWIQDKNKSLTSTKTTLSSITAQRVAYVTLLHSSEVYVCGAIALAQSIRQSGSTKDMVLLHDDSITNSSLIGLRLAGWKLRRVERIRSPFSKKRSYNEWNYSKLRVWQVTDYDKLVFIDADFIIVKNIDYLFFYPQLSAAGNNKVMFNSGVMVLEPSACLFEDLMLKSFKIGSYNGGDQGFLNEYFVWWHRLSKRLNTMKYFGDESRHDKARNLPENLEGIHYLGLKPWRCYRDYDCNWDLKTRRVYASESVHERWWKVYDKMPKKLKGYCGLTLKMEKNVEKWRRMAKLSGFPENHWKIRVRDPRKKNRLSQ >fgenesh2_kg.1__933__AT1G09000.1 pep chromosome:v.1.0:1:3418765:3423189:1 gene:fgenesh2_kg.1__933__AT1G09000.1 transcript:fgenesh2_kg.1__933__AT1G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFFGSVRRSLVFRPTSDDDNQENQPPLPGLLADKITSCIRQSKIFIKPSSSPPPPANTVDMAPPISWRKGQLIGRGAFGTVYMGMNLDSGELLAVKQVLIAANLASKEKAQAHIQELEEEVKLLKNLSHPNIVRYLGTVREDDTLNILLEFVPGGSISSLLEKFGPFPESVVRTYTRQLLLGLEYLHNHAIMHRDIKGANILVDNKGCIKLADFGASKQVAELATMTGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMVTGKAPWSQQYKEVAAIFFIGTTKSHPPIPDTLSSNAKDFLLKCLQEVPNLRPTASELLKHPFVMGKHKESASTDLGSVMNNLSPPLPLQINNTKSTPDSTCDDVGDMCNLGSLNYSLVNPVKSMQNKNLWQQNDNGGDEDNMCLIDDENFLTFDGEMRPTLENNCDLKKSYDAISDMSIALKSKFDESPGNGEKETTMSMECDQPSYSEDDDELTESKIKAFLDEKAADLKKLQTPLYEEYYNSMIICSPSCMESNLCNSKREETARGFLKLPPKSRSPSRGPLGGSPSRAADTTSCSKSPGSGSSRELNINNGGDEASQDGVSARVTDWRGLVVDTGRELSQSVALSEIEKKWKEELDQELERKRQEIMRQAGLGSSPRDRGLSRQREKSRFASPGK >fgenesh2_kg.1__935__AT1G09020.1 pep chromosome:v.1.0:1:3429536:3434386:-1 gene:fgenesh2_kg.1__935__AT1G09020.1 transcript:fgenesh2_kg.1__935__AT1G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTLDSSRGNSAASGQLLTPTRFVWPYGGRRVFLSGSFTRWTEHVPMSPLEGCPTVFQVICNLTPGYHQYKFFVDGEWRHDEHQPFVSGNGGVVNTIFITGPDMVPAGFSPETLGRSNMDVDDVFLRTADPSGVDLEVSRHRISVLLSTRTAYELLPESGKVIALDVNLPVKQAFHILYEQGIPLAPLWDFGKGQFVGVLGPLDFILILRELGTHGSNLTEEELETHTIAAWKEGKAHISRQFDGSGRPYPRPLVQVGPYDNLKDVALKILQNKVAAVPVIYSSLQDGSYPQLLHLASLSGILKCICRYFRHSSSSLPILQQPICSIPLGTWVPRIGESSSKPLATLRPHASLGSALALLVQAEVSSIPVVDDNDSLIDIYSRSDITALAKDKAYAQIHLDDMTVHQALQLGQDSSPPYGIFNGQRCHMCLRSDSLVKVMERLANPGVRRLVIVEAGSKRVEGIISLSDVFQFLLGL >fgenesh2_kg.1__937__AT1G09040.1 pep chromosome:v.1.0:1:3442583:3453997:1 gene:fgenesh2_kg.1__937__AT1G09040.1 transcript:fgenesh2_kg.1__937__AT1G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLMDGENNLMEETAVIEDSYDDEFACGDPQVEPRVGDEFQVEIPPMMSASKRDVFLSTPVALDDSSYSFLVGLPVQVMWIDKHRRGQGNGDDNVDMNQSLKSLRAKKSRCSANIRGKSDKNSAPKNQRLNLEAVPAIPSSSWEDLEVASFVLGLYTFGKNFTQVKNFMENKGIGEVMFFYYGKFHNSAKYHSWSESRKKRNRKCVYGRKLYSGWRQQQLLTRLMPSIPDEPQKQMLVDVSKSFAEGNITLEKYVSSVKNLVGLRLLVDAVAIGKEKEDLTVPTSAPMKTKPWFTVSSKPSSVPGVGDYNSLTSAGIINQLTGCSRLSKARCNDIFWDAIWPRLLARGWCSQQPEDRGYFKSKDYIVFIVPGVKKFSRQKLVKGEHYFDSVSDILTKVVSEPELIEYETGGVAADNCSDQSDEDSSPSDSQRHRYLKSPCSNRGTLGMKFTVVDTSLAAEGKLCDLRNLNAESLVVSEPKARLGAKDSSALKNSMDSKNVEKSQVRPLDAKNQVDDPMRFTIIDTSVDHCEKSSGFRRWRYLPSDDTKKGCVGGDAGIKEEKNLEKAKDPSKRVIKPRSTPRAETNYHSVNSAPYLKRRRLSACISRESPVFKHLPGDDDTKRTICLESEQQSICAVQHQTSTGEEMNPEKETVLLVEHMNLKSDQSKKTGTGPSSSLVEIQETTEIEPSGLNSISGVDKNCSPGKIRTAHEQKTNELCSVSESDKKRASNDLEQKQAVDLPSISGSNTNGSPSSDLGTTQEVGSSKEQRDQQGDTDGPRRQSTRKRPLTTRALEALESDFLTTKRMKSTSKPEPRKRESSTKKKRSAKACKRNGSADLEHRREDRSSFIKKAPTSKPLDQIEDSKPGYLLNEARTESKALDRVQESRPVLTEYRKLPPIVLKLPFRRG >fgenesh2_kg.1__93__AT1G01410.1 pep chromosome:v.1.0:1:356318:357400:-1 gene:fgenesh2_kg.1__93__AT1G01410.1 transcript:fgenesh2_kg.1__93__AT1G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APUM22 [Source:UniProtKB/TrEMBL;Acc:D7KQ78] MTHERGYDLASQVLNVCNVHHKKLFCHITYRHLLVLSSDENGCIVLKKVITIADDFWKDEFLDLIAQHAHSLSMHDLGISLIQHVLELDFTKKTTQDDARLHELMAEFDDDQLHKLASKLMLDSDLFFEFVTTRRGSLMIQIILGKSEEIDKVLLAAVKQRFIDVTTDFYGYRIMIRTINAFKKRGDLKVYDQILRLIGVHALYLTKDLDVGNSTVQRAINLHHQDCTTFIACGLQCHYIELSFLKHGSKIVEMLIDDRISMVPLVLIMIEIVKCDEDTLVRLANNEYGNNILKKTLELAKEHRADFFGDLVDKLNPLLDSLRGSLGENIVAIIDSETETVKDRIVSQGNN >fgenesh2_kg.1__941__AT1G09060.2 pep chromosome:v.1.0:1:3454021:3458776:-1 gene:fgenesh2_kg.1__941__AT1G09060.2 transcript:fgenesh2_kg.1__941__AT1G09060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KIX8] MSAHELTRSANGNGESILGIPDDLRCKRSDGKQWRCTAMSMADKTVCEKHYIQAKKRAANSAFRANQKKAKRRSSLGETDTYSEGKMDDFELPVTSIDHYNNGLASASKNNGRIEKRHNKSLMRYSPETPMMRSFSPRVAVDLNDDLGRDVVMFEEGYRSYRTPPSVAVTDPSRNRSHQSTSPMEYSAASTDVSAESFGEICHQCQRKDRDRIISCLKCNQRAFCGHCISTRYSEISLEEVEKVCPACRGLCDCKSCLRSDNTIKLRIREIPVLDKLQYLYRLLSAVLPVIKQIHLEQFELEKRLRGAEIDLVRARLKADEQMCCNVCRIPVVDYYRHCPNCSYDLCLRCCQDLREESSVKISGTNQNIRESKGAPKLKLNFSYKFPEWEADGDGSIPCPPIEYGGCGSRSLNLARIFKMNWVAKLVKNAEEIVNGCKLSDLRNPDMCDSSFCKFAEREESGDNYVYSPSLETIKTDGVANLEQQWAEGRLVTVKRVLDDSSWSRWDPETIWRDIDELSDEKLREHDPFLKAINCVDGSEVDVRLEEFTKAYKDGKNQETGLPLLWKLKDWPSPSASEEFIFYQRPEFIRSFPFLEYIHPRLGLLNVAAKLPHYSLQNDAGPKIYVSCGTYQEIGTGDSLTSIHYNMRDMVYLLVHTSEETTFEKVRETKPGPEKPDQKMSKNESLLNPEEKLRDGELHELSLGEANMEKNEPELALTMNPENLTENGHNMESSCTSSGAGGAQWDVFRRQDVPKLAEYLLRTFQNPDNIQTDFVSRPLYEGLFLNEHHKRQLRDEFGVEPWTFEQHWGEAIFIPAGCPFQIKNLQSNIQVALDFLCPESVEESARLAEEIRCLPNDHEAKLQILEIGKISLYAASSAIKEVQKLVLDPKFGAELGFEDSNLTKAVSHNLNKATKRPQQMSCT >fgenesh2_kg.1__942__AT1G09070.1 pep chromosome:v.1.0:1:3463481:3464698:1 gene:fgenesh2_kg.1__942__AT1G09070.1 transcript:fgenesh2_kg.1__942__AT1G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KIX9] RSLDLTIISAEDLKDIQLIGKQDLYAVVSINGDARTKQKTKVDKDCGTKPKWKHQMKLTVDDAAARENRLTLVFEIVADRPIAGDKPVGEVSVPVKELLDQNKGDEEKTVTYAVRLPNGKAKGSLKFSFKFGDKYTFGSSSAPHAPVPSSMDHKTMDQPVTAYPPGHGAPSAYPAPPAGPSSGYPPPGHDDKHGGVYGYPQQAGYPPAGPGGYPPPGAYPQQGGYPGYPPPQQGGYPGYPPQGPYGYPQQGYPPQGPYGYPQQQAYGKPQKPKKHGKAGAGMGLGLGLGAGLLGGLLVGEAVSDIADMGDMGDMGGDFDF >fgenesh2_kg.1__945__AT1G09090.2 pep chromosome:v.1.0:1:3476037:3479461:1 gene:fgenesh2_kg.1__945__AT1G09090.2 transcript:fgenesh2_kg.1__945__AT1G09090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEGMESWSEEEKIKISRCKATGPENSEEDDVEITLEVRDESISTTKAKATLRSVLSGRLKTMVKTLSFASRRLDRSLRFIAKTDAVGRGWDEVATRFDQLAVEGKLPKSKFGHCIGEKSLNNILFGFFNFVFGNYTPIFLRRWSEGEGLRHRQSPKLNSYLFLVDKNLDGRITGDEVKEIIALSASANKLSKIKENVDEYAALIMEELDRNNLGYIELHNLETLLLQVPSQSNNSPSSANKRALNKMLSQKLIPTKDRNPVKRFARNISYYFLENWKRIWVLTLWISICIALFTWKFLQYKRKTWPKAVETLKFNMALILLPVCRNTITWLRTKSKLIGSVVPFDDNINFHKVIAFGIAIGTGLHAISHLACDFPRLLHAKNVEYEPVKRFFGDERPDNYGWFMKGTDGWTGVTMVVLMVVAYVLAQSWFRRNRANLPKSLKRLTGFNAFWYSHHLFVIVYVLLIVHGYFIYLSKEWYHKTTWMYLAVSVLLYASERLIRAFRPGAKAVKILKVFLQSVLDIATQILIFQGYYQSGLFIADIGQANNITRFPRLLIDGPYGAPAQDYRHYDVLLLVGLGIGATPLISIIRDVLNNIKNQKSIEQGTNQHIKNYVATKRAYFYWVTREQGSLEWFSEVMNEVAEYDSEGMIELHNYCTSVYEEGDARSALITMLQSLHHAKSGIDIVSGTRVRTHFARPDWRSVFKHVAVNHVNQRVGVFYCGNTCIIGELKRLAQDFSRKTTTKFEFHKENF >fgenesh2_kg.1__949__AT1G09140.1 pep chromosome:v.1.0:1:3485737:3489217:-1 gene:fgenesh2_kg.1__949__AT1G09140.1 transcript:fgenesh2_kg.1__949__AT1G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRWNRTIYVGNLPGDIRMREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDPRDADDAIYGRDGYDFDGCRLRVEIAHGGRRGSSSVDRYSSSYTGSRAPSRRSAYRVLVTGLPPSASWQDLKDHMRKAGDVCFSEVFRDRGRMSGVVDYSNYDDMKYAIRKLDDTEFRNAFSRAYIRVREYESRSVSRSPNDSRSYRSRSRSRGRSCSYSSRSRSVSPARSVSPRSRSLSRSRSPYSSVSRSRSRSRSRSNSPVSPVSLKG >fgenesh2_kg.1__94__AT1G01390.1 pep chromosome:v.1.0:1:362930:364545:1 gene:fgenesh2_kg.1__94__AT1G01390.1 transcript:fgenesh2_kg.1__94__AT1G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KQ80] MAKANTPHIAIIPSPGMGHLIPFVELAKRLVEHDCFTVTLIISSESSPSKAQRSVLNSLPSSIASVFLPPADLSDVPSTARIETRVMLTMTRSNPALRELFGSLSTKKRLPAVLVVDMFGTDAFDVAVDFHVSPYIFYASNANVLSFFLHLPKLDETVSCEFRYLTEPVKIPGCVPVTGKDFLDTVQDRNDDAYKLLLHNTKRYKEAKGILVNSFVDLEPNAIKALQEPAPDKPLVYPIGPLVNTSSSDVNVDNKSECLDWLDKQPFGSVLYISFGSGGTLTVEQFNELALGLAESDKRFIWVIRSPSGVASSSYFNPHSQTDPFSFLPIGFLDRTKEKGLVVRSWAPQVQILVHPSTCGFLTHCGWNSTLESIVNGVPLIAWPLFAEQKMNALLLVEDVGAALRIHAGGDGIVRREEVVRVVKGLMEGEEGKAIGNKMKELKQGVVKVLGDDGFSTKSFSELLLKWKDHQREINQETSH >fgenesh2_kg.1__952__AT1G09155.1 pep chromosome:v.1.0:1:3493182:3494409:-1 gene:fgenesh2_kg.1__952__AT1G09155.1 transcript:fgenesh2_kg.1__952__AT1G09155.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-B15 [Source:UniProtKB/TrEMBL;Acc:D7KIY7] MMLPEACVANILSFTTPADTFSSAAVSSVFRVAGDSDFVWEKFLPTDYCHVISRSSGPHRSFSSKKELYRYLCESILIDNGRKIFKIEKLSGKISYILSARDLSITWSDQRHYWSWSPRSDSRFSEGVELIMTDWLEIIGKIQTGVLSPNTNYGAYLIMKVTSRAYGLDLVPAETSIKVGNCEKKIRSTYLSCLDNKKQQMERMFYRQREQRMAMDKVVGSNRREPELRDDGWMEIELGEFKTGSREGDDDDKEVVMSLSEVKGYQLKGGIAIDGIEVRPKLNPAKVRD >fgenesh2_kg.1__953__AT5G39650.1 pep chromosome:v.1.0:1:3495063:3495801:-1 gene:fgenesh2_kg.1__953__AT5G39650.1 transcript:fgenesh2_kg.1__953__AT5G39650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KIY8] MEKTEESVGIRVYATPPQKPSPPLSRSPKPVLLSSLLTLPAGAAAGGGKCGKRRMVAKGVQKTDSLDVRNGSSVNLPFKASDGKIYYGFVTPRGLAVFMKPPSPEFGGGDVIEEAEIQVTDERYKLRVNDFVHAVMSVLVFMAIAFSDRRVTGCLFPGKEKEMDQVMESFPLMVGIVCSALFLLF >fgenesh2_kg.1__956__AT1G09160.2 pep chromosome:v.1.0:1:3496216:3499026:-1 gene:fgenesh2_kg.1__956__AT1G09160.2 transcript:fgenesh2_kg.1__956__AT1G09160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSKASRTTHSLVPLATLIGRELRSEKVEKPFVKYGQAALAKKGEDYFLIKTDCERVPGDPSSAFSVFGIFDGHNGNSAAIYTKEHLLDNVVSAIPQGASREEWLQALPRALVAGFVKTDIEFQQKGETSGTTVTFVIIDGWTITVASVGDSRCILDTQGGVVSLLTVDHRLEENVEERERITASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLPDAGGRLIIASDGIWDILSSDMAAKACRGLSAELAAKLVVKEALRTKGLKDDTTCVVVDIVPSGHLSLAPAPMKKQNAFTSFLSRKNHTDSNNKNGNKLSAVGVVEELFEEGSAVLADRLGKDPPSHTETGLLKCAVCQTDEFPGEDLSSNGGSIISSASKRWEGPFLCTICKKKKDAMEGKRPSKGSVTT >fgenesh2_kg.1__959__AT1G09176.1 pep chromosome:v.1.0:1:3509010:3509432:1 gene:fgenesh2_kg.1__959__AT1G09176.1 transcript:fgenesh2_kg.1__959__AT1G09176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLLLLLAIHAHIQAVVSITAVHLKPNSISGTTTCCEIDNPFWRRELRSSGGSGGGGHGGGSSGRGGNSGKGGSRDGGGSGGAGSGRSSNSGGHRSSGSSNGGHSVGSGGDCLKHRGLTGSTLFLVFVTSLIIYTLKQ >fgenesh2_kg.1__95__AT1G01340.1 pep chromosome:v.1.0:1:377570:380538:1 gene:fgenesh2_kg.1__95__AT1G01340.1 transcript:fgenesh2_kg.1__95__AT1G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCNGC10 [Source:UniProtKB/TrEMBL;Acc:D7KQ86] MILFRFKDEDRSLSSEYGYGRKARPSLDRVFKNVKWGFKKPLNFRSHKDPDHKETSSITRKNIINPQDSFLQNWNKIFLFACVVALAIDPLFFYIPIVDGARHCLTLDKKLEIAASFLRTLIDAFYIIHIVFQFRTAYIAPSSRVFGRGELVDDAKAIAIKYLSSYFIIDVLSILPLPQIVVLAVIQSVNQPVSLFTKDYLKYVIIAQYVPRILRMYPLYTEVTRTSGIVTETAWAGAAWNLSLYMLASHVFGALWYLISVEREDRCWQEACEKTKGCDKKFLYCENDRTVSNNFLTTSCPFIDPGDITNSTIFNFGIFTDALKSGVVESHDFWKKFFYCFWWGLRNLSALGQNLQTSKFVGEIIFAISICISGLVLFALLIGNMQKYLESTTVREEEMRVRKRDAEQWMSHRMLPEDLRKRIRRYEQYRWQETRGVEEETLLRNLPKDLRRDIKRHLCLDLLKKVPLFEIMDEQLLDAVCDRLKPVLYTENSYVIREGDPVGEMLFVMRGRLVSATTNGGRSGFFNAVNLKASDFCGEDLLPWALDPQSSSHFPISTRTVQALTEVEAFALTAEDLKSVASQFRRLHSKQLQHTFRFYSVQWRTWSVSFIQAAWRRYCRRKLAKSLRDEEERLREALANQDKERNAATVSSSLSLGGALYASRFASNALHNLRHNISNLPPRYTLPLLPQKPTEPDFTANHTTDP >fgenesh2_kg.1__960__AT1G09180.1 pep chromosome:v.1.0:1:3509874:3510839:1 gene:fgenesh2_kg.1__960__AT1G09180.1 transcript:fgenesh2_kg.1__960__AT1G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFDWFYGIFASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDRERFVESKRELDALLSDEALANVPCLILGNKIDIPYASSEDELRYHLGLTNFTTGKGNVSLENSGVRPLEVFMCSIVRKMGYGEGFKWLSQYIK >fgenesh2_kg.1__963__AT1G09200.1 pep chromosome:v.1.0:1:3516618:3517097:-1 gene:fgenesh2_kg.1__963__AT1G09200.1 transcript:fgenesh2_kg.1__963__AT1G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:D7KB17] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >fgenesh2_kg.1__964__AT1G09210.1 pep chromosome:v.1.0:1:3517754:3521343:-1 gene:fgenesh2_kg.1__964__AT1G09210.1 transcript:fgenesh2_kg.1__964__AT1G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLITSLVSLILIGLVVASAAVIFEERFDDGWESRWVKSEWKKDDNTAGEWKHTAGNWSGDSNDKGIQTSEDYRFYAISAEFPEFSNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILTYNEANHLIKKDVPCETDQLTHVYTFILRPDATYSILIDNVEKQTGSLYSDWDLLPPKKIKDPSAKKPEDWDDQEYIADPEDKKPDGYDDIPKEIPDTDSKKPEDWDDEEDGEWTAPTIPNPEYMGEWKPKQIKNPNYKGKWKAPVIDNPDFKDDPELYVFPKLKYVGLELWQVKSGSLFDNVLICDDPDYAKKLADETWGKLKDAEKAAFDEAEKKNEEEESKDAPADSDAEEEPEDDDGDESDSESKAEESKSEVSEETAEKDATAHVCYHNVTLIFYHP >fgenesh2_kg.1__965__AT1G09220.1 pep chromosome:v.1.0:1:3526485:3527894:-1 gene:fgenesh2_kg.1__965__AT1G09220.1 transcript:fgenesh2_kg.1__965__AT1G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KIZ7] MYLVGGNMIDAYKVFDEMPERNPVTWNVMITGLTNLGDFEKALCFLEKMLNRTVVSWTTIIDGYARVNKPKEATLLFSRMVACDAIKPNEITILAILPAVWNLGDLKMCGSVHAYVGKRGFVPCDIRVTNSLIDAYAKCGCIQSAFKFFIEIPNGRKNLVSWTTMISAFAMHGMGKEAVNMFKDMERLGLKPNRVTMISVLNACSHGGLAEEEFLKFFNKMVNKYKITPDVKHYGCLVDMLRRKGRLEEAEKIALEIPIDQKAVVWRMLLGACNVYDDAEMAERVTRKLMELERSHGGDYVLMSNIFCGNGRFSDAQRFRKLMDVRGVAKLPGHSQV >fgenesh2_kg.1__966__AT1G09230.1 pep chromosome:v.1.0:1:3528455:3532039:-1 gene:fgenesh2_kg.1__966__AT1G09230.1 transcript:fgenesh2_kg.1__966__AT1G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KJM9] MAAHATSEPAVNLPATQFESQVTEPFGVTLLVRHLPDGIPHDIVSRLFSQYGASAVRPCSGGKLRNAAFVDFKNEAFASQAHRQLNGLRFLGKVLQVQRANKPNENKKSRQIEESVTKGNPFSTVSTNNDSKSGQMLSGEPIAPKLGINYPFPPHLQYAYPPPDANILANITNALIAVPPLYTQVLHLMNKMNLPPPFRLALPTPPLPKGGPQPTELEHQSSSESEMESDEDTGTAKSGRKRARHETLVGPGMDNETVGVKPSSLTPKEIPRIRKNKHVMQIKIIPKAPQDEYKEESENEDPADEPKEEDSNLKPFASLEELEKGRLPPQEILSLPMFKNYTAGNPSLVLYIKNLAKDVVIDDFYYIFGSQFESIEAAKTSLGVRLMQEGRMRGQAFLTFPSVEVAHRALNLVNGFVFKGKPMIIQFGRNPGAAKPNE >fgenesh2_kg.1__967__AT1G09240.1 pep chromosome:v.1.0:1:3534215:3535455:1 gene:fgenesh2_kg.1__967__AT1G09240.1 transcript:fgenesh2_kg.1__967__AT1G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQDEQLVQTICDLYEKISKLESLKPSEDVNILFKQLVSTCIPPNPNFDVTKMCDKVQEIRLNLIKICGIAEGHLEHHFSSILTSFQDNPLHHLNIFPYYNNYLKLGKLEFDLLTQNSNGFVPKSVAFIGSGPLPLTSIVLASFHLKDTIFHNFDIDPSANSLASLLVSSDPDISQRMFFHTVDIMDVAESLRSFDVVFLAALVGMNKEEKVKVIEHLQKHMAPGAVLMLRSAHGPRAFLYPIVEPCDLQGFEVLSIYHPTDDVINSVVISKKHPVISTGNVGGPNSCLLKLCNCSKIHAIMNKNMMIEQFGAREEQLS >fgenesh2_kg.1__968__AT1G09250.1 pep chromosome:v.1.0:1:3540245:3541129:1 gene:fgenesh2_kg.1__968__AT1G09250.1 transcript:fgenesh2_kg.1__968__AT1G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KJN4] IENTSESSPESRRKKPRISEMAEIESRRINEESLKRWKTNRVQQIYASKLVEALRRVRQRSSTSTETDKVVSGAAREIRETADRVLAASARGTTRWSRAILASRVRAKLKKHRKAKKSTGNCKSRKGVTETNRIKLPAVERKLKILGRLVPGCRKVSVPNLLDEATDYIAALEMQVRAMEALAELLTAAAPRTTLTGT >fgenesh2_kg.1__96__AT1G01320.1 pep chromosome:v.1.0:1:389375:398395:1 gene:fgenesh2_kg.1__96__AT1G01320.1 transcript:fgenesh2_kg.1__96__AT1G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KQ88] MAPKNNRGKTKGDKKKKEEKVLPVIVDVIVNLPDETEAILKGISTDRIIDVRRLLSVNFDTCHVTNYSLSHEIRGSRLKDTVDVSALKPCVLTLTEEDYNEGTAVAHVRRLLDIVACTTCFGPSPEKSDSVKSAQVKGGGKNSKHSETSPPPSPASKDAVVDEAGETSHSFPKLGSFYELFSLAHLTPPLQYIRLVTKRETEDIAAEDHLLSIDVKLCNGKIVHIEGCRKGFYSIGKQRIICHNLVDLLRQISRAFDNAYSDLLKAFSERNKFGNLPYGFRANTWLIPPTAAQSPAEFPPLPVEDERWGGDGGGQGRDGSYDLVPWSNEFAFIASMPCKTAEERQVRDRKVFLLHNLFVDVATFRAIKAVQKVMAEPVLAEEDSEVLYSETVGDLSVTVTRDTSNASSKVDTKIDGIQATGLDKKKLMERNLLKGLTADENTAAHVVATLGTISLKYCGYIAVVKIEKESGEVSPPSQIVDLLEQPEGGANALNINSLRFLLHKSSLEQNKKTPQQQDDELTSSREFVSKMLEESIVKLEEEEIDRDSIMRWELGACWIQHLQDQKNTEKDKKQTSEKSKNELKVEGLGKPLKSLNSSKKKTDVNSPKTPVSSQVDAISSEADIAATTASLQSDAEKNAQENVLILKNLLSDAAFTRLKESDTGLHHKSLQELVDLAQNYYTEVAIPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGYVVKLSDKLSHVQSLCVHEMIVRALKHILQAVISAVATDTDKVATKVAAALNMMLGIPENVAAAPHNSWNVDPLIFRWLEKFLKKRYDYDLNAFSYKDLRKFAILRGLCHKVGIELIPRDFDMDSPAPFRKTDVVSLVPVHKTFYLKSLQQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYHLSVQHEQTTLRILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTPKPDASIASKGHLSVFDLLDYINPSQNAKGKESVAAKRKNYIMKLKEKSKQSNVSDHLAVADTPRENQKEMSEEETEETGSEEGKSSEDNHDTILAPVEESPSPPVIEEAIMDNSNPITSGEVSTELQHPDGSEDGWQPVQRPRSTGSYGRRMKQRRASIGKVYTYQKKNVETDIDNPLFQNATKQNGKYYILKKRTASYASYADHHSPGLTTQGTKFGRKIVKTLAYRVKSMQPSSGSTKTAGETSEEDGLKTDASSVEPSTLSSTVQSEAYHTKNSVVSLGKSPSYKEVALAPPGSIAKYQVWVPQAEVSDKQEDDEVEKKTEQGTSMELTGDEKMITGLEEEVKKEISADTESNRTQGEEEIKVELQPSEGVVGGNLLNENEESGGGIQVEEQVEPKLIDDRVTDMIHSTREQEVIDQLAADSEDLKAKLLISTTDSGDASRGLLPNKKLSASAAPFNPSSPPSIVRPTPIGMNIGPSWPVNMTLHHGPPPPYPSPPTTPNLMQPMSFVYPPPYTQSVPTSTYPVTSGPFHPNQFPWQLNASDFVPRTVWPGCHPVEFPPPHMITEPIAATVLEPTVILPTDIDTSGLEEENKEEGRQDVAVDHVNNAVARSEMENGNRKSEDGEKTFSILLRGRRNRKQTLRMPISLLNRPYDSQPFKLGYSRVIRDSEAPKSVA >fgenesh2_kg.1__972__AT1G09280.1 pep chromosome:v.1.0:1:3549652:3552844:-1 gene:fgenesh2_kg.1__972__AT1G09280.1 transcript:fgenesh2_kg.1__972__AT1G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSCGDGEKQRIEDEEQYGVLLYYKYTSVPDLDELVSFYESSCNSLGLLGRVRLSPKGVNVTVGGKLTALEEHIAAAKSNCLFEGTDFKLASCHHPLNDKVAEECGFTSLSIRVVEELVTFSPCPPLKPPEISNAGKHLSAAEFHSVLQSANGKSENKDLVLLDARNLYETRIGKFESDNVETLDPEIRQYSDLPTWIDQNAEKLKGKNVLMYCTGGIRCEMASAYIRSKGAGFENTFQLYGGIQRYLEQFPSGGFFKGKNFVFDHRISVGSSKGDIIGSCLLCNNTFDDYSPRCRCKLCRMLVLVCNHCRVKGDICVCELCRKHGKSEVPLSLDPSNQPSESNGDNIRRKLRILCLHGFRQNASSFKGRTGSLAKKLKNIAELVFIDAPHELQFIYQTATPPSGACNKKFAWLVSSDFDQPSETGWTVAQCQFDPLQYQTQTEGFDKSLTYLKTVFAEKGPFDGILGFSQGAAMAAAVCGKQEQLVGEIDFRFCVLCSGFTPWPLLEKEEKGSITCPSLHIFGSQPGKDRQIVTQASSDLAGLFDDGCATIIEHDFGHIIPTKSPYIDEIKVFLYQFI >fgenesh2_kg.1__973__AT1G09290.1 pep chromosome:v.1.0:1:3553044:3555228:-1 gene:fgenesh2_kg.1__973__AT1G09290.1 transcript:fgenesh2_kg.1__973__AT1G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEELNDVGRTIEEEPVSEKRERGDEAETLPPWEQHSSIISIPRFDYKAPSSLLHHSHSGFLVTCTIKREKSATKEVMSILAKFIGSMHEEKPQVLRSTASKKQKLSEQEIEVGEEKTVPPEDDALQETGGDPNVEDLKLANEEHNSLMSLVKLTKSGLLLFTFPIENSPDTTNIVSRVFQSMESGALKAPIWCHRIFPVQATCGLTEKELRETVSKLVQRFVDNKDNTLSKPVKFAAGYQRRGVEETKGKIQKEASEVLDQCPLLDRIKCFETVAAGVKDIVPDSVVDLKSPELCVLVELLPLSRIPSGSFVAAVSVLPHRLVSTKPKLCIKPLVPESKHKKGQN >fgenesh2_kg.1__975__AT1G09300.1 pep chromosome:v.1.0:1:3555388:3559887:1 gene:fgenesh2_kg.1__975__AT1G09300.1 transcript:fgenesh2_kg.1__975__AT1G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLARNLVRRVSRTQVVSRNAYSTQRFRDIGQPTPASHPHLMAEGEVTPGIRIEEYIGRRKKLVELLPENSLAIISSAPVKMMTDVVPYTFRQEADYLYLTGCQQPGGVAVLSDERGLCMFMPESTPKDIAWQGEVAGVDAASEVFKADQAYPISKLPEILSDMIRHSSKVFHNVQSASQRYTNLDEFQKSASLGKVKTLSSLTHELRLIKSPAELKLMRDSASIACQGLLKTMLHSKGFPDEGILSAQVEYECRVRGAQRMAFNPVVGGGSNASVIHYSRNDQRIKDGDLVLMDMGCELHGYVSDLTRTWPPCGKFSSLQEELYDLILQTNKECIKQCKPGTTIRQLNAYSTELLCDGLMKMGILKSRRLYHQLNPTSIGHYLGMDVHDSSAVGYDRPLQPGFVITIEPGVYIPSSFDCPVRFQGIGIRIEDDVLITETGYEVLTGSMPKEIKHIETLLNNHCHDNSARSFASFSLQG >fgenesh2_kg.1__976__AT1G09310.1 pep chromosome:v.1.0:1:3560745:3561571:1 gene:fgenesh2_kg.1__976__AT1G09310.1 transcript:fgenesh2_kg.1__976__AT1G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVTEEVRAKAEMYTGDEICREKTKCFLKEISMPNGLLPLKDIEEVGYDRESGVVWLKQKKSITHKFTEIDKLVSYGTEVTAIVETGKIKKLTGVKAKELLIWVTINEIYTEEPPTKITFKTPTTLSRTFPVTAFTVPEEEPAKEEPAKEEPAKEKSSEATEAKEAVAIKEAVAVKEAA >fgenesh2_kg.1__977__AT1G09320.1 pep chromosome:v.1.0:1:3561632:3564066:-1 gene:fgenesh2_kg.1__977__AT1G09320.1 transcript:fgenesh2_kg.1__977__AT1G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KJP0] MSLRLRSRPRQSLGISSPAKQRKKAPTNSMATRANRKRLPSYLKPGATVEISSDEIGFRGSWYLGKVITTPSSHKDSLKCQVEYTTLFFDKEGTKPLKEVVDMSQLRPPAPPMSEREKKKDIAVGEEVDAFYNDGWWEGDVTEVLDDGKFSVFFRSSKEQIRFRKDELRFHREWLDGAWKPPLEETEEEESEEDKLDDSEDEEDILARVDLETTRAIAKQMFSSGTIVEVSSDEEGFQGCWFAAKVVEPVGEDKFLVEYRDLREKDGIEPLKEETDFLHIRPPPPRDEDIDFAVGDKINAFYNDGWWVGVVIDGMKDGTVGIYFRQSQEKMRFGRQGLRLHKDWVDGTWQLPLKGGKIKREKTVLCNRNVRPKKATEKQAFSIGTPVEVSPEEEGFEDSWFLAKLIEYRGKDKCLVEYDKLKAEDGKEPLREEVNVSQIRPQPLESVMVSPFERHDKVNALYNDGWWVGVIRKVLAKSSYLVLFEKTQEMLRFHHSQLRLHQEWIDGKWITSFKSQKV >fgenesh2_kg.1__978__AT1G09330.1 pep chromosome:v.1.0:1:3565351:3567299:-1 gene:fgenesh2_kg.1__978__AT1G09330.1 transcript:fgenesh2_kg.1__978__AT1G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi apparatus membrane protein TVP23 [Source:UniProtKB/TrEMBL;Acc:D7KJP1] MDPNNQIQAPVENYANPRTCLFHVLFKGAALAFYILSALFFNSFVIIFVVTVLLAALDFWVVKNVSGRILVGLRWWNEINDLGESVWKFESLDQESLARMNKKDSWLFWWTLYLAAAAWFILGVFSLIRFQADYLLVVGVCLSLNVANIIGFTKCKKDAKKQFQQFASQTIASRFQSTVQSAFTLV >fgenesh2_kg.1__979__AT1G09340.1 pep chromosome:v.1.0:1:3567525:3570472:1 gene:fgenesh2_kg.1__979__AT1G09340.1 transcript:fgenesh2_kg.1__979__AT1G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic/ coenzyme binding protein [Source:UniProtKB/TrEMBL;Acc:D7KJP2] MAKMMMLQQHQPSFSLLTSSLSDFNGAKLHLQVQYKRKVHQPKGALHVSASSEKKILIMGGTRFIGVFLSRLLVKGGHQVTLFTRGKSPIAKQLPGESDQDFADFSSKILHLKGDRKDYDFVKSSLSAEGFDVVYDINGREAEEVEPIIDALPKLEQYIYCSSAGVYLKSDILPHCEEDAVDPKSRHKGKLETESLLQSKGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPVPNSGIQISQLGHVKDLGTAFLNVLGNEKASREIFNISGEKYVTFDGLAKACAKAGGFPEPEIVHYNPKEFDFGKKKAFPFRDQHFFASVEKAKHVLGWKPEFDLVEGLTDSYNLDFGRGTFRKEADFTTDDMVLSKKLVLQ >fgenesh2_kg.1__97__AT1G01310.1 pep chromosome:v.1.0:1:398516:399359:-1 gene:fgenesh2_kg.1__97__AT1G01310.1 transcript:fgenesh2_kg.1__97__AT1G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRKRKIRAPLHIFVGITLFYLQLWSVTAQINHEESSTKHSVNNSPSATSTRLLLSPPSFTGNRFSFTFRWRRRRRRNKVNRASREFLIAHNLVRARVGEPPFQWDGRLAAYARTWANQRVGDCRLVHSNGPYGENIFWAGQNNWRPRDIVNVWADENKFYDVRGNTCEPQHMCGHYTQIVWRDSTKVGCARVDCSNGGVYAICVYNPPGNYEGENPFGSNDGQIGLVRDEPPAVIGGMA >fgenesh2_kg.1__982__AT1G09370.1 pep chromosome:v.1.0:1:3580663:3581202:1 gene:fgenesh2_kg.1__982__AT1G09370.1 transcript:fgenesh2_kg.1__982__AT1G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g09370 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KJP6] TDTKFCSSVLLKNLNTLPASNKDIMNVTVSEAERFAANTYFFISTLLRNAGDERPDLQACAEAYAIVNSAFTNAVSFFKQAYYNKIVKIEKKVSTAVDICKTDFYVPGYKINPLVERNRQTKILVSMEKIVSHMVSS >fgenesh2_kg.1__986__AT1G09410.1 pep chromosome:v.1.0:1:3592790:3594783:1 gene:fgenesh2_kg.1__986__AT1G09410.1 transcript:fgenesh2_kg.1__986__AT1G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KJQ0] AQLLLRHGTYCSSIPPTANAQITHLSRIGQIHEARKLFDSCDSKSVSSWNSMVAVYSASCMPRDAQLLFDEMPERNIISWNGLLSGYMKNGEIDEAREVFDLMLERNVVSWTTLVDVAESLFWKMPEKNKMGESMMRVSYIARTSMIHGLCKEGRVDEAREIFDEMSERSVITWTTMVTGYGRNNRVDDARKLFDVMPEKTEVSWTSMLMSYVQNGRIEDAEELFEAKRRDSKSKEGFDSMKERNDATWQMVIKFHERNGFELEALDLFVLMQKQGVRPTFPTLISVLSVCASLASLHHGKQVHAQLVRCQFDVDLYVASVLMTMYIKCGELLKSKLIFDRFPSKDIIMWNSIISGYASHSLGEEALKIFYEMPLSGSTEPNEVTFVATLSACSYAGMVEEGLKIFESMESVLGRAGRFNEAMEMIDSMIVEPDAAVWGSLLGACRTHSQLDLAEFCAKKLIEIETENSGSPTFMHLKVGGLMLVRKSPGCSWNEVKFKVHAFTHGGICSHPEQESILKLLDELDGLLREAGYNPDCSYALHDVDEEGKNLRVCSDICRAAIKIISKVKEMEIILRDANRFRHFRNGECSCTDYW >fgenesh2_kg.1__987__AT1G09415.1 pep chromosome:v.1.0:1:3594989:3595639:-1 gene:fgenesh2_kg.1__987__AT1G09415.1 transcript:fgenesh2_kg.1__987__AT1G09415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDKKRVKMEKEDDEEEKMEKLYTVLKNAREMRKYVISSMEKKRQEEEERARVRRFPSFQPEDFIFMNEAEGNNIEKAANESSSASNEYDGSKEKQEGSETNVCFDLNL >fgenesh2_kg.1__988__AT1G09420.1 pep chromosome:v.1.0:1:3595696:3599083:-1 gene:fgenesh2_kg.1__988__AT1G09420.1 transcript:fgenesh2_kg.1__988__AT1G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate dehydrogenase 4 [Source:UniProtKB/TrEMBL;Acc:D7KJQ2] MSLSSCLLPFSQSATAPSSSVCPCHLASSFSNFPVSSRDYIFSRNGSLVLNGGGSNLCRRFCGLKLWILKSLNRRQGNNRKYQPVNELKTRSEHTFLSNEQDFGEETGAADLRPVENILGTDLNDGFHKVGDLPPVSKQFSDGRSDVRREASLCIAVVGATGELARGKIFPALFALYYSGYLPEDVAIFGVSRKNLTDEDLRSIIASTLTCRVDHQENCGDKMDAFFSRTYYINGGYNNRDGMSRLADRMKQIEGESEANRIFYLSVPQEALVDVACTIGDNAQAPRGWTRIIVEKPFGFNSHSSHQLTKSLLSKFEENQIYRIDHMLGRNLIENLTVLRFSNLVFEPLWNRTYIRNIQVIVSESIAQTEKYSDGYGIIRDIVHSHILQTIALLAMEPPISLDGEDIRNEKVKVLRSIRKIDPRDVILGQYKSSSRDKNGVILNGVDPTYCAAALYIDNARWDGVPFLVRVGTGLIKHRVEIHVQFRHVPGNLYRENIGINIDLGTNELILRDEPDEAILVKINNKVPGLGLQLDASELNLLYKDRQLHCGIKPVESDEVAAAWNILSPVLEEIDKHHTAPELYEFGGRGPVAAYYLWAKHGVPWADD >fgenesh2_kg.1__98__AT1G01305.1 pep chromosome:v.1.0:1:399645:400107:-1 gene:fgenesh2_kg.1__98__AT1G01305.1 transcript:fgenesh2_kg.1__98__AT1G01305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPASVSPAIFLLVILLVTAGETTEAKPWWEKVGDSIGNIVGGVGDAVGNFKAVEMGLSLKGGSCVRELLSVSTNIFSEWCIALNDPRAPMYMKIENIPTGVSIESILKKPVDFIKQHYPNFLKVWNFNQKTKRKPRRAL >fgenesh2_kg.1__990__AT1G09430.1 pep chromosome:v.1.0:1:3599278:3602788:1 gene:fgenesh2_kg.1__990__AT1G09430.1 transcript:fgenesh2_kg.1__990__AT1G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate lyase A-3 [Source:UniProtKB/TrEMBL;Acc:D7KJQ3] MARKKIREYDSKRLLKEHLKRLANIDLQIRSAQVTESTDFTELTNQESWLSSTKLVVKPDMLFGKRGKSGLVALKLDLAEVAAFVKARLGTEVEMEGCKAPITTFIVEPFVPHDQEYYLSIVSDRLGCTISFSECGGIEIEENWDKVKTIFLPAEKSMTLEVCAPLIATLPLEVRAKIGNFIMGAFAVFQDLDFSFMEMNPFTLVDGEPYPLDMRGELDDTAAFKNFNKWGDIEFPLPFGRVLSSTESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGRKRALLIGGGIANFTDVAATFNGIIRALREKETRLKASRMHIYVRRGGPNYQTGLARMRALGEELGVPLEVYGPEATMTGICKRAIDCIMLPDA >fgenesh2_kg.1__994__AT1G09470.1 pep chromosome:v.1.0:1:3612450:3614148:-1 gene:fgenesh2_kg.1__994__AT1G09470.1 transcript:fgenesh2_kg.1__994__AT1G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSVSLREDDPLLKDLSEKKQSFRRNVVSLATELKEARTRLAEQERSCSKEAMSRQEAETRVKRMEDEMHELAKELNKKVEQIRASDVTTEKFVRELGDIKSQLAATHATAEASALSAESAQSQCRVLSKQLHERTGSLKDHEDQVTRLGEQLENLRNELQAREYSQKQLRDEVLKVEGDIMRALSVVKTKENSEVQKMLNEDPPKNFERINKLLMAKDDEIARLRDELKIISAHWRFKTKELEDQVENQRRIDQELKKKVLKLEFCLRETRIQTRKLQKMGERNDVAIQELKEQLAAKKQHEADLSSNQNLWDKSGFKIVVSMSMLILVAFSRR >fgenesh2_kg.1__996__AT1G09490.1 pep chromosome:v.1.0:1:3617659:3619450:1 gene:fgenesh2_kg.1__996__AT1G09490.1 transcript:fgenesh2_kg.1__996__AT1G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl-alcohol dehydrogenase family [Source:UniProtKB/TrEMBL;Acc:D7KJQ9] MNGGGKVVCVTGASGYIASWIVKLLLLRGYTVNATVRDPTDRKKTEHLLALEGAKERLKLFKADLLEESSFEQAIEGCDAVFHTASPVLFTVTDPQTELIDPALKGTINVLNTCKQVSSVKRVILTSSTAAVLSRQPPIGPNDVVDETFFSDPSLCRETKNWYSLSKILAENAAWQFAKDNGIDMVVLNPGFICGPLLQPTLNFSVELIVDFINGKNLFNNRYYRFVDVRDVALVHIKALETPSANGRYIIDGPSMSVNDILEILRELFPDLCIADTNGESEMNEMICKVCVEKVKNLGVEFTPMKTSLRDTILSLKEKCLL >fgenesh2_kg.1__999__AT1G09500.1 pep chromosome:v.1.0:1:3620389:3622104:1 gene:fgenesh2_kg.1__999__AT1G09500.1 transcript:fgenesh2_kg.1__999__AT1G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl-alcohol dehydrogenase family [Source:UniProtKB/TrEMBL;Acc:D7KJR0] MAHGGKVVCVTGASGYIASWIVKLLLLRGYNVNATIRDPNDRKKTDHLLALDGAKERLKLFKADLLEEGSFQHAIDGCDTVFHTASPVMITVSTDPQVELIDPAVKGTINVLRTCTKVSSVKRVIVTSSMAAVLAPKTKLGPNDVVDETFFTDPSIAEGKKQWYILSKTLAEDAAWQFAKANQIDLIVLNPGLVIGPILHPTLNFSVAVIVELMKGKNPFNTRHHRFVDVRDVALAHVKALETPSANGRYIIDGPVVTIKEIEKVLREFFPDLCIADRNEDITEMNSVTYKVCLEKVKSLGITELTPTETSLRDTVLSLKEKCLV >fgenesh2_kg.1__99__AT1G01300.1 pep chromosome:v.1.0:1:400727:402402:-1 gene:fgenesh2_kg.1__99__AT1G01300.1 transcript:fgenesh2_kg.1__99__AT1G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7KQ91] MVGRRKALLFSLCFFFLSLPCFSSLPSFQTLIPNSHSLPSASPISFQPESEPDSESLLGSEFESGSDSESSITLNLDHIDALSSNKTPQELFSSRLQRDSRRVKSIATLAAQIPGRNVTHAPRTGGFSSSVVSGLSQGSGEYFTRLGVGTPARYVYMVLDTGSDIVWLQCAPCRRCYSQSDPIFDPRKSKTYATIPCSSPHCRRLDSAGCNTRRKTCLYQVSYGDGSFTVGDFSTETLTFRRNRVKGVALGCGHDNEGLFVGAAGLLGLGKGKLSFPGQTGHRFNQKFSYCLVDRSASSKPSSVVFGNAAVSRIARFTPLLSNPKLDTFYYVELLGISVGGTRVPGVAASLFKLDQIGNGGVIIDSGTSVTRLIRPAYIAMRDAFRVGAKALKRAPDFSLFDTCFDLSNMNEVKVPTVVLHFRGADVSLPATNYLIPVDTNGKFCFAFAGTMGGLSIIGNIQQQGFRVVYDLASSRVGFAPGGCA >fgenesh2_kg.205__1__AT5G52510.1 pep scaffold:JGI8X:scaffold_205:8237:10683:1 gene:fgenesh2_kg.205__1__AT5G52510.1 transcript:fgenesh2_kg.205__1__AT5G52510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGFSGGGGGSDFHGGGGRSIPGGPGTVINVGNNNPQPTYRNQIPGIFLDQIGNRVSAGNGFAGKRTLADFQAAQQYQQQQQQQQQQQQPFYNQAALNAFLLRSVQHNRIHESENMLNSLRELEKQLLDDDDESGGDDDVSVITNSNSDWIQNLVTPNPNPNPNPVLSFSPTSSSSSSPSTASTTTSVCSRQTVMEIATAIAEGKTEIATEILARVSQTPNLERSSEEKLVDFMVTALRSRINPAESLASPATELYGKEHLISTQLLNELSPCYKLGFTAANLAILNAAGNNDAGMMMLHVIDFDIGEGGQYVNLLQTLSTRRNGKNQNQNSPVVKITAVTNNVYGFLVDGGGEERLKVVGDLLSQLGNRLGISVSFNVVASLRLGDLSRESLGCDPDEPLAVNLAFKLYRVPDESVCTENPRDELLRRVKGLKPRVVTLVEQEMNSNTAPFLGRVSESCACYGALLDSVESTVPSLNSDRVKVEEGIGRKLINAVACEGIDRIERCEVFGKWRMRMSMAGFELMPLSEKIADRLNNGNLAHPGFTVKEDNGGVCFGWMGRTLAVASAWR >fgenesh2_kg.213__1__AT3G62400.1 pep scaffold:JGI8X:scaffold_213:3571:4431:-1 gene:fgenesh2_kg.213__1__AT3G62400.1 transcript:fgenesh2_kg.213__1__AT3G62400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 5C [Source:UniProtKB/TrEMBL;Acc:D7LT63] MAGHKVAHATLKGPSVVKELIIGLTLGLAAGGLWKMHHWNEQRKTRTFYDLLERGEISVVAAEE >fgenesh2_kg.213__2__AT3G62390.1 pep scaffold:JGI8X:scaffold_213:5020:7142:1 gene:fgenesh2_kg.213__2__AT3G62390.1 transcript:fgenesh2_kg.213__2__AT3G62390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRSISVKSTRVLAFIITTISSAIVFFTFFSSSLIKSNSSLYPTPDSNFQIDLSPMAAVSDSSVSPQASPVPISTHFNSPENTSGSSKISVFEQKIRGESLVKEVREIANLTSIKVVELSSNNGEEKTKKRIEECDVTKGKWVYDSDYPLYTNASCPFIDEGFSCQSNGRLNLNYMNWRWEPQDCDAPRFNATKMLEMIRGKRLVFVGDSINRNQWESMLCLLFQAVKDPKRVYEIHNRRITKEKGNYSFRFVDYKCTVEFYVTHFLVREGRARIGKKRRETLRIDAMDRTSSRWKGANILVFNTAHWWSHYKTKSGVNYYQEGDQIHPKLDVSTAFKKALQTWSSWVDKNVDPKKTRVFFRSAAPSHFSGGEWNSGGHCREANMPLNQTFKPSYSSKKSIVEEVLKQMRTPVTLLNVSGLSQYRIDAHPSIYGTKPENRRSKAVQDCSHWCLPGVPDTWNHFLYLHLLHKR >fgenesh2_kg.219__1__AT3G20460.1 pep scaffold:JGI8X:scaffold_219:976:5426:-1 gene:fgenesh2_kg.219__1__AT3G20460.1 transcript:fgenesh2_kg.219__1__AT3G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEPLLQKARIQEDIESDKGIGVNDSDGDGPVTLILLFTTLTTLCGTFSYGTAAGFTSPAQTGIMAGLNLSLAEFSFFGAVLTIGGLVGAAMSGKLADIFGRRGALGVSNSFCMAGWLMIAFSQATWSLDIGRLFLGVAAGVVSYVVPVYIVEIAPKKVRGTFSAINSLVMCGSVAVTYLLGSIISWQKLALISTAPCVFEFVGLFFIPESPRWLSRNGRVKESEVALQRLRGNNTDITKEAAEIKKYMDNLQEFKEDGFFDLFNPRYSRVITVGIGLLVLQQLGGLSGYTFYLSSIFKKSGFPNNVGVMVSSVVQSVTSVLGIVIIDKYGRRSLLTVATVMMCLGSLITGLSFLFQSYGLLEHYTPISTFMGVLIFLTSITIGIGGIPWVMISEMTPINIKGSAGTLCNLTSWSSNWFVSYTFNFLFQWSSSGVFFIYTIISGVGILFVIKMVPETRGRSLEEIQAAITR >fgenesh2_kg.219__2__AT3G20450.1 pep scaffold:JGI8X:scaffold_219:9743:10297:1 gene:fgenesh2_kg.219__2__AT3G20450.1 transcript:fgenesh2_kg.219__2__AT3G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEMFQLLFTIVSIEAVLILTLGFGTPARRVVVKLLDLSKQGRAPLVAKTVAATMLVLFGSVMFSTIQIHTRVSDSGGVANSTDQVMFANCLLEAFLMGTVLFLAMVIDRMHYYTRELQITRRNLEIAVNKGKTGA >fgenesh2_kg.222__1__AT3G11650.1 pep scaffold:JGI8X:scaffold_222:1669:2456:-1 gene:fgenesh2_kg.222__1__AT3G11650.1 transcript:fgenesh2_kg.222__1__AT3G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKQPYLNGAYYGPSIPPPAKAHRSYDSPGFGCCCFSCLGSCLRCCGCCILSLICNILIAIAVILAITGFILWLIFRPNAVKFYVADANLNRFSFDSNNNSNLHYSLDLNFTIRNPNQRVGVYYDEISVSGYYGDQRFGSVNVSSFYQGHKNTTVVLRKIEGQNLVVLGDGARTDLKEDEKSGVYRIDAKLRLSVRFKFWVIKSWKLKPKIKCDDLKIPLGSSNSTGGFKFQPVKCDFDLS >fgenesh2_kg.226__4__AT5G53050.2 pep scaffold:JGI8X:scaffold_226:1901:5270:-1 gene:fgenesh2_kg.226__4__AT5G53050.2 transcript:fgenesh2_kg.226__4__AT5G53050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7MWW8] MPFCEVVKEDVGPETTLNNAAIKLFYRTYGHGPIKALLIIGLAGTHESWGPQIMGLTGTDKPNDVDGGIVSDDSGIEVCAFDNRGMGRSSIPTHKSEYSTTIMANDSINLLDHLGWKRAHIIGHSMGAMIACKLAAMVPERVLSLALLNVTGGGFECFPKLDRQSLSIAIRFLKAKTPEQRAAVDLDTHYSKDYLEESVGTNTRRAILYQQYVKGISETGMQSKYGFDGQINTCWLHKITKPEIVVIRSAGFLVSVIHGRHDVIAQICYARRLAQRLYPVARMVDLHGGHLVSHERTEEVNKALLELIKASEMKKIPTDWTNLTMETPGYFKKRLALIRSSPEGKNAVSPAHFIAEKFHRFLLFLFGLLVLAFEYSRRAFRAVKPVKVGPCLT >fgenesh2_kg.228__1__AT2G28650.1 pep scaffold:JGI8X:scaffold_228:311:2027:1 gene:fgenesh2_kg.228__1__AT2G28650.1 transcript:fgenesh2_kg.228__1__AT2G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXO70H8 [Source:UniProtKB/TrEMBL;Acc:D7MWW9] MVLFALSTTSSSSSKLKQHSHQSFLESLMEDSLEDAESTINQWISPELVDASSFCFISSLFSTENREEAKRFINTVNNLHSGMIRLISVNPTSTKLVKAENLMRISMNHLSKEFYRILKSNRRYLDPESVSIRSSKASDSDSDVMKDLKMIADCMISSGYSKECFKIYKKIRKSIIVEAINQLGFENLTFSQIQKLKWEVMEKKIRKWLRVTTRTVNTLFSGERILSDHVFSSSSSSIRESAFAEITLQSALALFTFPEKMAKCRKSPEKIFLTLDVYQTIIDLLPKIDELFSSDSTSTVRSQIALSLANLREGVISMIDEFESSISKESSKSLISGGGIHQLTRYVMNFIAFLADYSDTLSDIISKPLLPSPEEESSGDSSPVKSRIAWLILFLLCKIDAKSRLYNDVALSYLFLINNVNYVVVKVRSSNLRMVLSEDWVKKHEGKVKKYAGKFEEIVWGEVMTSLSDDVTTAEERIRRFSDGFEEAYKRQTGWVVPDSKLRDEIKRSVGMMIIPRYSGFCERYRVGLLENVGFAPEDIGNYLSDLYFGSRGSGSVSSFHSSGSSV >fgenesh2_kg.22__1__AT1G69930.1 pep scaffold:JGI8X:scaffold_22:35954:37204:1 gene:fgenesh2_kg.22__1__AT1G69930.1 transcript:fgenesh2_kg.22__1__AT1G69930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMNGSKNDEYVKLLGAWPSPFVLRTRIALNLKNVAYEYLEEEDTLNSESVLNYNPVHKQIPILIHGNKPIRESLNIVMYVDETWLSGPPILPSDPFDRAVARFWDVYIDEHCFTSINGVAVAKNEEERKAAITKLEQCMALLEETFQECSKGRGFFGGENIGFIDIGFGSMLGPLKVLEKFTGVKFIHPENTPGLFLWADRFYAHEAVKPVMPDIEKLVEFAKLKFNTSIFK >fgenesh2_kg.22__4__AT1G69910.1 pep scaffold:JGI8X:scaffold_22:45934:48075:-1 gene:fgenesh2_kg.22__4__AT1G69910.1 transcript:fgenesh2_kg.22__4__AT1G69910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MVR5] MSQPPWRCFSLLFFVLTIVKPSSASTSCSSSFHCPPFNSSPPYPFSSSPGCGHPNFQIQCSSSRATITIKNLTFSILHYSSLSSSLTLSPITNSTRTNNNCSSARFSSSPNRFIDLTGSPFRVSDSSCSRLSLLRPCSPLTLPNCSRCPWDCKLLKNPGRILHGCESTHGSLSEQGCQGDLLGFLQDFFTRFGFEVEWDESQDPYFAKCRDCLIKNGVCGFNSTHPNQDFLCFHKSRSELVTHRDSKRVNHIAVLSLIFALTCLLLAFSVAVAIFRSRRASFLSSVNEEDPAALFLRRHRSAALLPPVFTFEELESATNKFDPKRKIGDGGFGSVYLGQLSDGQLLAVKFLHHHHGATAAATEHCKAFSMKSFCNEILILSSINHPNLVKLHGYCSDPRGLLLVHDYVTNGTLADHLHGRRPKMTWRVRLDIAVQTALAMEYLHFDIVPPVVHRDITSSNIFVEKDMTIKVGDFGLSRLLVFSETTVNSASSSDYVCTGPQGTPGYLDPDYHRSFRLTEKSDVYSYGVVLMELITGMKAVDQRREKRDMALADLVVSKIQMGLLDQVIDPLLALDSDDVAAVTDGFGVAAVAELAFRCVAVDKDDRPDAKEIVQELRRIRNHTRVRDDDVAKD >fgenesh2_kg.22__5__AT1G69900.1 pep scaffold:JGI8X:scaffold_22:53953:55251:1 gene:fgenesh2_kg.22__5__AT1G69900.1 transcript:fgenesh2_kg.22__5__AT1G69900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFTKGTAVRLRSCHEKYIYAVDDEKTVRQSSDGTSRQSLWTVEMVPRKPKFIRLKSCYGKYLTASESSFLLGMTGAKVIQTPPFRQAEHESDWEPIREESTVKLMSWNEKYLRGNGGAPPWKNSVTCDREPHISATKKWILWSVELVENPDKVLFADRFSSPASSFNSSVSDGSNHGSPVQKLPTFGSSESIGSDPGSLASVSSSKLMFTPSRSGTLSPKPTERKSSKKIIVENVSAMEIFRDAKSVRLRSKYMTADDDEESVVMGRTGSSKEARWRVEMVPGSEKAIRLKSCHGGYLTASNERFLLGATGHKVVQSRKIRAGEPAGEWEPVKEGSNVKLRSRNGGNYLRANGGVPPWRNTVTHDSPNRSVTQNWVVWDVDVVDIHGTG >fgenesh2_kg.22__6__AT1G69880.1 pep scaffold:JGI8X:scaffold_22:59399:60666:-1 gene:fgenesh2_kg.22__6__AT1G69880.1 transcript:fgenesh2_kg.22__6__AT1G69880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin H-type 8 [Source:UniProtKB/TrEMBL;Acc:D7MVR8] MGANVSSPEQRFQVTNFRSRKPWTPQPEIYPFNVNSPRIVEIKNMNQWKSRLNALNDTNRLLVIEFTAKWCGPCKSLEPELEELAAKYTDAEFVKIDVDVLMSVWMEYNLNTLPAIVFMKRGREVDRVVGVKVDEVERKLHKYTQSFF >fgenesh2_kg.22__7__AT1G69870.1 pep scaffold:JGI8X:scaffold_22:62445:66846:-1 gene:fgenesh2_kg.22__7__AT1G69870.1 transcript:fgenesh2_kg.22__7__AT1G69870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7MVR9] MVLEDRKDGSLPRPSGSFSKSSPSELDVVDQYNRKSSPESILGAEKVEKKPGGWRAVSFILGNETLERLGSIGLLANFMVYLTKVFHLEQVDAANVINIWSGFTNLTPLVGAYISDTYVGRFKTIAFASFATLLGLITITLTASLPQLHPASCNSQDPLSCGGPNKLQFGVLLLGLCFLSVGSGGIRPCSIPFGVDQFDQRTEDGAKGVASFFNWYYLTFTVVLIITQTVIVYIQDQVSWIIGFSIPTGLMALAVVMFFAGMKRYVYVKPEGSIFSGIAQVIVAARKKRKLKVPAEDDGTVNYYDPAIKSSVLSKLHRSNQFRFLDKAAVIIEGDLTPEGAPADKWRLCSVQEVEEVKCLIRIVPVWSAGIISLAAMTTQGTFTVSQALKMERHLGPHFEIPAGSLSVISLLTIGIFLPFYDRVFVPFMRRITGHKSGITLLQRIGTGIIFAIFSMIVAGIVERMRRIRSINAGDPTGMTPMSVFWLSPQLILMGLCEAFNIIGQIEFFNSQFPEHMRSIANSLFSLSFAGSSYLSSLLVTVVHKFSGGHDRPDWLNKNLNAGKLDYFYYLIAIMGVVNLVYFWYCARGYRYKVGLQIEDFEEDKSSDDVEMTSKKSMK >fgenesh2_kg.230__1__AT3G15400.1 pep scaffold:JGI8X:scaffold_230:697:2116:-1 gene:fgenesh2_kg.230__1__AT3G15400.1 transcript:fgenesh2_kg.230__1__AT3G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWX2] HPDHEVHPEHMVKPDHKLQSMLGALEHPEHTVKPNHKLQSMLGESEHPEHKVKPDHKLQSMLGEHLEHKEKPDHKLQSMSGVSEHPEHKVKPDHKLQSLLGESEHPEHKVKPDHKLQSMSGESKHPAHKIKPDQIMQTMASELEEEDPDHTTKPMGYGVGRGYGSGGSGVGYGVELALVEGVALGKELALVEALALEKVLALVEAVALEKVLALVEAVALEKELGLVVAAALVKELEGIGSGSGQPNCGPVTGAPGEGIGIGIGQGSSGGPGVVVPGATIPPIVVPGAQIPGFTIPGITVPGYGSGCQTGGCNPYPPHYYNPPSCPHCPPFTSGEDKHMSDKGAMTEALAPTTPEMHV >fgenesh2_kg.230__4__AT3G15395.3 pep scaffold:JGI8X:scaffold_230:2441:3261:-1 gene:fgenesh2_kg.230__4__AT3G15395.3 transcript:fgenesh2_kg.230__4__AT3G15395.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGIINDKWSMRILWGCAIGSAIGLYMVAVERQTQNRARAMAESLRAAESQGDGDSV >fgenesh2_kg.230__7__AT3G15390.1 pep scaffold:JGI8X:scaffold_230:4352:7191:1 gene:fgenesh2_kg.230__7__AT3G15390.1 transcript:fgenesh2_kg.230__7__AT3G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIASSSNSDPDSRSLQVLLDAFGSRFSLDDIAAAYCQASQNVDVAGEILFAMTEKTPQCDHVEMKNETSKPSQVYVPKEVRRQEDSKAKVWRPKRNSISVGTVSSVIGKEYARTRPISNAPREATKPMKIDSRDIPETELWSEEMPKSNEPKTNRAPTDVEEFIVKMLGEGFQASQDVIHQVLGVCGYDVKKSTEKLLDLSDTKKYADVGISNEVVSFCYDFKFGSEEGRNAKNGLEKEVLEALFSGTERYVGEPKVTRHFGERRPRVAGRPVFKPLEDPFQERVVAVKKSSNTSKEDEDENEFKAHRKAVREHLNQMKEYYGAAAEAFSKGETERAHRLVEKGHFFGQKAREADDKSIAKMIDVKKDDDSTYEEDEVVTVNVNEHETKEALRLLKRQLNFFSGISSFKYLRVALGDKKEDFKSKRKHIVKLLEGESIAWTEEDSGLVMMIRVDKIDPKKLSFAKK >fgenesh2_kg.233__4__AT5G65050.3 pep scaffold:JGI8X:scaffold_233:17:6048:-1 gene:fgenesh2_kg.233__4__AT5G65050.3 transcript:fgenesh2_kg.233__4__AT5G65050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKVEIERIENKSSRQVTFSKRRKGLIEKARQLSILCESSIAVLAVSGSGKLYNAASGDNMSKIIDRYEIHQADELKALDLAEKIRNYLPHKEILEIVQSKLEEPNVDTVSVDSLISMEEQLETTLSVIRAKKTELMMEEVKSLQETEMLLREENQILASHSQLGKNTFLVTEGERGMARENGSGNKVPETLALLK >fgenesh2_kg.236__1__AT5G55880.1 pep scaffold:JGI8X:scaffold_236:1403:7307:-1 gene:fgenesh2_kg.236__1__AT5G55880.1 transcript:fgenesh2_kg.236__1__AT5G55880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLNQPSKLLCFRRKSVLVRSSPLLSNGLSSSLRQTPPCTIIDAVPCGADLGKLVISNANAVRYTYLEKKVPLELVDNPMLTIGSSHGWIATLSQDDGILRLQDDLNPVASDIEPKRIPLPPLVTLPHCQTQYKNDENCVVAVKFLGPQISFCRPAQRNSEWINVRIANPCFYSSRVMFSEKHDMFCIPGSGGHLIASWDLHTDKHKNPKFQRLRFQNIPKLTKTKREIMDSCYKSEHLVESRTTGETFLVKWYRKAVWRGMSKLSTKALMVFRLDDEGNAVYTKDIGDLCIFLSKSEPFCVSLSSLPGMFFPNNVEYMDADEAGYYDLADSSIVGDLTLMGTGVYIPPQNIDN >fgenesh2_kg.239__1__AT1G70980.1 pep scaffold:JGI8X:scaffold_239:179:1868:-1 gene:fgenesh2_kg.239__1__AT1G70980.1 transcript:fgenesh2_kg.239__1__AT1G70980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAQFSDRVLIRSILSGGAKLAGQKVRIGGWVKTGRQQGKGKFAFLEVNDGSCPANLQVMVDSSLYDLSRLVATGTCVTVDGVLKIPPEGKGLKQSIELNVESVIDVGTVDPTKYPLPKTKLTPEFLRDLLHLRSRTNLISAVARIRNALAFATHSFFQEHGFLYIHTPIITTSDCEGAGEMFQVTTLINHTERVEQDLIDNPPPTEADVEAERLIVKERGEAVSRLKAAKASKEEITASVAQLTIAKACLAHVEERSRLKPGLPKKDGKIDYSDDFFGRQAFLTVLVSYNVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFADIHDDMNCAEAYVKYMCNWLIKNCSDDMDFMDKNVDGGCTKRLKMVAETSFKRVTYTEAIEVLEKAVAEGKVVFDNKVERKMDLASEHERYLTEVEYDQKPIIVYNYPKEIKAFYMRLNDDE >fgenesh2_kg.25__1__AT5G42200.1 pep scaffold:JGI8X:scaffold_25:54551:55265:1 gene:fgenesh2_kg.25__1__AT5G42200.1 transcript:fgenesh2_kg.25__1__AT5G42200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MU48] MHYTRISPVLVPSPPPTTAVESSGGGPMIATVFMALLLPCVGMCIVFLIYLFLLWCSTRRRIERLRFAEPVKPVTGKGLSVLELEKIPKLTGKELAIIARSTECAVCLEDIESGQSGRLVPGCNHGFHRLCADTWLSNHTVCPVCRAELAPNIPQCNENQSPC >fgenesh2_kg.262__3__AT1G78690.1 pep scaffold:JGI8X:scaffold_262:8503:10497:1 gene:fgenesh2_kg.262__3__AT1G78690.1 transcript:fgenesh2_kg.262__3__AT1G78690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MX16] MGRVMDWAARSDHLGGLPRKTVIMAVSAFAKAVANLCNKSSVHNADTLMNLVRSRPPGVPLITVSNHMSTLDDPVMWGAFKGLLSLDPELARWVLAAEDICFRNPIFSYIFRTGKCIPITRGGGIYQEHMNEALQRFKDGSWLHTFPEGKVFQEDVPIRRLKWGTASLIARCPVTPIVLPIIHRGFEEMLPENYNNGRRPLVPLCNKDLKVVVGEPPEFDVPMMVETAVLLPPCNPPLQDSNWPRCLFIALSEKIQSSLETLRLLAKRL >fgenesh2_kg.264__1__AT1G21970.1 pep scaffold:JGI8X:scaffold_264:2586:4745:-1 gene:fgenesh2_kg.264__1__AT1G21970.1 transcript:fgenesh2_kg.264__1__AT1G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAPFSHYQLPKSISELNLDQHSNPNPMTSSVVVADASDNNKGIVAQQQPPCMAREQDQYMPIANVIRIMRKILPSHAKISDDAKETIQECVSEYISFVTGEANERCQREQRKTITAEDILWAMSKLGFDNYVDPLTVFINRYREIETDRGSALREPPSLRQAYGGNGIGFHGPSHGLPPPGPYGYGMLDQSMVMGGGRYYQNGSSGQDESSAGGGSSSSINGMPAFDSYGQYK >fgenesh2_kg.267__3__AT3G07360.1 pep scaffold:JGI8X:scaffold_267:6369:8473:1 gene:fgenesh2_kg.267__3__AT3G07360.1 transcript:fgenesh2_kg.267__3__AT3G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7MX21] MAKTGVFDSDPTAIAKAKELKREMKKLLIKIEDEDDFGVQTIDQLQEALSALREATMRKMAKSSSLEMLETVSCPEEFRCPLSNELMRDPVVLASGQTYDKLFIQKWLSSGNRTCPKTQQVLPHTALTPNLLIREMISKWCKKIGLETKNQYHPNLVNEEEAVTRSDREIFNSLLCKVSSSNLHDQNSAAKELRLLTKKGTEFRALFGESPDEITRLVNPLLHGSNPDEKLQEDVVTTLLNISIHDDSNKKLVCENPCVIPLLIDALRRGTVATRSNAAAAIFTLSALDSNKVLIGKSGILKPLIDLLEEGNPLAIKDVAAAIFTLCIAHENRSRAVRDGAVRVLGKKISNGLYVDELLAILAMLVTHWKAVEELGELGGVSWLLKITRESECKRNKENAIVILHTICFSDRTKWKEIKEEENAHGTITKLSREGTSRAQRKANGILDRLRKAMNLTHTA >fgenesh2_kg.26__1__AT3G25140.1 pep scaffold:JGI8X:scaffold_26:1227:3262:1 gene:fgenesh2_kg.26__1__AT3G25140.1 transcript:fgenesh2_kg.26__1__AT3G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MVS5] MANHHRLLRGGGSPAINGVKIRLTAFASTIALFLFTLSFFFVSDSNDSPDLLLPGVEYSNGVGSRRSMLDIKSDPLKPRLIQIRKQADDHRSLALAYASYARKLKLENSKLVRIFADLSRNYTDLINKPTYRALYDSDGGSIEESVLRQFEKEVKERIKMTRQVIAEAKESFDNQLKIQKLKDTIFAVNEQLTNAKKQGAFSSLIAAKSIPKGLHCLAMRLMEERIAHPEKYTDEGKDRPAELEDPNLYHYAIFSDNVIAASVVVNSAVKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKEYKGAHVEVKAVEDYTFLNSSYVPVLKQLESANLQKFYFENKLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDVVVQKDLTGLWEIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKEKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRALWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMDEIRNAAVVHFNGNMKPWLDIAMNQFRPLWTKHVDYDLEFVQACNFGL >fgenesh2_kg.26__4__AT3G25180.1 pep scaffold:JGI8X:scaffold_26:127709:129590:1 gene:fgenesh2_kg.26__4__AT3G25180.1 transcript:fgenesh2_kg.26__4__AT3G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP82G1 [Source:UniProtKB/TrEMBL;Acc:D7MVS8] MIFLFTTLQFSLFSLALVIAGYIFLGKKLSKSEVDSSTIPEPLGALPLFGHLHLLRGKELICKKLAAMSEKHGPIFSLKLGFYRLVVASDPKTVKDCFTTNDLALATRPNIAFGRYVGYNNAILALAPYGDYWRELRKIVTVHLFSNQSIEMLGHIRSSEVNALIKHLYKGGGGTSMVKIDMLFEFLTFNIILRKMVGKRIGFGEVKSEEWRYKEALKHSVYLAAVPMIGDVLPWLGWLDFAKISQMKRLFKELDSIVKATILVLTLTGSDSTSITLTWAVSLLLNNPAALKAAQEEIDNCVGKGRWVEESDIQNLKYLQAIVKETHRLYPPAPLTGIREAREDCFVGGYRVEKGTRLLVNIWKLHRDPKIWPDPKAFKPERFMEEKSQCEKSDFEYIPFSSGRRSCPGINLGLRVVHFVLARLLQGFELRKVSGEPLDMAEGPGLDLPKIKPVEVVVTPRLHPELYSLL >fgenesh2_kg.26__5__AT5G24050.1 pep scaffold:JGI8X:scaffold_26:132380:133374:1 gene:fgenesh2_kg.26__5__AT5G24050.1 transcript:fgenesh2_kg.26__5__AT5G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYDEVHLTASGKGMWLNLCVLVDAVLCYMKKNNVVRLSLKKKQKARRESFRQQKLNGFLTSTSSSLIDLNQFPTDSETEQNHLQLLSSSCFIPADSETKTLQNLVLTLMAESEERETKDPQNPNSQSSMSLCLTENTSRKRRAVEQRKRTGGVKKAKVAPSSGTARETPEWLVKVMRDMKEVKDAKLIFEKTLFVTDVNPTQNRLSMPFNNLIRNDFLTSVESIIINEDINNNKKIGVGVILVDQRWNYNLICGWIDVVDANGLKDGDNISLWSFRCCGVLCFAMELSSSSLALCVC >fgenesh2_kg.26__9__AT2G17460.1 pep scaffold:JGI8X:scaffold_26:151562:152976:-1 gene:fgenesh2_kg.26__9__AT2G17460.1 transcript:fgenesh2_kg.26__9__AT2G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVT4] MLTRTSWSHRWCTVTRMRNVISARDIVYTINIGIGVTFAACILYTFCYVRTLVQTMLTRTSWSHRWCTVNRVISPTYTMTCDRGYFPP >fgenesh2_kg.270__2__AT2G34610.1 pep scaffold:JGI8X:scaffold_270:2887:4010:1 gene:fgenesh2_kg.270__2__AT2G34610.1 transcript:fgenesh2_kg.270__2__AT2G34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MX32] YVVGNPIFEPYKPKKQQYKLYSSLLPIILSIFTYILIFHVLDVSPWSIFNDTKVLFVISNALIIIIAADYGAFTDKENHDFYGEYTASMTRGARENPRPENLGYRVDMAEEIKNREKQEELTGERDLQLQYLPNKKAKVPERIIQAVSKNQPRNTTIQKFEPMTEKNIPIKAAKEETCMNSKPYGRSKSDKARGSMVTKESRRQDIKHRPKSYDRSQSDSSKWMVVHKVTKAEEMEMATKKWENVREESEEFSKMSNEELNRRVEDFIQRFNRDIKRQI >fgenesh2_kg.270__3__AT2G34620.1 pep scaffold:JGI8X:scaffold_270:6884:7973:1 gene:fgenesh2_kg.270__3__AT2G34620.1 transcript:fgenesh2_kg.270__3__AT2G34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALSSSLYISPKKPSCPQQSQPTILSTKPTSIKTTLHSHPLFSVADQTVTLQMKEKILCLELMGIDSGKALSLNPCLCSASLDSIESVLHFLQSKGIYPNDLPRILGMCPKILTSDVRTELHPVFMFLSNDLHVPENAFRRVIKKCPRLLISSVEDQLKPALFYLQRLGFKDLEALAYQDPILLVSSVEHTLIPKLRFLESIGYSRAEAIGMILRCPALFTFSIENNFKPKLDYFMSGIKGKLENLKEFPQYFAFSLEKRIKPRHLESKERGLELPLSLMLKSTDEEFEQLLTKASSVANG >fgenesh2_kg.271__2__AT5G52290.1 pep scaffold:JGI8X:scaffold_271:3338:9464:-1 gene:fgenesh2_kg.271__2__AT5G52290.1 transcript:fgenesh2_kg.271__2__AT5G52290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLNIDYFSTPSSQVFETLGFLNLPAPDNFPAPIVYDGEEDRLRFGSVENVCLPIGNFPIEAALSKFLSDVVPERVSVDYGAFEIDDSSLGGYFSDEKNDGDAIEDKATFNIIELETPELDFEMVKYGELDTILQNSNDIHRRIYSVDYISSDYFIENNTSATENECSSKNQPWFKDARFPLLEVDEVNLRELSSLSMLDKVFTVLETIEPQDKNAASALIINSNDLIGSKGYDLLDILSTDCYLNKSGQSDVGPEDGFSKVDIVNILEISNAEENFLFEQQGKVALPVTFEEFQILDVEISDVFDIFLCLQKAIEPEICYGMFSKEMNFKNFDELVVSSELAFTDDAFKSLPTPILHDYEVTRSLDLIYEDVLSKIKPQSLSASNDIYLPWNLLEERNHNHCDYPFEEIVTLNIDFKWESSEGDKWVYDFIFSEDAFSEPLVEKCTEPFYGISTLDEHAPVNTSHGLLENPFQKTGARDCGVDDNAKKATLLFKSMSAFDDLTFFMDPQKAVIEDYLGSRVEAAKTANHKFMSIDSKASCISGGMHPNLKTEEMILHSVRPSENILVLVGDFVKSYLTLVKDESENLSEDKLKLLSISKGKLIDCIRKANVHKTQLADDKTFTFALLLAIKQMTWYMCFFGIHVAYLYLNKLCRSSNPMKLGLHTLYSAVETEHKSDETDVTRSHPSLAVIQGILQSEFAQGNSKALLLAEKVFWSSLKRLLMSMGLSYNELNSPSPSGNRPNVHEAMGLNTIEHGFLPISDCLIISYEQISPSFPVENFSVIVEYGGPNVSPRFSFPSKLDSFPDFHFIKVEMDMSSACGQLCAGVTVPYSLKMIKGDEVETKTGWLEEVLNFVPLESVCYAVSSETTNESEYISMPQESERKRGIIEQGVLSDQRSVIVVNTKTVDKEMIISRRSTYLKVLAMEKEGVQVVERDSDLPVDLMLSPAVCLLWYDCETVSKKSAATIGTSSSSLSWIGDIATNVLTSLSFSFSTCIMVFEGEPTFLATIMDSSDELYAAAGSLGISLQMFCSSSADFTDEIILRCIKSSVKLSKLHVKMPESESLAESFFTKFPSVNPLTAQVILSSSGSLLEFMKLPHSSKVERMQKYQVHEESVELFSSLCRYGAREDSRSVMTDSSSSVSSGPDSDTHHVSVHSGWRKKQYIAEKDEIDMDDLVHFAPSTEFADAQLKSSGDFQLDDSWSSRDHEIFRFNPVTEFSDAPFKPSGISHPNDSWPSKNPERFDKKSGPGSSSKDTFWEKDQPDFSVEDSLPGIPELEDWSFPVKDKFKSQNRGCKFPVMGDFDLHDNRNSENFIADYKGEVIDRADKFLEEDFPPSPVYNRFAPIVSDVDEEELPRKSKSARKLSFFGSLQPNFPKTADIDLSSERFASEKDSNNDNNASLRGYVDNYPAKRQRTLLEEVLTRRSAVPTTELPFREEISHFGGSPLSNAIRSSNQVQSSPWTVDFLNRVRERSRARKQHQSLPSYVNPSSLETPGNIKKASTKRKSPSILEFFKYKGGKKFPEEKKQKRSKTSSASPKNERFYSPLKSWTPIDKRAKQSLSYTVNGTGGQTKLVWK >fgenesh2_kg.277__1__AT5G58850.1 pep scaffold:JGI8X:scaffold_277:4780:6274:1 gene:fgenesh2_kg.277__1__AT5G58850.1 transcript:fgenesh2_kg.277__1__AT5G58850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB119 [Source:UniProtKB/TrEMBL;Acc:D7MX38] MEDRRLVHGAVPPLTAVERFLYGQKNDVLCSKKKECSRDRPIVKTKMSIETRSDNKENTTFGPTREKHLVLNGGNRSPIGELVARSAARDYQNSTKKRSYKNLIKGQWTAEEDRKLIRLVRQHGERKWALISEKLEGRAGKQCRERWHNHLRPNIKKDGWSEEEEKVLVESHMRIGNKWAEIAKLIPGRTENSIKNHWNATKRRQNSKRKHKRESNADNNDTDTTSKASGVMDINKNNDENTISVLSTPNLDQIYSDGDSASSMLGDPYDEELVYLQNIFANHPISLENIGLSQTSDEVTQSSATGFMTKNPKPNLHNNVGTHHQEAAITAPANTPHLASDIYLSYLLNGTTPSYSDTHFPSSSSSTSSTTVEHGGRNEFLEPQANSTSERREMDLIEMLSGSIQGSNICFPLF >fgenesh2_kg.277__2__AT5G58860.1 pep scaffold:JGI8X:scaffold_277:6624:9009:-1 gene:fgenesh2_kg.277__2__AT5G58860.1 transcript:fgenesh2_kg.277__2__AT5G58860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 [Source:UniProtKB/TrEMBL;Acc:D7MX39] MEALNPILTGYAVAALSVYALWFYFLSRRLTGPKVLPFVGSLPYLIANRSRIHDWIADNLRATGGTYQTCTMVIPFVAKTQGFYTVTCHPKNVEHILKTRFDNYPKGPMWRAAFHDLLGQGIFNSDGDTWLMQRKTAALEFTTRTLRQAMARWVNGTIKNRLWLILDRAVKNNKPVDLQDLFLRLTFDNICGLTFGKDPETLSLDLPDNPFSVAFDTATEATLKRLLYTGFLWRIQKAMGIGSEDKLKKSLEVVETYMNDAIDARKNSPSDDLLSRFLKKRDVNGNVLPTDVLQRIALNFVLAGRDTSSVALSWFFWLVMNNREVETKIVNELSTVLKETRGDDQEKWTEEPLEFDEADRLVYLKAALAETLRLYPSVPQDFKYVVDDDVLPDGTFVPRGSTVTYSIYSIGRMKTIWGEDCLEFRPERWLTADGERFETPKDGYKFVAFNAGPRTCLGKDLAYLQMKSVASAVLLRYRVFPVPGHRVEQKMSLTLFMKNGLRVYLQPRVEVVA >fgenesh2_kg.279__2__AT5G58800.1 pep scaffold:JGI8X:scaffold_279:302:1681:-1 gene:fgenesh2_kg.279__2__AT5G58800.1 transcript:fgenesh2_kg.279__2__AT5G58800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinone reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MQH8] MAVTKIYIVYYSLHGHVETMAREVLRGANSVPDVEATLWQVPETLPEKILEKVKAVPRPDDVADIRPEQLAEADGFMFGFPSRFGVMASQVMTFFDNTNDLWTTQALAGKPAGLFWSTGFHGGGQELTALTAVTKLAHHGMIFVPVGYTFGKGMYEMGEVKGGSPYGSGTYAADGSREPTELEIQQANYHGKYFAGIAKKLKKRSPV >fgenesh2_kg.281__2__AT5G53710.1 pep scaffold:JGI8X:scaffold_281:9256:9685:-1 gene:fgenesh2_kg.281__2__AT5G53710.1 transcript:fgenesh2_kg.281__2__AT5G53710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRLSLKQILVTLSNLGVCLCVKHIDSNKIQDSNTEIKNGSLCPQDDSAIEGRRRIKVVITRKQLERLLAKQVSLEQLVFVNQRTSLSCFDDSKWIPRLESIHESPEL >fgenesh2_kg.283__3__AT2G30910.1 pep scaffold:JGI8X:scaffold_283:5485:9397:1 gene:fgenesh2_kg.283__3__AT2G30910.1 transcript:fgenesh2_kg.283__3__AT2G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDVHRFAESITCHAWSPDHSMVALCPNNTQVHIYKSSSLDQWERLHVLQKHDQIVSGIDWSSKSNKIVSVSHDRNSYVWSLDGGEWVPTLVILRLNRAALCVQWSPKENKFAVGSGAKTVCICYYEQENNWWVSKLIRKRHESSVTSVAWHPNNILLATTSTDGKCRVFSTFIKGVDTKDSKAGSPAETKFGEQILQLDLSYSWAFGVKWSPSGNTLAYVGHSSMIYFVDDVGPSPLAQSVAFRDLPLRDVLFISEKMVIGVGYDSNPMVFAADDTGIWSFIRYIGEKKAASSNSSYSSQFSEAFGKFYGSQSKSATANDASESRGRVHDNCINSIVPLSKAGSPKVMRFSTSGLDGKVAIWDLENMEQELGNQF >fgenesh2_kg.286__2__AT3G07850.1 pep scaffold:JGI8X:scaffold_286:7656:9522:-1 gene:fgenesh2_kg.286__2__AT3G07850.1 transcript:fgenesh2_kg.286__2__AT3G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase [Source:UniProtKB/TrEMBL;Acc:D7MX60] MVGFRRASGVLLVVLVVMATTIVNGTPVVDKAKDAAESVGDKVSEAATTVGDAAASVGVKVPGAKAGGASLDVKASGAKGDGKTDDSAAFAAAWKEACAAGSTITVPKGEYLVESLEFKGPCKGPVTLELNGNLKAPATVKTSKPHSGWIDFENIADFTLNGNGAIFDGQGSLAWKANDCAKTGKCNSLPINIRFTGLTNSKIKSITSTNSKLFHMNILNCKNITLEDIGIDAPPESLNTDGIHIGRSNGVNLLGAKIKTGDDCVSIGDGTENLIVENVECGPGHGISIGSLGRYPNEQPVKGVTVRKCLIKNTDNGVRIKTWPGSPPGIASNILFEDITMDNVSTPVLIDQEYCPYGHCKAGVPSKVKLSDVTIKNIKGTSATKVAVKLMCSKGVPCTNIALADINLVHNGKEGPAVSACSNIKPILSGKLVPAACTEVAKTGP >fgenesh2_kg.288__1__AT3G03341.1 pep scaffold:JGI8X:scaffold_288:1164:1666:-1 gene:fgenesh2_kg.288__1__AT3G03341.1 transcript:fgenesh2_kg.288__1__AT3G03341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPICVQCGTGGNPCRCKVVGPTLGLVAFVAAGIVEWPALVYIFKHAKGRRIMGHPATHVYPKVSRSIPI >fgenesh2_kg.2__1001__AT1G67680.1 pep chromosome:v.1.0:2:12654673:12657567:-1 gene:fgenesh2_kg.2__1001__AT1G67680.1 transcript:fgenesh2_kg.2__1001__AT1G67680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle subunit SRP72 [Source:UniProtKB/TrEMBL;Acc:D7KVM9] MAPKSKEKSKTSTSQPPLAIEDLFSSLNGHIQGSEFEEAVKVADKVLSILPTDEDAIRCKVVALIKDDKIDGALSVISSFQKLPIDLGFQNAYCLYRLNKLDEALVCLKGLERDSDTLLLESQILYRLGKADACVDVCQKLSKSEIESLEINLVAALITAGKASQVPKALEGLKIKPTSTYDLAYNTACSLIENNNYADAEQLLLTAKRIGQETLTEDDCSDEYIETELAPISVQLAYVQQVLGQTQESTSSYVDIIKRNLADEPSLAVAVNNLVASKGSKDISDGLRKFDRLKEKDSQNFQLSQELDAKLSQKNKEAIYANRVLLLLHANKMDQARELSAALPGMFPESVIPTLLQAAVLVRENKAAKAEELLGQCAEKFPEKSKLVLLARAQIAASASHPHVAAESLSKIPDIQHLPATVATIVALKERAGDNDGAAAVLDSAIKWWSNSMTESNKLSVMMPEAAAFKLRHGQEEEASRLYEEIVKNHNSTDALVGLVTTLARVNVEKAETYEKQLKPLPGLKAVDVDNLEKTSGAKPIEGAAASSSQEEVKKEKAKRKRKRKPKYPKGFDPANPGPPPDPERWLPRRERSSYRPKRKDKRAAQIRGSQGAVTKDKQEAAPSTSKSNQAASSKANAAAPSSKASKKKSRR >fgenesh2_kg.2__1007__AT1G67730.1 pep chromosome:v.1.0:2:12683849:12685888:1 gene:fgenesh2_kg.2__1007__AT1G67730.1 transcript:fgenesh2_kg.2__1007__AT1G67730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICTYFKSQPTWLLILFVLGSISIFKFIFTLLRSFYIYFLRPGKNLRRYGSWAIITGPTDGIGKAFAFQLAQKGLNLILVARNPDKLKDVSESIRSKYSQTQILTVVMDFSGDIDEGVKRIKETIEGLDVGILINNAGMSYPYAKYFHEVDEELLNNLIKINVEGTTKVTQAVLPNMLQRKKGAIINMGSGAAALIPSYPFYSVYAGAKTYVDQFTKCLHVEYKKSGIDVQCQVPLYVATKMTKIRRASFLVASPEGYAKAALRFVGYEAQCTPYWPHALMGAVVSALPESVFESFNIKRCLQIRKKGLQKDSMKKE >fgenesh2_kg.2__1008__AT1G67740.1 pep chromosome:v.1.0:2:12687812:12688652:-1 gene:fgenesh2_kg.2__1008__AT1G67740.1 transcript:fgenesh2_kg.2__1008__AT1G67740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATKCMSLNPSPPKLQNQTKPKPFISLPTPPKPNVSLAVSSTALAGAVFSSLSYSEPALAIQQIAQLAAADAGSDNRGLALLLPIIPAIAWVLYNILQPAINQVNKMRESKGIVVGLGIGGGLAASGLLTPPPEAYAAAEAAAAGSDSRGQLLLIVVTPALLWVLFNILQPALNQLNKMRSGD >fgenesh2_kg.2__1009__AT1G67750.1 pep chromosome:v.1.0:2:12707735:12709662:1 gene:fgenesh2_kg.2__1009__AT1G67750.1 transcript:fgenesh2_kg.2__1009__AT1G67750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7KVN7] MRMTLVHLSLSLFSCLLLVLSPTFIASTPVSDPELVVQEVNEKINASRRNLGVLSCGTGNPIDDCWRCNPKWEKNRQQLADCAIGFGKHAIGGRDGKIYVVTDSSDKDVVNPKPGTLRHAVIQDEPLWIIFARDMVIKLKEELIMNSFKTIDGRGASVHIAGGACITIQYVTNIIIHGLNIHDCKRKGNAYVRDSPSHYGWRTASDGDAVSIFGGSHVWVDHCSLSNCDDGLIDAIHGSTAITISNNYLTHHNKVMLLGHSDSYTRDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWQMYAIGGSAAPTINSQGNRFLAPNDHVFKEVTKYEDAPQSKWKKWNWRSEGDLFLNGAFFTPSGGGASSSYAKASSLSARPSSLVASVTSNAGALFCRKGSRC >fgenesh2_kg.2__1010__AT1G67760.1 pep chromosome:v.1.0:2:12712978:12715252:-1 gene:fgenesh2_kg.2__1010__AT1G67760.1 transcript:fgenesh2_kg.2__1010__AT1G67760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFIILREQDQKTRRKGIDAQKANIAAGKAVARILRSSLGPKGMEKMLQGPDGDCESMQAQLAEIAVKVVLAVADLERKDVNLDLIKVEGKVGGKLEGPELIYGILIDKDMSHPQMPKQIADAHIAILTCPFEPPKPKTKHKVDIDTVEKFETLRKQEQEYFDEMVQKCKVGFKFGSHKALDSVPMALAANSGLQPIETLPAVKSQQIKEDIPFYGIDLQRCRNKRYEGAKRV >fgenesh2_kg.2__1011__AT1G67770.1 pep chromosome:v.1.0:2:12718901:12720974:1 gene:fgenesh2_kg.2__1011__AT1G67770.1 transcript:fgenesh2_kg.2__1011__AT1G67770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTGPFSHPRNLNPTAPEFFPATNQNPFFIPTRFYLPPPPPPPYLSFFPLPPPPPPHLPPTSITPTRAVMLLPVPADVTETSLRRDMELFGEVRGVQMERVDEGIVTVHFYNLRNSQRALNEIRYRHMQEQEQHLQFTTARGLVSGHSLWAHFVFPQLNAVPEGNNQGSLVIMNLEPTVSSTTLRHIFQVYGEVKQVRETPYKREQRFVEFFDVRDAAKALRVMNGKVISGKPMVIQFSRPGGLTKKLFLASRFHKNFIYNNNHLYHPPPPPPMVKLNNQMYEQQRKNNNKKKKKKLKKFIKKNRFDAHFIINANAIAGGEFRDGRTTVMIKNIPNKYTQKLLLNMLDTHCNDCNQKVIKEGNKTPMSSYDFVYLPIDFSNKCNVGYGFVNMTSPEAVWRLYKTFHNQHWGDFNTRKICEVTYARIQGLESLKKHFKNAKLLGVEMEEYMPVVFSPPRDGRLLRKPVAIVDPWDKPVADHEDSCCSNSREGFVVSDKKMEGSDGCSCCLGERIETGGV >fgenesh2_kg.2__1012__AT1G67780.1 pep chromosome:v.1.0:2:12724683:12727635:1 gene:fgenesh2_kg.2__1012__AT1G67780.1 transcript:fgenesh2_kg.2__1012__AT1G67780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGEKPSKRTTNPGVRVVGGRIYDSSNGKTCHQCRQKTMDFVASCKAMKKDKQCTINFCHKCLINRYGENAEEVAKLDDWMCPQCRGICNCSFCRKKRGLNPTGILAHKAKASGLASVSMLLEVEGHDNFAYQKKPKLVLKTITQILIFVILAPNDDFMEGSSGNSDSVSGTDVEVADVAKEEKKVVGKSKKAKATHKLKEEIQFEAQLPQGLSLNSVSGIVIPTEEAGNVFQLFEFCSAFGKALDLKEGQAETVVRELFSCGRNTRRQQYCSIIQLMIQLLDLISQDREMSLSLSVSDSSWFTALGEILLQSEFLSDVFPPETFKVGVAEYEKMDASKRLKLLNFVCDESLSTCAMRNCIKSQSTECKANNNEAKRKAATAKEKEKQLKQKLQGDVAKAIMKKNGAPLSIEEHNAILSQIRAEAKEAHDEMMEAKGMAAGTTRICDARRTEPIMVEDNGLVLWKLNCYKEEPNFLLQDLGTFDGLCPHEKWLAFKPEQKPDIENYISYKRRKVMQMQKNTIVEV >fgenesh2_kg.2__1013__AT1G67785.1 pep chromosome:v.1.0:2:12728202:12729148:-1 gene:fgenesh2_kg.2__1013__AT1G67785.1 transcript:fgenesh2_kg.2__1013__AT1G67785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVLTYFGMTLAAFAFWQSMDKVHVWIALHQDEKQERMEKEAEVRRVRAELLRKAREEDPLA >fgenesh2_kg.2__1015__AT1G67800.2 pep chromosome:v.1.0:2:12734133:12736771:-1 gene:fgenesh2_kg.2__1015__AT1G67800.2 transcript:fgenesh2_kg.2__1015__AT1G67800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLQAIVLFILFWVWLSWMGGGSSKESNRGESSRRYERSVSGGSSYSSAWDQNSYYQTPNHPSASPVSSYNSGRQTPKNLERKYSRIADNYRSIDEVTAALSHAGLESSNLIVGIDVTKSNEWTGARSFGRKSLHYIGTTPNPYQQAISIIGKTLSVFDEDNLIPCYGFGDATTHDQDVFSFNPNDTFCNGFEEVLMCYREIVPQLRLSGPTSFAPIIERAMTIVEESGGQYHVLLIIADGQVTRSVDTDNGGFSPQEQQTIDAIVRASEYPLSIVLVGVGDGPWDTMRQFDDNIPARAFDNFQFVNFTDIMSKNIDPARKEAEFALSALMEIPSQYKATLELGLLGQRTGHCPNRIALPPPTYATQSMRNSPRTSRSTSFQNRPYDNGVSSTPPSTTRNESQQQVCPVCLVSPKNLAFNCGHQTCSECGEDLNICPICRSSISVRIKLY >fgenesh2_kg.2__1019__AT1G67810.1 pep chromosome:v.1.0:2:12745071:12745847:1 gene:fgenesh2_kg.2__1019__AT1G67810.1 transcript:fgenesh2_kg.2__1019__AT1G67810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe-S metabolism associated domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KVP7] MNTSSSFKALASPPLISTSRPTRKSFPNPRFSRFSPKPITCMRDSLNLGSNPNAPSPLSFATVSVEAPLGTKTTDKLRILVSEFRSLTEPIDRVKRLLHYAATLAPLDDSARVSANRVTGCTTQVWLEIKMDEFGRMRFKADSDSEISKGFCSCLIWILDGAKPEEVMGVRSEDLSEMNVGVHGKEQSRVNTWHNVLMCMQKRTMTLVAADVAHQRGQRPPRDQHDLLIKYVNGTYMESSKVHDYSISLLPLYYDFTI >fgenesh2_kg.2__1020__AT1G67830.1 pep chromosome:v.1.0:2:12751027:12752374:-1 gene:fgenesh2_kg.2__1020__AT1G67830.1 transcript:fgenesh2_kg.2__1020__AT1G67830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPILSSLFALSLLSSLSSSTHAAHQCHFPAIFNFGDSNSDTGGLSAAFGQAGPPHGSSFFGSPAGRYCDGRLVIDFIAESLGLPYLSAFLDSVGSNFSHGANFATAGSPIRALNSTLRQSGFSPFSLDVQFVQFYNFHNRSQTVRSRGGIYTTMLPGSDSFSQALYTFDIGQNDLTAAYFANKTVEQVETEVPEIISQFKNAIMNVYGQGGRYFWIHNTGPIGCLAYVIERFPNKASDFDSHGCLSPLNHLAQQFNYALKQAVTELRSSLAEAAISYVDVYTVKHELFLHAQGHGFKRSLVSCCGHGGKYNYNKSIGCGMKKIVKGKEVYIGKPCDEPDKAVVWDGVHFTQAANKFIFDKIAPRLTMACQRQ >fgenesh2_kg.2__1024__AT1G67856.1 pep chromosome:v.1.0:2:12769546:12770105:1 gene:fgenesh2_kg.2__1024__AT1G67856.1 transcript:fgenesh2_kg.2__1024__AT1G67856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSHYPTASEGVVPMLVMNTVVSVSVFKNMVRSVLNMVSSETNEAKNKEDDQAHEDSKRRRRISVTHFGSAENRGSKHEREAMECCVCLCGFKEEEEVSELVSCKHYFHTACLDKWFSNDHTTCPLCRSIL >fgenesh2_kg.2__1027__AT1G67890.1 pep chromosome:v.1.0:2:12799471:12805293:1 gene:fgenesh2_kg.2__1027__AT1G67890.1 transcript:fgenesh2_kg.2__1027__AT1G67890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KVQ9] MENPPAEKLLKKIKELEESQEDLKREMSKLKVSAEIKRRSHSSSPKRPSRRNNGDGTPLWRKSGAASFRHASPLRKEGAGGGEGPSAGKFTDKQYLNILQSMAQAVHVFDLNGQIIFWNSMAEKLYGFSAAEALGKDSINILVDGQDAAVAQNIFQRCSSGESWTGEFPVKNKAGERFSVVTTISPFYDDTDSLIGIICITNDSALFQRPRVPLAKTRGQEGETSFSRGTNGVASRLGIDSKEAVVSKLGLDSQQPIQVAIASKISDLASKVGNKVRSKMRAGDNNASHPEGGNGGSHQSDQGFFDAAFSDQREDAETSGASTPRGNLIQSPFGVFLCNDEKSSSKSSRESNDENDGNSVIPKTLTSKTEEWMVKKGLPWPWKGNEREGLERRNAHSVWPWVQNEQQKEEAHHTNSYNNVKSESQASESNKPANNENMGSINVNSASSASSCGSTSSSVMNKVDMDSDCLDYEILWEDLTIGEQIGQGSCGTVYHGLWFGSDVAVKVFSKQEYSEEIITSFKQEVSLMKRLRHPNVLLFMGAVASPQRLCIVTEFLPRGSLFRLLQRNKSKLDLRRRIHMASDIARGMNYLHHCSPPIIHRDLKSSNLLVDRNWTVKVADFGLSRIKHETYLTTNGRGTPQWMAPEVLRNEAADEKSDVYSFGVVLWELVTEKIPWENLNAMQVIGAVGFMNQRLEVPKDIDPQWISLMESCWHSEPQCRPSFRELMDKLRELQRKYTVQFQAARAASIDNSSLKGK >fgenesh2_kg.2__102__AT1G63840.1 pep chromosome:v.1.0:2:622748:624744:1 gene:fgenesh2_kg.2__102__AT1G63840.1 transcript:fgenesh2_kg.2__102__AT1G63840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KTC9] MGFPVGYSELLLPKIFLYLLSFLGLIRKLISTMFKVIGLPDFLEPEPVSTSWPDPPPTLTKPESAAILAGEMLPVVRFSDLNRPESECCAVCLYDFEKDDEIRRLTNCTHIFHRGCLDRWMMGYNQMTCPLCRTQFIPDHLQLEFNQRLWSESSGAPQLLDESSSN >fgenesh2_kg.2__1031__AT1G67930.1 pep chromosome:v.1.0:2:12817756:12821102:-1 gene:fgenesh2_kg.2__1031__AT1G67930.1 transcript:fgenesh2_kg.2__1031__AT1G67930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPSSPSSSPSLQRLSTFKNPPPSSLSSAVPPPQTPSSSSSSPLDSFATDPILSPFLSPSFSSASFSSAALASGSPASTAERLHQAIRLLDSQLRNDVISRHPELLAQLSSLSHADVSLSSLRSSVSSLQSSIRRVRSDLSEPIKSIRSKSVQLSNLHSATELLSHSVRTLRLSKKLRDLTDSPDPDKIDLTKAAQLHFEILTMCKEYDLFGIDVIDEEIKFVTEIGEKLRSEAMKVLERGMEGLNQAEVGTGLQVFYNLGELKTTVDQLVNKYKGMAVKSVSVAMDMKAISSGSGGGFGPGGIRSSGAPHIGGGAKVREALWQRMASCMEQLYSLVVAVWHLQRVLSKKRDPFTHVLLLDEVIKEGDSMLTDRVWDALVKAFTSQMKSAYTASSFVKEIFTMGYPKLVSMIENLLERISRDTDVKGVLPAINLERKEQMVACIAIFQTAFLSLCFGRLSDLVNSIFPMSSRGSLPSKEQISQVLSHIQDEIEAVHPDARLTLLVLREIGKALSNLAQRAECQISTGPETRQISGPATSTQIRNFTLCQHLQGIHTHISSMVADLPSIAADVLSPYLAAIYDAACEPVTPLFKAMRDKLESCILQIHDQNFGVDDAAMDNNASSYMEELQRSILHFRSEFLSRLLPSAATANTAGTESICTRLTRQMASRVLIFYIRHASLVRPLSEWGKLRMAKDMAELELAVGQNLFPVEQLGAPYRALRAFRPLIFLETSQMGSSPLIQDLPPSIVLHHLYTRGPDELESPMQKNRLSPKQYSLWLDNQREDQIWKGIKATLDDYAVKIRSRGDKEFSPVYPLMLQIGSSLTTQENL >fgenesh2_kg.2__1032__AT1G68160.1 pep chromosome:v.1.0:2:12824221:12827218:1 gene:fgenesh2_kg.2__1032__AT1G68160.1 transcript:fgenesh2_kg.2__1032__AT1G68160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSNSGFESLNSMMSRHAINFQSNTSSEMISMSPYLEMSMINVASPGLVQTGNSSNASDSVSGLMLDPSMVSEWSNEEQYILDDGLEKYKDIPSIERYIQIGNSLPDKSVRDIALRCMWMTRKRRKSEELNCGRRTSSSKDKQVESSSKSSIPSVLPHNMASYPFSVPSTITSKQITSEDLSGHAINLLEQNVRAFSQIRANLSSYKVEDNVDLFRQARNNLITIQNDMNNMPGLMNQMPPLPVTINDDLSVMPFNTMQSGGFHTKQKP >fgenesh2_kg.2__1035__AT1G68140.1 pep chromosome:v.1.0:2:12839121:12841507:1 gene:fgenesh2_kg.2__1035__AT1G68140.1 transcript:fgenesh2_kg.2__1035__AT1G68140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARRAGRRVPSRRFRARAKPYKFPSSNRLVARNMFAEDCSKCLEKRDWENVICSVCMECPHNAVLLLCSSHDKGCRPYMCGTSFRYSNCLDQYKKASAKLKSSSHQQINRSELGNLTCPLCRGQVKGWTIVQPARDFLNLKKRICMQENCVFAGTFKELRKHMKVDHPSAKPREVDPDVEQNWRRLEIEHDRDDVMSTIRSTMPGTVVFGDYVIERTNANGSDLDEGDDGGIDAALGRNLVNVFLLLHAFGASENQTRRSDSDSNDSTTINRGTSELNFSEEEDEEEGERHSNSNSLASRMRRQGRVLLGRSGRRRRDREANQNPGPPPR >fgenesh2_kg.2__1036__AT1G68130.1 pep chromosome:v.1.0:2:12847428:12849688:-1 gene:fgenesh2_kg.2__1036__AT1G68130.1 transcript:fgenesh2_kg.2__1036__AT1G68130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Atidd14-domain 14 [Source:UniProtKB/TrEMBL;Acc:D7KVR7] MIDYERSNNTKNINNHHRNPPPSSSSSDLLPDGNGAAVTQKRKRRPAGTPDPEAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETNEEVRKRVYVCPEPTCLHHNPCHALGDLVGIKKHFRRKHSNHKQWICERCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDNCTVRRSQPSNHRLHEQQQHTTNDTQTASIAGNNENADLSIGPILPGHPLQRRQSPPSDQQPSALVYPFVASCATNGSIELQLLPSRHSADETSLSLSIGTMDQTTMSEVERKSYEKGETSLEREEARRETKRQIEIAELEFAEAKRIRQHARAELHKAQLFREEASRRISATMMQITCHNCKQHFQATAALVPPPPQLHCTDESTSLAVSYMSSATTEGEKASDRASS >fgenesh2_kg.2__1038__AT1G68120.1 pep chromosome:v.1.0:2:12856181:12857477:1 gene:fgenesh2_kg.2__1038__AT1G68120.1 transcript:fgenesh2_kg.2__1038__AT1G68120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KVR8] MDEDGLSNRNWGYYEPSQFRPNLGFQLIPSIINRNEKPFLSPQNQSLNNFITPSNVYRGDSSSVMSYPRDYTLFDAPFMSYSWLNQHRDSKFFSNVPTNASRMTHPMQPEVVGEVDESLKRRHCSGGQRGPLKVKKEKKLKDNNMPRVQRERSPLLRKSVEMVINGVSMDIGGLPVPVCSCTGMPQQCYRWGCGGWQSACCTTNVSVYPLPISTKRRGARIAGRKMSQGAFRKVLEKLSSDGFDFSNPIDLKSHWAKHGTNKFVT >fgenesh2_kg.2__103__AT1G63820.1 pep chromosome:v.1.0:2:636412:638354:1 gene:fgenesh2_kg.2__103__AT1G63820.1 transcript:fgenesh2_kg.2__103__AT1G63820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLYIFDGSSSSSSICHSTDPDMFSSDTTTTTDLFCNNPYLNPLMDDHQSLSFFESFTPTSHHLLSSSPPISQLQTLTLSHTNSFPNFSGFENFDAVKTEQLLFNSPFDAPIMEDSSNFQNQNLLNSPEDSFFSCHMRRVYSTGDLQNLGRDFTGQRSYSSPLAAESSSTTVFSGEEQSLRVGRYSSEERKEKISKYRAKRTQRNFTKTIKYACRKTLADNRPRVRGRFARNDEVFENPKIASSFTRQENDDDLWNLDGLHEEEEACVDLVECQSQSQPQLQMQYMTNSYW >fgenesh2_kg.2__1046__AT1G68070.1 pep chromosome:v.1.0:2:12869399:12871468:1 gene:fgenesh2_kg.2__1046__AT1G68070.1 transcript:fgenesh2_kg.2__1046__AT1G68070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPESPSGSDSSTPLLRSRQSSPRRPPVIAVLLGRASGRRGASMVVRETAAQELEERRADWGYSKPVVALDMLWNTAFVVVAIVMLLVFKEEKPNVPIRVWICGYAIQCLVHVVLVWLEFRKRNARTRTGDLEAAQGSGNHDSEDEDNDERILSTKTCESMNTIISFIWWIAGFYWLVSGGDILLQNATHLYWLTFIFLAFDVFFAIFCVVLACLIGIALCCCLPCIIALLYAVAGQEGASEADLSILPKYRFQALNNDEKQSDGGGKMIPVEAGSENMGKERVLLPEDADCCICLSSYEDGAELVSLSCNHHFHSTCIVKWLKMNATCPLCKFNILKGNEQE >fgenesh2_kg.2__1047__AT1G68060.1 pep chromosome:v.1.0:2:12872081:12875624:1 gene:fgenesh2_kg.2__1047__AT1G68060.1 transcript:fgenesh2_kg.2__1047__AT1G68060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Atmap70-1 [Source:UniProtKB/TrEMBL;Acc:D7KVS4] MSDVSADGGFLSAEQATTPVAIPTPYPSLTVSASYKEKSSGRRRPVRPSFDAAADNEFMTLLHGSDPVKVELNRLENEVRDKDRELSEAHAEIKALRLSERQREKACEELTDELAKLDGKLKLTESLLQSKNLEIKKINEEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARSEIGKLQEDNRALDRLTKSKEAALLDAERTVETALAKAALVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKFQEMNEERKTLDRELARAKVTANRVATVVANEWKDGNDKVMPVKQWLEERRFLQGEMQQLRDKLAISDRAAKSEAQLKDKFQLRLKVLEETLRGTSSISIRSTPEGRSMSNGPSRRQSIGGSDNLQKFASNGFLSKKTPMRNSFTSNSISVLKNAKGTSKSFDGGTRSLDRGKALLNGPGNYSFNKTCDETKEPESPNTWKEDSEEKPPSELPAPATEDNVPGVLYDLLQKEVVALRKSSHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVAAMRVDKDQDNRAKRSSNTKPSSNTAQILAARAAGRSGLTRSTQ >fgenesh2_kg.2__1049__AT1G68050.1 pep chromosome:v.1.0:2:12875693:12878052:-1 gene:fgenesh2_kg.2__1049__AT1G68050.1 transcript:fgenesh2_kg.2__1049__AT1G68050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-binding kelch domain F box protein [Source:UniProtKB/TrEMBL;Acc:D7KVS5] MARKHATESIGEVTGKRKKRDRVEEEGDIYDGIENQDVVEDGKLPLEVGMVYYPVTPPSFIVSDALEPDFPLIYVNKVFEVFTGYHADEVLGRNCRFLQYRDPRAQRRHPLVDPVVVSEIRRCLEEGIEFQGELLNFRKDGTPLVNRLRLALIRDDDGTVTHVIGIQVFSETTIDLDRVSYPVFKHKQQLDQTSECLLPGGSPRFKEHHEDFCGILQMSDEVLAHNILSRLTPRDVASIGSACRRLRQLTKNESVRKMVCQNAWGKEITGTLEIMTKKLGWGRLARELTTLEAVCWRKFTVGGIVQPSRCNFSACAVGNRLVLFGGEGVNMQPLDDTFVLNLDAEYPEWQRVRVTSSPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFVLDLDAKHPTWKEVAGGTPPLPRSWHSSCTIEGSKLVVSGGCTDAGVLLSDTFLLDLTTDKPTWKEIPTSWAPPSRLGHSLSVFGRTKILMFGGLANSGHLKLRSGEAYTIDLEDEEPRWRELECSSFTGAVVPPPRLDHVAVSMPCGRVIIFGGSIAGLHSPSQLFLIDPAEEKPSWRILNVPGKPPKLAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRQDSDL >fgenesh2_kg.2__104__AT1G63810.1 pep chromosome:v.1.0:2:648186:654489:1 gene:fgenesh2_kg.2__104__AT1G63810.1 transcript:fgenesh2_kg.2__104__AT1G63810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADTKTDSRTLKVNDLLKDVRLDYDSLSKLVGDAVSSIKEAIDGIPEDFKVTSELAPNFVKDIGADKVDFSFKKPNGFSLCGSYSICGMAKPDTCVDLLVHLPKECFYEKDYMNHRYHAKRCLYLCVIEKHLLSSSSIEKVVWSTLQNEARKPVLVVFPAKKVDQFPGFSIRIIPSATSLFSVAKLSMSRNNVRSVTADGVPEPTPTYNSSILEDMFLEENSELLKKTFSEWKELGDALILLKIWARQRSSIYVHDCLNGFLISVILSYLATHAKINKALNALDIFRVTLDFIATSKLWERGLYLPPQSEIRVSKEEKMQFRELFPVVICDSSTFVNLAFRMTSVGFQELQDEASLMLKCMEKLRDGGFEEIFMTKIDYPVKYDHCIRLQLKGKTAVSMSGFCLDKECWRLYEQKVHSLLLEGLGDRAKSIRVVWRNTNQDWHVESGLSVLDREPLFIGISVSSTEKAYRTVDIGPDAENKIEALRFRKFWGEKSDLRRFKDGRIAESTVWETQQWTKHLIMKQIVEYILKRHLSLSSDDIVQLVDQLDFSLDYGGKDPISLSGNLLQAYEVLSKCLREIEGIPLKVSSVQPLDSALRFTSVFPPEPHPVACEKIDSRRLQKLLPSCIPAMEVMIQLEGSGNWPMDDLAVEKTKSAFLLKIAESLQNVKGIPCTATEDNVDVFMGGYAFRLRILHERGLSLVKREIGVDPVKHVSSTDKMLFIRSQHASMINGLQGRFPIYAPVARLAKRWVSAHLFSGCLAEEAIELLVAHVFLTPLPLGVPCSRINGFLRFLRLLADYDWMFYPLIVDINNDFGRNDEKEINDNFMSSRKGYEEDRQNISSAMFLAAPYDKASEAWTATSPNLLEQKRLVAYARSSANVLSKLVLQEHNDSVRWECLFRTPLHNYDAVILLHRDKLPYPRRLLFPSELNQGKHVARGKASRLFNPFMPPGDLKRSHEELKNKLMVDFEPTKCLLSGLQEEFGTLKPWYDHIGGDAIGLTWNKHNSKKRERDEEEEESNPMEMLKAVGEMGKGLVRDIYLLKPPRFV >fgenesh2_kg.2__1050__AT1G68040.1 pep chromosome:v.1.0:2:12887845:12890117:-1 gene:fgenesh2_kg.2__1050__AT1G68040.1 transcript:fgenesh2_kg.2__1050__AT1G68040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine:carboxyl methyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KVS6] MGKVEIVLNSLPMSGGDGPNSYSKNSHLQRRTTSLVKETIDKLILEKLNAKTLISDSNTFHIADLGCATGPNTFFLVDDIIKSVETSLRKSNSSKPEFLVFFNDLPQNDFNTLFTSLPQDRSYFAVGVPGSFYGRVLPQSSVHMVVTVGATHWLSSVPKEVLDKPSKAWNKGKVHYSNAAEEVVKAYRDQFGRDMEKFLEARAKEIVSGGLLVVGMCGIPKGMPFSNLADSIMYTSMADVLTQMQSQGLISEEQVDTFNIPIYSASPEEVTVLVEKNGCFTVASMELMNPTAWLKRPMNVEDVRHWMVCIKATMGSLFINHFGEHLLDEIFDRLTAKLVGLTEKIESSYREKVMLFFALQRK >fgenesh2_kg.2__1052__AT1G68010.1 pep chromosome:v.1.0:2:12897690:12900475:-1 gene:fgenesh2_kg.2__1052__AT1G68010.1 transcript:fgenesh2_kg.2__1052__AT1G68010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVSIEVYNPNGKYRVVSTKPMPGTRWINLLVDQGCRVEICHLKKTILSVEDIIDLIGDKCDGVIGQLTEDWGETLFSALSKAGGKAFSNMAVGYNNVDVEAASKYGIAVGNTPGVLTETTAELAASLSLAAARRIVEADKFMRGGLYEGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYFDLYQSTRLEKFVTAYGQFLKANGEQPVTWKRASSMEEVLREADLISLHPVLDKTTYHLVNKERLAMMKKEAILVNCSRGPVIDEAALVEHLKENPMFRVGLDVFEEEPFMKPGLADMKNAIVVPHIASASKWTREGMATLAALNVLGRVKGYPIWHDPNRVDPFLNENASPPNASPSIVNSKALGLPVSKL >fgenesh2_kg.2__1053__AT1G68000.1 pep chromosome:v.1.0:2:12900642:12902763:-1 gene:fgenesh2_kg.2__1053__AT1G68000.1 transcript:fgenesh2_kg.2__1053__AT1G68000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--inositol 3-phosphatidyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KW81] MAKKERPRPEKLSVYLYIPNIVGYMRVLLNCVAFAVCFSNKTLFSVLYFFSFCCDAVDGWVARRFNQVSTFGAVLDMVTDRVSTACLLVILSQIYRPSLVFLSLLALDIASHWLQMYSTFLAGKSSHKDVKDSTSWLFRLYYGNRIFMCYCCVSCEVLYIILLLIAKNQSENLLNVVVTTLTQISPLSFLLALTLFGWSMKQTVNVIQMKTAADVCVLYDIEKQQKP >fgenesh2_kg.2__1054__AT1G67990.1 pep chromosome:v.1.0:2:12902905:12904289:-1 gene:fgenesh2_kg.2__1054__AT1G67990.1 transcript:fgenesh2_kg.2__1054__AT1G67990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLPDKGILKSEALKQYIMETTAYPREHALLKELREATIQRYGNLSEMGVPVDESLFLSMLVKIINAKNTIEIGVFTGYSLFTVALALPEDGRITAIDIDQAGYNLGLEFMKKAGVDHKINFIQSDAVRGLDQLLNGEKQEYDFAFVDADKTNYVYFLEKLLKLVKVGGIIAFDNTLWFGTLIQKENEVPSHMRAYREALLEFNKILARDPRVEIAQISIGDGLTLCRRLI >fgenesh2_kg.2__1055__AT1G67980.1 pep chromosome:v.1.0:2:12904559:12907453:-1 gene:fgenesh2_kg.2__1055__AT1G67980.1 transcript:fgenesh2_kg.2__1055__AT1G67980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEIPSKGILKSEALKQYIMETSAYPREHELLKELRQATVQKYGNLSEMEVPVDEGHFLSMLVKIMNAKNTLELGVFTGYSLLTTALALPEDGRITAIDIDKEAYEVGLEFIKKAGVDHKINFIHSDGLKALDQLVNDKCEFDFAFADADKSNYVNFHERLLKLVKVGGIIAFDNTLWFGFVAEDEEGVPDHMREYRAALIEFNKKLALDPRVEISQISIGDGVTLCRRLV >fgenesh2_kg.2__1058__AT1G67960.1 pep chromosome:v.1.0:2:12912499:12915959:1 gene:fgenesh2_kg.2__1058__AT1G67960.1 transcript:fgenesh2_kg.2__1058__AT1G67960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSSGRKLSFEILSRSSSFENDDTSIRRSSSDPITGNDASESLRDYGKRKRSKKKKKNNNKVETILENGDSHSTIVTGDFGETKTMFENRLNYYGGGGGGSSGGCVVTLLDGQTVHHNGFNFGELRQRNVNGSVDGSNDERWSDTMSSDKKLYMEETSVELSSSENPPFQEVQHQFPRSEVNGNVVRRLDTEASLDWKQLVADDPDFLSAETRSPMKYFMEEIYGGISLRSTTTPGNDVERERIYDTIFRLPWRCEVLIDTGFFVCVNSFLSLLTVMPIRVLLIFMDAFKNRQFRRPSSTELSDLACFLVLATGTILLGRTDISLIYHMIRGQSTIKLYVVYNILEIFDRLCQSFCGDVFGALFSSAKGLAISPPEKLRFSTWRFVSDLALTMAASILHSFILLAQAITLSTCIVAHNNALLALLVSNNFAEIKSSVFKRFSKDNIHGLVYADSIERFHISAFLVSVLAQNILESEGAWFGNFIYNATTVFFCEMMIDIIKHSFLAKFNDIKPIAYSEFLQALCEQTLNIRPEDRKTNLTFVPLAPACVVIRVLTPVYAAHLPYSPLPWRMLWMVILFVITYIMLTSLKVLIGMGLRKHATWYINRCRRRNSSHLHND >fgenesh2_kg.2__105__AT1G63800.1 pep chromosome:v.1.0:2:662921:664307:1 gene:fgenesh2_kg.2__105__AT1G63800.1 transcript:fgenesh2_kg.2__105__AT1G63800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 37 [Source:UniProtKB/TrEMBL;Acc:D7KTD4] MSSPSKRREMDLMKLMMSDYKVEMINDGMQEFYVEFNGPKDSIYEGGVWKIRVELPDAYPYKSPSVGFITKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFETFLPQLLLYPNPSDPLNGEAAALMMRDRPTYEQRVKEYCEKYAKPRADTEEMSSDDEMSEDEYASDCDDEDDVAIAGKLDP >fgenesh2_kg.2__1062__AT1G67950.2 pep chromosome:v.1.0:2:12917138:12918493:1 gene:fgenesh2_kg.2__1062__AT1G67950.2 transcript:fgenesh2_kg.2__1062__AT1G67950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KW86] MISPQAAFIDSDQTHNNILMDSQSTVSGVKTVKISNVSLLVSKKDIKEFFSFSGDIQFLEMRSETQESQAAYVTFKDPQGAQTAMLLTGAVIADLRVSITPAVNYELPPEALALDSELSFNGFTVKKAEDVVNIMVGRGYALGKDAMEKAKAFDDRHNLISNASATIASLDDKMGLSEKLSIGTTVVNEKLRDIDERYQVREITKSALAAAEETAISARTALMANPYVSSGASWFSNAFGAVSKAVKEKVENGGEGRKEIITLDPSSPKDPAVVPVKLG >fgenesh2_kg.2__1065__AT1G68180.1 pep chromosome:v.1.0:2:12926973:12927909:1 gene:fgenesh2_kg.2__1065__AT1G68180.1 transcript:fgenesh2_kg.2__1065__AT1G68180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KW89] MTSAYRPRVIVNGTRRTRTFHYFYCRHCSRTIRLRSFGLYGPICPFCSREINLHDELDIMRPSRPYWDTDTDWITLHLINPPRSNRFNRDDLVYNTDEEFADVMPSVQIGPPPASQSAIEAVRTVTITEEDLAKEKVCAICKEEFEVGEEGKELKCLHLYHSSCIVSWLNIHNTCPICRFEVNLGVPESNVDEGGSHHVDNDRTNRFRTRVCSLWPLRMMIDWVHNLIGKIPPLDITFMAFLLCFYVF >fgenesh2_kg.2__1066__AT1G68185.1 pep chromosome:v.1.0:2:12930276:12932489:1 gene:fgenesh2_kg.2__1066__AT1G68185.1 transcript:fgenesh2_kg.2__1066__AT1G68185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGEDLEPLFDYRRVQPANFVCIDDDDDDDSSKTPVPKKAKTSQTVEKLDDDVKVIEVAGDDDWLLPPPKVIFDKNKESVEDSTIKALRSKKMELMSFTKTVADVMQEVEESAKREVEESRNPPSEVAAQLPPEPTNDRAKIVITIQGKDGQKTFRVFADEKFERVIKLYTDKVKLDPQNLVFIFDGDKIDPSTTPSQLDMEDHDMIEVHTKQR >fgenesh2_kg.2__1067__AT1G68190.1 pep chromosome:v.1.0:2:12933223:12935125:1 gene:fgenesh2_kg.2__1067__AT1G68190.1 transcript:fgenesh2_kg.2__1067__AT1G68190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (B-box type) family protein [Source:UniProtKB/TrEMBL;Acc:D7KW92] MERVCEFCKAFRAVVYCIADTANLCLTCDAKVHSANSLSGRHLRTVLCDSCKNQPCVVRCFDHKMFLCHGCNDKFHGGGSSEHRRRDLRCYTGCPAAKDFAVMWDFRVMDDDDDVSLEQCFRMVKPKVQREGGFILEQILELEKVQLREENGSSSVTEQADPSPLELPKKSEERLIDLPQIGKELIVDFSHLSSSSTLGDSFWECKSPYNKNNQLWHQNIQDIGVCEDTICSDDDFQIPDIDLTFRNFEEEFGADPEPIADNKNVFFVSSLDKSHEMKTFSSSFNNPIFAPKPASSSISFSSSETDNPYNHTEEVISFCSPLSNNARQKAISRLKEKKRARVEEKQA >fgenesh2_kg.2__1068__AT1G68200.1 pep chromosome:v.1.0:2:12936119:12937864:1 gene:fgenesh2_kg.2__1068__AT1G68200.1 transcript:fgenesh2_kg.2__1068__AT1G68200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KW93] MENKIAPFSYSGSSAGDSSCSGVVSSSLFSDQLYKSTRNIMQQRQDMVNREALCYTRLHEASLEAEALRLENTELRSMNRHLKTELNSLIRSSIQNRFDLRSPLRMLSNLSIGGNDADEVENQNQNRTVNRDDVSDESPTSVMENEDLNRSSLPKSISVRSSGYSKASQGGGGAAAQSGKPRGTVTKPGTCGQVSTTQKVYVRGGGKKEDQEEEIEVEVYNQGMTKTELCNKWQETGTCPYGDHCQFAHGIKELRPVIRHPRYKTEVCRMVLAGDNCPYGHRCHFRHSLSEQEKLVAAGLKPKSSLKLLT >fgenesh2_kg.2__106__AT1G63740.1 pep chromosome:v.1.0:2:675019:678565:-1 gene:fgenesh2_kg.2__106__AT1G63740.1 transcript:fgenesh2_kg.2__106__AT1G63740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDQGIERGQTISPELTRGIRESRISIVVLSKNYASSSWCLDELLEILKCKEDIGQIVMTVFYGVDPSDVRKQTGDIWKVFKKTCGGKTEEKRRKWSQALNDAGNIAGEHFLNWDNESKMIEKIGRDVSNKLNTTVSKDFEDMVGLETHLEKIQSLLHLDNEDEVIIVGICGPAGIGKTTIARALHSRLTCSFRRTCFMENLRGSYNSSLDEHGLKLQLQEQLLSKILNQNGMRIYHLGAIHERLCDQKVLIILDEVDDLKQLEALANDTKWFGPGSRIVVTTENQELLKQHGIKNTYHVDFPTQKEAREIFCRYAFKQSTPQDGFENLSERVTKLCSRLPLGLRVMGSYLLRKTEDDWEDILYRLESSFDPVDRGIERVLRVGYDGLHEKNQLLFLLIAFFFNYKDEDHVKAMLADNNLNVRLGLKTLEYKSLIQKSSGGNIVMHKLLQQVGREAVQRQEPWKRQILIDAHEICDVLETDSGCANVMGISFNVSTIPNGVHISAKAFQNMRNLRFLSIYETRRDINLRVNVPENMNFPHRLRFLHWEVYPGKCLPSTFRPEYLVELNLQNNKLEKLWEGTQPLTNLNKLELCGSLRLKELPDLSNATNLKRLDLTGCWSLVEIPSSVENLHKLEELEMNLCLQLQVVPTHFNLASLISLRMLGCWQLRKFPGISTNITSLVIGDAMLEEMLESITLWSCLETLSIYGSVITHNFWAVTLIEKMGTDIERIPYCIKDLPALKSLYIGGCPKLVSLPELPGSLRRLTVETCESLETVSFPIDSPIVSFSFPNCFELGVEARRVITQKAGQMLAYLPGREVPAEFVHRAIGDSLTIRSSFCSIFRICVVVSPKSGMKEEYVDLMCRKRINGCPNGDNLFKARLRKVQAEHLFIFQFEFLEEDGWLEQDNEVLFKFTTSSQELDIIECGIQILTGETNRNISSYESYESRSEQVSEYEDGYHSDRRLEFHEQKSLSRWGFCGIFHGFLRCFMA >fgenesh2_kg.2__1070__AT1G68220.1 pep chromosome:v.1.0:2:12946216:12947555:1 gene:fgenesh2_kg.2__1070__AT1G68220.1 transcript:fgenesh2_kg.2__1070__AT1G68220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVSILTVVTALHLLAFVFAFGAERRRSTAVPVPDQYDEKTFCKYGTEASTVYGMSAFGLLLVSQALVNGVTKCLCFGKGLVTGTSYTVWAIVFFVVSWISFLGAEACLLAGSARNAYHTKSEGIYKGKEISCAVLPVGVFAAGAAFTLMSLIATILYYLAHSKADTGGWEKHQNDGINIGMTTPSDAPKQQNTEFNKV >fgenesh2_kg.2__1072__AT1G68238.1 pep chromosome:v.1.0:2:12950498:12950877:1 gene:fgenesh2_kg.2__1072__AT1G68238.1 transcript:fgenesh2_kg.2__1072__AT1G68238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGELAVVRAAGNGEVLLYIWAAVVALSIIATLIFSCSDGASKPHTNDDVNGSACAAGCGGGCGG >fgenesh2_kg.2__1074__AT1G68240.1 pep chromosome:v.1.0:2:12951059:12952204:-1 gene:fgenesh2_kg.2__1074__AT1G68240.1 transcript:fgenesh2_kg.2__1074__AT1G68240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKNHKEGTHEEEQCSISNIISSFCSENHNELNPLQEIFGAHSSSKPEDVTKNSDHEKHGEEQDEESYRMAKRQRSMEYRMMMEKKRRKEINNKVDILQGMMPNRSPKPDLASKLEHIIEYIKSLKYQVDVMYMAYTATPVYTSPFYAAAQAPCMSPWGYYTPGVPMMPQQNMTYIPQYHQVYETAPPNQTHP >fgenesh2_kg.2__1075__AT1G68250.2 pep chromosome:v.1.0:2:12956053:12956277:-1 gene:fgenesh2_kg.2__1075__AT1G68250.2 transcript:fgenesh2_kg.2__1075__AT1G68250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KW99] MDTDRDTVEFWSSLFQSFADSEPKDGLYPVVRSPIMTMSTLMTIDEPAEPWKVLEYGGQEVRPMAIDVPAEPWKV >fgenesh2_kg.2__1076__AT1G68280.1 pep chromosome:v.1.0:2:12967168:12969067:-1 gene:fgenesh2_kg.2__1076__AT1G68280.1 transcript:fgenesh2_kg.2__1076__AT1G68280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQITGTGTAAPAMSMVFPNSSRRPVMLPLRSAKTFKPLAFLDLKGGKGMSEFHEVELKVRDYELDQFGVVNNAVYANYCQHGRHEFLESIGINCDEVARSGEALAISELTMKFLAPLRSGDKFVVKARISGTSAARMYFDHFIFKLPNQEPILVAKGIAVWLDNKYRPVRIPSSIRSKFVHFLRQDDAV >fgenesh2_kg.2__1077__AT1G68290.1 pep chromosome:v.1.0:2:12970907:12972646:1 gene:fgenesh2_kg.2__1077__AT1G68290.1 transcript:fgenesh2_kg.2__1077__AT1G68290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQRWLHVVMMIITVWLLYAAPSIHGWGKEGHEIICKIAQTRLDETAAKAVKELLPESAEGDLSSLCLWADRVKFRYHWSSPLHYINTPDACSYQYNRDCKDEAGEKGRCVAGAIYNYTSQLLSYNTAASSQSQYNLTEALLFVSHFMGDIHQPLHVGYASDKGGNTIEVHWYSRKANLHHIWDSNIIETAEADLYNSELEGMVDALKKNITTEWADQVKRWESCTKKTACPDIYASEGIQAACDWAYKGVTEGDTLEDEYFYSRLPIVYQRLAQGGVRLAATLNRIFG >fgenesh2_kg.2__1078__AT1G68300.1 pep chromosome:v.1.0:2:12972622:12974245:-1 gene:fgenesh2_kg.2__1078__AT1G68300.1 transcript:fgenesh2_kg.2__1078__AT1G68300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein family protein [Source:UniProtKB/TrEMBL;Acc:D7KWA2] MAEEEKSLKKQVMVAIDESECSKRALQWTLVYLKDSLADSDIILFTAQPPLDLSCVYASSYGAAPIELINSMQENYRNAGLNRLEEGTKICAEIGVTPRKVLEFGNPKEAICEAAEKLGVNMLVVGSHGKGALQRTFLGSVSNYCVNNANCPVLVVRTKA >fgenesh2_kg.2__1080__AT1G68310.1 pep chromosome:v.1.0:2:12985004:12986616:1 gene:fgenesh2_kg.2__1080__AT1G68310.1 transcript:fgenesh2_kg.2__1080__AT1G68310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGLINENPIIYPKKERRLRTDSSTTDEFTPEPIDQLEIFDILNFGNCNPFVVDIKDPEHPNTLEDLRVVTEDSVEVDDENSYVRVTFTPTVEHCSMATVIGLCVRVKLLRSLPSRYKIDIRVAPGSHATEDALNKQLNDKERVAAALENPNLVEMVDECLPSEE >fgenesh2_kg.2__1082__AT1G68330.1 pep chromosome:v.1.0:2:13008142:13009244:1 gene:fgenesh2_kg.2__1082__AT1G68330.1 transcript:fgenesh2_kg.2__1082__AT1G68330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDVCCSEASGSGISPRISFSYDLDSTDDGEVRLDSTLLDSGSEFDFCFGSSCSIQEVSPADELFSEGKILPVQIKKDESFPQTVTFRVQRSVSLSSSSSSSSSSSSSSSRAPEKKMRLKELLLNPESDFEDKPRGLFLQFKRSISLNYDKSRNSKGLIRSLHFLSRSNSTPNPNLNLLSKETHQPHKTHNLPKHKSSLRRSSSLSSSVPYHSKPLGRKSFGNGNGGIRVSPVLNFPPPAFISNVADGFFSIGSLCNGKTNTKTKL >fgenesh2_kg.2__1084__AT1G68350.1 pep chromosome:v.1.0:2:13017980:13018637:1 gene:fgenesh2_kg.2__1084__AT1G68350.1 transcript:fgenesh2_kg.2__1084__AT1G68350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRRPIFQRVSKLLKVSILRRPIIPRLKLIPIKRRRSSKRVKLLQQYNYKFLQEYQFSPSSTSLIRCCPKRIRLSSSSLKRIYGLLFLSRCIGKDCTITRSWMEMEPLHCALKVASPTRELLHPFDYFSEDDSIDVKAERFIEKFYDEMRMQAREFA >fgenesh2_kg.2__1087__AT1G68380.1 pep chromosome:v.1.0:2:13057287:13058986:-1 gene:fgenesh2_kg.2__1087__AT1G68380.1 transcript:fgenesh2_kg.2__1087__AT1G68380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQKDQNSQLSLLIELLKKLLNHFHNLLLYFPILWITVIVGIIVYSSLQAISSPSSLTVQSVSQLFFVTSPPPIPSPSFQENGLEKFLKPTKNIMHGMEDNELLWRASMDPKIRGYPYPRIPKVAFMFLTWGPLPLAPLWERFFRGHEGLFTIYVHTNSSYDEFMLQGSVFYGRRIPSKRVDWGNANMVEAERRLLANALLDINNERFILLSESCIPLFNFTTIYSFLIDSTQSHVDSYDLPIGCVRYDRRMYPHIHMHHWRKGSQWFELDRAMALEVVSDTIYWPIFKAYSRYPDEHYIPTLFNMRLRLGSRNANRTLTWTDWRKRRAHPRLFGEWEVNVEFLEWLRMKSVGDCEKNGENKIKFCFLFARKFSSTTLDKLLRLASTVMYF >fgenesh2_kg.2__1088__AT1G68390.1 pep chromosome:v.1.0:2:13063532:13066273:1 gene:fgenesh2_kg.2__1088__AT1G68390.1 transcript:fgenesh2_kg.2__1088__AT1G68390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQKDQNSSSFSSSSPSLTTKLLNAQYHHFLNLLSYSLILCCGIIFGILLHSSLQDFSSTSSLSIQRISQLFLVNSLPPPPPPPSPPSELELDGLERFIKPPEKLMHDMEDEELLWRASMAPKIKNYPFPRTPKVAFMFMTKGHLPLARLWERFFRGHEHLFTIYVHSYPSYNQSDPEDSVFHGRHIPSKRVDWGYVNMVEAEQRLLANALLDISNERFVLLSESCIPLFNFTTVYSYLINSTQTHVESYDQLGGVGRGRYSPLMQPHVQLHHWRKGSQWFEVDRDMALEIISDRIYWPLFYSYCHHGCYADEHYIPTLLNIKSSLNHRNSNRTLTWVDWSKGGPHPNRFIRHEVTAEFMENLRSGGECLYNGEETNICYLFARKFLPTALDRLLRLSRTVLYF >fgenesh2_kg.2__1089__AT1G68400.1 pep chromosome:v.1.0:2:13068036:13070648:-1 gene:fgenesh2_kg.2__1089__AT1G68400.1 transcript:fgenesh2_kg.2__1089__AT1G68400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g68400/T2E12_5 [Source:UniProtKB/TrEMBL;Acc:D7KWB6] MAKSSFFNKHLLLSLLHCCLLSSSSSTSDSETLLNFKLTADSTGKLNSWNKTTNPCQWTGVSCNRNRVTRLVLEDIELTGSISPLTSLTSLRVLSLKHNSLSGPIPNLSNLTALKLLFLSHNQFSGNFPSSITSLTRLYRLDLSFNNFSGEIPPDLTNLNHLLTLRLESNRFSGQIPNIIISDLQDFNVSGNNFNGQIPNSLSQFPESVFTQNPSLCGAPLLKCTKLSSDPTKPGRPDGAKASPLNNSETVPSSPTSIHGGDKSTTRISTISLVAIILGDFIILSFVSLLLYYCFWRQYAVNKKKHSKVLEGEKIVYSSSPYPTSAQNNNNQNQQGGEKGKMVFFEGTRRFELEDLLRASAEMLGKGGFGTAYKAVLEDGNEVAVKRLKDAVTVAGKKEFEQQMEVLGRLRHTNLVSLKAYYFAREEKLLVYDYMPNGSLFWLLHGNRGPGRTPLDWTTRLKIAAGAARGLAFIHGSCKTLKLTHGDIKSTNVLLDRSGNARVSDFGLSIFAPSQTVAKSNGYRAPELTDGRKHTQKSDVYSFGVLLLEILTGKCPNMVETGHSGGAGGAVDLPRWVQSVVREEWTAEVFDLELMRYKDIEEEMVGLLQIAMACTAVAADHRPKMDHVVKLIEDIRGGGSEASPCNDGINSAVDSPCLSEDTCGGTTSQ >fgenesh2_kg.2__108__AT1G63720.1 pep chromosome:v.1.0:2:712635:714410:1 gene:fgenesh2_kg.2__108__AT1G63720.1 transcript:fgenesh2_kg.2__108__AT1G63720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGASGNNVFDTINAAASAIASSDDRLHQSSPIPKKRKWWNRWSLLKCFRSSRQRKRIGNSVLVPEPVSTSSISTSNSGYRSVITTLPFIAPPSSPASFFQSEPPSATQSPVGILSFSPLPCNNRPSIFAIGPYAHETQLVSPPVFSTYTTEPSSAPITPPLDESSIYLTTTTPSSPEVPFAQLFNSNHQTGSYGHKFPMSSSYEFQFYQLPPGSPLGQLISPSSVVSGSGPTSPFPDGETALFPHFQVSDPPKLLSPGKLQCPKTGVTTPSREQKIVRPHKPVSFDLDADHVIRCVDQKLRTTFPEASSNPESMNHSSLGSNKEFNFGTDEKHLTVDEQRASPKNSNDWSFFPVMQSGTLS >fgenesh2_kg.2__1094__AT1G68440.1 pep chromosome:v.1.0:2:13090050:13091268:1 gene:fgenesh2_kg.2__1094__AT1G68440.1 transcript:fgenesh2_kg.2__1094__AT1G68440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KWC1] MEVPVINRIRDFEVGINSINDPSFLSRSVAVSGIGKLHQAYGFWKWGALIIAFLAYFTNFVSKLNSLVVRLIKIDVSVSSPTLFDDYDSDSDVSCSSTVSSDDEEDEEDEDDDEDEDVDSIFNRRRVNGGFRVRGSDYYDDDDDQGENGNCTWMGRRCSGSIGDLFSWPDLGGIGSSGVVKLWDHLDIDGDDDDHENVVATFLKNCSSTSSLFLAAEKKGVDAVKVKACDPRAGFKMPALLAEWRQPGRLLGNIIGVDAGGVEKVYVRDDVSGEIAVGDLRKFNGVLTDLTESEAETWWDA >fgenesh2_kg.2__1096__AT1G68460.1 pep chromosome:v.1.0:2:13105586:13107160:-1 gene:fgenesh2_kg.2__1096__AT1G68460.1 transcript:fgenesh2_kg.2__1096__AT1G68460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin synthase [Source:UniProtKB/TrEMBL;Acc:D7KWC3] MTELNFLLLPIISDRFTTTTTSPSFSSHSSSLLSFTKRRRKHQPLVSSIRMEQSQSQNRKDKVVVILGATGAGKSRLSVDLATRFPSEIINSDKIQVYEGLEITTNQITLPDRRGVPHHLLGVLNPEHGELTAGEFRSAASNVVKEITTRQKLPIIAGGSNSFVHAVLAQRFDPKIDPFSSGSGLISSDLRYKCCFIWVDVSETVLYDYLLKRVDEMMDSGMFEELSGFYDPVKSGLKPRFGIRKAIGVPEFDGYFKEYPPEKMIKWDDALRKAAYDKAVEDIKRNTWTLAKRQIKKIEMLKDAGWEIERVDATASFKAVMMKSSSEKKWREIWEEQVLEPSVKIVNRHLVED >fgenesh2_kg.2__1097__AT1G68480.1 pep chromosome:v.1.0:2:13125370:13127148:-1 gene:fgenesh2_kg.2__1097__AT1G68480.1 transcript:fgenesh2_kg.2__1097__AT1G68480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHEENYLDLNNLPDDISKDGNKQALEEGSSSGQRKKKGSKEGKDESGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNQARQLVYRNDTLTPPGISPFGYHHTTDPTIYRSVYSSPMLYPGSSSTNLVPQPPMPPPPPPYPYSSNQYSPHNHFNDYYLNPSFRGSRSISPNPNLPTTTTVDYMADSPVEPSYTCVGAPIGPTGFPIRGSSSVRAPLEPPQGRDGDASRQRLDHSLRLPINRFQDHHSL >fgenesh2_kg.2__1100__AT1G68510.1 pep chromosome:v.1.0:2:13167634:13168767:1 gene:fgenesh2_kg.2__1100__AT1G68510.1 transcript:fgenesh2_kg.2__1100__AT1G68510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain protein 42 [Source:UniProtKB/TrEMBL;Acc:D7KWD0] MRISCNGCRVLRKGCNQDCTIRPCLQWIKSADSQANATLFLAKFYGRAGLLNLIESGPDHLRPAIFRSLLYEACGRIVNPVDGSVGLMWSGNWAQCQAAVDAVLNGLPITHTSLPSASASHQIIPPHRTYDIRHVAKDPTTGGDSSENLATRVNANKSKTQTGRFKRESVNQLGECSHDMWQLQSSSAAHGYGHFTLETVESGREAPLNQSSSNLGFDDQVDINEVGLELRLG >fgenesh2_kg.2__1104__AT1G68540.1 pep chromosome:v.1.0:2:13196910:13198171:1 gene:fgenesh2_kg.2__1104__AT1G68540.1 transcript:fgenesh2_kg.2__1104__AT1G68540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase family protein [Source:UniProtKB/TrEMBL;Acc:D7KWD4] MSEYLVTGGTGFIASYIIKSLLELGHTVRTTVRNPRDEEKVGFLWEFQGAKQRLKILQADLTVEGSFDEAVNGVDGVFHTASPVLVPQDHNIQETLVDPIIKGTTNVMTSCAKSKTSLKRIVLTSSCSSIRYRFDAAEASPLNESHWSDPEYCKRFNLWYGYAKTLGEKEAWRIAEEKGLDLVVVNPSFVVGPLLGPKPTSTLLMILAIAKGLAGEYPNFTVGFVHIDDVVAAHVLAMEEPKASGRIICSSSVAHWSEIIELMRNKYPNYPFENKCSNKEGDNSPHSMDTRKIHELGFGSFKSLPEMFDDCIISFQKKGLL >fgenesh2_kg.2__1107__AT1G68550.2 pep chromosome:v.1.0:2:13199480:13201412:-1 gene:fgenesh2_kg.2__1107__AT1G68550.2 transcript:fgenesh2_kg.2__1107__AT1G68550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVRKEQSLSGTSSEIKKRAKRNSVSSSLPQETQPLRKVRIIVNDPYATDDSSSDEEELKVSKVRKMKRIVREINFPSMEVSEQPSESSSQDSTKTVSKKAVSASPACPGLLTKKPVGVRQRKWGKWAAEIRHPIKKTRTWLGTFDTLEEAAKAYDAKKIEFDALVASLSVSGNVSTKTVVSSSETSQCSRSSPVVPVEQDDTSASALTCVNPDDVSVAPTPNAPAGGNKEVLFDFDFTDLQIPDLGFFAEEQHDLDFDCFLSVDQFDDFGLLDDIQGFEDNGPSELPDFDFADVEELQLADSSFGFLDQLAPLNISCPLKSFAAS >fgenesh2_kg.2__1109__AT1G68570.1 pep chromosome:v.1.0:2:13228060:13235592:1 gene:fgenesh2_kg.2__1109__AT1G68570.1 transcript:fgenesh2_kg.2__1109__AT1G68570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7KWD8] MEEQSKNKISEEEKQLHGRPNRPKGGLITMPFIFGMNEICEKLAVVGFHANMISYLTTQLHLPLTKAANTLTNFAGTSSLTPLLGAFIADSFAGRFWTITFASIIYQIGMTLLTISAIIPTLRPPPCKGEEVCVVADTAQLTILYVALLLGALGSGGIRPCVVAFGADQFDESDPNQTTKTWNYFNWYYFCMGAAVLLAVTVLVWIQDNVGWGLGLGIPTVAMFLSVIAFVGGFQLYRHLDPAGSPFTRLIQVGVAAFRKRKLRMVSDPSLLYFNDEIDAPISLGGKLTHTKHMSFLDKAAIVTEQDNLKPGQIPNHWRLSTVHRVEELKSVIRMGPIGASGILLITAYAQQGTFSLQQAKTMNRHLTNSFQIPAGSMSVFTTIAMLTTIVFYDRIFVKVARKFTGLERGITFLHRMGIGFVISIIATLVAGFVEIKRKSVAIEHGLLDKPHTIVPISFLWLIPQYGLHGVAEAFMSIGHLEFFYDQVPESMRSTATALFWMAISIGNYVSTLLVTLVHKFSAKPDGSNWLPDNNLNRGRLEYFYWLITLLQAVNLVYYLWCAKIYTYKPVQVHHSKEESSPVKDELQLSNRSLVDA >fgenesh2_kg.2__110__AT1G63700.1 pep chromosome:v.1.0:2:743277:747398:1 gene:fgenesh2_kg.2__110__AT1G63700.1 transcript:fgenesh2_kg.2__110__AT1G63700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWWSKSKDEKKKTNKESIIDAFNRKLGFASEDRSSGRSRKSRRRRDEIVSERGAISRLPSRSPSPSTRVSRCQSFAERSPAVPLPRPIVRPPVTSTDSGMNGSQRPGLDANLKPSWLPLPKPHGAPSIPDNTGAEADFATASVSSGSSVGDNPSDSLLSPLASDCENGNRTAVNISSRDQPMHSNKNSAEMFKPVPNKNRILSASPRRRPLGTHVKNLQIPQRDLVLCSAPDSLMSSPSRSPMRSFVPDQVSNHGLLISKPYSDVSLLGSGQCSSPGSGYNSGNNSIGGDMATQLFWPQSRCSPECSPVPSPRMTSPGPSSRIQSGAVTPLHPRAGGSTTGSPTRRLDDNRQQSHRLPLPPLLISNTCPFSPTYSAATSPSVPRSPARAEATVSPGSRWKKGRLLGMGSFGHVYLGFNSESGEMCAMKEVTLCSDDPKSRESAQQLGQEISVLSRLRHQNIVQYYGSETVDDKLYIYLEYVSGGSIYKLLQEYGQFGENAIRNYTQQILSGLAYLHAKNTVHRDIKGANILVDPHGRVKVADFGMAKHITAQSGPLSFKGSPYWMAPEVIKNSNGSNLAVDIWSLGCTVLEMATTKPPWSQYEGVPAMFKIGNSKELPDIPDHLSEEGKDFVRKCLQRNPSNRPTAAQLLDHAFVRNVIPMERPIVCGEPAETMNVASSTMRSLDIGHARSLPCLDSEDATNYQQKGLKPGSGFSISQSPRNMSCPISPVGSPIFHSHSPHISGRRSPSPISSPHALSGSSTPLTGCGGAIPFHHQRQTTVNFLHEGIGSSRSPGSGGNFYTNSFFQEPSRQQDRSRSSPRTPPHVFWDNNGSIQPGYNWNKDNQPVLSDHVSQQLLSEHLKLKSLDLRPGFSTPGSTNRGP >fgenesh2_kg.2__1112__AT1G68580.2 pep chromosome:v.1.0:2:13236999:13240127:1 gene:fgenesh2_kg.2__1112__AT1G68580.2 transcript:fgenesh2_kg.2__1112__AT1G68580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSSETPSYCGWNELHVKNTKGKMEVHYYLERKDGIADLAVIGRLKNSSKRMSFRYALKKNRSVLKKLNSKDDVANWLDSIVSGVMPHVADVPATVMTEKDAGGLNMSTFMNGKFKEPIQQTKTFSWMGSSWTCRKRRKHYQSFLRNGVRISVNDFVYVLAEQNKRLVAYLEDLYEDSKGKKMVVVRWFHKTEEVGSVLPDDINDREIFFSLYRQDISIECIDYLATVLSPQHYEKFLKVPMHVQPVAFFCQKLYGDDGIKPYDITQLEGYWKQEMLRYLNVSVLKSGEGAQAPGTDPGLGAPLVGCVGIRSRKRRRPSPVGTLNLPSAGDIKGDCKSSPDSVLGASDASMCKGAEDGSSHHIKKGSLVEVLSEDSGIRGCWFKALILKKHKDKVKVQYQDIQDADDESKKQEEWILTSRVAGGDDPVGLRIKGRKVVRPMLKPGKENDVCVIGVGMPVDVWWCDGWWEGIVVQKVSEEKFEVYLPGEKKMAAFHRSDLRQSREWLDDEWLNISSRSDIVSSVLSLMKKEEAEVKQDEKPSDVGVCNGVMSAKGEAKRTISLPVATTKKSLPKRPIPDLLKDVLVTSDLKWKKSSRKRNRVVSCCPHDPSLNNGFSSERSLDCENCKFMGDDFGSSVGQPLTGLLMSR >fgenesh2_kg.2__1114__AT1G68590.1 pep chromosome:v.1.0:2:13241661:13242502:-1 gene:fgenesh2_kg.2__1114__AT1G68590.1 transcript:fgenesh2_kg.2__1114__AT1G68590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-specific ribosomal protein 3 [Source:UniProtKB/TrEMBL;Acc:D7KWE0] MALSSASLCSSFISQHPKLSITASTPLFLNQTTKPISVKRKIITLAAPETLTAETVTGIDTSDNTPQQTTKVVKPDEKSRVVLKFVWMEKNIGLGLDQHVPGHGTIPLSPYFFWPRKDAWEELKSTLEAKPWISQKKMIILLNQATDIINLWQQSGGNLTSQ >fgenesh2_kg.2__1116__AT1G68600.1 pep chromosome:v.1.0:2:13249445:13253137:1 gene:fgenesh2_kg.2__1116__AT1G68600.1 transcript:fgenesh2_kg.2__1116__AT1G68600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F24J5.16 [Source:UniProtKB/TrEMBL;Acc:D7KWE2] MGSLPEQNREKLLWQSSDVADSRDSKFRCCSWRALYEAPAKLYAMGHSDRRKLYFSFKMGIALALCSFVIFLKEPLQDASKFAVWAILTVVLIFEYYVGATLVKGFNRALGTMLAGGLALGVAQLSVLAGEFEEVIIVICIFLAGFGASYLKLYAAMKPYEYAFRVFKLTYCIVLVSGNNSRDFLSTAYYRILLIVLGATICLLVNVFLFPIWAGEDLHKLVAKNFKTVANSLEGCVNGYLQCVEYERIPSKILTYQASDDPLYSGYRSAVQSTSQEDSLLDFAIWEPPHGPYKTFNHPWKNYVKLSGAVRHCAFTVMAMHGCILSEIQAAPEKRHVFSNELRRVGNEGAKILRLFGEKVEKMEKLSLSLGEILKDVQRAAEALQMKIDSKSYLLVNSESWAAIQEQAEAEEARENDQEAKDDETKVIKSLSQIWDTNNNNHQSHDQSQNWMSTESMMLKNREMWPSMSFIGGTVVNEIECKVYESASSLSLATFASLLIEFVARLQNIVNAFEELSTKAGFKDPVDQIPKV >fgenesh2_kg.2__1118__AT1G68620.1 pep chromosome:v.1.0:2:13256040:13257131:1 gene:fgenesh2_kg.2__1118__AT1G68620.1 transcript:fgenesh2_kg.2__1118__AT1G68620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATKLTHVTTINPNNTNIHGPVVDEVEGLIKVYKDGHVERSQLVPCVGPSLPLELGVACSDVVIDKLNNVWARLYVPMMTTTKSSVSKLLPLIVYFHGGGFCVGSTSWSCYHEFLARLSSRSRCMVMSVDYRLAPENPLPAAYEDGVNAILWLNKARNDNLWTKLCDFGRIFLAGDSAGGNIADQVAARLASTEDLTLKIEGTILIQPFYGGEERTESEKRVGNNKSSVLTLEGSDAWWRLSLPRGADREHPYCKPVKIKSSTVIRTLVCVAEMDLLMDRNMEMCDGNEEVIKRVVHKGVGHAFHILGKSQLAHTTTLEMLCHIDAFIHHDDPLK >fgenesh2_kg.2__1120__AT1G68640.1 pep chromosome:v.1.0:2:13260334:13263317:-1 gene:fgenesh2_kg.2__1120__AT1G68640.1 transcript:fgenesh2_kg.2__1120__AT1G68640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor perianthia [Source:UniProtKB/TrEMBL;Acc:D7KWE6] MQSSFKTVPFNPDFYSQSSFFFRGDSCLEEFHQPVNGFHHEEAIDLSPNVTIASANLHYTTFDTVMDCGGGGGLRERLEGEEECLDTGQLLYQKGTRLVGGEVNSSWCDSVSAMADNSQHTDTSTDIDTDDKTQLNGVHQGMLLATNCSDQSKVKSCEQRTLRRLAQNREAARKSRLRKKAYVQQLENSRIRLAQLEEELKRARQQGSLVESGVSADHTHLAAGNGAFSFELEYTRWKEEHQKLINDLRSGVNSQLGDNDLRVLVDAVMSHYDEIFRLKGIGTKVDVFHMLSGMWKTPAERFFMWLGGFRSSELLKILGNHVDPLTDQQLIGICNLQQSSQQAEDALSQGMEALQQSLLETLSSASMGPNSSANVADYMGHMAMAMGKLGTLENFLRQADLLRQQTLQQLHRILTTRQAARAFLVIHDYISRLRALSSLWLARPRD >fgenesh2_kg.2__1121__AT1G68650.1 pep chromosome:v.1.0:2:13275414:13277445:1 gene:fgenesh2_kg.2__1121__AT1G68650.1 transcript:fgenesh2_kg.2__1121__AT1G68650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLQGFTKSLAMTFLSEIGDKTFFAAAILAMRYPRRLVLAGCLSALIVMTILSATLGWAAPNLISRKWTHHITTLLFFGFGLWSLWDGFKEGGGSEELAEVEAELDSDLKKTNDQSKKDSSKIEDEQKKQKRPFLTAFFSPIFLKAFSINFFGEWGDKSQLATIGLAADENPLGVVLGGILAQTLCTTAAVLGGKSLASQISERIVALSGGMLFIIFGIQSLLTPVDA >fgenesh2_kg.2__1124__AT1G68670.1 pep chromosome:v.1.0:2:13284133:13286095:1 gene:fgenesh2_kg.2__1124__AT1G68670.1 transcript:fgenesh2_kg.2__1124__AT1G68670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMDYAKKMQKCHEYVEALEEEQKKIQVFQRELPLCLELVTQAIEACRKELSGTTTTSEQCSEQTTSVCGGPVFEEFIPIKKRSLCEEQEEDGEHESSPELEQIGNNKKSDWLRSVQLWTPSPDLNPKEERVVKKAKVVEVKPKSGAFQPFQKEKKRVLETDLQPAVKVASSTPATTTSSTTETGGAKSELVKVGEEQRQIEQQQSQSQTHRKQRRCWSPELHRRFLNALQQLGGSHVATPKQIRDHMKVDGLTNDEVKSHLQKYRLHTRRPAATSVAAQSNGNPQQPQFVVVGGIWVPSPQDFPPPSDVANNGGVYAPVAVAQSPKRSVERSCNSPAASSSTNTNTSTPVS >fgenesh2_kg.2__1127__AT1G68710.1 pep chromosome:v.1.0:2:13296673:13301563:-1 gene:fgenesh2_kg.2__1127__AT1G68710.1 transcript:fgenesh2_kg.2__1127__AT1G68710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7KWF3] MVGGGTKRRRRRLQLSKLYTLTCAQACFKQDHSQIGGPGFSRVVYCNEPDSPEADSRNYCDNYVRTTKYTLATFLPKSLFEQFRRVANFYFLVTGILAFTPLAPYTASSAIVPLLFVIGATMVKEGVEDWRRQKQDNEVNNRKVKVHRGDGSFDSKEWKTLSIGDIVKVEKNEFFPADLVLLSSSYEDAICYVETMNLDGETNLKVKQGLEVTSSLRDEFNFKGFEAFVKCEDPNANLYSFVGTMELRGAKYPLSLQQLLLRDSKLRNTDFIFGAVIFTGHDTKVIQNSTDPPSKRSMIEKKMDKIIYLMFFMVVTMAFIGSVIFGVTTRDDFKDGVMKRWYLRPDSSRIFFDPKRAPVAAVYHFLTAIMLYSYFIPISLYVSIEIVKVLQSIFINQDIHMYYEEADKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGTAYGRGVTEVEMAMGTRKGGPLVFQSDENDMEYSKEAITEESTVKGFNFRDERIMNGNWVTETHADVIQKFFRLLAVCHTVIPEVDEDTEKISYEAESPDEAAFVIAARELGFEFFNRTQTTISVRELDLVSGKRVERLYKVLNVLEFNSTRKRMSVVVQDEDGKLLLLCKGADNVMFERLSKNGREFEAETRDHVNEYADAGLRTLILAYRELDEKEYKVFNERISAAKSSVSADRESLIEEVTEKIEKDLILLGATAVEDKLQNGVPDCIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQDMKQIIINLETPEIHSLEKTGEKDVIAKVSKENVLSQIINGKAQLKYSGGNSDAFALIIDGKSLAYALDDDIKHIFLELAVGCASVICCRSSPKQKALVTRLVKSGNGKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRISTMICYFFYKNITFGFTLFLYETYTTFSSTPAYNDWFLSLYNVFFSSLPVIALGVFDQDVSARYCLKFPLLYQEGVQNVLFSWRRILGWMFNGFYSAVIIFFLCKSSLQSQAFNHDGKTPGREILGGTMYTCIVWVVNLQMALAISYFTLIQHIVIWSSIVVWYFFIMVYGELPSRISTGAYKVFVEALAPSLSYWLITLFVVVATLMPYFIYSALQMSFFPMYHGMIQWLRYEGQCNDPEYCDMVRQRSIRPTTVGFTARLEAKKRSVRISEPAS >fgenesh2_kg.2__112__AT1G63690.1 pep chromosome:v.1.0:2:747988:751975:-1 gene:fgenesh2_kg.2__112__AT1G63690.1 transcript:fgenesh2_kg.2__112__AT1G63690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease-associated domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KTF0] MDSLRFLRILLLSSSILLLSLRSTVTAGDIVHQDNLAPKKPGCENDFVLVKVQTWIDGVENEEFVGVGARFGRRIVSKEKNANQTHLVFANPRDSCTPLKNKLSGEVVIVERGNCRFTAKANNAEAAGSSALLIINNQKELYKMVCEPDETDLDIQIPAVMLPQDAGASLQKMLANSSKVSAQLYSPRRPAVDVAEVFLWLMAIGTILCASYWSAWSAREAAIEHDKLLKDAIDEIPNTNDGGSGVVEINTISAIFFVVLASGFLVILYKLMSYWFVELLVVVFCIGGVEGLQTCLVALLSRWFQRAADAYVKVPFLGPISYLTLAVSPFCIVFAVLWAVYRVHSFAWIGQDVLGIALIITVLQIVHVPNLKVGTVLLSCAFLYDIFWVFVSKKLFHESVMIVVARGDKSGEDGIPMLLKIPRMFDPWGGYSIIGFGDILLPGLLIAFALRYDWLANKTLRTGYFIWAMVAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTMVTLARKRGDLWILWTKGEPERACPHHVRLEPCSEK >fgenesh2_kg.2__1133__AT1G68760.1 pep chromosome:v.1.0:2:13344659:13345359:1 gene:fgenesh2_kg.2__1133__AT1G68760.1 transcript:fgenesh2_kg.2__1133__AT1G68760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEAIPRVAVIVFILNGNSILLGRRRSSIGNSTFALPGGHLEFGESFEECAAREVMEETGLKIEKMKLLTVTNNVFKEAPKPAHYVSVSMRAVLVDPSQEPKNMEPEKSEGWDWYDWENLPTPLFWPLEKLFGSGFNPFTHGGKD >fgenesh2_kg.2__1134__AT1G68765.1 pep chromosome:v.1.0:2:13345677:13346141:-1 gene:fgenesh2_kg.2__1134__AT1G68765.1 transcript:fgenesh2_kg.2__1134__AT1G68765.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KWG0] PCRTMMVLLCFVLFLVMNSSCAAAARIGATTEMKKNRKSLGVKYNHIFGYLPKGVSIPPSAPS >fgenesh2_kg.2__1135__AT1G68780.1 pep chromosome:v.1.0:2:13348479:13350548:-1 gene:fgenesh2_kg.2__1135__AT1G68780.1 transcript:fgenesh2_kg.2__1135__AT1G68780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KWG1] MLRLRKKQHLVFLLCVWCLVVDLSKAETEESEGGPMEKTEQAALYSTIQGFVGESWNGSYLYPDPCGWTPIQGVTCDMYDDLWYVTALSFGTMKDNSLACSDIPVIRPQLFELKHLKSLSLFSCFTSPYRYLASISDEKWLDLSKSLERLEIRSNPGLIGELPSVITNLTNLQSLVVLENQLTGPLPENLAKLTRLRRLVLSGNRFTGRIPEVYGLTGLLILDLSRNFLSGSLPLSIGGLESLLKLDLSNNYLEGKLPRELESLKNLTLLDLRNNRLSGGLTKDIQEMSSLVELVLSNNHLAGDLTGIEWRNLKNLVVLDLSNTGLKGEIPGSVLELKKLRFLGLSNNNLGGKLIPQMETEMPSLSALYVNGNNISGELEFSRKFYEKMGRRLGVWGNPNLCYNGKEIKNLSDHVPFGVNQCKRIKADKY >fgenesh2_kg.2__1136__AT1G68790.1 pep chromosome:v.1.0:2:13353355:13358180:-1 gene:fgenesh2_kg.2__1136__AT1G68790.1 transcript:fgenesh2_kg.2__1136__AT1G68790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQRNRWPETDRKGKAIAFSDEIMTPPPQTVLLREDDDWRKFKEVGLLDEASLERKDRDALIEKILKLEKELFDYQHNMGLLLIEKKKWTSTNVQLQQAYDEATEILKREKTSNVIALNEAEKREENLRKALIAEKQFVAELEKDLKYWQQEHSVVKSTSEEKLAEANALVIGMKEKALEVDRERAIAEEKFSVINRKSSELERKLKEVETREKVFQREHLSLVTEREAHEAVFYKQREDLQEWEKKLTLEEDRLSEVKRSFNHREEIIMEKERTIKKKEKILENLQQKIYISKSELTEKEESIKIKLNDISLKEKDFEAMKAKVDIKEKELHEFEEKLIEREQMEIGKLLDDQKAVLDSRRQEFEMELEQMRRSLDEELKGKKAEIEQLQVEISDNEEKLAKREAALEKMEEGVKEKENDLEAILKTVKEKEKSLKAEEKKLHIENERLHEDKDCLRKLKDEIEEIGAETTKQESRIREEHESLRVTKEERVEFLRLQSELKQQIDKVKQEEEVLLKEREELKQDKERFEKEWEALDQKRADITKEQNEVAEEKEKLRNLQISEKHRLKREEMTSRDNLKRELDGVKMQKESFEADMEDLEKQKRNHDMEFQRQEEEGERDFNERARTYEKRSQEELDNTNYTKKLAQREMEEVQYEKLALEREREQISVQKKLLKEQEAEMHKDITEVDVLRSSLKEQREKFICERERFLVFLEKLKSCSSCGEITENFVLSDLRLPDVEDGDKRFGKQKLKVEEALNISPSAENSRRTSLLGKIASKLLSISPIGKDKITDVGITAKLPESSQPDDTLDIVSGDDHEPSATEQSFTDSRIQDGPEGSLQSEIKSDKPRRGRGRGRGRGRGKSARGRSQATKAASRDSKPSDGEIPRKRQREQTSRITESEQAAGDSDDGVDSTTTGGRRKKRQIAVPVSQTPGQSRYQLRRHRNVGTEEDKAQASMGATKKQESVNGDIRTVPSPKETLTPPQDENREIGKAEVFVETVTHEEIVRVEVETEFKDNNTGNRLVEDQQLEAGGSGEIREHGEDDDENFSMNEDENEGEEEEETERQGDASIGKKIWVFFTT >fgenesh2_kg.2__1138__AT1G68810.1 pep chromosome:v.1.0:2:13388771:13390749:1 gene:fgenesh2_kg.2__1138__AT1G68810.1 transcript:fgenesh2_kg.2__1138__AT1G68810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7KWG6] MCAKKEEAEDSSGAMNNIQNYQNDLFFHQLISHHHDPSQTETFGASGNVGSGFTIFSQDSVSPIWSLPPTTSIQPPFDQFPPPSSSPASFYGSFFNRSRPHHQGLQFGYEGFGGATSAAHHHHEQLRILSEALGPVVQAGSGPFGLQAELGKMTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSILPNTTKTDKASLLAEVIQHVKELKSETSVISETNLIPTESDELTVAFTEEEETGDGRFVIKASLCCEDRSDLLPDMIKTLKAMRLKTLKAEITTVGGRVKNVLFVTGEESSGEEVEEEYCIGTIEEALKAVMEKNKVEESSSSGNAKRQRMSSHNTITIVEQQQQHHQR >fgenesh2_kg.2__1139__AT1G68820.1 pep chromosome:v.1.0:2:13394570:13398034:1 gene:fgenesh2_kg.2__1139__AT1G68820.1 transcript:fgenesh2_kg.2__1139__AT1G68820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRVWKSFQAASAHCLLFSFTLLLALKLDHVVSHSWWFIFTPLWLFHAVIARGRFSLPAPSMPHDRHWAPFHSVMATPLLVAFEILLCVHLEDKYVVDLKIVFLPLLAFEVAILIDNVRMCRTLMPGDEETMSDEAIWETLPHFWVSISMVFFIAATTFTLLKLCGDVAALGWWDLFINFGIAECFAFLVCTKWSNQSIHRYSHIPEPSSSSMVVRYLDWNRGLVVTADDEHQQSNRICGLQDIGGHVMKIPFVTFQIILFMRLEGTPASAKNIPILVLFVPLFLLQGAGVLFATYRLVEKSVLLINSGSGSYGRYFTATSSAREYLGFFQHGARLLGWWSIDEGSREEQARLYSGEATGYNTFSPEVVKKMPKSDLVEEIWRLQAALSEQTDITNYSQQEYERLQNEKILCRVCFEDPINVVLLPCRHHVLCSTCCEKCTKCPICRVLIEERMPVYDV >fgenesh2_kg.2__1141__AT1G68840.1 pep chromosome:v.1.0:2:13414035:13415440:1 gene:fgenesh2_kg.2__1141__AT1G68840.1 transcript:fgenesh2_kg.2__1141__AT1G68840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 domain-containing protein RAP2.8 [Source:UniProtKB/TrEMBL;Acc:D7KWV4] MDSSCIDEISSSTSESFSATATLSPPSHPAAALRLHRMGSGGSSVVLDPENGLETESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEQEEAARSYDIAARRFRGRDAVVNFKNVLEDGDLAFLEAHSKAEIVDMLRKHTYADELEQNNKRRLFLSVDANGKRNGSSTTQNDNVLKTREVLFEKAVTPSDVGKLNRLVIPKQHAEKHFPLPSPSPAVTKGVLINFEDVNGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLQAGDVVTFERSTGLDRQLYIDWKARSGPREDPVHVVVRLFGVDIFNATTVKPNDVVAVCGGKRSRDVDDMFALRCSKKQAIINAL >fgenesh2_kg.2__1142__AT1G68850.1 pep chromosome:v.1.0:2:13426995:13428585:-1 gene:fgenesh2_kg.2__1142__AT1G68850.1 transcript:fgenesh2_kg.2__1142__AT1G68850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7KWV7] MRLLLVFFMVLAIFIPCFSFDIPGKDLPLILDYYKSTCPTVFDVIKKEMECIVKEDPRNAAIIIRLHFHDCFVQGCDGSVLLDETATLQGEKKASPNINSLKGYNIVDRIKNIIESECPGVVSCADLLTIGARDATILVGGPYWDVPVGRKDSKTASYELATTNLPTPEEGLISIIAKFYSQGLSVEDMVALIGAHTIGKAQCRNFRSRIYGDFQVTSALNPVSETYLASLREICPASSGEGDSNVTAMDNVTPNLFDNSIYHTLLRGEGLLNSDQEMYTSLFGIQTRRIVSKYAEDPVAFFEQFSKSMVKMGNILNSESFADGEVRRNCRFVNT >fgenesh2_kg.2__1143__AT1G68870.1 pep chromosome:v.1.0:2:13432862:13433499:1 gene:fgenesh2_kg.2__1143__AT1G68870.1 transcript:fgenesh2_kg.2__1143__AT1G68870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRIHGGAEEKSSCESGWTMYIEDTFHGNHHSEVVYEDDDDGDDGFCVKEVDDEDDDGDGDEDDDDNSNNESDDSMTSDASSWPSTHQPPRSTKNHAAAKNSNAKQVNHQTENRVRDRFSDEGEESELKARTRTTAASRVKVSKTK >fgenesh2_kg.2__1145__AT1G68880.1 pep chromosome:v.1.0:2:13440626:13441018:-1 gene:fgenesh2_kg.2__1145__AT1G68880.1 transcript:fgenesh2_kg.2__1145__AT1G68880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7KWW1] MAGFVYNLPCENPNPKSLFQTFVDRVPLLNFPATSEDSSRNAEDDERKRRRKVSNRESARRSRMRKQRHMDELWSMLVQLINKNKCLVDELSRAREGYEKVIEENMKLREENSKSRETIGEIGLNWFPNV >fgenesh2_kg.2__1147__AT1G68905.1 pep chromosome:v.1.0:2:13454338:13454701:-1 gene:fgenesh2_kg.2__1147__AT1G68905.1 transcript:fgenesh2_kg.2__1147__AT1G68905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSLKLVFLFSLTIIAFCSSLGDAREMVKEEVNCIGGKCPKGKKNCNCLPPIAHKMDNRPATSTVLGNASLEPVSVRVTMVVHVPVER >fgenesh2_kg.2__1149__AT1G68910.1 pep chromosome:v.1.0:2:13456137:13458506:-1 gene:fgenesh2_kg.2__1149__AT1G68910.1 transcript:fgenesh2_kg.2__1149__AT1G68910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIIREEHGEAVSSRSEEEQEMLRMLTKLEIDSAYTSEKLLNLHVLLMHLLAWDNDLEGMGTPDSSPASFEKALAFDLLCGILESELKEVDEVLDVLEAQIVDTSYKISSCKHGNYIVVEGKLGESAESLKQSRGQVSEITLQLAQLRRTLHYIRNGTSENEESVELRQKYALKPSDLRHKNALRMLEKSLSRELELEKKLMEFQQNEEQLKLKLHYTEEVSSRMEEASEFIWGRFLEADNSSEVLTGISKELVGRLQILQFSLNGSAQRESELKSKLEDCTVQLETKDLLVQKLEGTIAANSEIVSEVLTLREYVKSAEQKLKNTELELKSVNASKQEILVHLAEMENANESVKENLYEAESRAETGEAKIKELNAANVELTEELNFLKDADDKKTKKVNSLEKQVRELEVQVQNSKVSSEASQEQQNMLYSAIWDMETLIEDLKSKASKAESRMETVEEQCIVLSTTNSELNKDVTFLRQKAKSIEALLDVANDEKERYAQEITTRNKVLMDMLLQLSSERERIQEQLYSLAKENKILRVNQCSNTYQKNGSYAGDKELPFHADGHGIEALAESLQKDERTRKEPENQSVSEKSSTCTEIRRASNLKHIPVLALVFVLLCSFFGVTY >fgenesh2_kg.2__114__AT1G63670.2 pep chromosome:v.1.0:2:766181:769415:1 gene:fgenesh2_kg.2__114__AT1G63670.2 transcript:fgenesh2_kg.2__114__AT1G63670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRSPRSSKSPGPAIFPKSPLVYESYKSGCGWKLIKFFDFGHVKSGNKRLGSEKKKFIRDSAGNVYTKSQLDLLKKLHEKCQCNDRIVEEESSCKPKTRRRSLSSERELEKYESKPVQGLLEREIRRIKNLKEETCDVPDMQKQSSLSEIEKTNDKDNQIDLKNGRDCKKSAEINLQACVNEAAETFICSKAEEKGKDRSKQFMEALDILSSNKELFITLLQDPNSFSKKGQDLEGCKVKDPRDNSPSRDDDLDNIVLLKPRLSSSVDDKVYLRFKHLAKKLKLVVGSNKDSNHAENKPEGSGKGRETEAAAFRTSDVSTSTFGHRSPESPVFRRKKRVESDVFKLSIENDVLPRRFMVERQQERLNSSPVYEVPYQLSSLQTKLKERRQKLEKKRESFKLWSLDKNFEVFDPNPYNSNLRSLDGNCTGSAEYKSLRTLVEDGFVKDRCLESSLAESNSSLERQDCNIHDPKQEQEQPSPVSVLERIHLEDETVIPGNVKISNLEEKIGLSFDDSNIELVEKESVHEFVKKVLEASRLNWTNLMARCNEETSLLDEFSHGNHNDQLLLVLDYTDEILREIYRQDIKFWPFSPSKSSRVVNLQSSLREKDLIHETMRHFDWSLLCSDSPTRSLDQIIETDIIKPCLWLDFGGESEGVVSDIVEKIQQQLVLEISHELRTMQRSILCRLI >fgenesh2_kg.2__1151__AT1G68920.3 pep chromosome:v.1.0:2:13462976:13465922:1 gene:fgenesh2_kg.2__1151__AT1G68920.3 transcript:fgenesh2_kg.2__1151__AT1G68920.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7KWW6] MDLSEKDEFSAEKRNPDNYDSVNNPSGDWRVDSYPSENLISAGPASCSPSQMMDSFGQTLWYDPTSVQAMGYGGFNGGNASSSSFRGNIDRSLEMGWNLPNLLPPKGNGLFLPNASTFLPPSMAQFPADSGFIERAARFSLFSGGNFSDMVNQPLGNPESIGLFLQGGGTMQGQCQCDELNVGEPHNDVSTAVKDPTVRSCEQAKPNVPGSGNVSEDTQSSGGNGRKGRETSSNTKKRKRNGQVNSEAAQSHRSQQSEEEPDNNGDKKRNSEQSPNSPGNKTNSGKRQGKQSSDLPKDGYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQMDFNLEGLLAKDALQLRAGSSSTTTPFTPNMAMAYPPLPHGFMQQTLSSIGRTISSPLSSMNGGFKRQETNGWEGDLQNVIHINYGAGDVTPDPQAAATASLPAANMKVEP >fgenesh2_kg.2__1154__AT1G68930.1 pep chromosome:v.1.0:2:13467918:13470140:1 gene:fgenesh2_kg.2__1154__AT1G68930.1 transcript:fgenesh2_kg.2__1154__AT1G68930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KWW7] MASNYYSAQIKQCIGLGASRHVKMIHGNIIRTLPHPETFLHNNIVHAYALIRSSIYARRVFDGIPQPNLFSWNNLLLAYSKSGHLSEMERTFEKLPDRDGVTWNVLIEGYSLSGLVGAAVKAYNTMMKDFSSNLTRVTLMTMLKLSSSNGHVSLGKQIHGQVIKLGFESYLLVGSPLLDMYSKVGCISDAKKVFYGLDDRNTVMYNTLMGGLLACGMIEDALQLFRGMEKDSVSWSAMIKGLAQNGMEKEAIECFREMKIEGLKMDQYPFGSVLPACGGLGAINDGRQIHACIIRTNLQDHIYVGSALIDMYCKCKCLHYAKTVFDRMKQKNVVSWTAMVVGYGQTGRAGEAVKIFLDMQRSGIDPDHYTLGQAISACANISSLEEGSQFHGKAITAGLIHYITVSNSLVTLYGKCGDIDDSTRLFNEMNVRDEVSWTAMVSAYAQFGRAVEAIQLFDKMVQLGLKPDGVTLTGVISACSRAGLVEKGQRYFELMINEYGIVPSNGHYSCMIDLFSRSGRIEEAMGFINGMPFRPDAIGWTTLLSACRNKGNLEIGKWAAESLIELDPHHPAGYTLLSSIYASKGKWDCVAQLRRGMKEKNVRKEPGQSWIKWKGKLHSFSADDESSPYSDQIYAKLEELYQKIIDNGYKPDTSFVHHDVEEAVKIKMLNCHSERLAIAFGLIFVPSGLPIRVGKNLRVCVDCHNATKHISSVTGREILVRDAVRFHRFKDGTCSCGDFW >fgenesh2_kg.2__1157__AT1G68980.1 pep chromosome:v.1.0:2:13506122:13508015:1 gene:fgenesh2_kg.2__1157__AT1G68980.1 transcript:fgenesh2_kg.2__1157__AT1G68980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KWX2] MLRKTLTLISLRRPFSSISRKPSPKTLTPHQKSSFESTLHHSLITHDTDQAWKVFRSFAAASSLPDKRLLNSLITHLSSLHHADQNTSLRHRLKRAFVSTTYVIEKDPILLEFETIRTVLESMKLAKTSGPALALVECMFKNRYFVPFDLWGRLIIDICSETGSLAAFLKVFRESCRIAVYEKLDFMKPDLVASNAALEACCWQLESLADAEDVIESMAVLGVKPDESSFGFLAYLYARKGLREKISEIENSMDGFGFVSRRILYSNVISGYVKSGDLDSVSDVILHSLKRGGEDSSFREETYCELVKGFIESKSVKCLAKLIIEAQKLESLSIDVNGSVGFGIVNACVKLGFSGKSILDEMNAQGGSGGIGVYVPILKAYCKEGGTAEATQLVTEISSSGLQLDVETYNTMIEFSMTKQDFLSALTLFKDMRETKVSDLKRCYLTIMTGLLENQRPDLMAEFVEEVVEDPRVEVKSHDWNSIIHAFCKSGRLEDAKSTFRRMAFLQYEPNNQTYLSLINGYVSCEKYFEVVVLWKEFKDKKAKLEHALADAFLNALVKGGFFGTALQVIEKCQEMKIFVDKWRYKATFMETQKNLRLPKLRKRKMKKMEFLDAFKNWAGLTT >fgenesh2_kg.2__1158__AT1G68990.1 pep chromosome:v.1.0:2:13508411:13514071:-1 gene:fgenesh2_kg.2__1158__AT1G68990.1 transcript:fgenesh2_kg.2__1158__AT1G68990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:D7KWX3] MWRNILGRASLRKVKFLSDSSSGTHFQVNRVRGILSSVNLIGVRNGLSINPIDEFGGLSSFRYGQCYVFEGYATAAQAIDSTDPEDESSGSDEVNELITEMEKETERIRKKARLAATPPKRVIAGMGAQKYYMLRQRQVKMETEEWERAARECREILADMCEQKLAPNLPYMKTLFLGWFEPVRNAIQADLDLFKIKKGKIPYAPFMEQLPADKMAVITMNKMMGLLMTNVEGVGIVKLVNAATQIGEAVEQEVRINSFLQKNNKKNATGKTINTEAVHVSEETVAKETEKARKRATVLMEKNKLREVKVLVRKHDSFKPWGQEAQVKVGARLIQLLIENAYIQPPAEQFDDGPPDIRPAFKQNFRTVTLENTKTSRRYGCIECDPLVLKGLDKSARHMVIPYLPMLIPPQNWTGYDQGAHLFLPSFIMRTHGAIQQRTVMRRTPKEQLEPVFEALDTLGNTKWRINKKVLSLVDRIWANGGRLGGLVDREDVPVPEEPEREDHEKFKNWKWESKKAIKQNNERHSQRCDIELKLEVARKMKDEEGFYYPHNVDFRGRAYPIHPYLNHLGSDLCRGILEFCEGKPLGKSGLRWLKIHIANLYAGGVDKLAYEDRIAFTESHLEDIYDSSDRPLEGKRWWLNAEDPFQCLAACINLSEALRSPFPEAAISHIPIHQDGSCNGLQHYAALGRDKLGADAVNLVTGEKPADVYAEIAARVFKIMQQDAKEDPETFPNATYAKLMLDQVDRKLVKQTVMTSVYGVTYSGARDQIKKRLKERGTFEDDSLTFHAACYAAKITLKALEEMFEAARAIKSWFGDCAKIIASENKAVCWTTPLGLPVVQPYRKPGRHLVKTTLQVLTLSRETDKVAARRQMTAFAPNFIHSLDGSHMMMTAVACNRVGLSFAGVHDSFWTHACDVEIMNTILREKFVELYEKPILENLLESFQKSFPDISFPPLPERGDFDLRKVLQSPYFFN >fgenesh2_kg.2__1159__AT1G69010.1 pep chromosome:v.1.0:2:13515864:13517830:1 gene:fgenesh2_kg.2__1159__AT1G69010.1 transcript:fgenesh2_kg.2__1159__AT1G69010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KWX4] MRTGKGNQEEEDYGEEDFSSKREGPSSNTTVHSNTDSKENDKASAIRSKHSVTEQRRRSKINERFQILRELIPNSEQKRDTASFLLEVIDYVQYLQEKVQKYEGSYPGWSQEPTKLTPWRNNHWRVQSLANHPVAINNGSGPGIPFPGKFEENTVTSTPAIVAEPQIPIESDKGRAITGISIESQPELDDKGLPPLQPILPMVQGEQANECPATSDGLRQSNDLVIEGGTISISSAYSHELLNSLTQALQNAGIDLSHAKLSVQIDLGKRANQGLTHDEPSSKNPLSSDTQARDPSIEEESEHSHKRM >fgenesh2_kg.2__1160__AT1G69020.1 pep chromosome:v.1.0:2:13517897:13521284:-1 gene:fgenesh2_kg.2__1160__AT1G69020.1 transcript:fgenesh2_kg.2__1160__AT1G69020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein [Source:UniProtKB/TrEMBL;Acc:D7KWX5] MAVTSLLRRYKPATISSVLSFSTQCFVGRTSSLSVPAEAPPVPKKIPLAISSHGITRQDPYRWMKNTDDSDFVDFLKRENSYAQAFMADTETLRRDLVSEMKTRIPEELFTPPERWGQWSYRQYIPKAKEYPLLCRRLEKVKTNWLSGLFRGGEEEVVLDWNQIAEQFGYVHVGVCRVSPDHNYLAYTVDPEGDGITLFYTVADENQRPHRVVVTNVESDGRDDAVVFTERDSSFCVDITTTKDGKFVTINSNSRTSSEVYIVNADKPMTGLQRARERVPGVQCFLEHHNGFFYILTNSPSNAISEWSGEGYYLTRCLVEGKEASDWQTVFCPDDDVVIQDMDMFNDYLVLFLNKNGLPMLCSIDMPIKAHTKHMDDLVPWYFPLPFDSCSVAPGSNHNFQSSIYRVVLSSPVIPDTIVDYDVSRRLFSIVQQEGGVLDNSDSSKPWYAADRSIENSDKLNDRTSEGEDGPRMPKWEDSSDAYVCERQEVSSHDGVEVPLTILYSREAWKKSESPGMLIGYGAYGEVLDKSWCTNRLSMLDRGWVIAFADVRGGGSGDFSWHKSGTRLLKQNSIQDFIYSAKYLIEKGYVHRHHLAAIGYSAGAILPAAAMNMHPSLFQAAILKVPFVDVLNTLSDPNLPLTLLDHEEFGNPDNLTDFRSILSYSPYDNIRKDVCYPSMLVTTSFHDSRVGVWEGAKWVAKIRDSTCHDCSRAVILRTNMNGGHFGEGGRYAQCEETAFDYAFLLKVMGYHNNR >fgenesh2_kg.2__1162__AT1G69040.2 pep chromosome:v.1.0:2:13539825:13542313:1 gene:fgenesh2_kg.2__1162__AT1G69040.2 transcript:fgenesh2_kg.2__1162__AT1G69040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGMSFSQDMDNEYEKLIRRMNPPRVVIDNDSCKKATVIRVDSANEYGILLEVVQILTDLNLTITKAYISSDGGWFMDVFNVTDQDGNKVTDEVVLDYIQKSLGPEACFSTSMRSVGVIPSTDSTVIELTGCDRPGLLSELSAVLTHLKCSVLNAEVWTHNTRAAAVMQVTDDSTGCGISDPERLSRIKNLLRNVLKGSNTPREAKTVVSHGEVHTDRRLHQMMFEDRDYEHRLVDDDSSIQDERQRPDVCVDNWLDKDYSVVTVRCKDRPKLLFDTVCTLTDMQYVVFHGSVDTEGTEAYQEYYVRHIDGSPVKSEAEKQRVIQCLEAAIKRRVSEGLKLELCTSDRVGLLSNVTRIFRENSLTVTRAEVKTKGGKALNTFYVSDASGYSIDAKTIDSIRQTIGQTILKVKNNPQEQQQRQKSPSHESPTRFLFGGLFKSKSFVNFGLVRSYS >fgenesh2_kg.2__1164__AT1G69050.1 pep chromosome:v.1.0:2:13549969:13550469:1 gene:fgenesh2_kg.2__1164__AT1G69050.1 transcript:fgenesh2_kg.2__1164__AT1G69050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGRKGDPFPGFEMRVHNDDCVFRRSLSCRLQRRAPCPLLLPPRPPPSAEAPSTTTNVSASTFCQNGTDPIPLLSPLVLPSMLQPNPTTTSH >fgenesh2_kg.2__1165__AT1G69060.1 pep chromosome:v.1.0:2:13552221:13555264:1 gene:fgenesh2_kg.2__1165__AT1G69060.1 transcript:fgenesh2_kg.2__1165__AT1G69060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7KWX9] MEEFILVDDDEVIILDFPESSKCKAPGTSRTRKESILPRVISIDDDDDETENVQKAGSSSSSNLQTSAEVDNDDCQFIQEKCATFRFSKCKQTFSTMPSSGIRFGLGSDTDSDFSESDCSDCEILEGSHREVREQWEQAALLRKMKKAGKAGLSEEAGPSNLHSDTSFRPGFGRTEQNDQTSFFFAARTPDGGKAGLSEEAGPSNLHCDNNFRSGFESRTEQHDQTSFFFAASNPDGEKETSSTFFGTDGRIPDMATYFGSVMEEDSRAKHCAKSSFFNKEDFRGPSPLSPEIRAEHERFDTPSSHFTSPKEPIHQTSFKKVVEQPNKVQVQCETSQSPEENQTKNAAYQSYTKEVENEEDASKFSPPETSDGGGDTTHVLGTQSNGARCEHGISSLDESREPIIDPIPSTSGQMQGSNGTAPAIDVMLNREMLKETDEYKKAQEEEWESRQRQLQIQADEAQKQRKRRKLENTRQLEMERRQKERVEEVRETQKKDEESMNMKEKVRAEITKSLKLLELKSFNMASLLRGLGIQVGGGIYPLPHEVHAAYKRAVLKFHPDRASRGDIKQQVEAEEKFKLIARMKDKFKLIAH >fgenesh2_kg.2__1168__AT1G69080.1 pep chromosome:v.1.0:2:13559876:13561128:-1 gene:fgenesh2_kg.2__1168__AT1G69080.1 transcript:fgenesh2_kg.2__1168__AT1G69080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein family protein [Source:UniProtKB/TrEMBL;Acc:D7KWY1] MGKKGEGFFLSRIRANVRVQPPTTTSQHGCSHEPPTTSIGIKGRRIMVVVDSCSEAKNALLWTLSHCAQPQDSILLLHFIKAKTSQSGDLANKKEGEDESCDKPTTSRADKKVSALKTMCELKRPEVKTEVVVVKGDEKGPTIVKEAREREASLLVLGQKKQHATWRLLMVWASQSRPAPKHDFVEYCINNSPCMAIAVRKRGKKLGGYTLTTKRHKDFWLLA >fgenesh2_kg.2__1169__AT1G69120.1 pep chromosome:v.1.0:2:13573222:13577361:-1 gene:fgenesh2_kg.2__1169__AT1G69120.1 transcript:fgenesh2_kg.2__1169__AT1G69120.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AP1 description:Floral homeotic protein APETALA 1 [Source:UniProtKB/Swiss-Prot;Acc:D7KWY6] MGRGRVQLKRIENKINRQVTFSKRRAGLLKKAHEISVLCDAEVALVVFSHKGKLFEYSTDSCMEKILERYERYSYAERQLIAPESDVNTNWSMEYNRLKAKIELLERNQRHYLGEDLNAMSPKELQNLEQQLDTALKHIRTRKNQLMYESINELQRKEKAIQEQNSMLSKQIKEREKILRAQQEQWDQQNHGHNMPPPPPPQQHQIQHPYMLSHQPSPFLNMGGLYQEEDPMAMRRNELELTLEPVYNCNLGCFAA >fgenesh2_kg.2__1170__AT1G69160.1 pep chromosome:v.1.0:2:13617133:13618414:1 gene:fgenesh2_kg.2__1170__AT1G69160.1 transcript:fgenesh2_kg.2__1170__AT1G69160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKGISSAEPDKLSRRISLTNKRNSEELDVFEAAVYFGYNEASSGDHRPTQKYGYNGAREENPRRWGILGGGGRRISLDLPIRCSEQVHHLQQDHHEKHEVTTIKERLGNVRHKQPSSPGGKIASFLNSLFHQASSKKNKSKSKSKTKPTDPEVEEEIPGGGWMRRRRRSSISHFLSSSRSNSITTTTTASSSSKSLMSSSSSGFRTPPPYLNTPTKNYKQFLNYTSATKQVGEEEKKTNKEFSWLDEKLKVMESLSENQRIWADDDDDDRRIKREGNDDGMESDSSSDLFELQNYELSRGGLPVYETTNVANINNTHI >fgenesh2_kg.2__1172__AT1G69180.1 pep chromosome:v.1.0:2:13626932:13628536:-1 gene:fgenesh2_kg.2__1172__AT1G69180.1 transcript:fgenesh2_kg.2__1172__AT1G69180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEEKPTMAASRASPQTEHLYYVRCSICNTILAVGIPLKRMLDTVTVKCGHCGNLSFLTTTPPLQGHVSLTLQMQSFGGSEYKKGSSSSSSSSTSSDQPPSPTPPFVVKPPEKKQRLPSAYNRFMRDEIQRIKSANPEIPHREAFSAAAKNWAKYIPNSPTSITSGGHNMIHGLGFGEKK >fgenesh2_kg.2__1173__AT1G69190.1 pep chromosome:v.1.0:2:13634281:13635944:-1 gene:fgenesh2_kg.2__1173__AT1G69190.1 transcript:fgenesh2_kg.2__1173__AT1G69190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydropteroate synthase [Source:UniProtKB/TrEMBL;Acc:D7KWZ1] MDFASLETTTLEEVVIALGSNVGNRMNNFREALRLMKDYGICVIRHSCLYETEPVHVTNQPRFLNAAIRGTTKHEPHELLNILKKIEKEMGRKENGLRYGPRPLDLDILFYGKHRITSDKLIIPHERIWERPFVLAPLVDLLGTEDIDNDHIVSYWHSLSIHPGGVFQAWERLGGESLLAKGGIQRVVPIGDDLWDFSKKTYVMGILNLTPDSFSDGGKFQSIDSAVSQVRLMISEGVDIIDIGAQSTRPMASRISTQEEIDRLIPVLKVVRGMAEMKGKLISVDTFNSEVALEAIRNGADILNDVSGGSLDPNMHMVIADSDVPYMIMHMRGDPCTMQNKENLEYNDICKDVASELYERVREAELSGIPAWRIMIDPGIGFSKGIAHNLEIVMELPKIREEMGKKSIGLSHAPILIGPSRKRFLGDICGRPEASERDPATVACVTAGILKGATIIRVHNVRDNVDAARLCDAMMKKKGSKWTISI >fgenesh2_kg.2__1174__AT1G69200.1 pep chromosome:v.1.0:2:13637667:13640277:1 gene:fgenesh2_kg.2__1174__AT1G69200.1 transcript:fgenesh2_kg.2__1174__AT1G69200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-type carbohydrate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KWZ2] MASLSFTQFLSFPRCNADVPCLLQSLGFVKFRGERWNGKQSFPMAAGRRKLSESAPLEDEGNDGNGAVGGKKPSKSVRRTTKKKVVATDEPLEESSQLLVDSDGVLDKESTVSALKPKTRTRKKAAAASSDVEEVKTEKKVRRKRTVKKDKEMEDDLATSTHDEVSDVEEALAVESTDTESEEEEIDLSKHDSEDISHTYGWPPLVCCFGSAQHAFVPSGRPANRLLDYELHKRMSDAKWAPEKYIRAPGGCAGGVAIALASLGGKVAFMGKLGDDDYGQAMLYYLNVCKVQTRSVKIDGKRVTACSTMKISKRGRLKSTCIKPCAEDSLSKSEINVDVLKEAKLFYFSTHSLLDKKMMSTTIQAIKISKQLGTVIFYDLNLPLPLWQSSEETKSFIQEVWDLADIIEITKQELEFLCGIEPTEEFDTENNDISKFVHYPPETVEQLWHENLKVLFVTNGTSKIHYYTKEHNGAVSGMEDAPITPFTRDMSASGDGIVAGLIRMLTVQPDLMNNKGYLERTARYAIECGVVDQWLQAQTRGYPPKDDMEEEDDDEEDEVESDPNGIRSITEREYQTSKPYDEPDGPYVMKPVEEREYKKLELVGSMFEDGSL >fgenesh2_kg.2__1179__AT1G69230.1 pep chromosome:v.1.0:2:13648780:13650046:-1 gene:fgenesh2_kg.2__1179__AT1G69230.1 transcript:fgenesh2_kg.2__1179__AT1G69230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSAGGGQSSLGYLFGSGEAPKPSTVNKAPAETQSSAPAPPLQTAAPKAVDSIKQVPAGLNSNSTNNYMRAEGQNTGNFITDRPSTKVHSAPGGGSSLNYLFGGGSN >fgenesh2_kg.2__1181__AT1G69240.1 pep chromosome:v.1.0:2:13650325:13652467:-1 gene:fgenesh2_kg.2__1181__AT1G69240.1 transcript:fgenesh2_kg.2__1181__AT1G69240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7KWZ5] MGNSLRCISQEQDPNQKKPSSVVNGNSSEKHVRRLSLIPSFRRRTLLPSLSCSGSSTSSTSKKGGIKTKKKIRERHHQEHHHDHEKDSLIQEQTLAATNILFSQTPRNSNSAPPFRRSTSVVYTQPPPAAVAASVGSVSGALTPKKSTYGYVRSSSNRQRSSTDPVLKPNQLLDKELKVEGAETKRFVLVHGGGFGAWCWYKTITLLEKHGFQVDAVDLTGSGVSSFDTNNITSLSQYVKPLLHFFDTLKPTEKVILVGHDFGGACMSYAMEMYPSKISKAIFISAAMLANAQSTLDLFNQQPDSNYDLMEQVHLFLYANGKKNPPTAVDFDRSLLRDFFFNQSPPKDVALASVSMRPIPFAPVVEKLHVSEKNYGSIRRFYIKTMEDDYAVPVSLQDAMIKSNPPEQVFNLKGSDHAPFFSRPQSLNRILVEISQLPPKKSS >fgenesh2_kg.2__1183__AT1G69270.1 pep chromosome:v.1.0:2:13666345:13668390:-1 gene:fgenesh2_kg.2__1183__AT1G69270.1 transcript:fgenesh2_kg.2__1183__AT1G69270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILGLVFLLFNLFVFSFSRKLLTESGLHDEAVLLKLKSSFFDPNGVLSSWVSDSSSNHCSWYGVSCNSDLRVVSLILRGCDELEGSGVLHFPDLSSCSSSRRRLGGVISPVVGDLSEIRVLSLSFNDLRGEIPKEIWGLEKLEILDLKGNSFIGGIRVLDNVVLRKLMSFEDEDEIGPSSADDSPGKPGLYPIEIASIVSASVIVFVLLVLVLLFLYTKKWKRNSQIQVDEIKEIKVFVDIGIPLTYEIIVRATGYFSNSNCIGHGGFGSTYKAEVSPTNVFAVKRLSVGRFQGDQQFHAEISALEMVRHPNLVMLIGYHASETEMFLIYNYLSGGNLQDFIKERSKAAIEWKVLHKIALDVARALAYLHEQCSPKVLHRDIKPSNILLDNNYNAYLSDFGLSKLLGTSQSHVTTGVAGTFGYVAPEYAMTCRVSEKADVYSYGIVLLELISDKRALDPSFSSHENGFNIVSWAHMMLSQGKAKDVFTKGLWETSPPDDLVEVLHLALKCTVDSLSIRPTMKQAVRLLKRIQPSRL >fgenesh2_kg.2__1186__AT1G69310.1 pep chromosome:v.1.0:2:13681176:13684797:-1 gene:fgenesh2_kg.2__1186__AT1G69310.1 transcript:fgenesh2_kg.2__1186__AT1G69310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPDNPDLTNDDSAWRQLTSQDSDFFDRDTSNILSDFGWNLHHSSDHQHSLRFDSDLTPTTGVKPTTVTSSCSSSAAVSVAVTSNNNNPSATSSSSEDLAENSTASAEKTPPPETPVKEKKKAQKRIRQPRFAFMTKSDVDNLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSRCTVKKRVERSSEDPSIVITTYEGQHCHQTIGFPRGGILTSHDPHSFTSHHHLPPPLPNPYYYQELLHQLHRDNNAPSPRLPKSTTEDALAVSNPSEEGLLGDIVPQTMRNP >fgenesh2_kg.2__1190__AT1G69330.1 pep chromosome:v.1.0:2:13693459:13695105:-1 gene:fgenesh2_kg.2__1190__AT1G69330.1 transcript:fgenesh2_kg.2__1190__AT1G69330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFASNVIGSMGLKKSPKDPAQASSQCSDDEVSNVSRDEEGLECPICWESFNIVENVPYVLWCGHTLCQNCVFGLQSAVLRLSSQDIRIPFFVSCPWCQLLSFRIVYKGNLKFPRKNFFLLWMVESLNGDRTSHASLVSDNQQSVPTPRCSMSLGNQSSNNNLIARPLLRNQSTELLPHHDHSNQPSRQHFSFHKSLDFFISFTSKFPFVIIFLLIVFFAIPGSLIILALYFLLTILFAVPAGLVLYFAYPILERLVHEITSS >fgenesh2_kg.2__1191__AT1G69340.1 pep chromosome:v.1.0:2:13695805:13699887:1 gene:fgenesh2_kg.2__1191__AT1G69340.1 transcript:fgenesh2_kg.2__1191__AT1G69340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Appr-1-p processing enzyme family protein [Source:UniProtKB/TrEMBL;Acc:D7KX05] MMYQAIPTAATIRGGTPTESGDYVVTLDQIPRWSDVEQRSSLEGETGDPAHSNPRYANPLASSSEAGSSGNGMVSKFPVDHEINSRIYLWRGEPWNLEVDAVVNSTNENLDEAHSSPGLHVAAGPGLAEQCATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIDSGLQSIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKISAVVFCTTTSSDTEIYKRLLPLYFPRDEHEEEVAISKLPADVGDENGETVIDERKIRIQALPNKPSPRSFPTPLERPSTDLTLLRRNSNHLDSYLDPAFMSLIKDPDERRKEQWEKTAQAQSGFNFVKLLGFGDLGGPPLSAAEEYSLHSRYLAKANSLNLSEIAEMKIVYRGGVDTEGHPVMVVVGAHFLLRCLDLERFVLYVIKEFEPVIQKPYSIVYFHSAASLQVQPDLGWMKRLQQILGRKHQRNLQAIYVLHPTFHLKATILTMQFFVDNVVWKKVVYADRLLQLFKYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYQRP >fgenesh2_kg.2__1195__AT1G69380.1 pep chromosome:v.1.0:2:13723318:13725436:-1 gene:fgenesh2_kg.2__1195__AT1G69380.1 transcript:fgenesh2_kg.2__1195__AT1G69380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKWRAVAALLLRNQLLNSSKRLNLSSPYVSKHHPAIGLASRFLDFRHFSAFPSPISIYNNDSDSGSTDAYQNYEFGTHEEEELGKIPIKAYFLSTGIDLKAMQAENLCNVVPPTSRSTNSIALKFSDFTPSGIHTMDERESVSNCRFMVVFQYGSAILFNVDDNDVDRYLDIVRRHASGLLTEMRKDDYAVKEKPLLTEEMKGGHDYIVLKTLDTNSIRIIGSVLGQSIALDYFVSQVNKLVEEFADINRAMAKTGTFTMTRKKLFQLVGKANSNLGDVILKVGLFERSEIAWREARYAQIYEYLREEYEVTQRFGDLDYKLKFIEHNIHFLQEVLQNRRSDLLEWCIIFLLTIENAIGIYEILRESAGASL >fgenesh2_kg.2__1196__AT1G69390.1 pep chromosome:v.1.0:2:13728639:13730223:1 gene:fgenesh2_kg.2__1196__AT1G69390.1 transcript:fgenesh2_kg.2__1196__AT1G69390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSGTLRISATLVSPYHHHHPNRLSFPSSSSKVDFTVFVSNGANNLETQKCTPGLAISRENTCGHVKVLARNTGDYELSPSPAEQEIESFLYNAINMGFFDRLNLAWKIIFPSHASRRSSNARIAKQRLKMILFSDRCDVSDEAKRKIVNNIIHALSDFVEIESEEKVQLNVSTDSDLGTIYSVTVPVRRVKPEYQDVDEAGTITNVEYKDTRDGSVDVKFDFYVPE >fgenesh2_kg.2__1198__AT1G69400.1 pep chromosome:v.1.0:2:13732812:13735126:1 gene:fgenesh2_kg.2__1198__AT1G69400.1 transcript:fgenesh2_kg.2__1198__AT1G69400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7KX11] MSGDRLQFENPIEDAVSRLRFSPESNNLLVASWDSYLRLYNVESSSLSLELYSQAALLDCCFENESTSFTSGSDGFIRRYDLNAGTVDTIGRHDDIATSIVYSYEKGEVISTGFDEKIKFWDTRKRESLVFSTDAGAAVGCITVSGNNLVVCVDASMHIYDLRNLDEAFQSYASQVEVPISCITSVPYSRGYAVGSVDGQVAVDFSDTSCSNEIKYSFRCHPKCRNGRLDGVCINAIEFSPCGSGTFVTGDNEGYVISWNAKSRRRLFELPRYSNSIASLAFNHTGELLAITSSHTYQEAKENEEEAPQVFIQRF >fgenesh2_kg.2__1200__AT1G69420.1 pep chromosome:v.1.0:2:13740041:13743750:1 gene:fgenesh2_kg.2__1200__AT1G69420.1 transcript:fgenesh2_kg.2__1200__AT1G69420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KX13] MRKHGWELPYHPLQVVAVAVFLALGFAFYVFFAPFVGKKIHQYIAMGIYTPLITCVVGLYIWCAASDPADRGVFRSKKYLKIPENGKFPLSKDIKDGCGSATGGAKSRDGTCVEDPENETNKKLESSEKSTLLRSLCSPCALLCSCCGGRDESSEQMSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCIGKRNYRKFFSLMVSAIFLLIMQWSTGIFVLVLCLLRRNQFNADIALKLGSSFSLIPFVIVVGVCTVLAMLATLPLAQLFFFHILLIKKGISTYDYIVALREQEQELEAGGGQQSPQMSMISSFTGLSSASSFNTFHRGAWCTPPRLFLEDQFDVVPPENASVSSYGKKSVVEERVKKKPQPVKISPWTLARLNAEEVSKAAAEARKKSKIIQPVARRENPFVGLEASSSFGSSGRRMFPAKFESVNNSGKQRRQSKRIRLPAELPLEPLMNVQTKAAMETSTSAGLAPLQLEARSAFQTSRAMSGSGNVMVTSSPESSLDSHDIHPFRVSSEAEDAAQLNGFSSAVGLMGQQRGQQQQQQLSMMMMPLSRSTSDGYDASGGEDSDQVPSRNIHKSR >fgenesh2_kg.2__1202__AT1G69440.1 pep chromosome:v.1.0:2:13748413:13752004:-1 gene:fgenesh2_kg.2__1202__AT1G69440.1 transcript:fgenesh2_kg.2__1202__AT1G69440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTQSHHHHHSSNKNNPNSKSRTPLLHKPCHHHHVQTNPPPFLLHPSSHQNLNLVASNLPSSYYYYYYCYFYSQFHNSLPPPPPLLPLPPPPLLPLPPPHSMTRFHKSLPVSQVVERKQQQQQKKKIQVSNNKASGSIAIEEAALVVAKRPDFGGQEGSVIYLLANHFLVKFDSSQRIYHYNVEISPQPSKEIARMIKQKLVETDVNSFSGVVPAFDGRQNIYSPVEFQGDRLEFFVNLSIPSCKGVMNYGDLREKQPQKKIDKLFRVNMRLVSKFDGKEQRKEGEDWAPLPPEYIHALDVILRENPMEKCTSIGRSFYSSSMGGSKEIGGGAVGLRGFFQSLRQTQQGLALNMDLSITAFHESIGVIAYLQKRLEFLKDLSRNKDTELNLEEKREVEKALKNIRIFVCHRETVQRYRVYGLTEEITDNIWFPDRDGKNLRLMSYFKDHYGYEIQYKNLPCLQISRARPCYLPMELCMICEGQKFLGKLSDDQAAKIMKMGCQKPNERKAIIDKVMTGLVGPSSGNQTREFNLEVSREMTLLKGRILQPPKLKLDRPRNLKESRAFKGTRIERWALMSIGGSSDQKSTISKFINELTQKCEHLGVFLSKNTLSSTFFEPSHILNNISLLESKLKEIQRAASNNLQLIICVMEKKHKGYGDLKRIAETRIGVVTQCCLYPNITKLSSQFVSNLALKINAKIGGSMTELYNSIPSHIPRLLRPDEPVIFMGADVTHPHPFDDCSPSVAAVVGSINWPEANRYVSRMRSQTHRQEIIQDLDLMVKELLDDFYKAVNKLPNRIIFFRDGVSETQFKKILQEELQSIKIACSKFQDYNPSITFAVVQKRHHTRLFRCNPDNENIPPGTVVDTVITHPKEFDFYLCSHLGVKGTSRPTHYHILWDENEFTSDELQRLVYNLCYTFVRCTKPISIVPPAYYAHLAAYRGRLYIERSSESNGGSMNPSSVSRVGPPKTIPLPKLSDNVKNLMFYC >fgenesh2_kg.2__1206__AT1G69490.1 pep chromosome:v.1.0:2:13770446:13771717:1 gene:fgenesh2_kg.2__1206__AT1G69490.1 transcript:fgenesh2_kg.2__1206__AT1G69490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein NAC2 [Source:UniProtKB/TrEMBL;Acc:D7KX20] MEVNSSSTLPPGFRFHPTDEELIVYYLRNQTMSKPCPVSIIPEVDIYKFDPWQLPEKTEFGENEWYFFSPRERKYPNGVRPNRAAVSGYWKATGTDKAIHSGSSNVGVKKALVFYKGRPPKGIKTDWIMHEYRLHDSRKASTKRSGSMRLDEWVLCRIYKKRGAGKLLNEQEGFIDEVLIDEATVAVNEAERRNEEEIMMNMTSTKLPRTCSLAHLLEMDYMGPVSHIFTDNFTQFGHIHQPDSESGWFGDLQFNQDEILNHHRQAMFKF >fgenesh2_kg.2__1207__AT1G69500.1 pep chromosome:v.1.0:2:13772270:13774362:1 gene:fgenesh2_kg.2__1207__AT1G69500.1 transcript:fgenesh2_kg.2__1207__AT1G69500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxygen binding protein [Source:UniProtKB/TrEMBL;Acc:D7KX21] MSLCLVIACMVTSWIFLHRWGQRNKSGPKTWPLVGAAIEQLTNFDRMHDWLVEYLYNSRTVVVPMPFTTYTYIADPINVEYVLKTNFSNYPKGETYHSYMEVLLGDGIFNSDGELWRKQRKTASFEFASKNLRDFSTVVFKEYSLKLFNILSQASFKNQQVDMQELLLRMTLDSICKVGFGVEIGTLAPELPENHFAKAFDTANIIVTLRFIDPLWKLKKFLNIGSEALLGKSIKVVNDFTYSVIRRRKAELLEAQKSPTNNNTVKHDILSRFIEISDDPDSKETEKSLRDIVLNFVIAGRDTTATTVTWAIYMIMMNENVAEKLYSELKELEKESAEQTNTSLHQYDTEDFSSFNERVTQFAGLLNYDSLGKLHYLHAVITETLRLYPAVPQDPKGVLEDDMLPNGTKVKAGGMVTYVPYSMGRMEYNWGSDAALFKPERWLKDGIFQNASPFKFTAFQAGPRICLGKDSAYLQMKMAMAILCRFYKFHLVPNHPVKYRMMTILSMAHGLKVTVSRRS >fgenesh2_kg.2__120__AT1G63650.2 pep chromosome:v.1.0:2:774082:777461:-1 gene:fgenesh2_kg.2__120__AT1G63650.2 transcript:fgenesh2_kg.2__120__AT1G63650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGENRTVPENLKKQLAVSVRNIQWSYGIFWSVSASQPGVLEWGDGYYNGDIKTRKTIQAAEVKVDQLGLERSEQLRELYESLSLAESSTSGGSQVTRRAFAAALSPEDLTDTEWYYLVCMSFVFNIGEGIPGGALSNGEPIWLCNAETADSKVFTRSLLAKSASLQTVVCFPFLGGVLEIGTTEHIKEDLNVIQSVKTLFLEATPYTTISTRSDYQEIFDPLSDDKYTPVFRTEAFPTTSTSGFEQEPEDHDSFINDGGASQVQSWHFVGEELSNCIHQSLNSSDCVSQTFVGTTGRVACDPRKSRVQRLGQIQEQSNHVNMDDDVHYQGVISTIFKTTHQLILGPQFQNFDKQSSFTRWKRSSSVKTLGEKSQKMIKKILFEVPLMNKKEELLPDTPEETGNHALSEKKRREKLNERFMTLRSIIPSISKIDKVSILDDTIEYLQELQKRVQELESCRESADTETRMTTMKRKKPEDEEERASANCMNSKRKGSDVNVGEDEPNDTGYAGLTDNLRISSLGNEVVVELRCAWREGILLEIMDVISDLNLDSHSVQSSTGDGLLCLTVNCKHKGTKIATTGMIQEALQRVAWIC >fgenesh2_kg.2__1216__AT1G69530.4 pep chromosome:v.1.0:2:13821592:13823189:1 gene:fgenesh2_kg.2__1216__AT1G69530.4 transcript:fgenesh2_kg.2__1216__AT1G69530.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXPA1 [Source:UniProtKB/TrEMBL;Acc:D7KXG5] MALVTFLFIATLGAMTSHVNGYAGGGWVNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFEIRCQNDGKWCLPGSIVVTATNFCPPNNALPNNAGGWCNPPQQHFDLSQPVFQRIAQYRAGIVPVAYRRVPCVRRGGIRFTINGHSYFNLVLITNVGGAGDVHSVMVKGSRTGWQAMSRNWGQNWQSNSYLNGQSLSFKVTTSDGRTIVSNNVANAGWSFGQTFTGAQ >fgenesh2_kg.2__121__AT1G63640.1 pep chromosome:v.1.0:2:783534:796254:1 gene:fgenesh2_kg.2__121__AT1G63640.1 transcript:fgenesh2_kg.2__121__AT1G63640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHLGQDANMNGVYVRSDVSSMLSFDGSDSRESDDSKKGHQSLVEWLNETLPYLKLPWEASEDELRACLRDGTVLCSLLNQLSPGSMRMGGSFEPASVKIERFLTAMDEMALPRFEVSDIEQGDMVPVLQSLKALKASFSDGSYDKNSLAARRRWSLPEDHSDSRGDDRNFTDGFQSKEGSEIDISDAKISELLKSNSLRNAPTRSLFDMLDKLLDKSMTKMNGHVSHAMASLLSALVQVIEQRISNQADNLKNQNILFRVREEKYRSRIKVLETLAAGTTQENEIVTNCMEHIKLEKNRIEERERSEEKDVVRLRKEKERSDAEIRKLKQELKVVKETHENQCLDLEAKAQKTRDELEKKLKDAEFHAVDSSRKVKEFEKLCQSKSQRWEKKECIYQNFIDNHSGALQELNATSLSIKHEVLRTQRKYFEDLNYYGLKLKGVADAAKNYHVVLEENRRLYNEVQELKGNIRVYCRIRPFLPGQNSRQTTIEYIGENGELVVANPFKQGKDTHRLFKFNKVFDQAATQEEVFLDTRPLIRSILDGYNVCIFAYGQTGSGKTYTMSGPSITSKEDWGVNYRALNDLFLLTQSRQNSVMYEVGVQMVEIYNEQVRDILSDGGIWNTALPNGLAVPDASMHCVRSTEDVLELMNIGLMNRTVGATALNERSSRSHCVLSVHVRGVDVETDSILRGSLHLVDLAGSERVDRSEATGERLKEAQHINKSLSALGDVIFALAHKNPHVPYRNSKLTQVLQSSLGGQAKTLMFVQVNPDGDSYAETVSTLKFAERVSGVELGAAKSNKEGRDVRQLMEQVSNLKDVIAKKDEELQNFQKLKGNNATSLKRGLSNLRLVGPSSPRRHSIGASPNARRGKAPGLFGRGTSDVDNCSEYSSKHSDSGSQQSSDERKHRKDFHQPSKFAGGAKGIDFDDEDVELVGLADADSEERLSDISDSCLSMGTETDGSISSAVELTLFPETAKPLEITERPEAQMTSEKPEKSVKMGKTEPKDSRTNIPSKIPKQTLKPPGQTRPSRLSIATSSSSKALTGAKRPTISTSSSAKPLNRRR >fgenesh2_kg.2__1220__AT1G69560.1 pep chromosome:v.1.0:2:13836042:13837256:1 gene:fgenesh2_kg.2__1220__AT1G69560.1 transcript:fgenesh2_kg.2__1220__AT1G69560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB105 [Source:UniProtKB/TrEMBL;Acc:D7KXG8] MSEDQHRWMDQIASSDYFSLNIDNDQHLRSYYTSHREEERNPNQSDYSNCNKKDTTVYGSCGHSSKASVSRGHWRPAEDTKLKELVAVYGPQNWNLIAEKLQGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLMQAHRLYGNKWAMIARLFPGRTDNSVKNHWHVIMARKFREQSSAYRRRKTMLPLKPLINPNPHLFNAFDPTRLALTHLVSNDQKELMLPIPCFPGYDHGNEIPLMVDMFQNEMMVGEYIAWTQESTTFDFLKQTGKSEMFERMNEEKKPPFFDFLGLGTV >fgenesh2_kg.2__1221__AT1G69570.1 pep chromosome:v.1.0:2:13840387:13842605:-1 gene:fgenesh2_kg.2__1221__AT1G69570.1 transcript:fgenesh2_kg.2__1221__AT1G69570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSIDREIKLFGRTITSLLDVNRYDPSSLSHVHDVSSDQSKEDSSSSSSSCSPTIGPIKVPANKSDQESNRFKDPYILSDLNEPPKAVSEISSPRSSKNNCDQQSEITTTSTTSGEKSTALKKPDKLLPCPRCESANTKFCYYNNYNVNQPRYFCRNCQRYWTAGGSMRNVPVGSGRRKNKGWASSNHYLQVTSEDCDNNNSGTILSFGSSESSVTEAGKHQSRDTTKISADSVSQEHKTYQGFLPPQVMLPNNSSPWPYQWSPTGPNASFYPVPFYWGCTVPIYPTSETSPCLGKRSRDQTEGRINDTNTTITTTRARLVSEPLKMNSEATKSAVWSKLPTKPEKKTQGFSLFNGFDTKGNSNRSSLVTETSHSLQANPAAMSRAMNFRESMQQ >fgenesh2_kg.2__1223__AT1G69580.2 pep chromosome:v.1.0:2:13859639:13861358:1 gene:fgenesh2_kg.2__1223__AT1G69580.2 transcript:fgenesh2_kg.2__1223__AT1G69580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNNANNTNTNNNHKAKMSLVLSTDAKPRLKWTCDLHHKFIEAVNQLGGPNKATPKGLMKVMEIPGLTLYHLKSHLQKYRLGKSMKFDDNKLEAVSSASENQEPESKNDSRDLRGCSVTEENSNPAKDRGLQITEALQMQMEVQKKLHEQIEVQRHLQVKIEAQGKYLQSVLMKAQQTLAGYTSSNLGMDFARTELSRLASMVNRGCPSSSFSELTQVEEEEEAEEGFFWCKKPGNRGISQLRCSVESSLTSSESSEIKLENDNNLNKSIELPLMEITSEVITGKKRSLNDVVCVEQQPLMKRAFEVDDDELLRLSLNSYKKDMETCPNI >fgenesh2_kg.2__1226__AT1G69610.1 pep chromosome:v.1.0:2:13876676:13879179:1 gene:fgenesh2_kg.2__1226__AT1G69610.1 transcript:fgenesh2_kg.2__1226__AT1G69610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIVTTKTYTNFDFSNKGFGVGSLKQFVYGNTFIVLDAFWVSFSNLFFFRVDSDKETSLVVFEKQNTNGSSVYGDYQDQKQICGTQDDDQMEGSLVSDSKRCEFYSDKSSVGFVEEPKAFSFKFHEYSASTVQEEEKKKMGNFSDENTSSMVLEESESEEEHVKEEMLVYEFMSCGVLKESFVHENFVGGQENLFDDDDGFIELNPSLQISNFAYGEEEFMQKEEEMKMGFDEQEEVYDEFDDGSDSDDDEFEHSDVIEKLKTELRAARTGGLCTILEESETPLEELKPLKIEPKPDQHKDRIAEIHKVYKNYAVKMRKLDVIDSQTMHSISLLKLKESSEPSRNTDKPPKSSLHQNIWPFKKHKLECDPIERLVKEASRDFETVYVGQVCLSWEMLRWQYTKVLEFDSQVTTYQYNLVAGEFQLFQVLLQRFVENEPFQNSSRVETYLKNRRHFHNFLQIPLVRDDRSSKTNKKCRNEGEFAVKIEMLREIIRESMSVFWEFLCVDKDEFTSIIKVSHQTQVSPQDPLDLELLTDIRTDLQKKDKKLKEILRSQSCIVKKIKKNESKSNVGVKDELLIAQIELRLVSRVMNMSKLTTEKLVWCREKLDRISFNGRKIHIEPSFSLLPC >fgenesh2_kg.2__1228__AT1G69630.1 pep chromosome:v.1.0:2:13881553:13883087:-1 gene:fgenesh2_kg.2__1228__AT1G69630.1 transcript:fgenesh2_kg.2__1228__AT1G69630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KXH5] MDEDGDKHVRAKGSDEVDWISKLPDCLLCEVLLNLPTKDVVKSSVLSTRWRNLWKHVPGLDLDNSDFQEFNTFLNFVDSFLDFNTESCLQYFMLKYDCDDDDYDPEISIIGRWINTIVTRNVKHIDVLDDSYGSWEVQLPSSIYTCESLVSLKLCALTLPSPKFVSLPSLKVMALLIAKFADDLALETLITKCPVLESLTIERCFCDEIEVLRVRSQSLLSFTHVADSDEGVVEDLVVEIDAPKLEYLRLSDYRVASFILNKPGKLVEADIDIVFNLSSENKFNPDDLPKRTMIRNFLVAISTVKDLIISSNVNDYPYSVTYLSCVLRSCPNLKTLVVKSTKYQEKQGDIVFSGPRRFLSSLEYVKIERPLKGEAMEMKLVSYLLENSTILKKLTLCLDDSIKKEESVILKELLAIPSLSTSCKVVVL >fgenesh2_kg.2__1229__AT1G69640.1 pep chromosome:v.1.0:2:13885128:13887215:-1 gene:fgenesh2_kg.2__1229__AT1G69640.1 transcript:fgenesh2_kg.2__1229__AT1G69640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVSDELLGTVAPIVVYWLYSGIYVALSSLESYRLHSKVEEEEKNLVSKASVVKGVLVQQVVQAVVAILLFTVTGSDAEADKAQQFSLLVLARQFVTAMIILDTWQYFMHRYMHHNKFLYKHIHSQHHRLIVPYAYGALYNHPVEGLLLDTVGGALSFLVSGMSPRTSIFFFSFATIKTVDDHCGLWLPGNLFHMVFKNNSAYHDIHHQRYGTKYNFSQPFFVMWDRILGTYMPYSLEKREDGGFEARPTKEFKDD >fgenesh2_kg.2__1230__AT1G69670.1 pep chromosome:v.1.0:2:13896355:13898571:-1 gene:fgenesh2_kg.2__1230__AT1G69670.1 transcript:fgenesh2_kg.2__1230__AT1G69670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKKRNFQIDPKYADKTWKILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKYGDKLYTGLVTTMTFHLKEICKSIEEAHGGAFLELLDRKWNHHNKALQMIMDRTYVSSTKKRHVHELGLHLWRDNVVYSSKIQTRLLNTLLDLVYKERTGEVIDRLLMRNVIKMFMDLGESVYQDDFEKPFLEASAEFYKVESQGFIESCDCGDYLKKAEKPFVEEVERVAHYLDAKSEAKITSVVEREMIANHVQRLVHMENSGLVNMLLNDKYEDMGRMYSLFRRVANGLVTIRDVMTLHLREMGKQLVTDPEKSKVPVEFVQRLLDERDKYDKIINMAFNNVKTFQNALNSSFEYFLNLNTRSPEFISLFVDDKLRKGLKGVGEEDVDLILDKVVMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERNLIVKLKTECGYQFTSKLEGMFTDMKTSHDTLLGFYNSHPELSEGPTLVVQPTIQCNLPAEVSVLCEKFRSYYLGTHTGMKLSWQTNMETADIKAVFGKGQKLELNVSTFQMCVLMLFNNSDRLSYKEIEQATEIPTSDLKRCLHSMACVKGKNMLRKEPMSKERTHSFEDRNCGGTKGDRTGEARDKTETIVRIMKARRVLDHNNIIAEVTKQLQSRFLANPTEIKKRIESLIERDFLGRDNTDRKLYRYLA >fgenesh2_kg.2__1231__AT1G69690.1 pep chromosome:v.1.0:2:13919501:13921164:1 gene:fgenesh2_kg.2__1231__AT1G69690.1 transcript:fgenesh2_kg.2__1231__AT1G69690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPDHNPNHHRPNFPLQLLDSSSSSSTSLAIISATSEPNSEPKKPPPKRTSTKDRHTKVEGRGRRIRMPAMCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSRSSLSAAHLRTTPSSYYFHSPHQSMTHHLQHQHQVRPKNESHSSSSSSSQLLDHNQMGNYLVQSTAGSLPTSQSPATAPFWSSGDNTQNLWAFNINPHHSGVMAGDVYNPNGGGGGGSGAGGGVHLMNFAAPIALFSGQPLASGYGGGGGGGGEHSHYGVLAALNAAYRPVVETANHNNNQQNRDGDHHHNHQEDGSTSHHS >fgenesh2_kg.2__1232__AT1G69700.1 pep chromosome:v.1.0:2:13924556:13926025:1 gene:fgenesh2_kg.2__1232__AT1G69700.1 transcript:fgenesh2_kg.2__1232__AT1G69700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:D7KXI3] MPSNSGDDNVLQVLIKNFDVLALPLVTLVYPLYASVKAIETRSLPEDEQWLTYWVLYALISLFELTFSKPLEWFPIWPYMKLFGICWLVLPQFNGAEHIYKHFIRPFYRDPQRATTKIWYVPHKKFNFFPKRDDDDILTAAEKYMEQHGTEAFERMIVRKDSYERGRSGRGTNNYMIFDDDYRY >fgenesh2_kg.2__1233__AT1G69710.1 pep chromosome:v.1.0:2:13927000:13931240:1 gene:fgenesh2_kg.2__1233__AT1G69710.1 transcript:fgenesh2_kg.2__1233__AT1G69710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSRISLSERDVEQAITSLKKGSYLLKYGRRGKPKFCPFQLTSDESALVWYSGKEEKQIKLSQVLRIVPGQRTSTFKRYPRPEKEYQSFSLICPDRSLDLICKDKDEAEVWVVGLKSLITRVKVSKWKNTIKPEITSAECPTPHARRVSPFVTILDQVIQPSNETSTQTRLGKVFSDIVSITAPPSNNNQTETSANLFCPFSPTPVNVENSSLRFSTNDPIRLSLSSAVSTSSHGSYHEDFDALGDVFVWGESICDGVLTGTGNSLNSTTEDVLLPKALESTIVLDAQNIACGKCHAVLVTKQGEIFSWGEGKGGKLGHGLETDVQQPKFISSVRGLGFKSLACGDFHTCAITQSGDLYSWGDGTHNVDLLGHGNESSCWIPKRVTGALQGLYVSYVACGPWHTAVVASSGQLFTFGDGSFGALGHGDRRSTSVPREVKILSGLIVTKVACGVWHTAALVEVTNEASEAEVGSSRGQVFTWGDGEKGQLGHGDNDAQLLPECVISLSNENICQVACGHSLTVSLTSTGHVYTMGSTAYGQLGNPTAKGNFPARVEGDIVEASVEEIACGSYHVAVLTSKSEIYTWGKGLNGQLGHGTIENKREPAVVGFLKEKQVKAITCGSNFTAVICVHKWVPGSEHSLCAGCRNPFNFRRKRHNCYNCGLVFCKVCSSRKSLRAALAPDMNKPYRVCYGCFTKLKKSREPSTSTPTSRARKLLNMRKSTDVSERDSLTQKFLSAHARISSADSLLHYGERRHHRRDLKPEVNNSNVFPSMNGSLQLVGSPLSKGSTALPKIPKNMMVKIPGSGMSSRTTSPVSVKSTSPRRSYEVAAAESKQIKDSFNHDMAGLKEQVEQLTSKTHQLEEELEKTKRQLKVVTAMAADEAEENRSAKEVIRSLTTQLKEMAERQSQKDAISTNSKRTDKETSEITQTSNQTHIRSMVSHDSQHENNLTSRSFANGHRKQNEKPERVVQDEPGVYLTLLALPGGGTELKRVRFSRKQFTEEQAEKWWGENGAKVCERHNILVS >fgenesh2_kg.2__1234__AT1G69730.1 pep chromosome:v.1.0:2:13933346:13936005:-1 gene:fgenesh2_kg.2__1234__AT1G69730.1 transcript:fgenesh2_kg.2__1234__AT1G69730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYTSFSLLILFSFLLFFYSRFCGIEIVYPFGVGKGCYLEKWYEVTCNNTSTSGKLVPFLSVINKEVVNFSPPTQLEDYYEPFPHGTVSIRNPITSMGCSSDEEELGSLLNLTDTPFYVSRRNTLIGVGCNNTASLTNVEPSIVGCKSSCGTHPLTPARDYLALVSCDEYGFDQRCNERRGIMNGTSCNGIRCCQANMVDSIEQIVGVRIESTITRGCKVAFLTNKASFLSSKSDPQELHARGYSTVELGWFIHTTNHSFVKSLGCYSTVIEYMNVYVTPRRNTRSCVCDSNTYLSYASCSCISGFEGNPYRLDGCKDINECQKKEVDGTHTYCSRGTCVNLQGDYHCVYTNHTNHHRPLAIGLGSSFGSLIFVGGIYWLYKIIKKQRNLNQKKKFFKRNGGLLLQQQLTSTKGMVEKTKVFSSRELEKATENFSSNRILGQGGQDTVYKGMLVDGRIVAVKKFINEVVILSQINHRNIVKLLGCCLETNVPVLVYEYIPNGNLFEHLHDEFDDNMMATWEMRLRIAIDIAGALSYLHSFATSPIYHRDVKSTNIMLDEKYRAKVSDFGTSRTVTVDHTHLTTVVSGTVGYMDPEYFQSSQFTDKSDVYSFGVVLVDLITGEKSISFLRSQENRTLATYFILAMKENKLFDIIDARIRDGCMLSQVTATAKVARKCLNLKGRKRPSMREVSMELDIIRMSSGDMQMQEYVSENEEEEEKNKGVVEDIIRVESRSNEVVTAPASQYNIAAASSSSWSDVEPLFPLQTR >fgenesh2_kg.2__1237__AT1G66580.1 pep chromosome:v.1.0:2:13939832:13941302:1 gene:fgenesh2_kg.2__1237__AT1G66580.1 transcript:fgenesh2_kg.2__1237__AT1G66580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L10 [Source:UniProtKB/TrEMBL;Acc:D7KXI8] MGRRPARCYRQIKGKPYPKSRYCRGVPDPKIRIYDVGMKRKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMVKSAGKDAFHLRIRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKALGTCARVAIGQVLLSVRCKDNHGVHAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRAEYTKLRAMKRIVPDGVNAKFLSNHGPLANRQPGSAFISATSE >fgenesh2_kg.2__1238__AT1G69780.1 pep chromosome:v.1.0:2:13980085:13981873:1 gene:fgenesh2_kg.2__1238__AT1G69780.1 transcript:fgenesh2_kg.2__1238__AT1G69780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHB13 [Source:UniProtKB/TrEMBL;Acc:D7KXJ4] MSCNNGMSFFPSNFMIQNSYEDDQPHQSPSLAPLLPSCSLPQDLHGFASFLGKRSPMEGCCDLETVNNMNGEEDYSDDGSQMGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDTLKRQFDTLKAENDLLQTHNQKLQAEIMGLKNREQTESINLNKETEGSCSNRSDNSSDNLRLDISTAPPSNDSTLTGGQPPPPQTVGRHFFPPSPATATTTTTMQFFQNSSSGQIMVKEENSISNMFCAMDDHSGFWPWLDQQQYN >fgenesh2_kg.2__1239__AT1G69790.1 pep chromosome:v.1.0:2:13993070:13995451:1 gene:fgenesh2_kg.2__1239__AT1G69790.1 transcript:fgenesh2_kg.2__1239__AT1G69790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLNSSARVDNRESTFGGSSRISPKLNQSSCLSSLTIPSYSNNSFTTSSWSNLTPRSEGELLPSPTLKAFTFNELKTATRNFKPNSMIGEGGFGYVYKGWIGERSLSPSKPGSGMVVAVKKLKSEGFQGHKEWLTEVHYLGRLHHMNLVKLIGYCLEGEKRLLVYEYMPKGSLENHLFRRGAEPIPWKTRMKVAFSAARGLAFLHEAKVIYRDFKASNILLDVDFNAKLSDFGLAKAGPTGDRTHVTTQVIGTQGYAAPEYIATGRLTAKSDVYSFGVVLLELLSGRPTLDKSKVGVERNLVDWAIPYLVDRRKMFRIMDTKLGGQYPHKGACAAANIALRCLNTEPKLRPDMADVLSTLQQLEVSSKKTGSTPNIVMSPSSHMS >fgenesh2_kg.2__1241__AT1G69800.1 pep chromosome:v.1.0:2:14008277:14010245:-1 gene:fgenesh2_kg.2__1241__AT1G69800.1 transcript:fgenesh2_kg.2__1241__AT1G69800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KXJ8] MAGSEAVEDKEIKSAVSSCEAYFEKVQSRKNLPKSLQETLNSAFAGIPVSSFPQVPGGRVIEIPAETPVSEAVKILSDSKILSAPVINTDHESSLDWRERYLGIIDYSSIILWVLESAELAAIALSATSATAAGVGAGAVGALGVAALGVTGPVAVAGLAAAAVGAAVAGGVAADRGIGKDAPTAADNLGKDFYQVILQEEPFKSTTVRTILKSFRWAPFLPVSTESSMLSVMLLLSKYRLRNVPVIKSGEPDIKNYITQSAVVHGLEGCKGRDWFDHISALSISDLGLPFMSPNEVISIESEELILEAFKRMRDNNIGGLPVIEGPNKKIVGNISMRDIRYLLLQPEVFSNFRQLTVKSFATKIATAGEEYGLAIPAITCRPDSTLGSVINSLASRSVHRVYVAAGDENELYGVITLRDVISCFVSEPPNYFENCLGFSVKEMLNR >fgenesh2_kg.2__1252__AT1G69960.1 pep chromosome:v.1.0:2:14130493:14132416:-1 gene:fgenesh2_kg.2__1252__AT1G69960.1 transcript:fgenesh2_kg.2__1252__AT1G69960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7KXL2] MPPATGDIDRQIEQLMECKPLSETEVKMLCEQAKTILVEEYNVQPVKCPVTVCGDIHGQFYDLIELFRIGGSAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRLTILRGNHESRQITQVYGFYDECLRKYGNANVWKHFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIATQFNHTNGLSLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQVEPETTRKTPDYFL >fgenesh2_kg.2__1253__AT1G69970.1 pep chromosome:v.1.0:2:14136799:14137152:-1 gene:fgenesh2_kg.2__1253__AT1G69970.1 transcript:fgenesh2_kg.2__1253__AT1G69970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KXL3] MRNHHSLRLQLLFRTLFTVGLVSTLLMIDAFVLQNNKEGDKTKEITTAVNMKNSDTKDIQQELEDGSGNGDLSYVAGKRKVPRGPDPIHNRFLLISCFIFLHICVLHVSV >fgenesh2_kg.2__1255__AT1G69980.1 pep chromosome:v.1.0:2:14141122:14142284:-1 gene:fgenesh2_kg.2__1255__AT1G69980.1 transcript:fgenesh2_kg.2__1255__AT1G69980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSSSLFLVTFFIFIYASSISSSLLARAHEHDGDEEIRSVGRRLLLSFKETPKGSNITFACSPSGPCVSCNSSEKRKEKYRCSETGYRIPFKCKEMREEVDPHKKNGEEETKNDQSNNDEEAKTRNLLDDSPATKVKSQSYKTYRSCVPSADEEKLSVLGFESIMLGLFFISGAAIYIRKRQTVPMFGVSSGRSKSNSRF >fgenesh2_kg.2__1257__AT1G70000.1 pep chromosome:v.1.0:2:14148819:14150230:-1 gene:fgenesh2_kg.2__1257__AT1G70000.1 transcript:fgenesh2_kg.2__1257__AT1G70000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSCSQCGNNAHNSRTCPTEITTTGDNNGGSGGGEKAIMLFGVRVTEASSSCFRKSLSMNNLSQFDQTPDPNPADDGGYASDDVVHASGRNRERKRGTPWTEEEHRLFLTGLHKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRTNQNRRRRRSSLFDITPDSFTGSPKEENLLHTPLDGSKLIRPVPIPIPIPPSRKMADLNLNQKTQPTTEMFPLPASSNEQKARASGFKAMSSNGDSIMGVA >fgenesh2_kg.2__1258__AT1G70030.1 pep chromosome:v.1.0:2:14168322:14169927:1 gene:fgenesh2_kg.2__1258__AT1G70030.1 transcript:fgenesh2_kg.2__1258__AT1G70030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paired amphipathic helix repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KXL9] MVANKKLTTNDALDFLKAVKDKYQDNREIYERFLTVMKDFKAQRAHTCDVISRVKELFKGQPELLLGFNTFLPMGFEITLEDDQRPSNLKSAHFDDAYEFVNKVKTRFQNNDVFKSFLDVLKTYKKENKSFAELYQEVAILFQGHQDLLEEFHQFLPHYG >fgenesh2_kg.2__1259__AT1G70040.1 pep chromosome:v.1.0:2:14179024:14179752:1 gene:fgenesh2_kg.2__1259__AT1G70040.1 transcript:fgenesh2_kg.2__1259__AT1G70040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEQNIGERVFFSFVIAFGICGLVFMMTIDNSRKIPVPKIELASMDFTVQNITMTRLSAKWDLSIRIPDGLPGQYICLQGDLQASLLYKNVTLATSSQQKYYNLKYDNPQLLKVSAIVSDEDIGGLIGKDIINDVKERKEVQFGSRFSLTDCRKKTTGVMSYECNEATLRFEPGSEMKATMFGNHPNCINI >fgenesh2_kg.2__125__AT1G63610.1 pep chromosome:v.1.0:2:798020:800190:1 gene:fgenesh2_kg.2__125__AT1G63610.1 transcript:fgenesh2_kg.2__125__AT1G63610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSLLQSPSRPSSSFLANHEPKLSTSSSSASFPLKTWKSTGSGNLMVLRVKTYGSSSSDSSPESNGTRPKSRRDILLEYVQNVKPEFMEMFVKRAPKHVVDAMRQTVTNMIGTLPPQFFAVTVTSVAENLAQLMMSVLMTGYMFRNAQYRLELQQSLEQVALPEPRDQKGGEEDYAPGTQKNVSGEVIRWNNISGPEKIDAKKYIELLEAEIEELNRQVGRKSELTSTAGEDVAVAMNTFVKRLLAVSDPNQMKTNVTETSAADLAKLLYWLMVVGYSIRNIEVRFDMERVLGTQPKLAELPPGEII >fgenesh2_kg.2__1260__AT1G70060.1 pep chromosome:v.1.0:2:14187636:14193829:1 gene:fgenesh2_kg.2__1260__AT1G70060.1 transcript:fgenesh2_kg.2__1260__AT1G70060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paired amphipathic helix repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KXM6] MVGGSSVQKLTTNDALAYLKAVKDKFQDKRDKYDEFLEVMKDFKAQRVDTTGVILRVKELFKGNRELILGFNTFLPKGFEITLRPEDDQPAAPKKPVEFEEAISFVNKIKTRFQGDDRVYKSFLDILNMYRKENKSITEVYQEVAILFRDHHDLLVEFTHFLPDTSATASTNDSLKMPVRDRGIKSLPNMRQIDLDKKDRIITSLPDRALKTEHMDVDHERSLLKDSKEDVRRIDKKNDYMDDRDRKDYRGLEHDSHKEHFFNNKKKLILKDDDSAEMSNQAREGDKFYGAIPSSSTYDEKGATLYVMTGHSQELAFVDRVKAKLDTAENQEFLRCLNLYSKEIISQPELQSLVSDLIGVYPDLMDAFRVFLAQCDKNDGLLSGIVSKSKSSYFCDVALIAVTIESLWSEGKCPQPTKSQDKDTDREREKIERYRERDREKERLEKAAASQKWAKPISELDLSNCEQCTPSYRRLPKNYPIPIASQKMEIGSQVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFKCEDDRFELDMLLESVISATNRVEELLAKINSNELKTDTPICIEDHLTALNLRCIERLYGDHGLDVLDLLKKNAYLALPVILTRLKQKQEEWARCRTEFNKVWADIYTKNYHRSLDHRSFYFKQQDSKNLSTKALLAEIKEISEKKRGEDDALLALAAGNRRTISSNMSFDYPDPDLHEDLYQLIKYSCGEMCSTEQLDKVMKVWTEFLEPIFGVPSRPQGAEDREDAVKSTNQDREDAVSPQNGASIANSMRSNGPRKGNENNQVRQASELDKDVTSSKTSDVLLSCDNNTQNDKMPKNLTTPDERPETKQAVSIECAHNSNALPVDGLLPQRNGKISNLSIADDELYPFLLYSPGLSNSNPKPSALTSGTEELKPNHVNGPRVEIGDNRVIPNGTLAEGFAGETKVEREEGELSPTGEFEEDNFAVHGENDMEALSKSKENDATADDEGDASAPRSSDGSGNTSQNGDVSGTDSGDGEDCYREDDLDHNKAESEGEAEEGMSDAHDDTEGDRPVLSISVKNLLHVKPLAKYVPPALRDKDKDDSRKNSQVFYGNDSFYVLFRLHQILYDRILSAKVNSSSPDRKWKTSNPTNPADSYASIMDALYSLLDGTSDNSKFEDDCRAIIGTQSYVLFTLDKLIYKLIKHLQGVAADEMYNKLQQLYAYEKSRKPEKFLDAVYYENARVLLPDEDIYRIECELSTPSKLSIQLLDYGHDKPDVTSISMDPTFAAYLHNVFLSYPPNAKENPRIYLKRNKRKNGGDDELCTTDGVKIINGLECKITCSSSKVSYVLDTEDVLHRSKRRKFLNQSGLPLRQDSVCSGSLIRQRRIQRYQKLLTGQ >fgenesh2_kg.2__1261__AT1G70070.1 pep chromosome:v.1.0:2:14197423:14201660:-1 gene:fgenesh2_kg.2__1261__AT1G70070.1 transcript:fgenesh2_kg.2__1261__AT1G70070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE317 [Source:UniProtKB/TrEMBL;Acc:D7KXX4] MNTLPVVSLTPCSSFFKFFHFPSLHLSLSHSPNFCFTKSLILNPNQLSFKSSLNSLSPSQSQLSEEYEEDDDDEEEEEEEEEDEDDDDDEAADEYENISDEIGNSDDDEETEFSVDLPTEESARERVEFRWQRVEKLRSLVRDFGVEMIDIDELISIYDFRIDKFQRLAIEAFLRGSSVVVSAPTSSGKTLIAEAAAVATVAKGRRLFYTTPLKALSNQKFREFRETFGDDNVGLLTGDSAINKDAQIVIMTTEILRNMLYQSVGMASSGTGLFHVDAIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWIGEIHGKTELVTSTRRPVPLTWFFSTKHSLLPLLDEKGTNVNRKLSLNYLQLSASEARFRDDDDGYRKRRSKKRVGDTSYNSLVNITDYPLSKNEINKIRRSQVPQISDTLWHLQGKDMLPAIWFIFNRRGCDAAVQYVENFQLLDDCEKSEVELALKKFRVLYPDAVRESAEKGLLRGIAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLTKKAGNERIQLGPNELFQMAGRAGRRGIDEKGYTVLVQTAFEGAEECCKLVFAGVKPLVSQFTASYGMVLNLVAGSKVTRKSSGTEAGKVLQAGRSLEEAKKLVEKSFGNYVSSNVTVAAKEELAEIDKKIEILSSEISDEAIDKKSRKLLSARDYKEITELKEELREEKRKRAEVRRRMELARFSALKPLLKGMEEGNLPFICLEFKDSEERQQSVPAIYLGHIDSFQGSKLQKMMSLDESFALNVIEDEPAADEPGKPNVKPSYYVALGSDNSWYLFTEKWVRTVYRTGFPNISLALGDALPREIMKTLLDKADMQWDKLAESELGSLWRLEGSLETWSWSLNVPVLSSLSDEDEVLHMSEEYDNATEQYKEQRRKVFRLKKKMSRSAGFREYKKILENANLTVEKMKRLKARSRRLINRLEQIEPSGWKDFMRISNVIHESRALDINTHLIFPLGETAAAIRGENELWLAMVLRNKALVDLKPPELAGVCASLVSEGIKVRPWRDNNYIYEPSDTVVDMVNFLEDQRISLIKLQEKHEVMIPCCLDVQFSGMVEAWASGLSWKEMMMECAMDEGDLARLLRRTIDLLAQIPKLPDIDPVLQRSAAAAADIMDRPPISELAG >fgenesh2_kg.2__1263__AT1G70090.1 pep chromosome:v.1.0:2:14206024:14207645:1 gene:fgenesh2_kg.2__1263__AT1G70090.1 transcript:fgenesh2_kg.2__1263__AT1G70090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KXX6] MKSAVLAFVVFLVFIPQFSVGIRMIPGRIAAVSVTVGNVFDLGSFVEAPDYRNGKECVSQSSNRENFVSSCDASLVHVAMTLDSEYLRGSIAAVHSMLRHASCPENVFFHLIAAEFDPASPRVLSQLVRSTFPSLNFKVYIFREDTVINLISSSIRQALENPLNYARNYLGDILDPCVDRVIYLDSDIIVVDDITKLWNTSLTESRIIGAPEYCHANFTKYFTSGFWSDPALPGFFSGRKPCYFNTGVMVMDLVRWREGHYREKLETWMQIQKKKRIYDLGSLPPFLLVFAGNVEAIDHRWNQHGLGGDNVRGSCRSLHKGPVSLLHWSGKGKPWVRLDEKRPCPLDHLWEPYDLYEHKIERAKDLSLFGFSSLSELTEDSSFL >fgenesh2_kg.2__1264__AT1G70100.1 pep chromosome:v.1.0:2:14209182:14211826:1 gene:fgenesh2_kg.2__1264__AT1G70100.1 transcript:fgenesh2_kg.2__1264__AT1G70100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMEVGTANGEDKIMTATSSNQELQVSVSFGKFENDSLSWEKFSSFSPNKYLEEVEKCATAGSVAQKKAYFESHYKKIAERRADIIMEQEKLLKRNASFRPSVQNREKTDNSDNDESMMIEFSAGYGSNGESTSEEDKLVTGIATETCNQKPLEETMDFVECRSSVDAGDDLSTLKLEEKLEEIVQVEDKEKLEEVVCMKEEVKEDVPSKDIGEMNEALIKETKKETEKTDKNVRTNHMRASPKSNQATKKPVASKIVTSTKTQSRKERSMTKATNKAASPVLKPPSSTISSMSTSRSSVKKENVSALPRKKQTAPKSLQVPLNVDQSCSDPTAVPTTRKSLIMERMGDKDIVRRAFKTFQKSFDQIKPSGDEQEQDTAPKQVPAKATAVSRLGTTGQKNSRLAKSEGTERKGSYSHGSSSFVSKSNGTAEKQKEVTYFMIKPNCVHLIL >fgenesh2_kg.2__1269__AT1G70140.1 pep chromosome:v.1.0:2:14226539:14228909:-1 gene:fgenesh2_kg.2__1269__AT1G70140.1 transcript:fgenesh2_kg.2__1269__AT1G70140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:D7KXY4] MAAMFNHPWPHYLTLIFFFFIVVLPFHSFSQSDSPQNIETFFPISSLSPVPPPLLPPSSNPSPPSNNSSSDRKTITKALEFSSSVSKNAYRTTEERQSRATREGFTRFGGVKGLILDENGLDVLYWRKLQSQRDRSGSFKKQIITGEEDDKEVIYYKNKKKTEPVTEIPLLRGRSSTSHSVIHNEDHHPPPPPIPVKQSEPTPPPPPPSIPVKQSAPTPPPPPPMKKGSSPSPPPPPPVKKVGALSSSASKPPPAPVKGESSKQVKLKPLHWDKVNPDSDHSMVWDKIDRGSFSFDGDLMEALFGYVAVGKKSPEHGDDKNPKSTQIFILDPRKSQNTAIVLKSLGMTREELVEALVEGNDFVPDTLERLARIAPTKEEQSAILEFEGDTAKLADAELFLFHLLKSVPTAFTRLNAFLFRANYYPEMSHHGKCLQTLDLACKELRSRGLFVKLLEAILKAGNRMNAGTARGNAQAFNLIALLKLSDVKSVDGKTTLLNFVVEEVVRSEGKRCVLNRRSHSLTRSGSSNNNGGNSPQVMSKEEQEKEYLKLGLPIVGGLSSEFSNVKKAASVDYDTVVATCSALAVRAKDAKTVIAECEDGGGRFVKKMMLFLDSVEEEVKMSKDEERKVMELVKRTTDYYQAGAVTKGKNPLHLFVIVRDFLAMVDKVCLEIMRNMQRRKIGSPVSPSSQRNAVKFPVLPPNFMSVRAWNDSGGSDSDM >fgenesh2_kg.2__1270__AT1G70160.1 pep chromosome:v.1.0:2:14237483:14240124:1 gene:fgenesh2_kg.2__1270__AT1G70160.1 transcript:fgenesh2_kg.2__1270__AT1G70160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNSSFFFLLCLLLGLGFCVDLGQSLKVPFSVNDVLPMLPRQVSWPVLNSFHNAVDLLPVFIGSVTPNNVSIEWKGACFNGNEARLDITGSDRDVPGLGGGVLHLKSSKAHSLTCMDLYVFATPYRITWDYYFSARDHTLNFDSWEEKAELEYVKEHGVSVFLMPSGMLGTLLSLIDVLPLFSNTAWGQSANLAFLTKHMGATFEKRSQPWRSMINPEDVNSGDFLAVSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDDLGNLWVGESGHENEKGEEIIVVIPWDEWWDLTLKDNSNPQVALLPLHPDIRAKFNNTAAWEYARSMLGKPYGYHNMIFSWIDTLGDNYPPPLDAHLVISVMSMWTRVQPAYAANMWNEALNKRLGTEDLDLYGILEETARRGMSFDELLTIPEQDEWVYSDGKSTTCVAFILAMYKAAGVFGPLADHIQVTEFTIRDAYTLKLFESNQTRLPSWCNTEEEKLDFCQILGEYRMELPGYNTIDPYPNMNQNCPSLPPNYERPSKC >fgenesh2_kg.2__1271__AT1G70170.1 pep chromosome:v.1.0:2:14240663:14242149:1 gene:fgenesh2_kg.2__1271__AT1G70170.1 transcript:fgenesh2_kg.2__1271__AT1G70170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCVFGFLSLFLIVSPASAWFFPNSTATPPSLRNTTRVFWDAFSNFTGCHHGQNVEGLYRIKKYFQRFGYIPETFSGNFTDDFDDILKTAVELYQRNFKLNVTGELDAMTIKHIVIPRCGNPDVVNGTSLMHGGRRKTFEVNFSRTHLHAVKRYTLFPGEPRWPRNRRDLTYAFDPKNPLTEEVKSVFSRAFGRWSDVTALNFTLSDSFSTSDITIGFYTGDHGDGEPFDGVLGTLAHAFSPPSGKFHLDADENWVVSGDLDSFLSVTAAVDLESVAVHEIGHLLGLGHSSVEESIMYPTITTGKRKVDLTNDDVEGIQYLYGANPNFNGTTSPPSSTRHQRDTGGFSAAWRIDGSSRSTIVSLLMSTVGLVLWFLP >fgenesh2_kg.2__1272__AT1G70180.2 pep chromosome:v.1.0:2:14245014:14247905:1 gene:fgenesh2_kg.2__1272__AT1G70180.2 transcript:fgenesh2_kg.2__1272__AT1G70180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KXY9] MSEASRRSRVTITLGRSGQVVNRAASDLDDGYELPRIGTKRSVKERLGKQLDSSVYGGEEVVSKRQRGEASLSGNDLQISQNDLRFKLMQKNAQRRAQSDEGSTMDLREKLSRSEQPPRSLDIRPRMAEPRDLPLPSSRTARGSSQMISSRSSHSAWDLEDLRRRSPERFVDTSRGLSPPRNTGRIIGIPRAPSPPRNAGRVIGTPRDLSAPRNSRRIIASPRDLSPPRNAGRIIGSPRDRSPPRNAGRRFGAPRDQSPPRSTRSFSSNSRALSPARNIGSYMSSSRGFSPPRNPGSYMGSSRGSPPRSNIEDFHGRSRMLDDMRASPYPVRGVLNGQVPASGAPFARPMLPPPVPNPHPLPPLSQLPPLGSMMQTSPFSVEEPLSVDGFLNSIGLGKYSLAFKREEVDMTTIKQMKESDLKDLIIPMGPRKKILQAIASLPTR >fgenesh2_kg.2__1274__AT1G70190.1 pep chromosome:v.1.0:2:14251722:14252571:1 gene:fgenesh2_kg.2__1274__AT1G70190.1 transcript:fgenesh2_kg.2__1274__AT1G70190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLRFRQHLSQTSSRLSLISRIGGYRSYTQPAKIEDEEEEEEFDQRKLPTDYDPATFDPTEHRSPPTDRVFRLVDEISSLTLSEISELGKIIMKKKGMTELPTVAVMKPGAGGGGGGMVSQSGVSEEAKVEKTVFEIKLESFEASAKIKIIKELRSFTDLGLKEAKALVEKTPAILKAGLSKEEGEKILEKLKALGAKVVLE >fgenesh2_kg.2__1276__AT1G70220.1 pep chromosome:v.1.0:2:14270101:14271769:-1 gene:fgenesh2_kg.2__1276__AT1G70220.1 transcript:fgenesh2_kg.2__1276__AT1G70220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPASATVAKHDGEREDEKFAVGTVYAVKLITGDEFNGIVLAYDSAPNFAIFDILFRNQYSNVEKIGFGVTSEAQKIFDAISKTLPIRWDSKDMLVMGEVIVRSPYHSDCVFGGTRAVNDRVKTVLEQVRKKLQLSDT >fgenesh2_kg.2__1278__AT1G70250.1 pep chromosome:v.1.0:2:14279344:14282614:1 gene:fgenesh2_kg.2__1278__AT1G70250.1 transcript:fgenesh2_kg.2__1278__AT1G70250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAMMIFTAAMVLVTVPAVEAQSECVSKIVPCFRFMDTKTKPSRDCCNSIKEAVEKDFSCLCTIYNTPGLLAQFNITTDQALSLNRRCGVNTDLSACFGSGTPPPPHPPPSPEIIFPPPASSPPSPAEMLPPPPGINQTLHLLLIRVKSLQYISDFVLLYVAMSRSFKIENKCEYIIWPATYGYKRSLETTGFVLEKGETRTIKAPPSWTGRFWGRTICSTNSTGAFSCATGDCASGKIKCLGNPIDPTTVADFKLASYGDYYVVNVIDGYNLPLLVTPENKNCRSTGCVVDMNETCPSELMVNRSHHPIACMTTCQRYQLPELCCVGLSSGMVVPPGICKRTIYSRTFNHACPSAYSYIYDFDNSSFTCPYFSNFVITFCPSSHNKTRNIISSTVPRAGNVDGSSPKKIRAEAKGNFPLKLKLILGVSSVLATMIIIVIVVRVRAKNTRKSDWNEKNMEAVVMMKRFSYVQVKKMTNSFANVLGKGGFGTVYKGKLPDGSRDVAVKILKESNGDGEDFINEIASMSRTSHANIVSLLGFCYEGRKKAIIYEFMSNGSLDKFISEKMSAKMEWKTLYNIAVGVSHGLEYLHSHCVSRIVHFDIKPQNILMDGDFCPKISDFGLAKLCKNNESIMSMLHARGTIGYIAPEVFSQSFGGVSHKSDVYSYGMVVLEMIGARNIERAQNFGSNNTSIFLADQITGEEGEKIVKKMVLVGLWCIQTNPYDRPPMSKVVEMLEGSLEALQIPPKPLLCLPEVTIPITVDDIQETSSFLKPSQDTSYYSEQVVQDIVEENQDSSRSS >fgenesh2_kg.2__127__AT1G63570.1 pep chromosome:v.1.0:2:803053:803842:-1 gene:fgenesh2_kg.2__127__AT1G63570.1 transcript:fgenesh2_kg.2__127__AT1G63570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KTF9] LSQPQHMHTFCNPSDNFTQTSSYETNRNILLTALSLSSPLVHYLNATIGLSPDTVYGMFLCRGDINTTTCSDCVQTATIEIATNCTLNKRAFIYYQECMVRYSNVYFFSEFESKPVIVLYSLRSAPNSNRFNQTLSNKLDQLIPNVSSSTLIPYFVEDQERVTQLEGSYDLVSMIQCSPDLDPSNCTICLRFAYATVSTCCGIPSSALIFTPKCILRYRTFVLPSPAPSPSSLPPLSPLSPPPLSLPPQSPPPLMQPPPPLNT >fgenesh2_kg.2__1280__AT1G70270.1 pep chromosome:v.1.0:2:14297942:14298387:1 gene:fgenesh2_kg.2__1280__AT1G70270.1 transcript:fgenesh2_kg.2__1280__AT1G70270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKKYKRMVKQTMNKKKRNNNNTNHGSGSRSRLLQMKVRRLQILIPGGQRCNHPDLLLSKTVDYIVHLKLKLRFLKALSDMYSL >fgenesh2_kg.2__1282__AT1G70280.2 pep chromosome:v.1.0:2:14300340:14303111:-1 gene:fgenesh2_kg.2__1282__AT1G70280.2 transcript:fgenesh2_kg.2__1282__AT1G70280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KY00] MGRDFLVLSILILLLSGFVSSAPSANSPAKILNGFISNHGSSLMKWLWSLKTTTKTTIATRSMVKFENGYSVETVFDGSKLGIEPYSIEVLPNGELLILDSENSNIYKISSSLSLYSRPRLVTGSPEGYPGHVDGRLRDAKLNHPKGLTVDDRGNIYVADTVNNAIRKISEGGVTTIAGGKTVRNGGHVDGPSEDAKFSNDFDVVYVGSSCSLLVIDRGNKAIREIQLHFDDCAYQYGSGFPLGIAVLVAAGFFGYMLALLQRRVGSIVSSHNDQEMYEADPDQKSMKPSRPSLIPTGDEQQEKQEETFVVSLGKLVSNAWDSVMEILRKKQTGTSYQQYHGTTKQSAAFSTSTPWPIQESFVIRDEDEPPPVEPRNPTPRKIYAFMSKDAEKMQQLRQSRAFYSSWDAEFPNQQQQQKQHQKHQQQQQHRRHYSSIPHTYYEQDSEKTNEIVFGAVQEQSSKRAAKPKPKPIESGDQMNNTQQNLHYRSHSVSYPYGYYPHT >fgenesh2_kg.2__1285__AT1G70310.1 pep chromosome:v.1.0:2:14326160:14328284:-1 gene:fgenesh2_kg.2__1285__AT1G70310.1 transcript:fgenesh2_kg.2__1285__AT1G70310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQEASVTDLPVKRPREAEEDNNGAAMETENGGGEIKEPSCMSSVIPGWFSEISPMWPGEAHSLKVEKILFQGKSDYQDVIVFQSATYGKVLVLDGVIQLTERDECAYQEMITHLPLCSISNPKKVLVIGGGDGGVLREVARHSSVEQIDICEIDKMVVDVAKQYFPNVAVGYEDPRVNLIIGDGVAFLKNAAEGTYDAVIVDSSDPIGPAKELFEKPFFESVNRALRPGGVVCTQAESLWLHMDIIEDIVSNCREIFKGSVNYAWTSVPTYPSGVIGFMLCSTEGPHVDFKKPVNPIDADESSSKSHGPLKFYNAEIHSAAFCLPSFAKKVIDSKAN >fgenesh2_kg.2__1286__AT1G70330.1 pep chromosome:v.1.0:2:14344641:14346367:1 gene:fgenesh2_kg.2__1286__AT1G70330.1 transcript:fgenesh2_kg.2__1286__AT1G70330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTADKSAGIVTDSEPGSENSLLLNPHEGSTRKDPHDSYHFAYIIYFTLGVGFLLPWNAFITAVDYFSYLYPSTAVDRIFAVIYMLVGLVCLSVIVVFYAHKSLASFRINLGLLLFVIALLVVPVLDLVYVKGQVGLYAGFDVTSAAVALSGLGDALMQGGLIGVAGEMPARYMQAVVAGTAGSGVLVSLLRILTKAVYPQDPDGLRNSANLYFAVGIVVMVICAVLYNVAHKLPVIKFHEARKNEELIREKSEEKGSLTGLAWRKTLWKIVMKVKSHGFGIILIYMVTLSIFPGYITEDVHSELLKDWYPVLLIAAYNVFDLVGKCLTAVFMLKDEKIAVGGCIARLLFYPLFWGCLHGPMFLRTEIPVTILTCLLGLTNGYLTSVLMILAPKSVPLKQSETAGIVTVMFLVVGLAFGSVIAWFWVI >fgenesh2_kg.2__1291__AT1G70410.2 pep chromosome:v.1.0:2:14408611:14413719:-1 gene:fgenesh2_kg.2__1291__AT1G70410.2 transcript:fgenesh2_kg.2__1291__AT1G70410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:D7KY24] MAPAFGKCFMFCCAKTSPEEDEMATESYEAAIKGLNDLLSTKADLGNVAAAKIKALTAELKELDSDNSDAIERIKTGFTQFKTEKYLKNSALFNDLAKGQSPKFLVFACSDSRVCPSHILNFQPGDAFVVRNIANMVPPFDQKRHSGVGAAVEYAVVHLKVENILVIGHSCCGGIKGLMNIEDDAAPTQSDFIENWVKIGASARNKIKEEHKDLSYDEQCNKCEKEAVNVSLGNLLSYPFVRAAVVKNTLAIRGGHYNFVKGTFDLWELDFKTTPAFAFS >fgenesh2_kg.2__1292__AT1G70420.1 pep chromosome:v.1.0:2:14417998:14418971:-1 gene:fgenesh2_kg.2__1292__AT1G70420.1 transcript:fgenesh2_kg.2__1292__AT1G70420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVAPSSPASKFAGKERFSEVYGELNDDFGSKLKISSTEEENRDKDEEEREEEFSFASVNAENSPITAEEAFEGGQIRPVYPLFNRNIFFDDPKEETLRSPLKKLFVESTTTEEEEEEEESETVGPYCSWTNRTVEEASPETCRKSNSTGFSKLWRFRDLVLRSNSDGKDAFVFLSNGSSSSSSTSAKLSGVKSSEKGKETTKTEKKKKMRTKSAHEKLYMRNRAMREEGKRRSYLPYQHVGFFTNVNGLTRNVHPF >fgenesh2_kg.2__1293__AT1G70430.1 pep chromosome:v.1.0:2:14429555:14432875:1 gene:fgenesh2_kg.2__1293__AT1G70430.1 transcript:fgenesh2_kg.2__1293__AT1G70430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KY26] MAGSSTKRFPLYAKDYELFEEVGEGVSATVYKARCIALNEIVAVKILDLEKCRNDLETIRKEVHIMSLIDHPNLLKAHCSFIDRSSLWIVMPYMSGGSCFHLMKSVYPEGLEQPIIATLLREVLRALVYLHRQGHIHRDVKAGNILIHSKGVVKLGDFGVSACMFDSGERMRTRNTFVGTPCWMAPEVMQQVDGYDFNNHKASWLSILTILCLLAHGHAPFSKYPPMKVLLMTLQNAPPRLDYDRDKKFSKSFRELIAACLVKDPKKRPTAAKLLKHPFFKHARSTDYLSRKILHGLSPLGERFKKLKEAEAELFKGINGDKEQLSQHEYMRGISAWNFDLEDLRRQAAIVPNDEMCNSEIQELNTNGDVPKGKPVMQRSQTMPLEFFSEKDMMSESYSQLTGSLLPSFHRKFLPTIGNACCSSDGVAEKLALGKPHQLEPLADTEQIGKAGSEQEKPKNGYAVSPVNRESSTSKEPLADTKQIRKAGNEQEKPKNGYIVSPVNGESSPSKEILPLLQSLLVQNDIQRAKVIRLIRFFDRTAGTENPISKTEGVQEKDLQSQVQFLEQSVEKLVEEVQRRKEINSQLEQQISSLISSSSSSNIP >fgenesh2_kg.2__1294__AT1G70440.1 pep chromosome:v.1.0:2:14433190:14434624:-1 gene:fgenesh2_kg.2__1294__AT1G70440.1 transcript:fgenesh2_kg.2__1294__AT1G70440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVEIEDQESVTNLDNGEIINPGSQPPNFSGESTILLRESTFEHDLVKNCFLTGMGSFANETTIVTVRKNSTERRITTKAKFAVFKIFTEAMTKKNNGDANVKYGWYSGSKEEIDRVITYGFSNREIEKFENDVGSHGVGIHLVHHRYSLAAALVGEGDEEGIKNILLCRVILGKPEQIEAGSKQSYPSSNRFDSGVDNLENPRKYVIWSSNMNSYILPTYIVSFKSPLLRGLIGRARSPCVSFSALMSILSKSLDVTRMNLILTSYDDFRKRKLRREQLVRKIREVVGDHLLFKILKNQRR >fgenesh2_kg.2__1296__AT1G70460.1 pep chromosome:v.1.0:2:14439372:14442822:1 gene:fgenesh2_kg.2__1296__AT1G70460.1 transcript:fgenesh2_kg.2__1296__AT1G70460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSPSSSPPAPSADSAPPPDSSTGGSAPPPTDSAPPPGPPADSSPPPVVSSPPPPPDSSPPPLVDLTPPPSSSPPPPPADAPPPVPIVLPPPPPSPVDSPPPESTNSPPPPEVFEPPPPPPNAESPPAPPPPEQLPPPASSPQAGPKTPEKHPPGPTKSPSAPAISPPAPPNAPPHNTSHALPPKSTAAGGPPSRGVPSPPNGYQGKTMVGFAVAGFAVIALMAVVFLVRRKKKRNIDAYSDSQYLPPSNFSIKSDGFLYGQNSTKVYSGPGGYNSQQQSNSGNSFGSQRGGGYTRSGSAPDSAVMGSGQTHFTYEELTDITEGFSKQNILGEGGFGCVYKGKLNDGKLVAVKQLKVGSGQGDREFKAEVEIISRVHHRHLVSLVGYCIADSERLLIYEYVPNQTLEHHLHGKGRPVLEWARRVRIAIGSAKGLAYLHEDCHPKIIHRDIKSANILLDDDFGSEVLLVADFGLAKLNDSTQTHVSTRVMGTFGYLAPEYAQSGKLTDRSDVFSFGVVLLELITGRKPVDQYQPLGEESLVEWARPLLHKAIETGDFSELVDRRLEKHYVENEVFRMIETAAACVRHSGPKRPRMVQVVRALDSEGDMGDISNGNKVGQSSAYDSGQYNNDTMKFRKMAFGFDDSSDSGMYSGEYFAQGSRKGSNGASSEFTRNESENRNFNNRRF >fgenesh2_kg.2__1297__AT1G70470.1 pep chromosome:v.1.0:2:14442934:14443656:-1 gene:fgenesh2_kg.2__1297__AT1G70470.1 transcript:fgenesh2_kg.2__1297__AT1G70470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQPQEIQQPLLVYPSTSSSSSSSSSPSSTGSSGSFGTAFIVLAAILVLAAMACVFGRLCNRGGKQHKDKNNNKTSKHEKPSSKKSREIRPVEREPRERGDVEFGFDMKRPGPMSKPTGRNGGDIEFGFDNKRGGGGGGGGGGKGGKRP >fgenesh2_kg.2__129__AT1G63550.1 pep chromosome:v.1.0:2:810966:812672:-1 gene:fgenesh2_kg.2__129__AT1G63550.1 transcript:fgenesh2_kg.2__129__AT1G63550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIIITLTIPLFYFFFFSLLSHQTMSQPDHMFTFCNPNNNFTQTSSYETNRDTLLASLRDPDTVHGMFLCRGDITTASCADCVQTATTEIATNCTLNKRAVIYYEECMVRYSNVSFSSELEFTPSITIYSLRSAPNPTRFNQTLTEKFSELIFNISSSSLIPYFVEDQERVTQSEGSYDLESMVQCSPDLDIFNCTVCLRVAFLRISTCCGLPSYAKIFTPKCLLRFQTSVLSSPPSSPSPPPPRSPLPQSSPPPSLPKTPPPPLVFTPPRNFPPASGSFSFNVLKGNEIFGRLVVTMTALMFALVDL >fgenesh2_kg.2__1302__AT1G70490.1 pep chromosome:v.1.0:2:14447817:14449667:-1 gene:fgenesh2_kg.2__1302__AT1G70490.1 transcript:fgenesh2_kg.2__1302__AT1G70490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIAGKVT >fgenesh2_kg.2__1304__AT1G70505.1 pep chromosome:v.1.0:2:14456262:14457856:1 gene:fgenesh2_kg.2__1304__AT1G70505.1 transcript:fgenesh2_kg.2__1304__AT1G70505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNVFSRIDLSSFVTGSTEHTWQPTMTSETNIPSYWLNWRFFVCAIFVLTSLFLSSYVIWRYEGPRTRKKRGDDDQSLELEQLTGVVYDDETWNTCVKEIHPNWLLGFRVFGFVVLLGLISGNAIADGTGIFIFYTQWTFTLVTIYFGLAALASIYRFKSADNGENRISIVDEEQGSYRPPVNGENSNVFKSSYGHDRENRSTRQVATTLGYIHQILFQTCAGAVLLTDGVFWFIIYPFLTAKDFNLDFFIVIMHSVNAVFLLGETFLNSLGFILCGMDRHIRAIPMDCSCLCLLLVALPILGFVITLRPFMVLLSTNSWPIISVMKTCATRI >fgenesh2_kg.2__1305__AT1G70510.1 pep chromosome:v.1.0:2:14463944:14469617:1 gene:fgenesh2_kg.2__1305__AT1G70510.1 transcript:fgenesh2_kg.2__1305__AT1G70510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMCGFRSTEEYSEQATLMLPSDYQSLICSSTGDNRVFGSDELATALSSEAPPRIRKADDNFSLSVIKSKIACHPLYPRLLQTYIDCQKVGAPMEIACILEEIQQENHVYKRDVAPLSCFGDDPELDEFMETYCDILVKYKTDLARPFDEAATFINKIEMQLQNLCTGPASATALSDDGALSSDEELREDDHVTAQDSQQRSNDRDLKDQLLRKFGSHISSLKLEFSKKKKKGKLPREARQALFDWWNVHYKWPYPTEGDKIALAGETGLNQKQINNWFINQRKRHWKPSENMPFDMMDDSNETFLTEE >fgenesh2_kg.2__1309__AT1G70540.1 pep chromosome:v.1.0:2:14482073:14482740:1 gene:fgenesh2_kg.2__1309__AT1G70540.1 transcript:fgenesh2_kg.2__1309__AT1G70540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNLHLATAVVLLLLTASQSGVAMAQRVMGGGRDPCSVSDFKVLCRSVVKGQKNVNAATEVSIRELMKRTIKAKEAAKISRKSGGGLKTCYSNYDSALENLQKALKNIKQNDGFSLNINLSASLTDFDTCNDAMGGGKASNVFAKSTSTLHEMADNCLALSTLVKQ >fgenesh2_kg.2__1312__AT1G70560.1 pep chromosome:v.1.0:2:14498012:14500735:1 gene:fgenesh2_kg.2__1312__AT1G70560.1 transcript:fgenesh2_kg.2__1312__AT1G70560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alliinase C-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KY42] MVKLENPKKPENVSNKNIPLSDFVVNLDHGDPTAYEEYWRKMGDRCTVTIRGCDLMSYFSDMTNLCWFLEPELEAAIKDLHGAVGNAATEDRYIVVGTGSTQLCQAAVHALSSLARTQPVSVVAAAPFYSTYVEETTYVRSGMYKWEGDAWGFDKKGPYIELVTSPNNPDGTIRETVVNRPDDDEAKVIHDFAYYWPHYTPITRRQDHDIMLFTFSKITGHAGSRIGWALVKDKEVAKKMVEYIIVNSIGVSKESQVRTAKILKVLKETCNSESDESENFFKYGRKMMKNRWEKLREVVKESDAFTLPKYPEAFCNYFGKSLESYPAFAWLGTKEETDLVSELRRHKVMCRAGERCGSDKKHVRVSMLSREDVFNVFLERLANMKLIKSIDL >fgenesh2_kg.2__1314__AT1G31220.1 pep chromosome:v.1.0:2:14508394:14510066:1 gene:fgenesh2_kg.2__1314__AT1G31220.1 transcript:fgenesh2_kg.2__1314__AT1G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylglycinamide formyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KY44] MESRVLFSSQFNFPVNSPLKNRETSIAPFTPPRNVLSLSFRSSVDRFAVRIGPRPFVKAVSFAPKIVAEVDDGSRDEPRRKKLAVFVSGGGSNFRKIHEGCSDGSVNGDVVLLVTNKKDCGGAEYARSNGIPVLVFPKAKREPSHGLSPSELVDVLRKYGVDFVLLAGYLKLIPFELVQAFPKRILNIHPALLPAFGGKGLYGIRVHKAVLESGARYSGPSIHFVDEEYDTGQILAQSAVRVIANDTPEELAKRVLHEEHKLYVEVVAAIWEERIKWREDGVPLIQSKENPDEYY >fgenesh2_kg.2__1319__AT1G70590.1 pep chromosome:v.1.0:2:14517359:14519881:1 gene:fgenesh2_kg.2__1319__AT1G70590.1 transcript:fgenesh2_kg.2__1319__AT1G70590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KY47] MKQRTWPCRSEGSRFTSLSFLKPQEKEKRTRFSSINRATAKSSTSSRSSSSSSPLSNEIGGDFSMLPFDILMKIAAPFSHPNLQAASSVCKSWRDALKPLRESMLLLRWGKKFKHGRGGVRANLDKALDSFLKGAARGSTLAMVDAGLVYWETGEKEKAMSLYRRAAELGDAVGQCNLGICYLQVQPSNPKEAMKWLKQSAENGYVRAQYQLALCLHQGRVVKTNLLEASKWYLKAAEGGYVRAMYNISLCYSVGEGLPQNRKLARKWMKRAADHGHSKAQFEHGLALFSEGAMLKSVLYLELAERGGESAATHIKEVIHQQLSATSRGHVINQASNWRPLPATR >fgenesh2_kg.2__131__AT1G63540.1 pep chromosome:v.1.0:2:812713:815292:-1 gene:fgenesh2_kg.2__131__AT1G63540.1 transcript:fgenesh2_kg.2__131__AT1G63540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEFSEPECSNCYQCSNPGILDTQESEPRDRIGSSITSVPVSSGLVQACGHDSATTVSTSTSSPVQIQALGHDSAATVSTSTSSPFKVLHHSASDPLLMPSHPSSLFSFGSAPAAITSVSSGPAQSPASTPKFGFSTFAAPTPPTSGTMHAHNSGSLFGNNAFAIPDVGSSPVASSSTTTEVFGATPAIFPSPFGPKQAPVQASASSTFTSPLFGCAPASPTTGTWLFNSVFRSTPASSSSDLFGQNSSTTGVGSLPGSPLNSCIPGFGVGYLPGSSSNLFRSNPPNFGGGSVGAGPQRFGLNGATVFPRSPFSSTPAFSNNLNSGSYPFASHEWSRPTEQGSRNPGYAPTHDGENTSGWSFPTEKGKGEIYISISASKPYLHKSHEELRWEDYKQGVKGGSFPAASASPIGSRPNFAFPPLNRPHETATISPPAHGCTACGATSSSSASGHFTFNGTTTPPSAATTPPGLFFPTSGFGPMMFGTTLAVQGTTPALQAYPIQGYILLPFAAMSLQ >fgenesh2_kg.2__1320__AT1G70600.1 pep chromosome:v.1.0:2:14525073:14525671:-1 gene:fgenesh2_kg.2__1320__AT1G70600.1 transcript:fgenesh2_kg.2__1320__AT1G70600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L27A [Source:UniProtKB/TrEMBL;Acc:D7KY50] MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFFCPIVNLDKLWSLVPEDVKAKSTKDNVPLIDVTQHGFFKVLGKGHLPENKPFVVKAKLISKTAEKKIKEAGGAVVLTA >fgenesh2_kg.2__1321__AT1G70610.1 pep chromosome:v.1.0:2:14526084:14530590:1 gene:fgenesh2_kg.2__1321__AT1G70610.1 transcript:fgenesh2_kg.2__1321__AT1G70610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATTAP1 [Source:UniProtKB/TrEMBL;Acc:D7KYC5] MALQVLGCTSRPIRVSLHRCSVISTSDNIRRKNLRFVRNPRLSFSLQSSSRNYRLPSINCSTVNGAVAETAEYYEGEGDNVSVPEKIRQCIDFIRTILPGGSWWSFSDEVDGRFIAKPVTVWRALTRMWELVAEDRWVIFAAFSTLIVAALSEITIPHFLTASIFSAQSGDIAVFRRNVKLLVTLCVTSGICSGIRGCFFGIANMILVKRMRETLYSTLLFQDISFFDSQTVGDLTSRLGSDCQQVSRVIGNDLNMIFRNVLQGTGALIYLLILSWPLGLCTLVICCILAAVMFVYGMYQKKTAKLIQEITASANEVAQETYSLMRTVRVYGTEKQEFKRYNHWLQRLADISLRQSAAYGIWNWSFNTLYHATQIIAVLIGGLSILAGQITAEQLTKFLLYSEWLIYATWWVGDNLSSLMQSVGASEKVFQMMDLKPSDQFISKGTRLQRLTGHIEFVDVSFSYPSREEVAVVQNVSMSVHPGEVVAIVGLSGSGKSTLVNLLLQLYEPTSGQILLDGVPLKELDVKWLRQRIGYVGQEPKLFRTDISSNIKYGCDRNISQEDIISAAKQAYAHEFITALPNGYNTIVDDDLLSGGQKQRIAIARAILRDPRILILDEATSALDAESEHNVKGVLRSIGNDSATKRSVIVIAHRLSTIQAADRIVAMDSGRVVEMGNHKELLSKDGLYARLSKRQADAVV >fgenesh2_kg.2__1322__AT1G70620.2 pep chromosome:v.1.0:2:14530741:14535261:1 gene:fgenesh2_kg.2__1322__AT1G70620.2 transcript:fgenesh2_kg.2__1322__AT1G70620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYQPPPQYLRPPSGPPPPADPYHQYYHHQARPPVPPPTQPGGPPTWYSNQFHNPHSPSPPPPPPPQWGPPSPHYPQGQPYSSSAYPPHQPPFSAVANGNSQFPPPSTGAPIPPPYPQANQEWGNPSWGYQQQQGHTPQANSNVEDWAVKAKEWAAANKDQQSQSVPNQPSGQVYQQQYPTHGYQDVHQQAAVPGVNYQQQFPVPPTTQPDRYPNYATGHESFPGGGLPQENLTTSSAIHQQERLVTFKLCTPSELKLVTLFAGNEESGNATQHEVQISLPDGGEPVRTEQHMQYAYGDQSAVPPSNLSDQPSHTTTGVVYPPIPSSVQSIPQNDSSMAIPPVSGHIMPPYGRFPPPNLQPVGPPYAFATKPPLHPVTAFMDDSYAASSVPPKKAPVPNWLKEELLKKKADLGRPSSGSFEGRESMDDDVLYKPPAKADQRDEKSFSPSKSSDGQEEEDEDEMDAARTTEINMEIKRILTEVLLKVTDELFDEIATKVINEDEAIPKDDSVQHNHKTSSSLLSTADPLHKASAKILVSVEGANNKASSGSPADVLGLASYASDDDDADTDAASNADADENDGVESLGVGSRHDLSQRPSTEKLSEPEAMANAKLDPKVDVNASSGKNSKSGLEDYSQMPGSRRKDDEAGSTKISDVSANSGLAADTSGSRKEHPDRTDSDKDAVLDEPHGKNSGLKSDCNLRQDSNKTSGKDLSDEVSTDRSRTDETKGGKEKVDSQNGSKDRMKENDLKSAEKVKGVESNKKSTDPHVKKDSRDVERPHITNSKEDRGKKKEKEKEEERSRHRRAESSSKDKRRRSPTSNESSDDSKRKSRSRRRNVSPSPVRSRRRRSSPSSDELSDDSRRKSSSSKRRNRSPSPGRSRRR >fgenesh2_kg.2__1323__AT1G70630.1 pep chromosome:v.1.0:2:14535411:14538177:1 gene:fgenesh2_kg.2__1323__AT1G70630.1 transcript:fgenesh2_kg.2__1323__AT1G70630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARSEAYQSDIAVLMDPETVLLPNFISALSYAHQLDRDWLLVSSSVGIPRFPFHWDETRHFWRQDNGKRVRFGELQKMISLRSWQSNSSEGKMIMAWNNINMPLHCGVLPPFLYQRGTHNQWIINEAMSCKRRFVFDATSTISSFFLGNAENIDNRSDNVSEPNTRNWEYIGNSRLGQLYGSLFSRSYTLPKLLKCNKRYMFVSASDRSTDLSIPKGKSLGFRTREKISACISRTKLRSLKLDFVQKDEAVPPLKFPFDLESLLPLVADKNKTVVLSIAGYSYKDMLMSWVCRLRRLKVPNFLVCALDDETYQFSILQGLPVFFDPYAPKNISFNDCHFGSKCFQRVTKVKSRTVLKILKLGYNVLLSDVDVYWFRNPLPLLQSFGPSVLTAQSDEYNTTVPINRPRRLNSGFYFAHSDDPTIAAMEKVVKHAATSGLSEQPSFYDTLCGEGGIYRVGDDKCLEPETNLTVHFLDRELFPNGAYGDLWLKEDVRGECEKKHCFVLHNNWISGRLKKLERQMMEGLWEYDASIRMCV >fgenesh2_kg.2__1324__AT1G70650.1 pep chromosome:v.1.0:2:14542369:14544400:1 gene:fgenesh2_kg.2__1324__AT1G70650.1 transcript:fgenesh2_kg.2__1324__AT1G70650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (Ran-binding) family protein [Source:UniProtKB/TrEMBL;Acc:D7KYC9] MTRFFKTDHRLFHRIIRYTRPFHGLAKTQNAEISRPGLVDPSSHPWPEWLDLIGMLAKKGYFVESSGNPLMSSKESNHIRTACLNFARHRFTLVRYLSKKDIKVIAGCGCPSTDRKVVNSGKRLRAYVGIDEGNVCGSCNLRGKCERAYAQARDDEGVRTIDVMRILLTYGLDSISPTVENRACQTKLVEDSVRKLLRESVAYSLKDFESSETQTAGDELQPNSQDCEERDPRKRPGDWHCTECKFLNFAKNIRCLRCDVFSEERLKHLKEEQKDHLPLKKGDWICQTCNFLNFSKNTRCLRCKDKPTSRQINPGEWECESCNYINFRRNAVCLKCDHKRQKASNVIPDSKMGSDRHNGVTKTWSFVEEGEEEEQKEEKEEEDGFMRFPVEGGRSHVSKSAEKREQWKLEMTERIRSNGTEAKKDDDTKKKNEKESRCYDRRRNELLGNISDDGEMDDWFISKQDRSTFNL >fgenesh2_kg.2__1325__AT1G70660.1 pep chromosome:v.1.0:2:14544979:14546617:1 gene:fgenesh2_kg.2__1325__AT1G70660.1 transcript:fgenesh2_kg.2__1325__AT1G70660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEEKVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDILMQSWTGTIIGPHTTAYEGKIFQLKLFCGKDYPESPPTVRFQTRINMACVNPENGVVDPSHFPMLSNWRREYTMEDLLIQLKKEMMSSQNRKLAQPLEGNEEGRTDPKGLVVKCCVM >fgenesh2_kg.2__1327__AT1G70690.1 pep chromosome:v.1.0:2:14556468:14558063:1 gene:fgenesh2_kg.2__1327__AT1G70690.1 transcript:fgenesh2_kg.2__1327__AT1G70690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTKTTTSLLCFLLTAVILMNPSSSSPTDNYIYAVCSPAKFSPSSGYETNLNSLLSSFVSSTAQSRYANFTVPTGKPEPTVTVYGLYQCRGDLDPTACSTCVSSAVAQVGTLCSNSYSGFLQLENCLIRYDNKSFLGVQDKTLILNKCGQAMDFNDQDALTKVSDVIGSLGSGDGPYRNGGNGNVQGVAQCSGDLSTSQCQDCLSDAIGRLKSDCGMAQGGYVYLSKCYARFSVGGSHARQTPGPNFGHEGEKDNKDDNGVGKTLAIIIGIVTLIILLVVFLAFLGKQCRKLQDEKWCK >fgenesh2_kg.2__132__AT1G63522.1 pep chromosome:v.1.0:2:821792:821951:1 gene:fgenesh2_kg.2__132__AT1G63522.1 transcript:fgenesh2_kg.2__132__AT1G63522.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KTG5] YMYIGVVMSARIQESTNDILKPITCNSNADCAKFCKGPIHNCVFHTCQCVPGN >fgenesh2_kg.2__1330__AT1G70700.3 pep chromosome:v.1.0:2:14559209:14561447:1 gene:fgenesh2_kg.2__1330__AT1G70700.3 transcript:fgenesh2_kg.2__1330__AT1G70700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGLSDKQYLSNNVKHEVNIDDVDILGLSTKAARQLGKAKVFATSTFMPASDFQEAKAFPGAYQWGSVSAATVFRRGQFSGAFQNASPLLLGGSVPLPTHPSLVPRVASSGSSPQLTIFYGGTVSVFNDISPDKAQDIMLCAGNGLKGETGESSLKKQQPILEVERVYGKQIHNTSAAASSSSAIHTDTYSRCRDNPIATTNAMSMIESFNAVPGNMIPSVFPQARKASLARFLEKRKERLMSAMPYKKMLLDLSTGESSGMSYSSTSPT >fgenesh2_kg.2__1332__AT1G70720.1 pep chromosome:v.1.0:2:14576553:14577349:1 gene:fgenesh2_kg.2__1332__AT1G70720.1 transcript:fgenesh2_kg.2__1332__AT1G70720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSILLILLLSSLLPPILTVDPPLPSSNGSDFIRTACKTTLYPDLCFSTLSSFANSIQNDSNRLARVAISLTLHNTLHLISYLQNAYNRDHPTPVLRDCFENLKDAVDDMRGSMKQMKELVSASGSVESFRFQMSNVKTWLSAALTNEYTCTDGFKDVHEDGSIKDDVCSRVDVVKKLTSNALALVNRYADESVIH >fgenesh2_kg.2__1335__AT1G70740.1 pep chromosome:v.1.0:2:14583514:14586170:-1 gene:fgenesh2_kg.2__1335__AT1G70740.1 transcript:fgenesh2_kg.2__1335__AT1G70740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KYD9] MVTKNSQKSNLGMTKSMNFFQNIIKPFKRSSNRVFPFQVLVSATKDFHPTHKLGEGGFGPVFKGRLPDGRDIAVKKLSQVSRQGKNEFVNEAKLLAKVQHRNVVNLWGYCTHGDDKLLVYEYVVNESLDKVLFKSNRKSEIDWKQRFEIITGIARGLLYLHEDAPNCIIHRDIKAGNILLDEKWVPKIADFGMARLYQEDVTHVNTRVAGTNGYMAPEYVMHGVLSVKADVFSFGVLVLELVSGQKNSSYSMRHPDQTLLEWAYKLYKKGRTMEILDPDIASSADPDQVKLCVQIGLLCVQGDPHQRPSMRRVSLLLSRKPGHLEEPDHPGVPGSRYRRQTHRPSGAASLGTLSTTGSSTDSFGSNLNTNTGTGGRVTPASSKTSTRSNATRSAGQSSSSDPHGKRPMSY >fgenesh2_kg.2__1337__AT1G70770.1 pep chromosome:v.1.0:2:14606004:14609065:-1 gene:fgenesh2_kg.2__1337__AT1G70770.1 transcript:fgenesh2_kg.2__1337__AT1G70770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIESVEYNGFETSNGNSHVDHGWKKVVYPKRHRKQKPADQATANGGKTVAQNGTVANGGDNVFRSLEEQAEDRRRRILAAKMAAVDSDDDGVVRSKRRSNGYGDDGYDFDGSDDEIAARNENLKVEETKKPKPKKVKKPKVSLPEAASKIDPSNLEAFLVEASESYASQPEIQLMRFADYFGRALSGVSSVQFPWVKMFKESPLSKLIDVPLAHIPEPVYKTSVDWINHRPIEALGAFVLWAFDCILTDLAVQQGGGKGGKKGGQHTSSKSQVAIFVALAMVLRRKPDALTNVLPTLRENPKYQGQDKLPVTVWMMAQASQGDLSVGLYSWAHNLLPVVANKNCNPQSRDLILQLVEKILTNPKARTILVNGAVRKGERLIPPPSFEILLRLTFPASSARVKATERFEAIYPLLKEVALAGAPGSKAMKQVTQQIFTFALKLAGEGNPVLAKEAKEIALWSVTENVDCCKHWDNLYKENLEASVAVLKKLVEEWKEHSVKLSSSPNDALTLNRTMKSFRLKNEEAITEGGANGSLYKEADKSCKTISGKLSRGSGCLKGTAITFVVLAAAGAAAAAVLSSNPEVTNELKNLVDSLELHEYLNKYTEVITTALKK >fgenesh2_kg.2__133__AT1G63520.1 pep chromosome:v.1.0:2:822073:824261:-1 gene:fgenesh2_kg.2__133__AT1G63520.1 transcript:fgenesh2_kg.2__133__AT1G63520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KTG6] MAQSSENVLPTLRDFFDSKKAGEEDEFMFYRAINSSCKKPPKPGNKAKERHGFFNQGSKERRRRNISDAEKFSVEQYSSGGFFGVRLNTNGRHQQQQRLAKPLGSERNMEPRLQKSFSARMQLPFMPSSKGSNESSSTNTSSSTSWFNRIKKMSNPFSNRNPLLPKSGEEMFSRNKSSPVHLHAHLSMEYELGMPVFIFSLDRPDDVYMASTRMDDNDSRFVYSFRYIGGRSNKNVSGQESSLIGQMQVSTQICLEQEPYEEDLVESTVSEFVLFDIARARRSGFKHENLSRQNSFRRGSIFSETENSASDQIQEKLPRQNSFNRGLTRSLSKRSENTASDPWPVSDLHPGLEIAAIVIQDSSTNSKLPSRAMKVIVPTGNHGFPDTENSCPTPILQRWRSGGGCDCSGWDMGCHLFVLESPELTNDHLGLELFIEGGKEITPAITMVFIREGHYEVNFHAKLSALQAFSVCVAEFHRSEVSRIERNISLSRCSSLRELIEMETPVYSREVPSSFMP >fgenesh2_kg.2__1340__AT1G70790.2 pep chromosome:v.1.0:2:14619316:14621190:1 gene:fgenesh2_kg.2__1340__AT1G70790.2 transcript:fgenesh2_kg.2__1340__AT1G70790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KYE5] MEDKPLGILRVHVKRGINLAIRDATTSDPYVVITLANQKLKTRVINNNCNPVWNEQLTLSIKDVNDPIRLTVFDKDRFSGDDKMGDAEIDFRPFLEAHQMELDFQKLPNGCAIKRIRPGRTNCLAEESSITWSNGKIKQEMILRLKNVECGEVEIMLEWTDGPGCKGLGREGSKKTPWMPTKRLD >fgenesh2_kg.2__1343__AT1G70820.1 pep chromosome:v.1.0:2:14624606:14627425:1 gene:fgenesh2_kg.2__1343__AT1G70820.1 transcript:fgenesh2_kg.2__1343__AT1G70820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTSSLMASKTVISKTTLFSSLPGIFYRNFITFAPASPSVKPLRTKSSNGTKFDEVTNSLDEDMDQIRRLQNGSDVRGVALEGEKGRTVDLTPAAVEAIAESFGEWVTATESNGNGVIKVSLGRDPRVSGGKLSTAVFAGLARAGCLAFDMGLATTPACFMSTLLSPFEYDASIMMTASHLPYTRNGLKFFTKRGGLTSPEVEKICDLAARKYATRQTKVSTLIRRRPKQVDFMSAYSKHLREIIKERINHPEHYDTPLKGFQIVVNAGNGSGGFFTWDVLDKLGANTFGSLYLNPDGMFPNHIPNPENKIAMSHTRAAVLENSADLGVVFDTDVDRSGVVDNRGNPINGDKLIALMSAIVLKEHPGSTIVTDARTSMGLTRFITERGGRHCLYRVGYRNVIDKGVELNKDGIETHLMMETSGHGAVKENHFLDDGAYMVVKIIIEMVRMRLAGSNEGIGSLIEDLEEPLEAVELRLNILSKPRDAKANGIEAIETFRQYIEEGKLRGWELGTCGDCWVSEGCLVDSNDHPSAIDAHMYRARVSDEESGEEYGWVHMRQSIHNPNIALNMQSMLPGGCLSMTRVFRDQFLEASGIARFLDLSDFDNYIGGQS >fgenesh2_kg.2__1348__AT1G70840.1 pep chromosome:v.1.0:2:14635302:14636290:-1 gene:fgenesh2_kg.2__1348__AT1G70840.1 transcript:fgenesh2_kg.2__1348__AT1G70840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I allergen family protein [Source:UniProtKB/TrEMBL;Acc:D7KYF0] MATKMAGAAMNPAKREASSLCGKLETDVEIKASAGKFHHMFAGRPHHVSKATPGKIQGCELHEGEWGKVGSIVFWNYVHDGEAKVAKERIEAVEPEKNLITFRVIEGDLLKEYKSFVITIQVTLKRGGPGSVVHWHVEYEKIDDKVAHPETFLDFCVQVSKEIDEHLLNEE >fgenesh2_kg.2__1353__AT1G70880.1 pep chromosome:v.1.0:2:14654986:14655733:-1 gene:fgenesh2_kg.2__1353__AT1G70880.1 transcript:fgenesh2_kg.2__1353__AT1G70880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I allergen family protein [Source:UniProtKB/TrEMBL;Acc:D7KYF5] MAEEASSLVGIIETTVELKSSVEKFHDLLVGRPHHMSNATPSNIQSAELQEGEMGQVGAVILWNYVHDGEAKVAKQRIESLDPDHNRVTYKVVEGDLLKEYTSFVTTFQVTPKEGEPGSVAHWHFEYEKINEEVAHPETLLQLAVEVSKEMDEHLLSEE >fgenesh2_kg.2__1354__AT1G70890.1 pep chromosome:v.1.0:2:14662917:14663666:-1 gene:fgenesh2_kg.2__1354__AT1G70890.1 transcript:fgenesh2_kg.2__1354__AT1G70890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASSLVGKLETEVEIKASAGKFHHMFAGDHTMSPKQLQIKFMDVSCTKATGAKSALSSSGNTFMANGKLTVAKNRIDAVDPEKNLITFKVLEGDLMKEYKSFAFTIQVTPKQGAPGSIVHWHLDYEKISEEVAHPETLLQFCVEISKEIDEHLLSEE >fgenesh2_kg.2__1355__AT1G70900.1 pep chromosome:v.1.0:2:14665233:14671219:1 gene:fgenesh2_kg.2__1355__AT1G70900.1 transcript:fgenesh2_kg.2__1355__AT1G70900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQSVSTTSKSLEGVHGVHVVSHSHFGFESIGQVSSYESADAGTKQRLFIERVWQQRPPCLRPIHCSIHGDQSILETAANVITSIPFIFLGMQAPRKNLNMKVYANSLIGVGIASSLYHSSRGKLRKYLRWADYTMIATATVCLTRALREENPKFLMAASALALPFQPLVVSAVHTGMMEVAFAKRALEDPDLKMAHDVHKMSSLLGGALFIADDLFPETPFIHAGWHLAAAIGVGTCNKLLQ >fgenesh2_kg.2__1356__AT1G70920.1 pep chromosome:v.1.0:2:14681941:14684445:1 gene:fgenesh2_kg.2__1356__AT1G70920.1 transcript:fgenesh2_kg.2__1356__AT1G70920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPNSSSLDLTISIPSFSPSPSLGDHDHGVRDLDINQTPKTEEDREWIMIGATPHVNEDDSNPGGRRRKKLRLTKEQSHLLEESFIQNHTLTPKQKKDLATFLKLSQRQVEVWFQNRRARSKLKHTEMECEYLKRWFGSLKEQNRRLQIEVEELRALKPSSTSALTMCPRCERVTDAADNDSNAVQEGAVLSSRSRMTISSSSSLC >fgenesh2_kg.2__1358__AT1G70985.1 pep chromosome:v.1.0:2:14725632:14726192:-1 gene:fgenesh2_kg.2__1358__AT1G70985.1 transcript:fgenesh2_kg.2__1358__AT1G70985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRYGHLKSLLFVFYLVNFPFITISQTPCPYPCYPPPIAGTGSTQPAGYYPQPTGYYPPPSSSNVPNYPSPPYYGGSPGGGYNGPPPPDPILPYFPFYYRKPPHQTDQSSSSVKSTVKIVTVANLFAVLMFFSW >fgenesh2_kg.2__1359__AT1G70990.1 pep chromosome:v.1.0:2:14727845:14728532:-1 gene:fgenesh2_kg.2__1359__AT1G70990.1 transcript:fgenesh2_kg.2__1359__AT1G70990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KYH4] MPEKTRHTKALTATILTAMAFLAFPMIINASDSSTNRKLEEDPIKCTPCLQNIPPPPPSPPPPSPACPPPPLPPSPPKKSYCPPPPSTYIYMTGPPGELYPIDQQFGAAAAKRFTVVKILGLIAFGVMSFLMIM >fgenesh2_kg.2__1363__AT1G71020.1 pep chromosome:v.1.0:2:14751238:14753778:-1 gene:fgenesh2_kg.2__1363__AT1G71020.1 transcript:fgenesh2_kg.2__1363__AT1G71020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7KYH8] MAGGAITPASLIGLISEINEVPVNFGVFKKDCADLARRVGLLTHLIEEIRDSSPPPPESDASSSLISYECDWWSDLVVGLQAAKRLLSSATSFQARESSDGAAKRILFQFQCVTWKLEKALGDLPYDRYDISDEVRDQVELARLQLRRAMQRYGSLNSKKFSSALSEPMEKDASSNTKSKVIEKLESIPETVHSNIPLSDEKKFESPPPRKSSSVSLAFFLSKDADDERLEKVVTKNSDDSQKSDKLTIPEDFLCPISLELMKDPAIVSTGQTYERSYIQRWIDCGNLRCPKTQQKLKNFTLTPNYVLRSLISQWCTKHNIEQPGGYMNGRTQNPDGSFRDLSGGMSAIRALVRKLSSRSIEERRTAVSEIRSLSKTSTDNRILIAEAGAIPVLVKLLISEDTKTQENAVTCILNLSIYEHNKELIMLAGAVTSIVLVLRAGTMEARENAAATLFSLSLADENKIIIGASGAILALVDLLQYGSVRGKKDAATALFNLCIYQGNKGRAVRAGIVHPLVKMLTDSSSDRMADEALTILSVLASNQVAKTAILRAKAIPPLIDCLQKDQPRNRENAAAILLSLCKRDTEKLISIGRLGAVVPLMELSRDGTERAKRKANSLLELLRKSSRKLGSL >fgenesh2_kg.2__1365__AT1G71030.1 pep chromosome:v.1.0:2:14756316:14757517:-1 gene:fgenesh2_kg.2__1365__AT1G71030.1 transcript:fgenesh2_kg.2__1365__AT1G71030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIRLRALSPPSGMQHRGRRCRLRGRNYVRPEVKQINFSKDEDDLILKLHALLGNRWSLIAGRLPGRTDNEVRIHWETYLKRKLMKMGIDPTNHRLHHHTNYISRRYLNSSHKEHETEIISDQSSSVSESCGISTILPIPSTNCSEDSTSTGRSHLPDLNIALIPTVTSLPARCLQDFSESSNNGSTGQETLLLFQ >fgenesh2_kg.2__1366__AT1G71040.1 pep chromosome:v.1.0:2:14758145:14761964:-1 gene:fgenesh2_kg.2__1366__AT1G71040.1 transcript:fgenesh2_kg.2__1366__AT1G71040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi-copper oxidase type I family protein [Source:UniProtKB/TrEMBL;Acc:D7KYI0] MEPKPSRRIMTRDIFLLIVTMTWLVTGDAGGIKAKERLFNLEKLEMFVDKLPHIPTLHGYHFVNGFLKPKSLHIGMFLKKWKFHRDLPATPVFAYGTSRRLATVPGPTIEAVYGVDTYVTWRNYLPLHHILPWDPTISPAIPKHGGIPTVVHLHGGIHEPTSDGNADSWFTADFKETGSRWTKKTTHYVNKQQPGNMWYHDHALGLTRVNLLAGLLGAYILRHSSVESPLRLPTGREFDRPLVIFDRSFRKDGSIYMNATGNNPSIHPQWQPEYFGDAIIVNGKAWPRLTVRRRKYRFRITNASNARFFRFFFSNGLEFIVVGSDSAYLAKPVSTKSVLLAPSEIVDVVVDFSKSTSKTAILANNAPYPYPSGDPVTEENSKVMKFKIKNRSEVDTSTIPKKLIDYPPANVSTSSRTRYIAMFEYVSSTDEPTHLYINGLPYNAPVTETPKIGTSEVWEVINLTEDNHPLHIHLGLFKVLEQTALVNSEEFTDCMTKENDAIKCQISKYARGNKTAVTVHERGWKNVFKMMPGHVTKILVRFSYIHSNDSYSFDATQEPGYVYHCHILDHEDNMMMRPFAMVL >fgenesh2_kg.2__1368__AT1G71060.1 pep chromosome:v.1.0:2:14772509:14774047:-1 gene:fgenesh2_kg.2__1368__AT1G71060.1 transcript:fgenesh2_kg.2__1368__AT1G71060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KYI3] MVFSRFFSSAGVNLSRRVYLRISSSSSSSLSLESIPWIHKASNFTVYGSFHASSVETQVSANDVSQDADKICKILSKFTDSKVETLLNEASVKLSPALIEEVLKKLSNAGVLALSVFKWAENQKGFKHTTSNYNALIESLGKIKQFKLIWNLVDDMKQKKLLSKDTFALISRRYARARKVKEAITAFHKMEDYGFKMESSDFNRMLDILSKSRNVGDAQKVFDKMKKKRFEPDIKSYTILLEGWGQELNLLRVNEVYGEMKDEGFEPDVVAYGIIINAYCKAKKYDEAVRFFNEMEQRNCKPSPHIFCSLINGLGSEKKLNDALEFFERSKSSGFPLEAPTYNALVGAYCWSQRMEDAFKTVDEMRSKGIGPNARTYDIILHHLIRMQRTKEAYEVYQKMSCEPTVSTYEIMVRMFCNKERLDMAIKIWDEMKGKGVLPGMHMFSSLITALCHENKLDEACEYFNEMLDVGIRPPGHLFSRLKQTLLDEGRKDKVADLAVKMDRLRKTQLVG >fgenesh2_kg.2__1369__AT1G71070.1 pep chromosome:v.1.0:2:14774164:14776430:-1 gene:fgenesh2_kg.2__1369__AT1G71070.1 transcript:fgenesh2_kg.2__1369__AT1G71070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 14 protein [Source:UniProtKB/TrEMBL;Acc:D7KYI4] MGAEKKWLFTLFSVVFLSVFLLLLYSISAFTSKPFPSSIRHGAHYPPAFAYYITGGRGDNDRIFRLLLAVYHPRNRYLLHLGAEATDAERLALLSDLKSVPAVNAFGNVDVLGKVDRLSENGASKIASTLHAVSILLKLDRTWNWFIELSALDYPLITQDDLSHVFASVNRSLNFIDHTSDLAWKESQRIKPIVVDPALYLARRTQLFTATEKRPTPDAFKVFTGSPWIVLSRSFLEYCIFGWDNLPRVLLMYFNNVILSEECYFHTVICNAPEFSNTTVNGDLRYMIWDSPPKMEPHFLTVSDFEQMAQSGAAFARQFKKDDPVLDMVDREILKRGRYRVTPGAWCSSHSSWWTDPCSEWDEVNIVKAGPQAKKLDETITNFLDDLNSQSNQCK >fgenesh2_kg.2__1370__AT1G71080.1 pep chromosome:v.1.0:2:14776816:14778811:-1 gene:fgenesh2_kg.2__1370__AT1G71080.1 transcript:fgenesh2_kg.2__1370__AT1G71080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHSIDEPSTAPKTDQWYDLVLGSSAKDDSSHKFCTLRYEFKPASIDKNRSGTLHKKKDNRVSVEFQNNQHGKPKVTFEGSSEDYKEHDAVLFFDGEKFRLERLHRAVKQLRHLRTPGESAAASSQAAMPVEHNRLSPVDRAAKSPQVNRSLLPDVPVEVERIEIGKPENSAEPAIAGNVSAASPVDDKNDDGDEHHEIDLIEIFGSFTPENDNVEKENADGGEYVESLNKQLSMTEEEIADVDDDSGGEGEKGLNAAEALRAQVNAEVQKSQTSSSTSSSGSSSGSDSDGRSKSVSSGSGSGGQSSSGSSSRGSGGSDDEDEVNSV >fgenesh2_kg.2__1371__AT1G71090.1 pep chromosome:v.1.0:2:14779198:14781132:1 gene:fgenesh2_kg.2__1371__AT1G71090.1 transcript:fgenesh2_kg.2__1371__AT1G71090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7KYI6] MSGFSGGNVNSRVVDILSGVVPLLKLICLTVIGLLLAHPKTQLVPRATFRLLSKLVFALFLPCLIFTELGESITLENIVQWWFIPVNVLLSAVIGSLIGYLVVLICRPPPEFNRFTIVMTAFGNTGNLLLAIVSSVCHTKANPFGPSCNSRGVSYVSFAQWVAVILVYTVVYHMMEPPLEYYEVVEGEGVEIEEINVENHDVSRPLLVVAEWPGIEDKETEHCKTPFIARVFNSISSVSQASLPEVDFGGENGGESSSPRSIQCLAEPRVVRRMRVVAEQTPVRHILQPPTIASLLAIIIGSVPQLKSVVFGYDAPLSFITDSLNIMGSAMVPSVMLVLGGMLSEGPNESTLGLRTTIGISVARLLVLPLVGIGIVMSADKLGLISSADPMFKFVLLLQYSTPSAILLGAIASLRGYAVREASALLFWQHIFALLSLTFYIVIFFKLTVENTVQGMP >fgenesh2_kg.2__1373__AT1G71110.1 pep chromosome:v.1.0:2:14787290:14790016:1 gene:fgenesh2_kg.2__1373__AT1G71110.1 transcript:fgenesh2_kg.2__1373__AT1G71110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFILSVVVFVAFAFFSLPHSVDSSVAASQDPLKLILGSPNFGSWKGGISLAPGPSSDDVVSDYLLLAAHRTKRPDILRAFKPYHGGWNITNNHYWASVGFTGAPGFILAVIWLLSFGSLLVVYHCFKWRLCVKAKGSSFDSRRFCFILLIVFTCVAAVGCILLSVGQDKFHTEAMRTLKYVVNQSDYTVEILQNVTQYLSLAKTINVTAISIPPNVMDEIDKLNVNLNNAAVTLGEKTPDTAAKIKRVVYAVRSALITVATVMLILSFVGLLLSVLRHQHVVHIFVVSGWILVAVTFVLCGVFLILNNAISDTCVAMKEWVDHPHAETALSSILPCVDQQTTNQTLAQSKVVINSIVTVVNTFVYAVANTNPSPGQDRYYNQSGPPMPPLCIPFDANMEDRQCSPWELSIENASSVWENYQCEVTQSGVCTTVGRVTPDTFGQLVAAVNESYALEHYTPQLLSFRDCNFVRDTFKSITSDYCPPLERNLRIVTAGLGLISVGVLLCLVLWIFYANRPQRKEVFADPHPQVKDVSFGNGLDNHHSDDETKLSVECV >fgenesh2_kg.2__1374__AT1G71120.1 pep chromosome:v.1.0:2:14790092:14791435:-1 gene:fgenesh2_kg.2__1374__AT1G71120.1 transcript:fgenesh2_kg.2__1374__AT1G71120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase 6 [Source:UniProtKB/TrEMBL;Acc:D7KYI9] MSSSSMDLLMFLLLLVSPVALAKSSSTVPAIFTFGDSIFDAGNNHYNKNCTAQADFPPYGSSFFHRPTGRFTNGRTVADFISQFVGLPLQKPFLELQIQILNGTSNFSNGINFASAGSGLLFDTNKFMGVTPIQTQLQQFQTLAEQNLIEKSIIQESLFLLETGSNDIFNYFIPFQTPTLSPDAYVNTMLDQVSKTIDQIYKLGARRIAFFSLGPVGCVPAREMLPNVPTNKCFGKMNVMAKIFNTRLEEIVNIIPTKYPGAIAVFGAVYGITHRFQTNPARYGFTDVSNACCGNGTLGGLMQCGREGYKICNNPNEFLFWDFYHPTERTYHLMSKALWNGNKNHIRPFNLMALATTNITF >fgenesh2_kg.2__1375__AT1G71130.1 pep chromosome:v.1.0:2:14795444:14796095:1 gene:fgenesh2_kg.2__1375__AT1G71130.1 transcript:fgenesh2_kg.2__1375__AT1G71130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIIRISFTDAEATDSSSDEDTEQRGGASQTRRRGKRLVKEIVIDPSDKLDVCKTRFKIRIPAEFLKTATKKKFRGVRQRPWGKWVAEIRCGGGACKGRRGRLWLGTFNTAEEAALAYDNAAIQLIGPHAPTNFGFPAENQEDKTVVDVARGA >fgenesh2_kg.2__1377__AT1G71150.1 pep chromosome:v.1.0:2:14810822:14812229:1 gene:fgenesh2_kg.2__1377__AT1G71150.1 transcript:fgenesh2_kg.2__1377__AT1G71150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKRDELNQILTSYHNTINDTLQLFEQVPSPTQDKVSWNDVLQISDHLSKQATIVGMLWTGEPPKSEALKETMEAYFNVLQGFLLHCHGSMVGAGTTLSSSIHASAKQIVDSSFRLLQGSVSLYEGSYGNGRKPSIPQLAGAVWEACSNLKKVPETNIKAIGRAMAHVAVSMKDVLREMKELKPACSSPEHDASENLESETQNSDEDDDGLGDDLSPEEFEVAKMVVDIVSETLVVIKELIRAFTGMIKLENPNDNSEFVDSLEKLLKLCQGIGVQIDELGACVYPPQEFGLMKQTVEKIWETIGEIETGVKSFENFSSEALSGSCRRLQGLIEHMETELGTRIEAEVVCKMQNVNL >fgenesh2_kg.2__1378__AT1G71160.1 pep chromosome:v.1.0:2:14812413:14813919:-1 gene:fgenesh2_kg.2__1378__AT1G71160.1 transcript:fgenesh2_kg.2__1378__AT1G71160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7KYS2] MESSFHFINQALLITQTFMTFPHFLAASACVLIAVFGYYFFKPRCIIYLIDFSCYQPPDFLRAPVSNFIEHLTISGVFDQESLDLQQKILERSGISDDASVPATVHEIPPNASLSAAREETHEILFAIVEDLFSKHEIDPKSIDILVSNCSLFCPSPSITSMIINRFGMRSNVKSFSLSGMGCSAGILSVNLVKDLMKIHGDSLALVLSMEAVSPNGYRGKCKSMLIANTIFRMGGAAILLSNRKQDSHKAKYKLQHIIRTHVGSDTESYESVMQQVDEEGKVGVALSKQLVRVASKALKINVVELGPRVLPYSEQLKYIISFIKRKWGMHKEIYTPNFKKAFEHFCIHAGGRAIIEGVEKHLKLEKEDVEASRSTLYRYGNTSSSSLWYELQYLEAKGRMKKGDKVWQIGFGSGFKANSAVWKCISEIDSRDRNAWSDRIHLYPVCGDASSALRTELLS >fgenesh2_kg.2__137__AT1G63460.1 pep chromosome:v.1.0:2:854531:855967:-1 gene:fgenesh2_kg.2__137__AT1G63460.1 transcript:fgenesh2_kg.2__137__AT1G63460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:D7KTH0] MATKEPESVYEISIEDAKGNSLELSQYKDKVLLIVNVASKCGMTNSNYTELNELYSKYKDKGLEILAFPCNQFGDEEPGTSDQITDFVCTRFKSEFPIFNKIEVNGDNASPLYKFLKKGKWGIFGDDIQWNFAKFLVDKNGQAVERYYPTTSPITLEHDIKKLLNIS >fgenesh2_kg.2__1380__AT1G71190.1 pep chromosome:v.1.0:2:14815400:14819724:-1 gene:fgenesh2_kg.2__1380__AT1G71190.1 transcript:fgenesh2_kg.2__1380__AT1G71190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAWGSAIVIFIVLMIVTPTIPQSQAYHNFADQRSFFGIPNALNVISNFPFLIIGLIGLILCFYPEDYFSFSLRGEKIGWTCFYVGVASVAFGSSYYHLHPNDATLLWDRLPMTIAFTSIMAIFVIERIDEHKGTYSIVPLLLAGLVSILYWRFFDDLRPYALVQFVPCIVIPLMAILLPPMYTHSTYWLWAAGFYLLAKVEEAADKPIYSWTHHIISGHSLKHLCAAMVPVFLTLMLAKRTVQTERISLYKTWKIGSEEERFEYSYSNVAVEETR >fgenesh2_kg.2__1385__AT1G71240.1 pep chromosome:v.1.0:2:14839421:14843819:1 gene:fgenesh2_kg.2__1385__AT1G71240.1 transcript:fgenesh2_kg.2__1385__AT1G71240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRVGGLKRLKLSSSTPDFPIVFRCDGVRVHRRCSFSRNCSSNRKQRLRIVAQNKWKLNDIDPNAVQERFSRWVSKSQKILSDVTSPLKKKSQSLKKVDLEDQQDFEDLEELLTVEQTVRSDTPKGSLSFDAIISIEQFSSRMNGITGKKMQDIFETLVSPALSTDARYLVEYCCFRFLSRDSSEFHPCLKEPAFQRLIFITMLAWANPYCKERNARNDASGKPSFQGRFVGEEAFVRIAPAISGLADRATVHNLFKALAAATDQKAISLEIWLAYIQELVKIHEGRKSHQTTDFPKLSSERLLCMASNRKGPVLKWENNVAWPGKLTLTDKALYFEPVDLKGSKGVLRLDLAGDKSTVEKAKVGPLGFSLFDSAVSVSSGPGLATWVLEFVDLGGELRRDVWHAIISEVIALHKFLREFGPEEDDKSLYQVFGAKKGKEKAVASASNCIARLQALQYMRNLPDDPIKVVQFSFLQQVAYGDIVCQTLAVNFWGGPLLTKTADTVYKRGDIARASRESYETFDNVSDLDGSVYLKRWMRSPSWGSTASIHFWKNSSLRQGVVLSKHLAVADLTLVERAAETCKQKYKVVEKTQATIDAATIKGIPSNIDLFKELILPLSITATKFEKLRCWEEPYMTVSFLAFASTIIFRNLLQYVFPVSLIFLATGMLTLKGLRRQGRIGRLFGIVTIRDQPSSNTIQKIIALKEAMQDLESYLQKVNVVLLKLRTIVLSGHPQITTEVALVLLSIATVLVIIPFKYVLAFVLYDQFTRELEFRKEMVKKFNAFLRERWEMVPAAPVIVLPFVSEESTPATQENKQLRKQTQR >fgenesh2_kg.2__1389__AT1G71270.1 pep chromosome:v.1.0:2:14847758:14854382:1 gene:fgenesh2_kg.2__1389__AT1G71270.1 transcript:fgenesh2_kg.2__1389__AT1G71270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDISIDALGQTMGDFSNHEKLGFDLGAFVGDLAFEEDSGSEDISLEGLQQELEECESDEVVANILSSGAKLREYAKGVENNLRKVELDSIEDYIKESDNLVSLHDQIRDCDSILSQMETLLSGFQEEIGSISSDIKILQEKSMDMGLRLKNRRVAESKLAKFVEDIIVPPKMIDVIVDGEVNEEYMKTLEILSKKLKFVVADQAVKSSKALKDVEPELEKLRQKAISKVYDFIVQKLIALRKPKTNIQILQQSVLLKYKYIISFLKEHGKEVFMDVRAAYIDTMNKVLSAHFRAYIQALEKLQLDIATAYDLIGVETRTTGLFSRAREPLKNRSAVFALGERIKIIKEIDQPALIPHIAEASSLKYPYEVLFRSLHKLLMDTATSEYIFCDDFFGEESIFYEIFAGPFSVIDEHFNPVLSNCFDAIGLMLMIRIIHHHQLIMSRRRVPCLDSYLDKVNISLWPRFKMVFDSHLSSLRDANIKTLWEDDVHPHYVMRRYAEFTASFIHLNVEYGDGQLDINLERLRMAVDGLILKLAKLFPRPKQQIVFLINNYDMTIAVLKEAGPEGGKIQMHFEELLKSNTSLFVEELLVEHFSDLIKFVKSRASEDSSSNPERSITVAEVEPLVKDFGSRWKTAIELMDKDIITSFSNFLCGMDILRAALTQLLLYYTRLTDCIKKMDGGSALNRDLVSIQSIMYEIRKYSKTF >fgenesh2_kg.2__138__AT1G63440.1 pep chromosome:v.1.0:2:862410:865995:-1 gene:fgenesh2_kg.2__138__AT1G63440.1 transcript:fgenesh2_kg.2__138__AT1G63440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLLSLTCIRKERFSERYPLVRKHLTRSRDGGGSSSETAAFEMDDAISRAVFQVLGMTCSACAGSVEKAIKRLPGIHEAVIDALNNRAQILFYPKSVHVETIRETIEDAGFEASLIENEANERSKQVCRIRINGMTCTSCSSTIERVLQSVNGVQRAHVALAIEEAEIHYDPRLLSYDKLLEEIENAGFEAVLISTGEDVSKIDLKIDGEFTDESMEIIERSLEALPGVQSVEISHGTDKISVLYKPDVTGPRNFIQVIESTVFGHSGHIKATIFSEGGFGFTVPVFLTAMVFMYIPGIKDLLMLKVINMLTIGEIIRWVLATPVQFIIGWRFYTGSYNAIRRGSANMDVLIALGTNAAYFYSLYTVLRAATSPDFKGVDFFETSAMLISFIILGKYLEVMAKGKTSQAIAKLMNLAPDTAILLTLDKEENVTGEEEIDGRLIQKNDVIKIVPGAKVASDGYVIWGQSHVNESMITGEARPVAKRKGDTVIGGTLNENGVLHVKVTRVGSESALAQIVRLVESAQLAKAPVQKLADRISKFFVPLVIFLSFSTWLAWFLAGKLHWYPESWIPSSMDSFELALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALERAHKVNCIVFDKTGTLTMGKPVVVKTNLLKNMVLREFYELVAATEVNSEHPLAKAIVEYAKKFRDDEENPAWPEARDFVSITGKGVKATVKGREIMVGNKNLMDDHKVFIPVDAEELLADSEDMAQTGILVSINSELIGVLSVSDPLKPSAREAISILKSMNIKSIMVTGDNWGTANSIAREVGIDSVIAEAKPEQKAEKVKELQAAGHVVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYVWALGYNLMGIPIAAGVLFPATRFRLPPWIAGAAMAASSVSVVCCSLLLKNYKRPKKLDHLEIREIQVERV >fgenesh2_kg.2__1393__AT5G24670.1 pep chromosome:v.1.0:2:14860956:14865201:1 gene:fgenesh2_kg.2__1393__AT5G24670.1 transcript:fgenesh2_kg.2__1393__AT5G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase/ zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7KYT5] MDSDAWEIIHIPEKPALSPDHQPTVKVYASLIKPKFANTIVRHLCKIAPLEDLRHVKRVKKKILPDRGETQLTVILCLAPEHHDHLNNMPPDVQKLVDPYELSPFITQVCKYAAVSKEEWEEQSKIWPTSFHPPTYNIDGIGGFSEEDTQSICKFMRVVIDMAVSGHKPLVNAAVIVDPSVRRIIASETDQVYASSAPRDNTSAGTRPFKETGEICLNGILEKQNGSLSAVACLNPWQWSLQPHDTENCSQWHPLRHASMVAIESSSARDRHLFPNSSESFRPDHVQPSNTDSPAKKQKTSSQSPYVQIDSGEETLRDPSMERPYLCTGYDIFLLLEPCTMCAMALVHQRIKRIFYAFPNPTAGGLGSVHRLQGEKSLNHHYAVFRVLLPDDALRQMTTV >fgenesh2_kg.2__1395__AT3G13630.1 pep chromosome:v.1.0:2:14871828:14872920:1 gene:fgenesh2_kg.2__1395__AT3G13630.1 transcript:fgenesh2_kg.2__1395__AT3G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAEDLSVEIVGLLETAYERREPMTKIRKQLERMSETLAEAVPHSKYAEAIVKGMLLAVQRRANLNVLLSIQETIDQVFDTYGPILIQYATSKTTQIQIIESVEKICLEPQSPFFPVFGHIIQTLSRHCVNVEAIVDWEERRKEARERGILSPQEMNLLRNMENTQSGPTGGILEGFEIGKGSQDARDMGL >fgenesh2_kg.2__1399__AT1G71380.1 pep chromosome:v.1.0:2:14910440:14912507:-1 gene:fgenesh2_kg.2__1399__AT1G71380.1 transcript:fgenesh2_kg.2__1399__AT1G71380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7KYV1] MTSPFFFVFLFSSLLIGNVDANPNYKEALSKSLLFFQGQRSGPLPRGQQLSWRASSGLSDGSAAHVDLTGGYYDAGDNVKFNFPMAFTTTMLSWSALEYGKRMGPELENARVNIRWATDYLLKCARATPGKLYVGVGDPNVDHKCWERPEDMDTPRTVYSVSPSNPGSDVAAETAAALAAASMVFRKVDSKYSRLLLATAKNVMQFAIQYRGAYSDSLSSSVCPFYCSYSGYKDELMWGASWLLRATNDPYYANFIKSLGGGDQPDIFSWDNKYAGAYVLLSRRALLNKDSNFEQYKQAAENFICKILPDSPSSSTQYTQGGLMYKLPQSNLQYVTSITFLLTTYAKYMKSTKHTFNCGNSVIVPNALISLSKRQVDYILGDNPIKMSYMVGFGSNFPKRIHHRASSLPSHALHSHSLGCNGGFQSFYTQNPNPNILTGAIVGGPNQNDGYPDQRDDYSHAEPATYINAAFVGPLAYFAAGRSA >fgenesh2_kg.2__139__AT1G63430.1 pep chromosome:v.1.0:2:872483:876654:-1 gene:fgenesh2_kg.2__139__AT1G63430.1 transcript:fgenesh2_kg.2__139__AT1G63430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKFWSLSLVLVLFFVSCDGFASNEVGALRRFKEAIYEDPLLVMSNWNDPNSDPCDWTGINCSPSKDHVIKINISASSIKGFLAPELGQITYLQELILHGNILIGTIPKEIGNLKNLKILDLGNNHLMGPIPAEIGSLSGIMIINLQSNGLTGKLPAELGNLKYLRELHIDRNRLQGSLLVAGASGYQSKVYSSNSSANIAGLCKSLKVADFSYNFFVGNIPKCLEYLPRTSFQGNCMQNKDLKHRPSSQCGNAQLVKTHGSPSAAPKHQSAQMVAKHRRASKPKWLLALEIVTGSMVGLLLLVALFSAVHRWNNRSSLIIPWKKSSSEKEKFTVYVDSEMLKDVSRLTRQELEVACEDFSNIIGLSADSQIYKGTLKGGSEIAVISLCVKEEDWTGYLELYFQREAADLARLNHENTAKLLGYCKEISPFTRMLVFEYASNGTLYEHLHYGEAALVSWARRMKIVIGIARGLKYLHMELDPPFTISELSSNAIYLTEDFTPKLVDFECWKTILARSEKNLRNISSQGSICVLPNGMESRYLDVSGNIYAFGILLLEIVSGRPPYCKDKGFLIEWAKEFLEAPETMAGLVDPELKHFNQEELETVCEVASQCLNRDPTNNNNNNNKPSVQELCETLESRISLSISAELRSSSLAWAELALDS >fgenesh2_kg.2__13__AT1G64620.1 pep chromosome:v.1.0:2:85585:87274:-1 gene:fgenesh2_kg.2__13__AT1G64620.1 transcript:fgenesh2_kg.2__13__AT1G64620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAKWPQEFVVKPMNEIVTNTCLKQPNHPPTATPVERKARPEKDQALNCPRCNSLNTKFCYYNNYSLTQPRYFCKDCRRYWTAGGSLRNIPVGGGVRKNKRSSSSSPSSSSSSKKPLFARNNTPPPPLPQLNPKIGEAAATKVQDLTFSQGFGNAHEVKDLNLAFSQGFGIAHHHHSHSSIPEFLQVVPSSSMKNNTLVSTSSALELLGISTSSNSSRPAFMSYPNVHDSSVYTASGFGLSYPQFQDFMRPALGFSLDGGDPLHQEEGSSGTNNGRPLLPFESLKLPVSSSSTNSGGNDNVKEINDHHSDHEKEEGEADHSVGFWNGMLSAGASAAASGGGSWQ >fgenesh2_kg.2__1400__AT1G71390.1 pep chromosome:v.1.0:2:14920652:14923010:1 gene:fgenesh2_kg.2__1400__AT1G71390.1 transcript:fgenesh2_kg.2__1400__AT1G71390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSHCYWVSCIITIYFSLLIHSLAFPLLHFCRHDQRNGLLKFRDEFPIFEAKSSPWNESTDCCFWEGVKCDDKSGQVISLNLHNTLLNNSLKTNSSLFKLQYLRHLDLSSCNLIGEIPSSLGNLSRLVNLELSSNRLVGAIPDSIGNLKNLRNLSLGDNDLIGEIPSSIGNLSLLLDLDLWSNHLVGEVPSSIGNLNELRVMSLDRNSLTSSLINFTSLPSDMSVFQNLVTFDISANSFFGPFPKSLFSIPSLTLVYMDRNQFTGPIEFANISSSSKLQNLILTHNRLDGSIPESISKFLNLVVLDVAHNNISGPIPRSMSKLVNLHMFGFSNNKLEGEVPSWLWRLSSAMLSHNSFSSFEKISSKETLIQVLDLSFNSFRGPFPIWICKLKGLHFLDLSNNLFNGSIPLCLRNFNLTGLILGNNNFSGTLDPDLFSSATNLQSLDVSRNQLEGKFPKSLINSKRLHFVNVESNKIKDKFPSWLGSLPSLKVLILRSNEFYGPLYHPNMSIGFQGLRIIDISNNGFTGTLPPQFFSSWREMITLVDGSHEYIEDIQNFSLIYRSMEMVNKGVEMSFERIRQDFRAIDFSENRIYGKIPESIGCLEELRLLNLSGNAFTSDIPRVWANLTKLETLDLSRNKLSGQIPQDLGKLFFRSYMNFSHNLLQGPVPRGTQFQRQRCSSFLDNHGLYGLEDICGETHVPNPTSQQPEELLEDEEKMFNWVAAAIAYGPGVFCGLVIGYIFTSHNHEWFAEKFGRKKLRANTSAR >fgenesh2_kg.2__1401__AT1G71400.1 pep chromosome:v.1.0:2:14925297:14928380:1 gene:fgenesh2_kg.2__1401__AT1G71400.1 transcript:fgenesh2_kg.2__1401__AT1G71400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRSHCHWVSDIIIIFFFLLVHSLASSSPHFCRHDQRDALLEFRGEFPIDAGPWNKSTDCCFWNGVTCDDKSGQVISLDLPNTFLHGYLKTNSSLFKLQYLRHLNLSNCNLKGEIPSSLGNLSHLTLVNLFFNQLVGEIPASIGNLNQLRYLNLQSNDLTGEIPSSLGNLSRLTFVSLADNILVGKIPDSLGNLKHLRNLSLGSNDLTGEIPSSLGNLSNLIHLALMHNQLVGEVPASIGNLNELRAMSFENNSLSGNIPISFANLTKLSEFVLSSNNFTSTFPFDMSLFHNLVYFDASQNSFSGPFPKSLFLITSLQDVYLADNQFTGPIEFANTSSSNKLQSLTLARNRLDGPIPESISKFLNLEDLDLSHNNFTGAIPTSISKLVNLLYLDLSNNNLEGEVPGCLWRLNTVALSHNIFTSFENSSYEALIEELDLNSNSFQGPLPHMICKLRSLRFLDLSNNLFSGSIPSCIRNFSGSIKELNMGSNNFSGTLPDIFSKATELVSMDVSRNQLEGKLPKSLINCKALQLVNIKSNKIKDNFPSWLESLPSLHVLNLGSNEFYGPLYHHHMSIGFQSLRVIDISDNDFTGTLPPHYFSNWKEMITLTEEMDEYMTEFWRYADSYYHEMEMVNKGVDMSFERIRKDFRAIDFSGNKIYGSIPRSLGFLKELRLLNLSGNAFSSDIPRFLANLTKLETLDLSRNKLSGQIPQDLGKLSFLSYMNFSHNLLQGPVPRGTQFQRQKCSSFLDNPKLYGLEEICGETHALNPTSQLPEELSEAEEKMFNWVAAAIAYGPGVLCGLVIGHIFTSHNHEWFTEMFGRKKLVITSVH >fgenesh2_kg.2__1405__AT1G71440.1 pep chromosome:v.1.0:2:14936972:14940446:-1 gene:fgenesh2_kg.2__1405__AT1G71440.1 transcript:fgenesh2_kg.2__1405__AT1G71440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAESSNESYKIGQRVHSLNDPRRVGTVKYVGDVEGYSGTWIGVDWDQDGDGKHNGTVNGVFYFNGRSQSSASFVRSQNLSRGITLLQALELRYRTTSTKDEEDEMYVLSAGNRRVSIQLLGGDKIQDKLSRFEELTSASLSYLGVSSLGVSSDLSSILPNLKLLDLTGNLISDWEEIGALCEQLPALTTLNLSCNSLSSDITSLPQLKNIRVLVLNNSGLSWTQVEILRRSLPGLEELHLMGNMISALTSTSPSDGQAFNSLRLLNLDDNCISDWSEVLKLSQLPCLEQLYLNKNKLTHIFHSVNGIESPKKSSDPFPSLRCLLLGANSIGDLASIDALNVFPQLVDIRLSENPISDPVRGGVPRFVLVARLTKVQVLNGSEVRAREKKDSEIRYVRMVMSKLNDKSEEIELLHPRFYELKKLHGIEDERASAENSGPKNMASGLLSIALKCVGPSMGEKPELTKKLPGSITVGKLKILCENFFKLKSIKPRLLLQEEGSPFPTALDDETATLLDVGICDGSTLLVDEES >fgenesh2_kg.2__1406__AT1G71450.1 pep chromosome:v.1.0:2:14943283:14944316:1 gene:fgenesh2_kg.2__1406__AT1G71450.1 transcript:fgenesh2_kg.2__1406__AT1G71450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRNSSNSDKAQNDGKGVPSAYRGVRKRKWGKWVSEIREPGTKNRIWLGSFETPEMAATAYDVAAFHFRGREARLNFPELASSLPRPADSSSDSIRMAVQEATLCRTTEGSESAMQVNSSSSSTVVPTMVRLSPTEIQAINESTLGSPTTLMHSTYDSMVFANDMEMNAWETYQSDFLWDP >fgenesh2_kg.2__1407__AT1G71460.1 pep chromosome:v.1.0:2:14944282:14946601:-1 gene:fgenesh2_kg.2__1407__AT1G71460.1 transcript:fgenesh2_kg.2__1407__AT1G71460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KYV9] MEVVSSLGFRDLPSLSVTTSLNHRPYRSYKDGAPAKSPFRPSRTRRPSTSPAKKPKPFRERDAFPSSLPLHSKNPHSIHRDIQRFARKNNLEDALTILDYLEQRGIPVNATTFSALLAACVRRKSLLHGKQVHVHIRINGLESNEFIRTKLVHMYTACGSVRDAQKVFDESTSSNVYSWNALLRGTVISGKKRYQDVLSTFTEMRELGVDLNVYSFSNVFKSFAGASALRQGLKTHALAIKNGLFNSVFLKTSLVDMYFKCGKVGLARRVFDEIVERDIVVWGAMIAGLAHNKRQWEALGLFRSMISEEGIYPNSVILTTILPVLGDVKALKLGKEVHAHVLKMKNYLEQPFVHSGLIDLYCKCGDMVSGRRVFYGSKQRNAISWTALMSGYAANGRFDQALRSIVWMQQEGFKPDVVTIATVLPVCAELRAIKQGKEIHCYALKNLFLPNVSLVTSLMVMYSKCGVPEYPVRLFDRLEQRNVKAWTAMIDCYVENGDLRAGIEVFRSMLLSKHRPDSVTMGRVLTVCSDLKALKLGKELHGHILKKEFESIPFVSAKIIKMYGQCGDLRSANFSFDAVVVKGSLTWTAIIEAYGYNGRFRDAIKCFEQMVSRGFTPNTFTFTAILSICSQAGFADEAYRFFNLMLRMYNLHPSEEHYSLVIELLNRFGRVEEAQRLEVMSSSSSLQT >fgenesh2_kg.2__1409__AT1G71490.1 pep chromosome:v.1.0:2:14949296:14952060:-1 gene:fgenesh2_kg.2__1409__AT1G71490.1 transcript:fgenesh2_kg.2__1409__AT1G71490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KYW1] MLIESLFKSLGHLASHGHLHDAFKTFSLLRLQSSPSAVSGDVVLHSAASLLSACVDVLAFVPGLQIHAHCVSSGVEYHSALVPKLVTFYSAFNLHREAQSINENSDILHPLPWNVLIASYAKNELFEEVVAAYKRMVSKGIRPDAFTYPSVLKACGETLDVASGRVVHGSIEVSSYKCSLYVCNALISMYKRFGNVGIARRLFDHMSERDAVSWNAVINCYASVGMWSEAFELFDKMRFSGVEVSVITWNIISGGYLHTGNYVGALGLISRMRNFPTSLDPVAMIIGLKACSLIGAIRLGKEIHGLAIHRSYDGIDNVRNTLITMYSKCDDLRNAFIVFQKTEENSLCTWNSIISGYAQVNKSEEASYLLREMLLAGFQPNFITLASILPLCARIANLQHGKEFHCYILRRKCFKDYTMLWNSLVDVYAKSGKIVAAKQVSNLMSEIDEVTYTSLIDGYGNQGEGRVALALFDEMIRSGIKPDPVTMVAVLSACSHSKLVHEGQRLFMKMQPKYGIRPCLQHFSCMVDLYGRAGFLAKAKDIIHRMPYEPSGATWATLLNACHIHGNTEIGKWAAEKLLEMKPENPGYYVLIANMYATAGSWSKLAEVRTIMRDLGVRKDPGCAWINTDSGFSLFSVGDTSNPQACDTYPLLDGLNQLMKDTAGYAINEEESSDEELLQEIG >fgenesh2_kg.2__140__AT1G63420.1 pep chromosome:v.1.0:2:884469:887386:-1 gene:fgenesh2_kg.2__140__AT1G63420.1 transcript:fgenesh2_kg.2__140__AT1G63420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFSKPRALTSHITDFKTYRPVIGKLHVATLTLLLFLIAAGIAVTSSLWLNKTTKAFDRPTLVATKPELEPPQKTEVLVNCTSFLNHNRSGSCSRTPLWLNKTKPYNQPTIKPVPVREPEKKSQQNTGISLDCTSFLNQNGSGSCSRTPQPNNNQNQTESNRSCPDYFKWIHEDLKPWRETGITKEMVERGKTTAHFRLVIVNGKVFVENYKKSIQTRDAFTLWGILQLLRKYPGKLPDVDLMFDCDDRPVIRSDGYNRSNRTAEDVPPPLFRYCGDRWTVDIVFPDWSFWGWQEINIKEWSKVLKEMEEGKKKKKFMEREAYAYWKGNPFVASPSREDLLTCNLSSLHDWNARIFIQDWISEGQRGFENSNVANQCTYRYKIYIEGYAWSVSEKYILACDSVTLMVKPYYYDFFSRTLQPLQHYWPIRDKDKCRSIKFAVDWLNNHTQKAQEIGREASEFMQRDLSMENVYDYMFHLLNEYSKLLKYKPQVPKNSVELCTEAMVCPSEDVNGVNKRFMMGSLVSRPHVSSPCSLPPPFDSNGLEKFHRKKLNLIRQVEKWEDSYWQKV >fgenesh2_kg.2__1410__AT1G71500.1 pep chromosome:v.1.0:2:14952199:14953729:1 gene:fgenesh2_kg.2__1410__AT1G71500.1 transcript:fgenesh2_kg.2__1410__AT1G71500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASSSLPRNYSFTPPRPPPSSIRGPGTLPLYLRRNRRLALTYRLDQNSKRRSGGGNVRCEATEVSSSSSVSTPGRNWVPVVPLSALPKGERRVVIQDDETILLLWYKNDVFAIENRSPAEGAYSEGLLNARLTQDGCIVCPSTDSTFDLRTGEIREWYPKNPVLRVLTPALRKLFVYPVKYDEENIYISIRDSGKTEAAAEIVFSGKAQPGLTATNVNVDEVRMIVDEGSEGFGFTVKNEVINGKAAVIGFLLLLDFELLTGKGLLKGTGFLDFLYSASDAFK >fgenesh2_kg.2__1411__AT1G71520.1 pep chromosome:v.1.0:2:14956047:14956577:1 gene:fgenesh2_kg.2__1411__AT1G71520.1 transcript:fgenesh2_kg.2__1411__AT1G71520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRAGETDQSKYKGIRRRKWGKWVSEIRVPGTRQRLWLGSFSTAEGAAVAHDVAFYCLHRLSSLDDEAFNFPRLLPTSLASNTSPKSIQKAASDAGMAVDAGFNINNDSAVSGSGGCEDRSSMAANMEEDNELSISVYDYLEDDLV >fgenesh2_kg.2__1412__AT1G71528.1 pep chromosome:v.1.0:2:14957044:14960392:1 gene:fgenesh2_kg.2__1412__AT1G71528.1 transcript:fgenesh2_kg.2__1412__AT1G71528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICATARSPSAAVTDKDLLDSSKSILQLIPHHPPSSSSSSKKEGSFTCTTSSASITIVANGYPVARRPSTSSDRNSTKPVVIVGAPTRNPTRRVTAIPVAQPSQQQTGRVMNNKTELTGAEWPSWLASVAGEAIKGWVPRCAESAAYTKLETLKRERLWR >fgenesh2_kg.2__1415__AT1G71680.1 pep chromosome:v.1.0:2:14961347:14964019:1 gene:fgenesh2_kg.2__1415__AT1G71680.1 transcript:fgenesh2_kg.2__1415__AT1G71680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease [Source:UniProtKB/TrEMBL;Acc:D7KYW5] MTYPADDEENKGRSTDNNNHRQMDYNDWLPVTASREAKWYYSAFHNVTAMVGAGVLGLPFAMSQLGWGPGLVAIIMSWAITFYSLWQMVELHEAVPGKRLDRYPELGQEAFGPKLGYWIVMPQQLMVQIASDIVYNVTGGKSLKKFVELLFPNLEHIRQTYYILGFAALQLVLSQSPDFNSIKIVSLLAALMSFLYSMIASVASIAKGTHHRPSTYGVRGDTVASMVFDAFNGIGTIAFAFAGHSVVLEIQATIPSTPEVPSKKPMWKGVVVAYLIVIVCYLFVAISGFWAFGDLVEDDVLISLERPAWLIAAANFMVFIHVIGSYQVFAMIVFDTIESYLVKTLKFAPSTTLRLVARSTYVALICLVAVCIPFFGGLLGFFGGLVFSSTSYFLPCIIWMIMKRPKRYSVHWWCSFLIQIAIVTGILIAILAPIGGMRHIILSARTYKLFS >fgenesh2_kg.2__1418__AT1G71691.2 pep chromosome:v.1.0:2:14966271:14968566:-1 gene:fgenesh2_kg.2__1418__AT1G71691.2 transcript:fgenesh2_kg.2__1418__AT1G71691.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7KYW7] MAFHFPRLCFFSALLVVVLHLLHGVSGQLVEVEEPISAPPPPLVDLNSGDGIVPALFVFGDSLIDNGNNNNIPSFAKANYFPYGIDFNGGPTGRFCNGLTMVDGIAQLLGLPLIPAYSEATGDQVLRGVNYASAAAGILPDTGGNFVGRIPFDQQIHNFETTLDQVASKSGGAVAIADSVTRSLFFIGMGSNDYLNNYLMPNFPTRNQYNSQQFGDLLVQHYTNQLTRLYNLGGRKFVVAGLGRMGCIPSILAQGNDGKCSEEVNQLVLPFNTNVKTMISNLNQNLPAAKFIYLDIAHMFEDIVANQAAYGLTTMDKGCCGIGKNRGQITCLPFETPCPNRDQYVFWDAFHPTEKVNLIMAKKAFAGDRTVAYPINIQELASLN >fgenesh2_kg.2__1419__AT1G71692.1 pep chromosome:v.1.0:2:14969529:14971942:-1 gene:fgenesh2_kg.2__1419__AT1G71692.1 transcript:fgenesh2_kg.2__1419__AT1G71692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKIQLKRIENPVHRQVTFCKRRTGLLKKAKELSVLCDAEIGVVIFSPQGKLFELATKGTMEGMIDKYMKCTGGGRGSSSATFTAQEQLQPPNLNPKDEINVLKQEIEMLQKGISYMFGGGDGAMNLEELLLLEKHLEYWISQIRSAKMDLMLQEIQSLRNKEGVLKNTNKYLLEKIEENNNSILDANFATVMETNYSYPLTMPSEIFQF >fgenesh2_kg.2__1426__AT1G71730.1 pep chromosome:v.1.0:2:15018799:15019574:-1 gene:fgenesh2_kg.2__1426__AT1G71730.1 transcript:fgenesh2_kg.2__1426__AT1G71730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHSAITLRNLFTRKSLRIPQIPSITSSTILINSLSNVSGNLGSPYSKSSLSETSSASSLMSRIPNAFQENQRRYGTDTSGGSPVDVNKVVDEINLKFAEAREEIEMAMDAKETVYFNEEAECARAAVAEVLEMFEGLLGKVTEKEKASLQRSMGLKIEQLKAELQQLNE >fgenesh2_kg.2__1429__AT1G71780.1 pep chromosome:v.1.0:2:15028755:15030325:-1 gene:fgenesh2_kg.2__1429__AT1G71780.1 transcript:fgenesh2_kg.2__1429__AT1G71780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKQRDPPQQEIEETTPLLDDSQPEGELRSTTAAAKVPEVEIHLYKCGKGPIDVFKSNLGGYEQDQLEVRSILDKYGLKSIFAFNVEKGRAFPIRFHPRNGRSVLPYRDGAVIYIDGEPQDSLLKPITRIILGVVIVTLLITFLLKDPPAWIKNNVSIGTFPPWVLACIVIVFTRARKRTRDFFKKYGW >fgenesh2_kg.2__142__AT1G63310.1 pep chromosome:v.1.0:2:896613:897396:1 gene:fgenesh2_kg.2__142__AT1G63310.1 transcript:fgenesh2_kg.2__142__AT1G63310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSESRGETEKHRRQQHFEHELKNMISSLTHMGGDKAGPSQYDEEEDGIRVITLSGSNLGATMKTELDNNHGDRDKNGDHELDFLSTYVNSNFQAVNNSIMIGAKYETHDPGVHLDISGDVEKPSVKIPARSSRGKKGKTPARSDRRESEHTN >fgenesh2_kg.2__1430__AT1G71790.1 pep chromosome:v.1.0:2:15030495:15032738:1 gene:fgenesh2_kg.2__1430__AT1G71790.1 transcript:fgenesh2_kg.2__1430__AT1G71790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-actin capping protein beta subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7KYY1] MEAALGLLRRMPPKQSETALSALLSLIPQHSSDLLSQVDLPLQVLRDIESGKDFILCEYNRDADSYRSPWSNKYLPPLEDALYPSSELRNLEVEANDIFAIYRDQYYEGGISSVYMWEDDNEGFVACFLIKKEDGSKSGHGRRGCLEEGAWDAIHVIQVGPEEEEMAQYCLTSTIMLSLTTDDESSGKFGLSGSIRRQMKMELAVADGHLCNMGRMIEELEGKLRNSLDQVYFGKTREMVCTLRPPAEIVQMRLPDT >fgenesh2_kg.2__1432__AT1G71810.1 pep chromosome:v.1.0:2:15038683:15044457:-1 gene:fgenesh2_kg.2__1432__AT1G71810.1 transcript:fgenesh2_kg.2__1432__AT1G71810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 family protein [Source:UniProtKB/TrEMBL;Acc:D7KYY4] MILLPSSMPIVYFPANPNLLINPPPSWLSRRGSSAVVASAASRDVDSFTSKSGYLFSLSADEADSLSEYNFPRIDGMYKKKPLILLRRLAQIGTTFGYWFGLRLADEALERSEQMFKVRAAELRKLLVELGPAYVKIAQAVSSRPDLIPPIYLDELSLLQDQITPFSSEVAFNMIEDELGLPIDELFSEISPEPVAAASLGQVYQARLRRSGKVVAVKVQRPGVRAAIALDTLILRYIAGLIKKAGRFNSDLEAVVDEWATSLFKEMDYLNEAQNGIKFRKLYGGIKDVLVPKMYTGYSTSKVLVMEWVEGQKLNEVNDLYLVEVGVYCSFNQLLEYGFYHADPHPGNFLRTYDGQLAYLDFGMMGDFRPELRDGFMEACLHLVNRDFKALAKDFVTLGLLPPTAEKSAVTKALTDVFQDAISRGVRNISFGDLLGDLGKTMYRFKFRIPPYFSLVIRSLAVLEGIAIGISPNYKVLGSTYPWIARKILTDSSPQLKSSLQNLLYEEGVFRIDRLESLLSESLRAETALVQKPLVGTDSNIAMKQMLAFTFTEQGSFVREILLREFAKGLDAFGLATLDSFTFSGSGPSTSLTEEDMTNLRTFYRLISLFSGMQKAESQVKAVSKYGEALMPLDEASLVMYQLPSAQEMLPILSILPELPQESQQRLLQLPGDLVGRLVSRAFARTIRRMFL >fgenesh2_kg.2__1435__AT1G71820.1 pep chromosome:v.1.0:2:15048575:15055943:1 gene:fgenesh2_kg.2__1435__AT1G71820.1 transcript:fgenesh2_kg.2__1435__AT1G71820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEDLGVEAKEAAVREVAKLLPLPELLQSISSIKADYIARQQANDAQLSTMVAEQVEQAQAGLESLSSSEKTIYELRDNFISIDKLCQECQTLIDNHDQIKLLSNARNNLNKTLKDVEGMMSISVEAAAARESLSDDKEIVNTYERLTALDGKRRFALAAAGEEVGRLREYFEDVDRTWETFEKTLWGHVSNYYKLSKESPQTLVRALRVVEMQEILDQQLAEEAAEAEGEGAMASVANPRRPGKKSTTTSASSKGLAQQKIKVQGKGYKDKCYEQIRKAVEDRFNRLLTLVFEDLKAALEEARMIGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANDLTNIEILKVTGWVVEYQENLIALGVDDSLAQVCSESGSMDPLMNAYVERMQATTKKWYMNILEADKVQPPKKTEEGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRIALAIIQATMFPISHPIVQFHLPLFSLFYFFPFSLCFKMIVMIDFQAAEKKRVDEPASDIGLEPLCAMINNNLRCYDLAMELSNSTLEALPQNYAEQVNFEDTCKGFLEVAKEAVHQTVRVIFEDPGVQELLVKLYQKEWCEGQVTEYLVATFGDYFTDVKMYVEERSFRRFVEACLEETVVVYVDHLLTQKNYIKEETIERMRLDEEVLMDFFREYISASKVESRIRIMSDLRELASAESLDAFTLVYSNILEHQPDCPAEVVEKLVSLREGIPRKDTKEVVQECKEIYENTLVDGNPPKTGFVFPRVKCLAASKGSLWRKLT >fgenesh2_kg.2__1437__AT1G71840.1 pep chromosome:v.1.0:2:15061353:15063583:1 gene:fgenesh2_kg.2__1437__AT1G71840.1 transcript:fgenesh2_kg.2__1437__AT1G71840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7KYY8] MNNLAMEEEDEGEIFLGESDVLREIDVDEEDLPEADDDDMDDDAEEFDENDDSVHTFTGHKGELYALACSPTDATLVATGGGDDKAFLWKIGNGDWAAELPGHKDSVSSLAFSYDGQLLASGGLDGVVQIFDASSGNLKCVLDGPGAGIEWVRWHPRGHIVLAGSEDCSLWMWNSDKEAYLNMFSGHNLNVTCGDFTPDGKLICTGSDDASLIVWNPKTCESIHVYTKSFAFFDSCEMLTSDINSNSSLAISGSKDGSVHIVNIVTGKVVSSLNSHTDSVECVKFSPSSATIPLAATCGMDKKLIIWDLQHSTPRFICDHAEGVTSLTWIGTSKYLATGCADGTVSVWDSLLGNCVHTYHGHQDAVQAISVSTNADFIVSVSVDNTARVYETSEFQNKVA >fgenesh2_kg.2__1438__AT1G71850.1 pep chromosome:v.1.0:2:15063903:15065605:-1 gene:fgenesh2_kg.2__1438__AT1G71850.1 transcript:fgenesh2_kg.2__1438__AT1G71850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIFRTISIRRHSGHRTFSDTAVEDVYKFVRDRGLDHAVEREKNLRPLLSIKDLIRSEPAKSVPISVITTKKDSLRVPLRPIEFIRSFPSVFQEFLPGGIGIHPHISLTPEILNLDADEQLVYGSESYKQGLADRLLKLLMINRINKIPLEILDLLKWDLGLPQDYVETMVPEFPDYFRVIKSKLRGCSGELELVCWSNDHAVSVLEKKARTIGKGEYKKESAIAFPMKFSNGFVVDKKMKKWIDDWQKLPYISPYENALHLSATSDESDKWAAAVLHEIMNLFVSKKVEKDAILHLGEFMGLRSRFKRVLHNHPGIFYLSSKLRTHTVVLRDGYKRGMLIESNELVTSRNRYMKLMNTVKKENKAVPSSSKREDKEKVEGAVCDTNAKAENDDISGSDVENDHQGDFVDDDEDDDEVDQNQSLNRGRRNSSPRAGRRSFGNSGSRDKPQSRRNKISLKTEKKRSRMY >fgenesh2_kg.2__1442__AT1G71865.1 pep chromosome:v.1.0:2:15069312:15070553:1 gene:fgenesh2_kg.2__1442__AT1G71865.1 transcript:fgenesh2_kg.2__1442__AT1G71865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFACLVCHSVESPSHSFRSYSVSSSDNEGRCSVIASCLTRKSLIQAARSNAFPASSSKVTPQPNFQVGEGASPRLVRSRAVRRDIVRDWNFNEIETEL >fgenesh2_kg.2__1445__AT1G71890.1 pep chromosome:v.1.0:2:15128008:15130260:1 gene:fgenesh2_kg.2__1445__AT1G71890.1 transcript:fgenesh2_kg.2__1445__AT1G71890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALEAERAANDAAALETQSSSEDLGQPSPLRKIISVASIAAGVQFGWALQLSLLTPYVQLLGIPHKWSSYMWLCGPISGMLVQPIVGYHSDRCESRFGRRRPFIATGAVLVAVSVFLIGFAADMGHSFGDKLENKVRTRAIIIFLTGFWVLDVANNTLQGPCRAFLADLAAGDAKKTRVANACFSFFMAVGNVLGYAAGSYTNLHKMFPFTMTKACDVYCANLKTCFFLSITLLLILTFSSLWYVKDKQWSPPHGEKEKTSSVFFFGEIFGAVRVMKRPMWMLLMVTVINWIAWFPFLLYDTDWMGREVYGGNSDGNEQAKKLYDQGVQAGALGLMFNSILLGFVSLGVESIGRKMGGAKRLWGCVNFILAIGLAMTVLVTQSADNHRKTAGALSGPSSGIKAGVFSLFTVLGIPLAITYSVPFALASIFSTNSGAGQGLSLGVLNIAICIPQMIVSFSSGPLDAHFGGGNLPSFVVGAIAAAISGVLALTVLPSPPADAPAMSGAMGFH >fgenesh2_kg.2__1447__AT1G71910.1 pep chromosome:v.1.0:2:15135303:15136284:1 gene:fgenesh2_kg.2__1447__AT1G71910.1 transcript:fgenesh2_kg.2__1447__AT1G71910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSVSSEEFSFPSLASHDPSHHSGLDSPPLWKHSPVNSFRRKDYDFGLARLLIGKDDDHDQRKSFSYVEMRSRWMDDKEEEKMDMLWEDLNEELPPRSQSLRIEPGGDGGEKKPSLFSDESSAVAVGCGMKLSKKTKKKKNLNVLVLMRVLKKLIVLRSSSQRSTVKTHPR >fgenesh2_kg.2__1449__AT5G10330.1 pep chromosome:v.1.0:2:15137309:15139369:1 gene:fgenesh2_kg.2__1449__AT5G10330.1 transcript:fgenesh2_kg.2__1449__AT5G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2196 [Source:UniProtKB/TrEMBL;Acc:D7KZ00] MGVINVQGSPSFSIHSSEANLRKSRALRKPLCSIRNRVYCVQSSSVSVDESKNITMGDSFIRPHLRQLAAYQPILPFEVLSAQLGRKPEDIVKLDANENPYGPPPEVFEALGNMKFPYVYPDPQSRRLRDALALDSGLESEYILVGCGADELIDLIMRCVLDPGEKIIDCPPTFSMYVFDAAVNGAGVIKVPRNPDFSLNVDRIAEVVELEKPKCIFLTSPNNPDGSIISEDDLLKILKMPILVVLDEAYIEFSGVESRMKWVKKYENLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAGEVAALAALSNGKYLEDVRDALVRERERLFGLLKEVPFLNPYPSYSNFILCEVTSGMDAKKLKEDLAKMGVMVRHYNSQELKGYVRVSAGKPEHTDVLMECLKQFY >fgenesh2_kg.2__144__AT1G63290.1 pep chromosome:v.1.0:2:928140:929909:1 gene:fgenesh2_kg.2__144__AT1G63290.1 transcript:fgenesh2_kg.2__144__AT1G63290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-phosphate 3-epimerase [Source:UniProtKB/TrEMBL;Acc:D7KTH9] MVGVSPKIAPSMLSSDFANLAAEAKRMIDLGANWLHMDIMDGHFVPNLTIGAPVIESLRKHTNAYLDCHLMVTNPMDYVDQMAKAGASGFTFHVEVAKENWQELVEKIKSAGMRPGVALKPGTPVEQVYPLVEGTNPVEMVLVMTVEPGFGGQKFMPNMMDKVRALRNKYPTLDIEVDGGLGPSTIDAAAAAGANCIVAGSSVFGAPEPGDVISLLRASVKKAQPST >fgenesh2_kg.2__1454__AT1G71940.1 pep chromosome:v.1.0:2:15149945:15151608:1 gene:fgenesh2_kg.2__1454__AT1G71940.1 transcript:fgenesh2_kg.2__1454__AT1G71940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRILTRDEELGVISDDDDSPSGKRSKLDRFPLSRWELAVSLGVFLVFSSGLCCIYMTMPAAEFGKLKLPRSIADLRLLKDNLANYANEYPAQFVLGYCATYIFMQTFMIPGTIFMSLLAGALFGVFKGVVLVVFNATAGATSCFFLSKLIGRPLITWLWPDKLRFFQAEISKRRDKLLNYMLFLRITPTLPNLFINLASPIVDVPFHVFFLATLIGLVPAAYITVRAGLAIGDLKSVKDLYDFKTLSVLFLIGFISILPTILKRKKIVE >fgenesh2_kg.2__1456__AT1G71960.1 pep chromosome:v.1.0:2:15155903:15161787:-1 gene:fgenesh2_kg.2__1456__AT1G71960.1 transcript:fgenesh2_kg.2__1456__AT1G71960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFDGVENQMNGPDSSPRLSQDPRDTRSLSSSCFPITLKFVDVCYRVKINGMSSDSCNFKKFLGLKHQPSDETRSTEERTILSGVTGMISPGEFMAVLGPSGSGKSTLLNAVAGRLHGSNLTGKILINDGKITKQTLKRTGFVAQDDLLYPHLTVRETLVFVALLRLPQSLTRDDKIRAAESVISELGLTKCENTVVGNTFIRGISGGERKRVSIAHELLINPSLLVLDEPTSGLDATAALRLVQTLAGLAHGKGKTVVTSIHQPSSRVFQMFDTVLLLSEGKCLFVGKGRDAMAYFESVGFSPAFPMNPADFLLDLANGVCQTDGVTEREKPNVRQTLVTAYDTLLAPQVKTCIEASHFPQENARFVKTRVSGGVITTCITTWFSQLCILLHRLLKERRHESFDALRVFQVIAASLLSGLMWWHSDYRDVHDRLGLLFFISIFWGVLPSFNAVFTFPQERAIFTRERASGMYTLSSYFMAHVLGSLSMELVLPAAFLTLTYWMVDLRPGLVPFLLTLSVLLLYVLASQGLGLALGAAIMDAKKASTIVTVTMLAFVLTGGYYVNKVPSGMVWLKYVSTTFYCYRLLVAVQYGSGEEILRMLGCDSKGKQGAGAATSAGCRFVEEEVIGDIGLWTSVGVLFLMFVGYRVLAYLALRRIKH >fgenesh2_kg.2__1463__AT1G72030.1 pep chromosome:v.1.0:2:15193520:15194556:1 gene:fgenesh2_kg.2__1463__AT1G72030.1 transcript:fgenesh2_kg.2__1463__AT1G72030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSQSLYSAAARPKFPYPGSCGQGIYRQKLTWSRFPVILRCASTESLTSLTQNNATEIELKHLVSQHGWDVRRLNRDDEDEIRRVSLVQAEAFHIPLALFNDFFFMFFQAEVLSALLYKLKNSPPDRYACLVAEQTSQPETSSSSSVLGVVDVTAQTESSVLCHFPGVEEYLYVSGLAVSKAQRRKKMASTLLKACDVLCYLWGFKLLALRAYEDDAAARNLYSNAGYSVVETDPLWTSTWIGRKRRVLMTKRFS >fgenesh2_kg.2__1466__AT1G72050.2 pep chromosome:v.1.0:2:15198673:15200929:1 gene:fgenesh2_kg.2__1466__AT1G72050.2 transcript:fgenesh2_kg.2__1466__AT1G72050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKKDVKTSAKKDIRNYLCQYCGISRSKKYLITSHIQSHHQMELEEERDDEDCEVEEEFSSKHTCQECGAEFKKPAHLKQHMQSHSLERPFACYVDDCATSYRRKDHLNRHLLTHKGKLFKCPKENCKSEFSVQGNVSRHVKKCHSSGDSDKDTTGKGDSDKENAGNGDSGKDNTGNGDSQPSECSTGQKKLVCKEIGCGKAFKYPSQLQKHQDSHVKLDSVEAFCSEPGCMKYFTNEECLKAHIRSCHQHINCEICGSKHLKKNIKRHLRTHDEDSSPGEFKCEVEGCSSTFSKASNLQKHVKAVHEDLRPFVCGFPGCGMRFAYKHVRNNHENSGCHVYTCGDFVETDEDFTSRPRGGLKRKQVTAEMLVRKRVMPPRFDSEEHETC >fgenesh2_kg.2__1467__AT1G72060.1 pep chromosome:v.1.0:2:15201178:15201663:1 gene:fgenesh2_kg.2__1467__AT1G72060.1 transcript:fgenesh2_kg.2__1467__AT1G72060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-type endopeptidase inhibitor [Source:UniProtKB/TrEMBL;Acc:D7KZ92] MASIYKIWVMSLIVAGAILGGVIPGVTTTKTAIACPLYCLQVEYMTCRSSGEEKLPPKCNCCLAPKNCTLHLSDSTSIHCNK >fgenesh2_kg.2__1470__AT1G72100.1 pep chromosome:v.1.0:2:15210151:15211952:1 gene:fgenesh2_kg.2__1470__AT1G72100.1 transcript:fgenesh2_kg.2__1470__AT1G72100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLLALCLFLTTLLAAEVWSPSPATTTHRTPPSEGDVIVKDGHRVVVVEYDRDGKTNTRVSISPPSADQGEEKENEEEKGTSLIRNVKEKAKETASYLPHVGQGISQPVMTEEARDHHATAGEVICDAFGKCRQKIASVVGRAKDRTADSVGETASDVGEAAAQKAHDVKETVTHAARDVEDRVADQAQYAKGKVSEKAQDVKESVAHKAHDAKESVAHKAHDAKEKVREKAHDVKETVAQKAHESKERAKDRVRDKAQELKETAAHKSKNVWERVKNVAREFGSVTAATLSPTKVASILGLTAIAAAFGTTVWVTFVSSYVLASVLGRQQFGVVQSKLYPVYFKATSVGILVGLFGHVLSRRRKLLTDATEMWQGVNLLSAFFMIESNKSFVEPRATKAMFERMKAEKEEGRGERTSEQELRRKLEQLSERLSKLNTYSSWLNILTLMSLTWHFVYLGQRLGTAC >fgenesh2_kg.2__1471__AT1G72110.1 pep chromosome:v.1.0:2:15212283:15214633:-1 gene:fgenesh2_kg.2__1471__AT1G72110.1 transcript:fgenesh2_kg.2__1471__AT1G72110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIERQVLLEGEEPVSPFARLFSLPGLDVFNIVTIGCKTEGNASTIIEGIKNTLINHPRFSSILVTGHGEHKGKARWVPTEVKVEEHVIVPDIDPSIENPDEFLEDYTSNMALSPMDMSKPLWEFHLLKLKTSHAEAVTVARFHHSLGDGMSLMSLLLACTRKTCDPEALPTFVAPKKSKAKNVCFSLVAWLWFVVRLMFHTCVEVIKSIFVCRARDTSAHIMGKPGTTISANKFIHQIISLDDVKMVKNAMNVMIFMFFLSFLLDSGLSRYLNQRYDLETSSKSRKNLHGVVFFNLRPNRNIEDLANMMAKGSKCRWGNSIGYVLIPLEMKIFGSTTMIFSNVVGPAEEISFFGHQISYIAASTFGIPQALIIGIQSYVDKLIINIGVDVDVIPDPHHLCDLIIEALRMMNSAAPKKIFHASKV >fgenesh2_kg.2__1479__AT1G72170.1 pep chromosome:v.1.0:2:15252473:15253799:1 gene:fgenesh2_kg.2__1479__AT1G72170.1 transcript:fgenesh2_kg.2__1479__AT1G72170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKNGSLPPEYDVNAKWDACLDLTVRRFVYSSLGGAFAGLLFFRSPVTRWASIALGAGIGIGSAYTDCSRSFDAPSSSSANLAAPKDTTETSPVSQAAEE >fgenesh2_kg.2__1481__AT1G72180.1 pep chromosome:v.1.0:2:15263223:15268945:1 gene:fgenesh2_kg.2__1481__AT1G72180.1 transcript:fgenesh2_kg.2__1481__AT1G72180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPDLLRGRMLATVAATILFSMFPPNVESTVEKQALFRFKNHLDDPHNILQSWKPSDSPCVFRGVTCDPLSGEVIGISLGNANLSGTISPSISALTKLSTLSLPSNFISGRIPPEIVNCTNLKVLNLTSNRISGTIPNLSPLKNLEILDISGNFLTGEFQSWIGNMTQLFSLGLGNNHYEEGMIPESIGGLKKLTWLFLARSNLTGKIPNSIFDLNALDTFDIANNAISGDFPVLITRFVNLTKIELFNNRLTGKIPPEIKNLTRLREIDVSSNQLSGALPEELGNLKELRVFHCHENNFTGEFPSGLGDLRHLTSLSIYRNNFSGEFPVNIGRFSPLDTVDISENEFTGPFPRFLCQNKKLQFLLALQNNFSGEIPRSYADCKSLLRLRINKNRLSGHVTEGFWALPLAKMLDLSDNELTGEISPQIGLSTELSQLILQNNRFSGKIPRELGRLTNIERIYLSNNKISGEIPMEVGDLKELSSLHLENNSLTGFIPVELTNCVKLVDLNLAKNFLTGEIPNSLSQIASLNSLDFSGNKLTGEIPASLVKLKLSFIDLSGNQLSGRIPPDLLAVGGSTAFSRNEKLCVDKQNAKTSQNLRLSICSGDQHVQRNGSLDGTLLFLALAIVVVVLVTGLFALRYRVLKIRELDSENGDINKADAKWKIASFHQMELDAEEICRLDEDHVIGAGSAGKVYRVDLKKGGGTVAVKWLKRAGGEEVDGTEVSVAEMEILGKIRHRNVLKLYACLVGRGSRYLVFEFMENGNLYQALRNNIKGGLPELDWLKRYKIAVGAAKGIAYLHHDCCPPIIHRDIKSSNILLDGDYESKIADFGVAKVADKGYEWSCVAGTHGYMAPELAYSFKATEKSDVYSFGVVLLELVTGLRPMEDEFGEGKDIVDYVYSQIQQDRRNLRNVLDKQVLSSYVEESMIRVLKMGLLCTTKLPNLRPSMREVVRKLDDADPCVSNSLDRTGKITV >fgenesh2_kg.2__1482__AT1G72190.1 pep chromosome:v.1.0:2:15268920:15271263:-1 gene:fgenesh2_kg.2__1482__AT1G72190.1 transcript:fgenesh2_kg.2__1482__AT1G72190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase family protein [Source:UniProtKB/TrEMBL;Acc:D7KZA7] MTIMFKIAKATLRNRNSSVASLFYSLQARSISRQSKVVKTERMVEKEDMHVTRVLCCGPYFPDSYNFTREYLQPYPFIQVDVVHYRDVPEVIKNYHICVAMTMQMDSNVISRASKMKLIMQYGVGLDGVDVDAATKHGIKVARIPSEGTGNAASCSEMAIYLMLGLLKKQNEMQISLRNRLLGEPTGDTLLGKTVFILGYGNIGTELAKRLKPFGSRVIATKRSWPASIVDSDSRLVDEKGSHEDIYTFAGKADIVVVCLRLNKETAEIVNKKFICSMKKGTLLVNIARGGLINYESAFQNLESGHLGGLGIDVAWSEPFDPNDPILKFKNVIITPHVAGVTEYSYRSMAKIVGDLALQLHEGLPLTGIEFVN >fgenesh2_kg.2__1483__AT1G72200.1 pep chromosome:v.1.0:2:15271359:15272780:-1 gene:fgenesh2_kg.2__1483__AT1G72200.1 transcript:fgenesh2_kg.2__1483__AT1G72200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KZA8] MNPKGNNNLNRSIIGGHDHGSILQLLLFLLLLSSHGGFQLVAGQATHGGSDVPGDSSRFDPTMAILMIVLVSVFFFLGFFSVYIRRCLERVMGMDYGNPNDAGNWFATNLQQARGLDASVIETFPTFRYATVKALRIGKEALECPVCLNEFEDDETLRLIPKCCHVFHPGCIDAWLHSHATCPLCRADLVPVPGEPIVSIQIPGLVNDPPGSDPSGDRIRVLGSPDARLIDSVALTCNQSMPRRSMSTGWNLAGMFTNSDRTGQHSGNLDRFTLKLPQDIHNKLVNPSLSKGHVLLPHVMSSARGYRSGSLGSERNYFYYERFDQDGRLDRRPFSITPPYRTGSINNTSPGDSSDHVRAGSPKSLLLAMKSPFDRLFLGKNNNVGERSSDHLRSGDATPSNTV >fgenesh2_kg.2__1484__AT1G72230.1 pep chromosome:v.1.0:2:15306338:15307549:1 gene:fgenesh2_kg.2__1484__AT1G72230.1 transcript:fgenesh2_kg.2__1484__AT1G72230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSTLVGFLVIIFFNVFAPASSASHPVEWSLGKDYSSLATGKSFAVGDTIVFNYGAGHTVDEVSESDYKSCTLGNAISSDSSGTTSIALKTSGPHYFICGIPGHCTGGMKLSVTVPAASSGGSTGDGTTDKNTPVQDGKTTPSEGKKASPSASGTAVLKPLDALVVTSVVALLYALALS >fgenesh2_kg.2__1487__AT1G72280.1 pep chromosome:v.1.0:2:15325616:15328414:-1 gene:fgenesh2_kg.2__1487__AT1G72280.1 transcript:fgenesh2_kg.2__1487__AT1G72280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGIKEEESEKKRKTWRWPLATLVVVFLAVAVSSRTNSNVGFFFSDRNSCSCLLQGTGKYKGMVEDCCCDYETVDNLNSEVLNPLLQDLVTTPFFRYYKVKLWCDCPFWPDDGMCRLRDCSVCECPENEFPEPFKKPFVPGLPSDDLMCQEGKPQGAVDRTIDNRAFRGWVETKNPWTHDDDTDSGEMTYVNLQLNPERYTGYTGPSARRIWDSIYSENCPKYSSGETCPEKKVLYKLISGLHSSISMHIASDYLLDESSNQWGQNIELMYDRILRHPDRVRNMYFTYLFVLRAVTKATAYLEQAEYDTGNHAEDLKTQSLIKQLLYSPKLQTACPVPFDEAKLWQGQSGPELKQQIQKQFRNISALMDCVGCEKCRLWGKLQVQGLGTALKILFSVGNQDIGDQTLQLQRNEVIALVNLLNRLSESVKMVHDMGPDVERLMEDQIAKVSAKPGRLRRIWDLAVSFW >fgenesh2_kg.2__1488__AT1G72300.1 pep chromosome:v.1.0:2:15338197:15341837:-1 gene:fgenesh2_kg.2__1488__AT1G72300.1 transcript:fgenesh2_kg.2__1488__AT1G72300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEKMRSKSICPLLRPVKPLSPRMVLFVLVYVLSLSVFFLTVSEAVCNLQDRDSLLWFSGNVSSPLSPLHWNSSTDCCSWEGISCDDSPENRVTSVLLPSRGLSGNLPSSVLNLRRLSRLDLSHNRLSGPLPPDFLSALDQLLVLDLSYNSFKGELPLQQSFGNGSNGIFPIQTVDLSSNLLEGEILDGSVFLEGAFNLTSFNVSNNSFTGPNPSFMCTTSPQLTKLDFSYNDFSGELSQELGRCSRLSVLRAGFNNLSGEIPKEIYKLPELEQLFLPVNRLSGKIDDGITRLTKLTLLELYFNHLEGEIPNDIGKLSKLSSLQLHINNLTGFIPVSLANCTNLVKLNLRVNKLGGNLSAIDFSQFQSLSILDLGNNSFTGEFPSTVYSCKTMTAMRFAGNKLTGQISPQVLELESLSFFTFSDNQMTNLTGALRILQGCKKLSTLIMAKNFYDETVPSEIDFLDSDGFPSLQIFGIGACRLKGEIPAWLIKLQRVEVMDLSMNRLVGSIPGWLGTLPDLFYLDLSDNLLTGELPKELFQLRALMSQKAYYATERNYLELPVFVNPNNVTTNQQYNQLSSLPPTIYIRRNNLTGSIPVEVGQLKVLHILELLSNNFSGSIPDELSNLTNLERLDLSNNNLSGRIPWSLTGLHFMSYFNVANNTLSGPIPTGSQFDTFPKAYFEGNPLLCGGVLLTSCTPTQPSTTKIVGKGKVNRRLVLGLVIGLFFGVSLILVMLALLVLSKRRVNPGDSENAELEINSNGSYSEVPQGSEKDISLVLLFGNSRYEVKDLTIFELLKATDNFSQANIIGCGGFGLVYKATLDNGTKLAVKKLTGDYGMMEKEFKAEVEVLSRAKHENLVALQGYCVHDSARILIYSFMENGSLDYWLHENPEGPAQLDWAKRLNIMRGASSGLAYMHQICEPHIVHRDIKSSNILLDGNFKAYVADFGLSRLILPYRTHVTTELVGTLGYIPPEYGQAWVATLRGDVYSFGVVMLELLTGKRPMEVFRPKMSRELVAWVHTMKRDGKAEEVFDTLLRESGYEEEMLRVLDIACMCVNQNPMKRPNIQQVVDWLKNIEAEKTNPNNREELEEEET >fgenesh2_kg.2__1489__AT1G72310.1 pep chromosome:v.1.0:2:15349041:15350669:1 gene:fgenesh2_kg.2__1489__AT1G72310.1 transcript:fgenesh2_kg.2__1489__AT1G72310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGSAHSSMFGDLSTEEVTSKIILTAIIVLFMAVLFVLILHLYAKLYWWRIDQLQQQQQQQQEQEQEEDQSSIAPPVVTRRQRRRFIFVPGQDALSNTGLTSFELSSLPIVFFRQDSCKDGLECSICLSELVKGDKARLLPKCNHSFHVECIDMWFQSHSTCPICRNTVLGPDKASSKRVEQVPDNADHAGSTNNNHDALSQISTSSPEFPTNVLVWGRQDQVSTGNNNVGPQEDSAAGNGASQSQEAVVLDINDSSIRSQNVSSSSSSMRFIVEEEEAKSPMTTRLRSLRRFLSRDKRVACSSSSTSSSSSSSNAVASVDP >fgenesh2_kg.2__148__AT1G63280.1 pep chromosome:v.1.0:2:959936:960264:-1 gene:fgenesh2_kg.2__148__AT1G63280.1 transcript:fgenesh2_kg.2__148__AT1G63280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KTI9] MEAEQVRRELNKWASDHTNGLIRDLLPPGSVKSETVQVYGNALYFKGAWENKFDKSLTKINKFHLLDGKQVHVPFMRSYKSQYIKAYDGFKVLGLPYQQGHDDTKRKFS >fgenesh2_kg.2__1490__AT1G72320.1 pep chromosome:v.1.0:2:15352187:15356193:-1 gene:fgenesh2_kg.2__1490__AT1G72320.1 transcript:fgenesh2_kg.2__1490__AT1G72320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APUM23 [Source:UniProtKB/TrEMBL;Acc:D7KZC3] MVSVGSKSLPSRRHRTIEEDCLMGERGKSSNNHGERKKGMRRKDHKGGRGFDGDSNKRNQSGGAPNVKPASKKQSEFEHQNQFVRKEIDPETSKYFSEIANLFDSNEVELEERSVICGNALEETRGREYEIATDYIISHVLQTLLEGCELDQLCSFIRNSATVFPAIAMDRSGSHVAESALKSLATHLENPDAYSVIEEALNSICKVIVDNPLDMMCNCYGSHVLRRLLCLCKGVSLDSPELYGAKSSKALAKRLNLKMSQLDDNTLELPHQGFPDMLKYLLSGILNCSREDMTYLQVDQYSSLVLQTALRLMLKQDEELLEIIPLILRCNSTNKKVEGFHIETNVAKEILVSMKDNSFSHLVEVILEVAPESLYNEMFNKVFKNSLYELSVDRCANFVIQALISHARDQEQMGMMWEELAPRFKDLLEQGKSGVVASLIAVSQRLESHEHKCCEALVGAVCSTNESRISIVPRLLFLDYYFGCQDKSTWEWAPGAKMHVMGCLILQGIFKFSSDHIQPYITSLTSMKAEYITETAKDSSGARVIEAFLASNAATKQKRRLIIKLRGHFGELSLHTSGSFTVEKCFDACNLTLREAIAAELLDVKVDLSKTKQGPYLLRKLDIDGYASRPDQWKSRQEAKQSTYDDFCSTFGSNKSNFPKNTFVSDTSEDAYQEIEVKNTRKEIDHHPTSGFKRHREEHAKDKDEPFAGEKRAKQKKNKTSEATDKPKLAGSKRPFLSGEMTGKNRHSNKLRI >fgenesh2_kg.2__1492__AT1G72330.1 pep chromosome:v.1.0:2:15357178:15360659:1 gene:fgenesh2_kg.2__1492__AT1G72330.1 transcript:fgenesh2_kg.2__1492__AT1G72330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALAAT2 [Source:UniProtKB/TrEMBL;Acc:D7KZC4] MRRFLINQAKGLVDHSRRQHHKSPSFLSPQSRPLASLSRFFSSTSEMSASDSTSSLPVTLDSINPKVLKCEYAVRGEIVNIAQKLQEDLKANKDAYPFDEIIYCNIGNPQSLGQLPIRFFREVLALCDHASLLDESETHGLFSTDSIDRAWKILDQIPGRATGAYSHSQGIKGLRDVIAAGIEARDGFPADPNDIFLTDGASPAVHMMMQLLLSSKKDGILCPIPQYPLYSASIALHGGSLVPYYLDEATGWGLEISDLKKQLEEARSKGIAVRALVVINPGNPTGQVLAEDNQRDIVNFCKQEGLVLLADEVYQENVYVPDKKFHSFKKVARSLGYGEKDISLVSFQSVSKGYYGECGKRGGYMEVTGFTSDVREQIYKMASVNLCSNISGQILASLVMSPPKPGDDSYDSYMAERDGILSSMAKRAKTLEDGLNSLEGVTCNRAEGAMYLFPRINLPQKAIEAAEAEKTAPDAFYCKRLLNATGVVVVPGSGFGQAPGTWHFRCTILPQEDKIPAIVNRLTEFHKSFMDEFRD >fgenesh2_kg.2__1493__AT1G72340.1 pep chromosome:v.1.0:2:15360754:15362413:1 gene:fgenesh2_kg.2__1493__AT1G72340.1 transcript:fgenesh2_kg.2__1493__AT1G72340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2B family protein [Source:UniProtKB/TrEMBL;Acc:D7KZC5] MWRRSPSFILDERRSSNSPPMADTTRGPFQNSDSISAYYQTRAAHHGVITSDWLAQAQAAVGGVSGEEQSLSSDNQLGTEKSFNVIEEFNNWRKQPDLAEAVAAIRALAAVIRASEASTMMELEIELKKASDTLKSWDKTSISLTAGCDLFIRYVTRTSALEYEDFNSAKSRLLERAEKFGEISCKARRIIAMLSQDFIFDGCTILVHGLSRVVLEILKTAAQNNKLFRVLCTEGRPDGTGVLLSSELSKLDIPVKLLLDSAVAYSMDEVDMVFFGADGVVESGGIINMMGTYQIALVAHSMNKPVYVAAESYKFARLYPLDQKDMAPALRPIEFGVKIPTKVEVERSARDYTPPQYLTLLFTDLGVLSPSVVSDELIQLYL >fgenesh2_kg.2__14__AT1G64610.1 pep chromosome:v.1.0:2:97146:100272:1 gene:fgenesh2_kg.2__14__AT1G64610.1 transcript:fgenesh2_kg.2__14__AT1G64610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KS76] MGTRGDEEDEDRFFDASEHSSDFGLHLWTNTPDSVSSRRRKFLQSMGFSFKQTATDDDDSEDDDLEPSHSDSNLVSQVVNLSSAVDLLVRNESTTSSGFDTSSAEEDTDDQTLLSRNHSSSSSSTMPEGLSESSSSKSGCFGDFHNSPLSQRDDLLKKGAKSWLKKLGVLTHVFESMDCQSVRSPLHQVARVQTHKKHFKELSSLCIDQEFSAHDGSILAMKFSPDGKYIASAGEDGVVRVWSITEEERTDKYEVAEVDSGVYFGMNQHSQIEPLKINNEKSEKKTSFLRKSSDSTCVVLPPTIFSILEKPLHEFRGHIGEILDLSWSEKGYLLSSSVDETVRLWRVGCDECLRTFTHNNFVTCVAFNPVDDNYFISGSIDGKVRIWDVTRCRVVDYTDIRDIVTAVCYRPDAKGAVIGSMTGNCRFYHIFDNQLQMDQEINVHGKKKVTSKRISGLQFLPSDTDSYKVMVTSADSQIRILCGDDVICKLKASSLRTTSASFISDGKHIISTSEDSYINVWSYSQLPSKKLYSETPKSIRSYEGFLSHNASVAIPWLRQGSRDGLSECITDLDRKIPKVDCFSPMKGSTTWPEEKLEDVVMSNRGKLKLLRSVWQPHLWGLVIVTATWDGRIRVFHNYGLPVRV >fgenesh2_kg.2__1503__AT1G72416.1 pep chromosome:v.1.0:2:15383535:15384806:-1 gene:fgenesh2_kg.2__1503__AT1G72416.1 transcript:fgenesh2_kg.2__1503__AT1G72416.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7KZD0] MEKEDLYAVMDLNNECTQRDLKLSYKNLVLKWHPDRFLEDIEKDEAKMKFQSIQRAYSVLSDSNKRLLYDVGAYDSDDDETGMADFINEMVTLMAQTQSTGDESLEEFEELFEELLKDDVNQFKTRSYSPVKGTSVHRDDLSNDIPNKINAHDNCCCISSSKVGGPSTRFQVGNRRSKK >fgenesh2_kg.2__1509__AT1G72450.1 pep chromosome:v.1.0:2:15413474:15415841:-1 gene:fgenesh2_kg.2__1509__AT1G72450.1 transcript:fgenesh2_kg.2__1509__AT1G72450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGQGQEKSNFSQRCSLLSRYLKEKGSFGNINMGLARISDLELAGKFDLKGQQNVIKKAETRPFEVIQKLSERSVCEASTSSGNKAIYVDLSEPEKVVPKPGNSQLTIFFGGKVMVFNEFPEDKANEIMEVAKEANHVAVDSKKNTQSHMNLDMNNKSNVVIPDLNEPTSSGNNEDQETGQQHQLVERIARRASLHRFFAKRKDRAVARAPYQVNQNGGHLPPKPEMGTPSIKSGQSSQHFATPPKPKAHNHMPMEVDKKEGQSSKNLELKL >fgenesh2_kg.2__1512__AT1G72490.1 pep chromosome:v.1.0:2:15429546:15431476:-1 gene:fgenesh2_kg.2__1512__AT1G72490.1 transcript:fgenesh2_kg.2__1512__AT1G72490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFGWMQNKLHGKQGINTHRPSISSVSSHQPREEFSDWPHGLLAIGTFGNKAQTSQKVDQEEIQEETVSKVEGQAQDRDQELSSTDDLEEDFTPEEVGKLQKELTKLLTRRSKKRKSDVNRELANLPLDRFLNCPSSLEVDRRISNALCDEKEEDIERTISVILGRCKAISTESKNKTKKSKRDLSKTSVSHLLKKMFVCTEGFSPVPSPSLRDTFQETRMEKLLRMMLHKKINTQTSLKQTSTKKYLQDKQQLSLKNEEEEERSSSDGGKWVKTDSDFIVLEI >fgenesh2_kg.2__1513__AT1G72500.1 pep chromosome:v.1.0:2:15437282:15440509:-1 gene:fgenesh2_kg.2__1513__AT1G72500.1 transcript:fgenesh2_kg.2__1513__AT1G72500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEFALRVEQGLKLSRRIYYGKGITPPVVPDSPSSPENFLPTAITAYASITDPVAVDNPDVPSYQPYVHARCDPSALVPLQMLGIEMRVDCWLDMAFVTVTGRWRVHCVMPSKRFDCCVGVPMGEKGTLLGAEIDVLSNEKSYKTKLVTEDETSDFENVHKDKDSRFFKSHIYTFKIPHVVGGSIFSVNVNWSQKLIYRDGKFHLNVPFRFPAYVNPVGKEITKREKIVLNMNSCVSGGEVASSYTSHPLKVIHREAGKLSCEYEAEVPSWSRVDFGVSFNVSSGDLSGNVLIKSPSPWDSDDRGIFCLYLFPGTTKHKKLFKRRVVFVIDISASMKWKPLEDVKKALLECLAKLQAEDVFNIIAFNDEILEFSTSMEFATDETISAVTEWLDTNLIANGGTNMLLPLKQAIKLLEGSNIGVPLVYLVTDGSVENEREICNAMKESCSRNGKSISPRISTFGIGSFCNHYFLQMLARIGNGYYDGTNNTDSFEHQMSRLFDIASSTIVANTTFDALKLLRSVELFPCHIPDITLCDPLILSGRYKGEFPDEVELRGTLADMSCFTIELTVQKAKDIPLDKVLARRQINELTARAWFEDKKELQEKVMRLSIQTGFPSEYTQMVLSLKHDEEEKTMARPVSIKEILRNPPYQIHKQMQRSNSVRTSLLGKQGYGFGNVTATLKNVPPWIEEPKETEGTELLIRAASGVVDRVCCMCCLQCMSRVSDQCTIVFSQLCAALACFQCIGCCFEVCGCLDL >fgenesh2_kg.2__1514__AT1G72510.1 pep chromosome:v.1.0:2:15452677:15454086:1 gene:fgenesh2_kg.2__1514__AT1G72510.1 transcript:fgenesh2_kg.2__1514__AT1G72510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KZE2] MSASVLSDPTMLISTPEVIIVSPTPATQISGDEPDSVTCDCCGLTEECTQSYIEMIRERYMGKWICGLCSEAVKYEVIRTKRLLTTEEAMARHMNMCNKFKSSSPPPNPTGHLISAMRQILRRSLDSPRMLRSMPNSPSKDDQDCVSNVLS >fgenesh2_kg.2__1515__AT1G72520.1 pep chromosome:v.1.0:2:15465495:15469595:1 gene:fgenesh2_kg.2__1515__AT1G72520.1 transcript:fgenesh2_kg.2__1515__AT1G72520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:D7KZE3] MALANEIMGSRLIFERSSSLASPFQPRFSTKKRTQRTQFSINPFDPRPMRAVNSNGVVAAISEETLRISTVGRKQEEEEEKSVKFKVRAVATVRNKNKEDFKDTLVKHLDAFTDQIGRNVVLELISTQVDPKTNEPKKSKAAVLKDWSKKSKLKAERVHYTAEFTVDSAFGSPGAITVTNKHQKEFFLESITIEGFACGPVHFPCNSWVQSQKDHPSKRIFFTNQPYLPSETPSGLRTLREKELENLRGNGKGERKLSDRIYDFDVYNDIGNPDISRELARPTFGGPKFPYPRRCRTGRSSTDTDMMSERRVEKPLPMYVPKDEQFEESKQNTFAACRLKAVLHNLIPSLKASILAEDFANFGEIDSLYKEGLLLKLGFQDDMFKKFPLPKIVTTLQKSSEGLLRYDTPKIVSKDKYAWLRDDEFARQAIAGINPVNIERVTSYPPVSNLDPEIYGPGLHSALTEDHIIGYLDGLSVQQALETNRLFMVDYHDIYLPFLDRINALDGRKAYATRTILFLTRLGTLKPIAIELSLPSQSSSNQKSKRVVTPPVDATSNWMWQLAKAHVGSNDAGVHQLVNHWLRTHACLEPFILAAHRQLSAMHPIFKLLDPHMRYTLEINAVARQTLISADGVIESCFTAGQYGLEISSAAYKNKWRFDMEGLPADLIRRGMAVPDPTQPHGLKLLVEDYPYANDGLLLWSAIQTWVRTYVERYYPDPNLIQTDIELQAWYSESINVGHADHRDAEWWPELSTVEDLVSVITTIIWLASAQHAALNFGQYPYGGYVPNRPPLMRRLIPDESDSEFASFMEDPQKYFFSSMPSLLQTTKFMAVVDTLSTHSPDEEYIGERQQPSIWTGDAEIVDAFYGFSAEIGRIEKEIEKRNRDPSRRNRCGAGVLPYELMAPSSEPGVTCRGVPNSVSI >fgenesh2_kg.2__1519__AT1G72550.2 pep chromosome:v.1.0:2:15478413:15482412:-1 gene:fgenesh2_kg.2__1519__AT1G72550.2 transcript:fgenesh2_kg.2__1519__AT1G72550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTISVGRDRLFAALGQSYTQEEFEDLCFRFGIELDDVTTEKAIIRKEKHIDEEADDDEEIIYKIEIPANRYDLLCLEGLAQALRVFNKKQEIPTYTLADISKDKLLKMNVKPETSKIRPFVVCAVLRGVTFDDARYNSFIDLQDKLHQNICRRRSLVAIGTHDLDTLQGPFTYEALPPTEINFVPLKQTKSFRADELIEFYKSDMKLKKFLHIIENSPVFPVLYDSKRTVLSLPPIINGAHSAITLQTKNVLIECTATDLTKAKIVLNTMVTTFSEYCARKFEIEPVEVTYNDGKSYIYPDLAVYDMEVPLSYITDSIGVSLEVEQVTSLLTRMQLHAEQAKSSDNQCGIKVHVPPSRSDVLHPCDVMEDVAIAYGFNNIPTRKPASIKPLPLNELTDLLRIEIAMNVYTEVVTWLLCSHKENFAMLNRVDDNSAVIIGNPRSADFEAMRRTLMPGILKTVGSNKDHPKPIKIYEISDVAMLDESKDVGASNRRHLAALHCGATSGFELIHGLVDRIMEVMAIPFVKINDNNGYYIKLSQEPEFLPGRQASIVVRGKQIGNFGIVHPQVLNNFDIPDPCSFVEIDIEALL >fgenesh2_kg.2__151__AT1G63240.1 pep chromosome:v.1.0:2:1009325:1048995:1 gene:fgenesh2_kg.2__151__AT1G63240.1 transcript:fgenesh2_kg.2__151__AT1G63240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLPPTITADATPDWLPVGWIAHSIVLKRGRQTKTYTQLRTGKKLSTKDQVLEYIKMEKIREKREAGIQRNKASLKALQDRETASERPSCLPDERKAELGIGSVSGHPYKMNVNTSNDFKTNPQETVVLDENTMESEDSSGEEYGTGCNEISYAVDEDEEDFSEREYVENVENFSNITSTPLRLQPERMQKLESRAKTQCVFEDEDMSSDSENKLPEAEISKEGNGLGEARVVSNLVESFEDKNSHDVVVECDDKAREIPGLTGSFTVEINLDCEPACDSLVEKNWNKSGSEGIGTRNIEIIDLESDVIHISGSSKVVEVTRESGDTSKEPLKAQEEPDNEWGKSVFFPYREAPSHSVLVFENSNARSSVEDLSNTIELGLNPQEKNISGSKKRKKNTETCSSTNTKKKGIEAPTKKLQKGKTPPSKPHGKTGSSSVMMDKNPNDWLKQCPNFPFEPLTRSFQVEDDSVIRMYLEQHFAAPGSDDSSLPLPDFGLPTFSNIKISLNEEPASKKKKSPDPPCVQVASSSLPSCSSMGTSMLQTVAGH >fgenesh2_kg.2__1520__AT1G72560.1 pep chromosome:v.1.0:2:15482861:15487942:-1 gene:fgenesh2_kg.2__1520__AT1G72560.1 transcript:fgenesh2_kg.2__1520__AT1G72560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEQAIVISFETGAVDSALKSQAVTFCQQIKETTSICSICIEKLWFSKLVQVQFWCLQTLQDVLRVRYGSMSVDEQSYVRKSVFSMACLEVIDNENAVRVVEGPPFVKNKLAQVLVTLIYFDYPLIWSSVFVDFMPHLSKGAVVIDMFCRVLNALDDELISLDYPRTSEEISVAARVKDAMRQQCVPQIARAWYDIVSLYRNSDPDLSATVLDCMRRFVSWIDIGLVANDAFVPLLFELILSDGLSDHFRGAAAGCILAMVSKRMDPQSKLPLLQTLQISRVFGLVSGDVDSELVSKVSALLTGYAVEVLECHKRLNSEDTKAVSMDLLNEVLPSVFYVMRNCEVDSTFSIVQFLLGYVSTLKGLPALKEKQLLHITQILEVIRIQICYDPMYRNNLNLLDKIGLEEEDRMSEFRKDLFVLLRTVGRVAPEVTQHFIRNALANAVDPHPRAMLKKWKLRSRFCIHLERSGCLSELIPMLWTTQFPGQSYRLVALVYLENITRYMKFIQENSQYIPNVLGAFLDERGLHHQNVHVSRRAGYLFMRVVKLLKSKLVPFIDKILQNLQDTLSQLTTLNFASRELSGTEDGSHIFEAIGIIIGLEDVPAEKQSDYLSLLLTPLCQQIEAGLVQAKAASSEDFPVKIANIQFAIVAINALSKGFSERLVTASRPGIGLMFKQTLDVLLRVLIEFPKVEPLRSKVTSFIHRMVDTLGSAVFPYLPKALEQLLADSEPKEMVGFLVLLNQLICKFNSALRDILEEVYPVVADRIFKVIPRDGLPSRPGAVTEELRELIELQRMLYTFLHVIATHDLSSVFLTPKSTAYLDPMMQLLLNTSCNHKDITVRKACVQIFIKLIKDWCAKPYSEEKVPGFQNFVIEAFATNCCLYSVLDKSFDFSDANTHALFGEIITAQKVMYEKFGNAFLMHIMSKSFPSAQIPQDLAEQYCQKLQGNDIRGLKSYYQSLIENLRLQQNGSHVFR >fgenesh2_kg.2__1523__AT1G72610.1 pep chromosome:v.1.0:2:15500094:15500965:-1 gene:fgenesh2_kg.2__1523__AT1G72610.1 transcript:fgenesh2_kg.2__1523__AT1G72610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTIFLLSLLFALSNASVQDFCVANLKRAETPAGYPCIRPIHVKATDFVFSGLGTPGNTTNIINAAVTPAFAAQFPGLNGLGLSTARLDLAPKGVIPMHTHPGASEVLYVLSGSITAGFVSSANAVYVQTLKPGQVMIFPQGLLHFQINAGKSSAAAVVTFNSANPGLQILDFALFANSLPTELVVGTTFLDATTVKKLKGVLGGTG >fgenesh2_kg.2__1527__AT1G72640.1 pep chromosome:v.1.0:2:15508209:15510070:-1 gene:fgenesh2_kg.2__1527__AT1G72640.1 transcript:fgenesh2_kg.2__1527__AT1G72640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSLPSCLLSQGPSLLFCSSNQVSETKCRIGISSGKRCRVRCLAKKKISFVDQILDYIEGGPKLRKWYGAPELRPKDGSLSGDDDEFEAEEAKDDLDGENDVVFVTDGDSDLGQMIILQLIVKGTRVKALVKDKRKALEAFGSYVELTSGDASDERFLKKAFKGVGAVISPTEGFLPNVKSFRGVKHAVLLSQLSVYESSGGIQAMMNNKAKKLAEQDENAVISSNVPYTIIRTGKLENSPGGNQGFNFNAGAAAKGSISKEDAARICVEALSVIPPTGLIFEVTNGEEVVSDWEGQLMKVMQRQSDKK >fgenesh2_kg.2__1528__AT1G72645.1 pep chromosome:v.1.0:2:15510209:15510972:1 gene:fgenesh2_kg.2__1528__AT1G72645.1 transcript:fgenesh2_kg.2__1528__AT1G72645.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KR23] FDGIRGSNDNAYIKNGVYSLSELSMSKFLGVRKHLTSATFVLLFLSFPMTILFVCTCRFLNIVQFNRNDNGFVFRFFLFFSSFHCCRRNRSTHACNFHSMMQQKDRCCKRRKVHNEVLKDQGHCLATNVCTVYILLVLSEKNQTCF >fgenesh2_kg.2__1530__AT1G72650.2 pep chromosome:v.1.0:2:15511728:15516089:1 gene:fgenesh2_kg.2__1530__AT1G72650.2 transcript:fgenesh2_kg.2__1530__AT1G72650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KR24] MGTVVGTVEDRRDMFEGSAQTRITPFSSTNQIGNPVAYKLVRVSGDGSLVPATDEEILEVNETDMHIASDACQTVGYLATDEENSEINETVMHIASDAWQTVGYLPAEGIPSRLSQLESSEGINSGLLQSDSVQPYTDQVKSPPEYNEKMLQKVEQEERLGNIHGSQMPSTPRDANIQCSDENNFFEEDQVHHEDLLQNECNMNQSDKIEPCSNAAASPKETALSGAAQKPDFSRVRGEICLDNLPIKALQETFRATFGRETTVKDKTWLKRRIAMGLINSCDVPATNLRVKDKMLVGNQEKTNDVTNAISKDMGDDVRATKMKDAPSSTDHVNGHSNGGDHYYASEDYSSEQRAAKRVRKPTRRYIEELSETDEKQQNDKSMIPSKDQKLSEKSEVRSISVSSGKRVTVTRMVSLAGSEIEVPYVSHVRRSRPRENIMALMGCHSSYLEDKASATESNLNLSPSQLSSEVVNRDLVEKSASRLVQKEFATSEENNEEHILSEVDQDMEPEHIDSSGNSSDDNNNIGVPIMQGGALRRKHHRAWTLSEVTKLVEGVSKYGAGKWSEIKKHSFSSYSYRTSVDLKDKWRNLLKSSFAQSPSNSVGNLKKHGSMHIPTQILLRVRELAE >fgenesh2_kg.2__1531__AT1G72660.1 pep chromosome:v.1.0:2:15516182:15519274:1 gene:fgenesh2_kg.2__1531__AT1G72660.1 transcript:fgenesh2_kg.2__1531__AT1G72660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGDGFEVTKYGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGVIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSQGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNTTAPLTRIDEKLCYQILHEYKIHNAEVLFREDATVDDFIDVIEGNRKYIKCVYVYNKIDVVGIDDVDRLARQPNSIVISCNLKLNLDRLLARMWDEMGLVRVYSKPQSQQPDFDEPFVLSADRGGCTVEDFCNHVHRTLVKDMKYALVWGTSARHYPQHCGLSHHLEDEDVVQIVKKKVREEGGRGRFKSHSNAPARIADREKKAPLKQ >fgenesh2_kg.2__1534__AT1G72670.1 pep chromosome:v.1.0:2:15519370:15521514:-1 gene:fgenesh2_kg.2__1534__AT1G72670.1 transcript:fgenesh2_kg.2__1534__AT1G72670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 8 [Source:UniProtKB/TrEMBL;Acc:D7KR26] MGGSGNWIKSLISNKKPITDDQEKNIKKKWKLWRTSSEGLISSSKGFKSRGGSYGTPSLGSDPPSFSADESFTAAVAAVIRAPPKDFFLVKREWAATRIQAAFRAFLARQALRALKAVVRIQAIFRGRQVRKQADVTLRCMQALVRVQARVRAHCNRGPSDGLELQKPSDQQKDDPAKQAEKGWCDSPGSINEVRTKLQMRQEGAIKRERAMVYALTHQPRTCPSPAKANKQGSVKKSNGSCKSSPGWNWLDRWVADRPWEGRLMEGPTNSSENARKSESSVSEHDTVQVRKNNLTTRVLVRPPPMSSSATSSESSSTSQSPVPFSGSFLEEGGYYRKPSYMSLTQSIKAKQRRSGSSSSCSKTPFEKKQSMSYNGDVNVRRSAGSDPLSNQCTDLYPPAQVTGRHMWAKSQRG >fgenesh2_kg.2__1538__AT1G72710.1 pep chromosome:v.1.0:2:15536153:15540649:1 gene:fgenesh2_kg.2__1538__AT1G72710.1 transcript:fgenesh2_kg.2__1538__AT1G72710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGGGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYKVLQGGTGVPNVKWYGVEGDYNVLVIDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRIEFVHQKSFLHRDIKPDNFLMGLGRRANQVYVIDFGLAKKYRDSNHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLKGSLPWQGLKAGNKKQKYEKISEKKVSTSIEALCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQISTPPPRHHGPVVGPSSGLPPAITSAERPSGGDEARPSGWSSGIPRRSSGQIFNSGSLAKQKAPVSSDPAISKDVVLSSSSFLRATGSSRRAAVSSSREAAVLGTDSEPSNPQIIEAGSGSNSKIPVGRSSPIVSSEINKLSSPSRATTSVMKNYEANLKGIESLHF >fgenesh2_kg.2__1540__AT1G72740.1 pep chromosome:v.1.0:2:15544808:15547251:-1 gene:fgenesh2_kg.2__1540__AT1G72740.1 transcript:fgenesh2_kg.2__1540__AT1G72740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7KR33] MGNQKLKWTGEEEEALLAGIGKHGPGKWKNILRDPEFADQLIHRSNIDLKDKWRNLSVAPGTQGSTNKARPTKVKEEGPAVATDDITNPPPNTIPTIPPPPNRRILPSELIPDEKSNIVVDAKNVPRYDGMIFEALSALADGNGSDVSSIFHFIEPRHEVPPNFRRILSTRLRRLAAQSKLEKVSTFKSLQNFYKIPDPSGTKKPAPKTKETHTKLRQANNQASAISLQMIEEAAITAACKVVEAENKIDVAKLAAEEFEKMTKLAEETGKLLLIANEMHEQCSGGEPMLLA >fgenesh2_kg.2__1542__AT1G72750.1 pep chromosome:v.1.0:2:15548441:15549611:1 gene:fgenesh2_kg.2__1542__AT1G72750.1 transcript:fgenesh2_kg.2__1542__AT1G72750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM23 [Source:UniProtKB/TrEMBL;Acc:D7KR34] MAANNRSDHGSDENTRLYNPYQNYEVPINKSQYLYKLPTSPEFLFTEESLRQRRSWGENLTFYTGTAYLGGSVAGASVGVITGVKSFESGDTTKLKINRILNSSGQTGRTWGNRIGIIGLVYAGIESGVVAATDRDDVWTSVVAGLGTGAVCRAARGVRSAAVAGALGGLAAGAVVAGKQIVRRYVPI >fgenesh2_kg.2__1543__AT1G72760.1 pep chromosome:v.1.0:2:15550665:15553532:-1 gene:fgenesh2_kg.2__1543__AT1G72760.1 transcript:fgenesh2_kg.2__1543__AT1G72760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KR35] MYARRVRGTSADYGTITAIAIDKDKNSQHALKWAVENIVVDTPQCVLLHVQLGNTGGHFHQDNQDEAHQFFLPFRGFCARKGIIAKEVILHDIDISNAIVNYITNNYYIANLVVGASARNSFLKKFKSPDVPTTLLTTTPETCAVFVVTKGRLLKSRSASHPHKLSRQQNLSSLLYNSTSSVSNDSDRESLSSPVSTQTNKPNSDFFQPNSPRISPPPSMSEISQSETDNGSSDMVSTVSSYTVSESSTTIGSSISSTSTESPHAGNFVEQQNQNLEAEVRKLRLELKQFKMDKDTTNQNENSQESPRSDEKIELPRALSEREKQKKQSAIQAAEIAKRIAKMESQKIRLLEMQANLDKQKMVTTVSYRRYSIKDVEGATYGFSDALKIGEGGYGPVYKAVLDYTPVAIKILKSGITEGLKQFRQEIEVLSSMRHPNMVILLGACPEYGCLVYEYMENGTLEDRLFCKNNTPPLSWRARFRIASEIATGLLFLHQAKPEPLVHRDLKPANILLDKHLTSKISDVGLARLVPPSVSDSYSNYHMTSAAGTFCYIDPEYQQTGMLGVKSDVYSFGVVLLQIITAQPAMGLGHKVEMAIENNNLREILDPTVSEWPEEETLELAKLALQCCELRKKDRPDLALVLLPALNRLKEFATEDHERIQDRTSHVSHEHNSVPLSPIPSSQVSFLEPFFLRDLSCKSNFRKFHTH >fgenesh2_kg.2__1546__AT1G72790.1 pep chromosome:v.1.0:2:15559738:15561788:1 gene:fgenesh2_kg.2__1546__AT1G72790.1 transcript:fgenesh2_kg.2__1546__AT1G72790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDGDASTPFWLQSRRNNTYFRRTASLGGRATTVATQIFFAGTAAILIVFFIIPPLFSSVSQVFRPHLVRKSWDYLNFVLVLFAVLCGFLSRNTNNDETNHNKEEDISNKFSNSPSIIDRGGRVSNSATPRYWIDDRGGGGGDQTVYKRFSRLRSVSSYPDLRLREYEADERWRFYDDTRVSQCRYEDVDPIYPNQSYRNWQEEVKPPPEDLDQTEDGGNEGGGKVHSGGSETEKVEVFETAEAEVVEELTVPSAPPYIPSPPPSPPRPPPPKQAKRKTKRVYQDVPPKEENNERSDFVAATPMTPIPPPATVYQKSNKQEKKKGGATKDFLIALRRKKKKQRQQSIDGLDLLFGSDPPLVYSPPPPPPPPPPFFQGLFSSKKGKGKKNNSNPPPPPPPPPPERRYESRASMTSIRKAPVESRTSKPNPPARVTQFVGTGSESPLMPIPPPPPPPPFKMPAWKFVKRGDYVRMASDISISSDEPDDPDVAQSAEGKVAAGSMFCPSPDVDTKADDFIARFRAGLKLEKMNSVKRGRSNLGPEPGLNESGS >fgenesh2_kg.2__1548__AT1G72820.1 pep chromosome:v.1.0:2:15572824:15574623:1 gene:fgenesh2_kg.2__1548__AT1G72820.1 transcript:fgenesh2_kg.2__1548__AT1G72820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7KR41] MNLGAAEEESAQEIHLPADINWEMLDKSKFFVLGAALFSGVSGALYPAVLMKTRQQVCHSQGSCIRTAFTLVRHEGLRGLYRGFGTSLMGTIPARALYMTALEVTKSNVGSAAVSLGLTEAKAAAVANAVGGLSAAMAAQLVWTPVDVVSQRLMVQGSAGLVNASRCNYVNGFDAFRKIVRADGPKGLYRGFGISILTYAPSNAVWWASYSVAQRMVWGGIGCYVCKKDEESGNNSTTMKPDSKTIMAVQGVSAAIAGSVSALITMPLDTIKTRLQVLDGEDSSNNGKRGPSIGQTVRNLVREGGWTACYRGLGPRCASMSMSATTMITTYEFLKRLSAKNHDGFYSKS >fgenesh2_kg.2__1553__AT1G72880.1 pep chromosome:v.1.0:2:15602355:15605075:-1 gene:fgenesh2_kg.2__1553__AT1G72880.1 transcript:fgenesh2_kg.2__1553__AT1G72880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKNNGLSAALVSNLQDVLSKRKVGNEEKVGSDGSAEEAPSTSDSVDVAAVEEEIDDSRPIVLVTNGDGIDSPGLVSLVEALVLEGIYNVHVCAPQTDKSASAHSTTPGETIAVSSVSIKGATAFEVSGTSVDCISLGLSGALFAWSKPLLVISGINQGSSCGHQMFYSGAVAGAREALISGVPSLSISLNWKKDESQESHFKDAVGVCLPLINATIRDIAKGVFPKDCSLNIEIPTSPSSNKGFKVTKQSMWRQSPSWQAVSANRHPGAGNFMSNQQSLGAQLAQLGRDASAAGAARRFTTQKKSIVEIESVGVAGKTDTRVKKFFRLELLAKEQEHTDEDLDVKALEDGFVSVTPLSLLPNIDSDTQAAVSEWISKAL >fgenesh2_kg.2__1557__AT1G72980.1 pep chromosome:v.1.0:2:15656765:15657379:-1 gene:fgenesh2_kg.2__1557__AT1G72980.1 transcript:fgenesh2_kg.2__1557__AT1G72980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain family protein [Source:UniProtKB/TrEMBL;Acc:D7KR59] MSLSTLSGGSTTACAACKYQRKKCKKNCLLAPYFPQDRSNQFLNAHKLFGVSNITKMLKGIEESQRDIAMENLIYHANARALDPVGGVYSTICDLKRKIEIVQAELNFTRQQIAMCRSLAQQQQRQRQDLPYGCYSYEHLLQQDEDEYVNVDGFDLQNMQQHQEMQQQQQPNPINYEMFLEMPEQTSKVKLEEVKISDQGETIS >fgenesh2_kg.2__1561__AT1G73010.1 pep chromosome:v.1.0:2:15666217:15667840:-1 gene:fgenesh2_kg.2__1561__AT1G73010.1 transcript:fgenesh2_kg.2__1561__AT1G73010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNSNNKNSNNIVVVFDFDKTIIDVDSDNWVIDELGYTDLFNQLLPTMPWNTLMDRMMKELHDQGKTIEEIKQVLRTIPIHPRVVPAIKSAHDLGCELRIVSDANMFFIETIVEHLGISELFSEINSNPGYVDERGTLRISPYHDFTKSSHGCSCGTCPPNMCKGLIIERIQQSLAKEGKKKMIYLGDGAGDYCPSLKLNTEDYVMPRKNFPVWDLISQNPMLIKATIREWTDGQSLEMILIGTIEEIILEEEKEKMLTSAENNCKLQTISVGINNVHHEPILPRALRVSQSS >fgenesh2_kg.2__1562__AT1G73020.1 pep chromosome:v.1.0:2:15673240:15677331:-1 gene:fgenesh2_kg.2__1562__AT1G73020.1 transcript:fgenesh2_kg.2__1562__AT1G73020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSNGEERVVHEVAMVVPKRVLEEEGNCVEFLVTELKKRGMVVDRVVGLADEFLKVAAPLETLGNAAAELHIRKPTRLGIDLPFEMQGSEAFIRQPDGLLFSWFERFRCYQHLIYGIVNCGGYDVTLKLNGRVFCWVAGESLLRRLESEGVIKQMFPLHDEFKRKELLQTWALNWWNFTNQPIDQIYSYFGAKIGVYFSFLGMYTQWLIFPALLGFIVQMVDFGSLQFLALPIFFVSTILWAALFLQFWKRKNAALLARWQINCLVGPSQGYRFLGMEWSSLPFPKELIKNLGNERSKEKEAYQRYEWFAYRKRFRNDVLVIMSIICLQLPFELAYAHIFEIITSDIIKYVLTAIYLLIIQYLTRLGGKVSVKLINREINESVEYRANSLIYKVFGLYFMQTYIGIFYHVLLHRNFMTLRQVLIQRLIISQVFWTLMDGSLPYLKYSYRKYRARTKKKMEDGSSTGKIQIASRVEKEYFKPTYSASIGVELEDGLFDDSLELALQFGMIMMFACAFPLAFALAAVSNVMEIRTNALKLLVTLRRPLPRAAATIGAWLNIWQFLVVMSICTNSVLLVCLYDQEGKWKIEPGLAAILIMEHVLLLLKFGLSRLVPEEPAWVRASRVKNVTQAQDMYCKQLLRSISGELASMTKPEQEQQQQDSGALLT >fgenesh2_kg.2__1563__AT1G73030.1 pep chromosome:v.1.0:2:15678592:15679963:1 gene:fgenesh2_kg.2__1563__AT1G73030.1 transcript:fgenesh2_kg.2__1563__AT1G73030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:UniProtKB/TrEMBL;Acc:D7KR64] MGNTDKLMNQIFDLKFTSKSLQRQSRKCEKEEKAEKLKVKKAIEKGNMDGARIYAENAIRKRSEQMNYLRLASRLDAVVARLDTQAKMTTITKSMTNIVKSLESSLATGNLQKMSETMDSFEKQFVNMEVQAEFMENAMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPAGHAIPTKTEEKVDEDDLSRRLAELKARG >fgenesh2_kg.2__1565__AT1G73050.1 pep chromosome:v.1.0:2:15681450:15683438:-1 gene:fgenesh2_kg.2__1565__AT1G73050.1 transcript:fgenesh2_kg.2__1565__AT1G73050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRIDSSLLYTAFVVLLLLGVVPWSNARPRVNRRPGFMRFVSNATDFASEDYYDYIIVGGGTAGCPLAATLSQSFRVLLLERGGVPYNRPNVMSHDGFLSTLTDVNNFDSPAQSFISEEGVPNARGRVLGGSSAINAGFYSRADKQFFENSGLTWDLSSVNQSYEWVERAIVFRPQLRTWQTAIRDALLEVGVHPFNGFTLEHKVGTKIGGSTFDRTGRRHSSADLLRYARSSNIRVAVYATVERVLLASSPSDSGSNVSAIGVVYRDQLGRYHHAIIRDRGEVILSAGALGSPQLLFLSGIGPRSYLSTWGIPVALDQPHVGDFVYDNPRNGISIVPPVPMENSLIQVVGITEEGAFLEAASTVIPFASPLHSVFIRAPASPLYVPVTTIMEKILGPVSIGLLRLASTDVRINPIVRFNYFSDPQDLERCVNGTRKIGEILRSRAMQDFMIREWFGNRRFRFVGAPLPVDQSNDLVMADFCRRTVSTIWHYHGGAVVGKVVDSDLKVIGVNSLRLVDGSTFNISPGTNPQATLMMLGRYMGLKMLRERMR >fgenesh2_kg.2__1567__AT1G73066.1 pep chromosome:v.1.0:2:15686757:15688639:1 gene:fgenesh2_kg.2__1567__AT1G73066.1 transcript:fgenesh2_kg.2__1567__AT1G73066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGLFKILLLFCLFVSGRIVSVSCLNSDGLTLLSLRNYLDKVPPELTSTWKTNASEATPCNWFGIICDDSKKVTSLNFTGSGVSGRLGPEIGQLKSLEILDLSSNNFSGIIPSSLGNCSSLVYIDLSENRFSGKIPDTLGSLKSLADLYLYSNFLIGELPRSLFRIPALNYLHVEHNNLTGLIPQNVGEAKELLDLRLFDNQFSGTIPESIGNCSKLEILYLHKNKLVGSLPASLNLLENLTDLFVANNSLRGTVQFGSTKCRNLVTLDLSYNQFEGGVPPELGNCSSLDALVIVKSNLSGKIPSSLGMLKNLTILNLSENRLSGSIPAELGNCSSLNLLKLNDNQLEGGIPSALGKLRKLESLELFENRFSGEIPIEIWKIQSLTQLLVYRNNLTGKLPKEITELKNLKIVTLFNNSFYGVIPPGLGLNSNLEIIDLIGNNLTGEVPPNLCHGKMLTVFNLGSNRLHGKIPTSVSQCKTLSRFILRENNLSGVLPEFSKNQDLSFLDLNSNNFEGPIPRTLGSCRNLTTINLSRNKLTGNIPRELENLQNLSHLNLGFNLLNGTVPSKFSNWKELTTLVLSGNRFSGVVPPDRHS >fgenesh2_kg.2__1569__AT1G73090.1 pep chromosome:v.1.0:2:15693245:15695982:1 gene:fgenesh2_kg.2__1569__AT1G73090.1 transcript:fgenesh2_kg.2__1569__AT1G73090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGAFRDSWLMRAPVLSPAVHGSIRRNGKSQLGFRLSNRFSRGVVLAVQGGRGYESPWDEKPYETLPTGKRVYVDESDVVTFLDPPKELIPLDPASYNPAAYLWKKIEDIPEERRHHLLQLLEPRLISKAWEIASTRYEDPKLAKMTASKLFSTGDAEISFEYFSCRTSQGPLIVSWINFFKMALFRSNNGQIYGRVCGGPVVSTLANALSPLYFEVTEAMEVMATEEPCDVACKFGDGLLAIEDYPQGFPRPAKHPYPFNDSVVIYIRHIGPGVCVGQAWQEGKELQQVPQRLCSDILMVKQYR >fgenesh2_kg.2__1571__AT1G73110.1 pep chromosome:v.1.0:2:15699488:15702167:-1 gene:fgenesh2_kg.2__1571__AT1G73110.1 transcript:fgenesh2_kg.2__1571__AT1G73110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNISLRFKFPPLKSSSSSTRKPSSIVCSKPDGGKVANDDGGTKPRKKLSEQSSWEVKDSEGKDYLYRLGAESDNVNISVGARSGMIDDVFIGDFLGKDSDIVFDYRQKATRSFEHLQGDYYIAPSFLDKVAVHIVKNYLAPSLNIKIPLILGIWGGKGQGKTFQTELIFKTMGVEPVIMSAGELESDRAGEPGRLIRDRYRTASQVIQNQGKMSVLMINDIDAGLGRFGETQMTVNNQIVVGTLMNLADNPTRVSVGQEWREADIVNRVPLIVTGNDFSTLYAPLIREGRMEKFYWQPTREDIVNIVSRMYEKDGISRKDVISIVDKFPNQALDFYGALRSRTYDRSILKWVDEAGGMETLGKILLRSKKTKEVPQFTPPEQTVEALLESGYSLINEQKLIMETKLSKEYMKNMDD >fgenesh2_kg.2__1572__AT1G73120.1 pep chromosome:v.1.0:2:15702995:15703793:-1 gene:fgenesh2_kg.2__1572__AT1G73120.1 transcript:fgenesh2_kg.2__1572__AT1G73120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTFSSRGAQTMNTMFVKPMLRKSIHKKSASHDIVRETAKTEGSSAGEEVKTMRGFYGAGETSSPASSWVPHDGTGIYYPKGQEKVMQDVPPPPAGSHADDLVNWFS >fgenesh2_kg.2__1579__AT1G73180.1 pep chromosome:v.1.0:2:15723432:15727026:1 gene:fgenesh2_kg.2__1579__AT1G73180.1 transcript:fgenesh2_kg.2__1579__AT1G73180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2A [Source:UniProtKB/TrEMBL;Acc:D7KR80] MSSSPSLEILVREAEGFTVWSGPPFTNGQPTLKLERVSCSNTKFSVDGSKLMAMKSDGIISIYDATSLTVVRSFPIANVTAAELSPCGTYLQTFQKPTTPQEKNVSIWNIETGDLAHSHYQKSITKASWPSIRFCLDESSACRLATNEIQFFDPKDFSKGITSRIRVPGVAAFELSKTPASHVAVFVPESKGSPGSVQIFGCGEELQSQPSARRSFFRCSSVQFSWNHGSTGLLVVVQSDVDKTNQSYYGETKLHYLTIDGTHEGLVPLGKEGPVHDVQWSFSGSEFAVVYGFMPACVTIFDKNCKPLMEIGEGPYNTLRWNPKGRVLCVAGFGNLPGDMAFWDVVNKKQLGSNKAEWSVTSEWSPDGRYFLTASTAPRRQIDNGMKIFNYDGKRYFKKMFERLYQAEWKPESPDRFGDISELIKSVESLKLGEGKSQGQGSAQKKAIAPNPIAQKPAAYRPPHAKHAAAVQAELLGVSTAGEMSKNALKNKKKREKKKAAEAAAASGANA >fgenesh2_kg.2__1580__AT1G73190.1 pep chromosome:v.1.0:2:15727537:15728955:1 gene:fgenesh2_kg.2__1580__AT1G73190.1 transcript:fgenesh2_kg.2__1580__AT1G73190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-tonoplast intrinsic protein [Source:UniProtKB/TrEMBL;Acc:D7KR81] MATSARRAYGFGRADEATHPDSIRATLAEFLSTFVFVFAAEGSILSLDKLYWDHAAHAGTNTPGGLILVALAHAFALFAAVSAAINVSGGHVNPAVTFGALIGGRLSAIRAIYYWVAQLLGAILACLLLRLATNGMRPVGFRVASGVGAVNGLVLEIILTFGLVYVVYSTLIDPKRGSLGIIAPLAIGLIVGANILVGGPFSGASMNPARAFGPALVGWRWHDHWIYWVGPFIGSALAALIYEYMVIPTEPPTHHTHGVHQPLAPEDY >fgenesh2_kg.2__1582__AT1G73210.1 pep chromosome:v.1.0:2:15733543:15736432:-1 gene:fgenesh2_kg.2__1582__AT1G73210.1 transcript:fgenesh2_kg.2__1582__AT1G73210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWSSSTKGRSNLERFLLGITPKPPSFSHPQEQGKEEIEYFRLGDLWDCYDEMSAYGFGTQVDLSNGETVMQYYVPYLSAIQIHTNKPALLSRNQNEVAESESSEGWSDSESEKLLSRSLSNDSSKTWDAVSEDSVFDPDGTPLLKERLGCLDFKYIERDPPHKRIPLTDKINVLVEKYPGLMTLRSVDMSPASWMAVAWYPIYQIPTCRNEKDLTTGFLTYHTLSSSFQDNVVEGDQSNNTGNEETEFCEESVINKRIPLPPFGVATYKMQGDLWGMTGFDQDRLVYLQSAADSWLKQLNVDHHDYNFFLNSSF >fgenesh2_kg.2__1584__AT1G73220.1 pep chromosome:v.1.0:2:15745899:15747760:1 gene:fgenesh2_kg.2__1584__AT1G73220.1 transcript:fgenesh2_kg.2__1584__AT1G73220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7KR84] METPPNISNDSSASEKGEATRQQQQPNNRYALTVDEVIEQHIGALGFAQILHALLVSIAWIFDAQTTLISIFSDAQPAARLLATGAIVEGASLCGLASGEWEWIGSKSDTVVSEWNLICQHKFLVAVPSTLFFIGSLFGSGVYGYLADSWFGRKKTLLLSCVLTFVTAFAISFSPNVWVYAFLRFANGFFRSGIGSCCIVLATEIVGKKWRGQVGQYGFFFFTLGFLSLPLMAYLERKSWRNLYRIISFLPLGYAVCLLPFAYESPRWLLVKGRNKEAMVVLKKLARLNGKQLPADLSLVDPIPERDDQTSSSEKFWKTKWAVKRIIMVMMAGFGSGFVYYGIQLNAENLNFNLYLTVAVNALMEFPAVFIGSFLLGVMNRRPLFSNSSYLAGVACLLCAVLSIHRVIRAISVAKWLQLAVEAVGFMASSTAYDVLYVYCVELFPTNVRNTAVSLLRQAFMLGASAAPLLVALGRESAMMSFIVFGVASVLSGVVSLWLRETRNAPLYETLAQQGKAEEIENETIMST >fgenesh2_kg.2__1586__AT1G73240.1 pep chromosome:v.1.0:2:15749855:15752461:-1 gene:fgenesh2_kg.2__1586__AT1G73240.1 transcript:fgenesh2_kg.2__1586__AT1G73240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPVPETVVSHRFAAFLIWQSIPSSAIFFFFNLFLFSTTSIISFFLTFLVFHCSQLLFSVSLALLSTPTPDSPLSPLQFALGLLRHLSDPEFRRRARVSLRIVLFVCATSLAGFCSAAVFCLSSDSFGPIGRIGFRGFVTGLLYAVLFVIKQRWVLEFPIIQRPPFFSFKIGLPSALTQALKLSGVLYVLSTIMLLFLLDWSGGLVSVSRFLGEQVLSYAGSFCLILSWEVTHHIHKVLHTKRFSFAPPKGSAAAETNPSEPLLAALEGSAPGSLEQSLAYLDLYMVSQNNVDTWRRAAFFEESTETYKRVITLCLRPLEELASKLSSGLDHTFTEKGYQTPLQPPTESFIDPKFGESLKSFQLYAWCAQTTASLTSISHKEDRLGVAQLSGGNADVVSTLLSLLLAIETFMGKKTNLQSPQQLMGPASIKWATSSMVRKDVKPIKRSIGGMYSYAYAATDVLKISIYQILSTFREEMLNSDRAGLLGRDWIGSKKPPFGTNDMLLQKLKLFLEFQA >fgenesh2_kg.2__1588__AT1G73260.1 pep chromosome:v.1.0:2:15763759:15764657:-1 gene:fgenesh2_kg.2__1588__AT1G73260.1 transcript:fgenesh2_kg.2__1588__AT1G73260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTFYLVLALTAALASNAYGAVLDIDGNTIFHESYYVLPVIRGRGGGLTLAGRGGELCPLDIVQESSELEEGIPVKFSNWRLKVAFVPESENLNIETDIGATICVQSTYWWVGDFDHERKQYFVVAGPKPEGFGQDSLKSFFKIEKSGDLGAYKFVFCPRTCDSGSPKCSDVGIFVDELGVRRLALSDEPFLVMFKKANVTEVSSKTM >fgenesh2_kg.2__1589__AT1G73270.1 pep chromosome:v.1.0:2:15771081:15773498:-1 gene:fgenesh2_kg.2__1589__AT1G73270.1 transcript:fgenesh2_kg.2__1589__AT1G73270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KR93] LLLLHFVFLSKQYVDSASIVKFLPGFEGPLPFELETGYIGVGEEEEVQLFYYFIKSERNPKEDPLLLWLTGGPGCSAISGLLYENGPLAMKLDVYNGTLPSLVSTTYSWTKTSSIIFLDQPVGTGFSYSRTQLFNKPSDTGEAKRIHEFLQKWLGKHQEFSSNPFYVGGASYSGMIVPTTVQEISKGNYECCNPPINLQGYVLGNPVTDYKIDYNNLVPYAHGMALISDELYESLKRICKGEYGQVDPHNTECLKLIEEFNKCTSRLYKSHILYPLCEETTNPDCYIYRYSLTTYWVNDETVRKALQINKESIREWTRCNLSVPYTNDIISSVPYHMYSSINGYRSLIFSGDHDFEIPLVGTQVWIKSLNYSIVDDWRPWMINNQVAGYTRTYANKMTFATGGGHTSEYKPDETFTMFQRWINGQPL >fgenesh2_kg.2__158__AT1G63190.1 pep chromosome:v.1.0:2:1132046:1133196:1 gene:fgenesh2_kg.2__158__AT1G63190.1 transcript:fgenesh2_kg.2__158__AT1G63190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KU10] MGDFEGWTKWLVPGFLLWKPEDPGYERPAYRDWTEEEDEPKYSPKEELALLDKQILASDGFDIDYTHFRCVFNYHLAYLDSDEFAEEPTETTRDLLERLSRKALDDHNLEYGTEFEFVKVVKANFHWCCAYMFLITFEVVDPYDNLIKLFQTRVRYETDIVTEYVFCRPKPNQGVECIGVKNNDGVGNDVQNNVKKQKIPCMYGWFLTVIGFLFTDWSMSFYMPQA >fgenesh2_kg.2__1591__AT1G73320.1 pep chromosome:v.1.0:2:15773779:15775565:-1 gene:fgenesh2_kg.2__1591__AT1G73320.1 transcript:fgenesh2_kg.2__1591__AT1G73320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAERVNSAARIGAEKMDEEDETVEEIRQMSGYGGDVIVVGGFPAAESESESESDLAAAEIMVIWAIQGPTSFAPNALVAQSSLELRLDACGHSLSILQSPCSLNTPGVTGSVMWDSGVVLGKFLEHSVDSKVLSLEGKKIVELGSGCGLVGCIAALLGGNVVLTDLPDRLRLLKKNIQTNLHRGNTRGSATVQELVWGDDPDPDLIEPFPDYVLGSDVIYSEEAVHHLVKTLLQLCGDQTTIFLSGELRNDAVLEYFLETALKDFAIGRVEQTQWHPDYHSRRVVLYVLEKKSKRCLIDDSSLNQSY >fgenesh2_kg.2__1596__AT1G73350.2 pep chromosome:v.1.0:2:15783156:15784854:-1 gene:fgenesh2_kg.2__1596__AT1G73350.2 transcript:fgenesh2_kg.2__1596__AT1G73350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREVREYTNLSDPKDKKLGKGKIDDEDVTFQRMVAKMQEVAGERGGYLHGRGAATQADSSPASVPLPLRVEPKPKSGIRQQDLLRKVVEVKPKRPKVSTLSSPSLSPPVRSDRGPTDAKVHRDKQKEEAMTVLKKLDTPEEQPGADRNDGKATESNGQGENALKGLLGLAYESSDEED >fgenesh2_kg.2__160__AT1G63180.1 pep chromosome:v.1.0:2:1144128:1146527:1 gene:fgenesh2_kg.2__160__AT1G63180.1 transcript:fgenesh2_kg.2__160__AT1G63180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-glucose/UDP-D-galactose 4-epimerase 3 [Source:UniProtKB/TrEMBL;Acc:D7KU13] MGSSVEQNILVTGGAGFIGTHTVVQLLNQGFKVTIIDNLDNSVVEAVHRVRELVGPDLSTKLEFNLGDLRNKGDIEKLFSNQRFDAVIHFAGLKAVGESVGNPRRYFDNNLVGTINLYETMAKYNCKMMVFSSSATVYGQPEIVPCVEDFELQAMNPYGRTKLFLEEIARDIHAAEPEWKIILLRYFNPVGAHESGRIGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTMDGSAVRDYIHVMDLADGHVAALNKLFSDSKIGCTAYNLGTGQGTSVLEMVSAFEKASGKKIPIKLCPRRAGDATAVYASTEKAEKELGWKAKYGVDEMCRDQWNWADKNPWGFQKKP >fgenesh2_kg.2__1610__AT1G73460.1 pep chromosome:v.1.0:2:15829441:15841115:1 gene:fgenesh2_kg.2__1610__AT1G73460.1 transcript:fgenesh2_kg.2__1610__AT1G73460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KRI5] MTDQSSVDGILEFLRNNRFSQAEEALRHELSNRSDINGFLQKLKLEDKDSNEKELRRSGSRDSEVSKELIVKEVDCGTSTNGSVIKWENGPAADKPSKKETVVSTEMSFTFSKNSGDAAALDAHSYKFTSGNGTLEPYRNIDDNSSSLVDSYAFEQSRHGNLADIDKKIVETGEDIVFFGNKSTLWSGNSTKRNSGSKIMETNEMDRLVENSGKHDSYKGSILLRTEDVVATSENWKECSVDTLFQSSRGDASTSYNLVSSSNKREGKKKADISDVRVAIKEQESEVARALFFGKSQSTFDDKNISSLGFPLVYDTRKEEFPRLPPVKLKSEDNPLSLYCEEKFERDGSGPRLINDEEALLIGSYLDVPIGQEISSSGGKKSAGGNWLSVSQGIAEDASDLVSGFATIGDGLSESVDYRNEYWDSDEYEDDEDIGYVRQPIEDETWFLANEIDYPSDHEKGTTRGSPDHHGRDANKDEDDQSYAEEASYISGEQYLQSKDAEPISSENDRRLTVSEIYPASKKNDLLAQYDGQLMEEELLNSMRDEPVWQGFVAQSNELVTLGDKKGINVHRKSHLDDVYLENDQHDSVRSIGVGINSDAADFGSEVRDSLAGGSSEGDFEYSRDHDPVASRFKQLYSESDKKHIDGPNKNKQKASKNDGPDYIVDNDSSGSFHVKIQTDGGFSFGSSQKDGQLAESSKSLWSGNRETVTRDRNAERLSASTATDDMVATWRRKSSDSSSSHSSVKDNKATSIKSVNSSPSSLSNYACEERKHADKEDDRNDSSEVGDDNATALDDEEAVAVQEQVRQIKAQEEEFETFDLKIVHRKNRTGFEEEKNFNVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLQTGMDVCIKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLESLQFLHGLGLIHCDLKPENILVKSYSRCEIKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDVWSLGCILAELCTGNVLFQNDSPASLLARVMGIVGSFDNEMLTKGRDSHKYFTKNRMLYERNQESNRLEYLIPKRTSLRHRLPMGDQGFTDFVAHLLEINPKKRPSAAEALKHPWLSYPYEPISA >fgenesh2_kg.2__1616__AT1G73530.1 pep chromosome:v.1.0:2:15863411:15865061:-1 gene:fgenesh2_kg.2__1616__AT1G73530.1 transcript:fgenesh2_kg.2__1616__AT1G73530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KRJ0] MAISLGRVVVPSCTIPGDRLFGPNFSAICSVSCGRINVGTGVIFARRRRGIGGFLITSCLSPDSSSSPPSSPSGTKTKLYVSGLSFRTTEDTLRDTFEQFGKLIHMNLVMDKVANRPRGFAFLRYETEEEAMKAIQGMHGKFLDGRVIFVEEAKTRSDISRAKPRPDFPKPQSKPRTFRTW >fgenesh2_kg.2__1619__AT1G73560.1 pep chromosome:v.1.0:2:15869499:15870192:-1 gene:fgenesh2_kg.2__1619__AT1G73560.1 transcript:fgenesh2_kg.2__1619__AT1G73560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7KRJ3] MEISKFLAVVVAVVVLYSVQATAQGGNPQTMACVQKLVPCQPYIHTVNPSPPPSCCGPMKEIVEKDAPCLCAVFNNPEMLKALNLTKENALVLPKACGVNPDVSVCSKIASPSPTASPGSTNGTSSASTISFNRFSFLSAFVAMIFF >fgenesh2_kg.2__1620__AT1G73570.1 pep chromosome:v.1.0:2:15870726:15873836:1 gene:fgenesh2_kg.2__1620__AT1G73570.1 transcript:fgenesh2_kg.2__1620__AT1G73570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISGHSIIAISFFILSVYIHRVQARPFVLVLSNDDFNGGFDDNGAEESSNFDEFGESEPKSEEELDPGSWRPIFEPNDSAVHASASPQYYSGLHKILSAAIEGNSTLMEEAVSEIDSCASSGDPHAQSVMGFVYGIGMMRETSRSKSILHHHFAAEGGNMQSKMALAFRYLRQNMHDKAVELYAELAETAVNSFLISKDSPMVEPVRIHIGTEENKDALRKSRGKEDEDFQILEYQAEKGNSAAMHKIGLFYYFGLRGLRRDHAKALYWFSKAALECLTLAVKHGLHSAFNGLGYLYVKGYGVDTRNYTKAKEYFEMAANSEDPSGHYNLGVLYLKGIGVKKDVRRATKYFFVAANAGQPKAFYQLAKMFHTGVGLTKNLEMATTFYKLVAERGPWSSLSRWALEAYSKGDVGKAFILYSRMSELGFEVAQSNAAWILDKYGERSMCMGVSGFCTDKERHDRAHSLWWRASEQGNEHAALLIGDAYYYGRGTERDFVRAAEAYMYAKSQSNAQAMFNLGYMHEHGEGLPFDLHLAKRYYDQALQSDAAAKLPVTLALASVWVRRNYADTALVQVLDSVPEVYRKVEEWVENVVLEEVVLDPVGADVAQPLAGPVAFPQ >fgenesh2_kg.2__1622__AT1G73590.1 pep chromosome:v.1.0:2:15881479:15885002:1 gene:fgenesh2_kg.2__1622__AT1G73590.1 transcript:fgenesh2_kg.2__1622__AT1G73590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:D7KRJ6] MITAADFYHVMTAMVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFIAANNPYAMNLRFLAADSIQKIIVLSLLFLWCKLSRNGSLDWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGDLMVQIVVLQCIIWYTLMLFLFEYRGAKLLISEQFPDTAGSIVSIHVDSDIMSLDGRQPLETEAEIKEDGKLHVTVRRSNASRSDIYSRRSQGLSATPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMASGGGRNSNFGPGEAVFGSKGPTPRPSNYEEDGGAAKPTAAGTAAGAGRFHYQSGGSGGGGGAHYPAPNPGMFSPNTGGGGDRAAKGNAPVVGGKRQDGNGRDLHMFVWSSSASPVSDVFGGGGGNHHADYATATNDHQKDVKISVPQGNSNENQYVEREEFSFGNKDDDSKVLATDGGNNISNKTPQAKVMPPTSVMTRLILIMVWRKLIRNPNSYSSLFGITWSLISFKWNIEMPALIAKSISILSDAGLGMAMFSLGLFMALNPRIIACGNRRAAFAAAMRFLAGPAVMLVASYAVGLRGVLLRVAIIQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLLYYILLGL >fgenesh2_kg.2__1627__AT1G73610.1 pep chromosome:v.1.0:2:15913164:15914644:1 gene:fgenesh2_kg.2__1627__AT1G73610.1 transcript:fgenesh2_kg.2__1627__AT1G73610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7KRK2] MNCLMFSKMLLAFSLVSLFYVGNAQQSYGNSTVSALFAFGDSILDTGNNNLLPSFSKVNFYPYGRDFIGGVATGRFGNGRVFSDMIAEGLGLKNILPAYRDPYLSDNDLTTGVCFASGGSGLDAITARTTGSIWVSDQVTDFQNYIARLNGVVGNQEQANAIISNAVYLISAGNNDIAITYFTTGARRLQYTLPAYNDQLVSWTRDLIKSLYDLGARKFAVMGTLPLGCLPGARALDRVLCELFSNQAAAMFNQQLSADIDNLGATFPGAKFVYVDMYNPLYGLISNPQASGFIDAADACCCTPTAIVPCPDASRFVFWDVAHPTQQSYQTIAPPIIENIKAKLA >fgenesh2_kg.2__1628__AT1G73620.1 pep chromosome:v.1.0:2:15917776:15919353:1 gene:fgenesh2_kg.2__1628__AT1G73620.1 transcript:fgenesh2_kg.2__1628__AT1G73620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISLFLLPLLLLLSHASASTVIFYNKCTYTVWPGIQPSSGQSVLAGGGFKLSPNRAYTLQLPPLWSGRFWGRHGCSFDRSGRGRCATGDCGGSFLCNGAGGVPPATLAEITLGHDMDFYDVSLVDGYNLAMSIMPVKGTGKCTYAGCVSDLNRMCPVGLQVLSRDGKQVVACKSACSAFNSPRYCCTGLFGNPQSCKPTAYSKIFKVACPKAYSYAYDDPTSIATCSKANYIVTFCPHRGR >fgenesh2_kg.2__1629__AT1G73630.1 pep chromosome:v.1.0:2:15921235:15924039:1 gene:fgenesh2_kg.2__1629__AT1G73630.1 transcript:fgenesh2_kg.2__1629__AT1G73630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTNPESANKSTTPSTDMELKKVFDQFDSNGDGKISVSELGNVFKSMGTSYTEEELNRVLDEIDIDRDGFINQEEFATICRSSSSASEIREAFDLYDQNKNGLISSSEIHKVLNRLGMSCSVDDCVRMIGHVDADGDGNVNFEEFQKMMSSPELVKGSVANT >fgenesh2_kg.2__162__AT1G63160.1 pep chromosome:v.1.0:2:1149506:1151536:1 gene:fgenesh2_kg.2__162__AT1G63160.1 transcript:fgenesh2_kg.2__162__AT1G63160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSTSSDGGYNNPWVEKYRPSKVVDIVGNEDAVSRLQVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPNYKEAVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKVVILDEADSMTSGAQQALRRTIEIYSNSTRFALACNTSSKIIEPIQSRCALVRFSRLSDQQILGRLLVVVEAENVPYVPEGLEAIIFTADGDMRQALNNLQATFSGFRFVNQENVFKVCDQPHPLHVKNIVRNVLESKFDDACHGLKQLYDLGYSPTDIITTLFRIIKNYDMAEYLKLEFMKETGFAHMRICDGVGSYLQLCGLLAKLSIARETAKAP >fgenesh2_kg.2__1630__AT1G73640.1 pep chromosome:v.1.0:2:15925843:15926955:1 gene:fgenesh2_kg.2__1630__AT1G73640.1 transcript:fgenesh2_kg.2__1630__AT1G73640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSYEEECDYLFKAVLIGDSAVGKSNLLSRFSKDEFRFDSKPTIGVEFAYRNVHVGDKIIKAQIWDTAGQERFRAITSSYYRGALGALLIYDITRRTTFDNIKKWLFELRDFANPETVVVLVGNKSDLRQSREVEEDEGKTLAESEGLFFLETSALENVNVEEAFLVMIGRIHEVVTQRIASDNKSNGAATAHINGNGNGTVLPVGKEIVNIHEVTATQPLSSSSNCCFK >fgenesh2_kg.2__1636__AT1G73660.1 pep chromosome:v.1.0:2:15930843:15936369:-1 gene:fgenesh2_kg.2__1636__AT1G73660.1 transcript:fgenesh2_kg.2__1636__AT1G73660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKEETLKNLGDGVVLRPVDHCSSIWSMKMNMKNFLKKLHITPNQSDEAEGSISTTTTTRSNHHKSIDVSSSSSPRSHHSNSPEIKPFSGLSNWLSSVGHRKSPSPPNSFNAKNRAALANNNKVLEHGDDHVVVNESESVDQQDLGSTDPAVEEENQIQLALELSAREDPEATQIEAIKQFSLGSCAPENSPAELIAYRYWNYNCLGYDDKILDGFYDLYGVMNVSSSERIPPLLDLQGTPVSDGVTWEAVLVNRSGDSNLLRLEQMALDIAAKSRSVSSSGFVNSELVRKLAILVGDYMGGPVVHPDSMLRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLCDSVGVPCRIVKGQQYTGSEDVAMNFIKADDGREYIVDLMGDPGTLIPADAAGLQMDYDESAYSASPEDNVSFHVASSSNGIESSFEENAEYRTGEDRSGTKSSGARNQSGGGGDLIVHPNISREDVRNQKNVEKAPFQNLSSRPIHSFTHMRSPSWTEGVSSPAAQRMKVKDVSQYMIDAAKENPRLAQKLHDVLLESGVVAPPSLFSEVYPQQLEATVESKNSTEAKKERGKDLETAQEGRHQNGFGPVRFLPPLPRVHSKTHAYDQRDNGKVVSQSDSSHSEASSTEYARTVPAAVAAAAVVASSMVAAAAAKSANSDSSPVELPAATAATATAAAVVVTAAAVSRQLELGSNSDGDTGSGGHDPKGSGDSNHEPNLGGERISDRSIDNESSKSDCDDVSDCEILWEEITLGERIGLGSYGEVYRGDWHGTEVAVKKFLDQDLTGEALEEFRSEVRIMKKVRHPNIVLFMGAVTRPPNLSIVTEFLPRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHSCNPMIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTYLSSKSTAGTAEWMAPEVLRNEPADEKCDVYSYGVILWELFTLQQPWGKMNPMQVVGAVGFQHRRLDIPDFVDPAIADLISKCWQTDSKLRPSFAEIMASLKRLQKPVTGSNIPRPVPSSSSLPTEHEQKD >fgenesh2_kg.2__1637__AT1G73670.1 pep chromosome:v.1.0:2:15942629:15946299:1 gene:fgenesh2_kg.2__1637__AT1G73670.1 transcript:fgenesh2_kg.2__1637__AT1G73670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:D7KRK8] MGGGGNLVDGVRRWLFFQRRPSSSSSNNHDQIQNPPTVSNPNDSDLKKLTDPSKLRQIKVQQRNHLPMEKKGIPNTEFFTEYGEANRYQIQEVVGKGSYGVVGSAIDTHTGERVAIKKINDVFDHISDATRILREIKLLRLLLHPDVVEIKHIMLPPSRREFRDVYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGLKYVHAANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLLGKPLFPGKNVVHQLDIMTDFLGTPPPEAISKIRNEKARRYLGNMRKKQPVPFSKKFPKADPSALRLLERLIAFDPKDRPSAEEALADPYFNGLSSKEREPSTQPISKLEFEFERKKLTKDDIRELIYREILEYHPQMLEEYLRGGNQLSFMYPSGVDRFRRQFAHLEENQGLGGKSNALQRQHASLPRERVPASKNETVEESSNDIERRTTAAVASTLDSPKASQQAEGTDNGGGGGYSARNLMKSSSISGSKCIGVQSKTNIEDPIVEEQDESVAVKVASLHNS >fgenesh2_kg.2__1639__AT1G73690.1 pep chromosome:v.1.0:2:15961375:15963722:1 gene:fgenesh2_kg.2__1639__AT1G73690.1 transcript:fgenesh2_kg.2__1639__AT1G73690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase D1_1 [Source:UniProtKB/TrEMBL;Acc:D7KRL2] MDQPKKVADRYLKREVLGQGTYGVVFKATDTKNGETVAIKKIRLGKEKEGVNVTALREIKLLKELKHPHIIELIDAFPHKENLHIVFEFMETDLEAVIRDPNLFLSPADVKSYLQMILKGLEYCHDKWVLHRDMKPNNLLIGPNGQLKLADFGLARIFGSPGRKFTHQVFARWYRAPELLFGAKQYGGAVDVWAAGCIFAELLLRRPFLQGNSDIDQLSKIFAAFGTPKADQWPDMICLPDYVEYQFVPAPALRSLLPTVSEDALDLLSKMFTYDPKSRITIQQALQHRYFTSAPSPTDPLKLPRPVRKQDAKSSDSKHEAIKVLSPAHKLRRVMPDRGKSANGFKEQSVDVMRQASHDGQAPMSLDFTILAERPPNRPTITSADRSHLKRKLDLEFL >fgenesh2_kg.2__163__AT1G63140.2 pep chromosome:v.1.0:2:1151679:1153106:-1 gene:fgenesh2_kg.2__163__AT1G63140.2 transcript:fgenesh2_kg.2__163__AT1G63140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANHIQHSLTTIPKPDLIKEEQRFDEDTVSLQAERILHAMAFPMVLKAALELGVIDMITSVDDGVWLSPSEIALGLPTKPTNPEAPVLLDRMLVLLASHSILKYRTVENGEDIGSRKTERVYAAEPVCTFFLNRGDGSGSLATLFMVLQGEVCLKSWAHLKDVILEGKDAFSSAHGMKFFEHIGSNEQFAEMFNHAMSEASRLIMKKVLEVYKGFEDVNTLVDVGGGIGTVIGLVTSKYPHIKGVNFDLASVLVHAPLHKGVEHVSGDMFKEIPKGDAIFMKWILHDWTDEDCVKILKNCWKSLSNKGKVIIVEMVTPVEPKINDISSNVVLAMDMLMLTQSSGGKERTLSQFETLASDSGFLRCEIICHVFSYSVIELHK >fgenesh2_kg.2__1640__AT1G73700.1 pep chromosome:v.1.0:2:15963873:15966502:-1 gene:fgenesh2_kg.2__1640__AT1G73700.1 transcript:fgenesh2_kg.2__1640__AT1G73700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7KRL3] MEDGVTTPLLITERDTTMIRVKEEVKKQLWLSAPLIGVSLLQYSLQVISVMFVGHLGSLPLSAASIATSFASVTGFTFLLGTASALETLCGQAYGAKLYGKLGIHMQRAMFVLLILSVPLSIIWANTEQILVLVHQDKSIASVAGSYAKYMIPSLFAYGLLQCINRFLQAQNNVFPVFVCSGITTCLHLLLCWLFVLKTGLGYRGAALAISVSYWFNVILLSCYVKLSPSCSHSWTGFSMEAFQELYDFSKIAFPSAVMVCLELWSFELLVLASGLLPNPVLETSVLSICLNTSLTIWQISVGLGGAASIRVSNELGAGNPHVAKLAVYVIVGIAVAEGIVVVTVLLSIRKILGHAFSSDPKIIAYVASMIPIVACGNFLDGLQCVLSGVARGCGWQKIGACVNLGSYYLVGVPLGLLLGFHFHIGGRGLWLGIVTALAVQVLCLSLVTIFTNWDKEAKKASNRVGSSDDKDGDVQ >fgenesh2_kg.2__1641__AT1G73710.1 pep chromosome:v.1.0:2:15966802:15969771:1 gene:fgenesh2_kg.2__1641__AT1G73710.1 transcript:fgenesh2_kg.2__1641__AT1G73710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KRL4] MLQPSSHYSSSRDFRHHHRLALSSKARVFPPPPLPCNFSSRVTFRLLQLNCSSSSPSSVSPARCSKPNPSSRKRKYGGVIPSILRSLDSSTDIETTLASLCLNLSPKEQTVLLKEQTRWDRVLRVFRFFQSHQSYVPNVIHYNIVLRALGRAGKWDELRLCWIEMAHNGVLPTNNTYGMLVDVYGKAGLVKEALLWIKHMGQRMHFPDEVTMATVVRVFKNSGEFDRADRFFKGWCAGKVNLDDLDLDSIDDFPKNGSAQSPVNLKQFLSMELFKVGARNPIEKSLHFASGSDSSPRKPRLTSTFNTLIDLYGKAGRLNDAANLFSEMLKSGVPIDTVTFNTMIHTCGTHGHLSEAESLLKKMEEKGISPDTKTYNILLSLHADAGDIEAALKYYRNIRKVGLFPDTVTHRAVLHILCQRKMVAEAEAVMAEMDRNSIRIDEHSVPVIMQMYVNEGLVGQAKALFERFQLDCVLSSTTLAAVMDVYAEKGLWVEAETVFYGKRNMTGQRNDVLEYNVMIKAYGKAKLHEKALSIFKGMKNQGTWPDECTYNSLIQMLAGVDLVDDAQRILAEMLDSGCKPGCKTYAALIASYVRLGLLSDAVDLYEAMKKTGVKPNEVVYGSLINGFAESGMVEEAIQYFKLMEEHGVQSNHIVLTSLIKAYSKVGCLEEARRVYDKMKDSGGGPDVAASNSMLSLCADLGIVSEAESIFNDLREKGTCDVISFATMMYLYKGMGMLDEAIEVAEEMRESGLLRDCTSFNQVLACYAADGQLRECCELFHEMLVERKLLLDWGTFKTLFTLLKKGGVPSEAVAQLQTAYNEAKPLATPAITATLFSAMGLYAYALESCQELTRDEIPREHYAYNAVIYTYSASGDIDMALKTYMRMQEKGLEPDVVTQAYLVGIYGKAGMVEGVKRVHSRLTFGELEPNQSLFKAVRDAYVSANRQDLADVVKKEMSIAFEAERECSSRSGEEEEEEEEEEESEEDEAF >fgenesh2_kg.2__1642__AT1G73720.1 pep chromosome:v.1.0:2:15970476:15975244:1 gene:fgenesh2_kg.2__1642__AT1G73720.1 transcript:fgenesh2_kg.2__1642__AT1G73720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7KRL5] MALEIEARDVIKIMLQFCKENSLNQTFQTLQSECQVSLNTVDSVETFISDINSGRWDSVLPQVSQLKLPRNKLEDLYEQIVLEMIELRELDTARAILRQTQVMGVMKQEQPERYLRMEHLLVRSYFDPHEAYGDSTKERKRAQIAQAVAAEVTVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDVEDTHPNALTHTIKFGKKSHAECARFSPDGQFLASSSVDGFIEVWDYISGKLKKDLQYQADETFMMHDDPVLCIDFSRDSELLASGSQDGKIKIWRIRTGLCIRRFEHAHSQGVTSLSFSRDGSQLLSTSFDQTARIHGLKSGKLLKEFRGHTSYVNNAIFTSDGSRIITASSDCTVKVWDSKTTDCLQTFKPPPPLRQSDASVNSIHLFPKNTEHIVVCNKTSSIYIMTLQGQVVKSFSSGNREGGDFVAACVSTKGDWIYCIGEDKKLYCFNYQTGGLEHFMMVHEKDVIGITHHPHRNLLATYSEDCTMKLWKP >fgenesh2_kg.2__1645__AT1G73750.1 pep chromosome:v.1.0:2:15986469:15989048:1 gene:fgenesh2_kg.2__1645__AT1G73750.1 transcript:fgenesh2_kg.2__1645__AT1G73750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLRFELSSACFTSAVAAPSLHSHSRHFFSSLQLGRVGSSSPAITSVARTTENEICTADELHYVPVPNSDWRVALWRYLPSQKAPKRNHPLLLLSGIGTNAVTYDLSPKCSFARFMSGSGFDTWILELRGAGLSSLSVDTNLGKGNSQQRIVSNLLENFISVSERLENVLDGGSKILGMQDRLSKRAGDFKQRLELIPHYNWDFDNYLEEDVLSAMNYVRTQTKSKDGKLLAVGHSMGGILLYALLSRCGFKGMDSGLAAVTTLASTFDYSSSGTLLKYLLPMKEPAQAINLPIMPIDTMLAMVHPLMCRPPYALSWLTANISAPQMMDPEVIEKLVLNSLSTVPVKLLLQLTTAVDHGGLRDRTGTFCYKDHISKSNVPILALAGDWDIICPPDAVYDTVKLIPEHLATFKVLGSPGGPHYGHQDLISGRSAPNEVYPLITRFLQQHDEI >fgenesh2_kg.2__1646__AT1G73760.1 pep chromosome:v.1.0:2:15989137:15991217:-1 gene:fgenesh2_kg.2__1646__AT1G73760.1 transcript:fgenesh2_kg.2__1646__AT1G73760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSAEPSSSSSTTIGQHIRLQRPRNHRNLPPISTADEPLFPKPSRVSKSAMSSFFLLPETIKKKPQTASFRGLGCTTSASQQVSVPAVIRCSADWDASNFKIKKTKKKNKNKGSSSYNGGSIKILSEASSSSVACAAIPDVWCGPGVGFSTDAVVGDTVVSDHPRRNIPVRRKIDGDKTNSSSNNQREFCSSLLPRRSLNQESNPYFDSDSAFLTSQSEQTDRYHRHLRQSYPDGLAEMMMMQNGFVMGGVLSSFDQFHDMRLNVDNMTYEQLLELGERIGHVNTGLNEKQIKSCLQKVKPFRLDAPVADRKCIICQDEYEAKDEVGKLPCGHRFHIHCVKQWLVRKNSCPVCKTMPHDKS >fgenesh2_kg.2__1647__AT1G73780.1 pep chromosome:v.1.0:2:15995107:15995403:1 gene:fgenesh2_kg.2__1647__AT1G73780.1 transcript:fgenesh2_kg.2__1647__AT1G73780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7KRM3] MKGSCAKPVLFTCAIFLILIVAQENRVAALEPCDPMKLSPCLDTITKGSEPSELCCTKVKEQQHCVCQYLKNPNFKSFLNSPNAKKIATDCHCPYPKC >fgenesh2_kg.2__1650__AT1G73830.1 pep chromosome:v.1.0:2:16022403:16023892:1 gene:fgenesh2_kg.2__1650__AT1G73830.1 transcript:fgenesh2_kg.2__1650__AT1G73830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLSSDFQTFTMDDDPITQLAELSNTLHQFQTFPPPFSSCLDSLFFHNQFPDHFPGKPLENNFHQGIFFPSNIQNNDKSSSRVDTKKRKSLMEGVSTSENSVSDQTLSSSSAQVSLNGNSLTKNNSSRRGKRSKNREEEKDREVVHVRARRGQATDSHSIAERVRRGKINERLKCLQDIVPGCYKTMGMATMLDEIINYVQSLQNQVEFLSMKLTAASSYYDFNSETDAVESMQRAKAREAVEMGQGRDGNSVFHSSSWTL >fgenesh2_kg.2__1651__AT1G73840.1 pep chromosome:v.1.0:2:16026179:16028828:-1 gene:fgenesh2_kg.2__1651__AT1G73840.1 transcript:fgenesh2_kg.2__1651__AT1G73840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQIGGDGGLPANLAGMTKSQLYDIMSQMKTLIDQNHQQAREILIRNPLLTKALFQAQIMLGMVQPPQLTPKVEPQAMQQPQQSHQPIPLKPNVQAHMSSIQGGGSVHEPANTMQPQAPIRKHPTPQPMPMPPPPSVSATNTALPQPRFSHPQRQGHLNPTVTSMSHQQSSQVQNAPPPAPHHPTSQPPPFHHLDIPASSTQLQQQPMHSGGGPHLPQQQPRPYHHQYGQAQTGPNTGFQHHGAPPQHLSQPMFHSGNRPPASGVPQFPQGQQHLPSQPTYQGGGQYRGDYNNNQLAGLMAQDRGPSWMAGHSESSNITHLPGLGPVPPPSQVGPGGGQPPRPAPISAEMEKALLQQVMSLTPEQINLLPPEQRNQVLQLQQILRQ >fgenesh2_kg.2__1653__AT1G73870.1 pep chromosome:v.1.0:2:16041488:16042888:1 gene:fgenesh2_kg.2__1653__AT1G73870.1 transcript:fgenesh2_kg.2__1653__AT1G73870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (B-box type) family protein [Source:UniProtKB/TrEMBL;Acc:D7KRN2] MVVDVERRAASVPGGKMVARGCDACMKRSRASWYCPADDAFLCQSCDSSIHSANHLAKRHERVRLQSSSWTETTEKTTSVWYEGFRRKARTPRNKGLASEKLLQMEANDPLVPDLGGEEEEVFFSFSSVEENEESLNCCVPVFDPFSDMVIDDINGFCLVPDEVINNTTNGEELGELEREVIDDEGFIGFLPLDMDLEDLTMDVERLLKEGQLCLGLKEPNDIGVIKEENNVGFEIDCKDLKRVKDEEEEEAKCENGRSKDSDGEASKDEDRKTSLFLSLDYEAVITAWDNHGSPWKTGIKSECLLGGNTCPSHAVGGFDELVSTVGSVTRQQVKDGGGSDGEREARVLRYKEKRRTRLFSKKIRYEVRKLNAEQRPRIKGRFVKRTSLLT >fgenesh2_kg.2__1659__AT1G73920.1 pep chromosome:v.1.0:2:16053041:16056844:1 gene:fgenesh2_kg.2__1659__AT1G73920.1 transcript:fgenesh2_kg.2__1659__AT1G73920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase family protein [Source:UniProtKB/TrEMBL;Acc:D7KRN7] MQRIVDNALAVTKESVKTVTYESLNNIARCINGVSALLLTLLPGKANILEGLHGWELRPTFRGPRLPRWMHNGVSSFNEFIHELSVDSDTSSLEYSSGEDSDGPMPPSPSSQSSRLSWASTSASSENHWTEWITFILWLLIFPLRILLWIPQYIMSLFLKRSSRTPASPRRHQHSCRHRISKTNSSKDHDVPNRTTDRRRGVIEDLHLAIEIAIEAIFDFFHKATHLLLSPSEAFAILLSWFSSSSHSPKENHGDVTDDGTVQTATLGDTDPSPTERPIERPTRLYNSMNTDTRTCQDVITELGYPYEAIRVVTSDGYVLVLERIPRRDARKAVFLQHGVLDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNFRGLVSRDHVNKNISSKEFWRYSINEHGTEDIPAMIEKIHEIKTTELKLYQPNIDDEESNQEEPYKLCAICHSLGGAAILMYVITRKIKEKPHRLSRLILLSPAGFHEDSNLGFTIVEYIFLFISPVLARIVPAFYIPTRFFRMLLNKLARDFHNYPALGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPAVSFRVAQHLAQIKHSGKFRMYDYGSRSANMEVYGSPEPLDLGESYKFIDVPVDLVAGRNDKVIRSSMVKKHYNVMRDAEVDVSFNEFEYAHLDFTFSHREELLRYVMSRLLLVKQTPVQQRQTSQKGMKLKKKKKEVQ >fgenesh2_kg.2__1661__AT1G73930.1 pep chromosome:v.1.0:2:16057068:16059917:-1 gene:fgenesh2_kg.2__1661__AT1G73930.1 transcript:fgenesh2_kg.2__1661__AT1G73930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFSAKPELSIKPDPESLKRWIHAFCIMRFDLEQGQLLEECYPPGCLSQEEEIEVSFNSFPDSVSQHHNRSSIHDCIFFFRFRRPKKNTEERDDIDRYLYGYVFNRQRHDERLKRGGEQKSVVILSHTPYSSVFRPLLQIIGPLYFDVGNKAIEHIAGYVSMWPAPVPGKLMELPIGNAMLKVNLPPAHSLPLENGILYEESASSMAPLLPTNQSVPQGLFHDADLFGIYRGLLLQLWTLWELLLIGEPILIIAPTPPQCSEAVACLVSLVAPLFCTVDFRPYFTIHDPGFARLNSLREGDTFPPMVLGVTNLFFLKALRNIPHVVSVGTPAPNSNRVAFTSRSAGKLSVKPEGLGVQQLSLRRFSPTNLLNAVKLRRDGPLCLMTEHKEAVWTTHSPITKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFQVNAPSDGSSPYVTPPALPSFGADEFLSNLSARGVGKFLSKRMKSNWPDLYRRFLRGPNFMPWFQRRRAVAEQEQRRLWRLARMKTDMRQITSQMNELEAVDSFNAIEKHISEEVKESGGGGGADSEGTFQKLKKDLQAVFSVLPRDMQQLLLLNPQRASLLQDPSELQ >fgenesh2_kg.2__1662__AT1G73940.1 pep chromosome:v.1.0:2:16061119:16062268:-1 gene:fgenesh2_kg.2__1662__AT1G73940.1 transcript:fgenesh2_kg.2__1662__AT1G73940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFAGGGGGLRKLCRAFDNEITFNSLLVRYMSRERAVNVRKINPKVPIQEAYAISNSLYDLFKLHGPLSVPNTWLRAQEAGVSGLNSKTHMKLLLKWMRGKKMLKLICNQVGSSKKFFHTVLPEDPLQVQPAAPVEHNKQAFKKKRSK >fgenesh2_kg.2__1664__AT1G73960.1 pep chromosome:v.1.0:2:16069221:16078971:-1 gene:fgenesh2_kg.2__1664__AT1G73960.1 transcript:fgenesh2_kg.2__1664__AT1G73960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane alanyl aminopeptidase [Source:UniProtKB/TrEMBL;Acc:D7KRP1] MAKARKPKNEEAPGAKTSENTGAKVLHQKLFLSIDFKKRQIYGYTELEVSVPDIGIVGLHAENLGIESVLVDGEPTVFEYYPHHQNSETESNWNSVTDPASAADAAAMEYIGVLKREDTANLLINCCKPSKDLSEQLDNVTLENGSQSSGEVKQNVKLIRINYWVEKIEAGIHFVGNIVHTDNQMRRARCWFPCIDDEYHRCSFDLEFTVPHNFVAVSVGKLLYQVMCKEDTTRKTYVYELAIPIAPRWVSLVAGPLEVLPDRTNFLISNLCLPHDLSRLRNTMEFFHEAYSYYEDYLSANFPFGFYKQVFLPPEMVVTSSTSGASLSIFSSHMLYDERVIDQTIDTRIKLASALAKQWFGVYITPESPNDDWLLDGLAGFLTDMFIKQFLGNNEARYRRYKANCAVCKADDSGAMCLSASPSCKDLFGTHSIGMHGKIRSWKSGAVLQMLEKQMGSDSFRKILQKIISRAKDPSNSIRSLSTKEFRQFANKIGNLERPFLKEFFQRWVSSCGCPVLRIGLSYNKRKNNVEMAALRECTAALDARLSVIGATSDSESRDVDAGWPGIMSIRVYELDGMSDHPKLPMAGDRWQLLELPCHSKLAAKRYQKPKKGGKPDGAEDNVDAIAPLENKTSIESPLAWIKADPEMEYIAEIHLHQPLQMWVNQLEKDGDVVAQAQAIASLEALKQHSFSIVNALKNVLSDSKVFWRIRIGAAFALAKTASEETDWAGLQHLIKFYKSRRFDAEIGLPKPNNFHDFPEYFVLEAIPHAIARVRGAEGKSPREAVEFILQLLKYNDNSGNRYSDVFWLAVLVQSVGDLEFCQQSLTFLAPLLKRIDRLLQFDRLMPSYNGILTISCIRTLAQTALKLSDSISFDHICKLIEPFRNSDTILQIRIEASRALLDIEYQSKGISSTLLLFMKYVVEESSLRGQVKLCVHTMRLCQIAVGCDSDDCVDTVSLLDLLHLFKSHVVFNNELLRYYLFCIFQILAGRPPTLFGVPKEKPLQLVDVAACIEPKNVFSVPGAEAGEPSLALGDANGQSLDVAPYGVPIRPQEMFMPIVPELKLPEPVAAYDETQHLEPRMESQNQPSHENPIIHEIPSDGEGPTEEFANREANPPTKEPQKEPDVVSVSVSHEVKKSVIRIKVRPSGATSRAEGSARTIERSQGIVVRHDIDRGQTSSASVDAPQRISTDAVSISNQNHVEEVNSCHDVGSRMTASIGSVKFASEGDTFGKELQCTAESGKTSTSQKADNNNQTVAPSILPLDHSMENEAQQKYASLQTLSVGKEKEKKKDKEKKEKKRKREDPVYLEKKRLKKEKKRKEKEMAKLVSSSSDPATKKIESVAEAKAEEASDGAMLIKVEPKAEPSAATEARPLPKFRIKLKSKAFNNS >fgenesh2_kg.2__1666__AT1G73965.1 pep chromosome:v.1.0:2:16081927:16082608:1 gene:fgenesh2_kg.2__1666__AT1G73965.1 transcript:fgenesh2_kg.2__1666__AT1G73965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRVSHVLGFFLWISLLIFVSKGLFGNFSSKSINYFPSPVITLPPFSYRPGRRVLAVKTFDFTPFLKDSGRSNHWKDLPPGGSEIDPRYGVEKRLVPSGPNPLHH >fgenesh2_kg.2__1667__AT1G73970.1 pep chromosome:v.1.0:2:16083175:16086148:1 gene:fgenesh2_kg.2__1667__AT1G73970.1 transcript:fgenesh2_kg.2__1667__AT1G73970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKANNSLFLEEWLRTVSGSSVSGDLVQQNSAPSARSIIQAWSEIRESLQNHNFDTRYLQALRALVSSDSTIHVADPQAKLLISILALREDVSLPSDSYTLVLRLLYVWIRKAFRPSQALVGSAVQAIRGVVDDRRNLQPTLVAQSVLVSGAFACVPSLSGDLKLLCLELLCRLLEEEYSLVGSQEELVPVLLAGIGYALSSSLDVHYLRLLDLLFGIWLKDEGPRGSVTHGLMVLHLIEWVMSGYMRSNYINKMSLFANEVLETSKEKYTVFAVFMAAAGVLRASTAGFSNGAQSFEISKLRNSAEKRIEFVAQLLVSNGIVTLPTIQREGPLLKCFAIALARCGSVSSSAPLLLCLTSALLTQVFPLGQIYESFCKAFGKEPIGPRLIWVRKHLSNVLFKESGAITGAFCNQYISASEENKYIVENMTWDFCQNLYLQHRQIALLLRGIEDTLLGDIEKIAESSFLMVVVFALAVTKQWLKPIVSKERKMETSVKILVSFSCVEYFRHIRLPEYMETIREVISCVQENDAPCVSFVESIPSYNSLTTPRDLFTQRIKYEWSRDDVQTSRILFYLRVIPTCIGRLSASDFRGVVASTMFLYIGHPNRKVARASHTLLVAFLSSAKDLEEGERNQLKEHLVFYYMQRSLEVYPEITPFEGLASGVATLVRHLPAGSPAIFYSVHSLVEKASTFSTNSLQGRKSDPGSQILELLLRLVSLVDIQVLPYLMKSLAQLIIKLPKERQNMVLGELYGQVAESDDVIRKPSLVSWLQSLNYLCSNNRTEVSAFGSTIDTSNQLGARL >fgenesh2_kg.2__1669__AT1G73990.1 pep chromosome:v.1.0:2:16090944:16095310:1 gene:fgenesh2_kg.2__1669__AT1G73990.1 transcript:fgenesh2_kg.2__1669__AT1G73990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLLLHAPHVIPRFSSSSRSLVSAAALYRRPLLVNPQFSHIGPRLRSPYNRRFSARAFDDSPASSTEMEKEKQEQLLDGVSGKKDEDYPTGEMEYENRNAWEIFVVKLRMLFAYPWQRVRKGSVLTMTLRGQISDQLKSRFNSGLSLPQLSENFVKAAYDPRIAGVYLHIDPLSCGWGKVEELRRHILDFKKSGKFIVGYISICGLKEFYLGCACNELYAPPSAYSFLYGLTVQASFLGGVFEKVGIEPQVQRIGKYKSAGDQLSRKNISEENYEMLSVLLDNIYANWLDGVSDSTGKKREDVENFINQGVYEIEKLKEEGLIKDIRYDDEVIAMLKERLGVEKDKKLPTVDYKKYSGVKKWTLGLSGGRDQIAIIRAGGSISRVKGPLSTPGSAIIAEQLIEKIRSVRESKKFKAAIIRIDSPGGDALASDLMWREIKLLAETKPVIASMSDVAASGGYYMAMAANTIVAENLTLTGSIGVVTARFTLAKLYEKIGFNKETISRGKYAELLGAEERPFKPEEAELFEKSAQHAYQLFRDKAALSRSMPVDKMEEVAQGRVWTGRDAHSRGLVDALGGLSRAIAIAKQKANIPLNKKVTLVELSRPSTSLPDILSGIGSSVIGVDRTLKGLLDELTITEGVQARMDGIMFQQLGRDSLATPIIDLLKDYLSSLR >fgenesh2_kg.2__1670__AT1G74000.1 pep chromosome:v.1.0:2:16098682:16100938:-1 gene:fgenesh2_kg.2__1670__AT1G74000.1 transcript:fgenesh2_kg.2__1670__AT1G74000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFVSLISFLLLLSFSSSVFSAKESFQKLPVPGNRTGPEAFAFDSTGKGFYTGVSGGKILKYLPRKGYVDFAQITNSSKSSLCDGALGTTNVGKCGRPAGIAFNRKTGDLYVADAPLGLHVVSRGGGLAKKIADSVDGKPFLFLDGLDVDPTTGVVYFTSFSSTFGPSDVLKAVATKDSTGKLFKYDPSKKVVTVLMEGLSGSAGCAVSSDGSFVLVSQFTKSNIKRYWIKGAKAGSFEDFTNSVSSPDNIKRIGSSGNFWVASVVNSATGPTNPSAVKVSSDGKVIQTIPLKDKFGDTLVSEVNEFRGRLYIGALFGPFAGILKL >fgenesh2_kg.2__1671__AT1G74010.1 pep chromosome:v.1.0:2:16101712:16103513:-1 gene:fgenesh2_kg.2__1671__AT1G74010.1 transcript:fgenesh2_kg.2__1671__AT1G74010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strictosidine synthase family protein [Source:UniProtKB/TrEMBL;Acc:D7KRP7] MASFVFVISLLLLSLSSAVFSDDASFQKLPVPGKRSGPESFAFDSTGNGFYTGVSGGKILKYVPDKGYVDFAQITESSNSAWCNGALGTAFAGKCGRPAGIALNSKTGDLYVADAPLGLHVIPPAGGLATKLADSVDGKPFKFLDGLDVDPTTGVVYFTSFSSKFGPREVLIAVGLKDASGKLFKYDPATKAVTELMQGLSGAAGCAVSSDGSFVVVSEFIKSNIKRYWIKGPKAGTIEDFSSLVSNPDNIRRVGSTGNFWVASVVNKVVMPTDPKAVKLDANGKVLQTIFLKNEFGNTLLSEVNEFNGHLYIGTLTGPFAGVMKL >fgenesh2_kg.2__1672__AT1G74020.1 pep chromosome:v.1.0:2:16104628:16106838:-1 gene:fgenesh2_kg.2__1672__AT1G74020.1 transcript:fgenesh2_kg.2__1672__AT1G74020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFCPMISLLLLLSLSSAVFSDDASFQKLPVPESRSGPEAFAFDSTGKGFYTGVSGGKILKYLPETGYVDFAQITESSNSSWCDGNIGTALAGRCGRPAGIAFNEKTGDLYVADAPLGLHVISPAGGLATKIADSVDGKPFKFLDGLDVDPTTGVVYFTSFSSRFTPIQVLIALGLKDATGKLYKYDPSTKVVTVLMEGLSGSAGCAVSSDGSFVLVSQFTKSNIKRYWIKGPKAGSSEDFTNSVSNPDNIKRIGSTGNFWVASVVNKIIVPTNPSAVKVNSNGEVLQTIPLKDKFGDTLLSEVNEFEGNLYIGTLTGPFAGILKLENGSCPAT >fgenesh2_kg.2__1674__AT1G74040.1 pep chromosome:v.1.0:2:16111458:16114976:1 gene:fgenesh2_kg.2__1674__AT1G74040.1 transcript:fgenesh2_kg.2__1674__AT1G74040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSILKSPNLSSPSFGVPSIPALCSSSTSPISSLLLRSLNHRTAAGNFRISCSLSDSSPLPSHSPRRRPDYIPNRISDPNYVRIFDTTLRDGEQSPGATLTSKEKLDIARQLAKLGVDIIEAGFPAASKDDFEAVKTIAETVGNTVDENGYVPVICGLSRCNKKDIETAWEAVKYAKRPRIHTFIATSDIHLKYKLKKSKEEVIEIARNMVRFARSLRCEDVEFSPEDAGRSEREYLYEILGEVIKAGATTLNIPDTVGITLPSEFGQLIADIKTNTRGIQNVISSTHCQNDLGLSTANTLSGAHSGARQVEVTINGIGERAGNASLEEVRSENLLKCYEFFVMAIKCRGDHVLGGLFTGIDTRHIVMTSKMVEEYTGMQTQPHKAIDGMLKHKGTYEIMSPEEIGLQRSNEAGIVLGKLSGRHALRDRLNELGYVLDDGQLSNLFWRFKAVAEQKKRVTDADLIALVSDEVFQPEAVWKLLDMQITCGTLGLSTSTVKLADSDGKEHVACSVGTGPVDAAYKAVDLIVKEPATLLEYSMNAVTEGIDAIATTRVLIRGDNNYSSTNAVTGESVERTFSGTGAGMDIVVSSVKAYVGALNKMLGFKEHSSTLSKTPLETNNVPA >fgenesh2_kg.2__1676__AT1G74050.1 pep chromosome:v.1.0:2:16127110:16128804:-1 gene:fgenesh2_kg.2__1676__AT1G74050.1 transcript:fgenesh2_kg.2__1676__AT1G74050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L6 [Source:UniProtKB/TrEMBL;Acc:D7KRQ5] MPAKQRTPKVNRNPDLIRGVGKYSRSQMYHKRGLWAIKAKNGGVFPRHDAKSKVDTPAEKPPKFYPAEDVKKPLPNRRTPKPTKLRASITPGTVLIILAGRFKGKRVVFLKQLASGLLLVTGPFKVNGVPLRRVNQAYVIGTSTKVDISGVTLDKFDDKYFGKVAEKKKKKTEGEFFEAEKEEKKEIPQGKKDDQKAVDAALIKAIEAVPELKAYLGARFSLKQGMKPHELVF >fgenesh2_kg.2__1677__AT1G74055.1 pep chromosome:v.1.0:2:16129496:16130039:1 gene:fgenesh2_kg.2__1677__AT1G74055.1 transcript:fgenesh2_kg.2__1677__AT1G74055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSSNSSLFPTQQQPQQQLGGNEFQPTATNVNLIAAPNAPNHYSSGSIGPFFAVISVLVVLAVLSCFLGRICARRRQRTVLVAEVNPLEMIKSGGFLGWLRRKWRRFLAGDVEAGAKVADCASKETPKDNDQTRLEAPPA >fgenesh2_kg.2__1678__AT1G74060.1 pep chromosome:v.1.0:2:16130172:16131592:-1 gene:fgenesh2_kg.2__1678__AT1G74060.1 transcript:fgenesh2_kg.2__1678__AT1G74060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L6 [Source:UniProtKB/TrEMBL;Acc:D7KRY7] MPAKERTPKVNRNPDLIRGVGKYSRSQMYHKRGLWAIKAKNGGVFPRHDAKSKVDAPAEKPPKFYPAEDVKKPLPNRRTPKPTKLRYSASITSGTVLIILAGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQAYVIGTSTKVDISGVTLDNFDDKYFGKVAEKKKKKTEGEFFEAEKEEKKEIPQGKKDDQKAVDAALIKAIEAVPELKTYLGARFSLKQGMKPHELVF >fgenesh2_kg.2__1679__AT1G74070.1 pep chromosome:v.1.0:2:16131744:16133294:-1 gene:fgenesh2_kg.2__1679__AT1G74070.1 transcript:fgenesh2_kg.2__1679__AT1G74070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase cyclophilin-type family protein [Source:UniProtKB/TrEMBL;Acc:D7KRY8] MMLPPNAKLLSSSAKFLPSPVEPPQHIHRIAGGASPSLERNCKLSRRNLSKSSLLLLLTTQTTLTPLLDFSNAQADTIDNPNPTNCENRIPTKKAFIDVSIDGEPIGRIVIGLYGDDVPAGTARFSSIVSGKAGISYRRKDFVKIMQGYVQHGGIRSYGVDAERATAAVGSLQNLIEEWERGKRGEICNVNKAGSVGIVVRDPSKPPPKTKLVARNGKLVVEEEVIAVGPNGTEFVISAVDSPELEDSVLVIGKVLEGMGVVEKMRAVKTVRDNTSSPYFRVAKVIGDKRAVVAERGFNRPYSKVVVTNCGLIESQTL >fgenesh2_kg.2__167__AT1G63110.1 pep chromosome:v.1.0:2:1170454:1173702:-1 gene:fgenesh2_kg.2__167__AT1G63110.1 transcript:fgenesh2_kg.2__167__AT1G63110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQKKLCRFWIWALSSVAFRLILISFPGNLNLSSRPEVSTPLTSIRRLAEGYWLKQASMSPYAGSMYHGSPLLLSVLGPLTVQRIKGQPSHLLCSLVFVIADILSAMLLRGIGQKLQMAYGLNARLLGFLKSSRDKVILPCGDIAALVYLWNPFTIVSCVGLSTSPIENLAVILALFGAVTRRVPLAAFGLVIATHLSLYPATLIIPIIFLLGCGLDAPPIKLFLQTRSVENEETSTSTVSKQAKLKQTMRIPFLWKTVAHFLFWVLLWSLYVLVLCALSLNKYGGLEEMFKRTYGFILSIEDLSPNIGVFWYFFAEVFDFFRNFFLIVFHVNILFMLLPLAIRLKHRPCFLAFIYLAISSILKSYPSVGDSALYLSLWALFVNELIDMKFSFFLFCGYLGISLLSPVMHNLWIWRGTGNANFYFGNAIGYACFQIVFVVESVSAMLNHDRALKRSNSNHQEVKS >fgenesh2_kg.2__1680__AT1G74080.1 pep chromosome:v.1.0:2:16136465:16138287:1 gene:fgenesh2_kg.2__1680__AT1G74080.1 transcript:fgenesh2_kg.2__1680__AT1G74080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB122 [Source:UniProtKB/TrEMBL;Acc:D7KRY9] MVRTPCCRAEGLKKGAWTQEEDQKLIAYVQRHGEGGWRTLPDKAGLKRCGKSCRLRWANYLRPDIKRGEFSQEEEDSIINLHATHGNKWSAIARKLPRRTDNEIKNHWNTHIKKRLVKKGIDPLTHKFLHDGASKSSDYPETPHPEKSSVRHEEDDQNSNNKKSSGSSSARFLNRVANRFGHRINHNVLSNIIGSSSLLTSHTTPTTSVSECERSNSSSSTPTSSNLPMYQSITVDATSLSSSTFSDSSDPFLYDDIFGDIEDMTRFSSRCLSDVLSHDDEEFLMSDEELAMIFREDKTETTSFNDSHAKLINEVDDSFEGIDNYIG >fgenesh2_kg.2__1681__AT1G74088.1 pep chromosome:v.1.0:2:16141038:16142906:1 gene:fgenesh2_kg.2__1681__AT1G74088.1 transcript:fgenesh2_kg.2__1681__AT1G74088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMKSHIKNPTVQTTVFGQSVGKGPTKEPRPSLQELVDENINSTRLVDNNLYHFVIES >fgenesh2_kg.2__1685__AT1G74120.1 pep chromosome:v.1.0:2:16153688:16155549:-1 gene:fgenesh2_kg.2__1685__AT1G74120.1 transcript:fgenesh2_kg.2__1685__AT1G74120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLKTFTNLHGYTITTLLHQSRSLSSNPSSRILTPINQSHYRKRILLANLLHRYGFPPSSLQHFLSRNNHLLNLDLVETEASLGILLSLKIPQKSLVSLICDCPNVLRSEFLRKWRVPLFDCGKHGVSSSAIKSVLEHSSRIGIGPDKFYECIRVLKGLGFCDSTVSRILSSFPGVLLVNEIEIHRKIEFLVGIDIPRDNIERFFHVFPEVLGIGTETRLKPLLDEFIKMGFSKDDIKEEIAREPRVLGLELGELPRCLELINTLKCREVIRLSIISEGAFRAGFEVKLRVDCLCKYGLIRRDAFKVVWKEPRVILYEIEDIEKKIEFLTNRMGFHINCLADVPEYLGVNLQKQIVPRYNVIDYLKLKGGLGCDIGLKGLIKPSMKRFYNLYVKPYPECERIFGKRKENARVNKRHPAGLWKLMKPPSYLTTKEDVVNMKSFIESLA >fgenesh2_kg.2__1687__AT1G74160.1 pep chromosome:v.1.0:2:16168985:16173924:1 gene:fgenesh2_kg.2__1687__AT1G74160.1 transcript:fgenesh2_kg.2__1687__AT1G74160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDSSDLQKQIGCMNGIFQIFDRHHVLTGRRKSLTLGNGNANSINYERETVDTSYQQKDTVSQFQDSNIGGNVKEKRRVSTESSRVSFSSSCSSSPSSSEFNRGVQPEASAYDRANFQESPTSDPEMTEGNGFSHLGFDLRDVVRDSMYREARGLLVKSPMTRDEGVRQSRREDSPRPYGLKQSTPVDLNESFRVLAKLRETSQHYNEVGTKDAPRYSVDSHDTLKSRHKLKELPRLSLDSRDRVMRNSSVDPKTSKLSESFSESCSSSSKKRPPSVVAKLMGLETLPGSPLGRDIHQFGLNKTNISDQNDDPFSRSLREKNLNRAIRFSPSSPRSLGKDPASPRWRNSDFVMKPLSNTRFPIEPAPWKQADRNRVLQKQASMPVKAKPYEAPNFPPTVYSEMERRLNDLEFKHSGKDLRALKQILESMQSKGFLDTEKQLQSSNFAAQRDYERESSATSNHAMPSRARVQSSSSSSNQVYQSPIVIMKPAKLVEKAGIPASSLIPIHSLSGINKIRREKPDDKETSASNKRVTKVRSPGIRRAESCTSSFDKKSDSRNVRSSSKKPQQVSKESTSKSSGSVSPRLQQKKLEYDKRSRPPTPPDSSKSRKPSNQQLVESTSPGGRRRPRAQKSLQQNDDQLSQASNESRTSSHDICTQSETEASAWVEKATEADGGKSPSVIEAAKAVVSNLMQNKSSPRFSEDGLSANLSLVALEHPSPISVLDASTYRETEPSPVKTQGNVAHDFCDDHCEDQWNPAYSFSETTSSFSPEINRKKLQNVEHLVQKLRRLNSSHDEASQDYIASLCENADPTTDHRYISEILLASGLLLRDLGSGLTTFQLHPSGHPINPELFFVLEQTKGSSTMHLLHKEESKVLKNEKLNRKLVFDTVNEILVEKLASVEATANPLMKSYAKMTKKAVSAQQLLKELCSAIETQQKQATKRSENFLLEEEDDFLKSILAEDVRIRSGNWADFSGEISGLVLDVERLIFKDLVNEIVHAETSHQQAKSGRRRTLFADQ >fgenesh2_kg.2__1688__AT1G74190.1 pep chromosome:v.1.0:2:16198284:16201817:-1 gene:fgenesh2_kg.2__1688__AT1G74190.1 transcript:fgenesh2_kg.2__1688__AT1G74190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KRZ9] MEGKVFLGQNLIWVMLLMGQLHGYKSCIDKERNALFELRKYMISRTEEDQSDSVLPTWTNDTTSDCCRWKGVACNRVSGRVTEIAFGGLSLKDNSLLNLSLLHPFEDVRSLNLSSSRFSGLFDDVEGYKSLRRLRKLEILDLSSNKFNNSIFHFLSAATSLTTLFLRSNNMVGSFPAKELRDLTNLELLDLSRNRFNGSIPIQELSSLRKLKALDLSGNEFSGSMELQGKFSTNLQEWCIHGICELKNTQELDLSQNQLVGHFPSCLTSLTGLRVLDLSSNQLTGTVPSTLGSLPSLEYLSLFDNDFEGSFSFGSLANLSNLMVLKLCSKSSSLQVLSESSWKPKFQLSVIALRSCNMEKVPHFLIHQKDLRHVDLSNNKISGKLPSWLLANNTKLKVLLLQNNFFTSFQIPKSAHDLLFLDASANEFNHLFPENIGWIFPHLRYMNIYKNDFQGNLPSSLGNMKGLQYLDLSHNSFHGKLPRSFVNGCYSMAILKLSHNKLSGEIFPESTNLTSLLGLFMDNNLFTGKIGQGLRSLINLELLDMSNNNLTGVIPSWIGELPSLTALLISDNFLKGEIPTSLFNKSSLQLLDLSTNSLSGGIPPHHDSRDGVVLLLQDNNLSGTIADTLLVNVEILDLRNNRFSGNIPEFINTQNISILLLRGNKLTGRIPHQLCGLSNIQLLDLSNNRLNGSIPSCLSNTSFGFGKECTSYDYDFGISFPSDVFNGFSLHQDLSSNKNSGIYFKSLLMLDPFSMDYKAATQTKIEFATKHRYDAYMGGNLKLLFGIDLSENELSGEIPVEFGGLLELRALNLSHNNLSGVIPKSLSSMEKMESFDLSFNRLQGRIPAQLTELTSLSVFKVSHNNLSGVIPEGRQFNTFDAESYLGNRLLCGQPTNRSCNNNSFEEADDEVEDNESTIDMESFYWSFGAAYVTILVGILASLSFDSPWKRFWFDTVDAFIHKVRNL >fgenesh2_kg.2__1689__AT1G74220.1 pep chromosome:v.1.0:2:16211172:16212232:-1 gene:fgenesh2_kg.2__1689__AT1G74220.1 transcript:fgenesh2_kg.2__1689__AT1G74220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQEQSFFNPTNQSSKNLASKPETEDPISRLSSSSSSSCSSIEAEPRPDQNLNNNVEQSPPTQVMERSTNNTTTTTTTTTSSPNTPPYRIPSHVFARTTSTAPEWSTMSNESLFSIHMGNNSFTGGDYFKSGELTFPQPPSPITPPLPSPLPSPPHNANQGGAVGPAAEVKTPVDIGKKAAETDKAYRASKEDEQKAAASIREVIMANEAANKDNNNNNNTKTNKLDRSVSRRSEDLSLKSFAFPVLGNADKGGLQGSTPQKKQGKPSQPETPQISTETERDEGLKKEEAPKSVAPKAEAERASNRNPNWLSCFPCCTTFCV >fgenesh2_kg.2__1690__AT1G74230.1 pep chromosome:v.1.0:2:16212771:16215096:1 gene:fgenesh2_kg.2__1690__AT1G74230.1 transcript:fgenesh2_kg.2__1690__AT1G74230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSKVGRIFSQTSSHVTASSSMLQSIRCMSSSKIFVGGISYSTDEFGLREAFSKYGEVVDAKIIVDRETGRSRGFAFVTFTSTEEASNAMQLDGQDLHGRRIRVNYATERGSGFGGRGFGGPGGGYGASDGGYGAPAGGYGAPAGGYGGNSSYGGNAGGGGGYGGNSSYGGNAGGGGGYGGNPPYTGNAVGGGGGYGSNLGSGGGYGVAGGVGGSDNFAQGSSSNAGFDNKFGSNQPLGNDTDHQLDSGFGGDEQFGGSDNQFGDAENGKTENGPDGFDQTDDGDVAKRA >fgenesh2_kg.2__1693__AT1G74260.1 pep chromosome:v.1.0:2:16221057:16226120:-1 gene:fgenesh2_kg.2__1693__AT1G74260.1 transcript:fgenesh2_kg.2__1693__AT1G74260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSQATRAALFLNGSNRQAMLLQRCQRSSTSQLWGSVGMRTSRLSLNRTKAVSLRCSAQPNKPKAAVSTGSFVSADELPSLVEKPAAEVIHFYRVPLIQESANAELLKAVQTKIGNQIVSLTTEQCFNIGLESKLKDEKLSVLKWILQETYEPENLGTDSFLERKKQEGLHAVIVEVGPRLSFTTAWSTNAVSICRACGLNEVTRLERSRRYLLFSKEPLLENQIKEFAAMVHDRMTECLYSQRLVSFETNVVPEEVKYVPVMEKGRKALEEINQEMGLAFDEQDLQYYTRLFREDIQRDPTNVELFDIAQSNSEHSRHWFFAGNIVIDGKPMDRSLMQIVKSTWEANRNNSVIGFKDNSSAIRGFLVNQLRPLLPGSVCLLDVSARDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTSGYCVGNLNMEGSYAPWEDSSFQYPSNLASPLQILIDASNGASDYGNKFGEPMIQGYTRTFGMRLPSGDRREWLKPIMFSAGIGQIDHTHITKGEPEVGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMSQKLYRVVRACIEMGEKNPIISIHDQGAGGNCNVVKEIIYPQGAEIDIRAVVVGDHTMSVLEIWGAEYQEQDAILVKAESREILQSICKRERLSMAMIGTINGGGRCTLIDSTAAAKCNKEGLPPPPPAVDLELEKVLGDMPKKTFEFNRIAYAREPLDIAPGITLMDSLKRVLRLPSVSSKRFLTTKVDRCVTGLVAQQQTVGPLQITLADVAVIAQTFTDLTGGACAIGEQPIKGLLDPKAMARLAVGEALTNLVWAKVTALSDVKASGNWMYAAKLEGEGSAMYDTAIALSEAMIELGIAIDGGKDSLSMAAHADGEVVKAPGNLVISAYVTCPDITKTVTPDLKLGGDDGILLHVDLAKGNRRLGGSALAQVFGQIGNDCPDLDDVPYLKNVFEGIQALIAENLVSAGHDISDGGLVVTALEMAFAGNKGINLDLASNGISLFETLFSEELGLIMEVSKTNLDAVMEKLRDFNVTAEIIGNVTDSPMIEVKVDGITHLSEKTSFLRDMWEDTSFQLEKLQRLASCVEMEKEGLKFRHEPNWKLSFTPSSTNNKYMSQDVKPKVAVIREEGSNGDREMSAAFYAAGFEPWDVTVSDLLAGDITLDQFRGIVFVGGFSYADVLDSAKGWAASIRFNEPVLSQFQEFYKRPDTFSLGICNGCQLMALLGWVPGPQVGGSLDTSQPRFVHNESGRFECRFTSVTIKDSPSIMLKGMEGSTLGVWAAHGEGRAYFPDEGVLDHMLHSDLAPLRYCDDDGNVTEAYPFNLNGSPLGIAAICSPDGRHLAMMPHPERCYLMWQFPWYPTSWDVEKAGPSPWLKMFQNARDWLESC >fgenesh2_kg.2__1694__AT1G74270.1 pep chromosome:v.1.0:2:16226591:16227846:-1 gene:fgenesh2_kg.2__1694__AT1G74270.1 transcript:fgenesh2_kg.2__1694__AT1G74270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L35a [Source:UniProtKB/TrEMBL;Acc:D7KS06] MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLVQIEGVNTQEEVNWYKGKRMAYIYKAKTKKNGSHYRCIWGKVTRPHGNSGVVRAKFTSNLPPKSMGSRVRVFMYPSNI >fgenesh2_kg.2__1695__AT1G74310.1 pep chromosome:v.1.0:2:16230526:16234220:-1 gene:fgenesh2_kg.2__1695__AT1G74310.1 transcript:fgenesh2_kg.2__1695__AT1G74310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHSP101 [Source:UniProtKB/TrEMBL;Acc:D7KS08] MNPEKFTHKTNETIATAHELAVNAGHAQFTPLHLAGALISDPTGIFPQAISSAGGENAAQSAERVINQALKKLPSQSPPPDDIPASSSLIKVIRRAQAAQKSRGDSHLAVDQLIMGLLEDSQIRDLLNEVGVATARVKSEVEKLRGKEGKKVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVKGDVPNSLTDVRLISLDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGKTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVPDTISILRGLKEKYEGHHGVRIQDRALINAAQLSARYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRMQLEIELHALEREKDKASKARLIEVRKELDDLRDKLQPLTMKYRKEKERIDEIRRLKQKREELMFSLQEAERRYDLARAADLRYGAIQEVESAIAQLEGTSSEENVMLTENVGPEHIAEVVSRWTGIPVTRLGQNEKERLIGLADRLHKRVVGQNQAVNAVSEAILRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVSRLIGAPPGYVGHEEGGQLTEAVRRRPYCVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNSVIIMTSNLGAEHLLSGLTGKVTMEVARECVMREVRKHFRPELLNRLDEIVVFDPLSHDQLRKVARLQMKDVAVRLAERGVALAVTDAALDYILAESYDPVYGARPIRRWMEKKVVTELSKMVVREEIDENSTVYIDAGAGGSDLVYRVESGGLVDASTGKKSDVLIHIANGPKRSDAAQAVKKMRIEEIDDDEEMVED >fgenesh2_kg.2__16__AT1G64600.1 pep chromosome:v.1.0:2:100403:103090:-1 gene:fgenesh2_kg.2__16__AT1G64600.1 transcript:fgenesh2_kg.2__16__AT1G64600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAQKVFTVETLRSAAKQSLRCLVVPVRLRRAIKKYLREEDDPHIRKKVRQLSESFQEIKDTNLQLPETTAKSLADSMNSLETKRWKIQTVYGDSGLQYRDGETAAYIASRMPAVFSVCYRVLIEIRRRVPGFAPTRVLDFGAGTGSGFWAVKEVWPKSVEKVNIVEPSQSMQRAGRDLIQGLKDLPLIHGYTSLLALNKEINKKSERKHDLVIASYVLGEIPSLKDRITVVRQLWDLTDDLLVLIEPGTPHGANIISQMRSHILWMEKRKLRKLEKKMKKDGKDVLDLKSGAHIVAPCPHDGKCPLENTGKYCHFVQRLQRTSSQRSYKRTKGVPLRGFEDEKFCFVAFRRGQRPRELWPLDGMKLETLKERRANKKPEDLEVDYEDFIKSQVVEVPYIDPRAYDSDTMDDEEEEQEDGEGTDEDDEDKIEEEIEEEEESERASVGGGWGRIIFPPFRKGKQVTLDMCVPTKEDGSEGAFERRVITKSKNPDLHLQAKKSFWGDLWPLTTQQESGKERQVDAEWCRPDQDQKWGSWP >fgenesh2_kg.2__1700__AT1G74370.1 pep chromosome:v.1.0:2:16253124:16254422:-1 gene:fgenesh2_kg.2__1700__AT1G74370.1 transcript:fgenesh2_kg.2__1700__AT1G74370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KS12] MWNLASKSIREGFKSQGEEAATKQRKSPSDSSGDGRRTTKEEKLECPICWESFNVVENVPYVLWCGHTICKYCLLGLQRAVVIKSSALPFQLPFFVACPWCNILSLRLVCSGTIKFPSKNFYLLWMVESMNGCRSEARSDNKRVTSGHLRKRCDGVSNTASDDGGLLDNRSWWNGVTRGFFGTGRLHDSVCKSMALVAHLLSKFPLVVIFLLMALYAIPVSAAVLGLYFFVTFALAVPSFLVLYFAFPSLNWLIREIAA >fgenesh2_kg.2__1701__AT1G74380.1 pep chromosome:v.1.0:2:16254625:16256503:1 gene:fgenesh2_kg.2__1701__AT1G74380.1 transcript:fgenesh2_kg.2__1701__AT1G74380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyl transferase GMA12/MNN10 family protein [Source:UniProtKB/TrEMBL;Acc:D7KS13] MGQDGSPAHKRPSGSGGGLPTTTVTNGGGRGGRGGLLPRGRQMQKTFNNIKITILCGFVTILVLRGTIGVGNLGSSSADAVNQNIIEETNRILAEIRSDSDPTDLDEPQEGDMNPNATYVLGPKITDWDSQRKVWLNQNPEFPSTVNGKARILLLTGSPPKPCDNPIGDHYLLKSVKNKIDYCRLHGIEIVYNMAHLDKELAGYWAKLPMIRRLMLSHPEVEWIWWMDSDALFTDILFQIPLARYEKHNLVIHGYPDLLFDQKSWIALNTGSFLLRNCQWSLDLLDAWAPMGPKGPIRDEAGKVLTAYLKGRPAFEADDQSALIYLLLSQKDTWMEKVFVENQYYLHGFWEGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYADYAVERCLKSMERAFNFADNQVLKLYGFSHRGLLSPKIKRIRNETVTPLEFVDKFDIRRTQVETKPQN >fgenesh2_kg.2__1702__AT1G74390.1 pep chromosome:v.1.0:2:16256714:16258873:-1 gene:fgenesh2_kg.2__1702__AT1G74390.1 transcript:fgenesh2_kg.2__1702__AT1G74390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease family protein [Source:UniProtKB/TrEMBL;Acc:D7KS14] MASTLGGDERSEIAFFDLETAVPTKSGQPFAILEFGAILVCPRKLVELYSYSTLVQPTDLSLISTLTKRRSGITRDGVLSAPTFSEIADEVYDILHGRIWAGHNIKRFDCVRIRDAFAEIGLCPPEPKATIDSLSLLSQKFGKRAGDMKMASLATYFGLGDQAHRSLDDVRMNLEVVKYCATVLFLESSVPDILTDMSWFSPRKSPRARRSNEESVRDGVRESSTSSSSSPKTDPSLSSADATIVKNHPIVSLLTECSESDTSSCEIDPSDITTLISKLHIGTLQTDAADEAKTVRQQGESPPSPDTDAKDDSFLGVNEVSVSSIRASLIPFYHGSLRMKLFHNDTPLHLCWYSLKIRFGISRKFVDHAGHPRLNIVVDIPPALCKILDAADAAAHNLPIDSSTNSDWRPTVIRKEGFANYPTARLQISSESNGDDTRCGTQLYQKEEPLETNQKLDFSSDNLEKLESALLPGTLVDAFFSLEPYDYQQMAGIRLTARKLVIHLKK >fgenesh2_kg.2__1707__AT1G74430.1 pep chromosome:v.1.0:2:16278486:16280628:1 gene:fgenesh2_kg.2__1707__AT1G74430.1 transcript:fgenesh2_kg.2__1707__AT1G74430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KS17] MGRTTWFDVDGLRKGEWTAEEDRKLVVYINEHGLGEWGSLPKKAGLQRCGKSCRLRWLNYLRPGIKRGKFTPQEEEEIIKYHALLGNRWAAIAKQMPSRTDNDIKNHWNSCLKKRLAKKGIDPMTHEPTTTSLTVDVTSSSTTSSPTPSPTSSSVSSSSSNGSARFLNKLAAGISSRKHGLESIKTVILSEQPREAVDEEKMMISMEEKELMSCFMEIDEKLSIDELFCDDSTAGFVAFDDYSLTDPYRYSVYES >fgenesh2_kg.2__1709__AT1G74450.1 pep chromosome:v.1.0:2:16294825:16296307:1 gene:fgenesh2_kg.2__1709__AT1G74450.1 transcript:fgenesh2_kg.2__1709__AT1G74450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDYQRSFGRSFLNLRRDTAVNSVDSTTVTVELTQMEAELDSFQRKVAERFIDLNASSCEDLLSLEWVGKLLDSFLSCQEEFRAIVINHRSMITKPPTDRLIADYFERSVKALDVCNAIRDGVEQIRQWQKLIEIVICAFNNNGGGSSSSQRPLGEGQFRRARKTLIELAIGMLDEKDSSSSSVSSQHRNRSFGRNKEHLHHRTIGHFRSLSWSVSRSWSASKQLQAIGNNLATPRASDITATNGLAVPVYTMTSILLFVMWALVAAIPCQDRGLQVHFNVPRNYQWGGSLMSLHDRIIEESKKRERKNTCGLLKEIHQFERSSRLMNELVDSVQFPLSDEKEMEVRERVEELGKLQQALKNGLDPFERKVREVFHRIVRSRTEGLDTVGKHHGSE >fgenesh2_kg.2__1712__AT1G74470.1 pep chromosome:v.1.0:2:16306560:16308416:1 gene:fgenesh2_kg.2__1712__AT1G74470.1 transcript:fgenesh2_kg.2__1712__AT1G74470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVTLKSFTGLRQSSTEQTNFVSHVPSSLSLPQRRSSLRVTASRATPKLSNRKLRVAVIGGGPAGGAAAETLAQGGIETILIERKMDNCKPCGGAIPLCMVGEFNLPLDIIDRRVTKMKMISPSNIAVDIGRTLKEHEYIGMVRREVLDAYLRERAEKSGATVINGLFLKMDHPENWDSPYTLHYTEYDGKTGATGTKKTMEVDAVIGADGANSRVAKSIDAGDYDYAIAFQERIRIPDEKMTYYEDLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKGDIKKFQLATRNRAKDKILGGKIIRVEAHPIPEHPRPRRLSKRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSQNGKKMIDEGDLRKYLEKWDKTYLPTYRVLDVLQKVFYRSNPAREAFVEMCADEYVQKMTFDSYLYKRVAPGSPLEDIKLAVNTIGSLVRANALRREIEKLSV >fgenesh2_kg.2__1715__AT1G74500.1 pep chromosome:v.1.0:2:16313517:16314176:-1 gene:fgenesh2_kg.2__1715__AT1G74500.1 transcript:fgenesh2_kg.2__1715__AT1G74500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSRSRQSSGTSRISEDQINDLIIKLQQLLPELRDSRRSDKVSAARVLQDTCNYIRNLHREVDDLSERLSELLANTDTAQAALIRSLLTQ >fgenesh2_kg.2__1716__AT1G74510.1 pep chromosome:v.1.0:2:16322820:16325266:1 gene:fgenesh2_kg.2__1716__AT1G74510.1 transcript:fgenesh2_kg.2__1716__AT1G74510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KS27] MLEAPSYLVSRDLPSSCEEESKWIYNAHCVLQLSLKKRLLDATDEEGSFAKKLLRVDHGSRGESEKITDILQLAKIYQRSNQSQQGGDQQSSPVTRLDQNALLNCLAHCSLSDFGSIASTNKTFRSLIKDSELYRLRRAKGIVEHWIYFSCRLLEWEAYDPNGDRWLRVPKMTFNECFMCSDKESLAVGTELLVFGKEIMSHVIYRYSILTNTWTSGMQMNVPRCLFGSASLGEIAVIAGGCDPRGRILSSAELYNSETGEWTVIPSMNKARKMCSSVFMDGNFYCIGGIGEGNSKMLMCGEVYDLKKKTWTLIPNMLPERSNGGGGDQAKEIAAATAASEAPPLVAVVKDELYAANYAQQEVRKYDKRRNVWNKVGNLPERASSMNGWGMAFRACGDQLVVVGGPRAIGGGFIEINACVPSEGTQLHWRVLASKPSGNFVYNCAVMGC >fgenesh2_kg.2__1718__AT1G74520.1 pep chromosome:v.1.0:2:16325503:16326905:-1 gene:fgenesh2_kg.2__1718__AT1G74520.1 transcript:fgenesh2_kg.2__1718__AT1G74520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:D7KS28] MGSGAGNFLKVLLRNFDVLAGPVVSLVYPLYASVQAIETQSHADDKQWLTYWVLYSLLTLIELTFAKLIEWLPIWSYMKLILTCWLVIPYFSGAAYVYEHFVRPVFINPRSINIWYVPKKMDIFRKPDDVLTAAEKYIAENGPDAFEKILSRADKSRRYKHEHESYETMYGEGYQY >fgenesh2_kg.2__1719__AT1G74530.3 pep chromosome:v.1.0:2:16327218:16329695:-1 gene:fgenesh2_kg.2__1719__AT1G74530.3 transcript:fgenesh2_kg.2__1719__AT1G74530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTSAIRAPNWRTVVLFWTISLTIFYSLFQMGLRNSPSSSSSSDSFISYAEQSTRLYDKMERDIQENGPLFFKQGETSQSLSLSDLFTLKDGKISPVLKVANPPVRANVLHLSTEYSVPVSKAVENVFSPYFENTIWFQDSKMYHFSMFHASNHIFSVPATEDEVEGEAAAVKAVANKLCPLEIILDRVLLTSTGVLLGCWKVYSGDDPITIRSKLRSVLPRAPEKQLYDAAILHTSLARLLGPPASSDDQLQRIHDLITRLNNQIRGFRAIVSELWYVEEFDLLALALGGRMKVRSFPLGCAKS >fgenesh2_kg.2__1722__AT1G74540.1 pep chromosome:v.1.0:2:16330046:16331759:1 gene:fgenesh2_kg.2__1722__AT1G74540.1 transcript:fgenesh2_kg.2__1722__AT1G74540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP98A8 [Source:UniProtKB/TrEMBL;Acc:D7KS30] MVLYLISLLPIIVATVMLYQRWWRSNIPPGPKPKFLIGNLHQMKPLWTHSFSEWSQTYGPIISVWIGSQLTVVVSSSDLARQVLRDKDHQLSNRHRIARMTQTGTDLVWSDYSPHYVKLRKLCTLELFSLKSIENFRSLREMEARSMVVSILKDLMSDSGDDQERKPVIVRKYLAAVVLNTISRLMIGKEFGSEEGKEFKSIVEKEHLLSGSGTILDHVWWLKWVSSWFISDKEFLAHKDRRTKWFRGAVMVEEDVAIEDHRGFVRKLLVLKEQKELSEETVGGLVWNMLTAGADTTAVVIEWAMAEMIKCPAVQEKAQQELDSVVGSERLMSESDIPNLPYLQCVVKEALRLHPSTPLMLPHKASETVWVGGYKVPKGATVYVNVQAIGRDPANWINPYEFRPERFLQEETDVKGRDFRVLPFGSGRRMCPAAQLSMNLMTLVMGNLLHCFSWSSPIPGERIDMSENPGLLCNMRTPLQALALPRAAARVIPLPLD >fgenesh2_kg.2__1726__AT1G74560.3 pep chromosome:v.1.0:2:16334726:16337209:-1 gene:fgenesh2_kg.2__1726__AT1G74560.3 transcript:fgenesh2_kg.2__1726__AT1G74560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVADKSKKSKIEEKVEEENLEQIDEKLVLSIEKLQEIQDDLEKINEKASDEVLEVEQKYNVIRKPVYDKRNEVIQSIPDFWMTAFLSHPALGDLLTEEDQKIFKYLNSLEVEDAKDVKSGYSITFHFNSNPFFEDAKLTKTFTFLEEGTTKITATPIKWKEGKGLPNGVNHDDKKGNKRALPEESFFTWFTDAQHKEDAGDEIHDEVADIIKEDLWTLMKRILMEMMTVTKREKMTTRTTMKRRKLVRNDGSPR >fgenesh2_kg.2__1728__AT1G74590.1 pep chromosome:v.1.0:2:16340853:16341919:-1 gene:fgenesh2_kg.2__1728__AT1G74590.1 transcript:fgenesh2_kg.2__1728__AT1G74590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKSKVTLHGTWISPYSKRVEIALKLKGILYEYVEEDLQNKSESLIQLNPVHKKIPVLVHDGKPVAESLVILEYIDETWMNSPRFFPEDPYERAQVRFWVSYINQQVFEVTGQIMFQEGEAQAKSVEEARKRIKVLDEGLEKHFPNKNIRENDDVGLLEIIIIATFGVHKAHREEIGVEIISPVNTPTLYNWIERLQDLSVVKEVEVPHDKLVNLIQNYRQKCLQQAANA >fgenesh2_kg.2__1729__AT1G74600.1 pep chromosome:v.1.0:2:16342375:16344528:-1 gene:fgenesh2_kg.2__1729__AT1G74600.1 transcript:fgenesh2_kg.2__1729__AT1G74600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFFYEVVQSSLIDAFSKNLRFEDAYKVFRDTLSANVYCWNTIIAGALRNQNYGAVFDLFHEMCNGFQKPDSYTYSSVLAACASLEELRFGKVVQARVIKCGAEDVFVCTSIVDLYAKCGHMAEAREVFSRISNPSVVSWTVMLSGYTKSNDAFSALEIFREMRHSGVEINSCTVTSVISACGRPSMVCEASQVHAWVFKSGFYLDTSVAAALISMNSKSGDINLSERVFEDLDDIRRQNIVNVMVTSFSQNKKPGKAIRLFTRMLQEGLNPDEFSVCSLLSVLDCLNLGKQVHSYTLKSGLILDLTVGSSLFTMYSKCGSLEESYSLFQEIPFKDNACWASMISGFNEYGYLREAIGLFSEMLDEGTSPDESTLAAVLTVCSSLPSLPRSKEIHGYTLRAGIDRGMPLGSALVNTYSKCGSLKLARKVYDRLPEMDPVSCSSLISGYSQHGLVQDGFLLFRDMVMSGFSMDSYAISSILKAAVLSEESELGAQVHAYITKIGLCTEPSVGSSLLTMYSKFGSIEDCCKAFSQINGPDLIAWTALIASYAQHGKANEALQVYCLMKEKGFKPDKVTFVGVLSACSHGGLVEEGYFHLNSMVKDYGIEPENRHYVCMVDALGRSGRLREAENFINTRPIKPDALVWGTLLAACKIYGDVELGKLAAKKAIELEPSDAGAYVSLSNILAEVGEWDEVEETRKLMKGTGVQKEPGWSSV >fgenesh2_kg.2__172__AT1G63320.1 pep chromosome:v.1.0:2:1201729:1202374:1 gene:fgenesh2_kg.2__172__AT1G63320.1 transcript:fgenesh2_kg.2__172__AT1G63320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KU26] MELFRNMSQRGLVGNTVTYNTLIQGLFQDGDCDMAQELFKVMVSDGLPPDIITYNFLLDGLCKNGKLEKALVARKVEDGWNLFCSLSLKGVKPDVITYNTMISGFCWKGLKEEADTLFKKMKEDGPLPDSGTYNTLIRAHLRDGDKAASAELIKEMRSCGFAGDASTIGLVTNMLHDGRLDKSFLDMLS >fgenesh2_kg.2__1732__AT1G74650.1 pep chromosome:v.1.0:2:16369915:16371909:1 gene:fgenesh2_kg.2__1732__AT1G74650.1 transcript:fgenesh2_kg.2__1732__AT1G74650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPCCEKIEVKKGPWTPEEDIILVSYIQQHGPGNWRSVPANTGLLRCSKSCRLRWTNYLRPGIKRGNFTQPEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLLTMKLQNGIINGDKTNMATEISSCNNNNNGCNHNKRIVNKGQWEKKLQTDINMAKQALFQALSLDQPSSLIPPDPDSPNRHHHSTTTYASSTDNISKLLQNWTSSSTSRPNTSSVSKTRSSSPGERGVFDHHSLFSSNSESGSVDEKLNLMSETSMFKGDQSKPNIDMEATTTTTTTTDDQGSLSLIEKWLFDDQGLAQCDDNQEDLIDVSLEG >fgenesh2_kg.2__1733__AT1G74660.1 pep chromosome:v.1.0:2:16376913:16377492:-1 gene:fgenesh2_kg.2__1733__AT1G74660.1 transcript:fgenesh2_kg.2__1733__AT1G74660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKRQMVIKQRSRNSNTSSSRTTTSSSSSSSSEISNVRYVECQKNHAANIGGYAVDGCREFMAAGVEGTVDALRCAACGCHRNFHRKEVDTEVVCEYSPPNA >fgenesh2_kg.2__1734__AT1G74680.1 pep chromosome:v.1.0:2:16386972:16388771:1 gene:fgenesh2_kg.2__1734__AT1G74680.1 transcript:fgenesh2_kg.2__1734__AT1G74680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F25A4.34 [Source:UniProtKB/TrEMBL;Acc:D7KS41] MSEKSLLSSKFLFYTITVSMLLFIVSSLFFLQRNESSFTSSLVRKLILPRTDIKNDEFGKIDTLCDRNRDVLKVFMYNLPSEFHFGILNWHKTGSEIWPNVNNISTIPSYPGGLNRQHSVEYWLTLDLLASETPEIKRPCSSAAIRVKNSNEADIVFVPFFASLSYNRKSKLRGNETISGDRLLQERLVEFLKSQDEWKRFDGKDHLIIAHHPNSLLYAKNFLGSAMFVLSDFGRYSSANANLEKDIIAPYLHVVKTISNNESAPFEKRPVLAYFQGAIYRKDGGTIRQELYNLLRDEKDVHFAFGTVRRNGTKQTGKGMASSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDQIELPFEDSLDYSGFSVFVHASEAVKKGFLVNLLRGITEDQWKKKWGRLKEVAGCFEYRFPSHPGDSVNMIWSAVSHKLSSLQFDVHRKNRYRRSEMFDRNRSS >fgenesh2_kg.2__1736__AT1G74700.1 pep chromosome:v.1.0:2:16393608:16395314:1 gene:fgenesh2_kg.2__1736__AT1G74700.1 transcript:fgenesh2_kg.2__1736__AT1G74700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKAMQIEGYPIEGLSIGGHETCIIFPSLRIAFDIGRCPHRAISQDFLFISHSHMDHIGGLPMYVATRGLYKMKPPTIIVPKSIKETVESLFEVHRKLDSSELKHNLVGLDIGEEFIIRKDLKVKAFKTYHVIQSQGYVVYSTKHKLKQEYIGLSGNEIKNLKASGVEITDSITTPEVAFTGDTTADFVVDESNADALKAKVLVMESTFLDDSVSVEHARDYGHIHLSEIVNHAAKFENKAILLIHFSARYTVKEIEDAVSALPPPLEGRVFALTQGF >fgenesh2_kg.2__1738__AT1G74710.1 pep chromosome:v.1.0:2:16405347:16409085:1 gene:fgenesh2_kg.2__1738__AT1G74710.1 transcript:fgenesh2_kg.2__1738__AT1G74710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQFSSQFLGSNTKKHSSIISISRSYSPTPFTRFSRKKYESCSMSMNGCEGDFRTPLGTVETRTITAVLSPAAATERLISAVSELKSQPPSFSSGVVRLQVPIDQQIGAIDWLQAQNENQPRCFFSRRSDVGRPDLLLDLANENESGNGSVSSDRNLVSVAGIGSAVFFRDLDPFSHDDWRSIRRFLSSTSPLIRAYGGMRFDPNGKIAVEWEPFGAFYFSVPQVEFNEFGGSSMLAATVAWDDELSWTLENAIEALQETMLQVSSVVKKLRNRSLGVSVLSKNHVPTKGAYFPAVEKALEMIKQKSSSLNKVVLARNSRIITDTDIDPIAWLAQLQREGHDAYQFCLQPPGAPAFIGNTPERLFQRNQLGVCSEALAATRPRSASRARDMEIERDLLTSPKDDLEFSIVRENIREKLNGICDRVVVKPQKTVRKLARVQHLYSQLAGKLTKEDDEFNILATLHPTPAVCGLPAEEARLLIKEIESFDRGMYAGPIGFFGGEESEFAVGIRSALVEKGLGALIYAGTGIVAGSDPSSEWNELDLKISQFTKSIEYEATTSLQAIN >fgenesh2_kg.2__1739__AT1G74720.1 pep chromosome:v.1.0:2:16411305:16414842:1 gene:fgenesh2_kg.2__1739__AT1G74720.1 transcript:fgenesh2_kg.2__1739__AT1G74720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KS45] MNTTPFHSDPPPSRIQRKLVVEVVEARNILPKDGQGSSSAYVVVDFDAQKKRTSTKFRDLNPIWNEMLDFTVSDPKNMDYDELDVEVYNDKRFGNGGGRKNHFLGRVKIYGSQFSRRGEEGLVYFPLEKKSVFSWIRGEIGLKIYYYDEAADEDTAVGGGGQQQQQQFHPPQQEADEQQHHFHPPPQQMMNIPPEKPNVVVVEEGRVFESAQSHSYPETHQQPPAVIVEKSPPQQVMQGPNDNHPQRNDNYPQRPPSPPPPPSAGEVHYYPPEVRKMQVGRPPGGDRIRVTKRPPNGDYSPRVINSKIGGGETTMEKKTHHPYNLVEPMQYLFVRIVKARGLPPNESAYVKVRTSNHFVRSKPAVNRPGESVDSPEWNQVFALGHNRSDSAVTGATLEISAWDASSESFLGGVCFDLSEVPVRDPPDSPLAPQWYRLEGSGADQNSGRISGDIQLSVWIGTQVDEAFPEAWSSDAPHVAHTRSKVYQSPKLWYLRVTVLEAQDLHIAPNLPPLTAPEIRVKAQLGFQSARTRRGSMNNHSGSFHWHEDMIFVAGEPLEDCLVLMVEDRTTKEATLLGHAMIPVSSIEQRIDERFVPSKWHTLEGEGGGGGGGGGGGGPGSGGGGPYCGRISLRLCLEGGYHVLEEAAHVCSDFRPTAKQLWKPPIGILELGILGARGLLPMKAKNGGKGSTDAYCVAKYGKKWVRTRTITDSFDPRWHEQYTWQVYDPCTVLTIGVFDNWRMFSDVSDDRPDTRIGKIRIRVSTLESNKVYTNSYPLLVLLPSGMKKMGEIEVAVRFACPSLLPDVCAAYGQPLLPRMHYIRPLGVAQQDALRGAATKMVAAWLARAEPPLGPEVVRYMLDADSHAWSMRKSKANWYRIVGVLAWAVGLAKWLDNIRRWRNPVTTVLVHILYLVLVWYPDLVVPTGFLYVVMIGVWYYRFRPKIPAGMDIRLSQAETVDPDELDEEFDTIPSSRRPEVIRARYDRLRILAVRVQTILGDFAAQGERIQALVSWRDPRATKLFIAICLVITIVLYAVPAKMVAVALGFYYLRHPMFRDTMPTASLNFFRRLPSLSDRLI >fgenesh2_kg.2__1740__AT1G74730.1 pep chromosome:v.1.0:2:16414950:16416223:1 gene:fgenesh2_kg.2__1740__AT1G74730.1 transcript:fgenesh2_kg.2__1740__AT1G74730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGAPISSPATHLQTKFISNPILPRFRRSFSTGKAPATFSVVSMAPQKKVNKYDAKWKKQWYGAGLFFEGSEQINVDVFKKLEKRKVLSNVEKSGLLSKAEELGLTLSSLEKLKVFSKAEDLGLLSLLENLAGTSPAVLASAALPALTAAIVAVVLIPDDSTTLVVAQAVLAGALALTGVVLLVGSVVLDGLQEAD >fgenesh2_kg.2__1741__AT1G74740.1 pep chromosome:v.1.0:2:16416197:16418872:-1 gene:fgenesh2_kg.2__1741__AT1G74740.1 transcript:fgenesh2_kg.2__1741__AT1G74740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 30 [Source:UniProtKB/TrEMBL;Acc:D7KS47] MGNCIACVKFDPENSKPNQKKKTPRPRKPNFYEDPDGLRTHAPLRVIPMSHQSQISDKYILGRELGRGEFGITYLCTDRETREALACKSISKRKLRTAVDVEDVRREVTIMSTLPEHPNVVKLKATYEDNENVHLVMELCEGGELFDRIVARGHYTERAAATVARTIAEVVRMCHINGVMHRDLKPENFLFANKKENSALKAIDFGLSVLFKPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVALAILRGVLDFKRDPWSQISESAKSLVKQMLEPDATKRLTAQQVLDHPWIQNAKKAPNVPLGDIVRSRLKQFSMMNRLKKKALRVIAEHLSIQEVEVIRNMFTLMDDDNDGKISYLELRAGLRKVGSQLGEPEIKLLMEVADVNGNGCLDYGEFVAVIIHLQKMENDEHFRQAFMFFDKDGSGYIESEELREALTDELGEPDNSVIIDIMREVDTDKDGRINYDEFVVMMKAGTDWRKASRQYSRERFKSLSLNLMKDGSMHLHDALTGQSIAV >fgenesh2_kg.2__1742__AT1G74750.1 pep chromosome:v.1.0:2:16424539:16427091:1 gene:fgenesh2_kg.2__1742__AT1G74750.1 transcript:fgenesh2_kg.2__1742__AT1G74750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KS48] MIRAKHISNLSSSARSFFLSGTRPSAGDGNSCTCAEDESCVSKRQQIRTEVVQTGKKVSNLAAGLAGNILPVEACKPPVVPKSVEHFTRPLLLPQHVSSPALPGKTDSAIIKDDVGAPIGDQIFKAGNGIGTVNLLSDVANYKIPLSDGTEVVGLPKSCMVDPTRPISSVKSSNVKVIRREHLAKVYPRSADRVAINSSPSIKQASFEAHDLLSNTASGKRKSMPQRTYIDSTRYASGGCDYNVHSSDDRTIISSVEGFGKPSREMMRVTPGTAPTPRQYCNPGYVVENVSGILRRFKWGHAAEEALHNFGFRMDAYQANQVLKQMDNYANALGFFYWLKRQPGFKHDGHTYTTMVGNLGRAKQFGAINRLLDEMVKDGCKPNTVTYNRLIHSYGRANYLNEAMNVFKQMQEAGCEPDRVTYCTLIDIHAKAGFLDIAMDMYQRMQAAGLSPDTFTYSVIINCLGKAGHLPAAHRLFCEMVGQGCTPNLVTFNIMIALHAKARNYETALKLYRDMQNAGFQPDKVTYSIVMEVLGHCGFLEEAEGVFAEMQRKNWVPDEPVYGLLVDLWGKAGNVEKAWQWYQEMLHAGLRPNVPTCNSLLSTFLRVHRMSEAYNLLQSMLALGLHPSLQTYTLLLSCCTDARSNFDMGFCGQLMAVSGHPAHMFLLKMPPAGPDGQKVRDHVSNFLDFMHSEDRESKRGLMDAVVDFLHKSGLKEEAGSVWEVAAVKNVYPDALREKSCSYWLINLHVMSEGTAVIALSRTLAWFRKQMLVTGDCPSRIDIVTGWGRRSRVTGTSMVRQAVEELLNIFNFPFFTENGNSGCFVGCGEPLKKWLLESYVERMHLL >fgenesh2_kg.2__1743__AT1G74770.1 pep chromosome:v.1.0:2:16427358:16432668:-1 gene:fgenesh2_kg.2__1743__AT1G74770.1 transcript:fgenesh2_kg.2__1743__AT1G74770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNLHSLPPENASAVTVGNTKLSDAPVLFFVYCHKAFRAQLVDLRRFATDAAEADSFSGDLAVELSRKFEFLKLVYKYHSAAEDEVIFLALDKRVKNIVSNYSLEHAGTDDLFTSIFHWLHVLEEEIGSTSDVLREVILCIGTIQSSICQHMLKEERQVFPLLIEKFSFREQASLVWQFICSVPVMVLEDFLPWMMSYLSHEEKIEVENCIKDVAPAEDSMQQVISSWLLDDSQSSYGTPTEIMKGVQYVNVSKSMKKSPESHPSSGCFQRFWEWSKKSLSIPNVGRSPIHGLRLFQNAIEKDLRDIQEGLCRAKFSSLLVDLDVLMARLNFLADVLVSYSNAFKKFFHPVLEEMTARPSSTAKQFNIDGCLENFQRFLYKSADDKTRTDDFLLQLQEELESLIVQVTNQFSVQRTEVFPIISKNCNHEMQKQLLYTSIHVLPLGLLKCVILWFSAHLSEEESQSILHFLSLEDSSPKKSFPRLLLQWLRFGYSGKTSVETFWKQLAVMFKVRCSCQKDHNEEASGSFSNQTQMQLCKGSKVVCPRKKDKSSTCFMSMDLAAGDMYETPYSSRMNQQMIFSGKLKPPLHLPNFFGEKNMDDPSIMDVKPIDLLFFFHKAMKMDLDYLVCGSARLAADFRFLAEFQQRFHMIKFLYQIHSDAEDEIAFPALEAKGQLKNISHSFGIDHELETKHFDKVSFILNEMAELNMLVSTINTNAVDHHRKTKYERLCLSLQEICKSMHKLLSEHIQHEETELWGLFRNCFSIEEQEKMIGCMLGRISGEILQDMIPWLMESLTSDEQLAAMSLWRQVTRKTMFVEWLTEWYNGHVLQEEAGESNNDPFGDSDPLEIVWKYLFEAAADGDIGSNLVQLSETDFTGMMNQPPHNNNVELGNKEEKDLERSESKKICRGADQKRDKEQTDNNFQTRNPSQTFQMSQKVSQFGPSKRYEQLLTMSEEELVVVIKKISCDSSLNPQKKSYIKQNLLMSRWNISQRTNILEPSSLSSNMETVPGQHPSYRDPHSLIFGCNHYKRKCKLFAPCCDKLFTCIRCHDEEADHSVDRKQITKIMCMKCLLIQPIGANCSNTSCKSSMGKYFCQICKLYDDERKIYHCPYCNLCRVGKGLGIDYFHCMKCNACMSRTLVEHVCREKCLEDNCPICHEYIFTSSSPVKALPCGHLMHSTCFQEYTCSHYTCPICSKSLGDMQVYFKMLDALLAEEKMPDEYSNKTQVILCNDCGRKGNAPYHWLYHKCTSCGSYNSRLL >fgenesh2_kg.2__1744__AT1G74780.1 pep chromosome:v.1.0:2:16434116:16436381:1 gene:fgenesh2_kg.2__1744__AT1G74780.1 transcript:fgenesh2_kg.2__1744__AT1G74780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin family protein [Source:UniProtKB/TrEMBL;Acc:D7KS50] MEILRTKWVAMAASIWIQCTNGASYTFGIYSAVLKSTQSYDQSTLDTVSVFKDIGANAGVFSGLLYTYATSNRRRGRGGGAGGPWVVLAIGAIQCFAGYFLIWASVTGRIRKPPVPLMCLFMFLAAQSQTFFNTANVVSAVENFADYGGTAVGIMKGFLGLSGAILIQLYETLCAGDPASFILLLAVTPTVLSLLVMPLVRIYETSVADDKKHLNGLSAVSLIIAAYLMIVIILKNTVGLSSWANVVTLVCLVVLLALPLLIARRAQRDGMEKPAPHEYSPLISSPKATTSGNQSSEGDSRIDSGLSENLNLLQAMKNLSFWLLFLAMICGMGSGLSTINNIRQIGESLRYSSVEINSLVSLWSIWNFLGRFGAGYASDALLHKKGWPRPLLMAATLGTMTIGHLIIASGFQGNLYVGSVIVGVCYGSQWSLMPTITSELFGVRHMGTIFNTISVASPIGSYIFSVRLIGYIYDKTASAEGNTCYGSHCFRLSFIIMASVAFFGFLVAIVLFFRTKTLYRQILVKRLHRR >fgenesh2_kg.2__1745__AT1G74790.1 pep chromosome:v.1.0:2:16436994:16440050:1 gene:fgenesh2_kg.2__1745__AT1G74790.1 transcript:fgenesh2_kg.2__1745__AT1G74790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHRSLVFFFLFLSCFSLSSWALPLCSDSRAPSEVNSTLSFCPYKGKTCCNSMEDSSLMKQFQAMNISDKGCASVVKSILCAKCDPFSSDLFRDNSDQQSVPILCNSTSSANSTEDFCSETWETCQNVSISGSLFAASLQGRAGAPANNNASKLADVWQSKTDFCSAFGGASSNETVCFSGEPVALTDNDTTPDKPPSGLCLEKIGNGSYLNMVPHPDGSNRAFFSTQPGIVFLAGIPDQDSGGVLDVDPSSPFVDLTDEIHFDTEFGMMGMAFHPKFAQNGRYFASFNCDKSKWPGCTGRCSCNSDVNCDPSKLTPDSGSQPCQFQTVIAEYTANGTSSDPSKAKNAKPTEVRRIFTMGLPFTSHHAGQILFGPDGYLYFMMGDGGGGADPYNFSQNKKSLLGKIMRLDVDNIPSASEISKMGLWGNYSIPKDNPFREDKELEPEIWAIGLRNPWRCSFDSSRPSYFMCADVGQDTYEEVDLITKGGNYGWRVYEGPDLFQPEASPGGNTSIKSLNPIFPVMGYNHSEVDSSGKSASITGGYFYRSETDPCIAGMYVYADLYGNGVWAGIETPANSGNFVTNRTTFSCAGDSPMKCSDSPGTSGLSLGYVFSFGEDNNKDIYLLTSNGVYRVVRPSRCNLTCSKENSTAARRKPGPSSSPSSSPSSCYKHINGFHGSFVVLFVSLSLILLGLLN >fgenesh2_kg.2__1750__AT1G74840.1 pep chromosome:v.1.0:2:16455680:16457182:-1 gene:fgenesh2_kg.2__1750__AT1G74840.1 transcript:fgenesh2_kg.2__1750__AT1G74840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KS56] MADGSTSSSESTNACSGGGTRREIMLFGVRVVLDPMRKCVSLNNLSEYEQTAETPKIDGEDRDGQDMNKTPAGYASADEALPISSSNVNRERKRGVPWTEEEHKLFLLGLQRVGKGDWKGISRNFVKSRTSTQVASHAQKYFIRRSNLNRRRRRSSLFDMTTDTVNLQSEDDQVLMQENTSQLSSPVPEINNFSIHPVMQVFPEFPVPTGNQSYGQLTSSNLIKLVPLTFQSSPAPLSLNLSLASSNLNEPSPSMHSA >fgenesh2_kg.2__1751__AT1G74850.1 pep chromosome:v.1.0:2:16458761:16462154:-1 gene:fgenesh2_kg.2__1751__AT1G74850.1 transcript:fgenesh2_kg.2__1751__AT1G74850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAIPNPNSHQLSFLIQNSSFIGNRRFADGNRLRFLSGGNRKPSSVAGKIKAKTKDLVLGNPSVSVEKGKYSYDVESLINKLSSLPPRGSIARCLDIFKNKLSLNDFALVFKEFAGRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLDKCLEVFDEMPSQGVSRSVFSYTALINAYGRNGRYETSLELLDRMKNDKISPSILTYNTVINACARGGLDWEGLLGLFAEMRHEGIQPDIVTYNTLLSACAIRGLGDEAEMVFRTMNDGGIVPDLTTYSHLVETFGKLRRLEKVSDLLSEMASGGSLPDITSYNVLLEAYAKSGSIKEAMGVFHQMQAAGCTPNANTYSVLLNLFGQSGRYDDVRQLFLEMKSSNTDPDAATYNILIEVFGEGGYFKEVVTLFHDMVEENIEPDMETYEGIIFACGKGGLHEDARKILQYMTANDIVPSSKAYTGVIEAFGQAALYEEALVAFNTMHEVGSNPSIETYHSLLYSFARGGLVKESEAILSRLVDSGIPRNRDTFNAQIEAYKQGGKFEEAVKTYVDMEKSRCDPDERTLEAVLSVYSFARLVDECREQFEEMKASDILPSIMCYCMMLAVYGKTERWDDVNELLEEMLSNRVSNIHQVIGQMIKGDYDDDSNWQIVEYVLDKLNSEGCGLGIRFYNALLDALWWLGQKERAARVLNEATKRGLFPELFRKNKLVWSVDVHRMSEGGMYTALSVWLNDMNDMLLNGEDLPQLAVVVSVRGQLEKSSAARESSIAKAAFSFLQDHVSSSFSFTGWNGGRIMCQRSQLKQLLSTKEPTLEESQNKNLVALANSPILAGGTRASTSNDTNHSGNPTQRRTRVKKELAGSTA >fgenesh2_kg.2__1752__AT1G74860.1 pep chromosome:v.1.0:2:16463185:16465716:1 gene:fgenesh2_kg.2__1752__AT1G74860.1 transcript:fgenesh2_kg.2__1752__AT1G74860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQETLAALKRAYADTILNTTKEAAARVMVSEKKARRYQQELVTVRDEALQTLLRLKQMLDSKVKETEMQSLKQQQKVEELEAQLGEAEDIVGELRMELRVLHNELKKLTNGQTHLKSDHEEDPCGNNRDAAVSVVPEVSCSHESTEAVDFCIPVEQSGSVVANGIKVPSLTRINSINRCSYKDNKDQCHHTLPSILTKRREAEGLAQMIHAVDSSMANGVLSSSVEVGDVNDGVCLHKVSSCKIVETLEMSGCADATDSISTVRDGEAPKVSPNSSQKEVGTLVSLITSPPREHENDGKLEISETEARKEEKESCENIEVSASPLCEETPVLALSKNRCIKYTFKRKRKKEVLSNNLEGDASFEESRNMKQKTGEKDDGYLESLKPSFTSESSRDVVYA >fgenesh2_kg.2__1753__AT1G74870.1 pep chromosome:v.1.0:2:16467904:16469441:1 gene:fgenesh2_kg.2__1753__AT1G74870.1 transcript:fgenesh2_kg.2__1753__AT1G74870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSITDSELFHGSPISRDFAKKRKANWFCKLKQWKIDARRKQWIYQWKKANLGEEDNGRRLKSLLEKLTDQKAWRIDYDDADDDLERTSSSASSPTSVLKSKDSVTGDCFCCSKQMTEEDQEEVFDDAYDNWDGFRDALNSFDNQSPRLETEDLEQEEDPIPDTSQRRKKSTHEKLFHEDKKEASPANTTIHRNSKKKKRSNSEKQRGDDDEECPICSELMDATDLEFEPCPCGFRICLFCHKKISENEARCPACRKDYVRTSNSNGEVGFQQRGGATIRLSPSFRGLDRA >fgenesh2_kg.2__1754__AT1G74880.1 pep chromosome:v.1.0:2:16470962:16472015:-1 gene:fgenesh2_kg.2__1754__AT1G74880.1 transcript:fgenesh2_kg.2__1754__AT1G74880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSATLSQLSSLSTISSSLPLLSRRFPHRSLPQFTVKAEVEKEKQSTQAKSEGEASPAATKTPKTLPKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPFYKGLDYIYEDRGEVLDLRVFETGEYALVGWVGIPTAPAWLPTDMLIKSEKLVYERM >fgenesh2_kg.2__175__AT1G63030.1 pep chromosome:v.1.0:2:1240420:1242009:1 gene:fgenesh2_kg.2__175__AT1G63030.1 transcript:fgenesh2_kg.2__175__AT1G63030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDDITVAEMKPKKRAGRKIFKETRHPIYRGVRRRNGDKWVCEVREPIHQRRVWLGTYPTAEMAARAHDVAVLALRGRSACLNFSDSAWRLPVPESTDPDTIRRTAAEAAEMFRPPEFSTGITVLPSASEFDTSDEGVAGMMMRLAEEPLMSPPRSYIDMNTNMYVGEEMYYEDLSLWSY >fgenesh2_kg.2__1761__AT1G74930.1 pep chromosome:v.1.0:2:16486886:16487725:1 gene:fgenesh2_kg.2__1761__AT1G74930.1 transcript:fgenesh2_kg.2__1761__AT1G74930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQAIKEEEKTRNTAMQSKYKGVRKRKWGKWVSEIRLPHSRERIWLGSYDTPEKAARAFDAAQFCLRGGDANFNFPDNPPSISGGRSLTPPEIQEAAARFANITQDSVVVVKGEGEEESGLVPGSETRPESPSTSASVATSTVDYDLSFYDLLPMDFGFDDFSDDRFTEILPIEDYGGESLLDESLLLWDF >fgenesh2_kg.2__1763__AT1G74950.1 pep chromosome:v.1.0:2:16491319:16493102:-1 gene:fgenesh2_kg.2__1763__AT1G74950.1 transcript:fgenesh2_kg.2__1763__AT1G74950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAEFWDLSVRKPSFSQTCSRLSRYLKEKGSFGDLTLGMTCKPDLNGGVAVSRQPTMMNLFPCEASGMDSSAAQDIKPKNVFPRQSSFSSSSCSSGAKEDVHMIKETKSVKPESQSAPLTIFYAGRVMVFDDFSAEKAKQVIDLANKGSSKGFTAEVNNSQSAYSQHLAKTQKEIASSPNPVYSPAKTAAQESIQPNPASLACELPIARRASLHRFLEKRKDRITSKAPYQIDGSAEASSKPNPAWLSSR >fgenesh2_kg.2__1765__AT1G74960.1 pep chromosome:v.1.0:2:16495976:16499805:-1 gene:fgenesh2_kg.2__1765__AT1G74960.1 transcript:fgenesh2_kg.2__1765__AT1G74960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASSSYASPLCTWFVAACMSVSHGGGDTRQAVALQSAGRSRRRRQLSKCSSASGSASIQALVTSCLDFGPCTHYNNNNNNALSSLFGSNSVSLNRNQRRLNRAASSGGAMAVMEMEKEAMVNKKPPTEQRRVVVTGMGVETSLGHDPHTFYENLLQGNSGISQIENFDCSEFPTRIAGEIKSFSTEGWVAPKLSKRMDKFMLYLLTAGKKALADGGVTDDVMAEFDKTKCGVLIGSAMGGMKVFYDAIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNSANHIIKGEADVMLCGGSDAVIIPIGLGGFVACRALSQRNNDPTKASRPWDTNRDGFVMGEGAGVLLLEELEHAKKRGATIYAEFLGGSFTCDAYHMTEPHPDGAGVILCIERALASAGVSKEQINYINAHATSTHAGDIKEYQALAHCFGQNPELKVNSTKSMIGHLLGAAGAVEAVATVQAIRTGWVHPNINLENPDSGVDTKLLVGPKKERLDIKAALSNSFGFGGHNSSIIFAPYK >fgenesh2_kg.2__1766__AT1G74970.1 pep chromosome:v.1.0:2:16501151:16502691:-1 gene:fgenesh2_kg.2__1766__AT1G74970.1 transcript:fgenesh2_kg.2__1766__AT1G74970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISNLAASLSSLSFSSQVSQRPNTISFPRANSVFALPAKSDRRSSLSITATVAAPPEEEEIIELKKYVKSRLPGGFAAQKIIGTGRRKCAIARVVLQEGTGKVIINYRDAKEYLQGNPLWLQYVKVPLVTLGYENSYDIFVKAHGGGLSGQAQAITLGVARALLKVSADHRSPLKKEGLLTRDARVVERKKAGLKKARKAPQFSKR >fgenesh2_kg.2__1767__AT1G74990.1 pep chromosome:v.1.0:2:16503764:16504501:-1 gene:fgenesh2_kg.2__1767__AT1G74990.1 transcript:fgenesh2_kg.2__1767__AT1G74990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KSJ4] MAISMTITNEEDASNNFGCNICLELAREPIVTLCGHLFCWPCLYKWLHYHSQSNHCPVCKALVKEDSLVPLYGMGKPSSDPRSKLSCGVTVPNRPAATRIETARPRLGERHHGSSFFGGHSGFAAMPTGTRFSNFLL >fgenesh2_kg.2__1770__AT1G75020.1 pep chromosome:v.1.0:2:16514926:16517411:1 gene:fgenesh2_kg.2__1770__AT1G75020.1 transcript:fgenesh2_kg.2__1770__AT1G75020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCGDLNSDNLKNRPLTPLRILRGLMILLVFLSTAFMFLLYFAPIAALGLRLLSVHQSRKVISLIFGHWLALWPYLFETVNGTTVVFSGDIIPVEKRVLLIANHRTEVDWMYLWNIALRKRCLGYIKYVLKSSLMKLPIFGWGFHVLEFIPVERKREVDEPVLLQMLSSFKDPQEPLWLALFPEGTDFTEEKCKRSQKFAAEVGLPALSNVLLPKTRGFGVCLEVLHNSLDAVYDLTIAYKPRCPSFMDNVFGTDPSEVHIHVRRVLLKEIPANEAESSAWLMDSFQLKDKLLSDFNAQGQFPSQRPEEELSVLKCIATFAGVISLTVLFIYLTLYSHSCFKVYACLSGTYLTFATYYKFRPSPGCFREDSCKQVKNH >fgenesh2_kg.2__1771__AT1G75030.1 pep chromosome:v.1.0:2:16518422:16519419:1 gene:fgenesh2_kg.2__1771__AT1G75030.1 transcript:fgenesh2_kg.2__1771__AT1G75030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISSIHIIFFVFITSGIADSATVFTLLNSCPYTVWPGTLSGNSVTLGDGGFPLTPGASVQLTAPTGWSGRFWARTGCNFDASGHGTCVTGDCGGVLKCTGGGVPPATLAEFTVGSSNAGMDFYDVSLVDGYNVKMGIKPQGGFGNCKYAGCVSDINEICPSELRIMDPNSGSIAACKSACAAFNSPEFCCTGAHATPQTCSPTYYSSMFKNACPSAYSYAYDDASSTFTCTGSNYLITFCPTQS >fgenesh2_kg.2__1774__AT1G75060.2 pep chromosome:v.1.0:2:16535963:16538365:-1 gene:fgenesh2_kg.2__1774__AT1G75060.2 transcript:fgenesh2_kg.2__1774__AT1G75060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAAKNNSSILNGGGGGGGFSQLQSCFGDCSSEEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVLEHPTGNEEDNDLEVDHTTQWNNPSDMTTEDTLKPHKSKQRGHRSSRLSQKALCRAVSCDSHSKNSSITPRMNMKVDLTKLDMAALLRYWRHFNLVDALPNPTKEQLIDIIQRHFMSQQMDELQVIVGFVQAATGMKKACQVEAKRSQKH >fgenesh2_kg.2__1777__AT1G75080.2 pep chromosome:v.1.0:2:16542381:16544906:1 gene:fgenesh2_kg.2__1777__AT1G75080.2 transcript:fgenesh2_kg.2__1777__AT1G75080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g75080/F9E10_7 [Source:UniProtKB/TrEMBL;Acc:D7KSK2] MTSDGATSTSAAAAAAAAAAARRKPSWRERENNRRRERRRRAVAAKIYTGLRAQGDYNLPKHCDNNEVLKALCVEAGWVVEEDGTTYRKGCKPLTGEIAGTSSRVTPYSSQNQSPLSSAFQSPIPSYQVSPSSSSFPSPSRGEPNNNISSTFFPFLRNGGIPSSLPSLRISNSCPVTPPVSSPTSKNPKPLPNWESIAKQSMAIAKQSMASFNYPFYAVSAPASPTHRQFHTPATIPECDESDSSTVDSGHWISFQKFAQQQPFSASMVPTSPTFNLVKPAPQQMSPNTTAFQEIGQSSEFKFENSQVKPWEGERIHDVGMEDLELTLGNGKARG >fgenesh2_kg.2__1779__AT1G75100.1 pep chromosome:v.1.0:2:16547676:16550507:-1 gene:fgenesh2_kg.2__1779__AT1G75100.1 transcript:fgenesh2_kg.2__1779__AT1G75100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:J-domain protein required for chloroplast accumulation response 1 [Source:UniProtKB/TrEMBL;Acc:D7KSK3] MQTLPSSETVLLGSNSAPPVLRNPGGDDVDIDFGDVFGGPPKRRSKVTNSNQVTRHSFSESALRRRDVIVDVGSLIPQDEKPVFGEETSVRRRFTTDDFFDDIFRVNESSSSSLPGSRILSPAHKPESSGTSSPAQFSLPAKATEIPTFGLATRSLSKNKETVSSSPLSRTSSKADMVSTAKSYSDDCDDPARVVVTGKGRQFHFSIYKWPNKGVPVVIWGSSRLSSMSKAEGTTPVTLGDHLKTSVEKAGENEEGESGLKEEKKTSLNRPHVQTKEEKTEIDSVSEKAFFGVSKAREANVKPLYSIDSMSEQAFSGVSKAHEATTVKSLHSILHENDERQGEKIVSEREVRKGKSKAKNTQSFTEDSRTKKKPQGTKNSLDSSPRPDKSSFASSSAAAEVGKDGVKGKVSDFVKIFSKGASVGAGGESLGQSSRWRAKETPKTDINHDAANAKDTVNIPDQQKKSTPDIPAMNRDSKPSHATQKKDSDRESMNYKAPGVTVQEERQEPSTTHTTSEDIDEPFHVNFDVEDITQDENNKMEETNKDAEEIKKIDAKIRKWSSGKSGNIRSLLSTLQYILWSGSGWKPVPLMDMIEGNAVRKSYQRALLILHPDKLQQKGASANQKYMAEKVFEFLQEAWDHFNTLGPV >fgenesh2_kg.2__1780__AT1G75110.1 pep chromosome:v.1.0:2:16551074:16552658:-1 gene:fgenesh2_kg.2__1780__AT1G75110.1 transcript:fgenesh2_kg.2__1780__AT1G75110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KSK4] MAGRKDKIHKLRGSRIAVAILVGILIGCVCSVLFPNGFLNSESSSLIVNEERLSKSTSKDGLASCESSERVKMLKSDFAIISEENAELRKQVRELTEKVRLAEQGTDNARKQVLVLGSEIKAGSFGTVKSLRTNPTVVPDESVNPRLAKLLEKVAVNKEIIVVLANSNVKPMLELQIASIKRVGILNYLIIALDDSVESFCESKEVSYYKRDPDKAVDMVGKSGGNHAVSGLKFRVLREFLQLGYSVLLSDVDIVFLQNPFGHLYRDSDVESMSDGHDNMTAYGFNDVFDEPSMGWARYAHTMRIWVFNSGFFYLRPTLPSIELLDRVAYTLSKSEAWDQAVFNEQLFYPSHPGYTGLHASKRVMDMYEFMNSKVLFKTVRKNHELKKLKPVIVHLNYHPDKLERMQAVVEFYVNGKQDALDSFPDGSD >fgenesh2_kg.2__1781__AT1G75120.1 pep chromosome:v.1.0:2:16555467:16557298:-1 gene:fgenesh2_kg.2__1781__AT1G75120.1 transcript:fgenesh2_kg.2__1781__AT1G75120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KSK5] MAGRKEKIQPFHGCGIAIAILVGIVIGCVCTVLLPNDFFKSGSLKVASASCERVKMFKAEFAIISEKNAELSKQVSELTEKVRLAEQKTEIKAGPFGTVTGLQTNPTVVPDESVNPRLAKLLEKVAVNKEIIVVLANNNVKPMLEVQTASVKRVGIQNYLVIPLDDSIESFCRSNEVAYFKRDPDNAIDVVGKSGSGSAVSGLKFRVLREFLQLGYGVLLADVDLVFLQNPFGHLYRDSDVESMSDGHDNNTAYGFNDVFDDPSMTRSRTVYTTRIWVFNSGFFYLRPTLPSIELLDRVTDTLSKSGGWDQAVFNEHLFYPSHPGYIGLHASKRAMDIYEFMNSRVLFKTVRKNEELKKLKPVIVHMNYHSDKLERMQAAVEFYVNGKQDALDSFSDGS >fgenesh2_kg.2__1782__AT1G75130.1 pep chromosome:v.1.0:2:16559066:16560913:-1 gene:fgenesh2_kg.2__1782__AT1G75130.1 transcript:fgenesh2_kg.2__1782__AT1G75130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP721A1 [Source:UniProtKB/TrEMBL;Acc:D7KSK7] MAVFLILVLVFFFLVFRFIYSNLWVPWRTQSHFKNQSVTGPSYRIFSGNSGEVSRLTAEAKSKPIPSGSNPHEFVHRVAPHYHAWSRVYGKTFLYWFGSKPVVATSDPKLIREALTTGGSFDRIGHNPLSKLLYAQGLPGLRGDQWAFHRRIAKQAFPMEKLKRWVPEMVTSTMMLLEKWEEMRNGEEEIELEVHREMHSLSADMLSRTAFGNSVEEGKGIFALQERMMRLFYLVRWSVYIPGFRFFPSKTNREIWRVEKQIRGSILKLIENNKTAVEKSGTLLHAFMSPYTNQKGQEEILGIEEVIDECKTFYFAAKETTGNLITWVLVLLAMHQEWQKIAREEVIRLLGPTGLPTLDILQDFKTLSMIINETLRLYPPAMTLNRDTLKRAKLGNLDIPAGTQLYLSVVAMHHDKETWGSDAEEFNPRRFEDPKKQSALLVPFGLGPRTCVGQNLAVNEAKTVLATILKHYSFRLSPSYAHAPVLLVTLQPQNGAHLLFSRITS >fgenesh2_kg.2__1783__AT1G75140.1 pep chromosome:v.1.0:2:16561044:16563244:-1 gene:fgenesh2_kg.2__1783__AT1G75140.1 transcript:fgenesh2_kg.2__1783__AT1G75140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRNGKSLFFFFFVSLILLFLSPSFSDVTASESDPIPYENSDASPGVVTSSESDRQGVSLHRLVELVRNLTEVVARLDAKLSETPFKVKKEISRDEIEEKAKAFSVTKYSPFWFERFDFTSAVKLDSEATCINVLPFRDHEGFSKYFAVGDSSGRVFVFLRNGDVLVEFTTCDSPVTAMVSYMSVYKNESFVVTGHQSGVILLHRLREGSMGEDLNSAVMENVGKFDGTEDGLQVTVLEVHHVGRVRYILATDLSGKLTVFTENRTVYGSVTPTSRPLVFLKQRLLFLTETGAGSLDLRSMKIRESECEGLNHSLARSYVFDASERSKAYGFTSEGEIIHVLLLGDIMNFKCRVRSKKKVQMEEPVALQAIKGYLLVVNQEKVFVYNVSTQHYVRTTGPRLLFPAALEDIRSTFLSHRESSKTTDHQKLEKVTPLIASDREKLLVMGLGDGYVATYKSKLPISKAEFNTMLWSSPVFFFILFLFGAWHFFAKKKESLTAWGPDDPFTSTTMSSSSSTTTAQNSSAFGEPIRRNDDHLDLRRRYVSPSRYPPGAATGAYRSVGSNDLSSRAPVETTNYRTTAQEMQYRGGSGLDSSGFGKRRESLFGNTKALDDES >fgenesh2_kg.2__1784__AT1G75150.1 pep chromosome:v.1.0:2:16563390:16567547:-1 gene:fgenesh2_kg.2__1784__AT1G75150.1 transcript:fgenesh2_kg.2__1784__AT1G75150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDEQLSPIGESSVPVPNRMLRRLKKAKSISDLCPGSDGEDLGKSELQSGKGFDGFEEEDGVRSDLKGLGVEDEVTAKKVLDFDSVPEFNQTLEDLGDKAEEDGISDSETRDEIRAVETTEAGKERPISAPEFDGICDLDTEEEIRVSETTKEAGKKRSIPETSDGEGKERKRDKKRNKKSDDFDELPVSTASMNMTKKERREYLDQLRAENQRLLRETRDAAFEPAPLVRKPISSVLEKIRRRKEEISKQFLSRKKSKSIDICDEDDFEEVVAEEKNEESTSKQSHGGQHCSEDSAGPLENSDSPSYKKAESTPTHQDPSLRPQTINSGDELLEKTSSRSLEEAMTPSVVAMNLKLNLSPVPDKSSEETEYRKENSDPETHDSSPGDPVRKFIDEDAEEEDDSDNDLLRFEDEDDDEDEEDDDLRDMIVSQFKEDPTDKDRRNELHQKWLEQQDAAGTEKLLQKLKRGLQHDETLLSEDEDENDDTDDEERAEDADDEEVQKPEASEEGDEDEEDPSHANSMRMTIKKIKEMIPLMFTDEDDVYVSSDDEEMEKKLMQQRLYKKMELKAKSSSSTGDENSEEILRHIKKPEIKKKAKPSSFKERALMEINKNPAASKSSFLGRLTKSSMPEGSRKRGSNVVRGYIFERDDSNSKSSNTVPEEPSAPETIIKEKSRPKRAPAKFTASQSQERSATLQATAVEETSTRQRTNLYEILKMSSKKTCFNSRETMISSNHTESIFAAFKLDKKPVKTNPQLQRNSTCGE >fgenesh2_kg.2__178__AT1G63010.1 pep chromosome:v.1.0:2:1290446:1298089:1 gene:fgenesh2_kg.2__178__AT1G63010.1 transcript:fgenesh2_kg.2__178__AT1G63010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KU38] MVAFGKYLQRKQIEEWRGYYINYKLMKKKVKQYAEQIQGGSQHPRHVLKDFSRMLDTQIETTVLFMLEQQGLLAGRLAKLRETHDAILEQPDISRIVELREAYRDVGRDLLQLLKFVELNAVGLRKILKKFDKRFGYRFADYYVKTRANHPYSQLQQVFKHVGVGAVVGAISRNLHELQENEGSFYSIYDQPVLPLQDPVVEAIKTAVDKLTFSTNFLNFLAQHALIMQDDLVTPSEDTIDERTYHFNSLLLNLGNTFLYMVNTYIIVPTADDYSMSLGAAATVCGVVIGSMAVAQVFSSVYFSAWSNKSYFKPLVFSSIALFIGNLMYALAYDANSIALLLLGRLCCGLGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQIKFKFYKLTFNQSTLPGWVMAVAWLFYLVWLCISFREPLRDTEEEEDTNPNETTSMTDRVESSRVEEGLRMPLLITSGIKPEDEEECDESEESPEDSHKPANSFRSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITSYYFSWTTSSVAIFLACLGLTVLPINILVGSYISNMFEDRQILLTSEIIVFLGILFSFNLFVPYTVPQYVISGLIMFVAAEVLEGVNLSLLSRVMSSRLSKGTYNGGLLSTEAGTLARVVADATITLGGYLGRGHLLNATLLPSLVICIGSIVATCCTYNSLY >fgenesh2_kg.2__1790__AT1G75180.3 pep chromosome:v.1.0:2:16583625:16586657:-1 gene:fgenesh2_kg.2__1790__AT1G75180.3 transcript:fgenesh2_kg.2__1790__AT1G75180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPYETRNNGKASQMVRYQSYNHHNSRLPSPLSSPLLDLRVFYVRISNFKVDHSTPEVLTITHIPLDPDSLLEINGVRMSMYSEGVSSQLRRDRVDKKSEVATFISTDNIRLSGSVKFEVYDKDELVLSGTLEMSGSNGFTGEPKYSVKRWNMKCEAEITAGSGFLKEKHIGGSELSSPLPTIEVYVTGCFSGTPIILTKTLQLGFRKKHGRVAALDSIPEYEADEPHKGNSSELDYQVTEYGSYKQEYEGEHSDMYWNREYADGEDGEMSWFNAGVRVGVGIGLGVCVGLGIGVGLLVRTYQSTTRNFRRRII >fgenesh2_kg.2__1792__AT1G75190.1 pep chromosome:v.1.0:2:16587338:16588038:1 gene:fgenesh2_kg.2__1792__AT1G75190.1 transcript:fgenesh2_kg.2__1792__AT1G75190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRFQKAKEPSVAEVSSPTESQTTRRRRGRPRKNLENPEDFKKEESEEDEDYEEYEDEEEEDEEAEVINREKQKKKVRSSSSMEEEQKMKHEELEEEEEKPEMKMKVVVPPPSSRRSRRKSTPV >fgenesh2_kg.2__1797__AT1G75230.1 pep chromosome:v.1.0:2:16606158:16608534:1 gene:fgenesh2_kg.2__1797__AT1G75230.1 transcript:fgenesh2_kg.2__1797__AT1G75230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHSPSQPSSQTHPQNQPESPNPETPNPIPPGTNDDDSASSAGVSGSIVSLTTIEAPRVTELGNVSSPPSKIPLRPRKIRKLSPDDDASGNGDGFNPEHNLLATTSKPAVKSKLSQSRCVTVPRIHARSLTCEGELEAALHHLRSVDPLLASLIDIHPPPTFETFHTPFLALIRSILYQQLAAKAGNSIYTRFVALCGGENGVVPENVLPLTPQQLRQIGVSGRKASYLHDLARKYQNGILSDSGIVNMDEKSLFTMLTMVNGIGSWSVHMFMINSLHRPDVLPVNDLGVRKGVQMLNAMEDLPRPSKMEQLCEKWRPYRSVASWYMWRLIESKGTPPNAAAVTAGAALSFPQLEDIQQQQEEQHHQQQPQLMDPLNNVFSIGAWGQT >fgenesh2_kg.2__1798__AT1G75250.2 pep chromosome:v.1.0:2:16617113:16618271:-1 gene:fgenesh2_kg.2__1798__AT1G75250.2 transcript:fgenesh2_kg.2__1798__AT1G75250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KSM0] MASNSRSSISSWTFNQNKMFERALAVYDKDTPDRWHNVAKAVGGKTVEEVKRHYDILVEDLINIETGRVPLPNYKTFESNSRGVNDFDTRKMKNL >fgenesh2_kg.2__17__AT1G64590.1 pep chromosome:v.1.0:2:103414:105354:-1 gene:fgenesh2_kg.2__17__AT1G64590.1 transcript:fgenesh2_kg.2__17__AT1G64590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7KS78] MIETVKHLIGSGGPSGFGSRSTADHVTCNSDLRSLTAIITGATSGIGAETARVLAKRGARLVLPARSVKTAEETKARILAEFPDAEIIVMHLDLSSLTSVRRFVDDFESLNLPLNILINNAGKYAHKHAISEDGVEMTFATNYLGHFLLTKLLLKKMIETAAQTGVQGRIVNVTSVIHSWFSGDMLQYLADISRNNRNYDATRAYALSKLANVLHTLELSRILHKMDANVTANCVHPGIVRTRLTRDREGIVTDLVFFLTSKLLKSVPQAAATTCYVATSPRLRNVCGKYFSDCNEARTSKFGSCNLKAQRLWTASDLLVSPPNSTPDLSQTSF >fgenesh2_kg.2__1800__AT1G75270.1 pep chromosome:v.1.0:2:16623927:16625097:-1 gene:fgenesh2_kg.2__1800__AT1G75270.1 transcript:fgenesh2_kg.2__1800__AT1G75270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDICVKVAVGAPDVLGDCPFSQRVLLTLEEKKLPYKTHLINVSDKPQWFLDISPEGKVPVVKLDGKWVADSDVIVGLLEEKYPEPSLKTPPEFASVGSKIFGAFVTFLKSKDANDGSEKALVDELEALENHLKTHSGPFVAGEKVTAVDLSLAPKLYHLEVALGHYKNWSVPESLTNVRNYAKALFSRESFEKTKAKKEIVVAGWESKVKA >fgenesh2_kg.2__1801__AT1G75280.1 pep chromosome:v.1.0:2:16625941:16627618:1 gene:fgenesh2_kg.2__1801__AT1G75280.1 transcript:fgenesh2_kg.2__1801__AT1G75280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKSKILVIGGTGYIGKFIVEASAKAGHTTFALVREATLSDPVKGKTVQTFKDLGVTILHGDLNDHESLVKAIKQVDVVISTVGSLQILDQTKIISAIKEAGNVKRFLPSEFGVDVDRTSAVEPAKSAFAGKMQIRRAVEAEGIPYTYAVTGCFAGYYLPTLVQFEPGLTSPPRDKVTILGDGNAKAVINKEEDIAAYTIKGVDDPRTLNKILYIKPPNNTLSMNDIVTLWEKKIGKSLEKTHIPDEQILKSIQESPVPINVVLSINHAVFVKGDQTNFTIEPSFGVEASELYPDVKYTSVDEYLSYFV >fgenesh2_kg.2__1802__AT1G75290.1 pep chromosome:v.1.0:2:16627906:16629408:1 gene:fgenesh2_kg.2__1802__AT1G75290.1 transcript:fgenesh2_kg.2__1802__AT1G75290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKSKILVIGGTGHIGKLIIEASVKAGHSTLALVREASLSDPNKGKTVQNFKDLGVTILHGDLNDHGSLVKAIKQADVVISTVGSMQIFDQTKIISAIKEAGNVKRFFPSEFGMDVDRTSAVEPAKSAFAGKLQIRRTVEAKGIPYTYLVTNYFASYYLPTLVQLEPGLSTPPKDKVKIFGDGNAKAVINKEEDIAAYTIKAVDDPRTLNKTLYINPPNNTLSMNEIVTLWEKKIGKSLEKIYMSEEQIFKSIQESPVPFNVLLSINHAVFVKGDQTNFIIEPSFGFEASELYPDIKYTSIDEYLSYFA >fgenesh2_kg.2__1808__AT1G75330.1 pep chromosome:v.1.0:2:16639972:16642767:-1 gene:fgenesh2_kg.2__1808__AT1G75330.1 transcript:fgenesh2_kg.2__1808__AT1G75330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMASHVSTARSPALSFSSSSSSFFPGTTLRRFSAVSLPSPALPRLRVSCQASSVTSPSSTSDAKGKSDLKDFLAIDDFDTATIKKILDKASEVKALLKSGERNYLPFKGKSMSMIFAKPSMRTRVSFETGFFLLGGHALYLGPNDIQMGKREETRDVARVLSRYNDIIMARVFAHQDILDLANYSSVPVVNGLTDHNHPCQIMADALTIIEHIGQVEGTKIVYVGDGNNMVHSWLELASVIPFHFVCACPKGYEPDKERVSKAKQAGLSKIEITNDPKEAVIGADVVYSDVWASMGQKDEAEARRKAFQGFQVDEALMKLAGPKAYFMHCLPAERGVEVTNGVVEAPYSIVFPQAENRMHAQNAIMLHLLGF >fgenesh2_kg.2__1809__AT1G75340.1 pep chromosome:v.1.0:2:16645758:16648753:-1 gene:fgenesh2_kg.2__1809__AT1G75340.1 transcript:fgenesh2_kg.2__1809__AT1G75340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7KSN0] MRKELCRNFQRGSCRYGENCRFLHPQQAKPNNPFGFGTQQQPQQQQQQQNSSNPFGFGVQGGGSSRPNQFQPFENTWSRTASTPTGGGGAAAAQQAGKQTQPADHKCTDPAACKRVMQDDFKNERPMWKLTCYGHWKYFPCDVTGDISYEELRAMAYEEAKRGIPLQSIVERERNLQNSKIAEFENFLRNPYKGSVTANQSPFAATTPSIFPPTGQINSSPPGFSGFNQQTAFANTNTGGLSSSGPPNAFASFNQQSNNQQTTFPNTNAGGVSSSGPPNPFASFNQQSNNQQTAFPNTNAGGLSSSGPPNASASFNKQPNAFSVNTPQPVPSGPSGFQTHPSTTFKPASFGPGPGLATTPQNNNIFGPSTSAPAPNTSQNNQAAFNFNVPVASFTAPAVDTTNTSSGTELQIGDPVDSSIWLKEKWNPGEIPEQAPPDAFV >fgenesh2_kg.2__1811__AT1G75360.1 pep chromosome:v.1.0:2:16651736:16653176:1 gene:fgenesh2_kg.2__1811__AT1G75360.1 transcript:fgenesh2_kg.2__1811__AT1G75360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPFEFHGVEAEKAEAMRRYNNRRSFRNILRLAAVASLCYLWFPTVAISLQTAGDWFYRTGAVFITDRSVVFVFANLIVGLLFFLSGESNNESSSSSNVEPEPDLYDQYTSSSSAVIVTADDEKVVEDDDDDLHKQIVPAFNAEVEEAVTTKEIYRRTKSETFRPVMEYQRTESEKVVEDDESEKRIVPAFNPEDEEVVLDEATREVVTSPGIYRRTKSETRKEIIRPVMEHRRTESAKVVAIDRLSSEEFRLKIESFIMEKKKSLVRENDIVQCQVLGSRPGLVGSTGYGSC >fgenesh2_kg.2__1812__AT1G75370.1 pep chromosome:v.1.0:2:16653735:16657121:-1 gene:fgenesh2_kg.2__1812__AT1G75370.1 transcript:fgenesh2_kg.2__1812__AT1G75370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLDRFARPCFEGCSSNDERRERRSDIEVSEDEKKTRIGNFNFKKKAAKASSKLRHSLKKKGSSRRRSSDRNFSLTIEDIHDVEELRAVDEFRNLLVSENLLPPTLDDYHIMLRFLKARKFDIGKTKLMWSNMIQWRKDFGTDTIFEDFEFEEFDEVMKYYPHGYHGVDKEGRPVYIERLGLVDPAKLMQVTTAERFIRYHVREFEKTINIKLPACCIAAKRHIDSSTTILDVQGVGFKNFSKPARDLIIQLQKIDNDNYPETLHRMFIINGGSGFKLVWATVKQFLDPKTVTKIHVIGNKYQNKLLEIIDASQLPDFLGGTCTCADRGGCMRSDKGPWNDPEILKMLQSGGPLCRHNSALNSFSRVSSCDKPSFSGIKVSDTSTAESGSEVEEMASPKVNREIRVPKLTPVCEDIWANTISYPTDSSEYDSPVVDKVVDVAWMAHEKPKASKGSEYTPDLGKIGSVTYIWRWLMMFFVNLFTLLLSVALPQNEVHSQSESSGDGPNARESRPPSPAFATMAERNVFSSVVNRLGDLEKQVETLHSKRHEMPREKEELLNTAVYRVDALEAELIATKKALHEALMRQDDLLAYIDREEDEKYHKKKKVCW >fgenesh2_kg.2__1814__AT1G75380.2 pep chromosome:v.1.0:2:16658432:16661021:-1 gene:fgenesh2_kg.2__1814__AT1G75380.2 transcript:fgenesh2_kg.2__1814__AT1G75380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLQAPVVCPAIRPRQVGACASLVNYTGLKPRRQFWGNRTKGFKSQGTTTITLRLCDKSIKCVFSSHSDGNGSTAENFNENDEEYVNSSVVEAVEVKSGADGFMVKMRDGRQLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAMTNVQIARPTMYQVVKEMVDKMGYEVRLVRVTKRVHEAYFAQLYLSKVGNASECVSFDLRPSDAINIAVRCKIPIQVNKYLAYSDGMRVIESGKISTPTPASDGLLFTEQDRPNGQACLDTKEFNILSKMMQAVDEERYDEAAEWRDKLGQFRAKRNLRKYT >fgenesh2_kg.2__1821__AT1G75410.1 pep chromosome:v.1.0:2:16681274:16684116:-1 gene:fgenesh2_kg.2__1821__AT1G75410.1 transcript:fgenesh2_kg.2__1821__AT1G75410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYPNSVGMQSLYQESIYLNEQQQQQASSSSAASFSEIVSGDVRNNEMVFIPPTSDVAVNGNVTVSSNDLSFHGGGLSLSLGNQIQSAVSVSPFQYHYQNLSNQLSYNNLNPPTMSDENGKSLSGHHQQHHHSDQMIPSSGYNNNGNGVGFYNNYRYETSGFVSSVLRSRYLKPTQQLLDEVVSVRKDLKLGNKKMKNDKGQDFQNGSSDNITEDEKSQSQELSPSERQELQSKKSKLLTMVDEVDKRYNQYHHQMEALASSFEMVTGLGAAKPYTSVALNRISRHFRCLRDAIKEQIQVIRGKLGERETSDEQGERIPRLRYLDQRLRQQRALHQQLGMVRPAWRPQRGLPENSVSILRAWLFEHFLHPYPKESEKIMLSKQTGLSKNQVANWFINARVRLWKPMIEEMYKEEFGDSAELLSNSNQDTNKMQETSQLKHEDSSSSQQQNQGNNNIPYTSDAEENLVFADPKPDRATTGDYDSLMNYHGFGIDDYNRYVGLGNQQDGRYSNPHQLHDFVV >fgenesh2_kg.2__1825__AT1G75450.1 pep chromosome:v.1.0:2:16700678:16704589:-1 gene:fgenesh2_kg.2__1825__AT1G75450.1 transcript:fgenesh2_kg.2__1825__AT1G75450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREMTSSFLLMTFAICKLIIAVGLNVGPSELLHIGAIDVDGHFTVHPSALASVSSDFGMLRSPEEPLAVLHPSSAEDVARLVRTAYGSATAFPVSARGHGHSINGQAAAGRNGVVVEMNHGVTGTPESLVRPDEMYVDVWGGELWVDVLKKTLEYGLAPKSWTDYLYLTVGGTLSNAGISGQAFHHGPQISNVLELDVVTGKGEVMRCSEEENTRLFHGVLGGLGQFGIITRARISLEPAPQRVRWIRVLYSSFKVFTEDQEYLISMHGQLKFDYVEGFVIVDEGLVNNWRSSFFSPRNPVKISSVSSNGSVLYCLEITKNYHDSDSETVDQEVEILMKKLNFIPTSVFTTDLQYVDFLDRVHKAELKLRSKNLWEVPHPWLNLFVPKSRISDFDKGVFKGILGNKTSGPILIYPMNKDKWDERSSAVTPDEEVFYLVALLRSALTDGEETQKLEYLKDQNRRILEFCEQAKINVKQYLPHHATQEEWEAHFGDKWDRFRRLKAEFDPRHILATGQRIFQNPSLSLFPPLSSSASW >fgenesh2_kg.2__1826__AT1G75460.1 pep chromosome:v.1.0:2:16718949:16720681:1 gene:fgenesh2_kg.2__1826__AT1G75460.1 transcript:fgenesh2_kg.2__1826__AT1G75460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent protease La domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KSP6] MALPHVVSSPSSTSLSPSFKSKPPLRSLSPTLDNRRRCKLNSSFRCSSSSFSEKHHNNAKSPKSDDIVELPLFPLPLVLFPGAILPLQIFEFRYRIMMHTLLQSDLRFGIVYSDSASGSAAEVGCVGEVVKHERLVDDRFFLICKGQERFRVTNLVRKKPYLVGEVTWLEDRPSGEENLDSLANEVEVLMKEVIRLSNRLNGKAEKEVQDLRRNQFPTPFSFFVGSTFEGAPREQQALLELEDTAARLKRERETLRNTLNYLTAASAVKDVFPSSS >fgenesh2_kg.2__1828__AT1G75490.1 pep chromosome:v.1.0:2:16729377:16730388:1 gene:fgenesh2_kg.2__1828__AT1G75490.1 transcript:fgenesh2_kg.2__1828__AT1G75490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGANKKQRTVQASSRKGCMRGKGGPDNASCTYKGVRQRTWGKWVAEIREPNRGARLWLGTFDTSREAALAYDSAARKLYGPEAHLNLPESLRSYPETASSQASHTTPSSNTGGKSSDSESPCSSNEMSSCGRVTDEISWEHINVDLPVMDDSSIWEEATMSLGFPWVHEGDNNISRFDTCISGGFSNWDSFHSPL >fgenesh2_kg.2__1829__AT1G75500.1 pep chromosome:v.1.0:2:16731699:16734124:-1 gene:fgenesh2_kg.2__1829__AT1G75500.1 transcript:fgenesh2_kg.2__1829__AT1G75500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7KSQ1] MADNTGNDNRRSIWGVPEKLQLHIAMLTLQFGYAGFHVVSRAALNMGISKLVFPVYRNIIALLLLLPFAYFLEKKERPAITLNFLIQFFFLALIGITANQGFYLLGLDNTSPTFASSMQNSVPAITFLMAALLRIEKVRINRRDGISKVLGTALCVAGASVITLYKGPTIYTPTSHLHTHLLTTNSAVLAPLGDAAPKNWTLGCIYLIGHCLSWSGWLVFQAPVLKSYPARLSVTSYTCFFGIIQFLIIAAFCERESQAWVFHSGWELFTILYAGIVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASIALGEEFYLGGIIGAVLIIAGLYFVLYGKSEERKFAALEKATIQSSAEHGIERAPVSRNSIKSSITTPLLHQSTDNV >fgenesh2_kg.2__1830__AT1G75520.1 pep chromosome:v.1.0:2:16749598:16751508:-1 gene:fgenesh2_kg.2__1830__AT1G75520.1 transcript:fgenesh2_kg.2__1830__AT1G75520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFYLGGRDNNNKQDHHQVDKDHHHQDNSNYLYLYKDEIYNNNKGFEIWPPQYFQQQEHQQQQHQQRQHASAPANFYSFGMVPSGSSSNNNNNRSRSLYFNVVSDHEPGGFTVTRQGGMNCQDCGNQAKKDCPHMRCRTCCKSRGFHCQTHVKSTWVPAAKRRERLAQLASLQHHSASSRETQNAKRLREASGGNNDDDKDHSGGAGSALANTRVVNANSNSGLEVSQQLPQEVNSPAVFRCVKVSSIEDDEDDQEYAYQTAVNIGGHVFKGILYDQGPDQDHNHHLNLLASTATTTNVEETATKTVTGNNNNGLMLDPSSLYPAQLNSFIAGTPFFTPPRS >fgenesh2_kg.2__1831__AT1G75540.1 pep chromosome:v.1.0:2:16774220:16775652:1 gene:fgenesh2_kg.2__1831__AT1G75540.1 transcript:fgenesh2_kg.2__1831__AT1G75540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (B-box type) family protein [Source:UniProtKB/TrEMBL;Acc:D7KSQ7] MKIRCDVCDKEEASVFCTADEASLCGGCDHQVHHANKLASKHLRFSLLYPSSSNNSSPICDICQDKKALLFCQQDRAILCKDCDSSIHAANEHTKKHDRFLLTGVKLSATSSVYKPTSESSSSSNQDLSVPGSSISNLPLKKPLSVPPQSNNNSKIQPFSKISSGDAAVNQWGSTSTISEYLIDTLPGWHVEDFLDSSLPTFGFIKSGDDDGVLPYMEAEDDNTKRNNNNNNTVSLPSKNLGIWVPQIPQTLPSSYPNQYFSQDNNNTQFGMYNKETTPEVVSFAPLQNMKQQGQNNKRWYDDGGFTVPQISPPLSSNKKFRSFW >fgenesh2_kg.2__1832__AT1G75550.1 pep chromosome:v.1.0:2:16778050:16778568:-1 gene:fgenesh2_kg.2__1832__AT1G75550.1 transcript:fgenesh2_kg.2__1832__AT1G75550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTSSLVVIFLLILILQTNKLVSGHDRSTDQDTVKNNNNDDNNTTTEVVLKDKKRSYSGGSGSYRWGWGGGGGGGGGGGGRGGGGGGGGGGWGWGGGGGGGGWYKWGCGGGGKGKGREGRGEFVKREYAECKGRGKCRGKRLECPQHCGGFCFYDCLFLCKPHCRR >fgenesh2_kg.2__1835__AT1G75580.1 pep chromosome:v.1.0:2:16787664:16788374:1 gene:fgenesh2_kg.2__1835__AT1G75580.1 transcript:fgenesh2_kg.2__1835__AT1G75580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKANKLTQTAMIKQILKRCSSLGKKQSNVYSEDENGSPLNVPKGHFVVYVGENRVRYVVPISFLTRPEFQLLLQQAEEEFGFDHDMGLTIPCEEVVFRSLTSMLR >fgenesh2_kg.2__183__AT1G62990.1 pep chromosome:v.1.0:2:1313836:1316976:-1 gene:fgenesh2_kg.2__183__AT1G62990.1 transcript:fgenesh2_kg.2__183__AT1G62990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAALGMIGATVGGDGDAPAVAEQNRQMKGEIATHPMYEQLLAAHVACLRVATPIDQLPIIEAQLSQSHHLIRSYASTAVGYSNHDRELDNFLAQYIMVLCSFKEQLQQHVRVHAVEAVMACREIENNLHSLTGATLGEGSGATMSEDEDDIQMDFSSDNSGVDFSGGHDMTGFGPLLPTESERSLMERVRQELKLELKQGFKSRIEDVREEIMRKRRAGKLPGDTTTVLKNWWQQHCKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSHSLTSLKSKRKH >fgenesh2_kg.2__1842__AT1G75630.1 pep chromosome:v.1.0:2:16818473:16819776:1 gene:fgenesh2_kg.2__1842__AT1G75630.1 transcript:fgenesh2_kg.2__1842__AT1G75630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:D7KSR3] MASGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLSLLVWLLESSVMLVLEVFGIALVFVVLHRLIGKMIKANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >fgenesh2_kg.2__1849__AT1G75710.1 pep chromosome:v.1.0:2:16848324:16850852:1 gene:fgenesh2_kg.2__1849__AT1G75710.1 transcript:fgenesh2_kg.2__1849__AT1G75710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KT60] MALLTFLPENAEAPQKHKPPSSKRKKRENPTDQTQPRKQKPHKPQKPKKEVPQKQPSSWDQIKNLLTCKQIEGSRVHDPSKNSQVGPSMTTHLSPSKLGSSCSSICSFRDVAHGNTRVVHRADHSPDVANSVAPNSETRLLTRKPGQHGSSSSRSLTSGSTRSNASGSYTSSSTTSFRAMQFRKLSGCYECHMIVDPSRYPISPRVCACSQCGEVFPKLESLELHQAVRHAVSELGPEDSGRNIVEIIFKSSWLKKDSPICQIERILKVQNTQRTIQRFEDCRDAVKARALQATRKDARCAADGNELLRFHCTTLTCSLGARGSSSLCSNLPGCGVCTVIRHGFQGKSGGGAATATANGSGVRTTASSGRADDLLRCSDDARRVMLVCRVIAGRVKRVDLPAAEAPSPVEDNSAVGVSTSGGTFDSVAVNAGVYSNLEELVVYNPRAILPCFVVIYKVLES >fgenesh2_kg.2__184__AT1G62981.1 pep chromosome:v.1.0:2:1323728:1324920:-1 gene:fgenesh2_kg.2__184__AT1G62981.1 transcript:fgenesh2_kg.2__184__AT1G62981.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KU41] MVTPTLYLPFLQLIIIVLFLFLPSHLEGSTSSTTTTTTQEALNESSRLLDLIIRDYTLNFFKNQRYSIKTGVPRRVHLPSNYSGIKLDAVRFRCGSLRRYGAQIEEFHIGVGAILEPCGERLLVVRQSLGSNWSDIYYKNYDLSGYRLVSPVLGLLAYNALNDVVLGNNVSSSYQISLLLAGAKDPSTVDFGNVSGPSVVERTFLNKPMCATFELDGKVTLAGEVKPFVCAVKTNGHFGLVVTDDPKSNGGGEKEMKKEKIGRWRKVVGGLVGSVTVGVVLLGLVVAAAVVAAKKRRRREKREEMERKAYEEEALRVVSMVGHSRAFVASATRTSPRFMEHEFVP >fgenesh2_kg.2__1851__AT1G75730.1 pep chromosome:v.1.0:2:16855797:16859890:-1 gene:fgenesh2_kg.2__1851__AT1G75730.1 transcript:fgenesh2_kg.2__1851__AT1G75730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGRRDLMRRGATNRFSNKQQSGSGFSTKSKKGMLKKLRLADEKLKQEMSYYETLPCPDLENEVSKKKSKLPKKNLKDTNGVDHASVPRKLRSAMIMKKRNLESVSKLSSVSKRLNRSKTGIESLKKDQEMEAKAIVPESMIISKDEKEVAETLYGLAGMFTDRKTCNEKETSKVDSILVVEDDYTKAESLKPVVSVLSSAKTKQIDAMPLEQSDKQFSTTGMVDFIDRLKQSSSVNVNDAPARVNDTKVATSLALWPGLSSTTVHSGAHVLSTSSSTKLPPWMGQAVSPSNSASLLSEPLRVQPRKLKRCASHIYISRLIKVLQTSKSSPTTLNQSEQRSFEMSERRLPDSVITINDFKTMVSPAKRYQNPHLLDIHRTHNPKPVQEDMTKLALELYGPHTSQKQSFDFLSLSSAGAAQSHFPLPNSFPQYQISAAYNSQLSPATSSHQVQQLSPYLASRFQTAYNANQQQQQQLQKRLWAAQYRPTNGNTMQSNQYSKPNLSLNLTSIQQPPQVTSSPRYNNNVSQQQHRLMAAAAAMSMSHHHNNNPSRTVMNRQEHHFPLIYEDTRIPLQLLCNEQS >fgenesh2_kg.2__1853__AT1G75760.1 pep chromosome:v.1.0:2:16871060:16873082:-1 gene:fgenesh2_kg.2__1853__AT1G75760.1 transcript:fgenesh2_kg.2__1853__AT1G75760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor family protein [Source:UniProtKB/TrEMBL;Acc:D7KT65] MKAAKRPIHAVTTWVRRQPPKVKAFLGVVSAMTALVLLRMIVHDHDNLFVAAEAVHALGISVLIYKLTKEKTCAGLSLKSQELTALFLAVRLYCSFVMEFDIHTLLDSATLVTTLFVIYMIRFKLKASYMDDKDNFAIYYVVIPCVVLSVLIHPSTHHHIINKISWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGIARFLSCAHWVLQVLDTRGRLLTALGYGFWPIMVLFSEIVQTFILADFCYYYVKSLMGGQLVLRLPSGVV >fgenesh2_kg.2__1855__AT1G75780.1 pep chromosome:v.1.0:2:16876276:16878677:-1 gene:fgenesh2_kg.2__1855__AT1G75780.1 transcript:fgenesh2_kg.2__1855__AT1G75780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:D7KT68] MREILHVQGGQCGNQIGSKFWEVICDEHGVDPTGRYNGDSADLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCSLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYISLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQILNVQNKNSSYFVEWIPNNVKSSVCDIPPTGIKMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEGEYEDEEEEVYES >fgenesh2_kg.2__1856__AT1G75790.1 pep chromosome:v.1.0:2:16880390:16882853:-1 gene:fgenesh2_kg.2__1856__AT1G75790.1 transcript:fgenesh2_kg.2__1856__AT1G75790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVFVEVVVLISLVILELSYAFAPIVSYQWVVSYSQRFILGGNKQVIVINDMFPGPMLNATANDVIIVNIFNNLHEPFLMTWNGLQLRKNSWQDGVRGTNCPILPGTNWTYRFQVKDQIGSYFYFPSLLLQKAAGGYGAIRIYTPELVPVPFPKPDEEYDILIGDWFYADHTVMRASLDTGHSLPKPDGILFNGRGPEETFFAFEPGKTYRLRISNVGLKTCLNFRIQDHDMLLVETEGTYVQKRVYSSLDIHVGQSYSVLVTAKTDPVGIYRSYYIYATARFTDFYLGGRALIRYPNSPLDPVGPVPLAPASWDYSSSVEQALSIRMDLNVGAARSNPQGSYHYGRINVTRTIILHNDVMLSSGKLRYTINGVSFVYPDTPLKLVDHFQLNDTIIPDMFPVYPSNKTPSLGTSVVDIHYKDFVHIVFQNPLFELESYHIDGYNFFVVGYGFGAWSESKRAGYNLVDAVSRSTIQVYPYSWAAILIAMDNQGMWNVRSQKAEQWYLGQELYMRVKGEGQEDPSNIPVRDENPIPGNVIRCGKVL >fgenesh2_kg.2__1858__AT1G75810.1 pep chromosome:v.1.0:2:16887901:16888572:1 gene:fgenesh2_kg.2__1858__AT1G75810.1 transcript:fgenesh2_kg.2__1858__AT1G75810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDAVLGNLATIYVAVIIAIKAYGLITGRSFSAGFVVVVSITAVGVLLAVTLAWDVSRRAADAVSRYNRVGGEEDLSHHRHHHDGGAICKGGICWHGVAVRSPASQVRFRLPQHIPYGAF >fgenesh2_kg.2__1859__AT1G75820.1 pep chromosome:v.1.0:2:16889476:16892989:-1 gene:fgenesh2_kg.2__1859__AT1G75820.1 transcript:fgenesh2_kg.2__1859__AT1G75820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLKTHLLFLHLYLFFSLCFANTDMEVLLNLKSSMIGPNGTGLHDWIPSSSPAAHCSFSGVSCDGDARVISLNVSFTPLFGTISPEIGMLNRLVNLTLAANNFSGALPLEMKSLTSLKVLNISNNGNLNGSFPGEIVKAMVDLEVLDAYNNGFTGTLPPEIPELKKLKHLSLGGNFFNGEIPESYGDIQSLEYLGLNGAGISGKSPAFLSRLKNLKEMYIGYYNSYTGGIPPEFGGLTKLEILDMASCTLTGEIPTSLSNLKHLHTLFLHVNNLTGHIPPELSGLVSLKSLDLSINQLTGEIPQSFIDLGNITLINLFRNNLYGQIPDCIGELPKLEVFEVWENNFTLQLPANLGRNGNLIKLDVSHNHLTGLIPMDLCRGEKLEMLILTNNFFFGPIPEELGKCKSLNKIRIVKNLLNGTVPAGLFNLPLVTMIELTDNFFSGELPATMSGDVLDQIYLSNNWFSGEIPPAIGNFPNLQTLFLDRNRFRGNLPREIFELKHLSKINTSANNITGVIPDSISRCTTLISVDLSRNRITGEIPEDINNVINLGTLNLSGNQLTGSIPTRIGNMTSLTTLDLSFNDLSGRVPLGGQFMVFNETSFAGNTYLCLPHRVSCPTRPGQTSDHNHTALFSPSRIVLTVIAAITALILISVAIRQMKKKKNQKSLAWKLTAFQKLDFKSEDVLECLKEENIIGKGGAGIVYRGSMPNNVDVAIKRLVGRGTGRSDHGFTAEIQTLGRIRHRHIVRLLGYVANKDTNLLLYEYMPNGSLGELLHGSKGGHLQWETRHRVAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSDFEAHVADFGLAKFLVDGAASECMSSIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIAGKKPVGEFGEGVDIVRWVRNTEEEITQPSDAAIVVAIVDPRLTGYPLTSVIHVFKIAMMCVEDEAAARPTMREVVHMLTNPPKSVANLIAF >fgenesh2_kg.2__1861__AT1G75830.1 pep chromosome:v.1.0:2:16904606:16905151:1 gene:fgenesh2_kg.2__1861__AT1G75830.1 transcript:fgenesh2_kg.2__1861__AT1G75830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSATIVTFFFAALVFFAALEAPTMVEAQKLCERPSGTWSGVCGNNNACKNQCIRLEKARHGSCNYVFPAHKCICYFPC >fgenesh2_kg.2__1864__AT1G75860.1 pep chromosome:v.1.0:2:16916658:16918300:-1 gene:fgenesh2_kg.2__1864__AT1G75860.1 transcript:fgenesh2_kg.2__1864__AT1G75860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLTCPPLVFARNQASVHNLVESTKHERITLDSKKAHRIEKKEKRIEKIGTKLPKSHKHSIKAADNHHKLVFLPSKKVSDESDQHEKSGLTEEHEEPQNHLGYLSDGSQNSKKRNRDNSPPAFDSLIKASPVAGKPLRIRLIFKKPKEEVPVLPREGLVCSTTVAKTLSHQDVITSSISASELEENLLSTSVAAAIDETKKRKKHRPSKEDQYNALFDGWTPSSICFADSSSNDNGDDWLFGNKTQEMLKPKAAVKIADDMMMKPGDSSWPRAQFLSEVGIYSLPYTVPF >fgenesh2_kg.2__186__AT1G62975.1 pep chromosome:v.1.0:2:1328813:1331117:-1 gene:fgenesh2_kg.2__186__AT1G62975.1 transcript:fgenesh2_kg.2__186__AT1G62975.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KU43] MDFVHSMFMPDSTYEDGLLFSDSFLLSPFISYQNNDVCNPITNKIGGSNKKRSLCDTYGANEANKNDDDRESKKVKHRDIERQRRQEVSSLFKSLRTLLPFQYIQGKRSTSDHIFQAVNYIKDLQTKIKELNEKRNQIKKSIRGTTTIEECTSSLSSTSTLSSSCSCVGDKHITVVVTPCLVGVEIIMSCCLGRNKSGLSSVLQMLAQEQRLSVVSCLSTRRQQRFMHTIVSQEDDGKKINILELKDKIM >fgenesh2_kg.2__1874__AT1G75920.1 pep chromosome:v.1.0:2:16942426:16943921:1 gene:fgenesh2_kg.2__1874__AT1G75920.1 transcript:fgenesh2_kg.2__1874__AT1G75920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family II extracellular lipase 5 [Source:UniProtKB/TrEMBL;Acc:D7KT82] MLLLALFSTYFLSIEAVPNESFPALLAFGDSMVDTGNNNYLLTLMKGNYWPYGWNFDKKIPTGRFGNGRVFSDIVGIILNFFFSTAAEGLGIKRIVPAYRKLYIAPNDLKTGVSFASGGAGVDPVTSEMLRVLSPAAQVKDFKGYIRKLKGIVGKKKAKEIVANSVILVSEGNNDIGITYAIHDAGMRLMTPNIYTSKLVGWNKKFIKDLYDQGARKFAVMGVIPLGCLPMSRLIFGRFFVWCNFLANTISEDYNKKLKSGIKSWRGESDFRGARFVYVDMYNSLMDVINNHRKYGFTHEKNGCCCMLTAIVPCSNPDKYVFYDFAHPSEKAYKTIAKKLVEDIKTGLA >fgenesh2_kg.2__1878__AT1G75980.1 pep chromosome:v.1.0:2:16961627:16963280:-1 gene:fgenesh2_kg.2__1878__AT1G75980.1 transcript:fgenesh2_kg.2__1878__AT1G75980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQKPEVAKNPEIEDTIELTQQRRHDEVEDCDEQQHKQHQAEEDEEDELRKLLLSDIGELPISPPSATQVNFVSYFITDFTKSGHDQYIYRHANGLCVIGLAPTHIAFKDEGGITNVDFNVGKSDRSVLKVSGKRKKNALRSESNTALCKVSTAKDSYIVRCCVKGSLLEVNERLIRQPELLNSSADREGYIAIIMPRPADWTKNKESLITLEEYKQKKEIPL >fgenesh2_kg.2__1879__AT1G75990.1 pep chromosome:v.1.0:2:16963582:16966039:-1 gene:fgenesh2_kg.2__1879__AT1G75990.1 transcript:fgenesh2_kg.2__1879__AT1G75990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDVEMKDNQTPTESVVSAPTSTLQNLKEIAALIDTGSYTKEVRRIARAVRLTVGLRRQLTGSVISSFLDFALVPGSEAHTRLSSFVPKSDEHDMEVDTASSTSQAPPKHLPAELEIYCYFIVLLLLIDQKKYSEAKACSSASIARLKNVNRRTVDVIASKLYFYYSLSYELTDDLAEIRSTLLALHHSATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVASLGFRIQCNKWAIIVRLLLGEIPERSIFTQKGMEKPLRPYFELTNAVRIGDLELFGNVQEKFAETFAKDRTHNLIVRLRHNVIRTGLRNISISYSRISLQDVAQKLRLNSANPVADAESIVAKAIRDGAIDATIDHKNGCMVSKETGDIYSTNEPQTAFNSRIAFCLNMHNEAVRALRFPPNTHKEKESDEKRREKKQQEEELAKYMAEEDDDDF >fgenesh2_kg.2__187__AT1G62970.1 pep chromosome:v.1.0:2:1335734:1338440:-1 gene:fgenesh2_kg.2__187__AT1G62970.1 transcript:fgenesh2_kg.2__187__AT1G62970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KU44] MMNHGGGGGGGNGGGGDAERMLAQAEKLLLSGDLNGSKTYAIRACEADHSLVDHAELILAVADTLIAGESRIRGTTSDLPDWYAVLRLVRLTHNPELVATQYSRLAVLLNPSRNRFPYSEQAFRLISDAWYVLSDPSRKTLYDRELHLSQFGQLGQLGFQLFNQTPQSQSQSPQHHQQQSQSPQHQNQTVSFQNQQLPFQLHQPHFAQAVQTQSQSQQQRSQFDQQLLQEQQQASWRQQFGQEQSSGSSSDWKRPVEEERLINLNNATERVQSSRRFAEPDRPPSRSFEGSSHRTPSTDLTWASKPTPVSESVPWQYSEPARQYQSSSRSSEAAQLSLLPSVSDSPHASQPTRSNQSHAVSKPQPVSKPHPPFPISQPPPTSSPFPLSQPPSTSKPFPVIQSSQKSKPLLVSQSLQKSKPLPVSQSSQNSNPFPVSQLSSNSKPFSMSQPQPASNPFPVSQPTPNSKPFSMSQPSSTARPFPASQPPAASKSFPISQPPPTSKPFPVSQPPPASKQFVSQPPNTSKPMPVSQPPTTSKPLPVSQPPPVFQSTRPSQPPAASSSPSQLPPVFNSAQSFQPPTVSTTPSPVPAASTIPSPAAPAPVSQPTRVFNQTPTAEQTPKSGDARSDSEPEVPSFWTTCPYCYVLYEYPVIYEERVLKCQTKSCRRAYQAVKVPSPPPVTEEDSYYCCWGFYPIGFSEVTKIPVSGLPKSAPKKPSPKVYYYDDEEEDDDSYIDSDPSEDDDDDDWLMNGTKRRKNVKQSKAKASTKPKR >fgenesh2_kg.2__1880__AT1G76010.1 pep chromosome:v.1.0:2:16966529:16969222:-1 gene:fgenesh2_kg.2__1880__AT1G76010.1 transcript:fgenesh2_kg.2__1880__AT1G76010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYQRVVKPKADTPIDANEIRITSQGRARNYITYAMTLLQEKGSTEVVFKAMGRAINKTVTIVELIKRRIPDLHQNTSIGSTDITDTWEPTEEGLLPLETTRHVSMITIILSKIELNTSSVGYQCPIPIEMVKPMGDIDYEGREGSPGGRGRGRGRGRGRGRGRGGRGNAYVNVEHEDGGWERDQSYGRGRGRGRGRSSRGRGRGGYNGPPNEYDAPQDGGYGYDAPPHEHRGYDDRGGYDAPPQGRGGYDGPTQGRGGYDGPPQGRGGYDGPPQGRGGYDGPPQGRGGYDGPPQGRGGYDGPPQGRGRGRGRGRGGRGRGGGRGGGGGFNNRSDGPPIQAAA >fgenesh2_kg.2__1882__AT1G76030.1 pep chromosome:v.1.0:2:16972528:16975876:1 gene:fgenesh2_kg.2__1882__AT1G76030.1 transcript:fgenesh2_kg.2__1882__AT1G76030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1 complex, subunit B protein [Source:Projected from Arabidopsis thaliana (AT1G76030) TAIR;Acc:AT1G76030] MGTNDLDIEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGSTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKTVDLLEDHGEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDGITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRKDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVMQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDSTT >fgenesh2_kg.2__1887__AT1G76060.1 pep chromosome:v.1.0:2:16981759:16982336:-1 gene:fgenesh2_kg.2__1887__AT1G76060.1 transcript:fgenesh2_kg.2__1887__AT1G76060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1793 [Source:UniProtKB/TrEMBL;Acc:D7KT92] MMATKLQKWRNLAGRLDLMSRRDAVSSRRLLHEGPDTVEELLDRHLAKKEKPIIDDDEAEFLNRRRLTSTRREALSLYRDILRATRFFTWTDSRGNLWRDVLRENARKEFEAARFETDPEVITRLLIGGSDAVSSALDKLAEKQREMIEKKRRGDQP >fgenesh2_kg.2__1888__AT1G76070.1 pep chromosome:v.1.0:2:16985003:16986208:1 gene:fgenesh2_kg.2__1888__AT1G76070.1 transcript:fgenesh2_kg.2__1888__AT1G76070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKHHTSTSKPKNKNKLLKMLPKAMSFGHRVPPFSPGRDLHHNHNHHNNTAANKMFFSGPMVPLVPNAARVRRNKSDAVWDEPTSPKVSCIGQIKLGKSKCPTGKKNKNKTTPLLIPKISKTSTSSLTKEDEKGRLSKIKRIFSFSPASGGNISRKSHPTAVSAAGEHPVTVVSTAAVPSLGQMKKFASSRDALGGFDWTVEMEHDEESPADHRRGYYSDDEKRGAYFRDDDDDDEDDIIIPFSAPLGLKPKKEVNLWKRRTIDPPKPLHLQTT >fgenesh2_kg.2__1891__AT1G76110.1 pep chromosome:v.1.0:2:16996939:16999474:-1 gene:fgenesh2_kg.2__1891__AT1G76110.1 transcript:fgenesh2_kg.2__1891__AT1G76110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group family protein [Source:UniProtKB/TrEMBL;Acc:D7KT98] MSSDNESTPSQATVEMTATSPAKIKEYPEPLASHEVVVKDSSVFWDTLRRFHSIMSTKFMIPVIGGKELDLHVLYVEVTRRGGYEKVVAEKKWREVGGVFRFSATTTSASFVLRKHYLNLLFHYEQVHLFTARGPLLHPTATFHANPSTSKEMALVEYTPPSIRYNNTHHPSQGSSSFTAIGTIEGKFDCGYLVKVKLGSEILNGVLYHSAQPGPSSSPSADLNGAVVPYVETGRRRRRLGKRRRSRRREDPNYPKPNRSGYNFFFAEKHCKLKSLYPNKEREFTKIIGESWSNLSTEERMVYQDIGLKDKERYQRELNEYRETLRLRDGNMTNDKAF >fgenesh2_kg.2__1892__AT1G76120.1 pep chromosome:v.1.0:2:17000559:17002421:-1 gene:fgenesh2_kg.2__1892__AT1G76120.1 transcript:fgenesh2_kg.2__1892__AT1G76120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:D7KT99] MLTTTTDEETDMSCGGGVTEPQKVAIIFAFCGVGYQGMQKNPGAKTIEGELEEALFHAGAVPESIRGKPKLYDFARSARTDKGVSAVGQVVSGRFNVDPPGFVDRLNSNLPNQIRIFGYKHVTPSFSSKKFCDRRRYVYLLPVFALDPISHRDRETVMASLGPGEEYVKCFECSERGRKIPGLVGNWKGTNFVTKSLDFQSDILSNNSSALRTDVKIEALSSNLASLSSVDVEVGSIQEDSCKLNTNSTEKINGEGNVMAETKSKFCYGEKEKERFNRILSCYVGSYNFHNFTTRTKADDPAANRQIISFTANTVINLDGIDFIKCEVLGKSFMLHQIRKMMGLAVAIMRNCASESLIQTAFSKDVNITVPMAPEVGLYLDECFFTSYNKKFEDSHEEVSMEAYKEEAEAFKLKHIYSHICATERKYGNMALWLHSLNYRNYPDLNFGISKQNDGSLV >fgenesh2_kg.2__1895__AT1G76140.1 pep chromosome:v.1.0:2:17014428:17018238:1 gene:fgenesh2_kg.2__1895__AT1G76140.1 transcript:fgenesh2_kg.2__1895__AT1G76140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase [Source:UniProtKB/TrEMBL;Acc:D7KTA1] MLTAFASHARSHVFAFVTVPTITRRLRINTLRQSSLSSFLLLNETFSNRPNSVSRCFCCSSSAIMGSSSVLGERLHYPATRRGDSVVDNYHGVKIGDPYRWLEDPDAEEVKEFVQNQVKLTDSVLEKCETKEKLRQNITKLIDHPRYDSPFRQGDKYFYFHNTGLQAQSVLYMQDDLDAEPEVLLDPNTLSDDGTVALNTFSVSEDAKYLAYGLSSSGSDWVTIKLMNIEDKKVEPDVLSWVKFTGITWTHDSKGFFYGRYPAPKEGEDIDAGTETNSNLYHELYYHFLGTDQSQDILCWRDHENPKYMFGAEVTDDGKYLFMSIGESCDPVNKLYYCDMTSFSGGLESFRGSSSFLPFIKLVDTFDAQYSVISNDETLFTFLTNKDAPKYKLVLVDLKEPNSWTDVVEEHEKDVLASACAVNGNHLVACYMSDVKHILQIRDLKSGSLLHQLPLDIGSVSDVSARRKDNTFFFSFTSFLTPGVIYKCDLANESPEVKVFREVTVPGFDREAFQAIQVFYPSKDGTKIPMFIVAKKDIKLDGSHPCLLYAYGGFNISITPSFSASRIVLSKHLGVVFCVANIRGGGEYGEEWHKAGSLAKKQNCFDDFISGAEYLVSAGYTQPSKLCIEGGSNGGLLIGACINQANLFGCALAHVGVMDMLRFHKFTIGHAWTSDYGCSENEEEFHWLIKYSPLHNVKRPWEQQTDQFIQYPSTMLLTADHDDRVVPLHSLKLLATLQHVLCTSLENSPQTNPIIGRIEVKAGHGAGRPTQKMIDEAADRYSFMAKMVNAAWTE >fgenesh2_kg.2__1899__AT1G76170.1 pep chromosome:v.1.0:2:17030461:17032699:-1 gene:fgenesh2_kg.2__1899__AT1G76170.1 transcript:fgenesh2_kg.2__1899__AT1G76170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 1 [Source:UniProtKB/TrEMBL;Acc:D7KTA5] MEAGEAKSKKAGGECFYEVFEEEIHQVIVGNRLFKSGERVAIGASGGKDSTVLAYVLSELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNEVQYGLPLQIVSYKDLYGWTMDEIVKMIGLKNNCTFCGVFRRQALDRGAALLKVDKLVTGHNADDIAETVLLNILRGDIARLSRCTSITTGEDGPIPRCKPFKYTYEKEIVMYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERPRPRAILDIIKSGEDFRIATTTKMPEQGTCERCGYISSQKWCKACVLLEGLNRGLPKMGIGRPRGSGNDQKDTKARSTAKSIESKQCGSLDF >fgenesh2_kg.2__189__AT1G62950.1 pep chromosome:v.1.0:2:1343291:1346191:-1 gene:fgenesh2_kg.2__189__AT1G62950.1 transcript:fgenesh2_kg.2__189__AT1G62950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGKLIWVMFTFVYIITSSPSFSVSIITEREILLQFKDNINDDPYNSLASWVSNADLCNSFNGVSCNREGFVEKIVLWNTSLAGTLTPALSGLTSLRVLTLFGNSFTGKLPLDYSKLQTLWKINVSSNALSGSIPEFIGDLPNLRFLDLSKNGFFGEIPSSLFKFCFKTKFVSLSHNNLSGSIPESIVNCNNLIGFDFSYNGITGLLPRICDIPVLEFVSVRRNVLSGDVFEEILKCKRLSHVDIGSNSFDGVGSFEVLGFKNITYFNVSGNRFTGEIGEIVDCSESLEFLDASSNELTGNVPSGITGCKSLKLLDLESNKLNGSVPAGMGKMEKLSVIRLGDNFIDGKIPLELGNLEYLQVLNLHNLNLIGEIPEDLSNCRLLLELDVSGNALEGEIPKNLLNLTNLEILDLHRNRISGSIPPNLGNLSRIQFLDLSENLLSGPIPSSLRNLNRLTHFNVSYNNLSGIIPKIQASGASSFSNNPFLCGDPLETPCNALRTGSRSRKTKALSTSVIIVIIAAAAILAGICLVLVLNLRARKRRKKPEEEIVTFDNTTPTQASTESGNGGVTFGKLVLFSKSLPSKYEDWEAGTKALLDKDNIIGIGSVGVVYRASFEGGVSIAVKKLETLGRIRSQEEFEQEIGRLGSLSHPNLASFQGYYFSSTMQLILSEFVTNGSLYDNLHPRISHRTSSSSSSHGNTELDWHRRFQIAVGTAKALSFLHNDCKPAILHLNIKSTNILLDEGYEAKLSDYGLEKFLPVLNSFNLKKFHNAVGYIAPELAQSLRVSDKCDVYSYGVVLLELVTGRKPVESPSENEVLILRDHVRDLLETGSASDCFDSRLIGFEENELIQVMKLGLLCTTENPLKRPSMAEVVQVLELIRNGMES >fgenesh2_kg.2__18__AT1G64585.1 pep chromosome:v.1.0:2:108634:108784:1 gene:fgenesh2_kg.2__18__AT1G64585.1 transcript:fgenesh2_kg.2__18__AT1G64585.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KS79] KLMGSNKDLHKQVKNINKLTPNRSLKETRSRLYIIRRCLVMLLCWREPRD >fgenesh2_kg.2__1902__AT1G76200.1 pep chromosome:v.1.0:2:17041062:17042526:1 gene:fgenesh2_kg.2__1902__AT1G76200.1 transcript:fgenesh2_kg.2__1902__AT1G76200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGHGGGITYKGVTVHTPKTWHTVTGKGLCAVMWFWILYRAKQDGPVVMGWRHPWDGHGDHGHGDHH >fgenesh2_kg.2__1904__AT1G76220.1 pep chromosome:v.1.0:2:17046459:17047229:-1 gene:fgenesh2_kg.2__1904__AT1G76220.1 transcript:fgenesh2_kg.2__1904__AT1G76220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTSSSGAHVRSTSWSEDVNPLSRAIEDHLLILKKKPESARRKLGVLNNLYEVVEVFLRFQTTKTQKSFTGFEDVSDGFIEVLDICSTIRDVLMEIKEQVRELESSLRRRLIRSKSGEDQEAFVARELDAYVFKRRALSRTIVKQLKKTEDKMRKKKRDCGDVINVMKRVEKTSFDVLVSLLIQVVTKDQRDDKKRSRRGIVSRVFNKKNQEVDADELKKLRETEHEIEETERELECVYKKLLKTRVSFLNMLTL >fgenesh2_kg.2__1905__AT1G76230.1 pep chromosome:v.1.0:2:17048772:17049326:-1 gene:fgenesh2_kg.2__1905__AT1G76230.1 transcript:fgenesh2_kg.2__1905__AT1G76230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNVQPKEEYLPTITKQVSDELEKSCLTEEEDACLSDASHSSKKRIREDTTCESKKSKKQKPSKEPWFKTLSDDDWLFGNNQQKSLTNNKAAIKNDEDMIRKLLQRSCGDSLFPKAQFLPQFGIFVVPYTVPF >fgenesh2_kg.2__1906__AT1G76240.1 pep chromosome:v.1.0:2:17059525:17060613:-1 gene:fgenesh2_kg.2__1906__AT1G76240.1 transcript:fgenesh2_kg.2__1906__AT1G76240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVFRRSLSFPNKPCGRSSPPSKPHVSHHTRSISLPCRSHPLISHVNHEISQLKSWFSFVGETHRRTTSWITDGLSLLKDVQETLADILQLPQSQESLRNRPVFFENLLEDLLRFVDAYGIFRTSILCLREHQSAAQVALRKKDDEKIASYLKSRRSLARDIAKLTSSIREPKTKHQHCHVDNVNGTYGDAELASVIGDVIEVTVLVSVALFNGVYSSLRTNKTTPFIGFLKRSEKKEKLDEGIVELKQVEEKSLVGLSKKKSEEVKSLMKKMMELENSIHEIECESEKVFRGLISTRVSLLNALTH >fgenesh2_kg.2__1908__AT1G76260.1 pep chromosome:v.1.0:2:17066895:17069858:1 gene:fgenesh2_kg.2__1908__AT1G76260.1 transcript:fgenesh2_kg.2__1908__AT1G76260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7KTB6] MQGGSSGIGYGLKYQARCISDVKADRDHTSFLTGTLSLKEENEVHLLRLSSGGSELLCEGLFSHPNEIWDLASCPFDQRIFSTVFSTGESFGAAIWQIPEPYGQSNSSTLECVASLDAHVGKINCVLWCPSGNSDKLISMDEQNLVLWSLDSSKKSAEVLSKESAGMRHSLSGGAWNPHDVNSIAATSESSIQFWDLRTMKKVNSIERAHVRNVDYNLKREHILVSADDESGIHLWDLRKTNVPVQELPGHTHWTWAVRCNPEYEELILSVGTDSAVNLWFASASSENKTAGSPVEASRQRVNPLLNSYTDYEDSVYGLAWSLREPWIFASLSYDGRVVIESVKPFLPRR >fgenesh2_kg.2__1909__AT1G76270.1 pep chromosome:v.1.0:2:17069815:17073448:-1 gene:fgenesh2_kg.2__1909__AT1G76270.1 transcript:fgenesh2_kg.2__1909__AT1G76270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHRRRYPYYNRLRRLLPLVFAVFLSLLILFAFLSFLAPFPGDSDRLPPRVRYSSNDAIKATGFRIPRAGGRSDRDIWRSRNAEFFFGCSNASSKFATSKAVTRNDRYLVIATSGGLNQQRTGIVDAVVAARILNATLVVPKLDQKSYWKDASDFSHIFDVDWFISFLSDDVKIIKQLPLKGGRTWSTSRMRVPRKCNERCYINRVLPVLLKRHAVQLNKFDYRLSNKLSDDLQKLRCRVNYHALKFTDPILTMGNELVRRMRLRSKHFIALHLRFEPDMLAFSGCYYGGGDKERRELAAIRRRWKTLHINNPEKQRRQGRCPLTPEEVGLMLRALGYGSDVHIYVASGEVYGGEESLAPLKALFPHFYSKDTIATKEELEPFSSYSSRMAALDFLVCDESDVFVTNNNGNMAKILAGRRRYLGHKPTIRPNAKKLYRLFMNKENTTWEEFSSRVRSFQRGFMGEPKEVRAGRGEFHENPSTCICEDTEAKAKAQMESRKLGKKNKSTNKDAAVTVTNDDQTEEDEPDWSEPDYEEEQSDLKDRGLYNGTSLDYDDPSTSDEPELEEMLSD >fgenesh2_kg.2__1913__AT1G76300.1 pep chromosome:v.1.0:2:17086028:17087600:1 gene:fgenesh2_kg.2__1913__AT1G76300.1 transcript:fgenesh2_kg.2__1913__AT1G76300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHESSGHIVSVEMKSGELYRGSMIECEDNWNCQLENITYKAKDGKVSQLEHVFIRGSLVRFIVIPDMLKNAPMFKDVRGKGKSSSLGVGRGRGAAMRAKGQGTGRGTGGRGAVPPVRR >fgenesh2_kg.2__1917__AT1G76340.1 pep chromosome:v.1.0:2:17096330:17097705:-1 gene:fgenesh2_kg.2__1917__AT1G76340.1 transcript:fgenesh2_kg.2__1917__AT1G76340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane family protein [Source:UniProtKB/TrEMBL;Acc:D7KTC3] MSTNDEENGTLIEVKNVPEPSPETWYSVFLRQASVYGVAAGYCLSASLLSIINKWAIMKFPYPGALTAMQYFTSAAGVFLCAQMKLIEHDSLNLLTMWRFLPAAMIFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSVKTWGSLATIFGGSLLYVFTDYQFTIAAYSWALAYLVSMTIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLIMGELKKIKHEITDETDWYSLQVVLPVGLSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVVWDKHSTFVGTLGLLICMFGGVMYQQSTMKKPNTAQEAKPQEQDEEQEKLLEMQENKESNSINIKENLKSEEKL >fgenesh2_kg.2__1918__AT1G76350.1 pep chromosome:v.1.0:2:17109158:17112484:1 gene:fgenesh2_kg.2__1918__AT1G76350.1 transcript:fgenesh2_kg.2__1918__AT1G76350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWP-RK domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KTC4] MENSSLPMDPAMDSSFMDGLLLEGCWLETTDASEFLNFSPSTSVTPFDPSSFMWSPTQDTSNSLSQMYGQDSAERSSLEDQNQGRDLSSFNRRWWIGPSGHHGFSVMDRLVQAVTHIKDFTSERGSLIQLWVPVDRGGKRVLTTKEQPFSHDPMCQRLAHYREISENYQFSTEQEDSGSSSRDLVGLPGRVFLGKVPEWTPDVRFFKNEEYPRVQHAQDCDVRGTLAIPVFEQGSQICLGVIEVVMTTQMVKLSPDLESICRALQAVDLRSTEIPIPPSLKGPDFSYQAALPEIRNLLRCACETHKLPLAQTWVSCLKQSKTGCRHNYENYVHCVSTIDDACYVGDPSVREFHEACSEHHLLKGQGVVGEAFLTNGPCFSSDVSSYKKSEYPLSHHATMFGLHGTVAIRLRCIHTGSADFVLEFFLPKNCRDIEEQRKMLNALSTIMAHVPRSLRTVTEKELEEEGDSMVSEVIERGVTLPKIENISEVPQSISTPQNVGIVFDGGTTEMGELGSDYGKGLSVNDNNTFSSGSGFNRVTEKKRTKAEKNITLDVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGIQRWPSRKIKKVGHSLQKIQRVIDSVEGVSGHHLPIGSFYASFPNLASSPEASSLQQQSKTTTFLPSSHSQHAKSPGSSCSHSSSCSSEPQVNKEDPTDKTRQVSVSFKETQTTHLSPSTSSQEDDFLRIKVSYEEEKIRFRMRNSHRLKDLLWEIAKRFSIEDVSRYDLKYLDEDNEWVLLRCDDDVDECVDVCRSFPGQTIKLLLQLSSSYLPERSSVSGCPL >fgenesh2_kg.2__1919__AT1G76360.1 pep chromosome:v.1.0:2:17112658:17116098:-1 gene:fgenesh2_kg.2__1919__AT1G76360.1 transcript:fgenesh2_kg.2__1919__AT1G76360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNICPGSGSSTVQHEQSPASTKPLPVRRVHNPENKKFPPALVAMPALNPKFPAPESGHKTRPAATPPREKPQQRTRSVATPPHEKPQEKTRSVENPPREKPQEKTRSVENPPREEPQEKARSVDNPPLKPVEKLGLGRKAVPPSGKIVTPNLKMFTLVELKTATKNFRPESVIGEGGFGQVFKGWVDEKTLAPSRAGVGIPVAVKKSNPDSEQGLHEWQAIGNYTCSYLIKEEECEVRFLGKFHHPNLVKLLGYCWEENQFLLVYEYLPKGSLENHLFSKGAEALPWDTRLKIAIEAAQGLTFLHNSEKSVIYRDFKASNILLDSTFHAKLSDFGLAKNGPINGFSHVTTRVMGTQGYAAPEYMATGHLYVRSDVYGFGVVLLELLTGLRALDPNRPSAQQNLVEWAKPVLNQKKKVQKMMDPRLEHKYPLLAVVKTAELILRCLEADPKNRPPMDDVLRELEVVRTIRDQPKEERRKRSSGSDHDNNRVRGNDSPYGRRTGRTG >fgenesh2_kg.2__1923__AT1G76380.2 pep chromosome:v.1.0:2:17121707:17125083:-1 gene:fgenesh2_kg.2__1923__AT1G76380.2 transcript:fgenesh2_kg.2__1923__AT1G76380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVADNNNTLMKKKKKGRPSLLDLQKRALKQQQLLQRRNPDEEEEELRSGFRNPNSGARSNRRNSNSDDDDDERRDKKHRLLHGLNSHDRRDSSNSKSVGGDLDSDAIRRRKIDGSDDTGEKASKATDILPRGSLVESTPLPDKKLLLFILDRVQKKDTYGVYSDPADPEELPDYYDIIKNPMDFSTLRKKLESGAYTTLEQFEASLQDVFLICTNAMEYNSADTVYFRQARAMLELAKKDFGNLRQESDGEEPVSLSQQPKVVKRGRPPGSGLKKQLEQSLIDRTTSNISADAAALTYAGDSSRLSGSYNLRKNPPSYGFRQAETSVRINHSSENQSGLMIDWEKEFPPSVVKAVHKYGMKNVDENRRDTYDQISTSLQESSIFTMLEDDLKQLTPVGLKTEYGYARSLARYAANLGPVAWRFANARIEKLLPTGTQFGPGWVGENPEAPPENPPQQQNLVSGKQKCSNDFASDDHHQSSRIMSPTTSVSSSIIGNRHSSHESKESVPTAHVLNQETESNGLVRASSGFNQPQNQMLETAVSQQGLFPNIKQEFQQLPPDLNARLVSPNSPGSNHQAGSSQHPDLALQL >fgenesh2_kg.2__1924__AT1G76390.2 pep chromosome:v.1.0:2:17126018:17129315:1 gene:fgenesh2_kg.2__1924__AT1G76390.2 transcript:fgenesh2_kg.2__1924__AT1G76390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7KTC8] MAGSGSWDGSQSDNSSQFEPGIDNIYEAFICPLTKQVMHNPVTLENGQTFEREAIEKWFQECRENGQPLSCPITSKQLSITDLSPSIALRNTIEEWRARNDALKLDIARQSLYLGNAETNILLALKNVREICRNIRKLRQRVRNPQLVRLITDMLKSSSHEVRYKALQTLQVVVEGDEESKAIVAEGDTVRTIVKFLSQEPSKGREAAVSVMFELSKSEALCEKIGSIRGAIILLVGLTSSKSENVSTVDKADQTLTNLEKSEENVRQMATNGRLQPLLAKLLEGSPETKVSMAFYLGVLALNNDVKVIVAQTVGSSLIDLMRTRDMRQREAALGALNNISSFEGSAKVLINSGILPPLIKDLFYVGPNQLPIRLKEVSATILANIVNIGYEFDKVPVGPDHQTLVSEEIVENLLQLTSNTGPEIQGKLLAVLVGLTSCPNSVINVVSAIRNSAAIISLVQFVEIHENDDLRLASIKLLHNISPHMSEELANALRGTVGQLGSLVAIISENTTTITEEQAAAAGLLAELPERDLGLTMRLLREGAFEKIISKIVGIRQGEIRGIRFERTFLEGLVSILARITFALTKETHAVSFCCENNLTSLFLDLLQSNSQDNIQMASAIALENLSLESKNHTKIPELPPPNYCASIFSCLSKPPVVLGICKIHQGICSVRESFCLVEGQAVDKLVDLLDHENEKVVGPALAALSTLLEDGLDVVQGVRLIDEADGITPILNVLLENRTENLRIRAVWMVERILRIEEIAREVGEEQNVTAALVDAFQNADFRTRQIAEKALRHIDKIPNFSGIFTNIG >fgenesh2_kg.2__1926__AT1G76400.1 pep chromosome:v.1.0:2:17129164:17132251:-1 gene:fgenesh2_kg.2__1926__AT1G76400.1 transcript:fgenesh2_kg.2__1926__AT1G76400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1 [Source:UniProtKB/TrEMBL;Acc:D7KTS8] MKQSSVVDLLLLLLAITLLATPAFSDLVLSKVERRIDVTSQIARVTKTLKVVNSGSESISEFILTFPKFLGNNLAYLSVSHSEGKGKSKRTLVNLSVREADSKGIPDSISVYSVALPTPLSKGDTLTLEVVAAFPNVLQPFPEKITQGEIHLVMLQESAQYLSPYAVESQSLSIKLPNARIESYTKLENTKLQGSELKYGPYKNLQSYSYSPIVVHFESKAAFAVAEKLVREIEVSHWGNVQVTEHYNVVHRGAQLRGEFSRLDFQARPNPRGASAFRHLLARLPPRAHSIYYRDDIGNISTSEMQSDSKKTELLIEPRFPLFGGWKTFFTIGYGLPLSDFLFASEGKRFLNISFGSPILDLVTEKLIVQVVLPEGSKDISVATPFAVKQSQEIKYSHLDIAGRPVVVLEKNNVVPDHNQHVQVYYKFSNINLLSEPLMLISGFFILFITCIIYTRADFSISKSSPTYLAKLQWDEVLATLQEVQSIIQKCLATHDKLEASLRDLSRTGDIQTCKAARKSTDSLLKDFSKELKPLLGFLQSSPIASHISPKVEELVAKEKELEEKLMAKHTTVVEGYEKKSSGRDIENRIASQQQKITALRQEIEDLLEFIDEI >fgenesh2_kg.2__1927__AT1G76405.2 pep chromosome:v.1.0:2:17132427:17134297:1 gene:fgenesh2_kg.2__1927__AT1G76405.2 transcript:fgenesh2_kg.2__1927__AT1G76405.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRYTCNSKSMKIHAKEKVPVNSKTHLQLHGELDTGTGSPSYFCAMIRHFFPEASTGLGVGLHYDKRQKLRYLVRGKKEFPVRADKRVTFNIKGRCDFDQDLNQRNPKGAAEFAWNIMDFKEDQDVRIKVGYEMFDKVPYMQIRENNWTLNANMKGKWNLRYDL >fgenesh2_kg.2__1929__AT1G76420.1 pep chromosome:v.1.0:2:17144706:17146654:-1 gene:fgenesh2_kg.2__1929__AT1G76420.1 transcript:fgenesh2_kg.2__1929__AT1G76420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAVEDVLSELAGEERNERGLPPGFRFHPTDEELITFYLASKIFHGGLSGIHISEVDLNRCEPWELPEMAKMGEKEWYFYSLRDRKYPTGLRTNRATTAGYWKATGKDKEVFAGGGGQLVGMKKTLVFYKGRAPRGLKTKWVMHEYRLETDHSHRHTCKEEWVICRVFNKTGDRKNVGINNQITYLHNHSLSATHHHHHEALPLLLEPSNKTLNNFPSLLYDDPHQIYNNNLLHGSSGHNIDELKALINPVVSQLNGIIFSPGNNNNDEDDFDFNLGVKTEPSSNGGNNNELDVRDYLENPLFQEASYGLLGLSSSPGPLHMLLDSPCPLGFQL >fgenesh2_kg.2__192__AT1G63320.1 pep chromosome:v.1.0:2:1414541:1417827:-1 gene:fgenesh2_kg.2__192__AT1G63320.1 transcript:fgenesh2_kg.2__192__AT1G63320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KU57] MELFREMSQRGLVGDTVTYTTLIQGLFQAGDCDMAQELFKEMVSDGVPPNLMTYNSLLDGLCNNGKLEKTLVVGKVEDGWDLFCSLSLKGVKPNVVTYNTMISGLCRKGLNQEAYALLKKMKEDGPLPDSGTYNTLIRAHLRDGDKAASSELIREMRSCEFAGDASTIGLVANMLHDGRLDKSFLEMLS >fgenesh2_kg.2__1930__AT1G76430.1 pep chromosome:v.1.0:2:17162995:17168673:-1 gene:fgenesh2_kg.2__1930__AT1G76430.1 transcript:fgenesh2_kg.2__1930__AT1G76430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRVLSALDAARIQWYHFKAIIVAGMGLFTDAYDLFCIAPIMKMISQIYYHKDSIGTAILSTSYAIALLGTALGQLIFGYLGDRIGRRKVYGLCLLIMVFSSFGCGFSVCTTRRSCVLVSLGFFRFVLGLGIGGDYPLSATIMSEFANKRTRGAFIAAVFSMQGLGILMSSAVTMVVCVAFKNAAGEGSLEKTNVAGLETLAPPESDIAWRLILMIGALPAALTFYWRMLMPETARYTALVENNVVQAAKDMQRVMTVSMISQIAEDSSSEQEQPPTSSYKLFSRRFLSLHGRDLFAASANWFLVDVVFYTSNLLLSQIFNLSNKPLNSTNVYDSAFEVSKLAAIVAACSTIPGYWFTVYFIDKIGRVKIQMMGFFLMAVVYLVAGIPYSWYWSKHEKTKKGFMVLYGLIFFFSNFGPNTTTFIIPAELFPARFRSTCHGISGAAGKFGAIVGTVGFLWATKHHEEDGFPDVKRVRIAFLILGGVCIAGMIVTYFFTRETMGRSLEENEDKIGTTSGSSSANELLPRQY >fgenesh2_kg.2__1931__AT1G76440.1 pep chromosome:v.1.0:2:17168857:17170338:-1 gene:fgenesh2_kg.2__1931__AT1G76440.1 transcript:fgenesh2_kg.2__1931__AT1G76440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTENNQTTHSKVISHVFFTGTAKQGSAGPPIGLVDIGVSEVAYIFRVSLPGIEKNQDKIKCEIQREGRVCIQGVVPEIAIPSDTGCLYRMQVQQLCPPGPFSITFNLPGQVDPRLFSPTFRPDGIFEVVVVKLGVRIPTS >fgenesh2_kg.2__1932__AT1G76450.1 pep chromosome:v.1.0:2:17173639:17175090:1 gene:fgenesh2_kg.2__1932__AT1G76450.1 transcript:fgenesh2_kg.2__1932__AT1G76450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KTT8] SRRCSSIPVAISALDSSNEEQHRISSRDHVGIKRREAMLQIASSVFFLPLAVSPAFAETNASEAFRVYTDEANKFEISIPQEDWQVGQAEPNGFKSITAFYPQETSTSNVSIAITGLGPDFTRMESFGKVEAFAETLVSGLDRSWQKPAGVTAKLIDSRSSKGFYYIEYTLQNPGEARKHLYSAIGMATNGWYNRLYTVTGQFTDEESAEQSSKIQKTVKSFRFI >fgenesh2_kg.2__1933__AT1G76460.1 pep chromosome:v.1.0:2:17175040:17178038:-1 gene:fgenesh2_kg.2__1933__AT1G76460.1 transcript:fgenesh2_kg.2__1933__AT1G76460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KTT9] MAYQSVPGSGFHYLNSPFGDTTFTKVFVGGLAWETQSETLRRHFEQFGEILEAVVIADKNTGRSKGYGFVTFRDPEAARRACADPTPIIDGRRANCNLASLGRPRPPLPYALIPNMPGRLRPASPYIGNVQGPRGSLIGNYPYQQPLPYNYQQGVVYPYGVTAYGPEYMYSQSQGLYGPYMGQQYLQVYGVPGAVNSPIYQYGQLSQTIPSGHGYPAVQGYSVPGSHVLQLGGPTVSAMTTSSMSALQGPYPSGITGPAPVQSHIIVHSPQFMQSSGSDQTTR >fgenesh2_kg.2__1935__AT1G76480.1 pep chromosome:v.1.0:2:17181183:17182137:-1 gene:fgenesh2_kg.2__1935__AT1G76480.1 transcript:fgenesh2_kg.2__1935__AT1G76480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KTU1] MFDVKALYVGKELWRETLSLQSGSRVYKLEGVKSNSCYEVKISYPASIPARFSLKLLKNREMGLKLNQMRRLLNTEKLMFKVESFEEVNNKAGLNVLVTVEPEGIVAIPNSKERSIIIYNIVCEEQLMGIPYSSWSVVILVALCLVVAL >fgenesh2_kg.2__1937__AT1G76500.1 pep chromosome:v.1.0:2:17206386:17207255:1 gene:fgenesh2_kg.2__1937__AT1G76500.1 transcript:fgenesh2_kg.2__1937__AT1G76500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KTU3] MDGGYDQTGGASRYFHNLFRPELQHQLQPQPQLQPLPQPQQQQSDDESDSNKDPGSDPVTSGSTPGKRPRGRPPGSKNKPKPPVIVTRDSPNVLRSHVLEVSSGADIVESVTTYARRRGRGVSILSGNGTVANVSLRQPAAAHGANGGTGGVVALHGRFEILSLTGTVLPPPAPPGSGGLSIFLSGVQGQVIGGNVVAPLVASGPVILMAASFSNATFERLPLEDEGGEGAGGEVGEVGEGGGGGGGPPTATSSSPPSGAGQGQLRGNMSGYDQFSGDPHLLGWGAAAA >fgenesh2_kg.2__1939__AT1G76510.1 pep chromosome:v.1.0:2:17211522:17215759:-1 gene:fgenesh2_kg.2__1939__AT1G76510.1 transcript:fgenesh2_kg.2__1939__AT1G76510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KTU4] MADTEMQEQDVPSGADTKGVVVEEEPSEPEKDQNSIEIPKSPVIEDKTHTLDSDLHLSDAPITNQTEANEEVGGHNSVDGKNGDVDQSEKKVTSDGGQEETTLGESIPLKGEPSSPHVPEKSVKKWKTWLLSDSEAREVDEAGTPPNQEAFIKEVEAFHKENFLEFKAPKFYGQPLNCLKLWRAVIKLGGYDVVTTSKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKYLRQNGELNLPGSASLPSSGLEKEATSHQASGSGRARRDAAARAMQGWHSQRLLGSGEVTEPIVKDKGLNSTPKQKNLKNIGVQKQKTTTGMDLVFSHESEKQSTAEVIDVGPPADWVKINVRETKDCFEIFALVPGLLREEVRVQSDPAGRLVIAGQPEQLDNPWGITPFKKVVNFPARIDPLHTSAVVSLHGRLFVRVPFEQ >fgenesh2_kg.2__1942__AT1G76540.1 pep chromosome:v.1.0:2:17221318:17223542:-1 gene:fgenesh2_kg.2__1942__AT1G76540.1 transcript:fgenesh2_kg.2__1942__AT1G76540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase B2_1 [Source:UniProtKB/TrEMBL;Acc:D7KTU6] MDEGVIAVSAMDAFEKLEKVGEGTYGKVYRAREKATGKIVALKKTRLHEDEEGVPSTTLREISILRMLARDSHIVRLMDVKQGLSKEGKTVLYLVFEYMDTDVKKFIRSFRSTGKNIPTQTIKSLMYQLCKGMAFCHGHGILHRDLKPHNLLMDPKTMRLKIADLGLARAFTLPMKKYTHEILTLWYRAPEVLLGATHYSTAVDMWSVGCIFAELVTNQAIFQGDSELQQLLHIFKLFGTPNEEMWPGVSTLKNWHEYPQWKPSTLSSAVPNLDEAGIDLLSKMLQYEPAKRISAKMAMEHPYFDDLPEKSSL >fgenesh2_kg.2__1943__AT1G76550.1 pep chromosome:v.1.0:2:17224347:17228681:-1 gene:fgenesh2_kg.2__1943__AT1G76550.1 transcript:fgenesh2_kg.2__1943__AT1G76550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:D7KTU8] MDSDFGIPRELSPLQQLRSQYHPELPPCLQGTTVRVELGDGTTVAKAGDAHIIARAFPHTLGQPLAHFLRATAKVPDAQIITEHPAKRVGIVFSGRQAPGGHNVVWGLYEALKVHNAKNTLLGFLGGSEGLFAQKTLEITEDVLQTYKNQGGYDMLGRTKDQIRTTEQVNAALKACTDLKLDGLVIIGGVTSNTDAAHLAEFFAEAKCPTKVVGVPVTINGDLKNQFVEANVGFDTTCKVNSQLISNICTDALSAEKYYYFVRLMGRKHSHVALECTLQSHPNMVILGEEVTASKLTIFDIIKQICDAVQARAEQDKNHGVILIPEGLVESIPELYALLKEIHGLLKEGVQADNISTQLSPWSSALFEFLPPFIKKQLLLHPESDDSAQLSQIETEKLLAYLVETEMNKRLKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHVCYHILAAGLNGYMATVTNLKSPVNKWKCGAAPILAMMTVKRWSQNSGSTSIGKPVIHPASVDLKGKAYDLLRQNAQKFLMEDMYRNPGPVQYDGPGADAKAVSLCVEDQDYMGKIKKLQEYLDQVRTIVKPGCSQDVLKAALSVMASVTDVLTTISSSSTSGQQFA >fgenesh2_kg.2__1945__AT1G76570.1 pep chromosome:v.1.0:2:17230892:17232497:1 gene:fgenesh2_kg.2__1945__AT1G76570.1 transcript:fgenesh2_kg.2__1945__AT1G76570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KTV0] MALLFQEKLSSSLSSSYSSFHSLQRIYVPEPPRSRIAVTRSRSICRASWQELAGVLVFSAIPFTAVKAIANSSLGESLRRRLEEKKKEAVENSSRFKAKAQEARNDSKWYGKERPRWFGPIPYDYPPYLTGELPGDYGFDIAGLGKDRLTFDKYFNFEILHARWAMLAALGALIPEVLDLTGAFHFAEPVWWRVGYSKLQGETLDYLGIPGLHVAGSQGVIVIAICQVLLMVGPEYARYCGIEALEPLGIYLPGDINYPGGTLFDPLNLSEDPVAFEDLKVKEIKNGRLAMVAWLGFYAQAAFTGKGPVQNLVDHVSDPLHNNLLAMLQT >fgenesh2_kg.2__1947__AT1G76590.1 pep chromosome:v.1.0:2:17243568:17245077:1 gene:fgenesh2_kg.2__1947__AT1G76590.1 transcript:fgenesh2_kg.2__1947__AT1G76590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAEEDNYNNLSPPPWLIPMLRANYFVPCSIHASSNKSECNMFCLDCNSHAFCSYCLLNHRNHRVVQIRRSSYHNVVRVNEIQKFIDISCVQTYIINSARIVFLNERPQPRIGKGVTNTCEICCRSLLDSFRFCSLGCKLGGMKREPSLTFSLRGKHGREYEGEWESDEATTPTKIRKTSAFNRLMSGLSISTVKCDYLSGDQRSSSSGDESGFNLSPGTPPIYNHRNSSRRKGIPHRAPL >fgenesh2_kg.2__1948__AT1G76600.1 pep chromosome:v.1.0:2:17252461:17253527:1 gene:fgenesh2_kg.2__1948__AT1G76600.1 transcript:fgenesh2_kg.2__1948__AT1G76600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVSVNRNEYDSSPSTAKIVTINGDLREYDVPVLASQVLESESTSSSSSSSSRSSSYFLCNSDSLYYDDFIPAIESDEILQADQIYFVLPISKRQYRLSASDMAALAVKASVAIEKSAGKKNRRRRSSGRISPVVTLNQPNDNRIAAMNNRIGGEATILQKGKLPNRTTPFKDTTGYSRSGSVRKLKRYTSGRAKLAVRSFRLRLSTIYEGSSFN >fgenesh2_kg.2__1949__AT1G76610.1 pep chromosome:v.1.0:2:17258905:17259750:1 gene:fgenesh2_kg.2__1949__AT1G76610.1 transcript:fgenesh2_kg.2__1949__AT1G76610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIMDLGKQKSHLQIIDSPTNVDCAREVGLRRTLRSLIEWVIPYCCTYQPPPSDQNDTVSVSSFTSSSDQSGVVVTGTFFGHRRGHVSFCLQEDTRPSSPPLLLLELAVPTAALAREMEEGFLRIALRSKSNRRSSIFNVPVWSMYCNGKKVGFAVRRETTENDVGFLRLMQSVSVGAGVIPNGETLYLRAKFERVTGSSDLESFHMVNQGGGYGQELSIFLSRS >fgenesh2_kg.2__194__AT1G62880.1 pep chromosome:v.1.0:2:1420585:1422135:-1 gene:fgenesh2_kg.2__194__AT1G62880.1 transcript:fgenesh2_kg.2__194__AT1G62880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon family protein [Source:UniProtKB/TrEMBL;Acc:D7KU59] MGEVWTWIISFLILITLVGLIVYQLISLADLEFDYINPYDSASRINFVVLPESILQGFLCVFYLFTGHWFMSLLCVPYLYYNFHLYSRKQHLIDVTEIFNLLDWEKKKRLFKLAYIILTLFLTIFWLIYSTLDDYED >fgenesh2_kg.2__1950__AT1G76620.1 pep chromosome:v.1.0:2:17265732:17268587:1 gene:fgenesh2_kg.2__1950__AT1G76620.1 transcript:fgenesh2_kg.2__1950__AT1G76620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCAYSSSSSSSSSSSPTSSSSYSPRNRENMVLQSVVDVSPSSFSSDRKSILPIEKTLITHQNSSLCLIPKSSEELKKEIASIEIEILHMERYLLSLYRKSFEQQLPNSYSKLSATTTNFPRSVTTSRTSLTHHYQAYQKPISYPRSFNTSLKALSSREGTRVVSGNHSLGELLGSSHIVDHSNLINPNKLSEDIMRCISSVYCTLSRGSTSTNSTCFPASPVSSLSNASTIFSSKSNYYDDKWSLNCASEDHFLNHFQDQGNVLPCGVVVIEALRVHLDDASFGYAALMLQNFRSLVQNLEKVDPSRMKREEKLAFWINIHNALVMHAYLAYGTHNRARNTSVLKAAYDIGGYRINPFIIQSSILGIRPHYISPSPLLQTLFSPSRKSKACSVRHVYALEYPEALAHFAISSGAFTDPMVVRVYTADRIFRDLRQAKQEYIRSNVRVYKGTKILLPKIFQHYVKDMSMDVSKLMEATAQCLPEDARKIAEKCLKEKKSKNFEWLPENLSFRYVIAGELVGARNKT >fgenesh2_kg.2__1953__AT1G76650.2 pep chromosome:v.1.0:2:17276088:17276858:-1 gene:fgenesh2_kg.2__1953__AT1G76650.2 transcript:fgenesh2_kg.2__1953__AT1G76650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KTV8] KFCRKLSPKRKDSGGKIQQHNSNNGEDKNSDLEAVFDYMDANKDGRISAEELQKSFMTLGEQLSDEEAEAAVRLSDTDGDGMLDFEEFTQLIKADDEEEKKIELKEAFKLYISEGEECITPRSLKMMLKKLGESRTTDDCKVMISAFDLNADGVLSFDEFALMMR >fgenesh2_kg.2__1955__AT1G76660.1 pep chromosome:v.1.0:2:17278239:17280849:-1 gene:fgenesh2_kg.2__1955__AT1G76660.1 transcript:fgenesh2_kg.2__1955__AT1G76660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQHRFPQQEQRKRWGGCLGVFSCLKSQKGGKRIVPASRIPEGGNVSVSQPNGAHQAGVLNNQAAGGINLSLLAPPSSPASFTNSALPSTAQSPNCYLSLAANSPGGPSSSMYATGPYAHETQLVSPPVFSTFTTEPSTAPFTPPPELARLTAPSSPDVPYARFLTSSMDLKNSGKGHYNVSSDLQATYSLYPGSPASALRSPISRASGDGLLSPQNGKCSRSDSGNTFGYDTNGVSTPLQESNFFCPETFAKFYLDHDPSVPQNGGRLSVSKDSDVYPTNGYGNGNQNRQNRSPKQDMEELEAYRASFGFSADEIITTSQYVEITDVMDDSFNTAAYSPSDGQKLLRREANLLSQTSPKSEADLDSQVVEFHSPKASNGYKDHKQRNRIHADEEALLSRVGSVKGSRSYPISSSDAEVEYRRGRSLRESRENRHRIA >fgenesh2_kg.2__1956__AT1G76670.1 pep chromosome:v.1.0:2:17282026:17284242:-1 gene:fgenesh2_kg.2__1956__AT1G76670.1 transcript:fgenesh2_kg.2__1956__AT1G76670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPESEKKSAVSDVGAWAMNVISSVGIIMANKQLMSSSGFGFGFATTLTGFHFAVTALVGMVSNASGLSASKHVPLWELLWFSIVANISIAAMNFSLMLNSVGFYQISKLSMIPVVCVLEWILHSKHYCKEVKASVMVVVIGVGICTVTDVKVNAKGFICACTAVFSTSLQQISIGSLQKKYSVGSFELLSKTAPIQAISLLIFGPFVDYFLSGKFISTYKMTYGAIFCILLSCALAVFCNISQYLCIGRFSATSFQVLGHMKTVCVLTLGWLLFDSEMTFKNIAGMAIAIVGMVIYSWAVDLEKQRNAKLTPHGKNSMTEDEIKLLKEGVEHIDLKDVELGDTKP >fgenesh2_kg.2__1958__AT1G76680.1 pep chromosome:v.1.0:2:17287651:17291483:1 gene:fgenesh2_kg.2__1958__AT1G76680.1 transcript:fgenesh2_kg.2__1958__AT1G76680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase 1 [Source:UniProtKB/TrEMBL;Acc:D7KTW1] MENAEAKQSIPLLSPYKMGRFNLSHRVVLAPLTRQRSYGNVPQPHAAIYYSQRTTPGGFLITEATGVSDTAQGYQDTPGIWTKEHVEAWKPIVDAVHAKGGIFFCQIWHVGRVSNRGFQPNGQAPISCSDKPLMPQIRSNGIDEAMFTPPRRLSIEEIPGIVNDFRLAARNAMEAGFDGVEIHGANGYLIDQFMKDTVNDRTDEYGGSLQNRCKFALDIVDAVAKEIGPDRVGIRLSPFADYMESGDTNPGALGLYMAESLNKYGILYCHVIEARMKTMGEISACPHTLMPMRKAFKGTFISAGGFTREDGNEAVAKGRTDLVAYGRWFLANPDLPKRFQVDAPLNKYDRPTFYTSDPVVGYTDYPFLESTA >fgenesh2_kg.2__195__AT1G62870.1 pep chromosome:v.1.0:2:1432608:1435510:1 gene:fgenesh2_kg.2__195__AT1G62870.1 transcript:fgenesh2_kg.2__195__AT1G62870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTNATTATQQQTQTQSPSQQPPLPSAEELATKALQKRYEGLMMVRTKAVKGKGAWYWSHLEPILLHNTDTGFPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISTISPPPPPPPPQTTSSSHRKRNSSAVEALNHHHPHHHHQGSYNVTPLSVVDPSRFCGQFPVTQQPHLMLSGGKDDLGPLAMLEDSVKKLKSPKTSQTQNLSKAQIDSALDSLSDWVFESCGSVSLSGLEHPKLRAFLTQVGLPIISRRDFVTGRLDLKYEDSRAEAESRIHDAMFFQIASDGWKFENSGENLVNLIVNLPNGTSLYRRAVFVNGAVPSNYAEEVLWETVRGICGNSPQRCVGIVSDRFMSKALRNLESQHQWMVNLSCQFQGFNSLIQDFVKELPLFKSVSQSCSRLVNFVNSTPQFRNAVCKYQLQEQGETRMLHLPLDSSLFEPLYNLLEDVLSSARAIQLVMHDDACKAVLMEDHMAREVGEMVGDVGFWNEVEAVYSLLKLVKEMARRIEEERPLVGQCLPLWDELRSKIKDWYAKFNVVEERHVEKIVERRFKKSYHPAWAAAFILDPLYLIRDSSGKYLPPFKCLSPEQEKDVDKLITRLVSRDEAHIAMMELMKWRTEGLDPVYARAVQMKERDPISGKMRIANPQSSRLVWETYLSEFRSLGRVAVRLIFLHATSCGFKCNSSVLRWVNSHGRSRAAVDRAQKLIFISANSKFERRDFSNEEERDAELLAMANGEDDVLNDVLIDTSSV >fgenesh2_kg.2__1960__AT1G76700.1 pep chromosome:v.1.0:2:17291486:17294475:-1 gene:fgenesh2_kg.2__1960__AT1G76700.1 transcript:fgenesh2_kg.2__1960__AT1G76700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KTW2] MVKETEYYDVLGVSPTATEAEIKKAYYIKARQVHPDKNPNDPQAAHNFQVLGEAYQVLSDSGQRQAYDACGKSGISTDAIIDPAAIFAMLFGSELFEEYIGQLAMASMASLDIFTEGDQFDTKKIQEKMRIVQKEREDKLAQVLKDRLNEYVINRDKFISNAEAEVARLSNAAYGVDMLNTIGYIYVRQAAKELGKKAIYLGVPFIAEWFRNKGHFIKSQLTAATGAYALFQLQEEMKRQLNTEGNYTEEELEEYLQAHKRVMIDSLWKLNVADIEATLCRVCQLVLQDPEAKREELRTRARGLKTLGRIFQRAKTASESDPLANNEPQKLNGNGRDDHDNTSTSPKSNEAFHSTSGPQEPQSPYVEEFKIGDEQFNYYFPRPAPPPGAGKHSSTGYD >fgenesh2_kg.2__1964__AT1G76730.1 pep chromosome:v.1.0:2:17309468:17311650:-1 gene:fgenesh2_kg.2__1964__AT1G76730.1 transcript:fgenesh2_kg.2__1964__AT1G76730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase family protein [Source:UniProtKB/TrEMBL;Acc:D7KTW5] MIRLSPGFAINPCFRSNTFVNSQKSISLSAQIGSRRRNFSRIGSESGDGVAFDAVAYESERLSLDAAAMEDMAETAKKELESDPDNDPKAWKWVIRKKMWDLMEARNYAMSPRPVHHRIPNFVGASAAARKLAELDDFRKAMVVKVNPDSPQKQIRFLTLSGDKKLLTPQPRLRTGFFSVLESDLLKPETIMEACTSVGVAKYGRAIGLDEKIKVDLIVIGSVAVNPQTGARLGKGEGFAELEYGMLRYMGAIDDSTPVVTTVHDCQLVDDIPLEKLAIHDVPVDIICTPTRVIFTNTPIPKPQGIYWDKLSPEKLGQIRILRELKKRLEKNTGRKLPTGPSEKLPPTAERKRR >fgenesh2_kg.2__1965__AT1G76750.1 pep chromosome:v.1.0:2:17317170:17317848:1 gene:fgenesh2_kg.2__1965__AT1G76750.1 transcript:fgenesh2_kg.2__1965__AT1G76750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSSFMTTFNVVTLVLMVVSSSTVTARPLMKPSMGSSSPTSSLVYRLRLDEDTGYCWDSLMQLQHCSGELILFFLNGETYIGPGCCSAIRTIGRKCWPTMIGVLGFTAQEGDMLQGYCDGNDSDNNGEDHALASSPLPLSVDFKPMVVRSSSSSNP >fgenesh2_kg.2__1966__AT1G76760.1 pep chromosome:v.1.0:2:17317823:17319097:-1 gene:fgenesh2_kg.2__1966__AT1G76760.1 transcript:fgenesh2_kg.2__1966__AT1G76760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISLSSSTIPSLNSKESFSFPVRRVRTGDLKFPSLSSTTRCTPRLIEAKKQTFDSFEDLLVNSDKPVLVDYYATWCGPCQFMVPILNEVSATLKDKIQVVKIDTEKYPSIANKYKIEALPTFILFKDGEPCDRFEGALTAKQLIQRIEDSLKVKP >fgenesh2_kg.2__1968__AT1G76790.1 pep chromosome:v.1.0:2:17329548:17331025:-1 gene:fgenesh2_kg.2__1968__AT1G76790.1 transcript:fgenesh2_kg.2__1968__AT1G76790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase family 2 protein [Source:UniProtKB/TrEMBL;Acc:D7KTX1] MGHLIPQTGDEETELGLAAVRLANCAAFPMVFKAAIELGVIDTLYLAARADVTGSSSFLTPSEIATRLPTKPSNPEAPALLDRILRLLASYSMVKCQVIEGKRVYKAEPICRYFLKDNVDEELGTLASQLIVTLDSVFLNTWGELKNVVLEGGVAFGRANGGLKLFDYISKDERLSKLFNRTGFSVGVLKKILQVYRGFEGVNVLVDVGGGVGDTLGFVTSKYPNIKGINFDLTCALTQAPSYPNVEHVAGDMFVDVPKGDAIILKRILHDWTDEDCEKILKNCWKALPENGKVIVMEVVTPDEADNHDVISNIAFDMDLLMLTQLSGGKERSRAEYVAMAANSGFPHCNFVCSAYHLWVIELTKQA >fgenesh2_kg.2__1969__AT1G76800.1 pep chromosome:v.1.0:2:17336762:17337574:1 gene:fgenesh2_kg.2__1969__AT1G76800.1 transcript:fgenesh2_kg.2__1969__AT1G76800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLGSNTNMDIEKESTTFDYSKRSQWLRAAVLGANDGLVSTASLMMGVGAVKHDVKAMILSGFAGMVAGACSMAIGEFVSVYSQYDIEVAQMERDSVEIEKEKLPSPIQAAAASALAFSAGAIVPLLAAAFVKEYKVRIIAVVVAVTVALMVFGWLGAALGKAPAVRSSARVLFGGWLAMAVTFGLTKLIGLYGL >fgenesh2_kg.2__196__AT1G62860.1 pep chromosome:v.1.0:2:1458481:1460286:1 gene:fgenesh2_kg.2__196__AT1G62860.1 transcript:fgenesh2_kg.2__196__AT1G62860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKGCQHDVVAFNTLMNGLCREGRVLEAEALVDRMVENGHQPNEVTYGTIVNGMCKMGDTDSALNLLRKMDESHIKADVVIYTAIIDRLCKDGHHSKAQNLFTEMHDKGIFPNVLTYNCMIDGCCSYGKWTDAEQLLRDMIESNVDPDVVTFNALINAFVKEGKISEAEELYREMLGRNIFPDTITYNSLIDGFCKHSRLDDAKHIFDLMVSKGGSPNVITINTLIGGCCRAKRVDDGIKLLHEMLRRGLVPDSVSYNTLIHGFCQAGDLNAAQDLFQEMISQVRWILILLLITSSSSMECARVIRWTKHGIWSIVSPSMVWKLVSKLTIY >fgenesh2_kg.2__1970__AT1G76810.1 pep chromosome:v.1.0:2:17338391:17343569:-1 gene:fgenesh2_kg.2__1970__AT1G76810.1 transcript:fgenesh2_kg.2__1970__AT1G76810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKPNARGGDAEQLPPASSLVGATKSKKKGAQIDDDEYSIGTELSEESKVEEEKVVVITGKKKGKKGNKKGTQQDDDDDDKASAAGEKDDVPEIAFVGKKKSKGKKGGGGSVSFALLDDEDDKEEAEDNESDGDKDDEPVISFTGKKHASNKGKKGSNSFAASAFDALDEADENTIEDEEIPEITFSGKKKSSKKKGGSVLASLGDDDTVDETKTSDTKSAEVVDTGKSKKKKKNNKSGRTVEEEEDLDKVLAALGVTPAASSTPEEETVQAQPGPVAPVDNAGEKEGEEETVETAAAKKKKKKKEKEKEKKAAAAAAATSSVEAKEEKQEESVTEPLQPKKKDAKGKAVEKKIPKHVREMQEALARRQEAEERKKKEEEEKLRKEEEERRRQEELEAQAEEAKRKRKEKEKEKLLRKKQEGKLLTAKQKSEAQKREAFKNQLLAAGGGLPVADNDGDATSSKRPIYANKKKSARQKGIDTSVQGEDEVEPKENQADEPDTLGEVSLTDTGKVDLIESVNTDENSGPADVAQENGVEEDDEEDEWDAKSWDTVDLNLKGDFDDEEEEAQPVVKKELKDAISKAHDSEPEAEKPTAKPADTGKPIIAAAKATPEVEDATWTKRATRAKDASKKGKGLAPSESIEGEENLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTRELKADAKLKVPGLLVIDTPGHESFTNLRSRGSSLCDLAILVVDIMHGLEPQTIESLNLLRMRNTEFIIALNKVDRLYGWKTCKNAPIVKAMKQQEKDVTNEFKMRLTDIKNQFQKQGLNTELYYKNKEMGETFSIVPTSAISGEGVPDLLLLLVQWAQKSMVEKLTYVDEVQCTVLEVKVIEGHGTTIDVVLVNGELHEGDQIVVCGLQAKLRKSITDSSSNEGVTGEGTYRFPLHMSCYCYSSRNMGTYLHYKEIKAAQGIKITAQGLEHAIAGTALHVVGPDDDIEAIKESAMEDMESVLSRIDKSGEGVYVQASTLGSLEALLEFLKSPAVKIPVSGIGIGPVHKKDIMKAGVMLEKKKEYATILAFDVKVTTEARELADEMGVKIFCADIIYHLFDQFKAYIENIKEEKKKESADEAVFPCVLQILPNCVFNKKDPIVLGVDVVEGILKIGTPICVPGREFIDIGRIASIENNHKPVDYAKKGNKVAIKIVGSNAEEQKMFGRHFDMEDELVSHISRRSIDILKTNYRDELSLEEWKLVVKLKNIFKIQ >fgenesh2_kg.2__1973__AT1G76850.1 pep chromosome:v.1.0:2:17347911:17354581:1 gene:fgenesh2_kg.2__1973__AT1G76850.1 transcript:fgenesh2_kg.2__1973__AT1G76850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSNDLDEDELLQMALKEQAQRDLTYQKPPSSSARKPVANLVQQPRQQKPVAAAAAPPKKSAPAVRKPSMDEDDESEVELLSISSGDDDLEREREIGGSSGGAGRGRGSDVREKGRARKEDDGAWDGGEPDCWKRVNEAELARRVRDMRESRTAPVVQKVEGKAPAPGKKVALTSLQSLPRGMECIDPLKLGIIDNKTLRLITESSGSPSKAEKVDNTLREKLVYFSDHFDPKLFLSRIHQDTTAADLEAGALGLKSDLKGRNLQRKQLVKDNFDCFVSCKTTIDDIESKLKRIEEDPEGSGTTHLFNCMKSVTSRANLAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSIIRSSISKGEYDLAVREYKKAKSIALPSHVNILKRVLEEVEKVMLEFKGTLYKSMEDPKIDFTSLENTVRLLLELEPESDPVWHYLNVQNHRIHGLLEKCTYDHEARVEILRNDTHEKAISDAKWQQIQQNGVSYSDSSSNENNAVQVDLQSVEFPSEEIDTLKGRYIKRLTAVLVHHIPVFWKTAISIFSGKFAKSSQVTDTSANKAEEKVTEARYSTHSLEEVAGMIRKTISVYEAKVNSTFCDFDESCILRPFMSDAINEVSKACQAFEAKESTPHSAVVALRKVQAEITKIYIQRLCSWMRASTEGISKEETWISVSILERNRSPYAISYLPLAFRSVIVSGMEQVNLMILSVKSEAAKSEDMFAQIEEIVISVRLAFLNCFLDFAAHLEQIGADLSQSTSRQDNWKNGYSDDHQEEPSANTYGSVVDPHRRLLMVLSNIGYCKDELASELYNKFKYTWLQSRDKNEDSSDLQDLIMSFSGLGEKVLEHYTFAKANLIRTAATNYLLDSGIQWGSAPQVKGIRDAAVELLHTLVAVHAEVFAGAKPLLDKILGVLIEGLIDTFLSLVEENRSSDLRSIDANGFCQLMFELEYFETVLNPYFTSAATESLKCLQGTVLEIAIESISEAVETPGHNRRPTRGSEDTVSDDKQSVSADDLLALTKQCSNELLQPELERTRVNTACFAESTPLESTPPLPKATYSSFRGSMDSPSRNYRGSQSSGSPINARPRRR >fgenesh2_kg.2__1977__AT1G76900.2 pep chromosome:v.1.0:2:17387836:17390659:1 gene:fgenesh2_kg.2__1977__AT1G76900.2 transcript:fgenesh2_kg.2__1977__AT1G76900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:D7KTY1] MSFRSIVRDVRDSIGSLSRRSFDFKLSSLHKEGGKSRGSVQDSHEEQLVVTIQETPWANLPPELLRDVIKRLEESESVWPARRHVVACASVCRSWRDMCKEIVQSPELSGKITFPVSLKQSGPRDATMQCFIKRDKSNLTYHLYLCLSPALLVENGKFLLSAKRIRRTTYTEYVISMHADTISRSSNTYIGKIRSNFLGTKFVIYDTQPAYNSNTARVVQPVGLSRRFYSKRVSPKVPGGSYKIAQVSYELNVLGTRGPRRMHCAMNSIPASSLAEGGTVPGQPDIIVPRSILDESFRSITSSSSRKITYDYSNDFSSARFSDILGPLGEDEEAVLEEGKERNLPPLVLKNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAANQPQPQPQPQPQPQIQPLTQPQPSGQTDGPDKIILQFGKVGKDMFTMDFRYPLSAFQAFAICLSSFDTKLACE >fgenesh2_kg.2__1981__AT1G76930.1 pep chromosome:v.1.0:2:17399880:17401336:-1 gene:fgenesh2_kg.2__1981__AT1G76930.1 transcript:fgenesh2_kg.2__1981__AT1G76930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTY3] MGSPMASFLVLAFSLAFVSQTTANYFYSSPPPPVKHYSPPPVYKSPPPPVKHYSPPPVYKSPPPPVKHYSPPPVYKSPPPPVKHYSPPPVYKSPPPPVKHYSPPPVYKSPPPPVKYYSPPPVYKSPPPPVKHYSPPPVYKSPPPPVKHYSPPPVYKSPPPPSPPPPVKHYSPPPVYKSPPPPVKHYSPPPVYKSAPPPVKYYSPPPVYKSPPPPVKHYSPPPVYKSPPPPVKHYSPPPVYKSPPPPVHYSPPPVVYHSPPPPVHYSPPPVVYHSPPPPVHYSPPPVVYHSPPPPKKHYEYKSPPPPVHYSPPPVYHSPPPPVYHSPPPPVHHYSPPHQPYLYKSPPPPHY >fgenesh2_kg.2__1982__AT1G76940.1 pep chromosome:v.1.0:2:17407780:17409656:-1 gene:fgenesh2_kg.2__1982__AT1G76940.1 transcript:fgenesh2_kg.2__1982__AT1G76940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KTY4] MADGYWNQQRQQHHLPSGPPKRPRSDFEAPPSTMATGHGGGYYPRDEDLDVPDTRTIGSAYDRYLQSVQTSSLQSGEGGSVSMGRPGGGGGGNGQTVDDFMMRRGGVLPLDYGPNGQAIGFDPPESVGRRNRETLPLPPDASNTLYVEGLPSNCSRREVAHIFRPFVGYREVRLVTKDSKHRNGDPIVLCFVDFTNPACAATALSALQGYRMDENESDSKFLRLQFSRKPGSRPGQRGRR >fgenesh2_kg.2__1983__AT1G76950.1 pep chromosome:v.1.0:2:17412762:17417849:1 gene:fgenesh2_kg.2__1983__AT1G76950.1 transcript:fgenesh2_kg.2__1983__AT1G76950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein [Source:UniProtKB/TrEMBL;Acc:D7KTY5] MADLVTYSNADHNVEQALITLKKGTQLLKYGRKGKPKFYPFRLSTDEKSLIWISSSGEKRLKLASVSKIVPGQRTAVFQRYLRPEKDYLSFSLLYNGKKKSLDLICKDKVEAEIWIGGLKTLISTGQGGRSKIDGWSGGGLSVDASRDLTSSSPSSSSASASRGHSSPGTPFNFDPVASPKSVEPEVPPTDTEKSHVALDNKNMQTKVSGSDGFRVSVSSAQSSSSHGSAADDSDALGDVYIWGEVICDNVVKVGIDKNASYLTTRTDVLVPKPLESNIVLDVHQIACGVRHAAFVTRQGEIFTWGEESGGRLGHGIGKDVFHPRLVESLTATSSVDFVACGEFHTCAVTLAGELYTWGDGTHNVGLLGHGSDISHWIPKRIAGCLEGLHVASVTCGPWHTALITSYGRLFTFGDGTFGVLGHGDRETVQYPREVESLSGLRTIAVSCGVWHTAAVVEIIVTQSNSSSVSSGKLFTWGDGDKNRLGHGDKDPRLKPTCVPALIDYNFHKIACGHSLTVGLTTSGQVFTMGSTVYGQLGNLQTDGKLPCLVEDKLASEFVEEISCGAYHVAALTSRNEVYTWGKGANGRLGHGDLEDRKVPTLVEALKDRHVKYIACGSNYTAAICLHKWVSGAEQSQCSTCRLAFGFTRKRHNCYNCGLVHCHSCSSKKAFRAALAPSAGRLYRVCDSCYVKLSKVSEINDTNRRNSVVPRLSGENKDRLDKSEIRLAKFGTSNMDLIKQLDSKAAKQGKKTDTFSLGRNSQLPSLLQLKDAVQSNIGDMRRATPKLAPAPSGISSRSVSPFSRRSSPPRSATPMPSTSGLYFPVGIADNMKKTNEILNQEIVKLRTQVDSLTQKCELQEVELQNSVKKTQEALALAEEESAKSRAAKEAIKSLIAQLKDVAEKLPPGESLKLACLQNGFDQNGFHFPEENGFHPSRSESMSSSISSVAPFDFAFANASWSNLQSPKQTPRASERNNNACPADPRLSSSGSVISERNEPFQFQNNSDNGSSQTGVNNTNQVEAEWIEQYEPGVYITLVALHDGTRDLRRVRFSRRRFGEHQAETWWSENREKVYEKYNVRVSEKATASQTHRDREEEEEDILQ >fgenesh2_kg.2__1986__AT1G76970.1 pep chromosome:v.1.0:2:17431370:17434180:-1 gene:fgenesh2_kg.2__1986__AT1G76970.1 transcript:fgenesh2_kg.2__1986__AT1G76970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KTZ0] MANDAAACAERATNDMLIGPDWAINIELCDIINMDPSQAKEAVKVLKKRLGSKNSKVQILALYALETLSKNCGENVYQLFIDRDILIDMVKLVKKKPDLNVREKILSLLDTWQEAFGGRGGRYPQYYNAYNDLRSAGIEFPPRTESSLSFFTPPQTQPDDDAAIQASLQGDVASSLSLEEIQSAEGSVDVLMDMLGALDPGNPESLKEEVIVDLVEQCRTYQRRVMTLVNTTTDEELLCQGLALNDNLQRVLQRHDDIAKVSSVPSNGRNTRAPPPVQIANINHDVEDDESDDEFARLAHRPPPPPTMRPVHGSDSGMVDFLSGDVYKPQGSSSQGVKKPPHASSSPVFDDASPQSKSSEVIRNLPPPPSRHNQRQQFFEHHHSSSGSDSSYEGLTRNLALTTSEPKKEEKPEDLLFKDLVEFAKTRSSKPNNRSL >fgenesh2_kg.2__1987__AT1G76980.1 pep chromosome:v.1.0:2:17435386:17436185:-1 gene:fgenesh2_kg.2__1987__AT1G76980.1 transcript:fgenesh2_kg.2__1987__AT1G76980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFDLSSKSFNTHLNFPRAFDDHPDSGVCSPPLWRTSPPKSPPHLHNNYQNLSPVSKAQVIARGQRELMDMVSKMPESCYELSLKDLVEVNTEEEKEGKVFDEMPQRNKRPSKVVRKTKSDKWVDPIRNGGVNNSGFLLKLVFPVSLGAKKKTKKKDDDEEYDSSVTSKKSWISSPRPSISDVSMKREDKDWWKDGLSESRRSQSVVSRINSGSSKSSGGSSSRSNSDRSRNSLRANSQNLFKNP >fgenesh2_kg.2__1989__AT1G76990.4 pep chromosome:v.1.0:2:17446103:17449523:1 gene:fgenesh2_kg.2__1989__AT1G76990.4 transcript:fgenesh2_kg.2__1989__AT1G76990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVYWPYFDPEYENLSTRINPPSVSIDNTSCKECTLVKVDSMNKPGILLEVVQVLTDLDLTITKAYISSDGGWFMDVFHVTDQQGNKVTDSKTIDYIEKVLGPKGHASASQNTWPGKRVGVHSLGDHTSIEIIARDRPGLLSEVSAILADLNINVVAAEAWTHNRRIACVLYVNDNATSRAVDDPERLSAMEEQLNNVLRGCEQEDEKFARTSLSIGSTHVDRRLHQMFFADKDYEAVTKLDDFASRGLEPKITVEHCEEKGYSVINVSCEDRPKLMFDIVCTLTDMQYIVFHATISSSGSHASQEYFIRHKDGCTLDTGEKERVVKCLEAAIHRRVSEGWSLELCAKDRVGLLSEVTRILREHGLSVSRAGVTTVGEQAVNVFYVKDASGNPVDVKTIEALRGEIGHSMMIDFKNKVPSRKGKEEGQAGTGGGWAKTTFFFGNLLEKLLP >fgenesh2_kg.2__198__AT1G62850.3 pep chromosome:v.1.0:2:1460657:1462994:1 gene:fgenesh2_kg.2__198__AT1G62850.3 transcript:fgenesh2_kg.2__198__AT1G62850.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation release factor [Source:UniProtKB/TrEMBL;Acc:D7KU65] MAAIRTMTNMILREFSHHPLLLHSSSKSCQSLLPCLRLTPLIAPIHSNSRFGSIRCVASTSGGSNGDRKVSSRLSQVQQMLHEAEERANSAGNEPTPQITLDHVTLNFARSGGPGGQNVNKLNTKVDMRFNVKNAYWLSDRIREKIILKEKNRINKDGELVISSTKTRTQKGNIDDALEKLQAIINAASYVPPPPSEEQKKKIVKLAEKADNKRLKSKKVLSDKKSSRRSRGSYDD >fgenesh2_kg.2__1993__AT1G77000.1 pep chromosome:v.1.0:2:17454878:17456782:1 gene:fgenesh2_kg.2__1993__AT1G77000.1 transcript:fgenesh2_kg.2__1993__AT1G77000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEGATRKELNLCFEKMKMEGIVISEWKDIPVELLMRILNLVDDRTVIIASCICSGWRDAISLGLTRLSLSWCKKNMNSLVLSLAPKFVKLQTLVLRQDKPQLEDSAVEAIANHCHELQDLDLSKSLKLTDHSLYSLARGCTNLTKLNLSACTSFSDTALAHLTRFCRKLKILNLCGCVEAVSDNTLQAIGENCNQLQSLNLGWCENISDDGVMSLAYGCPDLRTLDLCGCVLITDESVVALANRCIHLRSLGLYYCRNITDRAMYSLAQSGVKNKHEMWRTVKKGKFDEEGLRSLNISQCTYLTPSAVQAVCDTFPALHTCSGRHSLVMSGCLNLQSVHCACILQAHRAHRTHTAFPHPAH >fgenesh2_kg.2__1998__AT1G77060.1 pep chromosome:v.1.0:2:17467408:17469157:-1 gene:fgenesh2_kg.2__1998__AT1G77060.1 transcript:fgenesh2_kg.2__1998__AT1G77060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKTTSLCCSSLNLRASPTFRRNPRAARLMNPTARIQTRIHRLIEEQGIVLMPGCYDALSAAIVQQTGFSAGFISGYALSASLLGKPDFGLLTPPEMAATARSVCASAPNIPIIADADTGGGNALNVQRTVKDLIAAGASGCFLEDQAWPKKCGHMRGKQVIPAEEHAAKIASARDAIGDSEFFLVARTDVRATSAKSGLEDAIARVNLYMEAGADASFVEAPRDDDELKEIGKRTKGYRVCNMIEGGVTPLHTPVELKEMGFHLIVHPLTALYASTRALVDVLKTLKENGSTRDHLQKMATFEEFNSLVDLDSWFELEARYSNLRNALGTTKS >fgenesh2_kg.2__19__AT1G64580.1 pep chromosome:v.1.0:2:109878:113530:1 gene:fgenesh2_kg.2__19__AT1G64580.1 transcript:fgenesh2_kg.2__19__AT1G64580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F1N19.15 [Source:UniProtKB/TrEMBL;Acc:D7KS81] MRRLIVTAKGFLKKAAYPSSGGGASARAYSGYREKLRTGFLHSIRFEDALDLFLEMVQSQPLPSVVDFTRLLTAIANLRRYETVIYFSQQMELFGISHDLYSFTILIHCFCRCSRLSLALSILGKMMKLGYDPSIVTFGSLLHGFCLRNRIHDAFSLVASMVKSGYEPNVVVYNTLIDCLCKNGDVNIALELLNEMEKKGRLAADLVTYNTLLTGLCYSGEWRQAARILRDMTKRRINPDVFTFTALIDAFVKQGNLDEAQELYKQMLQSSIGPNTVTYNSLINGLCMHGRLYHAKKTFDLMASKGCFPNVVTYNTLINGFCKSRRVEDGMKLFQRMYREGLVGDTFTYNTLIHGYCQVGKLRVAKDIFSWMVSCGVTPDIITHCILLHGLCVNGEIGSAMVKFNDMRSGEKYLGIVAYNIMIHGLCKADKVEEAWELFCRLPVEGVKPDARTYTIMILGLCKNGPRREADELFRRMKEDGIICQAEDGHLGEHGTNNQVSLGTIIICPKRRRSIMESGDLYYYYSDTTLWSSLVGLIPIASSSSVKGFVRRHLLLLERGNNPESRSFSGASHHHHHHHHHHYRERLRSELHCIKFDDAFGLFCEMLQSRPIPSIVDFTRVLTAIAKMNKFDIVIYLFHKMENLGISHDLYSFTILIHCFCRCSRFSLALALLGKMMKLGFQPSIVTLGSLLNGFCQGNRFQEAVSLVDSMAELGLEPNVVIYNTVINGLCKNRDLNNALEIFYGMEKKGIVADAVTYNTLISGLCNSGRWTDAARLLRDMVKRKIDPNVIFFTALIDTFVKEGNLLEAKNLYKEMIRRSVHPNILTYNSLINGFCIQGRLGDAKHMFDLMVSKGCFPDVVTYNTLITGFCKSKRVEDGMKLFCEMTHQGLVGDAFTYNTLIHGYCQAGKLNVAQKVFNRMVDCGVPPDIVTYNILLDCLCNNGKIEKALVMVEDLQKNQMDVDIITYNIIIQGMCRNDKVKEAWCLFRSLTRKGVKLDAIAYITMISGLCRNGLRREADKLCTRMKEDGFMPSERIYDETLRDHYTSLSAELIKAVHE >fgenesh2_kg.2__2000__AT1G77080.2 pep chromosome:v.1.0:2:17487227:17490906:1 gene:fgenesh2_kg.2__2000__AT1G77080.2 transcript:fgenesh2_kg.2__2000__AT1G77080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKIEIKRIENKSSRQVTFSKRRNGLIDKARQLSILCESSVAVVVVSASGKLYESSSGDEIEALVKPEITQCFELDLAEKIQNYLPHKELLETVQSKLEEPNVDNVRVDSLISLEEQLETALSVSRARKAELMMEYVKSLKEKEMLLREENQVLASQMGKNTLLAIEDEGGMLPESSSGNKIPETLPLLK >fgenesh2_kg.2__2005__AT1G77122.1 pep chromosome:v.1.0:2:17506782:17508095:-1 gene:fgenesh2_kg.2__2005__AT1G77122.1 transcript:fgenesh2_kg.2__2005__AT1G77122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSICRFRPNQSSRGISVLPAFSISNLFAVNRFRSTTSLHFGFPATPFRRTPNSTFKTHAKKKNKTSTVEQRPNKVEELIFDEEEEEEELVLPEEIQDNQHELLLDDEYDEDDDFEFDESEEELYAGDGGGGGGIKLAGTLWDKEALALAEKVCESFDGDLGIYAFKTLPNSTIQVRIERLTNKFGSPTMEDIEAYSTIYRAKLAEAGLAKSIPDNISLEVSSPGVERVVRIPQDLDRYKDRPMYVRYTNEDTETEGDGIFRLISFDVEAKSCIWGIADTRVNREKAGKGRPLSKKQREWRLETAFESLRLVRLHSEC >fgenesh2_kg.2__2006__AT1G77130.1 pep chromosome:v.1.0:2:17508301:17510704:-1 gene:fgenesh2_kg.2__2006__AT1G77130.1 transcript:fgenesh2_kg.2__2006__AT1G77130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KUG2] MIPSSSPMESRHRLSFSNEKSSRRRFQRIEKGVKFNTLKLVLICIMLGALFTIYRFRYPPLQIAEIPTSFGVTTDPRYVATAEINWNHVSSLVEKHLFGRSEYQGIGLINLHDNEIDRFKEVMKSDCDHVALHLDYAAKNITWESLYPEWIDEVEEFEVPTCPSLPLIQVPGKPRIDLVIAKLPCDKSGKWSRDVARLHLQLAAARVAASSKGLHDVHVILVSDCFPIPNLFTGQELVARQENIWLYKPNLHQLRQKLQLPVGSCELSVPLQAKDNFYSASAKKEAYATILHSAQFYVCGAIAAAQSIRMSGSTRDLVILVDDTISEYHKSGLVAAGWKIHMFQRIRNPNAIPNAYNEWNYSKFRLWQLTEYSKIIFIDADMLILRNIDFLFEFPEISATGNNATLFNSGLMVVEPSNSTFQLLMDNINEVVSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEPEIKKMKTSLFGADPPILYVLHYLGYNKPWLCFRDYDCNWNVDIFQEFASDEAHKTWWRVHDAMPENLHKFCLLRSKQKAQLEWDRRQAEKGNYKDGHWKIKIKDERLKTCFENFCFWESMLWHWGETNATNNSSTTTTSSPPHKTALSSL >fgenesh2_kg.2__2007__AT1G77140.1 pep chromosome:v.1.0:2:17511169:17514969:1 gene:fgenesh2_kg.2__2007__AT1G77140.1 transcript:fgenesh2_kg.2__2007__AT1G77140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVTSVRDYINRMLQDISGMKVLILDSETVSNVSIVYSQSELLQKEVFLVEMIDSISVSKESMSHLKAVYFIRPTSENIQKLRYQLANPRFGEYHLFFSNLLKDTQIHILADSDEHEVVQQVQEYYADFVAGDPYHFTLNMASNHLYMIPAVVDPSGLQRFSDRVVDGIAAVFLALKRRPVIRYQRTSDTAKRIAQETAKLMYQHESALFDFRRTESSPLLLVIDRRDDPVTPLLNQWTYQAMVHELIGLQDNKVDLKAIGSLPKDQQVEVVLSSEQDAFFKSNMYENFGDIGMNIKRMVDDFQQVAKSNQNIQTVEDMARFVDNYPEYKKMQGNVSKHVTLVTEMSKLVEARKLMLVSQTEQDLACNGGQGAAYEAVTDLLNNESVSDIDRLRLVMLYALRYEKENPVQLMQLFNKLASRSPKYKPGLVQFLLKQAGVEKRTGDLFGNRDLLNIARNMARGLKGVENVYTQHQPLLFQTMESITRGRLRDVDYPFVGDHFQQGRPQEVVIFMVGGTTYEESRSVALQNATNSGIRFILGGTAVLNSKRFLKDLEEAQRISRSGSHMV >fgenesh2_kg.2__2009__AT1G77160.1 pep chromosome:v.1.0:2:17517612:17519010:-1 gene:fgenesh2_kg.2__2009__AT1G77160.1 transcript:fgenesh2_kg.2__2009__AT1G77160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGEEDLEKLVLDYIESSPITVSDHLETSPTVLITLEEILRAKGEEEKEMEDKIKSFMKRGRLSYEGDDEKRDVMNKIVSKLRSDGYDASLSKTSWDSSFDLSEGCRVFRCSRKYEYIDVMVKDGRDGDGVSKLKRVIIDLDFKSQFELAKQTQAYKDITEMLPRVFVATEGRLRRVVSLVCGEMKKSMEKEGMSRPPWRTSRYMQSKWLPENRRRVSGCKKGSWSWSVFDDGGEGKRQARSWTVSGGGPKTKCCFPIF >fgenesh2_kg.2__200__AT1G62810.1 pep chromosome:v.1.0:2:1476123:1480667:1 gene:fgenesh2_kg.2__200__AT1G62810.1 transcript:fgenesh2_kg.2__200__AT1G62810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:D7KU68] MAEPSFSRLSLLFFSFLLIFATYSWVLGPDSGFLFGTRVRKTLGSNPKVHVDHSSEKPHHPLDPLTVREISRVRTILSGHDPGFGSGSATIHSMALDEPEKTRVVQWKKGNKLPSRRAAVVAYWGGQTHEITVDLDSGRVVSDVVNRTSGYPILTLNDVFAASQVPLKSLEFNRSIEARGVKFSDLACITPFAGWFGHEEEGRRVIRVQCYTLQGTTNYFMRPLEGLYVTVDLDKLEVIKIVDKGPIPIPKSSGTEYRFGVQNKPVHMDRINPISMEQPDGPSFRVEDGHLVKWANWVFHVKADQRAGMIISQATVRDSETGEPRSVMYKGFPSELFVPYMDPEEGWYYKGYMDAGELGLGPTAMPLVPLNDCPRNAYYIDGVFASSDGTPIVQPNMICLFERYAGDISWRHSEILFTNADIRESRPKVTLVARMATSVGNYDYIFDWEFQTDGLIRVTVAASGMLMVKGTPYDNVDDLGDMEDDSGPLISENVIGVVHDHFITFHLDMDIDGPMNNSLFKVHLEKQRVPTGKSPRKSYLKIKKYIAKTEKDAQIKLSLYDPYEFHIVNPNRKSRIGNLAGYRIIPGGNAASLLDHDDPPQIRGAFTNNQIWVTPYNRSEQYAGGVLIYQSQGDDTLQVWSDRDRSIENKDIVLWYTLGFHHVPCQEDYPVMPTVAASFELKPANFFESNPILGAAPFFEKDLPVCRPLASS >fgenesh2_kg.2__2011__AT1G77170.1 pep chromosome:v.1.0:2:17522859:17524259:-1 gene:fgenesh2_kg.2__2011__AT1G77170.1 transcript:fgenesh2_kg.2__2011__AT1G77170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KUG5] MFFSGLISKLHGTKRTNHLKIFHRLNHFVTISSSSSITSLSPQDRNKLLATLLSNCTSLARVRRIHGDIFRSCILDQYPIAFLWNNIMRSYIRHDSPLDSVQVYLGMVRSNVLPDRYTLPIVIKAAVQIHDFPLGKQLHSVAVRLGFVGDEFCESGFITLYCKAGELENARNVFDENPERKLGSWNAIIGGLNHAGRANEAVEMFMEMRRSGFEPDDFTMVSVTSACGGLGDLNLAFQLHKCVLQAKTEEKSDVMMMNSLIDMYGKCGRMDFAIQVFEEMPQRNVVSWSSMITGYAANGNTLEALECFRQMREFGVRPNKITFVGVLSACVHGGLVEEGKAYFAMMKSEFNLEPGLSHYGCIVDLLSRDGQLKEAKKVVEEMPMKPNVMVWGCLMGGCEKFGDVEMAEWVAPYMVELEPWNDGVYVVLANVYALKGMWKDVERVRKVMKEKKVAKIPAYSYASTTF >fgenesh2_kg.2__2012__AT1G77180.1 pep chromosome:v.1.0:2:17524364:17526855:-1 gene:fgenesh2_kg.2__2012__AT1G77180.1 transcript:fgenesh2_kg.2__2012__AT1G77180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLNDLPAPKSTTTTFYDHSNDPWFKNRVTESETVKSSSIKFKPVPAYLNRQGLRPKNPEDFGDGGAFPEIHLPQYPLGMGRNKSNKPGAKTLPVTVDAQGNVVFDAIVRQNENSKKIVYSQHKDIIPKILKNEGDLDAVVDEEEELQKQIQETAEETKAAIEKIVNVRLSAAQPSNIARQSGDSQYIKYKPSQQSSAFNSGAKERIIRMVEMPVDPLDPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQDVQINDNFAKLSEALYVAEQKAREAVSMRSKVQKEMVMKEKERKEQELRALAQKARSERTGAAVSMPVSSDRGRSESVDPRGDYDNYDQERVRDREREEPKESREEREARIQREKIREERRRERERERRLEAKDAAMGKKSKITRDRDRDISEKVALGMASTGGKGGEVMYDQRLFNQEKGMDSGFATDDQYNVYDKGLFTAQPTLSTLYKPKKDNDEEMYGNADEQLDKIKNTERFKPDKAFTGASERAGSKRERPVEFEKEEEQDPFGLDRWVSDLKKGKKPLDKIGSGGTMRASGGGGSSSRDDDGGSGRTKINFERSRR >fgenesh2_kg.2__2014__AT1G77200.1 pep chromosome:v.1.0:2:17529259:17530002:-1 gene:fgenesh2_kg.2__2014__AT1G77200.1 transcript:fgenesh2_kg.2__2014__AT1G77200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESSITSVKQSSPVPEEEVDHHHHHHQEESHRNNNKKRVRPDPGYRGVRMRTWGKWVSEIREPRKKSRIWLGTFSTPEMAARAHDAAALTIKGTSAVLNFPELATYLPRPASSSPRDVQAAAAVAAAMDFSSSSSSLIVSDPTTVVVPAETHLSSSSYSTSTSSSLSPSSEEAASTAEELSEIVELPSLETSYDESWSEFVYVDSAYPPSSPWYINNCYSFYYHSDENGISMGEPFDSSNFGPLFP >fgenesh2_kg.2__2018__AT1G77240.1 pep chromosome:v.1.0:2:17543274:17544910:-1 gene:fgenesh2_kg.2__2018__AT1G77240.1 transcript:fgenesh2_kg.2__2018__AT1G77240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLPHASNSCPLTVLGFLERAASVFGGSPSLLHTTTVHTWSETHSRCLRIASTLSSSSLGINRGQVVSVIGPNVPSVYELQFAVPMSGAVLNNINPRLDAHALSVLLRHSESKLMFVDHHSRSLVLEAVSFLPKNERPRLIILNDRNDTPSSTSADMDFLDTYEGVMERGDPCFKWVRPKSEWVPMVLNYTSGTTSSPKGVVHSHRSVFMSTINSLLDWSLPNCPVYLWTLPMFHANGWSYTWATAAVGATNICVTRVDAPTIFNLIDKYQVTHMCAAPMVLNMLTNYPGQKPLQSPVKVMTAGAPPPATVISKAKALGFDVGHGYGMTETGGLVVSCAWKPEWDRLEPDARAKLKSRQGIKTAVFAEVDVRDPLSGKGVKHDGATVGEIVFKGGSVMLGYYKDPEGTAASMREDRWFYTGDIGVMHPDGYLEVKDRSKDVVICGGENISSMELEAVLYTNPAIKEAAVVAKPDKMWGETPCAFIREFCKTKLPKYMVPRNVVFLEELPKTSTGKIQKFLLRQIAKSLP >fgenesh2_kg.2__201__AT1G62800.2 pep chromosome:v.1.0:2:1482660:1489888:1 gene:fgenesh2_kg.2__201__AT1G62800.2 transcript:fgenesh2_kg.2__201__AT1G62800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:D7KU69] MNSILSSVLPAPKDPVLSVIFACRDDPSPVKLNLSAGTYRTEEGKPLVLDVVRRAEQQLANDLSRDKEYLPLNGLPEFNKLSTKLILGDDSPAVKENRVVTIQCLSGTGSLRVGAEFLATHNKERVIFVPDPTWGNHPRIFALAGLSVEYFRYYDPKSRGLDFKGMLEDLGAAPPGAIVVLQACGHNPTGVDPTFEQWEQIRRLVRSKCLLPFFDSAYQGFASGSLDSDAQAVRMFVADGGECLIAQSYAKNMGLYGERIGALTIVCTSEDVAKKVEDQVLLVVRPMYLTPPIHGASIVATILKNSDMYNDWTIELKGMADRIISMRQQLYEAIQARGTPGDWSHIIKHIGMFTFTGLSEEQVHLIAKEYHIYMTYDGRISMASLSSKTVPQLADAIHAVVTRIA >fgenesh2_kg.2__2021__AT1G77280.1 pep chromosome:v.1.0:2:17564948:17569349:-1 gene:fgenesh2_kg.2__2021__AT1G77280.1 transcript:fgenesh2_kg.2__2021__AT1G77280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KUH5] MEGRVLSHGHEVDADACLSDLVDVSFLATKTAEMIETGGDKRSSVEEGGGGGRTILVGVKLDAPSRELLTWALVKVAEPGDTVIALHILGNEVVDRAGNSSLLSLVKTFDSVLDVYEGFCNLKQVDLKLKLCRGSSARKIIVREAKSFSATKVLVGISKSHHTIRSSASVAKYIAKKLSKDCWVIAVNNGKILFQKEGSPLSSINQSQVVEETVNWVWFIGKEDVRRITLLNVLQRSVTLNKTTKVVSHSEEDSKEDDEDQSGGQNLRQVLAAARLENCSVCGSDSLSPNNTTTPGKLSGASDFDRSEDDDECHKAMEIVPVNGPEDSGGSITMLVRKLPESRPGWPLLRRAVSTLGQSVTPHRPSSKQMPVVQWALKLPPRDTKQLGYDYSEDNLSSLNAIVPFGINNSPRKLPEELKGLYERFSSTCRFFKYKELVSVTSNFSSDNFIGKGGSSRVFRGCLSNGRVVAVKILKQTEDVLNDFVAEIEIITTLHHKNIISLLGFCFEDHNLLLVYNYLSRGSLEENLHGNKKDPLAFCWSERYKVAVGVAEALDYLHNTASQPVIHRDVKSSNILLSDDFEPQLSDFGLARWASISTTHIICSDVAGTFGYLAPEYFMYGKVNDKIDVYAFGVVLLELLSGRKPISSGCPKGQESLVMWAKPILDDGKYSQLLDPTLRDNNNNNDDQMQRMALAATLCIRRSPQARPKMSIVLKLLKGDEETLEWAMQQVSSSSEESEMLKDEECQRSNLQSHLNLALHDVEDDSISMGSIEQGVSVEDYLKGRTSRSSSFH >fgenesh2_kg.2__2022__AT1G77290.1 pep chromosome:v.1.0:2:17573737:17575134:1 gene:fgenesh2_kg.2__2022__AT1G77290.1 transcript:fgenesh2_kg.2__2022__AT1G77290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYHHPYSLDSQRVRLALEEKGIDYTSYHVNPITGKHMDPSFFRMNPNAKLPVFRNGSHIILDTIEIIEYLERIAEVSSGIEDATFGREVLEWMRKIREWDSKLFTLAHIPDNRRLYVSKFLRMVVIARMAESPDLASAYHRKLREAYDTEDKLKDPGALRRSKDHLLRLLDEVETKLEGTTYLAGNEFSMADVMLIPVLARLSLLDLEEEYISSRKNLGEYWAVVRRRPCYKRVIGRYFNGWRKYATLVKTWMFVRVRSLLRKY >fgenesh2_kg.2__2024__AT1G77300.1 pep chromosome:v.1.0:2:17575310:17584392:-1 gene:fgenesh2_kg.2__2024__AT1G77300.1 transcript:fgenesh2_kg.2__2024__AT1G77300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKENGIRNASACDIDANSLASNLAMDINEDFYEKLSSCGHNIDSVSSLETPQVASVGKHTIEGQGKCFIEIEQMGDGNSNSEEDAGNTDDGLYVCYNADDTRKQGVVSGGLEQTHEVICDADLLVNCNKLDDGKESQDTNLSLVSIVSGSMQEKGAPQAKEDEDCGGTTLPIGGNGIDNESTFVNDAPEQFKSLETTKQRKPDEVDSGGISYTFDDGGKEGKNEPSSDQDNGSSDDISLIQSFSFPDSLLDSSVFGCSATENYLEDASAIEGNVPIVVSPSLAITEMLNNHDGGLCSYDLNDITVTETINPELKLVHEDELDTDLSEKNEKMLKNLVGDSSSESAVAALSMNNGMAADLRAENFSQISPIDDKSFCMEANSPITDSSLIWNFPLNFGNGGNEVCNPDNAVEPLRIIDDNGRIGGEVASASGTNFCETGLSSSRRKTRDGKQCKVAQTKMSARHPRKSTRKKQSERNLESIFKCSKQKRSSLLKTSRSFEWGLPSKTTEIFLQSKNIPYDGPPHHEPQRPQGNLNNGQHNRSSHNGYVEGANRNIQASSGSCLRLKVKFGKSGGQNPLNITVSKVSGNSLPANGIVKAGTCLELLGSANFAEDKPQTVETREDLVEKSNPVEKVSYLQSSDSMRDKKNNQDAAGLCRKLGGDVLDDDPHLSSIIMVEECERATGTRSLDAETSPDSEVINSVPESIVNIEHKEGLHHGFFTTPEDVVKKNRVVEKEDELLASKSPLENGSHLIPSAKKGKHSKSKSNGTKKGKSKISESAKDGRKNESHEGVEQPKSLNTSIGRDDSDYLEVGRIESHKTTGALLDADIGKTSATYGTISSDVTNVETVVDFTIEDSYSTESAWVRCDDCFKWRRIPASVVGSIDESSRWICLNNSDKKFADCSKSQEMSNEEINEELGIGQDEADAYDCDAAKRGKEKEQKSKRLTGKQKACFKAIKTNQFLHRNRKSQTIDEIMVCHCKPPPDGRLGCGEECLNRMLNIECLQGTCPAGDLCSNQQFQKRKYVKFERFQSGKKGYGLRLLEDVREGQFLIEYVGEVLDMQSYDTRQKEYACKGQKHFYFMTLNGNEVIDAGAKGNLGRFINHSCEPNCRTEKWMVNGEICVGIFSMKDLKKGQELTFDYNYVRVFGAAAKKCYCGSSHCRGYIGGDPLNGDVIIQSDSDEEYPELVILDDDESGEGILDATSRTFIDDADEQMPQNSETVNGSKDLAPDNAQSQSSVSVKLPEREIPPPLLQPTEVLKELPSGIAVSAVQQEVPVEKKTKSTSPTSSSLSRLSSGGANTDMTTKHGSGEDKKILPRPRPRPRMKTSRLSVSSKRDKGGILSGVNKAQIIPVNKLQQQPIKSKGSEEVSSSGRIETFEGKLNELLDAVGGISKRRDSAKGYLKLLLLTAASRGTEGGTYCSNRDLSMILDALLKTKSKSVLVDVINKNGIRVSCYKGNPCTGAYNQKTSLRRDGKVHRIARSFRDRWIPKHFRKPWRIDREERSESMRSPINSRFRASQEPRYEHKSPRPAEPAASVTPSMAATPETASVSEGYSEPNSSLPETNGRKRKSRWDQPSKTKEQRTMTISSQQTDETNGNQDVQDDLPPGFSSPCTDVPDAITAQPQQKFLSCLPVSYGIPLSIVHQFGSPGKEDPTTWSVAPGMPFYPFPPLPPVSHGEFFAKRNVIACSSSIRNPTYSNEILPATPVTDSTAPNRKRESSFDIGTTYFRQQKQNVPPWLRNNGWEKTVNSPIPGNLTLEKKLNS >fgenesh2_kg.2__2026__AT1G77310.1 pep chromosome:v.1.0:2:17587372:17592432:1 gene:fgenesh2_kg.2__2026__AT1G77310.1 transcript:fgenesh2_kg.2__2026__AT1G77310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEPKLPTDDGPTFGESCKISSAILTAGDRKLLKVELLQEETTHVSWKKLMDEACKENGLFASAPERPPNANPNLDFRLAPGAQTENEMVNQPHPNRLNSVIAKIERLYMGKDGSDGEELDGAPDDDDYDTEDSFIDDAELDEYFEVDNSPIKHDGFFVNRGKLERIEPSATSNQQQPKKRRRKESAKPCGDVVDVSRKQAKMAKTAGGKDQSAAPGPSSKKISNDSKTVQDSFSPLKAQNGNDSLVLENVKHTDKANHQPMNATSPKSKAAGSSFPLHLKCTSKNEQSNSLPGISRPNDSAKSAVVRQQVNNGIPDLDIATESKKSVQISKKGDSNGRPKSSTLEKAIRELEKVVAESRPPAVTENQDADISSQAVKRGLPGDVKLNLAKVARIAHASQGDISGELINRLMSIVGHLIQIRSLKVKALPFQKELTRYVFISEGVQALTETSQEAGTSDDFQDVGSLGKPPVKKFVMDVALEEKLCDLYDVFVEGMDEHSGPQIRKLYSDLAELWPNRLVDNHEIRRAICREKERRRALKGNLGKEMDQTKIAKKKETQLVPKSEGTTYPDKASGVGVKASVVLSATTTSLVDCEAAADSSCERSKQQNEKLKGTSSLSNPAAEGKTVRRKTEPAVEETQLPAEKPLVLALKQQTHPQSKAHKQAQVHPQSKAHKQAQVHEQAKAQTQTPPDLKLPS >fgenesh2_kg.2__2028__AT1G77330.1 pep chromosome:v.1.0:2:17599328:17600867:-1 gene:fgenesh2_kg.2__2028__AT1G77330.1 transcript:fgenesh2_kg.2__2028__AT1G77330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLNGEEREKTLSEIARACEEWGFFQLVNHGIPLELLNKVKKLSSDCYKTEREEAFKTSNPVKLLNELVQKNSGEKLENVDWEDVFTLLDHNQNEWPSNTKETMGEYREEVRKLASKMMEVMDENLGLPKGYIKKAFNEGMEDGEETAFFGTKVSHYPPCPHPELVNGLRAHTDAGGVVLLFQDDEYDGLQVLKDGEWIDVQPLPNAIVINTGDQIEVLSNGRYKSAWHRVLAREEGNRRSIASFYNPSYKAAIGPAAVAEKEGSEKKYPKFVFGDYMDVYANQKFMPKEPRFLAVKSL >fgenesh2_kg.2__2029__AT1G77340.1 pep chromosome:v.1.0:2:17606841:17609154:-1 gene:fgenesh2_kg.2__2029__AT1G77340.1 transcript:fgenesh2_kg.2__2029__AT1G77340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KUI2] SDPSNLPCRLLSIVKLAEQSISKIMSLLCFHSILILRQLSLSPIGSLGYQNSSTTHGIPHEMPKITLLIIQREKLCLFFEIKYMLSPKCYNTLLSSLSRFGLVDEMKRHYTEMLDDLVSPDIYTFNTLVNGYCMLGYVVEAKQYVSRLIQAGLTPDYFTYIYFFYYGFLRRCLRTVAIEMRFPTLNLYMVFIYDNCCPNVRTYTVLIDALCGSGKKSEALNLFKQMSESGIKPDVYMYTVLIQSFCSGDTLDEASGLLDHMFENGLMPNFITYNALIKGFCKKNVHKAMGLLSKMLEQNLVPDLITYNTLIAGQCSSGGITVSEKICNGLLRCYYKLQKYEEAAKEKKRAATRFSKNCFDVVIAMMKSLGKSLSTVCLNRAL >fgenesh2_kg.2__2030__AT1G77360.1 pep chromosome:v.1.0:2:17611248:17612696:-1 gene:fgenesh2_kg.2__2030__AT1G77360.1 transcript:fgenesh2_kg.2__2030__AT1G77360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KUI4] MTMIRILNRQSRLCSKSFLSARLYSSSEQVRDVADVAKNISKVLMSSPQLVIDSALDQSGLRVSPEVVEDVLYRFRNAGLLAYRFFQWSEKQRHYEHSVRAYHMMIESTAKIRQYKLMWDLINAMMKKKMLNVETFCIVMRKYARAQKVDEAIYAFNVMEKYDLPPNLVAFNGLLSALCKSKNVRKAQEIFENMRDRFTPDSKTYSILLEGWGKEPNLPKAREVFREMVDAGSLPDIVTYSIMVDILCKAGRVDEALGIVRSMDPSICKPTTFIYSVLVHTYGTETRLEEAVDTFLEMERSGMKADVAVFNSLIGAFCKTNRMKNVYRVLKEMKSKGVTPNSKSCNIILRHLIDRGEKDEAFDVFRKMIKVCEPDADTYTMMIKMFCEKKEMETADKVWKYMRKKGVFPSMHTFSVLINGLCEEPNTQKACVLLEEMIEMGIRPSGATFGRLRQLLLKEDREDVLKFLNEKMNVLVNEPLCD >fgenesh2_kg.2__2032__AT1G77380.1 pep chromosome:v.1.0:2:17614137:17616637:-1 gene:fgenesh2_kg.2__2032__AT1G77380.1 transcript:fgenesh2_kg.2__2032__AT1G77380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLHQTVLAVDMPQTGGSKYLDDDGKVKRTGSVWTASAHIITAVIGSGVLSLAWATAQLGWLAGPVVMLLFSAVTYFTSSLLAACYRSGNPISGKRNYTYMDAVRSNLGGVKVTLCGIVQYLNIFGVAIGYTIASAISMMAIKRSNCFHKSGGKDPCHMNSNPYMIAFGLVQILFSQIPDFDQLWWLSILAAVMSFTYSSAGLALGIAQVVVNGKVKGSLTGISIGAVTETQKIWRTFQALGDIAFAYSYSIILIEIQDTVKSPPSEEKTMKKPTLVSVSVTTMFYMLCGCMGYAAFGDLSPGNLLTGFGFYNPYWLLDIANAAIVIHLIGAYQVYCQPLFAFIEKQASIRFPDSEFIARDIKIPIPGFKHLHLNVFRLIWRTVFVIITTVISMLLPFFNDVVGLLGALGFWPLTVYFPVEMYIAQKKIPRWSTRWVCLQVFSSGCLVISIAAAAGSIAGVLLDLKSYKPFRSEY >fgenesh2_kg.2__2033__AT1G77390.1 pep chromosome:v.1.0:2:17622411:17624766:-1 gene:fgenesh2_kg.2__2033__AT1G77390.1 transcript:fgenesh2_kg.2__2033__AT1G77390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCA1_2 [Source:UniProtKB/TrEMBL;Acc:D7KUI7] MSSSSSSKNLSQENPIPRPNLAKTRTSLRDVGNRRVPLGDITNQKTGSRNSSSSSTLVHCSNKISQSKKASKPALSRNWNLGILDCGLPPKSNANSNIIVPYEDTELPQIDDSLLSSSPGLSVDASPTHSDPSISTHDSLKSHIVEHMVESSTDDGNDDDEIVNIDSDLMDPQLCASFAFDIYEHLRASEVKKRPALDYMERIQLNINASMRSILIDWLVEVAEEYRLSPETLYLAVNYVDRYLTGNAINKQNLQLLGVACMMIAAKYEEVCVPQVEDFCYITDNTYLRNELLEMESSVLNYLKFELTTPTAKCFLRRFLRAAQGRKEVPSLLSECLACYLTELSLLDYAMLRYAPSLVAASAVFLAQYILHPSRKPWNATLEHYTSYRAKHMEACVKNLLQLCNEKPSSDVVAIRKKYSQHKYKFAAKKLCPTSLPQELFLC >fgenesh2_kg.2__2034__AT1G77400.1 pep chromosome:v.1.0:2:17626432:17627459:1 gene:fgenesh2_kg.2__2034__AT1G77400.1 transcript:fgenesh2_kg.2__2034__AT1G77400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KUI8] MAIVHVDDSFKRPGTIPFSWEIRPGVPKTRMSQPGNTTPLQPPKKLSPLRLKPLSHSQPLLPPALSPPSSSFISNSKSRPLSPLTPHSFSTTPSKLKPPPTPSSFAGFYSPGPSFRSSPRAFSERWQLHRPNRIRPGSEPEPSSDFSVAGFGCFPSPRFRLRKNKSGGSRRKTGSRSENDYYCSDMETMSPWTVSSRRSVSPRWESPKSSFSSLRFSPRIANEA >fgenesh2_kg.2__2036__AT1G77410.1 pep chromosome:v.1.0:2:17629418:17633956:-1 gene:fgenesh2_kg.2__2036__AT1G77410.1 transcript:fgenesh2_kg.2__2036__AT1G77410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:D7KUJ0] MTTFQYSLAFFVLMAVIVARDAANVTYDGRSLIIDGQHKILFSGSIHYTRSTPQMWPSLIAKAKSGGIDVIDTYVFWNIHEPQQGQFDFSGRRDIVKFIKEVKAHGLYVCLRIGPFIQGEWSYGGLPFWLHNVQGIVFRTDNEPFKYHMKRYAQMIVKLMKSENLYASQGGPIILSQIENEYGMVARAFRQDGKSYVKWAAKLAVELDTGVPWVMCKQDDAPDPLVNACNGRQCGETFKGPNSPNKPAIWTENWTSFYQTYGEEPLIRSAEDIAFHVALFIAKNGSFVNYYMYHGGTNFGRNASQFVITSYYDQAPLDEYGLLRQPKWGHLKELHAAVKLCEEPLLSGLQTTISLGKLQTAFVFGKKANLCAALLVNQDKCDCTVQFRNSSYRLSPKSISVLPDCKNVAFNTAKVNAQYNTRTRKPRQNLSSPHMWEKFTETVPSFSETSIRSESLLEHMNTTQDTSDYLWQTTRFEQSEGAPSVLKVNHLGHVLHAFVNERFIGSMHGTFKAHSFLLEKNMSLNNGTNNMALLSVMVGLPNSGAHLERRVVGSRSVNIWNGSYQLFFNNYSWGYQVGLKGEKYHVYTEDGAKKVQWKQYRDSKSQPLTWYKASFDTPEGEDPVALNLGSMGKGEAWVNGQSIGRYWVSFYTSKGNPSQIWYHIPRSFLKPNSNLLVILEEEREGYPLGITIDTVSVTEVCGHVSNTHPHPVISPRKKGHNRNEQRHLKYRYDRKPKVQLQCPTGRKISKVLFATFGNPNGSCGSYSVGSCHSPNSLAVVQKACLRKSRCSVPVWSKTFGGDLCPQTVKSLLVRAQCS >fgenesh2_kg.2__2037__AT1G77420.1 pep chromosome:v.1.0:2:17634841:17637067:1 gene:fgenesh2_kg.2__2037__AT1G77420.1 transcript:fgenesh2_kg.2__2037__AT1G77420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRALTSRFDTLSPFRYRHRSSLTITRRFAPAIVCAKRSPIDGVSDELNLIASQNFDQAPARRRARSAFVDLQLQLDHCLFKKAPIGIRTEEWYERNSKGEQIFCKSWLPKSGEQIKAAVCFCHGYGSTCTFFFDGIAKQIAGFGYGVYAIDHPGFGLSDGLHGHIPSFDDLAENAIEQFTKMKGRPELRNLPRFLLGQSMGGAVALKIHLKEPQAWDGLILAAPMCKISEDVKPPPLVLKALILMSTLFPKAKLFPKRDLSDFFFRDPSKRKLCEYDVICYDDQTRLKTAVELLNATRDIEMQVDKVSLPLLILHGDADKVTDPTVSKFLHEHAISQDKTLKLYPGGYHCILEGDTDENIFTVINDIVAWLDARVAPK >fgenesh2_kg.2__2040__AT1G77460.1 pep chromosome:v.1.0:2:17648594:17656881:1 gene:fgenesh2_kg.2__2040__AT1G77460.1 transcript:fgenesh2_kg.2__2040__AT1G77460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KUJ4] MLKAFLPGTQEETSSSLQSGKVHAKMEMDDPEKAMATVAQLIEQLHAKTSSPQDKELTTARLLGIAKGKREARRLIGSYGQAMPLFISMLRNGTSMAKVNVASILCVLCKDNDLRLKVLLGGCIPPLLSVLKSGTIETRKAAAEAICEVSSAGISNDHIGMKIFITEGVVPTLWDQLSLKGNQEKVVEGYVTGALRNLCGVDDSYWRLTLEGSGVDIVVSLLSSDNPHSQANAASLLARLVLSFCDSIQKILNSGVVKSLIQLLEQKNDINVRASAADALEALSSKSDEAKKCVKEAGGVHALIEAIVAPSKECMQGKHGQSLQEHATGALANVFGGMRHLIIYLGEVSQSPRLTEPIGDVIGALAYALMIFKQPESSEKIFYPSVTESILVKLLKPRDTKLIQERILEAMASLYGNSSLSCYLDDAEAKRVLIALITMASADVRERLIICLSGLCHDKVGIWEAIGKREGIQLFISFLGLSSEQHQEYAVEMLKILTAQVDDSKWAVTAAGGIPPLVQLLETGSQKAKEDAACILWNLCCHSEEIRDCVERAGGIPAFLWLLKTGGPNSQDTSAKTLVKLVHTADPATVNQLLALLLGDDPTSKIHVIKVLGHVLSKASQEDLVHRGCAANKGLRSLVESLTSSREETKEHTASVLADLFSSRQDICGHLATDDIINPWIKLLTNNTQNVAKQVARALDALSRPVKKNNNKKKSYIAEGDIKSLIKLAKNSSIESAENAVSALANLLSDPDIAAEALAEDVVSAFTRILADGSPEGKRNASRALHQLLKNFPVCDVLKGSAQCRFAILSLVDSLKSIDVDSPDAFNILEVVALLAKTKSGVNFSYPPWTALAEVPSSLETLVQCLTEGHTLVQDKAIEVLSRLCSDQQFLLSELIVSRPKSMGVLADRIVNASSLEVRVGGTALLLCAAKEKKQLITETLDQSGFSKLLLHALVDMIKHNSTCFSLETEVQTPKGFLEKKVFQDTGSFYFPDPAKILGGTVALWLLCILTSVDAKSKVIVMEAGGLEVLLGKLARYTSSPQAEFEDSEGIWISALLLAIMFQDDNVSFSSTTMRIIPTLAVLLGSDELVDRYFAAHAMASLVCTRNRGIDLTIANSGAVSGIINLLGYVESDILNLVALANEFSLVKEPDQVILQHLFEIEDVRLGSTARKSIPLLVDLLRPIPDRPGAPQFAVQILIRIADGSDTNKLLMAEAGAVEALTKYLSLSPQDSTEYAISELLRVLFSNHELRQNEMALSSLNQLIAVLRLGSRSARYSAAGALNELFEAENIRNSEIACQAVQPLMDIFGTVSESEQEVALSALIKLSSGNTSNTALLIDVEGSLLENVIKILSSASASEELKINAARLCSVVFLNKNIRTSPSASGCMKPLITLMQSERSAAVEAAICAIKILLDDEQHLELAAAHNIQELLVGLVSGRNYVIIEASLSALIKLGKDRVPRKLDMVEAGIIERCLELLPGASSSLCSAVAELLRILTNSGVIARRPDVAKTVEPLFAVLLRSDLTLWGQHSALQALVNILEKQQSLEAFSFTPSEAIVPLISFLESSSQAIQQLGAELLSHFLTMEDFQQDITTQSAVVPLVRLAGIGILSLQETAIKALEKISASWPKAVLDAGGIFELSKVILQEDPQPPLDLWESAAFVLSNILQYDAECFFRVELPVLVKLLFSTIEGTVLLALKALMLHEKNDASSTVQMAELGAIDALLDLLRSHQCEEESGSLLEVIFNNPRVRELKLCKYAIAPLSQYLLDPQTRSEPGRLLAALALGDLSQHEGLSRSSGSVSACRALISVLEEQPTEEMKVVAICALQNFVMNSRTNRRAVAEAGGVLLIQELLLSCNAEVSGQAALMVKFLFSNHTLQEYVSNELIRSLTAALERGLWSTATINIEVLRTLNVIFSNFPKLRASEAATFCIPHLVGALKSGVEDVQGLVLDILYLLRHSWTNMSLDVAKSQAMIAAEAIPVLQMLMKTCPPRFHDKADSLLHCLPGCLTVNVMRANNLKQSMATTNAFCQLTIGNCPPRQTKVVSNSTTPEWKEGFTWAFDVPPKGQKLHIICKSKSTFGKTTLGRVTIQIDKVVTEGEYSGSLSLNHENSKDTSSRSLDIEIAWSNRTTDETH >fgenesh2_kg.2__2041__AT1G77470.1 pep chromosome:v.1.0:2:17656910:17659532:-1 gene:fgenesh2_kg.2__2041__AT1G77470.1 transcript:fgenesh2_kg.2__2041__AT1G77470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEITSAMDIDVDEIQPRKPINKGKDVAGFGAPPQSKATPWVEKYRPQSLDDVAAHRDIIDTIDRLTNENKLPHLLLYGPPGTGKTSTILAVARKLYGPKYRNMILELNASDDRGIDVVRQQIQDFASTQSFSLGKSSVKLVLLDEADAMTKDAQFALRRVIEKYTKSTRFALIGNHVNKIIPALQSRCTRFRFAPLDPLHVSQRLKHVIEAEGLGVSDCGLAALVRLSNGDMRKALNILQSTHMASKEITEKESKKITEEEVYLCTGNPLPKDIEQISHWLLNKPFDECYKNISEIKTRKGLAIVDIVREVTMFVLKIKMPSHVSVQLINDLADIEYRLSFGCNDKLQLGAIISTFTHARSIIVDAAK >fgenesh2_kg.2__2042__AT1G77480.1 pep chromosome:v.1.0:2:17659750:17662399:-1 gene:fgenesh2_kg.2__2042__AT1G77480.1 transcript:fgenesh2_kg.2__2042__AT1G77480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKQEARNLHFFFLVFVFYVFLLCARFQPSDATTKDSSAQQVKLQNRRLGSSVVFPVSGNVYPLGYYYVLLNIGNPPKLFDLDIDTGSDLTWVQCDAPCNGCTKPRAKQYKPNHNTLPCSHLLCSGLDLTQNRPCDDPEDQCDYEIGYSDHASSIGALVTDEFPLKLANGSIMNPHLTFGCGYDQQNPGPHPPPPTAGILGLGRGKVGISTQLKSLGITKNVIVHCLSHTGKGFLSIGDELVPSSGVTWTSLATNSASKNYMTGPAELLFNDKTTGVKGINVVFDSGSSYTYFNAEAYQAILDLIRKDLNGKPLTDTKDDKSLPVCWKGKKPLKSLDEVKKYFKTITLRFGYQKNGQLFQVPPESYLIITEKGNVCLGILNGTEVGLDSYNIVGDISFQGIMVIYDNEKQRIGWISSDCDKIPNVNDYYEGDLSEEAYPRGFGLIKELFSGSESSKNKNLVSDGEL >fgenesh2_kg.2__2044__AT1G77490.1 pep chromosome:v.1.0:2:17662603:17665223:1 gene:fgenesh2_kg.2__2044__AT1G77490.1 transcript:fgenesh2_kg.2__2044__AT1G77490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSAASHLLCSSTRVSLFPAVTSSSSPVVALSSSASPHSLSSLRSVASSSLFPCTTSFVLQRKHPINGTSTRMVSPKCAASDAAQLRSAKEDIKVLLRTKFCHPILVRLGWHDAGTYNKNIEEWPQRGGANGSLRFEAELKHAANAGLLNALKLIQPIKDKYPNISYADLFQLASATAVEEAGGPEIPMKYGRVDVVAPEQCPEEGRLPDAGPPSPADHLRDVFYRMGLDDKEIVALSGAHTLGRARPDRSGWGKPETKYTKTGPGEAGGQSWTVKWLKFDNSYFKDIKEKRDEDLLVLPTDAVLFEDPSFKNYAEKYAEDPAAFFKDYAEAHAKLSNLGAKFDPPEGIIIDNAPEKFVAAKYSTGKKELSDSMKKKIRAEYEAIGGSPDKPLPTNYFLNIIIAIGVLVLLSTLFGGNNNSDFSGF >fgenesh2_kg.2__2045__AT1G77500.1 pep chromosome:v.1.0:2:17666881:17670681:1 gene:fgenesh2_kg.2__2045__AT1G77500.1 transcript:fgenesh2_kg.2__2045__AT1G77500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKVDNQPIVILCRERRELIKAASYNRSALAVAHLTYFQSLSDVGEAIQRFVDEEVAAGFSSSSSPDSPVLTLPSDEGKPKKHKRISPSSTTSISHSVIEEEDTDDSHLHLSSGSESEPESEAGSKNHIQITTSSPEPERSTETFPSGYPTNYAPPVYPPGYPLTYPPGYSQGYPSGYPPGYPNFSGENPYANRGMYFMRKSAPQSRPFIFQPENHRVENAQWSSDSGFGNTGVQRRSPSPLPPPSPPTVSTWDFLNVFDTYDYSNARSRASGYYPMGMASISSSPDSKEVREREGIPELEEVTEQEQVYRRPKRTGLEKVKEHRDEHKHNVFPERNINKREETLREVPMPEQVTESSLDLETISSFSGSDVESEFHYVNGGEGKSSISSISHGTGTKSSREVEEQYGRKKGVSFELEETTSTSSFDVESSKISSLSSLSIHATRDLREVVKEIKSEFEVASSCGKEVALLLEVGKLPYQHKNNGVKVILSRIMYLVAPSTRSSHSQPRLSIRLTSRTRKMAKAYNGQDVNGGFNGNLSSTLEKLYAWEKKLYKEVKDEEKLRAIYEEKCRRLKKMDSHGAESIKIDATRAAIRKLLTKIDVCIRSVDSISSRIHKLRDEELQPQLTQLIHGLIRMWRSMLRCHQKQFQAIMESKVRSLKANTTLQKDSGSTAILDLEIELREWCSSFNDWVNSQKSYVQFLSGWLSKCLHYEPEATDDGIAPFSPSQIGAPPIFIICKDWQEAMCRISGENVTNAMQGFASSLHELWEKQEEEQRVRAQFEQRDAESERSVVSKGRSESGISALDDLKVDLDSMRKKLVEERGKRKETIKLVNNTSSSSLKAGLVPIFGALRKFTSEVVKAHEIVRLQHPQTSSA >fgenesh2_kg.2__2046__AT1G77510.1 pep chromosome:v.1.0:2:17671769:17674652:1 gene:fgenesh2_kg.2__2046__AT1G77510.1 transcript:fgenesh2_kg.2__2046__AT1G77510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:D7KUJ9] MAIKGFACFPILLLLSLFASSIRSEQTKEFVLTLDHSNFTETITKHDFIVVEFYAPWCGHCQKLAPEYEKAASELSSHNPPLALAKIDASQEANKGLANEYKIQGFPTLKILRNGGKSVQDYNGPREAEGIVTYLKKQSGPASVEIKSADSAAEIVGEKNVVAVGVFPKLSGEEFDSFMALAEKLRADYDFAHTLDAKVLPRGESVEGPVLRLFKPFDELFVDSKDFNGEALEKFVKESSIPLVTVFDSDPNNHPYVVKFFDSPATKAMMFVNFTSATAESLKSKYREVATSNKGQGLAFLVGDAESSQGAFQYFGLEESQIPLIIIQTPDNKKYLKANVEVDQIESWVKDFQDGKVAAHKKSQPIPAENNEPVKVVVAESLDDIVFKSGKNVLIEFYAPWCGHCQKLAPILDEVALSFQNDPSVIIAKLDATANDIPSDTFDVKGFPTIYFRSASGNVVVYEGDRTKEDFINFVEKNSEKKPISHGEESTKTEETAAKDEL >fgenesh2_kg.2__2047__AT1G77520.1 pep chromosome:v.1.0:2:17679459:17681032:1 gene:fgenesh2_kg.2__2047__AT1G77520.1 transcript:fgenesh2_kg.2__2047__AT1G77520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase family 2 protein [Source:UniProtKB/TrEMBL;Acc:D7KUK0] MTNHLQDSLTTYPKPVLTKEEQEIDEKMVSLQAESIVNTVAFPMVLKAAFELGVIDTIAAAGNGTWLSPYEIARSLPTKPTNPEAPVLLDRLLRLLVSHSILKCRMIETGENGQTGKIERVYAVEPVCKYFLRDSDGSGSLVSLFMLLHTEVFFKTWTNLKDVILEGRDAFNSAHGMKIFEYINSDEQFAELFNRAMSEPSTMIMKKVLELYRGFEDVNTLVDVGGGNGTVLGLVTSKYPHIKGVNFDLAQVLTQAPFYQGVEHVPGDMFIEVPKGDAVFMKWILHDWTDEHCIKILKNCWKSLPEKGKVIIVELVTPKEPMSGDLSSNTVFAMDLLMLTQCSGGKERSLSQFENLAFASGFDRCEIICLAYSYSVIEFHK >fgenesh2_kg.2__2048__AT1G77530.1 pep chromosome:v.1.0:2:17687521:17689112:1 gene:fgenesh2_kg.2__2048__AT1G77530.1 transcript:fgenesh2_kg.2__2048__AT1G77530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase family 2 protein [Source:UniProtKB/TrEMBL;Acc:D7KUK2] MLKHLQEPLTTYPKPVLTKEEQEIEEKMVSLQAESIVNSVAFPMVLKAALELGVIDTIAATGNGTWLSPSEIAVSLPTKPTNPEAPVLLDRMLRLLVSHSILKCRMIETRENGQTGKIERVYAAEPICKFFLKDSDGSGSLSSLLLLLHSQVILKTWTNLKDVILEGKDAFSSAHDMRLFEYISSDEQFAELFHRAMSESSTMVMKKVLQVYRGFEDVNTLVDVGGGFGTILGLVTSKYPHIKGVNFDLAQVLTHAPFSPGVEHVSGDMFIEVPKGDAIFMKWILHDWGDEHCIKILKNCWKSLPEKGKVIIVEMITPMEPKPNDFSSNTVLGMDLLMLTQCSGGKERSLSQFENLAFASGFLRCEIICLAYSYSVIEFHR >fgenesh2_kg.2__204__AT1G62790.1 pep chromosome:v.1.0:2:1489977:1491364:-1 gene:fgenesh2_kg.2__204__AT1G62790.1 transcript:fgenesh2_kg.2__204__AT1G62790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMMMFAAAMTVMALVSVPAVEAQTECVSKLVPCFNDLNTTTTPVKECCDSIKEAVEKELTCLCTIYTTPGLLSQFNVTTEKALSLSRRCNVTTDLSACTAKGAPSPKASLPPPAGNTKKDAGAGNKLAGYGITTVILSLISSIFF >fgenesh2_kg.2__2052__AT1G77580.2 pep chromosome:v.1.0:2:17696989:17700600:-1 gene:fgenesh2_kg.2__2052__AT1G77580.2 transcript:fgenesh2_kg.2__2052__AT1G77580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKRESSERSFGESEESVSSLSEKKDSEIQPESTMESHDDDEIQQSPTVSLEVETENEDLKDSMRTLTEKLSAALANVSAKDDLVKQHVKVAEEAVAGWEKAENEVVELKEKLEAGDDKNRVLEDRVSHLDGALKECVRQLRQARDEQEQRIQDAVIERTQELQSSKTSLENQILETATKSEELSQMAESVAKENVMLRHELLARCEELEIRTIERDLSTQAAETASKQQLDSIKKVAKLEAECRKLRMLAKSSASFNDHRSTDSHSDGGERMDVSCSDSWASSTLIEKRSLQGTSSSIELDLMGDFLEMERLVALPETPDGNGKSGPEAVTEEAVVHSENSLAAEIEVLTSRTKELEEKLEKLEAEKHELEKEVKCNREEAVVHVENSEVLTSRTKELEEKLEKLEAEKNKLENEFKCNREEAVVHIENSLAAEIEVLTSRTKELEEQLEKLEAEKDELESEVKCNREEAVAHVENWLAAEIDVLTCRIKQLEEKLSKLEAEKDELKCEVRCNREVESTLRFELEAIACDKMELENKLEKLEVEKAELQISFDIIKDKYKESQVCLQEIETKLEEIQTEIRMANELKAEVESQIIAMEDEAKTKSTKIKSLEEEMRKERIDFDELRRKCEALEEEISLHKENSIKSENKEPKIKQEDIETAAGKLANCQKTIASLGKQLQSLATLEDFLTDTPSIPMAANGVSSSLESWKVHKNETFMARNQPESIKSTKETSPCSSSSAAAAVSMPVSTNRGSSEKNRNGFATVFTRSKDGIHLAI >fgenesh2_kg.2__2053__AT1G77590.1 pep chromosome:v.1.0:2:17701545:17705072:-1 gene:fgenesh2_kg.2__2053__AT1G77590.1 transcript:fgenesh2_kg.2__2053__AT1G77590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 9 [Source:UniProtKB/TrEMBL;Acc:D7KUK7] MIPYAAGVIVPLALTFWVQKSKKEKKRGVVVDVGGEPGYAIRNHRFTDPVSSHWEHISTLPELFEISCKAHSDRVFLGTRKLISREIETSQDGKTFEKLHLGDYEWLTFGKTLEAVCDFASGLVQIGHKTEERVAIFADTREEWFISLQGCFRRNVTVVTIYSSLGEEALCHSLNETEVTTVICGSKELKKLMDIGQQLETVKRVICMDDEFPSDLNSNWMATSFADVQKLGRENPVDPNFPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATVSAVMTIVPDLGKRDIYMAYLPLAHILELAAESVMATIGSSIGYGSPLTLTDTSNKIKKGTKGDVTALKPTIMTAVPAILDRVRDGVRKKVDAKGGLSKILFDFAYARRLSAINGSWFGAWGLEKLLWDVLVFRKIRAVLGGQIRYLLSGGAPLSGDTQRFINICVGAPIGQGYGLTETCAGGTFSEFEDTSVGRVGAPLPCSFVKLVDWPEGGYLTSDKPMPRGEIVIGGSNITLGYFKNEEKTKEVYKVDEKGMRWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSISPYVENIMVHADSFYSYCVALVVASQHTVEGWASKQGIDFANFEELCAKEQAVKEVYASLVKAAKQSRLEKFEIPAKIKLLASPWTPESGLVTAALKLKRDVIRREFSEELTKLYA >fgenesh2_kg.2__2055__AT1G77610.1 pep chromosome:v.1.0:2:17719599:17722153:1 gene:fgenesh2_kg.2__2055__AT1G77610.1 transcript:fgenesh2_kg.2__2055__AT1G77610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSMFRSLLAILQWWGFNVTVIIMNKWIFQKLDFKFPLSVSCVHFICSSIGAYIVIKVLKLKPLIVVDQKIGGGGFFQCLSCFVSTLCWEMSVFDTFLFRLCRRLNLSLQLLQWLVWRKYFDWRIWASLVPIVGGILLTSVTELSFNMFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILGIPALLLEGSGILSWFEAHPAPWSALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISYMNAVGCGITLVGCTFYGYVRHMLSQQTPGTPRTPRTPRSKMELLPLVNNDKLEGKV >fgenesh2_kg.2__2056__AT1G77620.1 pep chromosome:v.1.0:2:17722153:17727286:-1 gene:fgenesh2_kg.2__2056__AT1G77620.1 transcript:fgenesh2_kg.2__2056__AT1G77620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside-triphosphatase/ nucleotide binding protein [Source:UniProtKB/TrEMBL;Acc:D7KUL0] MDQTPRRNVRRKLVQSTLLPHKSEEVIESNGDRMSDEGGEEVGDGVLCSSQGKKTRKQKERTPKNGASKKVAKGKSPRKTTPKKSAAKNGIVAAADQMVAANVSPPVPNLRLEAKLRAEEDSRMSAGKQIHPFFSTWKGSKRNQEAVAAENGTCQGQGREKIVTIGPIHVFERFQDDYQTTLDWKNWTFYEQTSTTESADQQMNFDTLEPRPKVFDLNELPSLSHSDVCVIDDEEPEQCASQSERIAEASPVVLIAGQEEKRGYLGSLDGAESDCEVHEAIHLSDDAEGAVDISHEMQHLSCRESNDSSGQPRNSLWVDKYQPRSASEVCGNTESVKVMNEWLRQWQERGFQPDKDFLSSDEDKSQDADYNCSESDTDSENIGAEDRLKNVLLIVGPAGSGKSAAIHACAKEQGFKILESNTSECRSGTVVRQKFGEALKSYSLSRSLDPLFNSCTDGNGVEDVMEVVPILHIQNDGANLKPLILFEDVDVCFAEDRGLVSAIQQIAAKAKGPVVLTTNDKNHGLPDNLERIEIYFSLPSKEELFSHLSLVCAAEEVKVNRGSLDQMTTSCGGDIRKAIMQLQFWFQSKPKRARKVKNTGNQDLFDHEAGHLLLPKIITRDFPSQLSQLVENEIAKVISIEEESYNTVEVFVEEVENEKMLNRLWRRGTGKNSIQAKKTAMFKQNTTFEDYDELEDVLSIPCELSNSSYQPLSFSQPNRRRKLNVVMSSDSEDEPLTDIRVSIAQHQKDDRLIFQEDGTLSSYWPDMQKETNLLADPSVPSRAEILEATCYEYETSKFSCINEVSQSVDVSCVPESLYVPETLMDGEAELSPRAVSCGHFDGRVEVSMNEDVVQTPPSKEIYMDRFQIFDCLKNTCEIIAESSDAMVMENCFKEYVGTAQKMQPVSDECSRIDFGKTFKTAQKPKLDTSKSAVQESWEKICSSHADFKPYLDSEPVEAPQVLDLTHQITNLISEADLTHFRCLNLGALEPLMNASGELDTSGLSQMLEQMTSTVAQQEFCFFTNQITTTGTVPNSSATMVPGRGLAVDEARQDCTSSNGSCLDINPDLLKCRRMARLSGILESVVPLRSLKGKAFHEYASFIGKISRADPSNLSGAIEKGRRRRSREARHYLLMELSSEDIALLGQHSTYSRNE >fgenesh2_kg.2__2058__AT1G77640.1 pep chromosome:v.1.0:2:17733824:17734750:1 gene:fgenesh2_kg.2__2058__AT1G77640.1 transcript:fgenesh2_kg.2__2058__AT1G77640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQELKIQVTTSSSSLSHSSSSSSSTSGLLHQSCKNKIKKYKGVRMRSWGSWVTEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGPKANLNFPNITTTSPFLMNIDENTLFSPKSIQKVAAQAANSYVHDDGLHRHPSPSSSTASSPPDDDHHNDDDSDLVSLMESFVDYKEHVSLMDPSWYEFEHNEMLFTNGAPFDYSPQLNSSMDDFYDDVDIRLWSFS >fgenesh2_kg.2__205__AT1G62780.1 pep chromosome:v.1.0:2:1492367:1494358:1 gene:fgenesh2_kg.2__205__AT1G62780.1 transcript:fgenesh2_kg.2__205__AT1G62780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSFGIAAATTVRTIPRFNTRRSKISCEWDPKGVLGPPQTGHIARLEFKRRLERDSEAREAFQKQLREEKERRQALRQSRVVPDTAAELIEYFLDTEAQEIEYEIARLRGRLNDEFFAQIRLEIGQIRFAVTKTAEIEDRLIELESLQKALEEGIEAYDKMQNELMTATNSLTKILTSTDIKTTLLDMVEKNEINRSLLALLDENIANAYKGNQKEAGDYMEKIRSSVLKYLTV >fgenesh2_kg.2__2063__AT1G77690.1 pep chromosome:v.1.0:2:17755751:17758116:-1 gene:fgenesh2_kg.2__2063__AT1G77690.1 transcript:fgenesh2_kg.2__2063__AT1G77690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKIETVVAGNYLEMEREEENISGNNKSSAKTKLSNFFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMVSGILFQLFYGLMGSWTAYLISVLYVEYRTRKEREKFDFRNHVIQWFEVLDGLLGKHWRNLGLIFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLAMTTYTSWYLTIASLLHGQAEDVKHSGPTTMVLYFTGATNILYTFGGHAVTVEIMHAMWKPPKFKAIYLTSDHICTNANATIRLLTHSNALSLLPKSGFRDTAVILMLIHQFITFGFASTPLYFVWEKLIGVHETKSMFKRAMARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMLTFAPAPSRENAVERPPRVVGGWMGTYCINIFVVVWVFVVGFGFGGWASMVNFVRQIDTFGLFTKCYQCPPHKP >fgenesh2_kg.2__2065__AT1G77720.1 pep chromosome:v.1.0:2:17769507:17773033:1 gene:fgenesh2_kg.2__2065__AT1G77720.1 transcript:fgenesh2_kg.2__2065__AT1G77720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KUM0] MDREANLPVQPDKPPKSLVRPILNLETTSSSSSSSPELLRHLQAAFKRHRPLSKMQTTTIGPRRSVAPQRQASRNTRLVTAEGQRSQDVVTLSQSLAANTLTQDTSNLATSVAGESIENASITPPSVSGTVNNMFGQNFNPSDRQLDFGKSAVASLGSNLDIGSQNENDQRKFQSLIGSTLTSQDMEWDATNQAEASHLGACIGSKRQNLQSVDSEISLKSEYKVSSSLAKNHGQLGEFRNFLNQPKTQCSAVGSSCATTTLIHSSSGPMLNATTHVSRSYAEADSSANPHAVQSQGNLPSCYPSSKDSNILHTNKDAPPSAIPASTNDPEVRVKERDKSKQQQCTTELEAPVGSSSHGGDGQANARLPDELLTSVSSQPQKSDKQEKVASSKGPSAPRKRNYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYATAYGFCQEIGYLKKLKGKTNIIQLIDYEVTDKTLLQEVLNGTMSNKDGRVKDDGFIYMVLEYGEIDLAHMLSQKWREIEGSDRTIDENWLRFYWQQILQAVNTIHEERIVHSDLKPANFLLVRGFLKLIDFGIAKAINSDTTNIQRDSQVGTLSYMSPEAFMCNESDENGNTIKCGRPSDIWSLGCILYQMVYGRTPFADYKTFWAKFKVITDPNHEITYNQLSNPWLIDLMKKCLAWDRNQRWRIPELLQHPFLAPPIPPEPQVKIIKLLSLIAESCVSDDDKANSMISQLEQLLTNPAPLPRNDHLDSRDQNQQLLFRVSELCIQLKDRL >fgenesh2_kg.2__2066__AT1G77730.1 pep chromosome:v.1.0:2:17773505:17774711:1 gene:fgenesh2_kg.2__2066__AT1G77730.1 transcript:fgenesh2_kg.2__2066__AT1G77730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPLAAKSNLTTTRSASLNLPHSTRTNHNHDLRYSHSAGPRTNEDRPPSGNGVAGILYKWVNYGQGWKRRWFVLQDGVFSYYRIHGPDKISLSVEMDRRSKLIGGESLRFICRHSKRGEVHSPGKPLGQIHLKVSSIGQSISDGKRFTVFTGTKSLHLRAATSEDRIAWIEALKAVKDTFPRMSNEELMASTTNVSVSTDKLRQRLMKEEVNETTIKDCEDIMKNNFVQLHDEVMLLKQYQYHLVDSLNDVNKSLKTH >fgenesh2_kg.2__2067__AT1G77740.1 pep chromosome:v.1.0:2:17783322:17786895:1 gene:fgenesh2_kg.2__2067__AT1G77740.1 transcript:fgenesh2_kg.2__2067__AT1G77740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREPLVIEEEEATEVLLVEKKKVLEEVCKRRVREGGDEVERRDDLLLLALTPMVRSKSQGTTRRVTPTPPPLDVEKPLPNGDLYMGTFSGGFPNGSGKYLWKDGCMYEGEWKRGKASGKGKFSWPSGATYEGEFKSGRMEGFGTFVGVDGDTYRGSWVADRKHGHGQKRYANGDYYEGTWRRNLQDGRGRYVWMNGNQYTGEWRNGVICGKGVLAWPNGNRFEGQWENGVPKGSGVFTWPDGSSWIGSWNETSNLMRNFFDGIEKNELIVATRKRSSVDSGAGSFTGEKIFPRICIWESDGEAGDITCDIVDNVEASVIYRDRISIDRDGFRQFRKNPCCFTGEAKKPGETISKGHKKYDLMLNLQHGIRYSVGKHASVVRDLKQSDFDPSEKFWTRFPPEGSKTTPPHLSVDFRWKDYCPLVFRRLRELFTVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLLRMLPSYYKHVCQYENTLVTRFYGVHCIKPVGGQKTRFIVMGNLFCSEYRIQRRFDLKGSSHGRYTSKPEGEIDETTTLKDLDLNFAFRLQRNWYQELMTQIKRDCEFLEAERIMDYSLLVGVHFRDDTTGDKMGLSPFVLRSGKIESYQNEKFMRGCRFLEAELQDMDRILAGRKPLIRLGANMPARAERMARRSDYDQYSSGGTNYLSHGEVYEVVLYFGIIDILQDYDISKKIEHAYKSLQADPASISAVDPKLYSRRFRDFISRIFIEDG >fgenesh2_kg.2__2068__AT1G77750.1 pep chromosome:v.1.0:2:17794033:17795368:-1 gene:fgenesh2_kg.2__2068__AT1G77750.1 transcript:fgenesh2_kg.2__2068__AT1G77750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRRSATTLFDISQSLLRNVTFHGLRVQGIRVGNAEVPNNMPLKTGLQAVYGIGRRKSHQVLCHLGITNKLARDLTGKELIDLREEVGQHQHGDELRRRVGSEIQRLVEVDCYRGSRHRHGMPCRGQRTKTNARTKKGKAVAIAGKKKAPRK >fgenesh2_kg.2__206__AT1G62770.1 pep chromosome:v.1.0:2:1495662:1496593:1 gene:fgenesh2_kg.2__206__AT1G62770.1 transcript:fgenesh2_kg.2__206__AT1G62770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNKNTIFLVLLLSITILQSSSATPNRSEPDRFIVSSCQTTQYPLVCVHTLSAYATKIRHNNDQDLAQTALTISLARAKSVSIFVAKLTKVTPGLKRREYLAIKDCIEVLGNSVDRLAQSVKELGRAGHAVASEDFMWKMSNVQTWVSAALTDETTCLDGFSERAMGGKVKRLIRFRVVHVAQVTSNALALVNQFAEKRSVTFP >fgenesh2_kg.2__2070__AT1G77765.2 pep chromosome:v.1.0:2:17810060:17811221:1 gene:fgenesh2_kg.2__2070__AT1G77765.2 transcript:fgenesh2_kg.2__2070__AT1G77765.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYENPRIMYGVIITVYVDRQTTTVRSFQGRRKNDEGERFIERTWKPGIGKIRGYDRRANLLAYIRQMRAESLTGDSKCDVVESDNKPERLSPSPKKKRRWIRKMMSKFRLPFLRPFRRKNRTWRYRHFVPDEEGEARSKAKHNSDLWNKLKHMVGGLSRGCVRSRRDS >fgenesh2_kg.2__2073__AT1G77770.2 pep chromosome:v.1.0:2:17811193:17813056:-1 gene:fgenesh2_kg.2__2073__AT1G77770.2 transcript:fgenesh2_kg.2__2073__AT1G77770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFKKANQRGHIATPYPSPCCKKEWAGSTCPVCLESPHNAVLLLCSSYHKGCRPYMCATSSRFANCLEQYRKSYSNENSGQPELLCPLCRGQVKGWTVVKDARMHFNSKRRTCMQDNCSFLGNFRKLKKHMKEKHPHACPRAIDPALETKWKRLERERDRRDVISTIMSSTPGAVVLGDYVIEPHNRAVYDEEDEEEDYSSDDSLSNGILDLESSWQGQSHHIRFLDMESSDFASSSSSPASPSRSLHRLLFPRNQRGGNRGR >fgenesh2_kg.2__2076__AT1G77800.1 pep chromosome:v.1.0:2:17819428:17826886:1 gene:fgenesh2_kg.2__2076__AT1G77800.1 transcript:fgenesh2_kg.2__2076__AT1G77800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KUM9] MNVDQCQWRKKMMGRGVDGGCGAEEKPYRPFRRAVLDKENGNKGSEDMGSLDIDFLAQASKNLSERSPYDVPEDGSTSGLSVPTLPIALANLLKNHANNKKRHKKSHSGADKKKKKSSRQGDKLRSGSIWLEHEDYFRRLDFPDLETLSDLASLRSLSSRNCLSVPSVEFESINIQQRETDASAKNEDVVCGDGVALQQIKNLLSKEISEGTVRKEEDVVQPMNVDNVGNGISSGSDYSGSLEWVLGNRNRILLTSERPSKKRKLLGGDAGLGKLMVAAPCEGNALLCDFCCTDHHQLIVCTSCKATVHKKCYGLLEDSDKSWLCSWCELENGRGDSERPCLLCPKKGGILKPVLSKLENGGPAEFAHLFCSLWMPEVYIEDLKKMEPILNLPGIKETRRKLLCNLCKVKSGACIRCCNGTCRTSFHPICAREAGNRLEVWGKHGCDTHSDIQESGKSVEGGESNAAESRSPICHIPSESVRESHLSNDEMGVDVGTPGTGSDISRNSELQELESPHSKFNWSATDNVESGMTGRSNEDERTLSKSLSFGLILKKLIDLGKVDVKDVAAEIGINPDALSAKLKDGDLLPDLLGKVVKWLSQHAHMGSSDKGKNLKRKTTTKSERRAAICTEGIVILDSDILDPAVAKAFSIERTHESNICNNTTNNTICTLTENCTGNGIVVVEAKANGSVLKKEGSVSLAPDHFPEEPNSIVLDQEVHHGKSSVLPSVDDHGEQSNSSSSGVMLENAFSLGPNSSQNHGNLNCPNPIILDLFDHEAYPGSKPHPYIHKELSELGKGQTLKSSTDSDVARMTTKFDGSEEGNKHLQGAETFRQLSKARKLGILDLSPKDEMEGELLYYQLQLLGTAVSRKQLSDNLVYEVAKKLPLEIDEQHGRRWDDVLVNKYFHDVREARKQGRKEKRHKQAQAVLAAATAAAATSSRNTSLRKDMSEEPAQQEMSTSRRRVAGSSHLVPQTKETLLKMTVSGPPSEKRSDHRTPDFSVENPRTCDICRRSETIWNLIVVCSSCKVAVHMDCYKCAKESTGPWYCELCAESSSEPSFNFGEKPNSSTQCTLCGGTTGAFRKTTNGKWVHAFCAEWSLESTFRRGQINPVQGMESLAKNMDTCCVCQQIYGACIKCSYGNCQTTFHPSCARSAGFHMTGGGKHPHKAYCEKHSIEQKAKVESQKHGAEELKSLKHYRVGVLSEVELERLRLLCERIVKREKLKRELAISSHEILAAKRDHAARSLHARNPFSPPEVSSDSATTSIKGHPDSNISGSEAIQRSDDITIDSTVTDKRRGKGPILMDTDQKTDDSATSKSRFSRKPTERQILSGKTVPRKHCIVSPSVSEDGDNGSKPKKQHVETFAKELVMTSDEASFKNRRLPKGYFYVPVDHLQEDKPGNQKLASSDKPADQKTSSGDQSGKDDG >fgenesh2_kg.2__2077__AT1G77810.1 pep chromosome:v.1.0:2:17826840:17829442:-1 gene:fgenesh2_kg.2__2077__AT1G77810.1 transcript:fgenesh2_kg.2__2077__AT1G77810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KUN0] MKHKVSKRVISLKWVPFLCISFFALGAIFTSRSWEPPSDSGSQLISQLRRDHELQIVSDDCAHNKKATQEKDVIDQVLRTHEAIQGDRSLDKSVSTLEMQLASTKTSQDGSETTNSLTGETPRKKVFMVMGINTAFSSRKRRDSVRETWMPQGEKLEKLEQDKGIVIKFMIGHSATSNSILDRAIDSEDAQHKDFLRLEHVEGYHELSAKTKIFFSTAVAKWDAEFYIKVDDDVHVNLGMLASTLVRHRSKPRVYIGCMKSGPVLAQKTVKYHEPEYWKFGEDGNKYFRHATGQIYAISKDLAKYISINQPILHKYANEDVSLGSWFIGLEVEHIDDRNFCCGTPPDCRWKAEAGDVCVASFEWSCSGICKSVERMKIVHEVCSEGEGAVWNTLL >fgenesh2_kg.2__2079__AT1G77840.1 pep chromosome:v.1.0:2:17835761:17837358:1 gene:fgenesh2_kg.2__2079__AT1G77840.1 transcript:fgenesh2_kg.2__2079__AT1G77840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRNDAFYRYKMPKMVTKTEGKGNGIKTNIINNVEIAKALARPPSYTTKYFGCELGAQSKFDEKTGTSLVNGAHNTSKLAGLLENFIKKYVQCYGCGNPETEIIITKTQMVNLKCAACGFISEVDMRDKLTNFILKNPPEQKKVSKDKKAMRKAEKERLKEGELADEEQRKLKKEAKKKALSNGKDASSKTSKNHSSDEDISPKHDENAQDVDEDEDDDDGVQWQTDTSREAAEKRMKEQLSPVTVEMVMLSTVEAEEKKAPKSKPNGDVVKTERPPQEKNLVQDMKEYLKKGSPISEFKSFISSLSDPPQDIIDALFNVLFDGVGKGFSKEVMKKKNYLAAAATTQEDGSQMHLLNSIGTFCGKNGNEEALKEVALVLKALYDQDIIEEEFVLDWYEKGFTGVDKSSPVWKNVKPFVEWLQSAESESEEED >fgenesh2_kg.2__207__AT1G62750.1 pep chromosome:v.1.0:2:1504842:1507839:1 gene:fgenesh2_kg.2__207__AT1G62750.1 transcript:fgenesh2_kg.2__207__AT1G62750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KU74] MAADALRISSSTSGSLVCNLNGSQRRPVLLPLSHRPTFLGLPPRASSSSISSSIPQFLGTSRIGLGSSKLSHKKKFSVFAAAEGEAKRAVPLKDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWDKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPLVLQIPIGAEDVFKGVVDLVRMKAIVWSGEELGAKFSYEDIPADLEDLAQEYRAAMMELIVDLDDEVMENYLEGVEPDEATVKRLVRKGTITGKFVPILCGSAFKNKGVQPLLDAVVDYLPSPVEVPPMNGTDPENPEVTIIRKPDDDEPFAGLAFKIMSDPFVGSLTFVRVYSGKISAGSYVLNANKGKKERIGRLLEMHANSREDVKVALTGDIIALAGLKDTITGETLSDPENPVVLERMDFPDPVIKVAIEPKTKADIDKMATGLIKLAQEDPSFHFSRDEEMNQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISKIAEVKYTHKKQSGGQGQFADITVRFEPLEAGSGYEFKSEIKGGAVPREYIPGVMKGLEECMSSGVLAGYPVVDVRACLVDGSYHDVDSSVLAFQLAARGAFREGMRKAGPRMLEPIMRVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDSLVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNKLSDKDQEVAA >fgenesh2_kg.2__2082__AT1G77870.1 pep chromosome:v.1.0:2:17863891:17864760:1 gene:fgenesh2_kg.2__2082__AT1G77870.1 transcript:fgenesh2_kg.2__2082__AT1G77870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:D7KV52] MGDEDWIELKFRLADGTDIGPSKYSQLMTVASLKEKIIAQWPKDKENAPKLINEVKLINGGKILENNTTLSEARSLPICELPGIVTTMHVVLRPPLFEKKKEKLQNDPPMKSHCVCCIL >fgenesh2_kg.2__2083__AT1G77885.1 pep chromosome:v.1.0:2:17870707:17871683:1 gene:fgenesh2_kg.2__2083__AT1G77885.1 transcript:fgenesh2_kg.2__2083__AT1G77885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAIAEVYTVRKFHGESMKKPAKPTVTGEGDEKNGGGSFKEAPVKSGGSRRFGRWFVGKPGMKKSSAKVSDPMAIE >fgenesh2_kg.2__2084__AT1G77890.1 pep chromosome:v.1.0:2:17872045:17875962:1 gene:fgenesh2_kg.2__2084__AT1G77890.1 transcript:fgenesh2_kg.2__2084__AT1G77890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNKCAVCHNSFRPSICVACVNRGLNEYKVTLDSLKSRREVSYFRLSGLLVTKEKAISQHCWKELQNEKLVKLREKLQLQVEKLQQSKNTFRSLSRNLKERYGIIESTNLALEKSRVRQLENHYSDTIGNHYLVYIELTSERLYKQALVMKQICKLFPLSRVTLDGQNKDGCSGQYDQISNALLPQGLNPLSVPPKELAASLGYMVQLLNLVVHKLSVPALHNLGFAGSCSRIWQRDSYWNSHPSSPSDRYPLFVPSHDHYSFEGKSSWTGKDTTNFGVTSLKSDGSVEEDYHDLELDVVSLSSASPHSVETFRNLQQGIAHLKQSVAHLTVYGYRSLSLEVPSGTSTFETFAKLLATLSSLKEVQSALSLGLSSSNKQRHEPNKSVWNLNSSSSSTLLNSSHTQPTTWNSSYYNVPNRDPSYMVESPDVKKDKNSIGEWNLVEYPHSSHQPNHPKKY >fgenesh2_kg.2__2087__AT1G77930.1 pep chromosome:v.1.0:2:17881650:17883357:1 gene:fgenesh2_kg.2__2087__AT1G77930.1 transcript:fgenesh2_kg.2__2087__AT1G77930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLRAIGRPHSVFSSIVCCSRHQSRSLFRVSIKNVAFRNRVSNSSWFRSKEDSNLCFRLNQRKTLVRASNWSEEKSPYDTLELDRDAEEEQIKVAYRRLAKFYHPDVYDGKGTLEEGETAEARFIKIQAAYELLMDSEKKRQYDMDNRVNPMKASQAWMEWLMKKRKAFDQRGDMAIAAWAEQQQLEINLRARRLSRSKVDPEEERKILEKEKKASRELYNSTLKRHTLVLKKRDLMRKKAEEDKKKLITQLLAAEGLELASEEDEEEAAK >fgenesh2_kg.2__2088__AT1G77940.1 pep chromosome:v.1.0:2:17884429:17885823:-1 gene:fgenesh2_kg.2__2088__AT1G77940.1 transcript:fgenesh2_kg.2__2088__AT1G77940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L30 [Source:UniProtKB/TrEMBL;Acc:D7KV58] MVTAKKTKKSHEGINSRLALVMKSGKYTLGYKSVLKSLRGSKGKLILISTNCPPLRRSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIVDPGDSDIIKSIPGDQ >fgenesh2_kg.2__2089__AT1G77950.1 pep chromosome:v.1.0:2:17888306:17891403:1 gene:fgenesh2_kg.2__2089__AT1G77950.1 transcript:fgenesh2_kg.2__2089__AT1G77950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7KV59] MGRVKLELKRIEKNTNRQITFSKRKKGLIKKAYELSTLCDIDLALLMFSPSDRLCLFSGQTRIEDVLARYINLPDQERENAIVFPDQSKRQAIQNKEYLLRTLEKLKIEDDMALQINEPRPEAINTDVEELEQEVCRLQQQLQISEEELRKFEPDPMRFTSMEEIEACETHLINTLTSVVQRREHLLRKSCEAPSNQQSMQGILLNDIVEDWGSEAEPKQAHVMAKSAHQSNQLSYDLLLQGSNSSSNQNPK >fgenesh2_kg.2__2091__AT1G77980.1 pep chromosome:v.1.0:2:17896455:17898621:-1 gene:fgenesh2_kg.2__2091__AT1G77980.1 transcript:fgenesh2_kg.2__2091__AT1G77980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KV61] MGRVKLEIKRIENTTNRQVTFSKRRNGLIKKAYELSILCDIDIALLMFSPSDRLSLFSGKTRIEDVFSRYINLSDQERENALVFPDQSRRPDFQSKEYLLRTLQQLKTENDIALQLTNPTAINSDVEELEHEVYKLQQQLHMAEEELRKYEPDPIRFTTMEEYETCEKQLMDTLTRVNQRREHMLSHDHLSSYEASAIQQQQNMGGPFVNDVVGGWLTENGPNQAHLFDASAHSAMYETLLQGSSSSSNQNNIMGESNVSNHNGDMFQEWAQAYNSTTAHNPTTLFPPMQHQHGLVDPNMEEIEIPVMKKEAQADQEVSDYDIRMPQLSSQ >fgenesh2_kg.2__2093__AT1G78040.1 pep chromosome:v.1.0:2:17906921:17908194:-1 gene:fgenesh2_kg.2__2093__AT1G78040.1 transcript:fgenesh2_kg.2__2093__AT1G78040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen ole e 1 allergen and extensin family protein [Source:UniProtKB/TrEMBL;Acc:D7KV62] MAKLVMLLVLCILPAIAMAARGNIGKNTMVVQGRTYCDLCKFGFETPESSYFIPGATVKLSCRDRKTMEEVYTDEAVSDKQGNYKFIVHDEHKDEMCDVLLVKSAVKGCSKISVGREKSRVILNHYSGIASQIRHANNMGFEKEVSDVFCSALFHKYMVDEDEDDIKSHL >fgenesh2_kg.2__2094__AT1G78020.1 pep chromosome:v.1.0:2:17914075:17915201:-1 gene:fgenesh2_kg.2__2094__AT1G78020.1 transcript:fgenesh2_kg.2__2094__AT1G78020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRQRPPINRTTSLSEIKFDLNLPSESEPSNQQNPTVVSPYGSNGQAVTAAVDQNRAFLDQRLLSMVSSRGNLRRHSGDFSDAGHFLRSCSLCERLLVPGRDIYMYRGDKAFCSSECRQEQMAQDERKEKGKSAPPAKEPAVTAPARAKPGKGRAAAAV >fgenesh2_kg.2__209__AT1G62730.1 pep chromosome:v.1.0:2:1520153:1521193:1 gene:fgenesh2_kg.2__209__AT1G62730.1 transcript:fgenesh2_kg.2__209__AT1G62730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGSSLRSALSYCVQQVRNYDYHHYLCLLELPTEMRKAAFALRAFNVETARAMDVASDPKIGLMRLLWWQEAIDKLYTKKPINHPAAQALSWAISEHNISKPWLKRSVEARIRDAQREVDDIPESIEELEKYAEDTVSTLLYNTLQAGGISSTTADHAASHIGKASGLVLLLKSLPYHCTRNRHQSYIPADLAEKHGLLVKQGGRLEILLDNNSREGLSNVVFEIASVANAHLLKARELAGKVPAEAKPVLLHSVPVQVLLDSLNKVQFDVFDPRIQRGVLGVPPLLFQFKLKWYSWRAMF >fgenesh2_kg.2__2105__AT1G78080.1 pep chromosome:v.1.0:2:17976756:17978142:1 gene:fgenesh2_kg.2__2105__AT1G78080.1 transcript:fgenesh2_kg.2__2105__AT1G78080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMNLYSSSRTFQASDSFGGSGGELMDALVPFIKSVSDSPSSASAFLHPAASASAFSLPPLPGYYPDSTFLTQPFSYGSDLQQTGSLIGLNNLSSSQIQQIQSQIHHPLPPTHHNNTFSNLLSPKPLLMKQSGVAGSCFAYGSGVPPKPTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDKAAYKLRGDFARLNFPNLRHNGSHIGGDFGEYKPLHSSVDAKLEAICKSMAETQKQDKPTKASKKRSSSVTEKKVSSPDLSEKEVKAEEKSVSTAGSPPVTEFEESTAGSSPLSDLTFADPEEPPQWNETFSLEKFPSYEIDWDSI >fgenesh2_kg.2__2106__AT1G78090.1 pep chromosome:v.1.0:2:17996344:17998955:1 gene:fgenesh2_kg.2__2106__AT1G78090.1 transcript:fgenesh2_kg.2__2106__AT1G78090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:D7KV74] MTNQNVIVSDRKPILGLKTITVSVTNSPLFSNSFPTYFNFPRRKLLKLLEVADKNNNNNLVVAPKITSLIDSMRDSSPTRLRSSSHDSVSDIDDKTSWIVRFPSALNMFDEIVNAAKGKQIVMFLDYDGTLSPIVEDPDKAFITHEMRDVVKDVASNFPTAIVTGRSIDKVRSFVKVNEIYYAGSHGMDIEGPTNENNYGQSNERVLFQPAREFLPMIEKVIKILEEKTKWISGAMVENNKFCLSVHFRRVDEKRWTALAEVVKSVLIDYPELKLTQGRKVLEIRPTIKWDKGQALNFLLKSLGYEDSEDVVPVYIGDDRTDEDAFKVLRERGQGFGILVSKVPKDTNAFYSLQDPSQVNKFLERLVEWKRKTVGEE >fgenesh2_kg.2__2107__AT1G78100.1 pep chromosome:v.1.0:2:18018614:18019920:1 gene:fgenesh2_kg.2__2107__AT1G78100.1 transcript:fgenesh2_kg.2__2107__AT1G78100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KV76] MDAFDAIPDPVVIDILNKVGDVKTLIRCRSVSKRFNSLATQSDSLLLQLDQILGATESDSEIDSPIASFFRSLFKSIYGLLPSFSKPAKTDEILTRSPKTPAQILAGFERIRNLEVELYGGDVKLEKGAAVKWKAEFGKTLKSCVIVAFRSATVNTSAATESTAVVDGGVESDSEFVCGLKTRVVWTISALMAASTRHYLMRDLVKDHKDMEKLIVRDREGEGTVVMDAAGMKEYRETEARGDDKTLERVGERTVVPSVRMSMRHAPSLMLKSGICLEAATLVVVRPTGVASDDNDVELVTEAFAGDGGDCMYGEAVTALLKRRRNVLEMNSF >fgenesh2_kg.2__2108__AT1G78110.1 pep chromosome:v.1.0:2:18024692:18025955:1 gene:fgenesh2_kg.2__2108__AT1G78110.1 transcript:fgenesh2_kg.2__2108__AT1G78110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGNNGNRGSSSSGYSADLLVCFPSRAHLALTPKPICSPSRPSDSSTNRRPQHRRQLSKLSGGGGGHGSPVLWAKQASSKNMGGDEIAEPTSPKVTCAGQIKVRPSKCGGRGKNWQSVMEEIERIHDNRSQSKFFGLKKDVMGFLTCLRNIKFDFRCFGDFRHADVTSDDDEEEDDDDDDEEEEGEREEEENSKTVFSKWFMVLQEEQSNQDDDKNNNKCDEKRDLEDTETEPAVPPPNALLLMRCRSAPAKSWLEERMKVKTEQENREEQKEEKEEKETEDQETSMKTKKKDLRSLMEEEKMELVLMRYDTEFYRLSSDIAKETWVVGGIQDPLSRSRSWKS >fgenesh2_kg.2__2109__AT1G78120.1 pep chromosome:v.1.0:2:18026804:18029018:-1 gene:fgenesh2_kg.2__2109__AT1G78120.1 transcript:fgenesh2_kg.2__2109__AT1G78120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KV78] MAKSKKISTGQLFGCESLLGCIFQSWSPRRRKPSLPEKDHRAKDNLPSKSSTTVTNPKILPRKSTDTSSQPKKSDPQKPQQKQKSDENLPRKSSDSARKSSDSARKSISSGSSRTESKRFSLNGVMGNIIVKPQPAVKADVTQTRRRWEGKPVNYRLDPETLKKMGNEEYCRGRFGDALVFYERAISADPKTPTYWSNKSAALISLGRLLEASDACEEALRLNPTYERAHQRLASLQLRLGEVEKAMSHYNEAGKYTETKHIEQVEDVIKCLRRCDEARRSKEWNVALKETLFAMSYGADSSPRVYALQTEALLHLQRHEEAYSVYQKGTKRFEIDSFIKIFGLSITSYLLMVGAQVYIAVGRFEDAVTASRQAARLDPSSEEVNAVARKARAVASARLSGNLLFNASKFEGACVVYTEGLEKDPYNALLLCNRAASRFKLGLFVKAIEDCTLALSLQPSYRKARRRRADSYAKLEKWQHAIQDYELLMMETPEDEETRRALTEVNVRFKKQTGGDFRFKGVGSDMVAVN >fgenesh2_kg.2__210__AT1G62720.1 pep chromosome:v.1.0:2:1521237:1522764:-1 gene:fgenesh2_kg.2__210__AT1G62720.1 transcript:fgenesh2_kg.2__210__AT1G62720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7KU76] MQRSITISIASKAKKFLNLCLLEKGNRVTAPFISRFWGRAFTTKRLSMNLDEAIDLFCNMIQSRPLPSIVEFSKLLSNIAKSKNYDLVISLFHNMEICGIGHDLYTYNIVINCLCRCSRFVIALSVVGKMMKFGYEPDVVTVSSLINGFCQGNRVFGAVDLVSKMEEMRCKLDVVIYNTIIDGFCKTGLVNNALVLFGRMERDGVRADTVTYNSLVTGLCCSGRRSDAARLMRDMVMRDIVPNVITFTALINAFVREGKFLEAKKFYEEMIRRCIDPDVFTYNSMIHGLCMHGQVDEAKQILDLMVTKGCFPDVVTYNTLINGFCKTKRVDEGTKLVREMSQRGLVVDTVTFNTIIQGYFQAGRPDAAQEIFSRMGSRPNIRTYSILLYGLCYNWRVEKALVLFESMQKSEMELDITTYNIVIHGMCMIGNVEDAWDLFCSLSFKGLEPDVVTYTTMISGFCRKRLWDKADFLYRKMQEDGLLPL >fgenesh2_kg.2__2112__AT1G78150.2 pep chromosome:v.1.0:2:18037844:18039717:1 gene:fgenesh2_kg.2__2112__AT1G78150.2 transcript:fgenesh2_kg.2__2112__AT1G78150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPVRKPHTSTADLLTWSEVPPPDSPSSASRSAVRSHQPSDGISKVVFGGQVTDEEVESLNRRKPCSEHKMKEITGSGIFSRNEEDDASEPLPVYQQALSGISQISFGEEEDLSPKKPATVPEVAKQRELSGTMENDSANKLKKQLSDAKYKEISGQNIFAPPPEIKPRSGTNRALALKDNFNLGAESQSAGEESSVKTAKKIYDKKFAELSGNDIFKGDAASSSVEKHLSQAKLKEIGGNNIFADGKVEARDYLGGVRKPPGGETSIALV >fgenesh2_kg.2__2114__AT1G78160.1 pep chromosome:v.1.0:2:18040975:18043324:1 gene:fgenesh2_kg.2__2114__AT1G78160.1 transcript:fgenesh2_kg.2__2114__AT1G78160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KV82] MDEFREASSVSSSPSTPLRTPLHSPNLFHGDFSISNRFSFNSSSDYSLSSSFSNGFCSPEDSSSPFASPPFSGIIPKLNHTSPVSFHNHNNDLLFHKDHEKSHVNGDDDLGLCEDLYRMNIKEDVEEDQVRYARTETHGSIPKSDHTDFTLDPLYNFSPKHYESNNGGFVSGGFPCGFFRPTKESSVNQPCDSWSGFDQSKNVDKRNMFGNNPQQIGWPSYSSSNGGTSPYNNGQEIFGNRVGMREYSAYSPPQQQPDISYNHQSYRTTTSDILPFFCQGTQAPMVSKWSEPFSADDSFFMNGKSLDHQRSSTRAVMSDHGSTTEICHPSLPNMCDIQGYVYLMAKDQHGCRFLQRIFDEGTSADAMIIFNEVIAHVVELMMDPFGNYLMQKLLDVCTEEQRTQIVLVATAEPGQLIRISLNAYGTRVVQRLVETIRTGKQISLVKSALRPGFLDLIKDLNGNHVIQRCLQCLSTEDNKFIFDAATKFCTEIATHRHGCCVLQKCIAYSMRQQREKLIAEISRNSLLLAQDPFGNYAVQFVIELRIPSAVAMMLAQLKGHYVQLSMQKFSSHMVERCLMHCPESRPQIVRELISVPHFDQLLQDPYANFVIQAALAATKGPIHASLVEVIRPHSILRNNPYCKRIFSRNLLKK >fgenesh2_kg.2__2116__AT1G78180.1 pep chromosome:v.1.0:2:18055116:18056757:1 gene:fgenesh2_kg.2__2116__AT1G78180.1 transcript:fgenesh2_kg.2__2116__AT1G78180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7KV86] MSGLDIYPHDPASSSSSIDLSNEAFFSTGGLFLEPPGNSSSFFDSISSKCSDFEPVHFPGYWRNKTRLRSSKTLMFLSVSLSKDRSEQQCKNALAQNGKIPGKDNGKRSVIGGGRRRGTMNTRKHLWAGAVAAMVSKTFLAPLERLKLEYTVRGEQRNLLVVAKSIATTQGLTGFWKGNLLNVLRTAPFKAVNFCAYDTYRKQLLKLAGNQEATNFERFVAGAAAGITATVLCLPLDTIRTKLVARGGEALGGIAGAFRYMIQTEGLLSLYKGLVPSIASMALSGAVFYGVYDILKSSYLHTPEGRKRLIDMKQQGHEFNALDRLELGPSRTLMYGAIAGACTEVATYPFEVVRRQLQMQMGKNKLNALAMGFNIIERGGLPALYAGLLPSLLQVLPSASISYFVYECMKIVLKVE >fgenesh2_kg.2__2118__AT1G78200.2 pep chromosome:v.1.0:2:18058279:18059870:1 gene:fgenesh2_kg.2__2118__AT1G78200.2 transcript:fgenesh2_kg.2__2118__AT1G78200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKICCSRSATQVVVAQKSNSGKGRNSEGRIKYGYSLIKGKSDHSMEDYHVAKFMNFNGNELGLFAIFDGHKGDNVAAYLQNHLFSNILKDGEFLVDPRRAIAKAYENTDQKILADNRTDLESGGSTAVTAILINGKVLWVANVGDSRAIVSSRGKAKQMSVDHDPDDDTERSMIESKGGFVTNRPGDVPRVNGLLAVSRVFGDKNLKAYLNSEPYIKDVTIDSHTDFLILASDGISKVMSNQEAVDIAKKLKDPKEAARQVVAEALKRNSKDDISCIVVRFR >fgenesh2_kg.2__2121__AT1G78230.1 pep chromosome:v.1.0:2:18071475:18074029:1 gene:fgenesh2_kg.2__2121__AT1G78230.1 transcript:fgenesh2_kg.2__2121__AT1G78230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFPCFAGLIRRKKNKGNSKPLPPKTQRILQIRLEEPVKPLENDEPNNKGSPIKHEPYDGEDERDDKDSTNREFPEFQVHERAVESLKSKEEVTDTSENGDDDDDEGGHVSDPGLGRATSWVTSPKLKRSCSTLSKFNGRFHGSDLHDLRETVPVSNESVKSHKSADRVMLKKHSSMQILPSGSRRLWWKLFLWSHRNLHKHRVSLKSQPLNKHHQSGYTSDFAEHNQSSHEEESTNNCADFTNQSSNLWPRHNQWVAFSAESSSMKRVDEWVRGLDVETAAVPINEDRDVLASFPTSPNTERSPFGNVVQSGNVTEAIVHANSLIQSMSKSSSVAHISSIGLKAIPCISHFTSLKSIDLSNNFIVQITPASLPKGLHALNLSKNKISVIEGLRDLTRLRVLDLSYNRISRIGQGLSNCTLIKELYLAGNKISNVEGLHRLLKLIVLDLSFNKIATTKAIGQLVANYNSLVALNILGNPIQSNVGEDQLRKTVSSLLPKLVYLNKQLIKPQRAREVLKDSVARAAFGGGDSLHHRRKRTSTNKVVGAASPSVHHRGHIAKGRGSKNRSQHQLRKTSAAESPLH >fgenesh2_kg.2__2123__AT1G78260.1 pep chromosome:v.1.0:2:18111438:18115672:1 gene:fgenesh2_kg.2__2123__AT1G78260.1 transcript:fgenesh2_kg.2__2123__AT1G78260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTSYYRSPFGDTTYTKVFVGGLAWETPTDEMRRYFDQFGEILEAVIITDKNTGKSKGYGFVTFREADSATRAVADPNPVIDGRKANCNIASFGRPRPSPPRGRGQTGSPSQYQSGGPSGYTGMAAPLPPAAAPQLMYPSYGYTYNPDQFGYHQALYNTQLQQAQYYQQQQQLYGGGATSPSSSGTIMPSPYYYGYSLQAPRVPYQHHHHHLPQPYNPQQHHQRFSSPSFLVYPSNSSFTPPLQGLLSSSTDSEAVPQQIPATEGEATTAPEITTINTKEPISS >fgenesh2_kg.2__2124__AT1G78270.1 pep chromosome:v.1.0:2:18115786:18117418:-1 gene:fgenesh2_kg.2__2124__AT1G78270.1 transcript:fgenesh2_kg.2__2124__AT1G78270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KV94] MEQHGGSSSQKRHAVCIPYPAQGHINPMLKLAKLLHARGFHVTFVNTDYNHRRILRSRGPHALEGLPSFRFETIPDGLPWTEVDAKQDMLKLIDSTINNCLAPFKELILRLNSGSDIPPVRCIVSDASMSFTIDAAEELKIPVVLLWTNSATALILYLHYQKLIEKEIIPLKDSSDLKKHLETEIDWIPSMKKIKLKDFPDFVTTTDAQDPMISFILHVTGRIKRASAIFINTFDNLEHNVLLSLRSLLPQIYFVGPLQILENREIDKNSEIGRLGSNLWEEETESLDWLDTKAEKTVLYVNFGSLTILTRDQILEFAWGLARSGKEFLWVVRSGMFLSETENRGLLIRGWCSQEKVLSHPAIGGFLTHCGWNSTLESLFAGVPMICWPFFADQLTNRKLCCDNWGIGIEIGEEVKRERVEAVVKDLMDGEKGMRLREKVVEWRCMAEEASAPPLGSSYANFETVVNKVLTGHD >fgenesh2_kg.2__2128__AT1G78300.1 pep chromosome:v.1.0:2:18127768:18129474:1 gene:fgenesh2_kg.2__2128__AT1G78300.1 transcript:fgenesh2_kg.2__2128__AT1G78300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GF14 omega [Source:UniProtKB/TrEMBL;Acc:D7KV97] MAVSAREEFVYMAKLAEQAERYEEMVEFMEKVSAAVDGDELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVTAIRDYRSKIETELSGICDGILKLLDSRLIPAAASGDSKVFYLKMKGDYHRYLAEFKTGQERKDAAEHTLSAYKSAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDAADEIKEAAAPKPTEEQQ >fgenesh2_kg.2__2129__AT1G78310.1 pep chromosome:v.1.0:2:18129841:18131176:-1 gene:fgenesh2_kg.2__2129__AT1G78310.1 transcript:fgenesh2_kg.2__2129__AT1G78310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KV98] MDKSCNSSGDSSAVSASATSSTGNNTTNRDHYLRQLNKLSHKISKPTNSSSSVSAVNREIDLPINQGNLHQHQPPVYNINKNDFRDVVQKLTGSPAHERISAPPQQPIHHPKPQQSSRLHRIRPPPLAHVINRPPGLLNDALIPQGSHHMNQNWTGVGFNLRPTAPLSPLPPLPPVHAAAESPVSSYMRYLQNSMFAIDSNRKEFSGLSPLAPLVSPRWYQQQQQENAPPSQQNSLPPPHPPPPSAAVLQTAPTSIPAPPPFGCLNSPKSPYGLLSPSILLSPASGQLGFPVSPTTVPLPSP >fgenesh2_kg.2__212__AT1G62700.1 pep chromosome:v.1.0:2:1538069:1539736:1 gene:fgenesh2_kg.2__212__AT1G62700.1 transcript:fgenesh2_kg.2__212__AT1G62700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFSQVPPGFRFHPTDEELVDYYLRKKVASKRIEIDFINDVDLYKIEPWDLQELCKIGNEEQSEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYIRHSLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTPQEEGWVVCRVFKKKLAATVRKMGDYNSSPSHWYDDQLSFMASELDSSSPRQFLPNHYYNRHHHHHQQTLPCGLNASSAYAFNNNNNPNLQCKQELELHYNHMVQHQQQNHHLRESMFLQLPQLESPTSNCNSNNNNNTRNIGKLQKSSNISHEEQLQQGNQSFSTLYYDQGVEQMTTDWRVFDKFVASQLSNEEAAAEASTSSHQNNVKIDTRNPVYQVIDEGINLPENDSERVVEMRDEYTNSHAASTSSSCQIDLWK >fgenesh2_kg.2__2131__AT1G78340.1 pep chromosome:v.1.0:2:18138510:18143666:-1 gene:fgenesh2_kg.2__2131__AT1G78340.1 transcript:fgenesh2_kg.2__2131__AT1G78340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVILLDFWPSPFGVRARIALREKGVEFEYREENLRDKSPLLLQMNPVYKKIPVLIHNGKPVCESMNVVQYIDEVWSDKNPILPSDPYQRAQARFWVDFVDTKLFEPADKIWQTKGEEQETAKKEYIEALKTLESELGDKPYFGGDTFGFVDIAMTGYYSWFEASEKLANFSIEPECPTLMASAKRCLQRESVVKSLHDSEKILAFAYKIRKIYCV >fgenesh2_kg.2__2136__AT1G78410.1 pep chromosome:v.1.0:2:18174797:18175445:1 gene:fgenesh2_kg.2__2136__AT1G78410.1 transcript:fgenesh2_kg.2__2136__AT1G78410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KVA7] MSGRGRVKSEPMKVVFINTQYVETDARSFKTVVQELTGKDAIVAAGPFDSPSATDGRCYGGGSKIGEDTRQLYGGGDGGGGGRVGTMTEFDRLFKEMPPLEELYKLWSEN >fgenesh2_kg.2__2142__AT1G78490.1 pep chromosome:v.1.0:2:18241849:18244286:1 gene:fgenesh2_kg.2__2142__AT1G78490.1 transcript:fgenesh2_kg.2__2142__AT1G78490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP708A3 [Source:UniProtKB/TrEMBL;Acc:D7KVB6] MSSIWNVAMLILALVVVRISHWLYRWSNPKCNGKLPPGAMGFPIIGETIDFLKPCGFNDIPTFVKKRMIRYGLLFRTNIFGSKTVVSADPDVINQIFRQENTSFGLGYPDILVKVFGKDNLFLKEVFIHKYLHKVTMQIIGSEGLKQTMIGNMDKATRDHFRLKASQGSFNVRKEVENLIVAYMTPKLISNLKPETQSKLIDNLNAFNLDWFQSFFSLSSWKALIKVLKSRGEAIQVMKDVLSMRRESREKQEDFLNTLLEELDKESSIFDQGSATNLIFLLAFVAREGTSSCTALAVKFISKDPKVLAELKREHKAIVDNRKDKKAGVSWEEYTHNMTFTNMVNTPSTCIHICVLSMSRFACQTRPLYCFERHCTMLRSKARYTIPAGWIVAVAPSAVHYDPINYENPFEFNPWRWEGKEMTRGSKTFMAFGSGVRLCVGAEFARLQMAIFLL >fgenesh2_kg.2__2143__AT1G78500.1 pep chromosome:v.1.0:2:18246045:18249667:1 gene:fgenesh2_kg.2__2143__AT1G78500.1 transcript:fgenesh2_kg.2__2143__AT1G78500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:D7KVB7] MWRLKIGAKGGDETHLFTTNNYTGRQTWEFDADACSPEELAEVNEARQNFFINRSRFKISADLLWRMQFLREKKFEQKIPRVEIGDAEKITYKDAKSALRRGILYFKALQAEDGHWPAENSGSLFFEAPFVICLYITGHLEKIFSLEHRKELLRYMYNHQNEDGGWGLHVEGQSAMFCTVINYICLRIFGVEADHDDIKGSGCARARKWILDHGGATYTPLIGKVWLSVLGVYDWSGCKPIPPEVWMLPSFSPFNGGTLWIYFREIFMGVSYLYGKKFVATPTPLILQLREELYPQPYDKILWSQARNMCAKEDLYYPQSFLQEMFWKGVHTLSETILSRWPFNKLIRQKALRTTMELLHYHDEASRYFTGGCVPKPFHMLACWVEDPDGDYFKKHLARVPDYIWIGEDGLKIQSFGSQLWDTAFSLQVMLAYQDVDADDNDIGSTLMKGYNFLNKSQLTQNPPGDHRKMFKDIVKGGWTFSDQDQGWPVSDCTAESLECCLVFGSMPSELIGEKMDVERLYDAVNLLLYFQSKNGGIAVWEAARGRTWLEWLSPVEFMEDTIVEHEYLECTGSAIVALARFLKEYPEHRKEEVERFIKSAVKYIESFQMPDGSWYGNWGVCFMYGTFFAVRGLVAAGKTYQNCEPIRRAVQFLLETQNVQGGWGESYLSCPRKRYTPLEGNRTNVVNTGQAMMVLIMCGQMERDPLPVHRAAKVLINSQMDNGDFPQEEIMGVFKMNVMINYPNYRNIFTLWALAYYMKALRLLL >fgenesh2_kg.2__2147__AT1G78530.1 pep chromosome:v.1.0:2:18254045:18255645:-1 gene:fgenesh2_kg.2__2147__AT1G78530.1 transcript:fgenesh2_kg.2__2147__AT1G78530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAKETTFYITISVVAFVIGKIVIAVLLYKRWKRKHTVHENGFPVKGGGKMVMFRSPLLNSVSSDMFMKKTHKLSNKDILGSGGYGTVYRLVIDDSTTFAVKRLNRGTSERDRGFHRELEAMADIKHRNIVTLHGYFTSPHYNLLIYELMPNGSLDSFLHGRKALDWASRYRIAVGAARGISYLHYDCIPHIIHRDIKSSNILLDHNMEARVSDFGLATLMEPDKTHVSTFVAGTFGYLAPEYFDTGKATMKGDVYSFGVVLLELLTGRKPTDDEFFEEGTKLVTWVKGVVKDQREDVVIDNRLRGSSVQEMNDVFGIAMMCLEPEPAIRPTMTEVVKLLEYIKLSTRSSF >fgenesh2_kg.2__2148__AT1G78540.1 pep chromosome:v.1.0:2:18258666:18262264:-1 gene:fgenesh2_kg.2__2148__AT1G78540.1 transcript:fgenesh2_kg.2__2148__AT1G78540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSTIETEKYSLLEDFSVDVEVDDEEYESFSLCFWVYLLNSTTFPSTIIRQVHSDMSVSAPFLVLDENKKMMLLPLTLLHKEAPDPVDTSSWTQVPSVSTKSKFPLQKWVHVGCEVSRNFMRLFIDGNIEGEQFVTSLLTKNTNPKCPRKISLFSVGGDGYSVQGFIQCAQVLPASDHVEHHYMKDPPLLLSVNKSSSSGIKLDDDGVWQASCREIFSLDVVLSNAIGQPVHKDVEVVASLLYADTLVEARMSEAEAPLLVRDEGVEFSSGDRPIKLLNGRSSFKLKISQLSSKSDNRLFWIKFGIRNAKDYPFLQAVSNPIRCISISPDVRPLSVTPKRLTNIDHLSSTESPDLLHNASSVKRIRLGKESVSGSEESYQQCNSRPQTSRQFENGNGMRLHEEDNSSTDSENSEMRYTISDSTIFRYCLGNIIDKSLLLKEITNNSSDDEVLEFANQVSLYSGCSHHSYQINMARELIAEGTNAWILISRNNQHVLWDNVVYEIEEHFMRISKCSSRSLTHQDFELLRRISGCYEYVTQVNFEKMWCWLFPVAYSISRGLINGMWRSSSPKWIEGFITKEEAEHSLQGQEPGTFILRFPISRIWPHPDAGSLVVSYVGHDFALHHKQLKIDNMCESSERYMDAKPLQDVLLAEPELSRLGRIIRSH >fgenesh2_kg.2__2149__AT1G78550.1 pep chromosome:v.1.0:2:18262486:18264093:-1 gene:fgenesh2_kg.2__2149__AT1G78550.1 transcript:fgenesh2_kg.2__2149__AT1G78550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7KVC2] MEAEGEERQWSSLIVPSVLEIVKEKNFTTVPPRYVRPDQGKTEILNDSSLSSEIPVIDMKRLCSVSAMDSELKKLDFACQDWGFFQLVNHGIDSSFLDKLETEVQEFFNLSMEEKQKLWQRNGEFEGFGQVNIVSEDQKLDWGDMFILTTEPIRSRKSHLFSKLPPSFRETLETYSSQVKSIAKILFAKMASVLEIKREEMEDLFDDVWQSIKINYYPPCPQPDQVIGLTPHSDAAGLTILLQVNQVEGLQIKKDGKWVVLKPLRDALVVNVGEILEIITNGRYRSIEHRAVVNSEKERLSVAVFHSPGKETVVGPAKSLVDRQKQSLFKSMSTQEYFDAFFAQKLNGKSHLDLMRI >fgenesh2_kg.2__2151__AT1G78570.1 pep chromosome:v.1.0:2:18267309:18270298:1 gene:fgenesh2_kg.2__2151__AT1G78570.1 transcript:fgenesh2_kg.2__2151__AT1G78570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHM1/ROL1 [Source:UniProtKB/TrEMBL;Acc:D7KVC4] MASYTPKNILITGAAGFIASHVANRLIRSYPHYNIVVLDKLDYCSNLKNLNPSKQSPNFKFVKGDIASADLVNHLLITEGIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDALVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGQVLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVNDVARDICKLFSMDPEANIKFVENRPFNDQRYFLDDQKLKKLGWSERTTWEEGLKKTMEWYTQNPEWWGDVSGALLPHPRMLMMPGGRHFDGSEDNSLAATLSEKPSQTHMVVPSPRSSGTPQKPSLKFLIYGKTGWIGGLLGKICEKQGIAYEYGKGRLEDRSSLLQDIQSVKPTHVFNSAGVTGRPNVDWCESHKTETIRANVAGTLTLADVCREHGLLMMNFATGCIFEYDDKHPEGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTVLDELLPISVEMAKRNLKGIWNFTNPGVVSHNEILEMYRDYINPEFKWANFTLEEQAKVIVAPRSNNEMDASKLKKEFPELLSIKESLIKYAFEPNKKT >fgenesh2_kg.2__2152__AT1G78580.1 pep chromosome:v.1.0:2:18270212:18275608:-1 gene:fgenesh2_kg.2__2152__AT1G78580.1 transcript:fgenesh2_kg.2__2152__AT1G78580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-6-phosphate synthase [Source:UniProtKB/TrEMBL;Acc:D7KVC5] MPGNKYNCSSSHIPLSRTERLLRDRELREKRKSNRARNPNDIAGSSDNSENDLRLEGDSSRQYVEQYLEGAAAAMAHDDACERQEARPYNRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEVGQKALSKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNEHYEEGDVVWCHDYHLMFLPKCLKEYNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFIRALEVPDVIQHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENASWRDKVVLLQIAVPTRTDVPEFSFTHGNITKHLLKKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFYALCALYAVTDVALVTSLRDGMNLVSYEFVACQEAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGQALNMTAEEREKRHRHNFHHVKTHTAQEWAETFVSELNDTVIEAQLRISKVPPELPQHDAIQRYSKSNNRLLILGFNATLTEPVDNQGRRGDQIKEMDLNLHPELKGPLKALCSDPSTTIVVLSGSSRSVLDKNFGEYDMWLAAENGMFLRLTNGEWMTTMPEHLNMEWVDSVKHVFKYFTERTPRSHFETRDTSLIWNYKYADIEFGRIQARDLLQHLWTGPISNASVDVVQGSRSVEVRAVGVTKGAAIDRILGEIVHSKLMTTPIDYVLCIGHFLGKDEDVYTFFEPELPSDIPAIARARPSSDSGTKSSSGDRRPPSKLTHNNNKSGSKSSSSSNSNNNNKSSQRSLQSERKSGSNHSLGTSRRPSPEKISWNVLDLKGENYFSCAVGRTRTNARYLLGSPDDVVCFLEKLADTTTSAP >fgenesh2_kg.2__2153__AT1G78590.1 pep chromosome:v.1.0:2:18283526:18285776:1 gene:fgenesh2_kg.2__2153__AT1G78590.1 transcript:fgenesh2_kg.2__2153__AT1G78590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATNADK-3/NADK3 kinase 3 [Source:UniProtKB/TrEMBL;Acc:D7KVT0] MAIRKLLLLLKPIDPYPFLQTEGSSLIKNPQVLKYLESRCKVHRNAINFCQEILSKKPVEWKPISRNDLSHPIRDVDMVITVGGDGTLLHASHFIDDSVPVLGVNSDPTQAQEVEELSDQFDASRSTGHLCAATVNNFEQVLDDILFGRVVPSKVSRISLKLNSELLLSHALNDILIAHPCPAAVSRFSFKIKNKNGESSPKTVNCRSSGLRICTAAGSTAAMQSAGGFVMPMLSRDLQFMVREPISPGSTASLMHSAFKPDQFMDVNWYSDHGTIYIDGCQVQHSVQLGDTIEISSDAPVLNVFLSHGISQIKSRY >fgenesh2_kg.2__2154__AT1G78600.1 pep chromosome:v.1.0:2:18286740:18288282:1 gene:fgenesh2_kg.2__2154__AT1G78600.1 transcript:fgenesh2_kg.2__2154__AT1G78600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (B-box type) family protein [Source:UniProtKB/TrEMBL;Acc:D7KVT1] MKIQCNVCEAAEATVLCCADEAALCWACDEKVHAANKLAGKHQRVPLSVSSSSIPKCDICQEASGFFFCLQDRALLCRKCDVAIHTVNPHVSAHQRFLLTGIKVGLESIDTGPSTKSSPTNDDKTMETKSFVQSIPEPQKMGFDQQQGVLPETKVSDHTSTKLPFASSGSTTGSIPQWQIEEIFGLTDFDQSYEYMENNGSSKADTCRRGDSDSSSMMRSAEEDGEDNNNCLGGETSWAVPQIQSPPTASGLNWPKHFHHHSVFVPDINSSTPYTGSSPNQRVGKRRRRF >fgenesh2_kg.2__2157__AT1G78620.1 pep chromosome:v.1.0:2:18292251:18295000:-1 gene:fgenesh2_kg.2__2157__AT1G78620.1 transcript:fgenesh2_kg.2__2157__AT1G78620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane family protein [Source:UniProtKB/TrEMBL;Acc:D7KVT3] MATISSTLLLLNSSRSVSPLRFPKFWGFSSSAPFARSCRFGRRNLEPLSNGMLSSGSRADGATAAAASMEGVMTEAMKLIQSASPTWKSAVANNLLIFVLGSPLLVTGLSASGIAAAFLLGTLTWRAYGSAGFLLVAAYFVIGTAATKVKMTQKEAQGVAEKRKGRRGPRSVIGSSAAGCVCAFLSIYQVGGAAFSQLFRLGFVSSFCTKVSDTVSSEIGKAYGKTTYLATTFKIVPRGTEGAMSIEGTLAGLLASFFLASVGCFLGQITPPEAAVCVLASQIANLGESIIGASFQDKEGFKWLNNDVVNVINISLGSIVAIFMQQFILQNWVK >fgenesh2_kg.2__2158__AT1G78630.1 pep chromosome:v.1.0:2:18295198:18296956:1 gene:fgenesh2_kg.2__2158__AT1G78630.1 transcript:fgenesh2_kg.2__2158__AT1G78630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1473 [Source:UniProtKB/TrEMBL;Acc:D7KVT4] MTVLCSSSTVILPSSSVKPSGSERKSPFLGFSLTAFSKPSVRVGIYANSKRGLQVKCEAEETTTKTSLVPANQRWMFDEEEANGPDIWNTTWYPKASDHVNTDKPWFVVDATDKILGRLASTIANHIRGKNLASYTPSVDMGAFVIVVNAEKVAVSGKKRNQKLYRRHSGRPGGMTVETFDQLQQRIPERIVEHAVRGMLPKGRLGRALFNHLKVYKGPDHPHEAQKPLDLPIRDKRIQLQK >fgenesh2_kg.2__2159__AT1G78650.1 pep chromosome:v.1.0:2:18299895:18302524:1 gene:fgenesh2_kg.2__2159__AT1G78650.1 transcript:fgenesh2_kg.2__2159__AT1G78650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTETLNILDEIESLVSDQLQVVSYKWLSRNFSLSSNTAKRLLKDFVEKQGKGLEVVYIVSGLLKNGPSNYHARLASSTELPEVEKEFNGKYSVHIYSVQASIPMDPAAIWNTEFVQAEELFRQHSAIDNCLKGNRFCGVSNSCVKRNIEGTTGNVTAPRTEIVRTTGRSNSSLNFQKSTLPSNQEKNFQHSSHNVVHQAKSETTAAPAKNQSAKSSLDKEKAFPVPANKKNGQGEKKVTGTGGLLKNMWGRVPMKTEDDTPTVEVKNYITDHSEAEKPSHDTDNKGGSDDETRDVNFMRAPKNDNRKRKVIFDFSDDEYEDVISLASPSSPKVNSRPDVELSSEDSGPEKPDADREIKSEEPEVSKEDRQKTACTTLSMEKIQAIGSEAKVNPSKGRTTEVPSSPKRKKVLKSRIDDRGREVTEVVWEETETLPKKKEDTDTSKKLNDGKSANAVNRAVVQKKSPAMGNTAATNAGGKAGSKKGGNAKDPKQGNIMSFFKKV >fgenesh2_kg.2__215__AT1G62640.1 pep chromosome:v.1.0:2:1596450:1599472:-1 gene:fgenesh2_kg.2__215__AT1G62640.1 transcript:fgenesh2_kg.2__215__AT1G62640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kas III [Source:UniProtKB/TrEMBL;Acc:D7KUN6] MANASGFFTHPSIPNLRSRIHVPIRVSGSGFCVSSRFSKWVLCSSVSSIDKHASSSPSEYQRPRLVPSGCKLIGCGSAVPSLLISNDDLAKIVDTNDEWIATRTGIRNRRVVSGKDSLVGLAVEAATKALEMAEVVPEDIDLVLMCTSTPDDLFGAAPQIQKALGCTKNPLAYDITAACSGFVLGLVSAACHIRGGGFKNVLVIGADSLSRFVDWTDRGTCILFGDAAGAVVVQACDIEDDGLFSFDVHSDGDGRRHLNASIKESQIDGESSSNGSVFGDFPPKQSSYSCIQMNGKEVFRFAVKCVPQSIESALQKAGLPASAIDWLLLHQANQRIIDSVATRLHFPPERVISNLANYGNTSAASIPLALDEAVRSGKVKPGHTIATSGFGAGLTWGSAIVRWR >fgenesh2_kg.2__2160__AT1G78660.2 pep chromosome:v.1.0:2:18302858:18305612:1 gene:fgenesh2_kg.2__2160__AT1G78660.2 transcript:fgenesh2_kg.2__2160__AT1G78660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATGGH1 [Source:UniProtKB/TrEMBL;Acc:D7KVT8] MWRYVCLPFLLLLWSDTGLAESTILLPSQAGFDGSGSPVCSSPDPNLNYRPVIGILSHPGDGASGRLTNDTSSTYIAASYVKFAEAGGARVIPLIYNEPEELLFQKLELVNGVIFTGGWAKKYDYFEIVKKIFNKALERNDAGEHFPVYGICLGFELMSIIISQNRDILERFDAEDNASTLQFVDNVNIDGTLFQRFPPELLKKLSTDCLVMQKHKYGITPANFQGNPALSSFFEILTTCIDENSKTYVSTVKAKRYPITGFQWHPEKNAFEWGSSAIPHSEDAIQVTQHAASYLVSEARKSLNRPPSKKVLSNLIYNYKPTYCGYAGRGYDEVYIFTQPRSRF >fgenesh2_kg.2__2163__AT1G78690.1 pep chromosome:v.1.0:2:18320058:18322053:1 gene:fgenesh2_kg.2__2163__AT1G78690.1 transcript:fgenesh2_kg.2__2163__AT1G78690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KVU1] MGRVMDWAARSDHLGGLPRKTMIMAVSAFAKAVANLCNKSSVHNADTLMNLVRSRPPGVPLITVSNHMSTLDDPVMWGAFKGLLSLDPELARWVLAAEDICFRNPIFSYIFRTGKCIPITRGGGIYQEHMNEALQRLKDGSWLHTFPEGKVFQEDVPIRRLKWGTASLIARCPVTPIVLPIIHRGFEEMLPENYNNGRRPLVPLCNKDLKVVVGEPIEFDVPMMVETAVLASRHVTPPLQESKWPVLTSAGQELDETAQRCLFIALSEKIQSSLETLRLLAKRL >fgenesh2_kg.2__2166__AT1G78730.1 pep chromosome:v.1.0:2:18331079:18332699:-1 gene:fgenesh2_kg.2__2166__AT1G78730.1 transcript:fgenesh2_kg.2__2166__AT1G78730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAESDAESRVRAKRSDDRRGHLDRLSKLPDSLLCQVLLNLPTKDVVKTSVLSSRWENLWRSVPGLDLGYGDFPEYNAFVSFVDSFLGFNSESCLQNFKLNYQYLRRKYEWNWTELDNANVTWWINTVIKRKVEHLHLSDVIFINPKSVSLPSVKVMYLDMVKFANDWAFEMLISGCPVLESLTIERSACDNVDYLRVCSLSLLSFTLVGHCNEDMNKEQVVAIDAHKLEYLKLYCHETASFIINNPASLVKMAIDIRFNLSFEKRFDPNDLPKRNMIRNFLIGISNVKEMDISSYTLEAICNYSRCERLPLFRNLSSLHADFDDCRDLVNLQGRSQLVFYLNLIVTYRLLATELKLMSYFLENSTILKKLTLRLGSFRGNEESAFLKKLLTIPRLSSSCQVVIL >fgenesh2_kg.2__2168__AT1G78760.1 pep chromosome:v.1.0:2:18341790:18343359:-1 gene:fgenesh2_kg.2__2168__AT1G78760.1 transcript:fgenesh2_kg.2__2168__AT1G78760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KVU6] MDRGGDAKRERRSDEDRLSNLPESLLCQIMLNIPTKDVVKSCVLSRRWRNLWRYVPGLNVEYSEFLDYNAFVSFVDRFLGVERESCFERFRLRYDCDEEERTISIVKRWINIVVNQKLKVLDVLDYTWGNEEVQIPPSVYTCESLVSMKLCNVILPNPQFISLPLVKAIELDIVKFANALVLEKLISSCSALESLIISRSSVDDIDVLRVRSRSLLRFKHIGNCSDGWDGCEVAIDAPKLEYLNISDHSTAKFRIKNSGSLVEANINIIFNMEELPDPNNRPKRKMIRDFLAEISTVKTLFISSHTLEVIFDSSCELPVFHNLSSLHIDFEDHSLKMLSTFLQSCPNLKSLVVEFKDSSEEDGVGVLSIPLCFLTTLEYVKIERPITGEARGMKLVSYILENSPILKKLTLSLKSSRENEESVILKELLTVPRLSTSCKVVVSDPRSCWLL >fgenesh2_kg.2__2169__AT1G78770.1 pep chromosome:v.1.0:2:18343558:18348127:1 gene:fgenesh2_kg.2__2169__AT1G78770.1 transcript:fgenesh2_kg.2__2169__AT1G78770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle family protein [Source:UniProtKB/TrEMBL;Acc:D7KVU7] MREEEIEKIRGVVRDCVSKHLYSSAIFFADKVAALTNDPADIYMQAQALFLGRHYRRAFHLLNASKIVLRDLRFRYLAAKCLEELKEWDQCLLMLGDAKVDEDGIVYDAKDGNVIDFDKDGEDREINISSAICFLRGKAYGALQNRSQARQWYKAAIKADPLCYEALECLIESHMLTSEEESSLLSSLQFSPEDGWLSSFYSCLIKKYDKENTVELKFKKLENETSGSVSGSSMITLANNTDLLACKAEYYHQCCEYQKCFELTAALLEKDPFHLKCTLVHLAAAMELGNSNELYLMACNLVKDYPSKALSWFAVGCYYYCIKKYAEARRYFSKATSIDGSFSPAWIGYGNSFAAQEEGDQAMSAYRTAARLFPGCHLPTLYIGMEYMRTHSYKLADQFFMQAKAICPSDPLVYNELGVVAYHMKEYGKAVRWFEKTLSHIPSVLTETWEPTVVNLAHAYRKLRKDREAISYYERALTLSTKSLSTYSGLGYTYHLQGNFSAAISYYHKALWLKPDDQFCTEMLNVALMDECQNGVDAKVELC >fgenesh2_kg.2__216__AT1G62620.1 pep chromosome:v.1.0:2:1605540:1607806:-1 gene:fgenesh2_kg.2__216__AT1G62620.1 transcript:fgenesh2_kg.2__216__AT1G62620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7KUN8] MEPALSPIRSHHVAVIGAGPAGLVAARELRREGHSVVVFEKQKQVGGTWIYTDEVESDPLSVDPTRSVVHSSVYRSLRINGTRECTGYRDYPFVVRSGVSESRDPRRYPSHGEVLAYLKDFAREFGIEEMVRFETEVVKVPPAAEGEIGKWRVESTEKEKRVRRDEIYDAVVVCNGHYVEPRLAEIPGISSWPGKEMHSHNYCIPEPFKDQVVVLIGNSSSAEDISRDIATFAKEVHVACRSNPADTFIKQTGYNNLWTHSSIECVHEDGSVVFQNGKTISVDIIMHCTGYKYHFPFLDTNGIVSVDDNRVGPLYKDVFPPAFAPWLSFIGIPWQVLPFPMFELQSKWIAGVLSGRIPLPSKEDMIMEIKTFYSTLEFQGIPKRYTHRMGNTQFEYDNWLASQCGCSETEEWRKEMCLANVVRKEAHPETYRDEWEDHHLISQAYQDFST >fgenesh2_kg.2__2173__AT1G78800.1 pep chromosome:v.1.0:2:18353765:18356111:-1 gene:fgenesh2_kg.2__2173__AT1G78800.1 transcript:fgenesh2_kg.2__2173__AT1G78800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEGSKMNIAIIHPDLGIGGAERLIVDAAVELASHGHKVHVFTSHHDKSRCFEETLSGIFRVTVYGSFLPRHIFYRLHAVCAYLRCLFVALCVLLGWSSFDVILADQVSVVVPLLKLKRSSKVVFYCHFPDLLLAKHTTALRRMYRKPIDFIEEQTTGMADMILVNSNFTASTFASTFKRLNAQGNRPAVLYPAVNIDQFNESHTYKLNFLSINRFERKKNIDLAVSAFAMLCKHKQNLSDVTLTVAGKCGYDERLKENVEYLEELRSLAEKEGVFDRVNFITSCSTAERNELLSSCLCVLYTPTDEHFGIVPLEAMAAYKPVIACNSGGPVETVKNGVTGYLCEPTPEDFSSAMARFIENPELANRMGAEARNHVVESFSVKTFGQKLNQYLVDVVSSPKED >fgenesh2_kg.2__2175__AT1G78810.2 pep chromosome:v.1.0:2:18356557:18358689:-1 gene:fgenesh2_kg.2__2175__AT1G78810.2 transcript:fgenesh2_kg.2__2175__AT1G78810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPYDDESLKQEVIYLHSLWHQGPPTRNPIPSPNFNPIQRPRSNYIPPADLQLLSRYGAVTAPIISRNPNNPQNLYNNKRPRSDSGREWPVNDVLQSPPTGSGWPEYRPCKKARPVSAEEKEKLAANMLQRDIHRTCREFFGRKSGEEDSSVAGGDDSEVDEGDEDQSSEKEESSSKEFQFLSRVFEENAKLKEYYEKNTGNGEFWCLVCGGTGEKSCRKFKSCLALIQHSLAIHKTDLKTQHRALAQVVCNVLGWDVTNPVASSQKDSQTVVEGASEPPSDSKIPQEKQQVMSVEEHAKAAVLQMQQNASEALKDIFAKDGTNSVDGIEEKENLSEELELISRVFSENVELKSYYEKNYEGGAFICLMCCAATDTKMIKRFKHCYGVVQHCTKVPKMKIRAHKAFARFVCELLGWDFELLPRRVMKGVASLAIFNANENNENPSSAVEEHMCEDKADNPQDNDEAEACVG >fgenesh2_kg.2__2176__AT1G78830.1 pep chromosome:v.1.0:2:18367239:18368822:-1 gene:fgenesh2_kg.2__2176__AT1G78830.1 transcript:fgenesh2_kg.2__2176__AT1G78830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFHILLTLALAIATVSVVIAQVPPEKQFRVVNDGEFGQYITEYDASYRFIESSNQSFFTSPFQLLFYNTTPSAYILGLRVGLRRDESTMRWIWDANRNNPVGENSTLSLGRNGNLVLAEADGRVKWQTNTANKGVTGFRILPNGNMVLHDKNGKFVWQSFDHPTDTLLNGQSLKVNGVNKLVSRTSDLNGSDGPYSMVLDNKGLTMYVNKTGTPLVYGGWPDHDFRGTVTFAVTREFDNLTEPSAYELLLEPAPQPATNPGNNRRLLQVRPIGSGGGTLNLNKINYNGTISYLRLGSDGSLKAYSYFPPATYLKWEESFSFFSTYFVRQCGLPSFCGDYGYCDRGMCIACPTPKGLLGWSNKCAPPKTTQFCSGKAVNYYKIVGVEHFTGPYVNDGQGPTSVNDCKAKCDRDCKCLGYFYKEKDKKCLLAPLLGTLLKDANTSSVAYIKY >fgenesh2_kg.2__2181__AT1G78880.1 pep chromosome:v.1.0:2:18379638:18382955:-1 gene:fgenesh2_kg.2__2181__AT1G78880.1 transcript:fgenesh2_kg.2__2181__AT1G78880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYASHQLSNGLFVSGRPEQPKEKPPTMSSVAMPYTGGDIKKSGELGKMFDIPTDGTKSRKSGPITGGSSRSGTQSGPVPNATGRMSGSLASAGSNSMKKTNSGPLSKHGEPLKKSSGPQSGGVTRQNSGPIPILPTTGLITSGPITSGPLNSSGAPRKISGPLDYSGSLKTHMPSVVHNQAVTTLAPEDDFSCMKSFPKPVLWLVILIFVMGFLAGGFILGAVHNAILLVVVAILFTVVAALFIWNISCERRGITDFIARYPDADLRTAKNGQYVKVTGVVTCGNVPLESSFHRVPRCVYTSTCLYEYRGWGSKPANASHRRFTWGLRSAERHVVDFYISDFQSGLRALVKTGNGAKVTPLVDDSVVIDFKPGSEQASPDFVRWLGKKNLTNDDRIMRLKEGYIKEGSTVSVIGVVQRNDNVLMIVPTTEPLAAGWQWSKCTFPASLEGIVLRCEDSSNVDAIPV >fgenesh2_kg.2__2183__AT1G78890.1 pep chromosome:v.1.0:2:18383543:18385081:1 gene:fgenesh2_kg.2__2183__AT1G78890.1 transcript:fgenesh2_kg.2__2183__AT1G78890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFSPSLSLYRCRFAAASLLPSSQTIFFRSQSSNRRFNRFGEIYEIDIAADPLLHKLEDAVHRIMVRRSAPDWLPFVPGASYWVPPPRSQTHGIAKLVEKLANPISDEESISISSVRGWPCSDYFIKGVKPQSVETEMTSNTASDSDDEE >fgenesh2_kg.2__2185__AT1G78900.1 pep chromosome:v.1.0:2:18387037:18391885:1 gene:fgenesh2_kg.2__2185__AT1G78900.1 transcript:fgenesh2_kg.2__2185__AT1G78900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHA-A [Source:UniProtKB/TrEMBL;Acc:D7KVW2] MPAFYGGKLTTFEDDEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLTVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIARISGDVYIPRGVSVPALDKDCPWEFQPNKFVEGDTITGGDLYATVFENTLMNHHVALPPDAMGKITYIAPAGQYSLKDTVIELEFQGIKKSYTMLQSWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERDGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALESFYEKFDPDFITIRTKAREVLQREDDLNEIVQLVGKDALAEGDKITLETAKLLREDYLAQNAFTPYDKYCPFYKSVWMMRNIIHFYNLANQAVERAAGMDGQKITYTLIKHRLGDLFYRLVSQKFEDPAEGEDTLVAKFKKLYEDLTAGFRALEDETR >fgenesh2_kg.2__2187__AT1G78910.1 pep chromosome:v.1.0:2:18392184:18394563:1 gene:fgenesh2_kg.2__2187__AT1G78910.1 transcript:fgenesh2_kg.2__2187__AT1G78910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKAKTCFRQIFLGVVTRRYSRVAPPPSSVLRVTNNIAHLGPPKQGPLPRQLISLPPFPGYPLPGKNAGVDGDEGDSGHVTAISWVKFYFEEIHDKAIQTHFTKGLVQMEFRGRRDASRENEDGAIPMRKIKHNEVMEIGDKIWLPVSIAEMRISKRYDTIPSGTLYPNADEIAYLQRLVRFKDSAIIVLNKPPKLPVKGNVPIHNSMDALAAAALSFGKDEGPRLVHRLDRETSGLLVMGRSKESIHYLHSVFSDYKGRNSSCKAWNKACEAMYQQYWALVIGSPKEKEGLISAPLSKVLLDDGKTDRVVLAQGSGFEASQEAITEYRVLGPMINGCSWLELRPITSRKHQLRVHCAEALGTSIVGDYKYGWFVHKRWKQMPQVDIEPTTGKPYKLRRPEGLDVQKGSVLSKVPLLHLHCREMVLPNIAKFLHVLNQQETEPLRTGIIDKPDLLRFVASMPSHMKISWNLMSSYLV >fgenesh2_kg.2__2189__AT1G78920.2 pep chromosome:v.1.0:2:18398926:18404956:1 gene:fgenesh2_kg.2__2189__AT1G78920.2 transcript:fgenesh2_kg.2__2189__AT1G78920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar H+-pyrophosphatase 2 [Source:UniProtKB/TrEMBL;Acc:D7KVW5] MMMDEDIEQASLMSFNDRPRAFPNMRSKTYSPLIFRILRKLNVRVLSIILLFCFGAIFYVGASTSPIIVFVFAVCIISFLLSIYLTKWVLAKDEGPPEMVEISDAIRDGAEGFFRTQYSTISKMAVLLAFVILCIYLFRSLTPQQEAAGLGRAMSAYITVAAFLLGALCSGIAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVIGIAILYSTFYVWLGVDSPGSMNVTDLPLLLVGYGFGASFVALFAQLGGGIYTKGADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAKKCKIEDPSGFILFPLVVHSFDLIISSIGILSIKGTRDASVKSPVEDPMAVLQKGYSLTIILAVLTFGASTRWLLYTEQAPSAWFNFALCGLVGIITAYIFVWISKYYTDYKHEPVRTLALASSTGHGTNIIAGVSLGLESTALPVLTISVAIISAYWLGNTSGLVDENGIPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDLLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVSAFANVSFKEVDIAIPEVFVGGLLGSMLIFLFSAWACAAVGRTAQEVVNEVRRQFIERPGIMEYKEKPDYSRCVAIVASAALREMIKPGALAIASPIVVGLVFRILGYYTGQPLLGAKVVASMLMFATVCGILMALFLNTAGGAWDNAKKYIETGALGGKGSEAHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPVFL >fgenesh2_kg.2__218__AT1G62600.1 pep chromosome:v.1.0:2:1609038:1610967:-1 gene:fgenesh2_kg.2__218__AT1G62600.1 transcript:fgenesh2_kg.2__218__AT1G62600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7KUP0] MAPSLSPIRSHHVAVIGAGAAGLVAARELRREGHSVVVFERQKQVGGTWIYTDHIEPDPLSVDPTRSVVHSSVYGSLRTNLPRECMGYRDFPFTIRSGVSESRDPRRFPSHSEVLAYLQDFAKEFAIEEMIRFETAVVKVAPAVDKGIGKWRIESMEKEKKVRCDEIYDAVVVCNGHYIEPRLAEIPGISSWPGKEMHSHNYRTPEPFRDQVVVLIGNSASADDISRDIARVAKEVHVACRSNEADTFIKRPGYSHLWMHSMIESVHEDGSVVFQNGKTISVDVIMHCTGYKYHFPFLETNGNVTVDDNRVGPLYKDVFPPAFAPWLSFIGIPWKVVPFPMFELQSKWIAGVLSGRIPLPSKEDMLMEIKTLYATLEAQGIAKRYTHQMGITQFEYNHWLASQCGCSETEEWRKEMYLTTGVRKRAHPETYRDEWDDHHLVSQAYQDFSLYA >fgenesh2_kg.2__2191__AT1G78930.1 pep chromosome:v.1.0:2:18405558:18408259:-1 gene:fgenesh2_kg.2__2191__AT1G78930.1 transcript:fgenesh2_kg.2__2191__AT1G78930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLHSSCYFETLTLISPPLQSCKFGFNSLFFSPPRVTLLSAYHHSGRFAVSASFQHGDTNLSSNSSQTNHEDAQNSIAEFLRRDIGLSEADSDFISENCPKYTRMIVEGVRDLEEWNSWKGSGESVGIEGLGFKEKVIYMVKQKGDGGKVAFLESLGLSLSSAMYLAHYVSSESLTILLDKVKYLKEIFFSGSDEKGLVGKYARRMMLYLSIPIDEDVQQTLSFFEKIEARRGGLDMLGSVDASFRFLIESFPRLLLLSEENDMKPMVEFLESIGIPKYCLGKLLLLYPPILLGKTEEIKRRVAAALEKLSVVDKDSGKVLLKYPWILSPSIQENYSHIVSFFYSESVLKMDIDHAIERWPLLLGCSASNMEMMVKEFDKLGVRDKRMGKVIPKMPQLLLCKPQDFLKVVCFLEDLGFQKEIVGQILCRCPEIFGCSIDKTLQKKLIFLTRYGVSTTHFPRIIKKYPEFLIYDADKTVLPRLKYLMEIGISEREIAFMIRKFSPILGYSIDKVLRPKFEFLVNSMEKPVREVIEYPRYFSYSLEKRIKPRFWVLEGRNIECTLQEMLGKNDEEFAADFLGLGELQTHSETS >fgenesh2_kg.2__2195__AT1G78970.2 pep chromosome:v.1.0:2:18432773:18437151:1 gene:fgenesh2_kg.2__2195__AT1G78970.2 transcript:fgenesh2_kg.2__2195__AT1G78970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:D7KVX0] MWKLKIGKGNGEEPHLFSSNNFVGRQTWEFDHQAGSPEERASVEEARRSFLINRSRVKGCSDLLWRMQFLREKKFEQGIPKPTKIKEEITYETTTNALRRGVRYFSALQASDGHWPGEITGPLFFLPPLIFCLYITGHLEEVFDAEHRKEMLRHIYCHQNEDGGWGSHIESKSVMFCTVLNYICFRMLGENPEQDACKRARQWILDRGGVIFIPSWGKFWLSILGVYEWSGTNPTPPEILMLPSFLPIHPDSRYWRTLCYSRMVSIPMSYLYGKRFVGPITPLILLLRGELYLESYEEISWNKTRRLYAKEDMYYPHPLVQDLISDTLHNFVEPFLTRWPLNKLVREKALQLTMKHIHYEDENSHYITIGCVLCMLACWVENPNGDYFKKHLARIPDYMWVAEDGMKMQSFGCQLWDTGFAIQALLASNLPDETDDALRRGHNYIKTSQVRENPSGDFKSMYRHISKGAWTFSDRDHGWQVSDCTAEALKCCLLLSMMPADIVGQIIDDEQLYDSVNLLLSLQSGNGGVNAWEPTRAYEWMELLNPTEFMANTMVERKFVECTSSVIQALDLFRKLYPDHRTKEINKSIKKAVQFIQGKQTADGSWYGNWGVCFIYATWFALGGLAAAGETYNDCLAMRKGVHFLLTTQRDDGGWGESYLSCSEQRYIPLEGERSNIVQTSWAMMALIHTGQAERDLIPLHRAAKLIINSQLENGDFPQQEIVGAFMNTCMLHYATYRNTFPLWALAEYRKVILIN >fgenesh2_kg.2__2197__AT1G78980.1 pep chromosome:v.1.0:2:18437132:18440962:-1 gene:fgenesh2_kg.2__2197__AT1G78980.1 transcript:fgenesh2_kg.2__2197__AT1G78980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7KVX1] MTQKLVSLLIVSLAIIVTLVQAKTDNQEVSGLNVMFTSLNSPSKLKGWKPNGGDPCDGSWEGVKCKGSSVTELQLSGFELSGSLGYLLSNLKSLTTFDLSKNNLKGNIPYQLPPNIVNLDFSENELDGNVPYSLSQMKSLQSINLGQNKLNGELPDMFQKLSKLVTLDLSLNQLSGKLPQSFANLTSLKKLHLQENRFTGDINVLRNLAIDDLNVEDNQFEGWIPNELKDIDSLLTGGNDWSTETAPPPPPGVKYGRKSSGSKDGGGVTAGNGMMIAGACLGVLVLIAVLIALVSKKKSSLSPHFIDEDNSHHTPKFKSITSHGSAQELRVDFGNDYKDGKSGDSDDENIHRIGSKGLKHSVSSRVMSFTDTEFANKLNAKRTTSTRSTVEFELSDLQSATANFSPGNLLGEGSIGRVYRAKYSDGRTLAVKKIDSTLFDSGKSEGITPIVMSVSKIRHQNIAELVGYCSEQGHNMLVYEYFRNGSLHEFLHLSDCFSKPLTWNTRVRIALGTARAVEYLHEACSPSVMHKNIKSSNILLDADLNPRLSDYGLSKFYLRTSQNLGEGYNAPEAKNPSAYTPKSDVYSFGVVMLELLTGRVPFDGEKPRPERSLVRWATPQLHDIDALSNIADPALHGLYPPKSLSRFADIIALCVQVEPEFRPPMSEVVEALVRMVQRSSMKLKDDLSSSYRAHDEYDY >fgenesh2_kg.2__2199__AT1G79000.1 pep chromosome:v.1.0:2:18449845:18458041:-1 gene:fgenesh2_kg.2__2199__AT1G79000.1 transcript:fgenesh2_kg.2__2199__AT1G79000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQAHMSGQISGQVPNQGTMSQQNGNSQMQNLVGGGAAPAGAGLGPSRVSPVDNDILKLRQAMRIRIFNILQQKQPSPADEASKAKYMDVARRLEEGLFKIANTKEDYVNQSTLEPRLASLIKGRQLNNYNQRHANSSSVGTMIPTPGLQHSGGNPNLMITSSVDATMAGSNNITTSAMNTGNLLNPGGMLGGNLSNGYQHSSSNFGLGSGGNMSSMSSQRNTGQMMPTPGFVNSSTNNNSNNGQSYLSVEASNNNGGFSAAPMMVPQTQQQQQQQQQLRQDIGGQNSRMLQNHGSQMGVGLRPGMQQKMSNVSNSSINGGVGMNAKSVDSGTSYSNPTRNSQQAYDNLQRSGMQGEGYGTNNSDPFGSGNLYGAVTSVGMMTNTQNANTASFQSVSRSSSSLPHQQPQFQQQPNRFQQQPNQFHQQQQQFLHQQQLKQQSQQQQRFISHDAFGQNNVASDMVTHVKHEPGMENPSESIHSQTPEQFQLSQFQNQYQNNAEDRHGGSQILPVTSQSDMCTSVPQNSQQIQQMLHPQSMASDSINGFSNLSVGVKTESGMHGHWQSQSQEHTQMSNSMSNERHIQEDFRQRMSGTDEAQPNNMSGGSIIGQNRVSTTSESLNPQNPTTTTCRYGNGNRDPRFRNQQKWLLFLRHSRNCKAPEGKCPDRNCVTVQKLWKHMDSCAAPQCSYPRCRPTKTLINHHRNCKESNCPVCIPVKAYLQQQANARSLARLKNETDAARSVNGGGISSDTVQTSAGAISCASPGAAISDHLQPSLKRLKVEQSSQPVDVETESSKSSVVSVTEAQSSQYVERKDHKHSDVRAPSKYFEVKAEVSDVSVQTRPGFKETKIGITENIPKQRPVSQPDKQDLSDVSPRLENTKVEKEPESLKKENLAESTEHTSKSGKPEIKGVSLTELFTPEQVREHIRGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMYYTVGAGDTRHYFCIPCYNESRGDNILAEGTPIPKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPYCFIAEVEESKRKPLPQSAVLGAKDLPRTILSDHIEQRLFKRLKQERTERARAQGKSYDEIPTAESLVIRVVSSVDKKLEVKPRFLEIFREDSYPTEFAYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECAFPNQRRVYLSYLDSVKYFRPEVRSYNGEALRTFVYHEILIGYLEYCKLRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKASKEGIVAETINLYDHFFMQTGECRAKVTAARLPYFDGDYWPGAAEDLIYQMSQEEDGRKGNKKGMLKKTITKRALKASGQTDLSGNASKDLLLMHKLGETIHPMKEDFIMVHLQPSCTHCCILMVSGNRWVCSQCKHFQICDKCYEAEQRREDRERHPVNFKDKHALYPVEIMDIPADTRDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNACHLDIETGQGWRCEVCPDYDVCNSCYSRDGGVNHPHKLTNHPSLADQNAQNKEARQLRVLQLRKMLDLLVHASQCRSPHCQYPNCRKVKGLFRHGIQCKVRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGGSG >fgenesh2_kg.2__21__AT1G64550.1 pep chromosome:v.1.0:2:126002:131676:-1 gene:fgenesh2_kg.2__21__AT1G64550.1 transcript:fgenesh2_kg.2__21__AT1G64550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATGCN3 [Source:UniProtKB/TrEMBL;Acc:D7KS83] MTEVASSVVYEVLGRRAQDVDEPIMDYIINVLADEDFDFGEEGEGAFDAVGELLVAAECVSDFDECRLVCSKLSDKFGKHGLVKPTPTVRSLAMPVRMNDGMDDGPVKKKKPEPVDGPLLTERDLAKIERRKKKDDRQRELQYQQHVAEMEAAKAGMPTVSVNHDTGGGSAIRDIHMDNFNVSVGGRDLIVDGSITLSFGRHYGLVGRNGTGKTTFLRYMAMHAIEGIPTNCQILHVEQEVVGDKTTALQCVLNTDIERTKLLEEEIQILAKQREMEEPTAKDGLPTKDTVEGDLMSQRLEEIYKRLDAIDAYTAEARAASILAGLSFTPEMQLKATNTFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLTKWPKTFIVVSHAREFLNTVVTDIIHLQNQKLSTYKGNYDIFERTREEQVKNQQKAFESSERSRSHMQAFIDKFRYNAKRASLVQSRIKALDRLAHVDQVINDPDYKFEFPTPDDKPGPPIISFSDASFGYPGGPLLFRNLNFGIDLDSRIAMVGPNGIGKSTILKLISGDLQPSSGTVFRSAKVRVAVFSQHHVDGLDLSSNPLLYMMRCYPGVPEQKLRSHLGSLGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHLLLLDEPSNHLDLDAVEALIQGLVLFQGGICMVSHDEHLISGSVDELWVVSDGRIAPFHGTFHDYKKLLQSST >fgenesh2_kg.2__2201__AT1G79020.1 pep chromosome:v.1.0:2:18461687:18464849:-1 gene:fgenesh2_kg.2__2201__AT1G79020.1 transcript:fgenesh2_kg.2__2201__AT1G79020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KVX5] MSRLSFRPRPLDIHKKLPILKSFKDFEDDETPTSTTRNSQLLRIASVEVDNEVAPVPSKKPASEIPTPQFVIVDTYERDYSPTFGQPASYLRARGARSELGEFVEYDLDNEDEDWLYEFDKDKKELPPEKLESVIFKLEVLDHKTRERAGVITPTLGSPVPVLLQFDAAAAALHLLSINYGIFQAIFNYWKEKCKRWQKPILRRLQPPPPVNDTNPYNVFRPREKVHRLHTRRMQRRENNVQSFEKLRQVRRNLGQAQTILEALIKREEKKRDVMDSEVSLQRIQLQYRHETELLEDSLALPGFPPTTTSYKFGSSDDELMDSDDHTSTRVRTRPAVIPNSRYTNLNLNASQPGGMKQEVRRRQSQHGWLHRLDPNEPVMLFTKPLVPDKLAAAGIVPPAPDSSPSRFQGRIGRGGRIIFDRWNPLMQSHINCGNSYYIAPNHRSTNF >fgenesh2_kg.2__2203__AT1G79040.1 pep chromosome:v.1.0:2:18471774:18472714:1 gene:fgenesh2_kg.2__2203__AT1G79040.1 transcript:fgenesh2_kg.2__2203__AT1G79040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KVX7] MAASVMLSSVTLKPAGFTVEKAAARGLPSLTRARPSFKIVASGVKKIKTDKPFGINGSMDLRDGVDASGRKGKGYGVYKYVDKYGANVDGYSPIYNENEWSASGDVYKGGVTGLAIWAVTLAGILAGGALLVY >fgenesh2_kg.2__2206__AT1G79060.1 pep chromosome:v.1.0:2:18477478:18479321:1 gene:fgenesh2_kg.2__2206__AT1G79060.1 transcript:fgenesh2_kg.2__2206__AT1G79060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KVX8] MASVCVNNVTISQEFPTYGCFNPRASFSREDGGRTSGSAASEIPKEETAVGAGDFEFRLEEDPVGMLPADELFSDGKLVTKQQQRTVETGGKCRRMEVVEMEIAGGGDICSFSPKAPRCSSRWRDLLGLKRFSQNSKAASTTIPSNPRSSTSSLKQFLHRSSRSSSSSSDASLLMSLPLLKDSDCESISISSSRMSLSSSSSGHDHEDIPRLSLDAERPNHNHNLNHNLTANPFAPARSLNPNPPRMRLVNHSSAGTGGGRVGRSPMRRSGGETSQTSAITSRGVSVDSPRLNSSGKIVFQSLERSSSSPSSFNGGTSGYRHRGMERSYSSNVRVTPVLNVPVCSIRGGSVVFGQFFSSSSTTTTTERRLISVEAVTPRIES >fgenesh2_kg.2__2212__AT1G79110.1 pep chromosome:v.1.0:2:18494605:18495976:1 gene:fgenesh2_kg.2__2212__AT1G79110.1 transcript:fgenesh2_kg.2__2212__AT1G79110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7KVY3] MAVDAHHLLLPPPQLFSNRELMMNNTTMEPTSGGFCNNNQTVVSPFSVPNDTTTTTATPPLLHMYGGADTITTTADYYADGSANLDCDFFPLPTRKRSRDSSSRSNYYHHHLLLQSPRSSSSSSCINAATTTPISFLGQDIDISSHMNHQQHEIDRFVSLHMERVKYEIEEKRKRQARTIMEAIEQGLAKRLRVKEEERERLGKINHALEERVKSLSMENQIWRDLAQTNEATANHLRTNLDQVLAQVKDIRGAGLENNMNEEDDAESCCGSSCGEETVRRTVGTEAQDKAERRRRMCRNCGEEESCVLLLPCRHLCLCGVCGSSVHTCPICRSPKNASVHVNMSS >fgenesh2_kg.2__2213__AT1G79120.1 pep chromosome:v.1.0:2:18502760:18504383:-1 gene:fgenesh2_kg.2__2213__AT1G79120.1 transcript:fgenesh2_kg.2__2213__AT1G79120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KVY4] SRSQWTVVQVRHKSGGGGWRPKQKVYHRVHELDKAIDLNKKPALILQLKSIIQAQKHGSLLLRDLEKHVGFVHKWNLMAAIEKYPSIFYVGGGNKEPPFVMLTEKANKIAAEEGEATESMEPILVNNLRKLLMMSVDCRVPLEKVEFIQSAMGLPQDFKSTLIPKYPEFFSLKVINGKVNLVLENWDSSLATTAREDRLSREGVPESVGDRKRVRITKDGNFLGRNAFKVSFPPGFRPNASYLEEFEKWQKMEFPSPYLNARRFDAADPKARKRVVAVLHELLSLTMEKRVTCAQLDAFHSEYLLPSRLILCLIKHQGIFYITNKGARGTVFLKDAYAGSNLIEKCPLLLFHDRFVALCGRKEVNLSNEMQSSSVFS >fgenesh2_kg.2__2214__AT1G79130.1 pep chromosome:v.1.0:2:18507171:18507764:1 gene:fgenesh2_kg.2__2214__AT1G79130.1 transcript:fgenesh2_kg.2__2214__AT1G79130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7KVY6] MKPLIRRLSRIADSSSCNRNRSSSIHHPTSASSSVFLVKRATVASSVPSGHVPVNVGEEMERFVVSAELLNHPVFVGLLNRSAQEYGYAQRGVLHIPCNVFVFEQIVESLRSGIADTSELIASLSGEDVSTE >fgenesh2_kg.2__2216__AT1G79180.1 pep chromosome:v.1.0:2:18524317:18525598:1 gene:fgenesh2_kg.2__2216__AT1G79180.1 transcript:fgenesh2_kg.2__2216__AT1G79180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCDKTKVKRGPWSPEEDLKLISFIQKFGHENWRSLPKQSGLLRCGKSCRLRWINYLRPDLKRGNFTLEEEETIIKLHHNYGNKWSKIASQLPGRTDNEIKNVWHTHLKKRVVQRSGSADEPASPCSSGSVSRGKDDKSSQVEDYLNRENNQESTSLSSGGSNHSNQQDDPKITFEYIEEAYSEFNDIIQEVDKPDLLEIPFDSDADIWSFLDTSNSTANENSSGSRTTEEESDEEEVKKWFKHLESELGLEEDDNQQQHKQGTQHKEEESSSSLLKTYELMIH >fgenesh2_kg.2__2217__AT1G79190.1 pep chromosome:v.1.0:2:18526603:18532173:1 gene:fgenesh2_kg.2__2217__AT1G79190.1 transcript:fgenesh2_kg.2__2217__AT1G79190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVVIRHETSGDDVEGEAEREAVFAQLKVLCLELLNQSQNPEKDPATIPALLHLLRRTPPSSLQSFFHYTLFPLLLLLDAAVACRSQGNNHPDEFPKTPHRVSDKVAEGVISCLEELLKKCHIGSIDQMVVIMKKLTSAAILSPSKAAEEFREGIIKCFRAMISGLLPCFDDSCSCKRTVGWPQLSDRRDYQTQVSESYKYDFENRECLLAFLQSQSALAAVGHWLSILLKVADAEASRGHRGSANLRVEAFMALRILVAKIGTADVLAFFLPGVVSQIAKVLHVSRAMISGAAGSVDALDQAIRGLAEFLMIVLEDEANSSALDISDDDTKSQKHESAHSILDELRSLTTKSQGQSVELTEITNQEIVTINVPEKSNLNLSRDSFHVERTKKWLDSTTSHVNKLLCEAFPHILIHPAGKIRWGFLAAIRGLLSKSSCSLKGARLVMLECVCTLAVDDSDEVSVAAQEFLDDLFSESTKYHVESDIIKIFSRLLERLPKVVLGNEELPALSVVKHHNSAFTGSLEKLIAERPSSSTGYLPSITELKVGFRETRYNRAVPNITETDLKLYEMLAGILRLVGLSLMAGFKNEGHLAVILDIPLGVVRKLVSEVRVKEYNGEDWQLWCNRTGSGQLVRQAATAACILNEMIFGLSDQAMDALSRLLRKSRKGRDKLSWEISWNKRAKTNLIDCVGKILHEYQSSEVWDLPVDQKTILGQTDTDVQHISLHFLRDSAMLQQVIIEGIGVFSLCLGNDFASSGFLHSSLYLLLESLTCSSFQVRNASDAVLRLLASTSGHPTVGHLVVANADYVIDSICRQLRHLDLNPHVPNVLAAMLSYIGVAHDILPLLEEPMRLVSQELEIVGRQQHPNLTLPFLKAVGEIVNASKNEACLLPERAKSYSDHVKAKATDAITSRQERGSDSNKIVDDEEEWENTLLELNRSKRYRRTVGSIASSCLIAATPLLVSSNQVSCLVSLDIIEEGVVALAKVEEAYRAETETKETIEEVIEYASLYQLKDYMNASDDGADENRLLPAINKIWPFCVACIRNRNPVAVRRCLVVITRIIQTSGGDFFSRRFRNDGPDFWKLLTTSPFHIMTPKNLRENNKSALRLPYRAISESSSLIAEVSSLKVQAALLDMIAELSRDKRSASALDAVLKKVAGLVVGIACSSVTGLRESALNALRGLACIDPDLIWILLADVYYSLKKKDLPLPPSPGFPDISRVLPSRPAEDSQTRFLYVEYGGRSYGFELEFSSVEIIFKKMQSLVFVDQMHC >fgenesh2_kg.2__2219__AT1G79220.1 pep chromosome:v.1.0:2:18536943:18538333:1 gene:fgenesh2_kg.2__2219__AT1G79220.1 transcript:fgenesh2_kg.2__2219__AT1G79220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:UniProtKB/TrEMBL;Acc:D7KVZ3] MHSTGKRNFLAVIRLLFTSSSLIRKAGFRNSLSATFATRCSTLCLNDADKCIVDSELSVVSSRRVNHIKKVECVGEKEKVREIPSSPLQVLRRWGCDDDEISKLFTRRPALQRANVAQLEFKLSLLKPLGITSSDLVKILNCRPRFFSCRIHLVLDERINYFMEILGSKEVLRRVIIRNPSLMLYDLDDKIKPAIEFYKGLGCSQQDLVTMLISRPTLIPRTNFNKEKFEYIQKTGVTRESKMFKYVAAIIGVSRMETIEEKVRNLEKFGFSEEEIWHLCGKCPILLSLSVEKVQRNMTFVIASMKLPAHSVVKHPCLLLLNLESRLKPRADLVKRVLEMRLKPLIKEVNIFTALRMSEKRFLKVYVMCHPKDIADELMEFYEKSKNMKRLAEKYKKYIRKGFPF >fgenesh2_kg.2__221__AT1G62580.1 pep chromosome:v.1.0:2:1613702:1615919:-1 gene:fgenesh2_kg.2__221__AT1G62580.1 transcript:fgenesh2_kg.2__221__AT1G62580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7KUP1] MVPAVNPPTTSCHVAVIGAGAAGLVAARELRRSGHSVVVFERGNQIGGVWAYTPNVEPDPLSIDPTRPVIHSSLYSSLRTIIPRECMGFTDFPFSTRPENRSRDPRRHPGHSEVLAYLKDFAREFKIDEMIRFDTEVVRAEPAAKNPKKWRVESRNSGDVSDEIYDAVVVCNGHYTEPRHALIPGIDSWPGKQIHSHNYRVPDQFRDQVVVVIGSSVSGVDISRDIANVTKEVHVSSRSTTPETYEKLPGYDNLWLHSTIETVREDGSVVFGIERRYKYYFPFLDTKGEVIVEDNRVGPLYKHVNDFTSGRLFMSIDLLVNVLDVYSKWVAVVLAGRVKLPSQEEMMEDTKMFYAKLEASCIPKRYTHLMAELDSQFVYDNWLADQCDYPRIEKWREQMFYNVFKRIQAQSNKYKDDWDDDHLIAEAYEDFVKFTSNYPSSLIES >fgenesh2_kg.2__2223__AT1G79245.1 pep chromosome:v.1.0:2:18551859:18578130:-1 gene:fgenesh2_kg.2__2223__AT1G79245.1 transcript:fgenesh2_kg.2__2223__AT1G79245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDDPSLDPIISWSKSNNSFIVWNLEGLHREVLPKSIEFGKHYLKFMTELKFYVSFIYFGIVSHLVFVVVDRALEELRGQSNGNLDMSIFFIYVYICKDYISEFGIVSHLVFVVVDRALEELRGQSNGNLDMSIL >fgenesh2_kg.2__2224__AT1G79270.1 pep chromosome:v.1.0:2:18584625:18588199:1 gene:fgenesh2_kg.2__2224__AT1G79270.1 transcript:fgenesh2_kg.2__2224__AT1G79270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 8 [Source:UniProtKB/TrEMBL;Acc:D7KVZ9] MTSHVPKHPRKSIEDMVKNLKVDPLAKVTASTTSMVSAKENKNQSEPSYYETLETYQGLPCPYGGYYGFYYPGLDGSVGEVKDNGYYGYGTEVQYPVLQGENGSLIYLMPGFQSYDASQTYMPISPVGVSSQALHSPMYAAQGYYQNQFGYGDVASPTYLWDPVGDKYVYGVASNTPPLKQNISSSSHNHNNYYSKSKNSFTGHGMGDRPKTPRKNSYAPLPLHNQERGRIAYPMDPVKKKSGALNRDETEKAKARNKENGNSVNDLANGQNHITNGECESCSLDAEGNERSNGVGSVIRRDQYNLTSFQTKYEEAIFFVIKSYSEDDIHKSIKYNVWSSTLNGNKKLDSAYQESQKRIADKSGKCPVFLFFSVNASGQFCGVAEMMGRVDYEKSMEFWQQDKWTGYFPVKWHIIKDVPNPQLRHIILENNENKPVTNSRDTQEVRLPQGNEVLNIFKNYAAKTSILDDFDFYENREKVMVQKKLRFPPVLKKKEEDLVADFKTMAISNTVEGNTELPGTVN >fgenesh2_kg.2__222__AT1G62560.1 pep chromosome:v.1.0:2:1635117:1638236:-1 gene:fgenesh2_kg.2__222__AT1G62560.1 transcript:fgenesh2_kg.2__222__AT1G62560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7KUP3] MAPAQNPITSKHVAVIGAGAAGIITSRELRREGHSVVVYEREKQVGGLWVYTPKSDSDPLSLDPTRSKVHSSIYESLRTNVPRESMGVRDFPFLPRFDDESRDPRRYPNHREVLAYIQDFAREFKIEEMIRFETEVVRVEPVDGKWRVQSKKSGGFLKDEIYDAVVVCNGHYTEPNITHIPGKKSWPGKQIHSHNYRVPDPFENEVVVVIGNFASGADISRDIAKVAKEVHIASRAKEPHTYEKISVPQNNLWMHSEIDTAHDDGSIVFKNGKVVFADSIVYCTGYKYNFPFLETNGYLRIDEKRVEPLYKHVFPPALAPGLSFVGVPAMGIVFVMFEIQSKWVSAVLSGRVTLPSPEKMIEDINAWYASLDALGIPKRHTHTIGRIQSEYLNWVAEECGCELVERWRGQEVDGGYLRLVAHPETYRDEWDDDELIEEAYKDFSKKKLISFDPTYYIENGK >fgenesh2_kg.2__2230__AT1G79350.1 pep chromosome:v.1.0:2:18622912:18631982:-1 gene:fgenesh2_kg.2__2230__AT1G79350.1 transcript:fgenesh2_kg.2__2230__AT1G79350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1135 [Source:UniProtKB/TrEMBL;Acc:D7KWH0] MTQSPVQPPPPLPAPPHSAGGGVIRGDVQVRCAGCRVILRVKTGVVEFSCPTCQLPQMLPPELLSRARPQFPQSQQQPPPPQPQPIQTLPPPIQQQLKPLNLPRPPVPAHGIDPTKMQLPCANCQAILNVPHGLTRFSCPQCHVELAVDVSKLNRSLTAPQSHPNPPTPTPAPPPPPPEEVNEEAIEVEREEDEGGTAGETFMDYRPPKLSIGPPHPDPIVETSSLSAVQPPEPTYDLKIKEELERSKALSCLQIETLVYACQRHLQHLADGTRAGFFVGDGAGVGKGRTIAGLIWENWKHGRRKALWISIGSDLKYDARRDLDDVGATCVGVNPLNKLPYSKLDSKNVGIKEGVVFLTYNSLIASSEKGRSRLQQLVQWCGPEFDGLLIFDECHKAKNLVPEAGSQPTRIGQAVVDIQDKIPQARVLYCSATGASEPRNMGYMVRLGLWGAGTSFSDFNKFLGALDKGGTGALELVAMDMKARGMYVCRTLSYKGAEFDIVEARLEAGMEAMYNKSAEFWAELRIELLSASAFLPNEKPNSSQLWRLYWSSHQRFFRHLCMSAKVPVTVRLAKKALSTNKCVVIGLQSTGEARTEEAVNKYGLELDDFVSGPRELLLKFVEENYPLPEQPEPLSEDDSVKELQRKRHSASPGVSIRGRVRKMAKWKPDSDNESDLESEGWFPSENMSSADSADDSNDSDDEFQICQICSGEDERKKLLHCSECDKLFHPDCVVPPVIDLPSEAWICYSCKEKTEEYIQARRLYIAELQKRYEAALERKAKIIEIIRSLNLPNNPLDDIVDQLGGPDKVAEITGRRGMLVRASNGKGVTYQARNTKDITMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRAVNQKRRVHLTLELPWSADRAIQQFGRTHRSNQTSAPEYRLLFTNLGGERRFASIVAKRLETLGALTQGDRRKVMHLSYNFGKKSLMVMYRGIMEQEKLPVVPPGCSIDEPETVKEFLTKARAALVAVGIVRDSVLANGKDVGKFSGRIIDSDMHDVGRFLNRLLGLPPDIQNRLFELFTSILDVLVHNARIEGSFDSGIVDMKANSVELLSTPKTVHVDQMSGASTMLFTFTLDRGVTWESASSMLEGKRRDGLGSANDGFFESKREWLGRRHFILAFESAASGLFKIVRPAVGESIREMSLSELKTKYRKLSSLEKARTGWEDEYEVSSKQCMHGPKCKLGEYCTVGRRIQEVNVVGGLILPIWGTIEKALSKQARHSHKRIRVIRIETTTDNQRIVGLSIPNAAVETVLQDLAWVQEIDD >fgenesh2_kg.2__2233__AT1G79380.1 pep chromosome:v.1.0:2:18640922:18643674:1 gene:fgenesh2_kg.2__2233__AT1G79380.1 transcript:fgenesh2_kg.2__2233__AT1G79380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGNFLKRFGSGKSRSRSSRSMSLGTTSSQSHEPSPSADPPVSLADKINAAKKKYALIPDRFSSLDQVSKALREAGLESSNLILGVDFTKSNEWTGKTSFDGKCLHALGETPNPYEKAIFVIGQTLAPFDEDNLIPCFGFGDSTTHDEEVFAFHSDNSPCHGFEEVLACYRRIAPNLRLSGPTSYGPLIDAAVDIVEKNNGQFHVLVIVADGQVTRGTDMAEGELSQQEKTTIDAIVNASSYALSIVLVGVGDGPWEDMRKFDDKIPKREFDNFQFVNFTEIMTRNSPESAKETAFALAALMEIPFQYQAAIELRLLGKQTGTAKTIVPRPPPTPYTPPTNAELPSTASPEQTQSCPICLTNRKDVAFSCGHMTCGDCGSKISNCPICRVRITSRLRLYT >fgenesh2_kg.2__2234__AT1G79390.1 pep chromosome:v.1.0:2:18643651:18644740:-1 gene:fgenesh2_kg.2__2234__AT1G79390.1 transcript:fgenesh2_kg.2__2234__AT1G79390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMYEKSNTWRWLVMKTRDSRSFFFTFAALCGVIPGVIGYGVMQVTNSSNPELEARLRKSARPDTLMMGKVNQERLAEYLGELKQKQDTNDRYVAALRGETLTRKPYQRIQPVPKPDDTVTTKAQ >fgenesh2_kg.2__2236__AT1G79410.1 pep chromosome:v.1.0:2:18648418:18650207:-1 gene:fgenesh2_kg.2__2236__AT1G79410.1 transcript:fgenesh2_kg.2__2236__AT1G79410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSLAPLLTAHLEEDEDSSSPLTFDKILEQSLSDFRFSQFLQIVLVGLALTFDAQQIFITVFTDAYPTWHCLDHTICNPATTDICKIPRSAWEWDGGFKGKSVISEFDLECSSSFRRSLPSSTFYVGSIVGGVVLALIPDGSLGRKQLLFFSSFAMSLTGISIFLSSNIWIYSFLKFVIGFARSQTGTYALVLISERVPTKLRPRATMIPFTLFVLGFMSLSGIAYLVRHASWKILYLCTSVPAGIHSIFIYFFALESPRWLHLEGKNEEAIEVLKRISPANKGYLESVSSRLPPKETLDQTSSYSIKDLFIRKWAFQRVTLVMIIMFGLGMSYYGVPLAVRDIKVNIYLSEALNAMVELPTFVVTPILLEKFSRRSSVLVNCLIGGASGVLCFAMSLYGRTKIAFALELGSFFCARIGFNLMAVYMVELFPTCVRNSATMMLRQALVVGGACCPLIASLGRNIPSLSFAVFGFAMSGLGLFALLLPETKGSSLCDTMEEQEQRDQALKTSQS >fgenesh2_kg.2__2239__AT1G79430.2 pep chromosome:v.1.0:2:18663546:18665498:-1 gene:fgenesh2_kg.2__2239__AT1G79430.2 transcript:fgenesh2_kg.2__2239__AT1G79430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KWH8] MNGSYENRAMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEYGDHSTKEGSRASAMDIQRNVASSSGMMSRNMNEMQMEVQRRLHEQLEVQRHLQLRIEAQGKYMQSILERACQTLAGENMAAATAAAAVGGGYKGNLGSSSLSAAVGPPPHPLSFPPFQDLNIYGNTTDQVLDHHNFHHQNIENHFTSNNAADTNIYLGKKRPNPNFGNDVRKGLLMWSDQDHDLAANQSIDDEHRIQIQMATHASTDLDSLSEIYERKSGLSGDEGNNGGKLLERPSPRRSPLSPMMNPNGGL >fgenesh2_kg.2__2240__AT1G79440.1 pep chromosome:v.1.0:2:18668681:18673764:-1 gene:fgenesh2_kg.2__2240__AT1G79440.1 transcript:fgenesh2_kg.2__2240__AT1G79440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALDH5F1 [Source:UniProtKB/TrEMBL;Acc:D7KWH9] MVIGAAARVAIGGCRKLVSSHTSLLVSSQCRQMSMDAQSVSEKLRSSGLLRTQGLIGGKWIDSYDNKTIKVNNPATGEIIADVACMGTKETNDAIASSYEAFTSWSRLTAGERSKILRRWYDLLIAHKEELGQLITLEQGKPLKEAIGEVAYGASFIEYYAEEAKRVYGDIIPPNLSDRRLLVLKQPVGVVGAIAPWNFPLAMITRKVGPALASGCTVVVKPSELTPLTALAAAELALQAGVPPVALNVVMGNAPEIGDALLSSRQVRKITFTGSTAVGKKLMAAAAPTVKKVSLELGGNAPSIVFDDADLDVAVKGTLAAKFRNSGQTCVCANRVLVQDGIYDKFAEAFSEAVQKLEVGDGFKEGTTQGPLINDAAVQKVQTFQQDAVSKGAKIILGGKRHSLGSTFYEPTVIRDVSNDMLMSKEEIFGPVAPLIRFKTEEDAIRLANDTIAGLAAYIFTNSVQRSWRVFEALEYGLVGVNEGLISTEVAPFGGVKQSGLGREGSKYGMDEYLEIKYVCLGDMNRH >fgenesh2_kg.2__2242__AT1G79450.1 pep chromosome:v.1.0:2:18674917:18677199:1 gene:fgenesh2_kg.2__2242__AT1G79450.1 transcript:fgenesh2_kg.2__2242__AT1G79450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEM3 (Ligand-effect modulator 3) family protein [Source:UniProtKB/TrEMBL;Acc:D7KWI0] MSSTVGGGGSAEPSGVKKTSKRPKYSKFTQQELPACKPILTPRWVILTFLVAGVVFIPLGVICLFASQGVVEIVYRYDTDCVPPSSRNNMVAYIQGEGDKICNRTIAVTKPMKHPVYVYYQLENFYQNHRRYVKSRNDAQLRSPSEERDVKTCAPEDNVGGEPIVPCGLVAWSLFNDTYSFSRNSQQLPVNKKGISWKSDRENKFGKNVFPKNFQTGAPIGGGTLNISKPLSEQEDLIVWMRTAALPTFRKLYGKIETDLHAGDTITVLLQNNYNTYSFNGQKKLVLSTTSWLGGRNDFLGIAYLTVGSICLFLAVTFAVLYLVKPRQLGDPSYLSWNRSAGGLQ >fgenesh2_kg.2__2243__AT1G79460.1 pep chromosome:v.1.0:2:18677510:18681651:1 gene:fgenesh2_kg.2__2243__AT1G79460.1 transcript:fgenesh2_kg.2__2243__AT1G79460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINLRSSGCSSPISATLEKRLEAVDQTRANNVSFEQTKDKIRKMLDKVELSVSAYDTSWVAMVPSPTSQNAPLFPQCVKWLLEYQHEDGSWGLDNHDHQSLKKDVLSSTLASILALKKWGTGERQINKGLQFIELNSASVTDETIQKPAGFDIIFPGMIEYARDLNLAIPLGSEVVDAMIRKRDLDLKCDSERFSKGREAYLAYVLEGTRNLKDWDLIVKYQRKNGSLFDSPAITAAAFTQFGNDGCLRYLCSLLQKFEAAVPTVYPFDQYARLSLIVTLESLGIDRDFKTEIKSILDETYRYWLRGDEEICLELATCALAFRLLLAHGYDVSYDPLKPFAEESGFSDTLEGYVKNTFSVLELFKAAQSYPHESALKKQCSWTKQYLEMELSNWLKTSVRDKYLKKEVEDALAFPSYASLERSDHRRKLLNGCVFSAVENTRVTKTSYRLHNICSSGILKLAVDDFNFCQSIHREEMERLDRWIVENRLQELKFARQKLAYCYFSGAATLFSPELSDARISWAKGGVLTTVVDDFFDVGGSKEELENLIHLVEKWDLNGVPEYRSEHVEIIFSVLRDTILETGEKAFIYQGRSVTHQIVKIWLDLLKSMLREAEWSSDKSTPSLEDYMENAYISFALGPIVLPATYLIGPPLPEKIVDSHQYKKLYKLVSTMGRLLNDIQGFKRESAEGKLNAVSLHMKHERDNSSKEEIIESMKALAERKREELHKLILEEKGSAVPRECKEAFLKMSKVLNLFYRKDDGFTSHDLMSLVKSVIYEPVTLQEESLT >fgenesh2_kg.2__2244__AT1G79470.1 pep chromosome:v.1.0:2:18681903:18683961:-1 gene:fgenesh2_kg.2__2244__AT1G79470.1 transcript:fgenesh2_kg.2__2244__AT1G79470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine-5'-monophosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7KWI2] MSRFEDGFAADKLFAQGYSYTYDDVIFLPHYIDFSTDAVSLSTRLSRRVPLSIPCVSSPMDTVSESHMAAAMAALGGIGIVHYNCDIAAQASIIRQAKSLKHPIASDAGVKFPEYEITSLDAFGPSSFVFVTQTGTMTTPKLLGYVSKSQWTRMNYEQREMKIYDYMKSCDSSDYCVPWDIDLEKLEFVLEDKQKGFVVLERDGETVNVVTKDDIERVKGYPKSGPGTVGPDGEWMVGAAIGTRESDKERLEHLVDAGVNAVVFDSSQGNSIYQLEMIKYVKNTYPELDVIGGNVVTMYQAQNLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVCSIAAQSGIPVIADGGISNSGHIVKALVLGASTVMMGSFLAGSTEAPGGYEYKNGKRIKKYRGMGSLEAMTKGSDQRYLGDKTKLKIAQGVVGAVADKGSVLKLIPYTMHAVKQGFQDLGASSLQSAHDLLRSDILRLEARTGAAQVEGGVHGLVSYEKKSF >fgenesh2_kg.2__2245__AT1G79480.2 pep chromosome:v.1.0:2:18685478:18687355:-1 gene:fgenesh2_kg.2__2245__AT1G79480.2 transcript:fgenesh2_kg.2__2245__AT1G79480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRAFATICLLLCVILSTNFLTHCVVDARKSEGFERQPKEVMMIKALKHTSLLEKMLTQLNLAQPLDYSSSSNTQPYGVSTTLTLPPYVSLPPLSVPGNAPPFCVNPPLTPPSTSYPGLSPPPGPITLPNPPDSSSNPNSNPNPPESSSNPNPPESSSNPNSNPKPPESSSNPNPPDSSSNPNPPESSSNPNPPVTGPNPPESSSNPNPPESSSNPNPPITIPYPPESSSPNPPETVPSPPESGYTPGPILGPPYSEPGPSTPTGSIPSPSSGFLPPIVYPPPMAPPSPSVTPTSAYWCVAKPSVPDPIIQEAMNFACGSGADCHPIQPNGPCFKPNTLWAHASFAFNSYWQRTKGTGGSCTFGGTGMLVTVDPSFNGCHFDFF >fgenesh2_kg.2__2247__AT1G79490.1 pep chromosome:v.1.0:2:18688089:18690782:1 gene:fgenesh2_kg.2__2247__AT1G79490.1 transcript:fgenesh2_kg.2__2247__AT1G79490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2217 [Source:UniProtKB/TrEMBL;Acc:D7KWI4] MIRGRTAKIFPRNVIFTLSRSSISEALGRINPRLAGSFSFNIRLLSSFTVRNGFCPDCSVPRDPNFVGLTTQSRSIVRRFCSEKSGGSESSGWTEEVEYLDESGSVLHSGKGIRSVEPGLDDHVMVGGLKKPYMNASAVAKIVEVVQRWKWGPELETQLDKLQFVPNMVHITQSLKIVKEVDAALSLFRWAKKQPWYLPSDECYVVLFDGLNQGRDFVGIQSLFEEMVQDSSSHGDLSFGAYNQVIQYLAKAEKLEVAFCCFKKAQESGCKIDTQTYNNLMMLFLNKGLPYKAFEIYESMEKTDSLLDGSTYELIIPSLAKSGRLDAAFKLFQQMKERKLRPSFSVFSSLVDSMGKAGRLDTSMKVYMEMQGFGHRPSATMFVSLIDSYAKAGKLDTALRLWDEMKKSGFRPNFGLYTMIIESHAKSGKLEVAMSVFKDMEKAGFLPTPSTYSCLLEMHAGSGQVDSAMKIYNSMTNAGLRPGLSSYISLLTLLANKRLVDVAGKILLEMKAMGYSVDVCASDVLMIYIKDASVDLALKWLRFMGSSGIKTNNFIIRQLFESCMKNGLYDSARPLLETLVHSAGKVDLVLYTSILAHLVRCQDEDKERQLMSILSATKHKAHAFMCGLFTGPEQRKQPVLTFVREFYQGIDYELEEGAARYFVNVLLNYLVLMGQINRARCVWKVAYENKLFPKAIVFDQHIAWSLDVRNLSVGAALIAVVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKIVIAQVLSSVESPFEVSKVVLRAPGDLVMEWFKKPIVQQFLLNEIPSRSDILMHKMNVMFPSSAPELRSMSPPKPLMSSKAF >fgenesh2_kg.2__2249__AT1G79510.1 pep chromosome:v.1.0:2:18695817:18697147:-1 gene:fgenesh2_kg.2__2249__AT1G79510.1 transcript:fgenesh2_kg.2__2249__AT1G79510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPNLSPSFLLPTGKSLKDKPISSQALSSSSSSSSSNSYEFDEDTLSPLSLFSVQAPPPVRGAQVKTKPSAQDKYQHGKDDFYINLGLAVRTLREDLPLLFTKDLNYDIYRDDITFVDPMNTFSGIDKYKLIFWALRFHGKILFRDISLEIFRVWQPSENMILIRWNLKGVPPVPWEAKGEFQGTSRYKLDRNGKIYEHKVDNLAFNFPHQLKPATSVLDLVTACPASPNPTFMFGAVDSYSSSWIEFYKAVQRTLDKQEEHMLVQDHFVACS >fgenesh2_kg.2__2250__AT1G79520.1 pep chromosome:v.1.0:2:18699782:18707172:-1 gene:fgenesh2_kg.2__2250__AT1G79520.1 transcript:fgenesh2_kg.2__2250__AT1G79520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:UniProtKB/TrEMBL;Acc:D7KWI7] MAATEHHGLSGGDYNVDLLPIDEDDSPPSSWRLSLDTFRLPSSSPLSSGRHNGRTRLSRYLRTPKKERKVSEYYKQQEKLLEGFNEMESINETGFVSGAPTEEELKKLAKSERLAVHISNAANLVLFVAKVYASVESRSMAVIASTLDSLLDLLSGFILWFTANAMRTPNNFRYPIGKRRMQPVGIIVFASVMATLGLQVILESTRLLVSKKGSHMSSTEEKWMIGIMASATVVKFLLMLYCRSFQNEIVRAYAQDHLFDVITNSVGLATAVLAVKFYWWIDPSGAILIALYTISTWARTVLENVHSLIGRSAPPDFLAKLTFLIWNHHEKIKHIDTVRAYTFGSHYFVEVDIVLPENMRLHEAHNIGETLQEKLEQLSEVERAFVHIDFEFTHRPEHKCKV >fgenesh2_kg.2__2251__AT1G79530.1 pep chromosome:v.1.0:2:18710753:18714230:-1 gene:fgenesh2_kg.2__2251__AT1G79530.1 transcript:fgenesh2_kg.2__2251__AT1G79530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7KWI9] MAFSSLLRSAAASTVATPRPDFFSSPASDHSKVFSSLGFSRNLKPSRFSSGISSSIQNCNARSVQPIKATATQVPSAVRRSSSSGKTKVGINGFGRIGRLVLRIATSRDDIDVVAVNDPFIDAKYMAYMLKYDSTHGNFKGSINVIDDSTLEINGQKVNVVSKRDPAEIPWADLGADYVVESSGVFTTLSKAASHLKGGAKKVIISAPSADAPMFVVGVNEKTYQPNMDIVSNASCTTNCLAPLAKVVHEEFGILEGLMTTVHATTATQKTVDGPSMKDWRGGRGASQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTSNVSVVDLTCRLEKGASYEDVKAAIQYASEGPLKGILGYTDEDVVSNDFVGDSRSSIFDANAGIGLSKSFVKLVSWYDNEWGYSNRVLDLIEHMALVAASH >fgenesh2_kg.2__2252__AT1G79540.1 pep chromosome:v.1.0:2:18714868:18717482:-1 gene:fgenesh2_kg.2__2252__AT1G79540.1 transcript:fgenesh2_kg.2__2252__AT1G79540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KWJ0] MKPQMFFRSVIQFYSKPSWMQRSYSSGNAEFNISGEVISILAKKKPIEPALEPLVPFLSKNIITSVIKEEVNRQLGFRFFIWASRRERLRSGESFGLVIDMLSEDNGCDLYWQTLEELKSGGVSVDSYCFCVLISAYAKMGLAEKAVESFGRMKEFDCRPDVFTYNVILRIMMREDVFFMLAFAVYNEMLKCNCSPNLYTFGILMDGLYKKGRTSDAQKMFDDMTGRGISPNRVTYTILISGLCQRGSPEDARKLFYEMKASGNYPDSVAHNALLDGFCKLGRMVEAFELLRLFEKDGFVLGLRGYSSLIDGLFRARRYTQAFELYANMLKRNIKPDIILYTILIQGLSKAGKIEDALKLLRSMPSKGITPDTYCYNAVIKALCGRGLLEEGRSLQLEMSETESFPDACTHTILICSMCRNGLVRKAEEIFLEIEKSGCSPSVATFNALIDGLCKSGELKEARLLLHKMEVGRPASLFLRLAHSGNRSFDTMVQSGSILKAYKNLAHFADTGNSPDIVSYNVLINGFCREGDIDGALKLLNVLQLKGLSPDSVTYNTLINGLHRVGREEEAFKLFYAKDDFRHSPAVYRSLMTWSCRRRKLLVAFNLWMKYLKKISCLDDETANEIEQCFKEGETERALRRLIELDTRKDELTLGPYTIWLIGLCQSGRFHEALMVFSVLREKKILVTPPSCVKLIHGLCKREQLDAAIDVFLYTLDNNFKLMPRVCNYLLSSLLQSREKMEIVSQLTNRMERAGYDVDSMLRFELLRLHRYRKQVLIDL >fgenesh2_kg.2__2254__AT1G79550.2 pep chromosome:v.1.0:2:18718980:18721326:-1 gene:fgenesh2_kg.2__2254__AT1G79550.2 transcript:fgenesh2_kg.2__2254__AT1G79550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:D7KWJ1] MATKRSVGTLKEADLKGKSVFVRVDLNVPLDDNSNITDDTRIRAAVPTIKYLMGNGSRVVLCSHLGRPKGVTPKYSLKPLVPRLSELLGVEVVMANDSIGEEVQKLVAGLPEGGVLLLENVRFYAEEEKNDPEFAKKLAALADVYVNDAFGTAHRAHASTEGVAKYLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLSTVDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLDLAKSLMEKAKAKGVSLLLPTDVVIADKFAPDANSKIVPATAIPDGWMGLDIGPDSIKTFSEALDTTKTIIWNGPMGVFEFEKFAAGTEAVAKQLAELSGKGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >fgenesh2_kg.2__2256__AT1G79570.1 pep chromosome:v.1.0:2:18727545:18733199:-1 gene:fgenesh2_kg.2__2256__AT1G79570.1 transcript:fgenesh2_kg.2__2256__AT1G79570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KWJ3] MDKARHQQLFQLSMEPGYGNEAVPQPFMHDQTGSTSANMRPPNSNGSDVKPVHNYSIQTGEEFSLAFMRDRVIPQRSSNPNGAADMNSTTGYMELRGLIGISHTGSECASDVSRFSTVENGTRDIERTNSSLHEFGNKLGHVQSAPQALTSKDSSVGNLHGYASSSASGSVTAKVKILCSFGGKILPRPGDSKLRYVGGETHIISIRKDISWPELRQKILEIYYQTRVVKYQLPGEDLDSLVSVSSEEDLQNMLEEYNEMENRGGSQKLRMFLFSISDMDDALLGVNKNDGDSEFQYVVAVNGMDIGSGKNSTLLGLDSSSANNLADLDVRNTEGINTIAGDVVGVGASQLMVDGFQQTSVQQSESIPPSSSLHYSQSIPLNAAYQLQQSVPPSSALHYPQSIIPGSSLQYPQPITPSSSYQYPQSITPGSATSYGIYPQYYGHVVQHGERERFPLYPDHSSNYSAIGETTSSIPLQGHVNQQGGWAEGYPYPGNTPKSSQVLAEEQKVSPDMKVREHVEPENCKTPRNDHQNRPHIDDFEVRNHNQVREVSVATTAPTQDAHLLPPSRDPRQTTPAMPATYRDAVITGQVPLSGIEDQLSTSSSTCAPVHSDSESNLIDLNYPEPEQSPQRVYCSERIPREQLELLNRLSKSDNSLSSQFVTSESQANTAQQDSGKEAVGKSHDEFETVYDDVTHHKHKDVETIFEKVGVSDETLEFEPLHKIVNSDDANKNRLVNGDTEIGVSNLSHVNAAMSHVIPEEQASLQGDILIDINDRFPRDFLSEIFSQAISEDRAAVHPHPHEGAAVSMNVQNHDRKNWSYFQQLAEDQFSQRDVALDQADSRIPSDQKDGGESSRLPYVSPLSRDGISTNLANPQLSLGQDYGGDFSETDGCGTSTILPALEDEQMKVTESEEFGAMVENLRTPDSEPKDEKTETRHAALPPLGSEFDYSGLQIIKNEDLEELKELGSGTFGTVYHGKWRGSDVAIKRIKKSCFAGRSSEQERLTGEFWGEAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEYMVDGSLRHVLVRKDRHLDRRKRLIIAMDAAFGMEYLHSKNTVHFDLKCDNLLVNLKDPSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPGFCDDEWRTLMEECWAPNPMARPSFTEIAGRLRVMSSAATSTQSKPPAHRASK >fgenesh2_kg.2__225__AT1G62520.1 pep chromosome:v.1.0:2:1668698:1669793:-1 gene:fgenesh2_kg.2__225__AT1G62520.1 transcript:fgenesh2_kg.2__225__AT1G62520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWVKSLQCKSKAFDDVYNPIKNPNPNPNPSPKHLMSSYSCRRSTQSLKDVIETKKTKPKPKKPKSKPDKREPDPVLSPSTRIRSTGARDRDPFLPTLTELAEGHQSRNVVEIIFQTSWGPKPFSGRVEMIFKVQNGSKTLTRFEEYREAVKARSVGKAREENARSVADGNETMRFYCLGPSYGGGGGAWGILGGKGVGASIYTFAGSSMANEKAGGGKGRKAMLVCRVIAGRVTKQNELRYDSDLRSRFDSVSGDDGELLVFDTRAVLPCFLIIYRL >fgenesh2_kg.2__2261__AT1G79590.2 pep chromosome:v.1.0:2:18745939:18748199:1 gene:fgenesh2_kg.2__2261__AT1G79590.2 transcript:fgenesh2_kg.2__2261__AT1G79590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDSWIREYNEALKLSEDINGMMSERNASGLTGPDAQRRASAIRRKITILGTRLDSLQSLLVKIPGKQHVSEKEMNRRKDMVGNLRSKTNQVASALNMSNFANRDSLLGPDIKPDDAINRVSGMDNQGIVGFQRQIMREQDEGLEKLEETVMSTKHIALAVNEELTLQTRLIDDLDYQVDVTDSRLRRVQKSLAVMNKSMKSGCSCMSMVLSVLGIVGLALVIWLLVKYL >fgenesh2_kg.2__2263__AT1G79600.1 pep chromosome:v.1.0:2:18760710:18763338:-1 gene:fgenesh2_kg.2__2263__AT1G79600.1 transcript:fgenesh2_kg.2__2263__AT1G79600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 family protein [Source:UniProtKB/TrEMBL;Acc:D7KWK3] MSLVVGQSLGLTLVGDGLSLRNSKRNVGKSKFFSVNRRRLARAALVQARPKEDGAASRPTTTSVVQYRRADLADDLQAEARALGRAVDASIYSPELIARKHGSQPFKALRRSLEILGALGGFALKLGIDQKQGNLEKNMKKRAGELRRIFTRLGPTFVKLGQGLSTRPDLCPPDYLEELAELQDALPTFPDAEAFACIERDLDLSLESIFSSVSPEPIAAASLGQVYKAQLRYSGQVVAVKVQRPGIEEAIGLDFYLIRGVGKLINKYVDFITTDVLTLIDEFACRVYQELNYVQEAQNARRFKKLYADKADVLVPDIFWDYTSRKVLTMEWVEGTKLNEQLAIESQGLKVLDLVNTGIQCSLRQLLEYGFFHADPHPGNLLATPDGKLAFLDFGMMSETPEEARFAIIGHVVHLVNRDYEAMARDYYALKFLSPDVDVTPIVPALRDFFDDALNYTVSELNFKTLVDGLGAVFYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRWNRLENLLQQGSKDRDFSAKDALQPVLKLLLDPNGEELRLLVIKEAVRVSEAIALGTVVDTYNSLPEFLRSLVFNGNGNGPLTMSTTELQSTLELRDQVSRIWGLLQSSESFDPAILQPILQVLQQPEARRLGGRVAGGVGQRLAARFLQQLLRATTPSSAPTP >fgenesh2_kg.2__2265__AT1G79620.1 pep chromosome:v.1.0:2:18768242:18772884:-1 gene:fgenesh2_kg.2__2265__AT1G79620.1 transcript:fgenesh2_kg.2__2265__AT1G79620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASNTVTAISRLLLIGFAYSFTVFSIISSVTDPRDAAALRSLMDQWDNTPPSWGGSDDPCGTPWEGVSCNNSRITALGLSTMGLKGRLSGDIGELAELRSLDLSFNRGLTGSLTSRLGDLQKLNILILAGCGFTGSIPNELGYLKDLSFLALNSNNFTGKIPASLGNLTKVYWLDLADNQLTGPIPISSGSSPGLDLLLKAKHFHFNKNQLSGTIPPKLFSSEMILIHVLFDGNRFTGSIPSTLGLIQTLEVLRLDRNTLTGKVPENLSNLTNIIELNLAHNKLVGSLPDLSDMKSMNYVDLSNNSFDPSESPLWFSTLPSLTTLVMEYGALRGPLPNKIFGFPQLQQVKLKKNAFNGTLSLGDTVGPQLQLVDLQDNDISSVTLSSGYTNTLILVGNPVCTTALSNTNYCQIQQQQVKRIYSTSLANCGGKSCPLDQKISPQSCECAYPYEGTLYFRGPMFRDLSNVNTYHSLEMSLWVKLGLTPGSVSLQNPFFNNDDYLQIQLALFPPMGKYFNRTEVQRIGFDLSNQTYKPPPLFGPYYFIASPYTFPAEGNGHSLSSRMVTGIITGCSALVLCLVALGIYAIWQKRRAEQAIGLSRPFVSWASSGKDSGGAPQLKGARWFSYEELKKITNNFSVSSELGYGGYGKVYKGMLQDGHMVAIKRAQQGSTQGGLEFKTEIELLSRVHHKNLVGLVGFCFEQGEQILVYEYMSNGSLKDSLTGRSGITLDWKRRLRVALGSARGLAYLHELADPPIIHRDVKSTNILLDENLTAKVADFGLSKLVSDCTKGHVSTQVKGTLGYLDPEYYTTQKLTEKSDVYSFGVVMMELITAKQPIEKGKYIVREIKLVMNKSDDEFYGLRDKMDRSLRDAGALPELGRYMELALKCVDETASERPTMSEVVKEIEIIIQNSGTSSSSSASASSSATDFGEKLLYGGSLRKKEAGDGDGGGAFDYSGGYSVPTKIEPK >fgenesh2_kg.2__2267__AT1G79630.1 pep chromosome:v.1.0:2:18773468:18776043:-1 gene:fgenesh2_kg.2__2267__AT1G79630.1 transcript:fgenesh2_kg.2__2267__AT1G79630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein [Source:UniProtKB/TrEMBL;Acc:D7KWK6] MGLCYSVDRTTGKEPGETSTTATTADERLGSGRWRRPRDLKGGGDIEGIPQVLGRLVSNGSSKIACLYTQQGKKGTNQDAMLVFENFCSRDDTVFCGVFDGHGPFGHMVAKKVRDTLPFTLSTQLKTASESDQSSLVGANGFLIKCTEEEEMQTTESEEVQKTESVTTMDEQWCELNPNENNDELPEMYLPLKQALLKSCQQIDKELKMHPTIDCFCSGTTSVTLIKQGEDLVVGNIGDSRAVLATRDEDNALLAVQLTVDLKPDLPGESARIQKCKGRVFALQDEPEVARVWLPNSDSPGLAMARAFGDFCLKDYGLISVPDINYRRLTERDQFIILASDGVWDVLSNKEAVDIVASAPSRNTAARALVDTAVRSWRIKYPTSKNDDCTVVCLFLQDSSVAMEVSSNVMKHSHKEESIESVSNSTSKEEDEIVPVKDEKIPASCGIESKMMTMTLAECISVAQDDEEWSALEGLTRVNSLLSIPRFLSGELRSTSWRKWL >fgenesh2_kg.2__226__AT1G62500.1 pep chromosome:v.1.0:2:1689919:1691275:-1 gene:fgenesh2_kg.2__226__AT1G62500.1 transcript:fgenesh2_kg.2__226__AT1G62500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVLASFFVVLIFTVITLPPTIQACTPCTRPHPPVPKPPHHGGGGGGGSKPPPHHGGKCGGKPPHHGGKGGGPPHHGGGGGGKSPPVVSPPPVVVRPPPIIRPPPVVYPPPIVRPPPITRPPIIIPPIQPPPVTTPPGLLPPITTPPGLLPPVTTPPGLLPPVTTPPGLLPPIINPPPVTIPPPSSGYPSYGPPSGGGGGGGKQPTCPINALKLGACVDVLGGLIHIGLGNPVENVCCPVLQGLLELEAAVCLCTTIRLKLLNLNIFIPLALQALITCGINPPPGF >fgenesh2_kg.2__2273__AT1G79670.2 pep chromosome:v.1.0:2:18791007:18792647:-1 gene:fgenesh2_kg.2__2273__AT1G79670.2 transcript:fgenesh2_kg.2__2273__AT1G79670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KWL0] FTVIELGWYFDTSDSRLTNPVGCVHQAVFVSMVTSLALVTATVTAIRLVTEGIHIFLVDALEGKGRNSFGEQTCVNVPGSWRCEPKEAQKIKPVFQHLVLGFALLFLVLGIWGFIKLVKKRRKIIRKRMFFKRNGGLLLKQQLTTREGGNVETSKIFSSKDLEKATDNFNKNRVLGQGGQGTVYKGMLVDGRIVAVKRSKVLDEDKVEEFINELGVLSQINHRNVVKLMGCCLETEVPILVYEHIPNGDLFKRLHDDSDDYTMTWDVRLRIAVEIAGALAYLHSAASTPVYHRDVKTTNILLDEKYRAKVSDFGTSRSINVDQTHLTTLVAGTFGYLDPEYFQTSQFTDKSDVYSFGVVLVELITGEKPFSVMRPEENRGLASHFIEAMKQNRVLDIVDSRIKEDCKLEQVLAVAKLARRCLSLKGKKRPNMREVSIELERIRSSPEDLEVHIEEEDEEEPPWKSTWMILGAST >fgenesh2_kg.2__2274__AT1G79690.1 pep chromosome:v.1.0:2:18797391:18802517:1 gene:fgenesh2_kg.2__2274__AT1G79690.1 transcript:fgenesh2_kg.2__2274__AT1G79690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHLDVLTKSGEKTGVTKPRHLFSNRKLLGSCFSSQFHSLGEVHRDGDYHRAVHVWIFVETTQELLLQLRSDDKDSWPGQWDISSAGHISAGDPSLISAQRELHEELGVKLPKDAFEKIFVFLQECVTNDGKFINNEFNDVYLVTILHPIPLEAFTLQKEEVSAVNYVPYEEYRNFLAKEDPAYVPYDVNGEYGKLFDIIKQRCQVNSEARSLSLQKQLQRYSPVTLEAMLTELSEADQKALSLIVKAAKIMDDIFYEQVWNSNPALRDWLKDHANASELNKLKWDYFTINKSPWSSLDENEAFLSTADSAVMLLPGATKSIAGWKGIEYRAAFPVTKPPGANFYPPDMDKMEFTLWLNGLTEEQKHAATGFFSVIKRRSEANLDASDHLAGSTKKFPDSNSDLYTVPYSEIYRPLLTKASELLHKAGDLVSSPSLKKLLHSKAEAFLSNEYYESDIAWMDLDSKLDITIGPYETYEDEIFGYKATFETFIGIRDDKATADLKLFGDNLKLLEDNLPLDSVYKSTDVSAAPIRVIQLIYNSGDVKGPQTVAYNLPNDEKIVKDRGTSMVMLKNVQEAKFEHILKPIAEITISKEQRGLVDFDSFFTHTICHECCHGIGPHTITLPDGQTSTVRKELQEVHSAMEEAKADIVGLWALKFLITKGLLSKSMVESMYVSFLAGCFRSIRFGLTEAHGKGQALQFNYLYEKGAFVFHKDSTFSVDFATIEGAVESLSHEILTIQGKGDKNGATLLLNKYCTITGPLKTALENLERVKVPVDISPTFPFAEALMN >fgenesh2_kg.2__2276__AT1G79700.2 pep chromosome:v.1.0:2:18802590:18805520:-1 gene:fgenesh2_kg.2__2276__AT1G79700.2 transcript:fgenesh2_kg.2__2276__AT1G79700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSRRSKKTIVEDEISDKTASASEAASIVFKSKRKRKSPPRNAPPQRSSPYRGVTRHRWTGRYEAHLWDKNSWNETQTKKGRQVYIGAYDEEEAAARAYDLAALKYWGRDTLLNFPLLIYDEDVKEMEGQSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAIAYDIAAIEYRGLNAVTNFDVSRYLNPDAADSKPIRNDPESSDDNKCPKSEEIIEPSTSPEAITTRRSFPDDIQTYFGCQDSGKLATEEDVIFGGLNSFINPGFYNEFDYGP >fgenesh2_kg.2__2277__AT1G79710.1 pep chromosome:v.1.0:2:18807956:18809852:1 gene:fgenesh2_kg.2__2277__AT1G79710.1 transcript:fgenesh2_kg.2__2277__AT1G79710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7KWL5] MANRSEEAEYENDSRRNHQDKKKEGVLDLVLKRPIRWLRMLIEELHWSFFFGVIVVYGVSQGLGKGLSKVSTQYYFKDEQKIQPSQAQIYVGLIQIPWIIKPLWGLLTDVVPVWGYRRRPYFVFAGVLAMISMMVLWLHTNLHLALALSCLVAGSAGVAIADVTIDACVTQCSISHPTLAADMQSLCGLSSSIGSLVGFSLSGLLVHLVGSKGVYGLLGVTAGLLVVVGMVLKESPSRSLGRKHVNEKFLDAGSAIWKTFQYGEVWRPCLFMLLSAAVSLHIHEGMFYWYTDSKDGPSFSKEAVGSIMSFGAIGSLVGILLYQNFLKNFPFRNVVFWALSLSVLSGFLDLILVLRINLKLGVPDYFFIVVDEFVSHMISRIKWLPLLVLSSKLCPAGMEGTFFALLMSIEHVGHLMSSWGGGVVLHALKVTRTQFDNLWLVIVIRSLLRVIPIGLVFLIPNVDSNSTILPAEMLTNRRSEGVDTEKIEMTALISDEA >fgenesh2_kg.2__2279__AT1G80950.1 pep chromosome:v.1.0:2:18814457:18817063:1 gene:fgenesh2_kg.2__2279__AT1G80950.1 transcript:fgenesh2_kg.2__2279__AT1G80950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESELKDLNSNPPSSKEERPLLKSDSDLAAAIEELDKKFAPYARTDLYGTMGLGPFPMTEKIKLAVALVTLVPLRFILSMSILLLYYLICRVFTLFSAPYRGAEEEEDEGGVVVQEDYAHMEGWKRTVIVRSGRFLSRVLLFVFGFYWIHESRPDRDSDMDSNHKNTSTEVNQKGEAATEEPERPGAIVSNHVSYLDILYHMSASFPSFVAKRSVGKLPLVGLISKCLGCVYVQREAKSPDFKGVSGTVNERVREAHRNKSAPTIMLFPEGTTTNGDYLLTFKTGAFLAGTPVLPVVLKYPYERFSVAWDTISGARHILFLLCQFVNHLEVIRLPVYYPSQEEKDDPKLYASNVRRLMATEGNLILSELGLSDKRIYHATLNGNLSQTRDFHQKEE >fgenesh2_kg.2__227__AT1G62480.1 pep chromosome:v.1.0:2:1693649:1694720:-1 gene:fgenesh2_kg.2__227__AT1G62480.1 transcript:fgenesh2_kg.2__227__AT1G62480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVVQVTPVAAENIEVPPPKTVESEEVTAVSESLPAPVTESQAPVEVTTNDLVLVETEKPIEETEEALVDTPTAVEIKKDEEAPVETPAVVEEESKTEEVVEAKKEEEVEEKKTEEAPVVVEEEKKPEAEEEKPTEVAAVAAPVEKADE >fgenesh2_kg.2__2280__AT1G80940.1 pep chromosome:v.1.0:2:18817347:18818910:-1 gene:fgenesh2_kg.2__2280__AT1G80940.1 transcript:fgenesh2_kg.2__2280__AT1G80940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGIGFEKEKKTVSDDGFVGGFFPVSTTKIAWKSRKRSASANPDKAPEVVMEVTPEKNEITEMETDKVGEPTTTTPLLSEKRKALFEPLEPITNLNGKRPTAADSLLPPPDFETANYPKGWLIGKKRKLVNVDVVESMRRIAVLEMNRKDREIDGLNEQLEEDSRCLEHLQLQLLQERSKRTEIERENTMLKEQVDMLVNMIQEDEEEEAEEP >fgenesh2_kg.2__2283__AT1G80920.1 pep chromosome:v.1.0:2:18824792:18825755:1 gene:fgenesh2_kg.2__2283__AT1G80920.1 transcript:fgenesh2_kg.2__2283__AT1G80920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALTIGGNGFSGLPGSSFSSSSSSFRLKNSRRKNTKMLNRSKVVCSSSSSVMDPYKTLKIRPDSSEYEVKKAFRQLAKKYHPDVCRGSNCGVQFQTINEAYDIVLKQIKNQMEGTEEFEPFDVYDEGLNGMNDPDCDTWEEWMGWEGAGTRDYSSHVNPYA >fgenesh2_kg.2__2287__AT1G80870.1 pep chromosome:v.1.0:2:18835402:18837629:-1 gene:fgenesh2_kg.2__2287__AT1G80870.1 transcript:fgenesh2_kg.2__2287__AT1G80870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KWM5] MPSRPNPIRPKLFINRTKTLFLILTISSSLVIFFAILYFIYHLWISLLNRSRTNPFDVAAASPLKLQLFTYKELKLATNDFDESNVIGKGGSGTVFRGITREGKLFAVKRLDNLSIQTETEFQNELQILGGLKSSFLVTLLGYCVEKHHRFLIYEYMPNKSLQELLFNEDGDSCLHWERRFSILLDVAKALEFMHFGCDPPVIHGDIKPSNVLLDSEFRAKISDFGLSRVKVEGGYGVDLFSQELSGNFGGESTPQTAIGTPTHPEVDFALALQASSSSKNSRTSRNVKGMNLNSMSLAMEGETKGKEVSHDGDDSKVNHVVLSCEDHEFDQGNEMNLSPNSVLDLGKGSKQWGRDWWWKQEGSGELCSKDYVREWIGSQIDTANPDWDDEKKVITTPELGVSTRTIDKAEDRDESGLNEYRFDTLGEKFAKEEINEKTKKKLKKKKKHRNMEEWWREEEHQDEMNNKKKIRILRIKFKNHLKFPHFRYCFRQKGENSVHDREGEGAGEFSFRRAWRRESNSSSKKKKNYNRSMGNEMWSGDLFNRELSSTTTSMRGTLCYIAPEYGGGCCYLMEKGDIYSFGVLILVIISGRRPLHVLASPMKLEKANLVSWCRQLAQSGNVLELVDEKLKDVYNKEEAGLCINLALACLQKAPELRPHVSEIVRILRGEMDISSTAYEFSPSPPAKFYGSRSKRRS >fgenesh2_kg.2__2289__AT1G80860.1 pep chromosome:v.1.0:2:18846688:18847816:1 gene:fgenesh2_kg.2__2289__AT1G80860.1 transcript:fgenesh2_kg.2__2289__AT1G80860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl-N-methylethanolamine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KWM7] MGLLAAIGVLLPFPFYWWLWTNPQSWVNLCGRGRDPSTVMARVSHVLKAAQLLSLFSVASLSWPPLYFWPLMAFGQFLNFRVYQLLGEAGTYYGVRFGKNIPWVTEFPFGVIRDPQYVGSIMSLLACLSWVPFQYILLWSLGYVFMMFVESKEDPSARAKSLS >fgenesh2_kg.2__228__AT1G62450.1 pep chromosome:v.1.0:2:1701665:1703124:1 gene:fgenesh2_kg.2__228__AT1G62450.1 transcript:fgenesh2_kg.2__228__AT1G62450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GDP-dissociation inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7KUQ3] MVLEDEKKKGEASGETSEKIGLSRKNSESSLSPTEDDEEDDDKKLELGPMIALKEQLEKDKDDESLRRWKEQLLGVVDLEDVGETPDPVVKILDLTIRSPDREEMALGLRLKEGSKYTLVFNFRVTNNIVSGLRYNNTVWKTGVKAVDSTKAMLGTFSPQAEPYQHVMPEEMTPSGMFARGSYSARTKFVDDDNKCYLEINYTFDIRKSWQ >fgenesh2_kg.2__2290__AT1G80850.1 pep chromosome:v.1.0:2:18848390:18850391:1 gene:fgenesh2_kg.2__2290__AT1G80850.1 transcript:fgenesh2_kg.2__2290__AT1G80850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPRVRSVDSSDREFRSVLGPAGNKLQQKPLKKPVADKTKNLTFTKNMPQCSPLSPSILRRNGISMTASYSSDASSSCESSPLSVASTSSGKRALRRSGSLSSSSSLRRNLTEERDEKASDCFSDGRKRCAWITPKSGQCYIAFHDTEWGVPVHDDKRLFELLSLSGALAELSWKDILSKRQLFREVFMDFDPIAISELTNKKITSSEIATTTLLSEQKLRSILENANQVCKLIVEFGSFDKYIWNFVNQKPTQSQFRYPRQVPVKTSKAELISKDLVRRGFRSVSPTVIYSFMQTAGLTNDHLTCCFRHHDCMTKDETGN >fgenesh2_kg.2__2291__AT1G80840.1 pep chromosome:v.1.0:2:18850430:18852249:-1 gene:fgenesh2_kg.2__2291__AT1G80840.1 transcript:fgenesh2_kg.2__2291__AT1G80840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 40 [Source:UniProtKB/TrEMBL;Acc:D7KWM9] MDQYSSSLVDTSLDLTIGVTRMRVEEDPPTSALVEELKRVSAENKKLSEMLTLMYDNYNVLRKQLMEYVNKSNITERDQSSPPKKRKSPAREDAFSSAVIGGVSESSSTDQDEYLCKKQREETVVKEKVSRVYYKTESSDTTLVVKDGYQWRKYGQKVTRDNPSPRAYFKCACAPSCSVKKKVQRSVEDQSVLVATYEGEHNHPMPSQIDSNNGLNRYISHGGSASTPAAANRRSSLTEPVTTVDLTESKKVTSPTSRIDFPEVQKLLVEQMASSLTKDPNFTAALAAAVTGKLYQQNHTEK >fgenesh2_kg.2__2293__AT1G80770.1 pep chromosome:v.1.0:2:18868042:18888526:-1 gene:fgenesh2_kg.2__2293__AT1G80770.1 transcript:fgenesh2_kg.2__2293__AT1G80770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASSLVPHQWRLLTSPQLSLQALIFSSANTTKSHTFWSPTLRHCRNLQTAVSPIVSSSYLPTSYITQKQIEIPTSPEKQSPPVQEGLGAFQKLPMVMPSIDLYCSALRKSKRVQPTKGIANIAKRERNRGAKQLDAFMKELALPLKGYMESFPRKRLLHPYERSLIDLTLGDGKYEEVLGKVDVLRKKVLSVGKEHASLCAKALSKKEAEERLNEGVEKLELVFQQQGRAVDDLLSIAKVLRAMPVVDLEMPTLCLVGAPNVGKSSLVRILSTGKPEICNYPFTTRGILMGHIVLNYQRFQVTDTPGLLRRCDEDRNNLEKLTLAVLTHLPTAVLYVHDLTGECGTSPSDQFRIYKEMKERFKDYLWIDAVSKCDLLGGSPVMYAKEDRSSDDAEIIKYRETGPDESIHVSVKTEQGLNELKSKVKEVLSNEMEKIKSGEKIDQSV >fgenesh2_kg.2__229__AT1G62440.1 pep chromosome:v.1.0:2:1703742:1705975:-1 gene:fgenesh2_kg.2__229__AT1G62440.1 transcript:fgenesh2_kg.2__229__AT1G62440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KUQ4] GADDDDDISGDDIKVDPSLKFENPSLRQAYIALQSWKQAIFSDPFNFTANWNGSDVCSYNGIFCSPSPSSPKTRVVAGIDLNHADMAGYLPRELGLLTDLALFHLNSNRFCGEVPITFKNMKLLFELDLSNNRFVGKFPNVVLSLPSLKFLDLRYNEFEGGIPSKLFDKELDAIFLNHNRFRFGIPENMGNSPVSALVLADNDLGGCIPGSIGLMGKTLNEIILSNDNLTGCLPPQIGNLKNVTVFDVSFNRLSGPLPSSIGNMKSLEQLNVANNRFTGVIPSSICQLSNLENFTYSSNFFTGDAPRCAALSGDNVAVDGSMNCIAGKERQRSSKECSSPASRPVDCTKFGCNNFFSPPPPSFKMSPTVRVLPPPPPSSKMSPTFRATPPPPSSKMSPTFRATPPPPSSKMSPTFRATPPPPSSKMSPSVKAYPPPPPPPKYEPSPPPPSSGMSPSVRAYPPPPPSPPPPYIYSSPPPPSPSPPPPYIYSSPPPPPPVVNCPPTTQSPPPPPPPIYEQPPSPREYYPSPSPPYYQYTSSRPPPTYYSRSISTGNRHRSTIDRLHNLLLLHRFTIHLLLKSTTTTGLLSTSYTKSTSPTSLLSSSNTKPSTTTTSLLSTGNTKPSTASTGLLSTVTQSPPPPPPPVEYHPPASPNQSPPPLEYQSPPQKGCNDSHHYQTPTPPSPPPPSYEDTPLPPIRGVSYASPPPPSIPYY >fgenesh2_kg.2__2300__AT1G80760.1 pep chromosome:v.1.0:2:18894029:18895629:1 gene:fgenesh2_kg.2__2300__AT1G80760.1 transcript:fgenesh2_kg.2__2300__AT1G80760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEEIPSMPSTPATTPGTPGAPLFGGFEGKRNGHNGKYTPKSLLKSCKCFSVDNEWALEDGRLPPVSCSLPPPNVSLYRKLGAEFVGTLILIFAGTATAIVNQKTDGAETLIGCAASAGLAVMIVILSTGHISGAHLNPAVTIAFAALKHFPWKHVPVYIGAQVMASVCAAFALKAVFEPTMSGGVTVPTVGLSQAFALEFIISFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGPATSASMNPVRTLGPAIAANNYRAIWVYLTAPILGALIGAGTYTIVKLPEENEAPKERRSFRR >fgenesh2_kg.2__2304__AT1G80730.1 pep chromosome:v.1.0:2:18909235:18910131:1 gene:fgenesh2_kg.2__2304__AT1G80730.1 transcript:fgenesh2_kg.2__2304__AT1G80730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger protein 1 [Source:UniProtKB/TrEMBL;Acc:D7KX25] MDPSIKGDQEMLKIKKQGHQDLELGLTLLPRGTTTSSELNLIDSFKTSSSSTSHHHQQQEHLADPRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRGQYYKMTLSSLPSSAFAFGHGSVSRFASMASLPLHGSVNNRSTLGIQAHSTIHKPFLGRHTASLSHIFKQSINQKPTIGKMLPEKFHLEVAGSDNSKMIGHFKRNQEDHNQFKKIDLTLKL >fgenesh2_kg.2__2306__AT1G80710.1 pep chromosome:v.1.0:2:18921719:18926786:1 gene:fgenesh2_kg.2__2306__AT1G80710.1 transcript:fgenesh2_kg.2__2306__AT1G80710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7KX28] MATEYERKRLENIRRNDEMMAALNVRAKASSLLSAATAAKRSRDDSKSFKKKKPKPATTTPTVIRKSLRTRGLNPDSAGLPDGFSDFRMGSQITHHKPSPKKQSPRLLAPLPFDSAYEGDGSYTQLVDTLLGVESKSCRGKLVKGETGSVKDENESPMVSTRSTSRVSKVSVKKEEPDDSFSDCVKKEFDIPVKPEKIEFDQDLLSLEPHNVARVVPGRIFVVQFLPCEDAKMVAAGDKLGNVGFWNLDCENEEDNDGIYLFTPHSAPVSSIVFQQNSLSRVITSSYDGLIRLMDVEKSVFDLLYSTDEAIFSLSQRPNDEQSLYFGEDYGMFNIWDLRAGKSVFHWELHEQRINSIDFNPQNPHVMATSSTDGTACLWDLRSMGAKKPKILTTLNHSRAVHSAYFSPSGLLLATTSLDNYIGILSGANFENTYKIYHNNNTSRWISKFRAVWGWDDSYIYVGNLSKKIDVINPKLKRTVMELHNPLMKAIPCRIHCHPYNVGTLAGSTAGGQVYVWTAK >fgenesh2_kg.2__2307__AT1G80700.1 pep chromosome:v.1.0:2:18926834:18928324:-1 gene:fgenesh2_kg.2__2307__AT1G80700.1 transcript:fgenesh2_kg.2__2307__AT1G80700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGRGSWVGLSTRLKLYRIRRLCTKGENGGNKVEKTESSVAHYSETESSVSRYDETYKKLDKLDFVTAAKILFTEPPKKNKFGFDWHVVQFIIVCLPSVAVYLVAQYARRKMKIMDAELGEKKRKEEEKKEKEEAEQKALEVEAATKSHEELMEMKQRLGKIEETIKEIVLETKKPSGNAPTKTQEDQSTKLSPKEESKPEKEQKSNVQKPGDGPH >fgenesh2_kg.2__2308__AT1G80690.1 pep chromosome:v.1.0:2:18929451:18931244:1 gene:fgenesh2_kg.2__2308__AT1G80690.1 transcript:fgenesh2_kg.2__2308__AT1G80690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRKSSSFVDRGNVPVYLNVYDLTPINGYAYWLGLGVYHSGVEVHGIEYAFGAHEYPSTGIFEGEPRQCEGFTFRKSILIGKTDLGPLEVRATMEQLADNYKGSSYNLITKNCNHFCDETCIKLTGNPIPSWVNRLARIGFMCNCVLPATINATRFGNNRINQDKSCEAESEKKKLTSVSSRERSTTIATPSSSSSSPSVQVRGRSRKRRPRALQPSSPLTLGSSSV >fgenesh2_kg.2__230__AT1G62430.1 pep chromosome:v.1.0:2:1711937:1715483:1 gene:fgenesh2_kg.2__230__AT1G62430.1 transcript:fgenesh2_kg.2__230__AT1G62430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KUQ5] MEEENVTSSPSTPVHRLRHRRRSNEVVMDGDRVNASPLLVNDRNKYKSFMVRTYSTLWMIGGFVLVVYMGHLYITAMVVVIQIFMAKELFNLLRKAPEDKCLPWIKQLNWHFFFTAMLFVYGRILSQRLANTMTADQFFYRLVTGLIKYHMAICYLLYIIGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIIINDIFAYIFGFFFGRTPLIKLSPKKTWEGFIGASVTTIISAFVVANILGRYPWLTCPRQDLSTGWLQCDADPLFKPEPFALPAWIPEWFPWKEMTVLPVQWHALCLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYLQSFIVSQSVSVDKILDQILTNLTFEEQQALFVKLGQMLKDKLS >fgenesh2_kg.2__2310__AT1G80670.1 pep chromosome:v.1.0:2:18936378:18939483:1 gene:fgenesh2_kg.2__2310__AT1G80670.1 transcript:fgenesh2_kg.2__2310__AT1G80670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7KX32] MATFGASATANINPNKSYEVTPSPADSISSLSFSPRADILVATSWDNQVRCWEISRSGASLASAPKASISHDQPVLCSAWKDDGTTVFSGGCDKQAKMWPLLSGGQPVTVAMHDAPIAAMAWIPGMNLLATGSWDKTLKYWDTRQPNPVHTQQLPDKCYTLSVKHPLMVVGTADRNLIVFNLQNPQTEFKRIQSPLKYQTRCVTAFPDQQGFLVGSIEGRVGVHHLDDSQQSKNFTFKCHRDGNEIYSVNSLNFHPVHGTFATAGSDGAFNFWDKDSKQRLKAMSRCNQPIPCSSFNHDGSIYAYAACYDWSKGAENHNPATAKSSIFLHLPQENEVKAKPRVGATGRK >fgenesh2_kg.2__2312__AT1G80640.1 pep chromosome:v.1.0:2:18946062:18948764:-1 gene:fgenesh2_kg.2__2312__AT1G80640.1 transcript:fgenesh2_kg.2__2312__AT1G80640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KX34] MCLLGHLLPIWVLGFFFFFFFSTALVVTQSEESSVVYVSHEIPISQASPRMGAQSPGPPIVKVVLRQDLNKKILIALIVSSSLLCVTVMFLLYLLLWRYRNMKNSFTGIKRNSDSVKSVTTKPIVHKIDSVRKGTIPVYEFQLLESATNKFSDSNVLSRGGRGCLYRACLDEKSSVTVKRLDGGGETDIEKQFETEVDWLAKIRHQNIISLLGFCVYRQTSCIVYEMMQNGSLESQLHGPSQGSGLTWQLRMKIAVDIARGLEYLHEHCHPPVVHRDLKSSSILLDSDFNAKISDFGFATVLTTQNKNLIHKASEHLLDGKVTDKNDVYSFGVILLELLLGKKSGEKPSSEPESIVTWAVPKLSDRASLPNILDPAIKGTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLLPVELGGSLRIL >fgenesh2_kg.2__2314__AT1G80630.1 pep chromosome:v.1.0:2:18949471:18951422:1 gene:fgenesh2_kg.2__2314__AT1G80630.1 transcript:fgenesh2_kg.2__2314__AT1G80630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KX35] MDLPEECWELICKAIDEDDYRFLESVSLVSTLFLSITNRVRSTFVVTDRTLPFLNRHLLRFRSLKRIRFSDFNQDLNSILLQVSRSGLDFESVDVSQKRYFPDFEKKNVKELKCYGVGGFRDSDLVSIGVNFPFLEKLDIGYPNSIPSRVSDFGVIELSSNLKGLLKINISGNSFITDKSLIALSQNCLLLREIIFRDCDFISSDCIKFVLRNSRNLESLAINGIGLRPRESLSSDAFLFARCLTELDLSDSFLSDELLCLIADAKLPLKKLLLSDCHGFTFDGILYLLAKYQTLVHLNLKGANFLSDEMVMELGMFFRSLIFLNLSFCSKLTGLAFFSIIERCVSLRCVIMVGTNFGVEEYSKELDIKSGIKFLYFSRNHNLRDECLEKISRHCPFLESLDVAQCPGITRDGILEVSRNCGELRSLDISRCTGVRSLGVVDFELPKLESLRACGTWIDDEALDMISKRCRGLLHLDLQGCLNVSSRGVKEVVQSCIRLREINLKYCEADNKMFTWMVFANPSLRKIVPPCGFSPTKKLENFLLRHGCVISQDSSELLSIAYRANGRDDDTLTRLII >fgenesh2_kg.2__2315__AT1G80610.1 pep chromosome:v.1.0:2:18956760:18959953:1 gene:fgenesh2_kg.2__2315__AT1G80610.1 transcript:fgenesh2_kg.2__2315__AT1G80610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KX38] MSGENWIRVAMSDDSLVAEALLRLRHSEPKKSVDASPLKLKWSVRQRRSKKGDQTRASPTTPLSWSGATSLSGGGGSGGSGGGATTVEGLEESSAAVKPFEPFRSKISQTSAITTTTLFKRSRKKKTLAELKEEEIMLLKESNGLKNELASMRDLVEQQRARNNALKKMKAESKSALSCKHSFEKGSSFLLPDLNMPLD >fgenesh2_kg.2__2317__AT1G80570.3 pep chromosome:v.1.0:2:18966815:18968616:1 gene:fgenesh2_kg.2__2317__AT1G80570.3 transcript:fgenesh2_kg.2__2317__AT1G80570.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KX40] MDELPDHLVWDILSKLHTTNDRNSVSLSCRRFYSLDNDQRYSLRIGCGLVPATDALLSLCRRFPNLSKVEIIYSGWMSKLGKQLDDQGLLVLTTNCLSLTDLTLSYCTFITDVGIRHLSSCLELSSLKLNFAPRITGCGVLSLAVGCKKLRRLHLIRCLNVASVEWLEYFGKLETLEELCIKNCRAIGEGDLIKLRNSWRKLTSLQFEVDANYRYMKVYDQLDVERWPKQLVPCDSLVELSLGNCIIAPGRGLACVLRNCKNLEKLHLDMCTGVSDSDIIALVQKAKHLRSISLRVPSDFTLPLLNNITLRLTDESLSAIARHCSKLESFKISFSDGEFPSLFSFTLQGIITLIQKCPVRELSLDHVCVFNDMGMEALCSAQNLEILELVQCQEVSDEGLILASQFPSLSVLKLSKCLGVTDDGMRPLVGSHKLELLVVEDCPQVSRRGVHGAATSVSFKQDLSWMY >fgenesh2_kg.2__231__AT1G62420.1 pep chromosome:v.1.0:2:1716958:1718756:1 gene:fgenesh2_kg.2__231__AT1G62420.1 transcript:fgenesh2_kg.2__231__AT1G62420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIQARFKRVESAFNVAAARVRPPCDNSSGSDHSPDLSDLVASFMEKEGQIVLREEEETSSDDNDLEDVKERLRKLLEGLSGGEERRRVMAAMMEVAGTFVGDVSSPKRQLMVFLRNKGFDAGLCKSNWERFGKNTGGKYEYVDIRCGGDHNRFIVETNLAGEFEIARPTKRYLSILNQVPRVFVGTSEELKLLVRIMCHEMRRSMKHVGMHVPPWRRNGYMQAKWFGFYKRTSTTNNYDMVNTYDTTAFKGCKEEFWEAKGRKVMVGQLSVTFNVSGVEV >fgenesh2_kg.2__2323__AT1G80560.1 pep chromosome:v.1.0:2:18978112:18980615:-1 gene:fgenesh2_kg.2__2323__AT1G80560.1 transcript:fgenesh2_kg.2__2323__AT1G80560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-isopropylmalate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7KX44] MAAALQTNIPPVKVPATFRAVKKQSFAPFRVRCAVASPGNKRYNITLLPGDGIGPEVVSIAKNVLQQAGSLEGVEFSFREMPIGGAALDLVGVPLPEETIAAAKESDALLLGAIGGYKWDNNEKHLRPETGLLQIRAALKVFANLRPATVLPQLVDASTLKRQVAEGVDLMVVRELTGGIYFGEPRGIKTNENGEEVGFNTEVYAAHEIDRIARVAFETARKRRGKLCSVDKANVLEASILWRKRVTALASEYPDVELSHMYVDNAAMQLVRDPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLSDSGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGEEKAAKRIEDAVLVALNNGFRTGDIYSAGTKLVGCKEMGEEVLKSVDSQVPASV >fgenesh2_kg.2__2324__AT1G80550.1 pep chromosome:v.1.0:2:18985108:18986685:1 gene:fgenesh2_kg.2__2324__AT1G80550.1 transcript:fgenesh2_kg.2__2324__AT1G80550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KX46] DQSSYDQKTVCEALSCYINDWQKALEFFNWVEKESGFRHTTETFNRMIDILGKYFEFETCWALINRMIGNPESLPNHVTFRIVFKRYVTAHLVQEAIDAYDKLDDFNLRDDTSFYNLVDALCEHKHVVEAEELCFGKNVIAHGFSVSNTKIHNLILRGWSKLGWWGKCKEYWDKMDTEGVPKDLFSYSIYMDIMCKSGKPWKAVKLYKEMKSRRIKLDVVAYNTVIRAIGASQGVEFGIRVFREMRERGCEPNVATHNTIIKLLCEDGRMRDAYRMLDEMPKKGCQPDSISYMCLFSRLEKPSEILSLFGRMIRSGVRPKMDTYVMLMRKFERWGFLQPVLYVWKTMKESGDTPDSAAYNAVIDALIQKGMLDMAREYEEEMIERGLSPRRRPELVEKSLDETLVCR >fgenesh2_kg.2__2328__AT1G80510.1 pep chromosome:v.1.0:2:18997701:18999622:-1 gene:fgenesh2_kg.2__2328__AT1G80510.1 transcript:fgenesh2_kg.2__2328__AT1G80510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7KX50] MDSSYSVISKTSYVELQKPTNNGKPRNKLLPSDEESFVNDFDDTRNGVGGEDGDDLDFDVADYSLVHGKSSNQGSGIYGAVFNLTTSIIGAGIMALPATMKVLGLVLGFLLIILMAILSEISVELLIRFSVLYKSKSYGEVVQFALGKTARVLSEICIIVNNGGVLVVYLIIMGDVMSGSLHHIGVLDQWLGNGFWDHRKVLVLIVMVIFLAPLCALNKIDSLSVTSAASVALAVVFVVVCFVVATIKLIQGTIDPPRMSPDFGSKQAILDLLVVIPIMSNAYVCHFNVQPIYNELEGRSPHKMNRVGRITTAICVVVYASTAISGYLLFGKDTESDILTNFDQDLGIRFSSAVNYIVRIGYILHLVLVFPVIHFSLRETVNTLLFGGSPPLSESKKRSLGLTVVLLALIYIGSTMIPNIWTAFKFTGATSAVSLGFTFPALIALRLGKQSNSLSFVERSVSWLMLILAVVVSIVGTIGNIYSLESKSD >fgenesh2_kg.2__232__AT1G62422.1 pep chromosome:v.1.0:2:1720658:1721513:1 gene:fgenesh2_kg.2__232__AT1G62422.1 transcript:fgenesh2_kg.2__232__AT1G62422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLCRKGTVHPSPAPAIKTDDQFLSLLPVAILSLVAALSAEDREVLAYLISNSGDSNRFSHLKKNKEDNQHSPLFVCDCFSCYTSYWVRWDSSPSRQLIHEIIDAFEDSLEMKKRKKDRRKRSGKASVRVDSVGPSRLSELGSSSAEFVGGDSGKDGNCGGEEAEKEKGSVGKVLSFIGQRFLGVWG >fgenesh2_kg.2__2331__AT1G80480.1 pep chromosome:v.1.0:2:19012086:19014414:1 gene:fgenesh2_kg.2__2331__AT1G80480.1 transcript:fgenesh2_kg.2__2331__AT1G80480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC17 [Source:UniProtKB/TrEMBL;Acc:D7KX53] MATLSKLEIASTFLAPRSAAAFNYRSSSAAVSVFSRPRATTVSVRTTPSLFYSPVVRRRRFSSVSVSATQTEDSDVTTKIPPDNRIPATIITGFLGSGKTTLLNHILTRDHGKRIAVIENEFGEVDIDGSLVASKSIGAEDIVMLNNGCLCCTVRGDLVRMIGELVNTKKGKFDHIVIETTGLANPAPIIQTFYAEEDIFNDVKLDGVVTLVDAKHARLHLDEVKPTGVVNEAVEQIAYADRIIVNKTDLVGEAELGSVVQRIKTINSMAQMTRTKYGNVDLDYVLGIGGFDLERIESSVNEDDKGDHHDHDHDHDHDHDHHHHDEREHHNHSHDHTHDPGVSSVSIVCQGSLDLEKANMWLGTLLMERSEDIYRMKGLLSVHTMEERFVFQGVHDIFQGSPDRLWGRNEERVNKIVFIGKNLNREELEKGFKACLI >fgenesh2_kg.2__2336__AT1G80460.1 pep chromosome:v.1.0:2:19026838:19029327:1 gene:fgenesh2_kg.2__2336__AT1G80460.1 transcript:fgenesh2_kg.2__2336__AT1G80460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g80460 [Source:UniProtKB/TrEMBL;Acc:D7KX56] MAKENGFIGSIDQGTTSTRFIIYDHHARAVASHQVEFTQFYPEAGWVEHDPMEILESVKVCIAKALDKATADGHNVDGGLKAIGLTDQRETTVVWSKSTGLPLHKAIVWMDARTSSICRRLEKELSGGRSHFVESCGLPISTYFSAMKLLWLMENVDDVKDAIKKGDAIFGTIDTWLIWNMTGGVDGGLHVTDVTNASRTMLMNLKTLNWDQDTLKTLGIPAEILPKIVSNSEVIGEICKGWPIPGIKIAGCLGDQHAAMLGQACRKGEAKSTYGTGAFILLNTGEVPIKSGHGLLTTLAYKLGPQAHTNYALEGSIAIAGAAVQWLRDSLGIIKSASEIEDLAAMVDSTGGVYFVPAFNGLFAPWWREDARGVCIGITRFTNKSHIARAVLESMCFQVKDVLDSMNKDAGEKGSLDNEKGEFLLRVDGGATANNLLMQIQADLMGSPVVRPVDIETTALGAAYAAGLAVGFWKEADIFESGEKAKNSKVFRPAMEEETRKKKVASWCKAVERTFDLADLSI >fgenesh2_kg.2__2337__AT1G80450.1 pep chromosome:v.1.0:2:19037745:19038622:1 gene:fgenesh2_kg.2__2337__AT1G80450.1 transcript:fgenesh2_kg.2__2337__AT1G80450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KX58] MSHQHQQPPSYATDPNTMFVQADPSNFRNIVQKLTGAPPDISSSSSFSTVSAAHQKLPLTPKKPAFKLHERRQSSKKMELKVNNITNPKDAFSHFHRGFLVSPVSHLDPYWARVSPHSAREEHHAQPDKEEQKAIADKGFYFLPSPRSGAEPAPELLPLFPLRSPNGTTHRIHEDNYRDS >fgenesh2_kg.2__2338__AT1G80440.1 pep chromosome:v.1.0:2:19039780:19041008:-1 gene:fgenesh2_kg.2__2338__AT1G80440.1 transcript:fgenesh2_kg.2__2338__AT1G80440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIPNLPDDVARECLLRASYKQFPVIASVCRGWNREVSLSDFLHQRKASRHSQELLILSQARVEDSSGSGKIFATPEYRVSVLESGSGLWTELPRIPGQAKGLPLFCRLVSVGSDLIVLGGLDPVTWQASDSVFVFSFLTSKWRVGATMPGARRSFFGCASDSDRTVLVAGGHDEEKCALTSAIVYDVAEDKWTFLPDMARERDECKAIFHAGRFQVIGGYATEEQGQFSKTTESFYVSTWQWGPLTDDFLDDTVSSPICAAGENGDLYACWRGDVMMFLADTWQKVGQIPADVYNVTYVVAVRPGKLIVIGNGKALAGYGEATVGYSCDLSSARWVKLETHVGHVQAGCFLEV >fgenesh2_kg.2__233__AT1G62400.1 pep chromosome:v.1.0:2:1746167:1747528:-1 gene:fgenesh2_kg.2__233__AT1G62400.1 transcript:fgenesh2_kg.2__233__AT1G62400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRFDSMESWSMILESENVEAWEASKGEREEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTHKEETRAKLEQQFKSEVALLSRLFHPNIVQFIAACKKPPVYCIITEYMSQGNLRMYLNKKEPYSLSIETVLRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETQCREAKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKNERPPLPASCQPALAHLIKRCWSENPSKRPDFSNIVAVLEKYDECVKEGLPLTSHASLTKTKNAILDRLKGCVSSISSPFSSSSVPVNA >fgenesh2_kg.2__2342__AT1G80410.1 pep chromosome:v.1.0:2:19048122:19055657:1 gene:fgenesh2_kg.2__2342__AT1G80410.1 transcript:fgenesh2_kg.2__2342__AT1G80410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2753 [Source:UniProtKB/TrEMBL;Acc:D7KX61] MGASLPPKEANLFKLIVKSYETKQYKKGLKAADAILKKFPDHGETLSMKGLTLNCMDRKTEAYELVRLGVKNDIKSHVCWHVLGLLYRSDREYREAIKCYRNALRIDPDNLEILRDLSLLQAQMRDLSGFVETRQQLLTLKPNHRMNWIGFAVSQHLNANASKAVEILEAYEGTLEDDYPPENELCEHTEMILYKVSLLEESGSFDKALEELHKKEPKIVDKLSYKEQEVSLLSKVGRLEEANKLYRVLLSMNPDNYRYYEGLQKCLGLYSESGQYSSDQIEKLNALYLSLSEQYTRSSAVKRIPLDFLQDENFKEAVAKYIKPLLTKGVPSLFSDLSSLYDHPRKPDILEQLVVEMEHSIRTTGSFPGSDVKEPPSTLLWTFFFLAQHYDRRGQYDVALCKIDEAIAHTPTVIDLYSVKSRIMKHAGDLTAAAALADEARGMDLADRYINSECVKRMLQADQVPLAEKTAVLFTKEGDQLNNLHDMQCMWYDLASGDSYFRQGDLGRALKKFLAVEKHYADISEDQFDFHSYCLRKMTLRSYVDMLKFQDRLHSFPYFHKAAIRAIRCYLKLHDSPKSTAEEDEMSKLAPAQKKKIKKQKKAEARAKKEAESKSEESTASSASKSGKRNVKPVDPDPHGQKLIQVEQPMAEASKYLRLLQKHSPNSLETHLLSFEVNMRKQKFLLAFQAVKQLLKLDAENPDSHRSLVKFFLMAESISAPTTEAEKLRWSVLEAERPSISQLQNKSIMEANKEFLGRHEDSLVHRAAYAEMLYILDPSKKTEAIKIIEDSTNKVVQTNGALGPAREWKLKDCIAVHKLLDTVLLDSDAASRWKSRCAEYFPCSTHFEGKHSSVMPDSVYNSSRKSNENGDTPNHLVGQTELSDGQLEAFKSLSVST >fgenesh2_kg.2__2344__AT1G80390.1 pep chromosome:v.1.0:2:19061927:19062829:1 gene:fgenesh2_kg.2__2344__AT1G80390.1 transcript:fgenesh2_kg.2__2344__AT1G80390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7KX63] MSSEEYVRVWPDSGDLGGIELTLALPGTPTNASDGPKKCRNKRRFLETVDLKLGEGHENKYFSSLITNDQLVGWPPVTTARKTVRRKYVKVAVDGAAYLRKVDLEMYDCYGQLFTALENMFQGIITICKVTELERKGEFVATYEDKDGDWMLVGDVPWMMFVESCKRMRLMKIGDAIGL >fgenesh2_kg.2__234__AT1G62390.1 pep chromosome:v.1.0:2:1751850:1754685:1 gene:fgenesh2_kg.2__234__AT1G62390.1 transcript:fgenesh2_kg.2__234__AT1G62390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KUR1] MGKSGGRKKKSGGGGSNANSNSSQVNSSEASGLSKPSTIVNGGVDFDASIFLKRAHELKEEGNKKFQARDYVGALEQYENGIKLIPKNHPDRAVFHSNRAACLMQMKPIDYESVISECSLALKSQPGFTRALLRRARAFEAVGKFDLAVQDVNVLLGSDPNHKDAGEISKRLKSALGPHQDLQSRPSPAALGASAALGGPIAGLGPCLPSRNVHKKGVTSPVGSVSMPNASNGKVERPQVVNPVTENGGAVSKGQASRVVLKPVSHSPKGSKVEELGSSSVAVVEKVQEKRIRWRPLKFVYDHDIRLGQMPVNCRFKELREIVSSRFPSSKAVLIKYKDNDGDLVTITSTAELKLAESAADSLLTKEPDTDKSDSVGMLRLHVVDVSPEQEPMLLEEEEEEVEEKPVVEEIISSPTESVSETEINNEKTDKEVEKEKAGSSEDPETKELEMDDWLFDFAHLFRTHVGIDPDAHIDLHELGMELCSEALEETVTSEKAQPLFDKASAKFQEVAALAFFNWGNVHMCAARKRIPLDESAGKEVVAAQLQTAYEWVKERYTLAKEKYEQALSIKPDFYEGLLALGQQQFEMAKLHWSYLLAQKIDISGWDPSETLNLFDSAEAKMKDATEMWEKLEEQRMDDLKNPNSNKKEEVSRRRKKQGGDGSEEVSETITAEEAAEQATAMRSQIHLFWGNMLFERSQVECKIGRDGWNKNLDSAVERFKLAGASEADIATVVKNHCSNEAAAIEGDEKKVPAP >fgenesh2_kg.2__2352__AT1G80300.1 pep chromosome:v.1.0:2:19093637:19096324:-1 gene:fgenesh2_kg.2__2352__AT1G80300.1 transcript:fgenesh2_kg.2__2352__AT1G80300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:D7KX72] MEAAIQTRGLLSLPTKPIGVRSLLQPSHGLKQRLFAAKPRNLPGFSLSFNGHKKFQTFEPTLHGISISHKERSSEFICKAEAAAAGDGAVFGEGDSAAVVASPKIFGVEVATLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSKKALFYTVIIPFIVYFGAFGFVMYPLSNYIHPEALADKLLATLGPRFMGPLAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVDEAKKFYPLFGLGANVALIFSGRTVKYFSNLRRNLGPGVDGWAVSLKAMMSIVVGMGLAICFLYWWVNRYVPLPTRSKKKKEKPKMGTMESLKFLVSSPYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSAFMGDFSTCTGIATFTMMLLSQYVFDKYGWGVAAKITPTVLLLTGVAFFSLILFGGPFAPLVAKLGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGMILLVIVTAWLAAAKSLEGQFNSLRSEEELEKEMERASLVKIPVVSQDESGNGSLGESPSSSPEKSAPTNL >fgenesh2_kg.2__2353__AT1G80280.1 pep chromosome:v.1.0:2:19103153:19106088:1 gene:fgenesh2_kg.2__2353__AT1G80280.1 transcript:fgenesh2_kg.2__2353__AT1G80280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7KX74] MGKGWFIVEKARRCLRTVFFMVAMLVSLLVSSLPVLVAIGDVLVPTFLLSSFTCLTCYGFKEHLSRYAFKSSLTDIPLVSLVRSFLVICVYSLSDAPALSHGPYLGTVSLCSVVSIILLSVKACVFTANSQLNAEASSSPSRQRLHLNKSWGMPVLFLSSVVFALGHMVVAYRTSCRARRKLLYHRVDPEAVLSCKSVFSGYQKVPRSPIPLVGKASKIDGEARRKLHSSASNDEGELPARLLADLDSLFITVRGLTVHYKICTPGTPRHSTVEANSMLNMPEAMAGRLKLDRNILSMVTRNKLNHHHHRSYSSLFNNSSSLHDPLLDGSPTSPRQEEACREDVINVSNFGATEQQNVGGSGQFGVVLVHGFGGGVFSWRHVMAPLGHQLGCVVTAFDRPGWGLTARPHKKDLEEREMPNPYTMENQVDMLLAFCHEMEFASVVLVGHDDGGLLALKAAQRLQESKDPINVKGVVLLNVSLTREVVPAFARILLHTSLGKKHLVRPLLRTEIAQVVNRRTWYDPAKMTTDVLRLYKAPLYVEGWDEALHEIGRLSSEMVLPTQNALSLLKAVENLPVLVVAGAEDALVPLKSSQVMASKLVNSRLVAISGCGHLPHEECPKALLAAMSPFISRLVLSEE >fgenesh2_kg.2__2354__AT1G80270.1 pep chromosome:v.1.0:2:19106154:19108336:-1 gene:fgenesh2_kg.2__2354__AT1G80270.1 transcript:fgenesh2_kg.2__2354__AT1G80270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALSKVLRRTQRLRLGACSAVYSKDIQSGGERSFVSLDSNSTVSNKREAVPRFYEISFLSKRALSSNAGTKSDQEEDDLEDGFSELGSSKSAQDTTSSDDDEGKLSADEEEELELDLIDDSNKTVEKKPSELFKTIVSAPGLSIGSALDKWVEEGNEITRVEVAKAMLQLRRRRMYGRALQLSEWLEANKKIEMNERDYSSRLDLTVKIRGLENGEAYMQKIPKSFKGEVIYRTLLANCVAAGNVKKSELVFNRMKDLGFPLSGFTCDQMLLLYKRIDRKKIADVLLLMEKENVKPSLLTYKILIDVKGATNDISGMEQILETMKDEGVQPDFQTQALTAKHYSGAGLKEKAEKVLKEMEGESLEANRRAFKDLLSIYASLGREDEVTRIWKICESKPYFDESLAAIHAFGKLNKVQEAEAIFEKIVTMGRRASSNTYSVLLRVYVDHKMLSKGKDLVKRMAESGCRIEATTWDALIKLYVEAGEVEKADSMLDKASKQSHTKLMMNSFMYIMDEYSKRGDVHNTEKIFLKMREVGYTSRLRQFQALLQAYINAKAPAYGMRDRMKADNIFPNKSMAAQLAQGDPFKKTAISDILD >fgenesh2_kg.2__2357__AT1G80260.1 pep chromosome:v.1.0:2:19109027:19113808:-1 gene:fgenesh2_kg.2__2357__AT1G80260.1 transcript:fgenesh2_kg.2__2357__AT1G80260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:D7KX76] MESLLRADRVETLIPGAVCSHSELALPSVSVTELDLVRGLLQGLSSPFLFWDQTGQTFRAKSHIRVSHLSHSSLHVLLAGFLYAATCLKLVESIVAAINTSLSSPPTLMAFSDSVSAWLERLRDIALKEEVMINNSDITVTPTLLGLTSSLSSLCSGAEYLLQVVHAAIPHSFFDSSSTVSAAEIAFHVLDYLYKKLDEVCLVQGGEVEGFHMLLQMFAGSLLPYIEGLDSCLFEGTLDDPCEELFFTANQSVSVNDAEFWEKSYQLMKVPNPKSNVTSLNEKKVISGHDANSSLVSDKDKEQNTGVLCPLFIKDICKSIVSAGKSLQLMQHIPSTSSENSGKTQFHGRNGFGNSGCGRLLAKKSSFSSTADLSLSEVFCLTLAGFIGHGDHVSRYLWKDEADKWEISPTLASYISGELVNGMDDKDLPVLTCSERMWYKLLVDAVQEKRAMEAKSQLQNACYATGVKDGNSGLTAQKALQGLFCNENLVVSVSKMDLERNKNAWNVLNLSQNYCLPSLNDESLLSAVFEESGVADAGLSGTNYKFGFQLGRSEYLSSQDDTKILETLFPFPTLLPSLQPKLHLSEFLPFQKNSTLPSRVLSWLLKAEPMDTRLPIVIMQECFTIYIRRQVDYIGKVILSKLMNDWKLMHELAVLRAIYLLGSGDLLQHFLTVIFDRLGKGESSNDDFELNIILQESIRNSADVMLLSSPDSLVVSISREDRDKDDKGDIVPLSSTRKSRVNSFGIDCLESLKFTYKVPWPLELIANSEAIKKYNQVMGFLLKVKRAKYVLDKARRWMWKGKGSATKIHKHHWLLEQKLLNFVDAFHQYVMDRVYHTAWRELCEAMVKAGSLDEVIYVHETYLLSIQRQCFVVQEKLWAIIASRINMILGLALEFYSIQQTLSSGGAVSAIKARCEMEIDRIEKQFEDCIAFLLRVLSSKLNVGHLPHLADLVTRINYNYHYMSDTGSLMTSAGAETNSSRPKTAKSDV >fgenesh2_kg.2__235__AT1G62380.1 pep chromosome:v.1.0:2:1754730:1756899:-1 gene:fgenesh2_kg.2__235__AT1G62380.1 transcript:fgenesh2_kg.2__235__AT1G62380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNMKFPVVDLSKLNGEERDQTMALINEACENWGFFEIVNHGLPHDLMDKIEKMTKEHYKTCQEQKFNDMLKSKGLDNLQTEVEDIDWESTFYVRHLPQSNLSDISDVSDEYRTAMKDFGKRLENLAEELLDLLCENLGLEKGYLKKVFHGTKGPTFGTKVSNYPPCPKPEMIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGDWIDVPPLNHSIVINLGDQLEVITNGKYKSVMHRVVTQQEGNRMSIASFYNPGNDAEISPATSLVEKDTEYPSFVFDDYMKLYAGVKFQPKEPRFAAMKNASAVTELNPTAAVETF >fgenesh2_kg.2__2361__AT1G80230.1 pep chromosome:v.1.0:2:19118653:19120055:1 gene:fgenesh2_kg.2__2361__AT1G80230.1 transcript:fgenesh2_kg.2__2361__AT1G80230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRIVSSHLKSISAGWSCAAPSCRHAVVETTHLSLSTRASSIPAYSSVFSRQIGSTAADTAVKRRVEDVMPIATGHEKEELEAELEGRKLDDIDFPEGPFGTKEAPAVVKSYYDMRIVGCPGGEGEDEHDVVWFWLEKGKHFECPVCTQYFKLEVVGPGGPPDGHGDDHH >fgenesh2_kg.2__2364__AT1G80210.2 pep chromosome:v.1.0:2:19124874:19127683:1 gene:fgenesh2_kg.2__2364__AT1G80210.2 transcript:fgenesh2_kg.2__2364__AT1G80210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTCVNMSEDVWLTCLTHALSTETEEIMGLLLGDIEALKERRSATAMIWGASPQSRSDRQKDRVETNPEQLAAASAQADRMTISTGKTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDSGFIGLIVSCFSEDANKVGRIQVIAFQSSDGKPNSIPKPVSLVLANKDSVIDLESSFSSSDSIYQRSSSARGDNPELDTSDTATTSGSKGGGRVLDFGAFFVNNAEANSTGRDGTSGNYSTAIEIDSMDMSESMQEAMLRSNLETSGVEYVRKEVPLHVLPTSSLLQLNSPLASFRSLQRVLYDEERAAYHQSVQQSMRDGRVHPLAFIHNTSTYQASMCKLIEYCLSPAINALQDRLKENKIRVCVSS >fgenesh2_kg.2__2369__AT1G80170.1 pep chromosome:v.1.0:2:19134530:19136707:1 gene:fgenesh2_kg.2__2369__AT1G80170.1 transcript:fgenesh2_kg.2__2369__AT1G80170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSCGTTVVVTLLLLVVASSLALTANADSFESLLQLPRRQSRSTRPRSERLLHVGKFGAKGNGFTDDTKAFADAWKTACSSKVKTRILVPENYTCLLRPIDLSGPCKARLTLQISGTIIAPNDPDAWEGLNRRKWLYFHGLSRLTVEGGGTVNGMGQEWWTRSCKHNHSNPCRGAPTAITFHKCKNMRVENLNVIDSQQMHIAFTSCRRVAISGLKVIAPATSPNTDGIHISVSRGIVIDNTTVSTGDDCVSIVKNSSQISISNIICGPGHGISIGSLGKSESWEEVRDITVDTAFISDTANGVRIKTWQGGSGLVSKIIFRNIKMNNVSNPIIIDQYYCDSRKPCANQTSALSVENISFVYVRGTSATKQAIKISCSDSSPCRNILLQDVDLEPSSGDGFTESFCWEAYGSSSGQVYPPPCLSDDTSFLEQSVQSGITSAYL >fgenesh2_kg.2__2373__AT1G80133.1 pep chromosome:v.1.0:2:19147225:19147918:1 gene:fgenesh2_kg.2__2373__AT1G80133.1 transcript:fgenesh2_kg.2__2373__AT1G80133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRNYKRCSFGAALFVVNIFFSLISLHYNISGAHGHQQRMKQSVFGSEPPVCATKCLNCKPCLPYLFDIRGAHDDDDDSEPYYPVKWICRCRDRVFEP >fgenesh2_kg.2__2374__AT1G80130.1 pep chromosome:v.1.0:2:19151265:19152975:1 gene:fgenesh2_kg.2__2374__AT1G80130.1 transcript:fgenesh2_kg.2__2374__AT1G80130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSTSAPILNSWLPQHCSRESSPEPESQLQRRSRSLSLFSSKSIDGHTGEVLHQALSDHKESVLKSNSSEHSYKTPTSNRQRRSSLDETRYTKKNLDRSSPFLVERLFSSSGLGDKASSDDRLETLVSGGGGGMGSSGGKICTGGGVGGSGIDGGGSEDATDTYYKEMIDSSPGNSLLTGNYAKFLKEVKGDMKKAEEYCERAILGNTNDGNVLSLYADLILHNHRDRQRAHSYYQQAVKMSPEDCYVQASYARFLWDVEEDEDEGEEEEQRSDETGHVPPTTIFRDFPQHTSITASS >fgenesh2_kg.2__2377__AT1G80100.1 pep chromosome:v.1.0:2:19162346:19163341:-1 gene:fgenesh2_kg.2__2377__AT1G80100.1 transcript:fgenesh2_kg.2__2377__AT1G80100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine-containing phosphotransfer protein 6 [Source:UniProtKB/TrEMBL;Acc:D7KX93] MLGLGVDRLQADINRLLASLFHQGVLDEQFLQLQQLQDETSPNFVYDVINIYFDESEKLLRNLRLLLMDREFSDYKKIGLHLNQLVGSSSSIGARRVRNVCVALRSASELSNRPGCLRGLEVVEHEYHYLKNMMHELFQLEQQRLLAAGVRYPM >fgenesh2_kg.2__2379__AT1G80090.1 pep chromosome:v.1.0:2:19167449:19169409:-1 gene:fgenesh2_kg.2__2379__AT1G80090.1 transcript:fgenesh2_kg.2__2379__AT1G80090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHSRLMQLKVKHLMVHKRRLVEVPDNATLADALNTMTILGIKPVANRVRAVPVAAKPDQWLGAGGSMIVESDKQSGSARKQYIGVVTMLDVVAHITGDDGESGLDKKMAAPVSSIIGHCPEGLNLWSLNPNTSIMDCMEMLSKGIHRVLVPLDSNIENITGPELARVKDAIKCMTSTKEEDHKQLVDGKNRRVLWTFSASNLKGCHLATLCSWLPLNALDFVEKIPRTPLFTAATSTPRRELVTCHVTYLAQVIHMVTTKRIHRVWVVDQNDRLQGLVSLTDVIAAVRSALLARAQDLYL >fgenesh2_kg.2__2381__AT1G80070.1 pep chromosome:v.1.0:2:19171888:19181474:-1 gene:fgenesh2_kg.2__2381__AT1G80070.1 transcript:fgenesh2_kg.2__2381__AT1G80070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNNDGMPLAPPGTGGSMMPPPPAAHPSYTALPPPSTPTPPLEPTPEEAEAKLEEKARKWMQLNSKRYGDKRKFGFVETQKEDMPPEHVRKIIRRVFSSSISNSSLLEYYFLARDHGDMSSKKFRHDKRVYLGALKFVPHAVFKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYMAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSAVYTWFYDHKPLVKTKLINGPSYRRWNLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMPSFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVKLCVYHSPMVMYIKTEDPDLPAFYYDPLIHPISNTNKDKRERKVYDDDDEDDFALPEGVEPLLKDTQLYTDTTAAGISLLFAPRPFNMRSGRTRRAEDIPLVSEWFKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLAATKFFQSTELDWVEVGLQVCRQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPVENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEALAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQQQREELGLIEQAYDNPHEALSRIKRHLLTQRGFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTGDGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVSAKNNVVLSYKDMSHTNAYGLIRGLQFASFVVQFYGLLLDLLLLGLTRASEIAGPPQMPNEFMTFWDTKVETRHPIRLYSRYIDKVHIMFKFSHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENGFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADVLLFAAHKWPMSKPSLVAESKDMFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKSVVTEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTRTTNVHGDELIVTTTSPYEQSAFGSKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICVADLRTQIAGYLYGISPPDNPQVKEIRCVVMVPQWGSHQQVHLPSSLPEHDFLNDLEPLGWLHTQPNELPQLSPHDVTSHSRVLENNKQWDGEKCIILTCSFTPGSCSLTSYKLTQTGYEWGRLNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPENGPWNYNFMGVKHTVSMNYSVKLGSPKEYYHEEHRPTHFLEFSNMEEADIAEGDREDTFT >fgenesh2_kg.2__2382__AT1G80040.1 pep chromosome:v.1.0:2:19193094:19195144:1 gene:fgenesh2_kg.2__2382__AT1G80040.1 transcript:fgenesh2_kg.2__2382__AT1G80040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVYCGTKRSYFDDIPSPPSSKRFRCFSPSNSPIWSSPSPSSSLDQLRTSFPHLELTVLVKALEDNGSDLNAAMKSLYSMAAAEEKEAEESSAGGANQETDAVSGGNPPTSGDDWVELLVREVLQSSGTDDAKVRAARVLEALEKMLSARAREEAGKKFQEEKVAVQQQVEALVKDNTVLKRAVAIQHERQKAFEDANQQLELLKQLIPQYQEKLRTLEVSNYALRMQLQQVEHGNSMPGRFNPDVF >fgenesh2_kg.2__238__AT1G62350.1 pep chromosome:v.1.0:2:1796142:1797513:-1 gene:fgenesh2_kg.2__238__AT1G62350.1 transcript:fgenesh2_kg.2__238__AT1G62350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEGLIAAKELKRLQTQLVRLDRFIASHVSRLLKSDLVSVLAEFQRQNQVFLCMKLYEVVRREIWYRPDMFFYRDMLMMLARNKKVDETKKVWEDLKKEGVLFDQHTFGDLVRAFLDNELPLEAIRLYGEMRDSPDPPLSLPFRVILKGLVPYPELREKVKDDFLELFPGMIVYDPPEDLCEESDEEARTDSDLE >fgenesh2_kg.2__2390__AT1G80000.2 pep chromosome:v.1.0:2:19206974:19210839:-1 gene:fgenesh2_kg.2__2390__AT1G80000.2 transcript:fgenesh2_kg.2__2390__AT1G80000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGVEDPPDYESDPDELNRSLATRRREASDDEDHHDRDHDKLRADLHSAAVVDDDEEDDDTYDHDHDGHVGTEEDSAPALVDGEHHKKKEPFAVPTAGAFYMHDNRFQEIDAASNRRMRGGRRLWQSRDERKWGHDKYEEMNTPENQYDRQTSRGRGRGRGQGRGQDRGHSRGNNSKEFTGNGHQNQLPKAVTRGRGPRRYEVALRNGNQAPSVQTKRSQNSFVKVSHVNSGRPPTEIASIETEAIQAKKNVLASSLNSASPPFYPSGSSNNLTQKDVQAGMGRLHISENPTPTGKKFGNTKSSSLWVPTAQPSQTTSHGRGAPPREQVLYQQSPNQGDKVSPPMQIRGMPKGTDQSCTQLPGQAFDQHSAVFSLLPSSPPKTGSSKNQYLSGEIESAAETGALVAKGKGSVQPTGRGSLMYGGTQFMGPAGMAAGHGNPNFPAFLPVMQFSGQHGGVPTFGMALPGYVQPEHGTGNPEMTWLPILAGPGALGGSYCPPYAALDGSYQAHKPGLPSSAGSSSYENSSNNPNDEEPMDRPEVTNNGNSQGPNSNQNKQPRRYSEMSFSK >fgenesh2_kg.2__2394__AT1G79950.1 pep chromosome:v.1.0:2:19223093:19228990:-1 gene:fgenesh2_kg.2__2394__AT1G79950.1 transcript:fgenesh2_kg.2__2394__AT1G79950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNGLFGSLKKRVSLVRLLRRGFAAESEAKIMPNYSIRGINVDFPFEAYQSQIIYMDRVIESLQNKCHALLESPTGTGKTLCLLCATLAWRKSLGSFSTRKDRKNCSIPWSDSDDLMSQSGGAFPTIIYASRTHSQLRQVIKELKRCSYRPKMVVLGSREQLCVNEEVNSLRGKALTNACQYLCKKRGKRQCNHFNRIPDYLKHNTHIGDEPLDIEDLVNIGKDSGPCPYYITRELHKDVDIIFAPYNYLISNGYRKFLKVNWNNSVLIFDEAHNLESLCADSASFDLPSVLLSACISEAQECVQLAAARRNSLNDVSINPENFAILKGLLLKLQELISKVPIPKRDEGFTKPGPYIYEMLKSLNITHETAPKLIGTVEEAAVFLEEEKQRTATNAGSKLEIIADMLKLIFRENGSNHADVYRVHVQELEQNSTDVMKGKVSRTLSWWCFSPGITMLDIAKKGVGSIILTSGTLSPMDSLAQELKLDFPVRLENPHVISSNQLWAGVVSTGPSGYVLNSSYRNRDVPEYKQELGNAIVNFSRVVPEGLLIFFPSYYLMDSCISFWKNGCHRNSMTVWERICKLKKPVIEPKDSSLFPAAMQDFSEKLQDRSTSGVVFFAVCRGKVSEGLDFADGAGRAVVITGLPYARVTDPRVILKREFLDEQSQLADVKLPRSTLLSGSMWYSQEAARAVNQAIGRVIRHRHDYGAIIFCDDRFEQPSQQSKISLWIRPNVKCYSRYGEVISDLARFFRTERSNFPARLVTDQENNIVSTLVPMESTKDNPTPAFGNSNLKNVGVAQNELSRLEAFPPANRASPLERDGNNVKWKGLTILKHTGKIPHIVKGDVMQGCSSRKAKLVELSDDEETPVERRCEVVDLECDNCEKQTCETEVLASSTCFNTMGLKKKRKVQESQGSASSSVLTAKGNGGGNKKEASASAFLSQVKEKLNTEEYKKFIGYMQALKKKEIKLANVMESIVQLFCGRERDHLLMGFKDFVPVKYRPAYEECIKTRKREG >fgenesh2_kg.2__2395__AT1G79940.1 pep chromosome:v.1.0:2:19229161:19232823:-1 gene:fgenesh2_kg.2__2395__AT1G79940.1 transcript:fgenesh2_kg.2__2395__AT1G79940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7KXN3] MAASEENSALFPIFILTIMAIPLVPYTMVKLTGALSKKQRTIHCQCLECDRSGKYKRSLFKKISNFSTWSNLTLVLLWVVMIFLIYYTKNMSREAQVFDPFSILGLEPGVTDSEIKKAYRRLSIQYHPDKNPDPEANKYFVEFISKAYQALTDPVSRENFEKYGHPDGRQGFQMGIALPQFLLDIDGASGGILLLWIVGVCILLPLVIAVIYLSRSSKYTGNYVMHQTLSAYYYLMKPSLAPSKVMEVFTKAAEYMEIPVRRTDDEPLQKLFMSVRSELNLDLKNMKQEQAKFWKQHPAIVKTELLIQAQLTRESGVLSPALQGDFRRVLELAPRLLEELLKMAVIPRTAQGHGWLRPAVGVVELSQCIVQAVPLSARKSSGVSSEGISPFLQLPHFSDAIVKKIARKKVKSFQDLQEMRLEDRSELLTQVAGLSATDVEDIEKVLEMMPSLTVDITCETEGEEGIQEGDIVTLQAWVTLKRPNGLIGALPHAPYFPFHKEENYWVLLADSVSNNVWFSQKVSFMDEGGAITAASKAISESMEGSGAGVKETNDAVREAIEKVKGGSRLVMGKLQAPAEGTYNLTCLCLCDTWIGCDKKQALKVKVLKRTRAGTRGMVSDEGAIAEEGMEEEDEIEEEDYDDDYESEYSEDEDEKRGSKKANGSVKQKKESSSEESGSEEE >fgenesh2_kg.2__2401__AT1G79920.2 pep chromosome:v.1.0:2:19240417:19244156:1 gene:fgenesh2_kg.2__2401__AT1G79920.2 transcript:fgenesh2_kg.2__2401__AT1G79920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDFGNENCLVAVARQRGIDVVLNDESNRETPAIVCFGDKQRFIGTAGAASTMMNPKNSISQIKRLIGRQFSDPELQRDIKSLPFSVTQGPDGYPLIHASYLGETRAFTPTQVMGMMLSNLKGIAEKNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLHPLHLIHETTATALAYGIYKTDLPENDQLNVAFIDIGHASMQVCIAGFKKGQLKILSHGFDPSLGGRDFDEVLFNHFAAKFKDEYKIDVSQNAKASLRLRAACEKLKKVLSANPVAPLNIECLMDEKDVRGVIKREEFEEISIPILERVKRPLEQALSDAGLTVEDVHMVEVVGSGSRVPAMIKILTEFFGKEPRRTMNASECVSRGCALQCAILSPTFKVREFQVHESFPFSISLAWTGAASDAQNGGAENQQSTVVFPKGNPIPSVKALTFYRSGTFSIDVQYSDVNDLQAPPKISTYTIGPFQSSKGERAKLKVKVRLNLHGIVSVESATLLEEEEVEVPVTKDQSEETTKMDTDKASAEAAPAFGDSDVNMQDAKDTSDAAGSDNGVPESAEKPVQMETDLKAEAPKKKVKKTNVPLSELVYGALKSVEVDKAVEKEFEMALQDRVMEETKDRKNAVESYVYDMRNKVRIFXXXXXXXXXXXXXXXXXXXXXXXXXKMGKMRPKVFMLQSSRSSRRWETLWKCVTRSRWKEGRSLISLVTVLRATERQLCLMIPSLTTLIWQISKRF >fgenesh2_kg.2__2402__AT1G79910.2 pep chromosome:v.1.0:2:19245314:19247397:-1 gene:fgenesh2_kg.2__2402__AT1G79910.2 transcript:fgenesh2_kg.2__2402__AT1G79910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVGQDNEDKSRHGEEEEKLGLQISQERHCRSSQEQPRLQCIWQEGLIEEKRRLACYEFLEQFCICVASNVSLLQKSSGCPDECREAISSLVYAAARVSEVPELRDLRSLFAERYGNKLEQFVNPEFVERFKAEPPSKEMKVELLQEIAREYSINWDAKSLEQRLYTPAHHHTETEEPKTEKSKDPETRSSLWFHGREDSMDDSNKSIMSTSEEDSMSTSGSCVTGPEEDPETKPLYYRFMTPAAPYTKPKIEKQESLPEKMTKSDLMDTESPRAGKAKPRSVRRRFANPPPEAEGEALKQESKKKNIMRTSDSMGKASGGEGFRRMSRRTASWQHKPSSCVPDFDEVAARVDALSRN >fgenesh2_kg.2__2405__AT1G79890.1 pep chromosome:v.1.0:2:19250302:19253822:-1 gene:fgenesh2_kg.2__2405__AT1G79890.1 transcript:fgenesh2_kg.2__2405__AT1G79890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKREFPAFPYKPYSIQIDFMNALYQFLDKGGVSMLESPTGTGKSLSIICSALQWLIDRKDKRNSESESHKVDGNDDADEPDWMRTFTPNEEDRASDDKSPFPLRKERLPQVFSNPTEGDDDQEFLLEEYQSQDESSPGGGNSKRKPARAFDTSSSEDEDDCSDDEQGFKVFFCSRTHSQLSQFVKELRKTVFASILNVVSLGSRKNLCINEDVLKLANVTRINESCLDLQKKKKSQVSKKKNLGANVRIGRTKASCGCPLLRKHKLQREFKAESFQQEAMDIEDLVQLGRQMRTCPYYGSRRMAPAADLVILPYQSLLSKSSRESLGLSLKNSVVIIDEAHNLADILLSMHDAKITLSQLEDIQCSIESYLGRFQNLLGAGNRRYIQIMLILTRALLKPLASDWNLNSVNVGLDTGNPSKSKPCGACSMAINDFLFSLNIDNINLVKLLAYIKESNIIHKVSGYGERVAMLQKDPVAHEEMSNLTSFRAFSDMLVALTNNNGDGRIIVSRTSSSASGQPGGHIKYVMLTGAKLFSEVVDEAHAVILAGGTLQPIEETRERLFPWLPSDQLQFFSCSHIVPSESIMPIAVSHGPSGQSFDFSHSSRSSTRMIQELGLLMSNLVAVVPEGVIVFFSSFEYETQVHTAWSNSGILRRIMKKKRVFREPRRNTEVEAVLRDYKEAIESERGAIMLAVVGGKVSEGINFSDSMCRCVVMVGLPYPSPSDIELLERIKHIEGLGDSDSVKPSVTLVDDSYYSGDVQAGFGVLKSCKRRGKEYYENLCMKAVNQSIGRAIRHEKDYASILLVDARYSNDPSKRTSHSHPSNKLPKWIKDCLIYSTKGYGDVHRLLHQFFKHKNVENSSETNQH >fgenesh2_kg.2__2407__AT1G79880.1 pep chromosome:v.1.0:2:19253952:19256244:1 gene:fgenesh2_kg.2__2407__AT1G79880.1 transcript:fgenesh2_kg.2__2407__AT1G79880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:La domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KXP0] MASFDEETAKKLLTQVEFYFSDSNLPTDGFLNREVTKSKDGLVSLPLVCSFSRMRNLLGLGNVNREDIPPSIVDQVATLLRTSDFLKVSNNGQRIGRGSKLSKPEEVLEQVHRRTLAASPFEYSTKMEDVASFFSQYAKVNSVRLPHHIADKRRFSGTALVEFSSVQDTEHVLSQSLVYAGADLVLIPKSDFDCQRENMIKQLGKSGSIHRDNEFRRGQLVKFTLKWIASGEKVTNKDKTRALKKKIEDKEAGIAERLEGNDRVKESGDSQADNSCASLCKEKIDYADQLVVPPWNNSDSVGSEVLKDLFQRFGSVKHIEYSGGLDSGYVWFIDSETAMKARAAVEFVGGLVVKNNFSVALEAINGEMERELWKRLSSAELEGGGKGGHKKEKGTDECFDTMQPTKKAGKEP >fgenesh2_kg.2__2409__AT1G79870.1 pep chromosome:v.1.0:2:19256416:19257512:-1 gene:fgenesh2_kg.2__2409__AT1G79870.1 transcript:fgenesh2_kg.2__2409__AT1G79870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase family protein [Source:UniProtKB/TrEMBL;Acc:D7KXP1] MESIGVLMMCPMSSYLENELEKRFNLLRFWTCPEKSVFLESHRNSIRAVVGNASAGADAQLINDLPKLEIVSSFSVGLDKIDLGKCKEKGIRVTNTPDVLTEDVADLAIGLILALLRRLCECDRYVRSGKWKQGDFQLTTKFSGKSVGIIGLGRIGTAIAKRAQAFSCPINYYSRTVKPDVAYKYYPTVVDLAQNSDILVVACPLTDQTRHIVDRQVMDALGAKGVLINIGRGPHVDEQELVKALTEGRLGGAALDVFEHEPHVPEELFGLENVVLLPHVGSGTVETRNAMADLVVRNLEAHFSGKSLLTPVV >fgenesh2_kg.2__240__AT1G62333.1 pep chromosome:v.1.0:2:1803542:1803988:-1 gene:fgenesh2_kg.2__240__AT1G62333.1 transcript:fgenesh2_kg.2__240__AT1G62333.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KUR8] MPHPPPHRPPSPPFHHSPPPRARPPEPRPPPPPPGPQPPPPPPPGPDPPPPPPGATWFSGPLGPRPPRPQY >fgenesh2_kg.2__2410__AT1G79860.1 pep chromosome:v.1.0:2:19258160:19260140:1 gene:fgenesh2_kg.2__2410__AT1G79860.1 transcript:fgenesh2_kg.2__2410__AT1G79860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASEQEQESYRSRLFNFKWRNNDNNSTTRHNKSLSVETGLDEAATGSHDAEPLTIIHPSQGPPLSRSAADEAALAALAAAQAREKQLLADMEQMKERFSKLLLGEDNSGGGKGVSSALALSNAITNLAASVFGEQRRLEPMPAERRARWRKEIDWLLSVTEYVVEFAPSQQKNKDGTNMEIMTTRQRTDLHMNIPALKKLDAMLIDCLENFKDQSEFSYVSKDSPDSDGKRNDDKWWIPTVKVPPDGLSEASRRFLQYQKDCVNQVLKAAMAINAQVLFEMEIPESYIDSLPKNGRASLGDQMYKNITVDFFDPDQFLSSMDMSSEHKIVDLKNRIEASIIIWKRKMVYKDSKSSAPWASGVSLEKREVFEERAETILLILKQRYPGISQSALDISKIQFNKDVGQAVLESYSRILESLAYTVLSRIDDVLEADRAGNKRNTPMESEDETLVGSMTLSDFMGWDFDQAGNADLDSKKDLSDDPLVKEKLSVVTTKKTSYLETLGGVKSPTARH >fgenesh2_kg.2__2411__AT1G79850.1 pep chromosome:v.1.0:2:19260302:19260921:1 gene:fgenesh2_kg.2__2411__AT1G79850.1 transcript:fgenesh2_kg.2__2411__AT1G79850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLTSSLQALKLSSPFAHGSTPLSSLSKPNSFPNHRMPALAPVIRAMKTMQGRVVCATSDKTVAVEVTRLAPHPKYKRRVRMKKKYQAHDPDNQFKVGDVVRLEKSRPISKTKSFVALPVVPRAARKAEAGAGDLLGIPLESQQPA >fgenesh2_kg.2__2416__AT1G79820.2 pep chromosome:v.1.0:2:19277541:19282247:1 gene:fgenesh2_kg.2__2416__AT1G79820.2 transcript:fgenesh2_kg.2__2416__AT1G79820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVRRTYTIMRGRHIDKRVPSKDFLSALDKAETAVRLPTGTGKENGNPSWKRSLPHVLVASLTSLLFGYHLGVVNETLESISIDLGFSGNTIAEGLVVSTCLGGAFIGSLFSGLVADGVGRRRAFQLSALPMIVGASVSASTESLEGMLLGRFLVGIGMGIGPSVTALYVTEVSPAYVRGTYGSSTQIATCIGLLGSLFAGIPAKDNLGWWRICFWISTVPAAMLAVFMELCVESPQWLFKRGRAAEAEAVFEKLLGGSYVKASMAELMKSDRGDDADSAKLSELLFGRSFRVVFIGSTLFALQQLSGINAVFYFSSTVFKKAGVPSASANICVGVCNLLGSTVAVVLMDKLGRKVLLIGSFAGMAVSLGLQAIAYTSLSSPFGTLFLSVGGMLLFVLSFATGAGPVPSLLLSEICPGRLRATALAVCLAVHWVINFFVGLLFLRMLEQLGSVLLNAIFGFFCVVAVIFVQKNVVETKGKSLQEIEISLLSSTQ >fgenesh2_kg.2__2418__AT1G79810.1 pep chromosome:v.1.0:2:19282126:19284745:-1 gene:fgenesh2_kg.2__2418__AT1G79810.1 transcript:fgenesh2_kg.2__2418__AT1G79810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPPPPPDDAWIRSYHRLLPESQSLLASRRSIIPVAISRVNQFDAARLDVEMSAMLKEQLVKVFTLMKPGMLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERVLAKVYSRIVRTGLEGPGLTAPQKIWYCVASVGGQYLFSRLQSFSAFRRWGDSEQRPLARRLWTLIQRIEGIYKAASFLNLLSFLYTGRYRNLIEKALKARLVYRSPHMNRSVSFEYMNRQLVWNEFSEMLLLLLPLLNSSAVKNILSPFAKDKSSSTKEDTVNCPICQVDPAIPFIALPCQHRYCYYCIRTRCASAASFRCLRCNEPVVAIQREGVSSGK >fgenesh2_kg.2__241__AT1G62330.1 pep chromosome:v.1.0:2:1805620:1808837:-1 gene:fgenesh2_kg.2__241__AT1G62330.1 transcript:fgenesh2_kg.2__241__AT1G62330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATERPDEEKPETRDVRVQDLIHGGGGGASPVQSPTRLGPGWFSEVAGDKIRNTGSDFIGSILSWINGDPNRSLKNPVKRAKRKRIRTAKTAACVIALVGFFIFVNWFMLSQLHEGRAWLRRGFSKKPNLKPKLNPDPNPSMKRVSVKVSANVQHGEKKKMGKPKKKYNGTYGRLLAYAAHALAEGQNKLEPKELWREPKDQAWKPCADQRSWKPSDGKNGYIMVTANGGINQQRVAVCNIVVVARMLNATLVVPKFMFSDVWTDASQFGDIYQVEHFIKYLSPDIRIVKKLPKELQSLDLEAIGSVVTDIDVMKEAKPGFYMKHILPLLLKNRVVHFFGFGNRLAFDPIPFELQRLRCRCNFHALNFVPKIQETGAILVRRLRDSGSHLAPVDPYLVGPKFASFILDKKAGPLHKASKYLAVHLRFEIDMVAHSLCYFGGGDAEKAELDAYREKHFPTLANLTKTQKMPSPDDLRMEGLCPLSPEEAVLMLAGLGFNRKTRVFVAGANIYGGNKRLAALTSLYPNLVTKENVLSETELEPFKNFSSQLAVLDFIACAASDAFAMTDSGSQLSSLVSGYRIYYGAGKMPTIRPNKRRFSDILLKNNTIEWKVFEQRVRKTVRQTKHVLVRPTGRSVYRYPRCKECMCNED >fgenesh2_kg.2__2421__AT1G79790.1 pep chromosome:v.1.0:2:19286157:19287410:1 gene:fgenesh2_kg.2__2421__AT1G79790.1 transcript:fgenesh2_kg.2__2421__AT1G79790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMHTSTEFINLKPNIWKKPDRASGSCCLSSDDISRKRKLPILLFDVMDTIVRDPFYHDVPAFFGMPMKELLECKHPMAWIEFEKGLIDEEELARKFFIDGRDFDLEGLKDCMRSGYSYLDGMQELLHTLAADDFEIHAFTNYPIWYNIIEDKLKLSAYLSWTFCSCISGKRKPDPDFYLEVVGYLGVEPCHCIFIDDRPTNVKCAIEIGMGGLCFQNAESLAKDLSHLGIDLSVPNI >fgenesh2_kg.2__2425__AT1G79760.1 pep chromosome:v.1.0:2:19295828:19297754:-1 gene:fgenesh2_kg.2__2425__AT1G79760.1 transcript:fgenesh2_kg.2__2425__AT1G79760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Downstream target of agl15-4 [Source:UniProtKB/TrEMBL;Acc:D7KXP9] MGASSPNFRAPPPSPVASSRRASFTANEDVLSEFLDKCGRVPNLVLPDKVFPKHTFLLNPPTFDFLRLDSLSTLLDAIATIGCFQLVNHGVPEAMVKAVMDRTIFHDETEEFVFYKDIADDHTACYSDLRELMGEAERIGKAVREKLGAGRSQKEKEEGVRVCYVNKHNIKGSKNESKEEAIRMLIRGYDERHSLCLNFCHAEFHVYSKRGWVSFSPRPDAVVVTVGDEGWSGIFKGVVGRPLLYKSDLHHNLISISFLYTSNTSHNNTDRIIITRKKKKTISLSQQLLFALFLTLLFPFLFP >fgenesh2_kg.2__2428__AT1G79730.1 pep chromosome:v.1.0:2:19307454:19310858:1 gene:fgenesh2_kg.2__2428__AT1G79730.1 transcript:fgenesh2_kg.2__2428__AT1G79730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRPPYPPQNPLAPPPPPPSLPPPVPPPPPSHQPYSYPPPPPPPHAYYQQYPQFNQVQAPPPPPPPPSAPPPLVPDPPRNQGPNDRDKGASRRERAKPDPSKHHRSHLPHTKKIETEEERRLRKKKELEKQRQEEKLRQQMKNSHKSQMPKGHTEEKKPTPLLTTDRVENRLKKPTTFICKLKFRNELPDPSAQLKLMTIKRDKDQFTKYTITSLEKLWKPKIFVEPDLGIPLDLLDLSVYNPPKFKAPLAPEDEELLRDDDAITPIKKDGIRRKERPTDKGVSWLVKTQYISSINNESARQSLTEKQAKELREMKGGINILHNLNNRERQIKDIEASFEACKSRPVHATNKSLQPVEVLPLLPYFDRYDEQFVVANFDSAPTADSEFFGKLDPSIRDEHESRAILKSYVVAGSDTANPEKFLAYMVPSLDELSKDMHDEDEDISYTWVREYHWDVRGDDANDIGTYLVSFDDGAASYLPLPTKLNLRKKRPREGRSSDEIEHFPVPSRVTVRRRSTVSVIEHKDSGVYSSRVGASSSKMRRLEDEEGLGRSWKHEPEQDANQYSDGNEDDYSE >fgenesh2_kg.2__2429__AT1G77950.2 pep chromosome:v.1.0:2:19315470:19318470:-1 gene:fgenesh2_kg.2__2429__AT1G77950.2 transcript:fgenesh2_kg.2__2429__AT1G77950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7KXQ3] MGRVKLELKRIEKNTNRQITFSKRKKGLIKKAYELSTLCDIDLALLMFSPSDRLCLFSGQTRIEDVLARYINLPDQERENAIVFPDQSKRQAIQNKEYLLRTLEKLKIEDDMALQINEPRPEAINTDVEELEQEVCRLQQQLQISEEELRKFEPDPMRFTSMEEIEACETHLINTLTSVVQRREHLLRKSCEAPSNQQSMQGILLNDIVEDWGSEAEPKQAHVMANSAHQSNQLSYDLLLQGSNSSSNQNPK >fgenesh2_kg.2__245__AT1G62305.1 pep chromosome:v.1.0:2:1835066:1837714:1 gene:fgenesh2_kg.2__245__AT1G62305.1 transcript:fgenesh2_kg.2__245__AT1G62305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPSSRRGVVWFRWKILTTLSAALCILALFSFNRHSNSTATTTLSSSLSVARSRIPLVKYSGDRPKLAFLFLARRDLPLDFLWDRFFKNADQRNFSIYVHSIPGFVFDESSTRSQFFYNRQLKNSIQVVWGESSMIAAERLLLASALEDPLNQRFVLLSDSCVPLYDFGYIYRYLVSSPMSFVDSFLDKDKRYTMKMFPVIRKEKWRKGSQWISLIRSHAEVVVNDDTVFPVFQKFCKRSLPLDPRKNWLYLKKRRHNCIPDEHYVQTLLTMHGLENEMERRTVTYTTWNLSAKKAEAKSWHPLTFTSDNSGPEEIEGIKKINHVYYESEYRTEWCRANSKPVPCFLFARKFTRGAAMRLLSEGLLES >fgenesh2_kg.2__246__AT1G62300.1 pep chromosome:v.1.0:2:1850703:1853262:1 gene:fgenesh2_kg.2__246__AT1G62300.1 transcript:fgenesh2_kg.2__246__AT1G62300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 6 [Source:UniProtKB/TrEMBL;Acc:D7KUS5] MDRGWSGLTLDSSSLDLLNPNRITHNNHRRFSNPLTMSRIDEDDDQKTKISTNGSEFRFPVSLSGIRDREDNDFSSGVVGDNDREVPGEVDFFSDKKSRVCREDEDGFRVKKEEQDDRTDVNTGLNLRTTGNTKSDESMIDDGESSEMEDKRAKNELVKLQDELKKMTMDNQKLRELLTQVSNSYTSLQMHLVSLMQQQQQQNNKVIEAAEKPEETIVPRQFIDLGPTRAVGEAEDVSNSSSEDRTRSGGSSAAERRSNGKRLGREESPETESNKIQKVNSTTPTTFDQSAEATMRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRSILITTYEGNHNHPLPPAAVAMASTTTAAANMLLSGSMSSHDGMMNPTNLLARAVLPCSTSMATISASAPFPTVTLDLTHSPPPPNGSNPSSSAAATSNNNNQNSLMQRPQQQMTNLPPGMLPHVIGQALYNQSKFSGLQFSGGSPSTAAFSQSHAVADTITALTADPNFTAALAAVISSMINGSNHHDGQGNNKSQ >fgenesh2_kg.2__247__AT1G62290.2 pep chromosome:v.1.0:2:1857269:1860879:1 gene:fgenesh2_kg.2__247__AT1G62290.2 transcript:fgenesh2_kg.2__247__AT1G62290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7KUS6] MGVYTRTVAVSLFVWFLLFFTVSSQRNDGTFRVGLKKLKLDPNNRLATRFGSKQEEALRSSLPSYNNNLGSDSGDADIVPLKNYLDAQYYGEIAIGTPPQKFTVIFDTGSSNLWVPSGKCFFSLSCFFHAKFKSSRSSTYKKSGKRAAIHYGSGSISGFFSYDAVTVGDLVVKDQEFIEATSEPGLTFLVAKFDGLLGLGFQEIAVGNATPVWYNMLKQGLIERPVFSFWLNRDPKSEEGGEIVFGGVDPKHFKGEHTFVPVTQRGYWQFDMGEVLIAGDSTGYCGSGCSAIADSGTSLLAGPTAVIAMINKAIGASGVVSQQCKTVVDQYGQTILDLLLAETQPKKICSQIGLCAFDGTHGVSMGIESVVDKENTRSSSGLRDAGCPACEMAVMWIQSQLRQNMTQERIVNYINEICERMPSPNGESAVDCSQLSKMPTVSFTIGGKVFDLAPEEYVLKIGEGPVAQCISGFTALDVPPPRGPLWILGDVFMGKYHTVFDFGNEQVGFAEAV >fgenesh2_kg.2__24__AT1G64530.1 pep chromosome:v.1.0:2:161120:164499:-1 gene:fgenesh2_kg.2__24__AT1G64530.1 transcript:fgenesh2_kg.2__24__AT1G64530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWP-RK domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KS88] MELDDLDLSGSWPLEQITFASNFKSPLIFSSSEQPFSPLWSFSEASGDVGGELYSAAGVPTRFTDYSVLLAGSESETMTKEENNQVPSPLWGIMPLENPDSYCAIKAKMTQALRYFKESTGQQHVLAQVWAPVKNRGRYVLTTSGQPFVLGPNSNGLNQYRMVSLTYMFSLDGERDGELGLPGRVFRKRLPEWTPNVQYYSSKEFSRLGHALHYNVQGTLALPVFEPSRQLCVGVVELIMTSPKINYAPEVEKVCKALEAVNLKTSEILNNETTQICNEGRQNALAEILEILTVVCETYKLPLAQTWVPCRHRSVLAFGGGFQKSCSSFDGSCMGKVCMSTSDLAVYVVDAHVWGFRDACSEHHLQKGQGVAGRAFQSGNLCFCRDVTRFCKTDYPLVHYARMFKLTSCFAVCLKSTYTGDDEYVLEFFLPPAITDKSEQDFLLGSLLQTMKQHYSSLKVVSETELCENNMSLEVVEASEDGMVYSKLEPIRIHHPAPISKDYLELNAPEQKLNLNSDLMENNEVDDGFERFQTLDTIPEAKTVKKSERKRGKTEKTISLEVLQQYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLTRLKHVIDSVQGADGSLNLTSLSPRPWPHQIHPIENQLPKNCPPTSTSPLSNLQDVKIENRDAEDSAGSSTSRASCKVSLICETRFQLPTHNQEPFRQTGLDDSDSSSKNITNFWTHLSCQDTASPTTLHNKLVSIKATYREDIIRFKISPESVSITELKQQVAKRLKLETAAFELKYLDDDREWVSVSCDADLSECLDTSAAKANTLRLSVHDVTFNFGSSCESSEATMMCL >fgenesh2_kg.2__254__AT1G62200.1 pep chromosome:v.1.0:2:1897949:1900225:1 gene:fgenesh2_kg.2__254__AT1G62200.1 transcript:fgenesh2_kg.2__254__AT1G62200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7KUT2] MNSNEDERIIILDVEESLLIQEEVKNVLTGLSSSAEDGSVDIYGNPPSKKKTGNWKACPFILGNECCERLAYYGIAKNLITYYTSELHESNVSAASDVMIWQGTCYITPLIGAVIADSYWGRYWTIASFSAIYFFGMALLTLSASVPGLKPAECVGFVAVLCPPATTVQYAVFFSGLYLIALGTGGIKPCVSSFGADQFDDTDPRERVRKASFFNWFYFSINIGSFISSTLLVWVQENGGWGLGFLIPTVFMGASIASFFIGTPLYRFQKPGGSPITRVCQVLVAAYRKWYLNLPEDISFLYETREKNSIIAGSRKIQHTDGYKKISVPFQCLDKAAIVSEDESKSGAFSNPWKLCTVTQVEEVKILIRMFPIWASGIIYSVLYSQISTLFVQQGRAMNRVVLSFEIPPASFGVFDTLIVLIAIPIYDRFIVPFVRRFTGIPKGLTDLQRMGIGLFICVLSIAAAAIVETVRLQLIQDTVSMSIFWQVPQYILMGIAEVFFFIGRVEFFYDQSPDAMRSVCSALALLNTAVGSYLSSLILTLVAYLTTIGGKDGWIPDDLNKGHLDYFFWLLVSLGLINIPVYAFFSVKHTKKKAL >fgenesh2_kg.2__256__AT1G62180.1 pep chromosome:v.1.0:2:1911795:1913751:1 gene:fgenesh2_kg.2__256__AT1G62180.1 transcript:fgenesh2_kg.2__256__AT1G62180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVTSSSSTAISGSSFSRSGASSESKALQICSIRLSDRTHLSQRRYSMKPLNVESHSRNESWVSRASTLIAPEVEEKGGEVEDFEQLAKKLEDASPLEIMDKALEKFGDEIAIAFSGAEDVALIEYARLTGKPFRVFSLDTGRLNPETYRLFDAVEKQYGIRIEYMFPDAVEVQALVRNKGLFSFYEDGHQECCRVRKVRPLRRALKGLKAWITGQRKDQSPGTRSEIPIVQVDPVFEGLDGGVGSLVKWNPLANVEGSDVWNFLRTMDVPVNALHAQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNIKEEDGAADSKPAAVQEIFESNNVVALSRGGVENLMKLENRKEAWLVVLYAPWCPFCQAMEASYIELAEKLAGKGVKVAKFRADGEQKEFAKQELQLGSFPTILLFPKSAPRAIKYPSEHRDVDSLMTFVNLLR >fgenesh2_kg.2__257__AT1G62170.1 pep chromosome:v.1.0:2:1913902:1915327:-1 gene:fgenesh2_kg.2__257__AT1G62170.1 transcript:fgenesh2_kg.2__257__AT1G62170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serpin family protein [Source:UniProtKB/TrEMBL;Acc:D7KUT6] MEPKEKKQKLDTSEIIASPSLSKTHLKKKKKQKIRKSQVITSSSLSKNKDLVIKSPSLANIDVGKAMKKQNDLAIFLTGLVISSVANNTNFVFSPASINTVLTMVAASSSDEESRELRSFILSFLKSSSTDELNAVFSEISSTVLVDGSKKGGPKIAVVNGVWMEKSLFINPSSKDLFKKFFKAAFAQVDFRSKAEEVLMEVNAWASSHTNGLIKDLLPRGSVTSLTNRIYGSTLYFKGAWQDEFHKSMTRHKPFYLLNGTSVSVPFMSSSEDQYIEAYDGFKVLRLPYRQGRDDTNRNFSMYFYLPDKKGQLDKLLGRMTSTSGFLDSHIPEDKVKVGKFRIPKFKIEFGFEASSVFSDFELDVSLYQKALIEIDEEGTEAAAVAAFVRCKGCRFVKTLDFVADHPFVFLIRENQTGTVLFAGQIFDPSV >fgenesh2_kg.2__25__AT1G64520.1 pep chromosome:v.1.0:2:167369:169388:-1 gene:fgenesh2_kg.2__25__AT1G64520.1 transcript:fgenesh2_kg.2__25__AT1G64520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQLTEVSQQFERFKAAFARKDYNTCSDLLSQLKVLLTKFTSLPPLFENSPNAAKELTIARDIYEHAVVLSVKTEDQDAFERDFFQLKPYYVDARNRIPSSPQENLILGLNLLRLLVQNRIAEFHTELELLSSATLEDPCIKHAVELEQSFMEGAYNRVLSARQTAPDATYVYFMDLLAKTIRDEIAGCSEKAYDYVSISDARQMLLFSSDQELLTYVKEEHPDWEVKEGFVVFQKAKETAPCKEIPSLQLINQTLSYARELERIV >fgenesh2_kg.2__261__AT1G62050.1 pep chromosome:v.1.0:2:1942851:1945719:1 gene:fgenesh2_kg.2__261__AT1G62050.1 transcript:fgenesh2_kg.2__261__AT1G62050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSSASATTAIRPEDYSHSPVHYAVVLGDHGALTRLLSSLPKLGDPEQIRTESDSLSQERVADQISAVLDRRDVPSRETPLHLAVRLDDLFAARAISSAGGDISLQNASGWNPLQEAFCRRNSEVMKVLLRHHHRLAWCKWRRRLPRLIAVLRRMRDFYMEISFHFESSVIPFVGKIAPSDTYKIWKRDGNLRADTTLAGFDGLKIQRADQSFLFLGDGDESLDIAPGSLLVLNRDDRKILDAFESAGAPISDSDIAGFCSQSSLYRPGMDVTKAELVGRTNWRRQEKMENVGEWKARVYEIQKVTFSFRSRKIVTGDGSEQVLPLELDEDDEGFLVAENPSFLAPPPRSQRRHSSFVKEDRDWISVGRKSVDVYPSAAPPPPQQSQSPRRSVSQFQPPRRSVAQYQPPRRSVAVPSTVSPVNPPPSPHIKEKEFVKSLHPSVWLTEQFPLKTEELLPLLDILANKVKAVARMRDLLTTKFPPGTFPVKLSIPVVPTVKVVITFTKFVELPPAETFYTPLSSPRFLYSAAAEEDQSDGEKPDARNSISRPSTWLRLATGKGSHRRIEEEEQQTPDPFAIPIGYKWTSMSSNNNKSGNNSKMKKSKSTKRSK >fgenesh2_kg.2__262__AT1G62040.1 pep chromosome:v.1.0:2:1947513:1949002:-1 gene:fgenesh2_kg.2__262__AT1G62040.1 transcript:fgenesh2_kg.2__262__AT1G62040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:D7KUU3] MANSSFKLEHPLERRQIESSRIRDKYPDRIPVIVERAERSDVPNIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFVFVKNTLPPTAAMMSAIYDENKDEDGFLYMTYSGENTFGLV >fgenesh2_kg.2__264__AT1G62020.1 pep chromosome:v.1.0:2:1963333:1967552:-1 gene:fgenesh2_kg.2__264__AT1G62020.1 transcript:fgenesh2_kg.2__264__AT1G62020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit alpha [Source:UniProtKB/TrEMBL;Acc:D7KUU6] MLTKFETKSNRVKGLSFHPKRPWILASLHSGVIQLWDYRMGTLIDRFDEHEGPVRGVHFHNSQPLFVSGGDDYKIKVWNYKNHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVSVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDIMRLTQMNSDLFGGVDAIVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNETKAWEVDTLRGHMNNVSSVMFHAKQDIIVSNSEDKSIRVWDATKRTGLQTFRREHDRFWILAVHPEMNLLAAGHDSGMIVFKLERERPAFALSGDSLFYAKDRFLRYYEYSTQRDSQVIPIRRPGTPSLNQSPRTLSYSPTENAVLICSDLDGGSYELYIIPKDSVGRSDVVQDAKRGTGGSAVFIARNRFAVLEKSTSQVLVKNLKNEVVKKSSLPIPTDAIFYAGTGNLLCRSEDKVVIFDLQQRLVLGELQTPFVRYVVWSSDMESVALLSKHTIIIASKKLVLQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKVSGNTIFCLDRDGKNKAITINATEYIFKLSLLRKRYDHVMSMIKNSQLCGQAMIAYLQQKGFPEVALHFVEDERIRFNLALESGNISVAVASATQINEKDHWYRLGVEALRQGNSGIVEFAYQQTKNFERLSFLYLITGNLDKLSKLMKIAEVKNNVMGQFHNALYLGDVKERVKILENAGHLPLAYITASVHGLNDIAERLATELGDNVPSLPEGKTPSLLMPPTPIMCGGDWPLLRVMKGIFEGGLESADRGGTVDEEDAEGDWGEELDINVDGMENRDIEDILAAAEAGEDENDEEGGWGGLEDLELPPELDTPKASANARSLVFVTPPQGMPVSQIWSQKSSLAAEQAAAGSFDTAMRLLHRQLGIKNFTPLKSMFLDLFNGSHSYLRAFSSSPVVPLAIERGWSESSSPNVRGPPALVYDFSQLDEKLKSGYKATTSGKFTEALRLFLSILHTIPLVVVESRREVDEVKELIVIVKEYVLGLQMELKRREMKDDPVRQQELAAYFTHCNLQTPHLRLALLSAMGVCYKAKNLATASNFARRLLETSPVDNQAKMARQVVQAAERNMTDETKLNYDFRNPFVICGSTYVPIYRGQKDVSCPYCTARFVPNQEGNICTVCDLAVIGADASGLLCSPSQVR >fgenesh2_kg.2__266__AT1G61990.1 pep chromosome:v.1.0:2:2034189:2037325:-1 gene:fgenesh2_kg.2__266__AT1G61990.1 transcript:fgenesh2_kg.2__266__AT1G61990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLILHGRRLVELHKWRHLRFALQNASPLSNSFSSAAAADVRSRDGRKGKNFTVSYLVDSLGFTTKLAESISRKVHFTDKANPDSVLSLLRSHGFIDSQISCIITDYPELLILDAEKSLGRKLQILQSRGASSSELTEIVSTVPRILGRKSITVYYDAVKEIIVADKSSSYELPRGSQGNKIRNVSVLRQLGMPQWLLLPLLVSKSQPVCGKENFEESLKKVVEMGFDPTTSKFVVALRMLYQMSEKTIEEKVVVYTSVGFTLDDVWEIFKKTPSVLKVSKKKILKSAETFLALGFSRAEFLMMVKRYPPCIEYSLESVKRKNEFLVKKMNWPLNALVLHPQVFGYSMEKRIIPRCNVLKVLLSKGLLRKKSELPAVSSVLSCTDEGFLNRYVMKHNELAPTLMAIFTKGRVS >fgenesh2_kg.2__267__AT1G61980.1 pep chromosome:v.1.0:2:2040649:2041970:-1 gene:fgenesh2_kg.2__267__AT1G61980.1 transcript:fgenesh2_kg.2__267__AT1G61980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLIRHGRRLVELQKWRHLSFLVQKASPLSNSFSSATVARTSSRVGRKGNNFTVSYLVDSLGLARKLAESISRKVSFEDKANPDSVLNLFRSHGFTDSQISSIVTDYPQLLIADAEKSLGPKLQFLQSREASSSELTEIVSQVPKILGKRGHKTISVYYDFIKDTLLHDKSSKKEKSCHSFPQGNLENKIRNISVLRELGMPHKLLFPLLISCDVPVFGKEKFEESLKKVVDMGFDPTSAKFLEALRVVQRLSDKTIEEKVNAYERLGFDVGNVWAVFKRWPNFLTHSEKKILSTIETFLGLGFTRDEFSMLVKRFPQGIGLSPETVKKKTEFLVKKMNWPIKALVSNPAILGYSMEKRTVPRGNVIKALISKGLIGSELPSISHVFICTNQVFLNRYVKKHEDKQLVTELMAIYRAS >fgenesh2_kg.2__269__AT1G61930.1 pep chromosome:v.1.0:2:2064232:2065077:-1 gene:fgenesh2_kg.2__269__AT1G61930.1 transcript:fgenesh2_kg.2__269__AT1G61930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KVD8] MAKGRNPTTMNRSDRYLGSYSYGDSHGNSVTDELELAEEDIWSPAVIHDTSETDESYGAWNLRATLGKNGRVGGLSMAFEGALAAPPSSSPMLVQKFHGGGGEGEEVRRKLASSAPVNVPDWSKIYRVDSVESIHELDVDDEEDEESGMMPPHEYLAKSQARRSRKIRGGGGGGASVFEGVGRTLKGRELRRVRDAI >fgenesh2_kg.2__26__AT1G64510.1 pep chromosome:v.1.0:2:169578:171024:1 gene:fgenesh2_kg.2__26__AT1G64510.1 transcript:fgenesh2_kg.2__26__AT1G64510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S6 family protein [Source:UniProtKB/TrEMBL;Acc:D7KS90] MVASSLCLSNSTICPLPNVSSQPLLSFSHSLRPFISKSKPICASLQQQQKRDGSQFVVKSQALDFSGTFFEGGFGSDDDPTSPPGSGVSTALEDKPEPQCPPGLRQYETMAVLRPDMSEDERLGLTQKYEELLVAGGGMYVEVFNRGVIPLAYSIRKKNKAGETNTYLDGIYLLFTYFTKPESITPLETVLTADDDIIRSSSFKIKKRKYN >fgenesh2_kg.2__271__AT1G61900.1 pep chromosome:v.1.0:2:2073721:2076548:1 gene:fgenesh2_kg.2__271__AT1G61900.1 transcript:fgenesh2_kg.2__271__AT1G61900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KVD9] MTRGAEFEMGFLVILQSMFLMSLCGLGSSEEFLPEISPDTSPQPFLPFIAPSPMVPYINSTMPKLSGLCSLNFSASESLIQTTSHNCWTVFAPLLANVMCCPQLDATLTIILGKASKETGMLALNRTQSKHCLSDLEQILVGKGASGQLNKICSIHSSNLTSSSCPVINVDEFESTVDTAKLLLACEKIDPVKECCEEACQNAILDAATNISLKASETLTDNSDRINDCKNVVNRWLATKLDPSRVKETLRGLANCKINRVCPLVFPHMRHIGGNCSNELSNHTGCCRAMESYVSHLQKQTLITNLQALDCATSLGIKLQKLNITKNIFSVCHISLKDFSLQVGNQESGCLLPSLPSDAIFDKDTGISFTCDLNDNIPAPWPSSSQSSASACKKPVRIPALPAAASSQPRLYDEGVTRLVISVLSMVLV >fgenesh2_kg.2__272__AT1G61890.1 pep chromosome:v.1.0:2:2091285:2094720:1 gene:fgenesh2_kg.2__272__AT1G61890.1 transcript:fgenesh2_kg.2__272__AT1G61890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7KVE0] MNSESLENLHRPLIESSKSFVDYRLETVLTDRELPYFRRIYLAMMIEMKFLFHLAAPAIFVYVINNGMSILTRIFAGHVGSFELAAASLGNSGFNMFTYGLLLGMGSAVETLCGQAHGAHRYEMLGVYLQRSTVVLILTCIPMSLLFLFSNPILTSLGEPEQVATLASVFVYGMIPVIFAYAINFPIQKFLQAQSIVTPSAYISAATLVIHLVLSWIAVYRLGYGLLALSLIHSFSWWIIVVAQIVYIKMSPRCRRTWEGFSWKAFEGLWDFFRLSAASAVMLCLESWYSQILVLLAGLLKNPELALDSLAICMSISAISFMVSVGFNAAASVRVSNELGAGNPRAAAFSTVVTTGVSFLLSVFEAIVVLSWRNVISYAFTDSPAVAEAVADLSPFLAITIVLNGIQPVLSGVAVGCGWQAFVAYVNIGCYYVVGIPVGFVLGFTFDMGAKGIWTGMIGGTLMQTIILVIVTLRTDWDKEVEKASSRLDQWEESREPLLKQ >fgenesh2_kg.2__273__AT1G61870.1 pep chromosome:v.1.0:2:2097512:2098913:1 gene:fgenesh2_kg.2__273__AT1G61870.1 transcript:fgenesh2_kg.2__273__AT1G61870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KVE2] MALLSRIRSSTSLFRHLNASPQIRSLSSASTILSPDSKTPLTSKEKSKAALSLLKSEKDPDRILEICRAASLTPDCHIDRIAFSAAVENLAEKKHFSAVSNLLDGFIENRQDLKSERFAAHAIVLYAQANMLDHSLRVFRDLEKFEIPRTVKSLNALLFACLVAKDYKEAKRVYIEMPKMYGIEPDLETYNRMIKVFCESGSASSSYSIVAEMERKGIKPNSSSFGLMISGFYSEDKNDEVGKVLVMMKDRGVNIGVSTYNIRIQSLCKRKKSKEAKALLDGMLSAGMKPNTVTYSHLIRGFCNEDDFEEAKKLFKVMVNRGCKPDSECYFTLIYYLCKGGDFETALVLCKESMEKNWVPSFSIMKSLVNGLAKDSKVDEAKELIGQVKEKFTRNVELWNEVEAALPQ >fgenesh2_kg.2__274__AT1G61860.1 pep chromosome:v.1.0:2:2099620:2101372:1 gene:fgenesh2_kg.2__274__AT1G61860.1 transcript:fgenesh2_kg.2__274__AT1G61860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLCPCFINPQQLGPNSPRDSFDEGLTAYRGHSRKLFALFTFRSHRKGSCRQKYITEEIKKYGNVKNCGRIFKFKELIAATDNFSMDCMIGEGGFGRVYKGFLSSLNQVVAVKRLDRNGLQGTREFFAEVMVLSLAQHPNLVNLIGYCVEDDQRVLVYEFMPNGSLEDHLFDLPEEAPSLDWFTRMRIVHGAAKGLEYLHDYADPPVIYRDFKASNILLQSDFNSKLSDFGLARLGPTEGKDHVSTRVMGTYGYCAPEYAMTGQLTAKSDVYSFGVVLLEIISGRRAIDGDRPTEEQNLISWAEPLLKDRRMFAQIVDPNLEGNYPVKGLHQALAIAAMCLQEEAETRPLMGDVVTALEFLAKPIEVVDNTNTTPAASATQTSSSDS >fgenesh2_kg.2__275__AT1G61850.1 pep chromosome:v.1.0:2:2101695:2107760:-1 gene:fgenesh2_kg.2__275__AT1G61850.1 transcript:fgenesh2_kg.2__275__AT1G61850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:D7KVE4] MSSSCSSPSAVEDPELGFRIDLDWTAGDSEDQVALRLESQLMVALPAPHDTVVVELKGIGDGDEGVIENVGLEMRVEKRREPLRAVTLMKAVGSGQQYDGVGVLTRLMRSDMMPAAIPAPAIDVASSCGVHWKTVTSLSLSGCGLLVMPVEVTELPLLEKLCLEHNKLSVLPSEIGKLKNLKILRVDNNMLISVPVELRQCVGLVELSLEHNKLVRPLLDFRSLLQRGENTSYFGASRHKLSAFSPLIFRSSSCHHPLLASTLVKIMQDEGNRSVIGKDENAVRQLISMITSDNRHVVEQACVALSSLARDVGVAMQLMKCDIMKPTETVLKSSAPDEVISVLQVVVTLAFVSDSVSQKMLTKDMLKALKSLCAHKNPEVQRQALLAVGNLAFCLENRRILITSESLRELLMRLTVTPEPRVNKAAARALAILGENEILRRSIKGRQVPKQGLRILTMDGGGMKGLATVQILKEIEKGSGKPIHELFDLICGTSTGGMLAIALGVKLMTLEQCEEIYKNLGKLVFAESVPKDNEAASWREKLDQLYKSSSQSFRVVIHGSKHSANEFERLLKEMCADEDGDLLIESAVKNVPKVFVVSTLVSVMPAQPFIFRNYQYPVGTPEMSYAFSDHSGGSTLTSSTASDQAGYYKQSAFMGSCKHQVWQAIRASSAAPYYLDDFSVGTNSYRWQDGAIVANNPTIFAIREAQLLWPDTKIDCLVSIGSGSVPTRPRKGGWRYLDTGQVLIESACSVERVEEALSTLLPMLPEIQYYRFNPVDDRCGMELDETDPAIWLKLEAAIEEFIQSNSQVFKNACERLTLPFLNDEKWCENLKPQFMNGKLPNSRVESSPSLGWRRNVLLLEAQHSPDSGRVKYHARALESFCSNNGIKLSSLHTTATPGCPKPSPGTAFPTPFTSPLISGSLPPSPLLFTPDLGPQKFNRIDMVPPLSLDGGHGGKTVMSPPSSPPRQRQLYLPLRQMHEKLQNLPQVGIIHLSLQNDSNGSILSWQNDVFVVAEPGDLADKFLQSVKVSILSVMQSNRRKAASVLSNIYSISDLVRSKKCFQVGNIIHRYIGRQTLVMEDDQEIASFMFRRTVPSAHLTPDDIRWMVGAWRDRIIVFSGTFGPTQAVVKAFLDSGAKAVIGPSNEPLETPLITSQGSSEYNIVDQNGKFEIGEEEDEEEEVNEEMEREEMEPPTPTSDWEDSDHEKTNRDDKYCGLWEDDEEEVSEFVCQLYDQLFRENSRVDVALQKALASHRKLRYTCHLPNV >fgenesh2_kg.2__277__AT1G61820.1 pep chromosome:v.1.0:2:2174780:2178595:-1 gene:fgenesh2_kg.2__277__AT1G61820.1 transcript:fgenesh2_kg.2__277__AT1G61820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BGLU46 [Source:UniProtKB/TrEMBL;Acc:D7KVF0] MKSFANFAIPFLLQSLLFPLYSSCLHQTSDDSSLFPSDFFFGTASSAFQYEGAFLNDGKGLNNWDVFAHENPGKIVDGSNGDIATDQYHRYMEDIQSMSFLGVNSYRLSISWSRVLPNGRFGGINYKGIKYYNNLIDALIRKGITPFVTLNHFDYPQELENRFKSWLSSEMQKDFAYLADICFKHFGDRVKHWITINEPNQQIILAYRSGLFPPSRCSMPYGNCTQGNSETEPFIAAHNMILAHAKAIQIYRTKYQKEQRGIIGIVVQTSWFEPISDSIVDKNAAERAQSFYSNWILDPVVYGKYPEEMVNILGSALPRFSSNEMNSIKNYKSDFLGINHYTSYFIQDCLITACNSGSGNGASKSEGFALKLDRKGNVSIGELTDVNWQHIDPDGFKKMLNYLKNRYHNMPMFITENGFGTLQKPETTVKELLDDTKRIQYMSGYLDALKEAMRDGANVKGYFAWSLLDNFEWLYGYKLRFGLFHVDYTTLKRTPKQSASWYKNFIEQHGNIGDNREK >fgenesh2_kg.2__278__AT1G61810.1 pep chromosome:v.1.0:2:2180534:2190198:-1 gene:fgenesh2_kg.2__278__AT1G61810.1 transcript:fgenesh2_kg.2__278__AT1G61810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLTSFVVIIGRFERSSITGTILGGQKLLDTFERRSRNICEHIKPVINTSLILGIRIREVILLQSLLFHVYGHHQSYPENILEDSSLFLSDFLFGTASSANQEDIQLMTFLGVISYRFSISWCRILPRGRFGEINYLGIKYYNKLIDALISRGIKPFVTLNHLVKPRDAVSANICFKHFGNRVKYWTTLNEPNQQLILGYLTGKFPPSRCSSPYGNCSQGNSETEPFIATHNMILAHAKAVNIYQTKYQKEQKGSIGIVVQTSWFEPISESNADKEAAERAQSFYSNWILDPVIYGKYPKEMVNILGPALPQFSSNEVKNLENSRADFIGINHYTSYFIQDCLTSACNTGHGAFKAEGFAHKLDRKGNVSIGELTDVDWQHIDLEGFHKMLNYLKDRYPNIRIFITENGFGDLQKPETTDKELLHDTKRIQYMSGYLEALQAAMRDGANVKGYFVWSLLDNFEWLFGYKVRFGLFHVDLTTLKRTPKQSASWYKNFIEGHVNRRDLVVVDNQ >fgenesh2_kg.2__279__AT1G61800.1 pep chromosome:v.1.0:2:2193327:2195789:-1 gene:fgenesh2_kg.2__279__AT1G61800.1 transcript:fgenesh2_kg.2__279__AT1G61800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate/phosphate translocator 2 [Source:UniProtKB/TrEMBL;Acc:D7KVF2] MISSIKPASSSISIAISGGVRRSIPTKLKFSPLPIIKTCHNQSFNSSVSHQKPLHISSTLNFKRGEVKVEAYEADRSRPLDINIELPDEQSAQKLKIGIYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLACGSLMMLVSWATRIADAPKTDIDFWKTLFPVALAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRLFMGETFPLPVYLSLLPIIGGCALAAITELNFNITGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMMSLVILTPFAIAVEGPQMWAAGWQNAVSQVGPNFVWWVVAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVASIIIFHTPIQPVNALGAAIAILGTFLYSQAKQ >fgenesh2_kg.2__27__AT1G64500.1 pep chromosome:v.1.0:2:171341:172603:-1 gene:fgenesh2_kg.2__27__AT1G64500.1 transcript:fgenesh2_kg.2__27__AT1G64500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7KS91] MGCTSSKQVKANVVADVYKPPPSSFAVFDVNAIQEPWLKFEHEDDEKPPRSTVFDTLEEDDDDDDDDDAPKTWDEVSKSLETKLKPAADKPPEVVSVKPPATPPRRLPRKSASFHTLDELETRAKRQIAAQIPTTVVKLKRTESMSELRPESDDRTESTQSSYSGPRSVKENIFVKRDRERREKEGNKKPVMNWDPLREFPEKCPPRGGEGLVVYTTSLQGVRRTYEDCMRVRAIMEQQGVVVDERDVSLDAGVLSELKELLQDEASVAPPRVFVKGRYLGGAAEVTAMNEHGKLGRVLRWARVERVGEEGRLTCEGCGGARWLPCFECGGSCKVAAVGAAKGERWERCVKCNENGLIRCPVCFVN >fgenesh2_kg.2__282__AT1G61780.1 pep chromosome:v.1.0:2:2220224:2221714:1 gene:fgenesh2_kg.2__282__AT1G61780.1 transcript:fgenesh2_kg.2__282__AT1G61780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDKCEKKLSKVIVPDKWKDGARNVTEGGGRKINENKLLSKKNRWSPYSTCTTKCMICKQQVHQDGKYCHTCAYSKGVCAMCGKQVLDTKMYKQSNV >fgenesh2_kg.2__283__AT1G61770.1 pep chromosome:v.1.0:2:2221726:2224107:-1 gene:fgenesh2_kg.2__283__AT1G61770.1 transcript:fgenesh2_kg.2__283__AT1G61770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KVG0] MAPPVTERWCLALILLFLSLFVQSSTAIYCGAEDCYALLGVAQDANASDIKRSYYKLSLQHHPDKNPDPESRKLFVKIATAYEILKDNTTRAQYDYAIEHPEEVFYNTAQYYRAKYGHKSDPRAVLVGLLVVLSAFQYLNNVARYNEAIASVKRTPAYKNKLKALELERTGGVTNKKKGSKQIDQKLQEELSNELDLQIKGAEKPSVWDLLGVRFILLPYTIIKLLVWYSSWVWRYKIKKAPYSWEDASYLTRRSLSVPADAWANLDEYRKEDLVQKRLWEKQNLENYFAEMRKESKRRR >fgenesh2_kg.2__285__AT1G61740.1 pep chromosome:v.1.0:2:2246677:2249715:1 gene:fgenesh2_kg.2__285__AT1G61740.1 transcript:fgenesh2_kg.2__285__AT1G61740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNFVPIVFSFITFIIFLTPSIAEQEPSILSPVDHFLNKTSSYLKFSTKFNQPKIELTTSTIIAGLLSFLASSISSAGGIGGGGLYVPIMTIVAGLDLKTASSFSAFMVTGGSIANVGCNLFVRNPKSGGKTLIDFDLALLLEPCMLLGVSIGVICNLVFPNWLITSLFAVFLAWSTLKTFGNGLYYWRLESEMVKIRESNRIGEDDEEDKIESLKLPLLEDYERPKRFPWIKLGVLVIIWLSYFAVYLLRGNKYGEGIISIEPCGNAYWLISSSQIPLTLFFTLWICFSDNVQSQQPSDYNVSIKDVEDLRSNDGARSNKCMFPVMALLAGVLGGVFGIGGGMLISPLLLQVGIAPEVTAATCSFMVLFSSTMSAIQYLLLGMEHTGTASIFAVICFVASLVGLKVVQKVITEYGRASIIVFSVCIVMALSIVLMTSYGALDVWNDYVAGRYMGFKLPC >fgenesh2_kg.2__286__AT1G61730.1 pep chromosome:v.1.0:2:2256923:2259935:1 gene:fgenesh2_kg.2__286__AT1G61730.1 transcript:fgenesh2_kg.2__286__AT1G61730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KVG5] MTKKLNPLEDPPTASSSDDDDVETSEAGEASDDSSSSEEEQPIKAPIKTPSAATTTTAAAAPAKSTAAADSDSGSETETDSDSESTNPPNSGSGKTIAVSAVNQKKKEDPTSSAALALPAVKSGTKRPASEATTTTSTKRVKKDEESIKKPGAFQRLWSEEDEISVLQGMIDFKADTGKSPYEDSNAFYEFLKKSISFEVSKNQFMDKIRSLRKKYIGKEGKEPSFVKAHDKKAFELSKFIWGPKGIALDSNVKSNGVSKKSAKKKKIDSVKQELVFVGGGSSNTNGKKVEDGGGDDGGDWFDNSSLVRMITGLGVDEFYVKQQWSLVPVETKKIIEEKFKLLQAKELEFVLEKTKFLQELTSMIV >fgenesh2_kg.2__28__AT1G64490.1 pep chromosome:v.1.0:2:173125:173656:-1 gene:fgenesh2_kg.2__28__AT1G64490.1 transcript:fgenesh2_kg.2__28__AT1G64490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAREVVIDNDLKKKIKETVKKILKRSSLLEITEIKAREEASAELDLDLSRDPYNLIIREAVDTFIEKAIMTIETEMGKLHTQIVDDVDGGGTEKTTKTTTKKKTKKKKKVEEAESSAA >fgenesh2_kg.2__291__AT1G61660.1 pep chromosome:v.1.0:2:2339966:2342530:1 gene:fgenesh2_kg.2__291__AT1G61660.1 transcript:fgenesh2_kg.2__291__AT1G61660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFRATAAICGGGGGAWWNSPRSVMSPSDHFLSPCFGASITSDDFTSQENNLKARMTCTDNNNNNNIVFGQREADSDSGGSTVTMDSTLQMMGLGFSSNSSSDWSQTILQEDLNSSFIRSSQDQDHGQGFLSTTTSPYILNPACSSSPSTSSSSSLLRTFYEPEPSPYNFVSTTSGSINDHQLSWANKTNPHHQASYGLTNNFSNNANSRPFWSSSSTTNLNNTTPNNFVTTPQIISTRLEDKTKNLKTRAQSESLKRTKDSESAAKKPRVTTPSPLPTFKVRKENLRDQITSLQQLVSPFGKTDTASVLQEAIEYIKFLHDQVTVLSTPYMKQGASSQQQQQQISGKSKNQDGNENHELRGHGLCLVPISSTFPVANETTADFWTPTFGGNNFR >fgenesh2_kg.2__292__AT1G61640.1 pep chromosome:v.1.0:2:2358055:2360851:1 gene:fgenesh2_kg.2__292__AT1G61640.1 transcript:fgenesh2_kg.2__292__AT1G61640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 family protein [Source:UniProtKB/TrEMBL;Acc:D7KVI3] MTLISRFLISRVISRTLFSNQNNRTAIRVSVRLPQFRIQSNGYHTLGLLHNVKGRFLSNHHVARRSYSIASASNVVKQHAQVSWGRLLQRVTLNRSWNLPRISQIAQAFSLSLARSHLLLPGFLALTCRQVAYAQRVAPSPVIYSPSHVSSYRRSINFPIIISSLLFSAVKGVVLIGRALYLAVLFSPNVIMALLGFACGPRYRKLQYEVLHRTLERAGPAFIKFGQWIATRPDRFNKDLCLQLSKLHSNAPEHSFAFTKKSIETAFGRKLSEIFEEFDEAPVASGSIAQVHRASLKFQYPGQKVKSSEVAVKVRHPCVEETMKRDFVIINLVAKLTTFIPGLNWLRLDECVQQFSVYMLSQVDLSREASHLSRFIYNFRGWKDVSFPKPIYPLIHPAVLVETYEHGESVARYVDGSEGHERLKAKVAHIGTHALLKMLLVDNFIHADMHPGNILVRPNNTRRGLFRSRKPHIVFLDVGMTAELSKIDRDNLLGFFKAVARRDGRTAAERTLKLSKQQNCPNPQAFIKEVEDAFTFWGTEEGDLVHPADCMHELFEKMRRHRVNIDGNVSTVMFTTLVLEGWQRKLDPGYDVMRTLQTMLLKTDWMKSLSYTIDGLMAP >fgenesh2_kg.2__294__AT1G61630.1 pep chromosome:v.1.0:2:2373687:2375707:-1 gene:fgenesh2_kg.2__294__AT1G61630.1 transcript:fgenesh2_kg.2__294__AT1G61630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KVI4] MSNPEDIPSRLEGKNAARLVCCFLGVGSLVAWNAMLTITDYYYQIFPKYHPSRVLTIVYQLVANVFIITLATKEAKLNTRLRNILGYSIYTVSTFCLIILDLASHGSGSVVAYVVLCLIVALFGLADAFVQGAMVGDLSFMCPDFIQAFMAGLGIAGALTSGLRLITKAIFDKSPDGLRKGALLFIGIATLIELACVFLYTLVFAKLPIVKYYRTKAAKEGAKTVSADLAAAGLQEQAEQVHQMDESKIQKLTKKQLLRQNIDLGINLSLIYVVTLSIFPGFLYENTGEHRLGDWYAPVLVAMYNGWDAISRFIPSIKGLALESRKWITVCVVARFLLVPAFYFTAKYADQGWMLFLTSFLGLSNGYLTVCIFSTAPKGYNGPEANALGNLMCVFLLGGIFAGVCLGWLWLIGNDSF >fgenesh2_kg.2__295__AT1G61620.1 pep chromosome:v.1.0:2:2382280:2384592:-1 gene:fgenesh2_kg.2__295__AT1G61620.1 transcript:fgenesh2_kg.2__295__AT1G61620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitric oxide synthase-interacting protein homolog [Source:UniProtKB/TrEMBL;Acc:D7KVI6] MPQRHSKNNNDLAYFTYDEKKKLGYGTQRERLGKDSIKPFDACSLCLKPFIDPMCCHKGHVFCRECILECFLAQKKDIQRRLAAHTSQKKQDKDEEEERLMLQKARELDEFDQQNHSAMPRNSDKNHNDDKNGFHGANSVKTTSFEEEALKTMKAFWLPSATPAASVRVDAPETHTVCPEGKEKLKLKNLFAIRFTEDNSEEEENKPKSASSSSYDKSYICPSCKVTLTNTMSLVALSSCGHVFCKKCAEKFMPVDKVCLVCDKPCKDRNLVGLKKGGTGFAEHDDHLEAKEYKHLGSGSGLGLVRPVKT >fgenesh2_kg.2__297__AT1G61600.1 pep chromosome:v.1.0:2:2396225:2397614:-1 gene:fgenesh2_kg.2__297__AT1G61600.1 transcript:fgenesh2_kg.2__297__AT1G61600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRTLSQFRKYQKTLSEESPEGPFSGVLVITDEEAETEDTFCFGMCTRTKIEKLPLPQDKILSVVHLDSSGNRETSVKKVLFIPALDQPLSSNRYYVVHARGRYKGKVSVCSREIEKGVCCFPDILHDKKPKPLDPRNIYQTVKINRHHDRTFFGKSVAPDGTPPSFLKKKGWELRTSRSLHPRRPREALGLDDELRARLPAFGFPVSTIRSGSVIVGEWYCPFMFVKENCSLSYQMRKSMFYRITLSQYWERIYHCENNDAHNNIDENNDDNEEEVVSVEANVVREANYVKGMEAVKGEKEGHGGFHWYRQVQGPRGPGERRRKRGVSSPVGLSFVVVERMRRVMEEGGWVGGGRKVVRVERDEPIRISRRDWRRFGCYVLVESFGLRRADGVLLVKCVFRHTNRLRCNWE >fgenesh2_kg.2__298__AT1G61590.1 pep chromosome:v.1.0:2:2404071:2406532:1 gene:fgenesh2_kg.2__298__AT1G61590.1 transcript:fgenesh2_kg.2__298__AT1G61590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSSTTASTKSSPLWKPFASNCCSVDDQTIFGNFSRCRPSRSEFSKNHLGPLPSFRRLSFTDLSRSSSARINEDLAQTLGADLVDFQMCELKMITQSFSGNYLLGEGGFGKVYKGYVHENLRQSLKAQPVAVKLLDIEGLQGHREWLSEVILLGQLKHPNLVKLIGYCCEEEERVLIYEFMSRGSLENHLFRRISLSLPWATRLKIAVAAAKGLAFLHDLESPIIYRDFKTSNILLDSDFTAKLSDFGLATMGPEGSKSHVTTRVMGTYGYAAPEYVSTGHLTTKSDVYSYGVVLLELLTGRRATEKARPKNQQNIIDWSKPYLTSSRRLRCVMDPRLAGQYSVKAAKDTALLALQCVSPNPKDRPKMLAVVEVLESLMHHKDMAVSSGHWPLSPKSQGGKVSAKVRGDNRSGRKSAPGSLRS >fgenesh2_kg.2__299__AT1G61580.1 pep chromosome:v.1.0:2:2407928:2409694:1 gene:fgenesh2_kg.2__299__AT1G61580.1 transcript:fgenesh2_kg.2__299__AT1G61580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP2/RPL3B [Source:UniProtKB/TrEMBL;Acc:D7KVJ1] MSHRKFEHPRHGSLGFLPRKRANRHRGKVKAFPKDDPTKPCRLTSFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYVKTPRGLRSLSTVWAQHLSEEVRRRFYKNWAKSKKKAFTKYSKKHETDEGKKDIQSQLEKMKKYCTVIRVLAHTQIRKMKGLKQKKAHLNEIQINGGDIAKKVDYACSLFEKQVPVDAIFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYRVGKVGQETHSAMTEYDRTEKDITPMGGFPHYGIVKEDYLMIKGGCVGPKKRVVTLRQTLLKQTSRVATEEINIKFIDMSSKFGHGRFQTSQEKAKFYNKAIKA >fgenesh2_kg.2__304__AT1G61520.1 pep chromosome:v.1.0:2:2450006:2451312:-1 gene:fgenesh2_kg.2__304__AT1G61520.1 transcript:fgenesh2_kg.2__304__AT1G61520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KVK2] MAAQALVSSSLTSSVQTARQIFGSKPVASASQKKSSFVVKATATPPVKQGANRPLWFASSQSLSYLDGSLPGDYGFDPLGLSDPEGTGGFIEPRWLAYGEIINGRFAMLGAAGAIAPEILGKAGLIPPETALPWFQTGVIPPAGTYTYWADNYTLFVLEMALMGFAEHRRLQDWYNPGSMGKQYFLGLEKGLAGSGNPAYPGGPFFNPLGFGKDEKSLKELKLKEVKNGRLAMLAILGYFIQGLVTGVGPYQNLLDHLADPVNNNVLTSLKFH >fgenesh2_kg.2__307__AT1G61490.1 pep chromosome:v.1.0:2:2467593:2470761:1 gene:fgenesh2_kg.2__307__AT1G61490.1 transcript:fgenesh2_kg.2__307__AT1G61490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRRKTFFACLLLFTILLSFSYAGITPKSPLSVGQTLSSSNGVYELGFFSPNNSQNQYVGIWFKGVIPQVVVWVANREKPITDTTSKLAISSNGILLLFNGRHGVVWSTGESFASNGSRAELTDNGNLVVIDNVSGRTLWQSFEHLGDTMLPFSALMYNLATGEKRVLTSWKGSTDPSPGKFVGQITRQVPSQVLIMRGSTPYYRTGPWAKTRFTGIPLMDDTYASPFSLQQDANGSGLFTYFDRSFKRSRIILTSEGSMKRFRHNGTDWELNYEAPANSCDIYGVCGPFGLCVVSVPLKCKCFKGFVPKSIEEWKRGNWTGGCVRRTELHCQGNSTGKDVNIFHHVANIKLPDLYEYESSVDAEECRQNCLHNCSCLAYAYIHGIGCLMWNQDLMDAVQFSAGGEILSIRLAHSELGGNKRNKIIVASIVSLSLFVILVSAAFGFWRYRVKHNASMSKDAWRNDLKSKEVPGLEFFEMNTILTATNNFSLSNKLGQGGFGSVYKGKLQDGKEVAVKRLSSSSGQGKEEFMNEIVLISKLQHRNLVRVLGCCIEGEEKLLVYEFMLNKSLDTFVFDARKKLELDWPKRFDIIQGIARGLLYLHRDSRLKVIHRDLKVSNILLDEKMNPKISDFGLARMYQGTQYQDKTRRVVGTLGYMSPEYAWTGVFSEKSDIYSFGVLLLEIISGEKISRFSCGEEGITLLAYVWESWCETKGIDLLDQDLADSCHTSEVGRCVQIGLLCVQHQPADRPNTLELLSMLTTTSDLPLPKQPTFAVHSTDDKSLSKDLISVNEITQSMILGR >fgenesh2_kg.2__308__AT1G61460.1 pep chromosome:v.1.0:2:2478339:2481798:1 gene:fgenesh2_kg.2__308__AT1G61460.1 transcript:fgenesh2_kg.2__308__AT1G61460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFSTLKYNLATGEKQVLTSWKSYTDPSLGDFVVQITPQVPTQALTMRDSRPYWRSGPWAKTRNFKLPRIVITSKGSLEISRHSGTDWVLNFVAPAHSCDYYGACGPFGLCVKSAPPKCKCFKGFVPKLIEEWKRGNWTGGCVRRTELHCQENSTEKDANIFHPVANIKPPDFYEFASAVDAEGCYKSCLHNCSCLAFSYIHGIGCLMWNQDFVDTVQFSAGGEILSIRLARSELGGNKRKKTITASIVSLSLFLLLSSTAFGFWKYRVKRNAPQDARRKNLEPQDVSGLYCFEMNTIETATNNFSLSNKLGQGGFGSVYKGKLQDGKEIAVKRLSSSSGQGKEEFMNEIVLISKLQHKNLVRILGCCIEGEEKLLIYEFMLNKSLDTFLFDSTKRIEIDWPKRFDILQGIARGIHYLHRDSRLKVIHRDLKVSNILLDEKMNPKISDFGLARMYQGTEYQDNTLRVVGTLGYMAPDFGVLMLEIISGEKISRFSYGKEEKNLIAYAWESWCETGGVDLLDKDVADSCHPLEVERCVQIGLLCVQHQPADRPNTIELLSMLTTTSDLPSPKQPTFVVHTRDDGSSSKDLITVNEMTKSVILGR >fgenesh2_kg.2__30__AT5G37120.1 pep chromosome:v.1.0:2:202881:203135:1 gene:fgenesh2_kg.2__30__AT5G37120.1 transcript:fgenesh2_kg.2__30__AT5G37120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KS99] LRNDDHVFKWVDEALINEIDTLTAKHGEIEKELKELRRERLEFEKMVSEKVEMNMEKELNEKVEEALSEAKRSNKKMMIVVVLG >fgenesh2_kg.2__311__AT1G61420.1 pep chromosome:v.1.0:2:2500148:2503367:1 gene:fgenesh2_kg.2__311__AT1G61420.1 transcript:fgenesh2_kg.2__311__AT1G61420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KW12] MGKKRIVFFAYLLLCTIFISFSSAGITKGSPLSIGQTLSSSNGVYELGFFSPNNSQNQYVGIWFKGIIPRVVVWVANRENPVTDSTANLAISSNGNLLLFNGKDGVAWSSGEALASNGSRAELTDTGNLIVIDNFSGRTLWQSFDHLGDTMLPLSTLKYNLATGEKQVLRSWKSYTDPSLGDFVLQITPQVPTQVLVMRGSTPYYRSGPWAKTRFTGIPLMDDTYTGPVSLQQDTNGSGSLTYLNGNFKRQRTMLTSKGSQELSWHNGTDWVLNFVAPAHSCDHYGVCGPFGLCVKSVPPKCKCFKGFVPKVIEEWKRGNWTGGCVRRTELHCQGNSTGKDVNVFHHVARIKPPDFYEFASFVNVEECQKSCLHNCSCLAFAYINGIGCLMWNQDLMDAVQFSAGGELLSIRLARSELGWNKRKKTITASIVSLSLFVIIASAAFGFWRYRVKHNADITKDASQVACRNDLKPQDVSGLNFFEMNTIQTATNNFSISNKLGQGGFGSVYKGKLPDGKEIAVKRLSSSSGQGNEEFMNEIVLISKLQHKNLVRILGCCIEGEEKLLIYEFMLNKSLDTFLFDSRKRLEIDWPKRFDIIQGIARGIHYLHRDSHLKVIHRDLKVSNILLDEKMNPKISDFGLARMYQGTEYQDNTRRVVGTLGYMAPEYAWTGMFSEKSDIYSFGVLMLEIISGEKISRFSYGKEEKNLIAYAWESWCETGGVDLLDKDVADSCHPLEVERCVQIGLLCVQHQPADRPNTIELLSMLSTTSDLPSPKQPTFVVHTRDDESSSKDLITVNELTKSVFLGR >fgenesh2_kg.2__315__AT1G61380.1 pep chromosome:v.1.0:2:2515211:2518648:1 gene:fgenesh2_kg.2__315__AT1G61380.1 transcript:fgenesh2_kg.2__315__AT1G61380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVLFAFLLLLIIFPTCGFAAINTSSPLSIGQTLSSPGGFYELGFFSPNNTRNQYVGIWFKKIVPRVIVWVANRETPVTSSAANLTISSNGSLILLDGKQDVIWSTGKAFTSSKCHAELLDTGNFVVIDDVSGNILWQSFEHLGNTMLPQSSLMYDTSNGKKRVLTTWKSYSDPSPGEFSLEITPQIPAQGLIRRGSLPYWRCGPWAKTRFSGISGIDASYVSPFSVVQDLAAGTGSFSYSTLRNYNLSYVTLTPDGQMKILWDDGKNWKLHLSLPENPCDLYGRCGPYGLCVRSNPPKCECLKGFVPKSNEEWGKQNWTSGCVRRTKLSCQASSSMKAEGKDTDIFYRMTDVKTPDLHQFASFLNAEQCYQGCLGNCSCTAFAYISGIGCLVWKGELVDTVQFLSSGEILFVRLASSELAGSSRRKIIVGTTVSLSIFFILVFAAIMLWRYRAKQNDAWKNDMEPQDVSGVNFFAMHTIRTATNNFSPSNKLGQGGFGPVYKGELVDGKEIAVKRLASSSGQGTEEFMNEITLISKLQHRNLVRLLGYCIDGEEKLLIYEFMVNKSLDIFIFVPSLKFELDWPKRFNIIQGIARGLLYLHRDSRLRVIHRDLKVSNILLDEKMIPKISDFGLARMFQGTQYQDNTRRVVGTLGYMSPEYAWAGLFSEKSDIYSFGVLMLEIISGKRISRFIYGDESKGLLAYTWDSWCETGGSNLLDRDLTDTCQAFEVARCVQIGLLCVQHEAVDRPNTLQVLSMITSTTDLPVPKQPIFAVHTLNDMPMSKSQDFLSGNEITQSMIQGR >fgenesh2_kg.2__317__AT1G61360.1 pep chromosome:v.1.0:2:2523848:2527020:1 gene:fgenesh2_kg.2__317__AT1G61360.1 transcript:fgenesh2_kg.2__317__AT1G61360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KW18] MLIVACFLLITTIFSSCCYAAITTSSPLSVGQTLSSPGGAYELGFFSSNNSGNQYVGIWFKKVAPRVIVWVANREKPVSSPTANLTISSNGSLILLDGKQDPVWSAGGDPTSNKCRAELLDTGDLVVVDNVTGNYLWQSSEHLGDTMLPLTSLMYDIPNNKKRVLTSWKSETDPSPGEFVAEITPQVPSQGVIRKGSSPYWRSGPWAGTRFTGIPEMDESYVNPLGMVQDVVNGTGVFAFCVLRNFNLSYIKLTSQGSLRIQRNNGTDWIKHFEGPLSSCDLYGRCGPYGLCVRSGTPMCQCLKGFEPKSDEEWRSGNWSRGCVRRTNLSCQGNSSVETQGKDRDVFYHVSNIKPPDSYELASFSNEEECHQGCLRNCSCTAFSYVSGIGCLVWNRELLDTVKFIAGGETLSLRLAHSELTGRKRIKIITIGTLSLSVCLILVLVSYGCWKYRVKQTGSILVSKDNVEGSWKSDLQSQDVSGLNFFEIHDLQTATNKFSVLNKLGQGGFGTVYKGKLQDGKEIAVKRLSSSSVQGTEEFMNEIKLISKLQHRNLLRLLGCCIDGEEKLLVYEYVVNKSLDIFIFDLKKKLEIDWHMRFNIIQGIARGLLYLHRDSFLRVVHRDLKVSNILLDEKMNPKISDFGLARMFHGNQHQDSTGSVVGTLGYMSPEYAWTGTFSEKSDIYSFGVLMLEIITGKEISSFSYGKDNKNLLSYAWDSWSETGAVNLLDQDLADSDLVNSVEAGRCVHIGLLCVQHQAIDRPNIKQVMSMLTSTTDLPKPTQPMFVLDTSDEDSSLSQRSNDLSSVDENKSSKELNASS >fgenesh2_kg.2__319__AT1G61340.1 pep chromosome:v.1.0:2:2536546:2538317:-1 gene:fgenesh2_kg.2__319__AT1G61340.1 transcript:fgenesh2_kg.2__319__AT1G61340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KW20] MALGKKRIVTQKSNLRQRRNVDDGGLGLGLEFVQYKRGFGRKRILISSGDEIEEDSIFASPVGKKLCDKTTSVADGQSRELEDLPLDILVRIICGVDHEDLKQLFHVSKTIREATLIAKQSHFAYNTPRKTSVFHHGGFGWDKPFDVEDDDEEIEAPGAPLQKRYRLSRINRNKDDDSGVSVALFN >fgenesh2_kg.2__322__AT1G61270.1 pep chromosome:v.1.0:2:2556826:2559208:1 gene:fgenesh2_kg.2__322__AT1G61270.1 transcript:fgenesh2_kg.2__322__AT1G61270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNPSSPNQILNQDLVEDQSFELEDWLPITASRNANWYYSAFHNVTAIVGAGVLGLPYAMSELGWGPGVVVLILSWVITLYTFWQMIEMHEMFKGKRFDRYHELGQAAFGEKLGLYIIVPLQLLVEISACIVYMVTGGESLKNIHRISVGEHECRKLKVVHFILIFASSQLVLSLLENFNSISGVSLVAAVMSMSYSTIAWIASLTKGVVENVEYGYKKKNNTSVQLGFLGALGEMAFAYAGHNVVLEIQATIPSTPENPSKRPMWKGAIVAYIIVAFCYFPVALVGFWIFGNNVADNILKSLRDPTGLMIVANMFVVIHLMGSYQVYAMPVFDMIESVMIKKWHFNPTRVLRYTIRWTFVAATMGIAVALPYFSALLSFFGGFVFAPTTYFIPCIIWLILKKPKRFGLSWCINWICIILGVLVMIIAPIGGLAKLIHTLKNPDSSCMRRKRLV >fgenesh2_kg.2__324__AT1G61255.1 pep chromosome:v.1.0:2:2574836:2575279:1 gene:fgenesh2_kg.2__324__AT1G61255.1 transcript:fgenesh2_kg.2__324__AT1G61255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKLNLLFTILLLTLTHSYSRPSRPESSSTGSYSDQLKKNSKGNFNKNDKGYGPGGYPGLTTELATGFILPGSGPGGSYSELSGENNKGRAVRLSVVCKEKGHCYKKELTCPAKCFKSLSRNVKVYIGSGGGGGCTIDCKKCVAYC >fgenesh2_kg.2__325__AT1G61250.1 pep chromosome:v.1.0:2:2575888:2579003:-1 gene:fgenesh2_kg.2__325__AT1G61250.1 transcript:fgenesh2_kg.2__325__AT1G61250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:D7KW29] MANRYDPNPFAEEEEVNPFANPRGVPPASNSRLSPLPPEPVGFDYGRTVDIPLERPGGQDLKKKEKELQAKEAELKRREQELKRKEDAAARAGIVIEVKNWPPFFPLIHHDIANEIPVHLQRLQYVTFATYLGLVLCLFWNIIAVTTAWIKGEGVTIWLLALIYFIAGVPGGYVLWYRPLYRAFRTDSALSFGWFFLFYMLHIAFCVFAAVAPPVVFKGKSLAGILPAIDVLSGQAIVGIFYFIGFAFFCLESVVSIWVIQQVYMYFRGSGKQDQMRREAARGALRAAV >fgenesh2_kg.2__329__AT1G61240.4 pep chromosome:v.1.0:2:2579871:2583579:-1 gene:fgenesh2_kg.2__329__AT1G61240.4 transcript:fgenesh2_kg.2__329__AT1G61240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDLPSIKSWKSLVKRRNNAQEGGKSSWKMKPVVVLMCTVLLIFWYKTTNIQYEQTEIEETDYPFEMAKESEPVSEKLKGLPFGIMQPRSDLELKPLWSSSSLRSKSGELTNRNLLAMPVGLKQKDNVDAVVKKFLPANFTVILFHYDGNMDQWWDLEWSSKAIHIVAHNQTKWWFAKRFLHPDIVSIYDYVFLWDEDLGVENFNPQMYLRIVKTAGLEISQPALHPNSTEVHHRITVRSRTKIFHRRVYDSRGNMKCSNASEGPPCTGFVEGMAPVFSRSAWFCTWNLIQNDLVHGWGMDMKLGYCAQGDRSKKVGIVDSEYIFHQGIQTLGGSGYPDKKNSARSRVNRRRGSATFDSRTEIRRQSTWELQAFKERWNQAVAEDKNWADRSSSTRNRIGNNRRLKRSSVIPSLVQRKAEETTT >fgenesh2_kg.2__332__AT1G61170.1 pep chromosome:v.1.0:2:2631563:2632633:-1 gene:fgenesh2_kg.2__332__AT1G61170.1 transcript:fgenesh2_kg.2__332__AT1G61170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVGSGTTDRENENVSDKNTTIVEEETVVKEVLSETTLFTPSSSFHSSTIKDPVKKKIREDEEKKPGFLKMASNPVMIRPGSVDPEEGSEVSEICSLSLSESVSSTVVMNGYDEEDVMMKQRKSQRSPAKTRTRVTGNNYPTRRTDQSPRKRNNGTCNGARYGSGVRDPGERSGRRSRSPATNRSVMDSNQSSRVGGAKTRKNNQSPGRVRLDPNKNGLDQQHQNYGYTTEELLENPLVSLECFIFL >fgenesh2_kg.2__338__AT1G61150.4 pep chromosome:v.1.0:2:2642520:2644611:-1 gene:fgenesh2_kg.2__338__AT1G61150.4 transcript:fgenesh2_kg.2__338__AT1G61150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKKMITREEWEKKLNAVKLRKEDMNTLVMNFLVTEGYVEAAEKFQRESGTKPEIDLATITDRMAVKKAVQNGNVEDAIEKVNDLNPEILDTNPELFFHLQQQRLIELIRQGKTDEALEFAQEELAPRGEENQAFLEELEKTVALLVFDDASTCPVKELLDLSHRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKAVYPHINDLSTGQLEDPSE >fgenesh2_kg.2__341__AT1G61140.1 pep chromosome:v.1.0:2:2650481:2656676:1 gene:fgenesh2_kg.2__341__AT1G61140.1 transcript:fgenesh2_kg.2__341__AT1G61140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGSVFGMGDEFHVDDCDGGFEFEDDDETIDIETLYRILDEKPDSAEGSQENLSPVGSSADELKDSHLQNGSFDEHVKMEAGLSPSPAHTCSASLKDWFSLSQGEQPVETCGVSQSEMTSCSISSSFSDHDGNMMAFNPDTVSKQDDKIIDSKFTSHSPTMATPYFDDVPGYGVGLGANHNSSVMSGFLNNSNSLSDSADNYVSSAKDCYNTSGTSLSDHTPNFVHNFAFQFFPNKEEAVIDVESGVSESQSDGASRMIFDRHGRVDHGSLESKPPIDFSSARGISFKFESNPSVSPACVKPYNSFDSHLADNDLDRPDNYSSSFQDNKAVHVTVKPEVESEKVVYSSVPGEYSVRDDAYVSGETNPWWSGASSSAASYQTDIEKGYSYMAPQTALPSQDSGDRSANHFYDSDTCLQYVAEDPSPVTQSSEYLDFQIQGGHEYVQPRGIDSNFSNASFESVQSHSSECISDSDDDSDICIIEPNGQSAIPHRPLAMKKPLVSSEYSTVGHNYNQSGGLKLQSNKENMIFQAALQDLSQPNSEASPPDGVLTVPLLRHQRIALSWMAQKETSGFPCSGGILADDQGLGKTVSTIALILKERSKPAQTCEESMKKEIFDLESESGECAPLKTSGKSEHFEHSQLLSNENKVGRDSVGKVRGRPAAGTLVVCPTSVMRQWADELHKKVTSEANLSVLVYHGSSRTKDPYELAKYDVVVTTFSIVSMEVPKQPLVDDEDEEKDGVQDGGTAATGFCSNKKRKYPPDSKKRGSKKKKQVEFLSGPLAKVSWFRVVLDEAQSIKNYKTQVARACWGLRAKRRWCLSGTPIQNSIDDLYSYFRFLKYDPYSSYVLFCSTIKNPITRNPVKGYQKLQAILKTVMLRRTKGSFLDGKPIISLPPKSIELRKVDFTVEERDFYSKLEAESRTQFREYAEAGTVKQNYVNILLMLLRLRQACDHPLLVNGEYSFTWESSVGLAKKQIQSEASLAICGICNDAPEDAVVSVCGHVFCKQCIYERLTGDNNHCPLANCNVRLTISSLSSKTRSDDAMPDMQDRAASNSLSPCSDEDLPYGSSKIKAALEILQSLPKPQDLTDTNQISQNSEYSSLPVTPVKNEGISVVVPVKVAGEKAIVFSQWTKMLDLLEASLVSSHIQYRRLDGTMSVAARDKAVQDFNTLPEVTVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAIDRAHRIGQTRPVTVVRFTVKDTVEDRILALQQKKRMMVASAFGEDEKGSRQSHLTVEDLSYLFMADS >fgenesh2_kg.2__343__AT1G61130.1 pep chromosome:v.1.0:2:2662617:2665697:1 gene:fgenesh2_kg.2__343__AT1G61130.1 transcript:fgenesh2_kg.2__343__AT1G61130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7KW44] MMNIFNVSIALYLCILFVFVSSDSPEAMRDLVTNFPGQPKVSFRHYAGYVTVNETNGRALFYWFFEAMTHSNVKPLVLWLNGGPGCSSVGYGATQEIGPFLVDNEGNDLKFNPYAWNKEANVLFLESPAGVGFSYTNTSSDYRKLGDDFTARDSYIFLQKWFLRFPAYKENNFFIAGESYAGKYVPELAEVIYDKNKEHNDNLSLHINLKGILLGNPLTSYAEDWTGWVDYAWSHAVISDEIYRVIERSCNFSSNTTWDIKDCKDGVDEILKQYKEIDQFSLYTPVCMHHSSKVDSYVNSKMIPRLFDGFDTCLDDYTKVFYNRADVQKALHATDGVHLKNWTICNADILNHWNWTDSKRSVLPIYKKLIAGGYRVWVYSGDTDGRVPVLSTRYCINKLELPIKTTWRPWYHEKQVSGWFQEYEGLTFATFKGAGHDVPSFKPSESLAFFSAFLNGVPPPLSR >fgenesh2_kg.2__348__AT1G61080.1 pep chromosome:v.1.0:2:2745497:2749114:1 gene:fgenesh2_kg.2__348__AT1G61080.1 transcript:fgenesh2_kg.2__348__AT1G61080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGRKSSSKLQPVKGQASPKVSKNSKQDVKTSSSSSSSPKPSSSPIPIRSSKSILSGSNLTSSRRGSSPIGILKNISVKEAKSPKTSSSPKWTGNFILMVELRRKIFTFRDIIDLSTLDGSPSITDVRKPQPYILMVMHTMKDLQKICPEIIHSSHIMEIRRANVDKVLDHFFSALKSIGDSWIDNPEWITKSKYWSSSVGKNQSDRLVEKVLAALDGLIKMSKERFDMMEIDDEEEKKESTSPQTAKTSGSRVLSPSESFSDSKSSFDSRSSFCGSPITPRSVLPEPMMGSPGRVGDFANSASHLLWNMRVQALEKLSPIDVKRLAIHILSQKEAQQPNQSNCEDEISVVEEIKQKKDEIESIDVKMETEESVVLDEEQDTVMKISSLDSTFESKLNPSEKSENSSQFSPPPPPPPPPPSLSTITAASLPSQPPPTPPPPPQITDVAISMPPPPPPPPPPPAVMPLKGSAPPPPPPPPPLENMPLKGSAPPPPPPPPLPNTAVAPPPPPPPPRTAVAPPPPPPPPPGTTVAPPPPPPPPMQNRAPPPPPMPMANSGSEGPPPPPPPMPLANGAAPPPPPPPMAMANGAAGPPPPPPRMDMANGAAGPPPPPGAGRSLRPKKAATKLKRSTQLGNLYRILKGKVEGRDPNAKTGGGSGRKAGVGSAPAGGKQGMADALAEITKKSAYFLQIQADIAKYMKSINELKIEITKFQTKDMTELLSFHRSVESVLENLTDESQVLARCEGFPQKKLEAMRMAVALYTKLHGMITELQNMKIEPPLNQLLDKVERYFTKIKETMVDISSNCMELALKEKRDEKLVSPDAKPSLKKTVGSAKMLWRAFQFAFKVYTFAGGHDDRADSLTRELAHEIQTDSDQNP >fgenesh2_kg.2__349__AT1G61070.1 pep chromosome:v.1.0:2:2749923:2750775:1 gene:fgenesh2_kg.2__349__AT1G61070.1 transcript:fgenesh2_kg.2__349__AT1G61070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor II [Source:UniProtKB/TrEMBL;Acc:D7KW54] MKVSSRLISALLLLFMILATGIGPVTVEARTCETSSNLFNGPCLSSSNCANVCHNEGFSDGDCRGFRRRCLCTRPC >fgenesh2_kg.2__351__AT1G61040.1 pep chromosome:v.1.0:2:2759093:2761895:-1 gene:fgenesh2_kg.2__351__AT1G61040.1 transcript:fgenesh2_kg.2__351__AT1G61040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g61040/T7P1_17 [Source:UniProtKB/TrEMBL;Acc:D7KW58] MGDLENLLLEAAGRTNSAGRSRHPPSSRRREGSYSDGSSDSRDDSDEDRGYASRKPSGSQVPLKKRLEAEREDRAARVEGGYGDGPSDREGDSSEESDFGDDLYKNEEDRQKLAGMTEFQREMILAERADKKGDKNFTEKLRSKRENEKTPVSKKETQPLPASRGVRSSARSADRAAAKDDALNELRAKRMKQQDPAALRKLRDASKGGSGSRDFSSMKRKPLASSNLSSSSQSDSDSRSQSDDEGSNAGMLDSDDDRSDVPTFEDVKEITIRRSKLAKWLMEPFFEELIVGCFVRVGIGRSKSGPIYRLCWVKNVDATDPDKTYKLENKTTHKYLNVVWGNETSAARWQMAMISDGHPLEEEYRQWIREVERTNGRMPTKQDISEKKEAIQRINSFVYSAETVKQMLQEKKSASVRPMNVAAEKDRLRKELEIAQSKNDEAGVERIKTKIKQLDASRNKKGVDKKALKLAEMNKKNRAENFKNASEVKSISASLKAGEAGYDPFSRRWTRSSNYYNGKNKGKDGEENETAVAAAVETNGADVGAGVEATEAALEAAAEAGKLIDTRAPIGQGAEHNQLHNFELSLSLTALQKYGGPQGVQKAFMARKQVTEATVGCRVAENDGKRHGLTLTVSDYKRRRGLL >fgenesh2_kg.2__355__AT1G61010.3 pep chromosome:v.1.0:2:2774587:2787935:1 gene:fgenesh2_kg.2__355__AT1G61010.3 transcript:fgenesh2_kg.2__355__AT1G61010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASLKRREQPISRDGDQLIVTPLGAGSEVGRSCVYMSFRGKNILFDCGIHPAYSGMAALPYFDEIDPSSIDVLLITHFHIDHAASLPYFLEKTTFNGRVFMTHATKAIYKLLLTDYVKVSKVSVEDMLFDEQDINKSMDKIEVIDFHQTVEVNGIKFWCYTAGHVLGAAMFMVDIAGVRILYTGDYSREEDRHLRAAELPQFSPDICIIESTSGVQLHQSRHIREKRFTDVIHSTVAQGGRVLIPAFALGRAQELLLILDEYWANHPDLHNIPIYYASPLAKKCMAVYQTYILSMNDRIRNQFANSNPFVFKHISPLNSIDDFNDVGPSVVMATPGGLQSGLSRQLFDSWCSDKKNACIIPGYMVEGTLAKTIINEPKEVTLMNGLTAPLNMQVHYISFSAHADYAQTSTFLKELMPPNIILVHGEANEMMRLKQKLFTEFPDGNTKIMTPKNCESVEMYFNSEKLAKTIGRLAGKTPDVGDTVSGILVKKGFTYQIMAPDELHVFSQLSTATVTQRITIPFVGAFGVIKHRLEKIFESVESSTDEESGLPALKVHERVTVKQESEKHISLQWSSDPISDMVSDSIVALILNISREVPKIVVEEEDAVKSEEENGKKVEKVIYALLVSLFGDVKLGENGKLVIRVDGNVAQLDKESGEVESEHSGLKERVRVAFERIQSAVKPIPLSAS >fgenesh2_kg.2__357__AT1G60995.1 pep chromosome:v.1.0:2:2788316:2793552:1 gene:fgenesh2_kg.2__357__AT1G60995.1 transcript:fgenesh2_kg.2__357__AT1G60995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFIRVQERFSQILTPRIRGFLEYTYLFVAITLFCILVVMHANYVQQPGCSSELTGVELAEAELMQIKITSAGLWSRNDESTAADVPRVVTATDSLEVSKNDQESSTSEENADDIFVKIDKEEPRSSFSVSAKENVRAALLRFLKKCYRRISFVLQHTARILRGVRKIWNIIGIPLNLDVPKLLHVLYMDKVNYYAVQWLESKTQEFEPTYLYTMEKGYFLLPDEAKSRHNIRTANVSISARHPCFGNRWQQLLINRVVGYDTIIMNSLQNSAGQGYLYNYQTREFYNLSYSQELPEGSAQFGDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHAQHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLVLVWLCELFTLISVRTPISMKFFPRFFLLYFLVFHIYFFSYAYGFSYLALMTTAAFMQHLILYFWNRFEVPALQRFLQSRQSHLQQHPDFHITSSTILASTLHITRLNRTTRNRSPSGPNNTTPNQNTETRFPTADGGGVGNPTQNQEQQEENAANTIPAEPNNQQAGAMSSFSSMLLWILGGASSEGLNSFLSMFRDVRDEEEAQVFADTTPPQNPHHDPLSVD >fgenesh2_kg.2__360__AT1G60990.3 pep chromosome:v.1.0:2:2794342:2797697:1 gene:fgenesh2_kg.2__360__AT1G60990.3 transcript:fgenesh2_kg.2__360__AT1G60990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g60990 [Source:UniProtKB/TrEMBL;Acc:D7KW62] MAMMMRIDCVSHITNTALLPCLYNGTVLRRRSLSLRNSCFRERKFQLRCVSASSDSLQFDFSPPPIDHDFLDTISVAGGKVSEDGIVESFDNDDEALDAFDNGVVVVDLSHFGRIRVSGDDRAHFLHNQTTANFESLSEGQGCDTVFVTPTARTIDIAHAWIMKNAILLTVSPTTCQSIIEMLNKYIFFADKVEIKDITKQTCLFALAGPKSNQIMSKLNLGDLIGQPYGNHQHYSFDGMPITVGVGSLISDEGFTMLMSPGGAVSVWKTLLAEGAIPMGSVAWEKLRITQGRPAPERELSKEYNVLEAGLWNSISLNKGCYKGQETIARLMTYDGIKQWLCGLNLSAPAEPGSPIIVDGKKVGKLTSYTRGREGSGHFGLGYIKKQAASIGNTVTIGEDISGIVSEVPYLARQHPPSANSSS >fgenesh2_kg.2__367__AT1G60940.1 pep chromosome:v.1.0:2:2829633:2832426:1 gene:fgenesh2_kg.2__367__AT1G60940.1 transcript:fgenesh2_kg.2__367__AT1G60940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYELVKDIGAGNFGVARLMRVKNSKELVAMKYIERGPKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHIAIAMEFAAGGELFERICSAGRFSEDEARYFFQQLISGVSYCHAMQICHRDLKLENTLLDGSPAPLLKICDFGYSKSSLLHSMPKSTVGTPAYIAPEVLSRGEYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFKKTIQRIMAVKYKIPDYVHISQDCKHLLSRIFVANSNKRIKIAEIKKHPWFLKNLPRELTETAQAAYFSKENPTFSLQSVEEIMKIVEEAKTPAPVSRSIGAFGWGGEEDAEGKEEEVKEVEEDEYDKTVKQVHASMGEVRVS >fgenesh2_kg.2__36__AT1G64430.1 pep chromosome:v.1.0:2:215611:219051:-1 gene:fgenesh2_kg.2__36__AT1G64430.1 transcript:fgenesh2_kg.2__36__AT1G64430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVVSSVFSGINRTPNLHETHTLFRSHVFSFFFKPANIGSIRRPILFSSYGDRSRDSVSAAADVSSSILDDELLSSVSAVRDADEALEMISDRFGSNRGGIVELEDCRSIISAAVSRGNVDLALSIFHTMRASFDLGGSDNDRWRWSRPDVEVYTMLVNSLAASLRVSDSLRIIRDICRVGISPAEEVPFGKIVRCPTCLIAIAVAQPQHGVQIASCANCRYQYELFSGDITSIESEELGKDIPLWEKGLRLIQIKKNKITSSVHSIVVQTPSGIARTHRFATETAELPAQEGERVTIASAAPSNVYRQVGPFKFIPKAPNFYPGEPMSLTKHKDGRESLLLRPPSKDGDKLLQPSFLIPLLAVLATGDAASGIIDPSLPQLLSVAAVTSLAIGATVNSFVLPQLNQLPERTVDVVGIKQQLLSQYDVLQRRIRDLNEAVEKEVWMLARMCQLENKILAVGEPAYRTRRTRVKKVRESLENSIKGKIELIDSYARISSMIEIEVEMDTDVLAAEAVNNTENIALQIEQIMELENLEEKWKIQAEANDEAERLLSSQP >fgenesh2_kg.2__370__AT1G60920.1 pep chromosome:v.1.0:2:2842858:2843426:1 gene:fgenesh2_kg.2__370__AT1G60920.1 transcript:fgenesh2_kg.2__370__AT1G60920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KW73] MGGTKRKIEIKPIENKNMRSVAFTKRRNGLFRKASELCLLSPGTQIAILLTPLSSNSHASFYSFGHSSVDHVVSSLLHNQPPLPTDQENRSGVGFWWEDQAFDRLENVDELKEAIDAVSRMLNNVRLRLDDAVKSNQRDGSLVNYQEEEVLQLRNEDTNQITKFEGETSASASLLKNLEDNLHIDDCFY >fgenesh2_kg.2__371__AT1G60900.1 pep chromosome:v.1.0:2:2847836:2851955:-1 gene:fgenesh2_kg.2__371__AT1G60900.1 transcript:fgenesh2_kg.2__371__AT1G60900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYEGNGDGVAISTENHSENYISLESSPFQSLGGEDGHEDSKSRESHDLEKDSSKISEKDRDKDPEKDRDREKSRDRDREKSRDRDRERSKDRERDRHHRDRHRDRSRERSEKRERERDDLDDDHHRHRSRDRRRSRDRDREVRHRRRSRSRSRSRSERRSRSEHRHKSEHRSRSRSQSRSRSKSKRRSGFDMAPPDMLAATAVAAAGQVPSVPTTATIPGMFPNMFPMVPGQQLGALPVLPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMSAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIILEGVPVKVRRPTDYNPSLAATLGPSQPNPNLNLAAVGLSSGSTGGLEGPDRIFVGGLPYYFTEVQIRELLESFGPLRGFNLVKDRETGNSKGYAFCVYQDPSVTDIACAALNGIKMGDKTLTVRRAIQGVIQPKPEQEEVLLHAQQQIALQRLMLQPGGTPTKIVCLTQVVTADDLRDDEEYADIMEDMRQEGGKFGNLVNVVIPRPNPDHDPTPGVGKVFLEYADVDGSSKARSGMNGRKFGGNQVVAVYYPEDKYLQGDYED >fgenesh2_kg.2__373__AT1G60890.1 pep chromosome:v.1.0:2:2859549:2864836:1 gene:fgenesh2_kg.2__373__AT1G60890.1 transcript:fgenesh2_kg.2__373__AT1G60890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KW77] METRPVEREFSNGDVYFGQLKGTLPHGKGKYAWPDGIVYEGDWEEGKISGRGKLMWSSGAKYEGDFSGGYLHGFGTLTLPDGSVYAGAWRMNVRHGLGRKEYCNSDVYDGSWREGLQDGSGSYSWYNGNRFIGNWKKGKMSGRGVMSWANGDLFNGFWLNGLRHGSGVYKYADGGFYFGTWSRGLKDGSGVFYPAGSKHPSLKKWHRHFGYDDTGNFLLSHNSTTNIDDLRTSKAVSRSLSELTTTSGLTRTSERYPDDYWSTSDPPRDFLHHGPSSKSARSVDSGQSEIRDKNPIVFEREYMQGVLIKERIMSSIDMSHRARPLNLTKEVTVSTCVSFLGGKWNHYLMLNLQLGIRYTVGKITPVPPREVRASDFSERARIMMFFPRNGSQYTPPHKSIDFDWKDYCPMVFRNLREMFKLDAADYMMSICGDDGLREISSPGKSGSIFYLSHDDRFVIKTLKRSELKVLLTMLPRYYEHVGNYENTLITKFFGVHRIKLKWGKKVRFVVMGNMFCTELKIHRRYDLKGSTQGRYTEKNKIGEKTTMKDLDLAYEFHMDKLLREALFKQIILDSSFLESLQILDYSLLLGLHFRAPDPLTDILEPPNEISDQESDSVASVDVSLPREPAIPPKGLLMVTHEPNSVNTAPGPHIRGSTLRAFSVGEQEVDLILPGTARLRVQLGVNMPAQAHHKLRQDKEESGTVELFEVYDVVVYMGIIDILQEYNMKKKVEHTCKSMKYDPMTISAIEPTLYSKRFIDFLLKVFPEKA >fgenesh2_kg.2__374__AT1G60880.1 pep chromosome:v.1.0:2:2865247:2865804:-1 gene:fgenesh2_kg.2__374__AT1G60880.1 transcript:fgenesh2_kg.2__374__AT1G60880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KW78] MGGKKRKIKIKRIENKVARMVAFTKRRAGLFRKASELCLLSPGTQIAILATPMTSNSHASFYSFGHSSVDSVVSSLLYDQPPLPANQDNRSGLGFWWEDKRFDVSENVEELKDAVDAVSRMLNNVRLRLNDAVKNTQRDGCLEILPQEEEVHQIRNEETKTNQFVGETSGSASFLENEEDILHFDD >fgenesh2_kg.2__375__AT1G60860.1 pep chromosome:v.1.0:2:2878647:2885199:1 gene:fgenesh2_kg.2__375__AT1G60860.1 transcript:fgenesh2_kg.2__375__AT1G60860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase-activating domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KWN8] MAGFINLEDSPMFQKQVFSLEGTTDELKDRCQKLYKGVKKFMGALGEASTGVSAFADSLEEFGAGHDDPVSVSIGGPVISKFINTLRELSSYKEFLRSQVEHVLLERLTNFMTVDLQEAKESRRRFDKAVHSYDQAREKFVSLKKNTRGDIVAELEEDLENSKSAFEKSRFNLVNSLMTIEAKKKYEFLESISAIMDSHLRYFKLGYDLLSQLEPYIHQVLTFAQQSKEQSKIEQDRFARRIQEFRTQSELDSQQASAKADPSGVDGNHVYRAIPRKSVEANSISTADKEVTKQGYLLKRSASLRADWKRRFFVLDNHGSLYYYRNTGNKSAGSQHYYSGLGEHNSGVFGRFRSRHNRSASQGSLDCNMIDLRTSLIKLDAEDTDLRLCFRIISPQKTYTLQAENGADRMDWVNKITAAITTRLNSHFLQQSPARYLDKNYTSSGPATDDLTLNQKQDYNQRLNMGDDVLTILRGIPGNNECAECNEPDPDWASLNLGVLMCIECSGVHRNLGVHISKVRSLTLDVKVWEPTILDLFRNLGNAYCNSVWEELLYLEDDGEKGPTDTLASIPKPSSEDSFTLKEKYIHGKYLEKALVVKDEREANSTAPSRIWEAVQSRNIRDIYRLIVTADANIINTKFDDITDVDAYHHHHNDAPDEVKKRHDPNACQRIKDSNEPRNCLQGCSLLHVACQSGDPILLELLLQFGADINMRDYHGRTPLHHCIASGNNTFAKVLLRRGARPSIEDGGGLSVLERAMEMGAITDEELFLLLAECQ >fgenesh2_kg.2__376__AT1G60850.1 pep chromosome:v.1.0:2:2889148:2891508:1 gene:fgenesh2_kg.2__376__AT1G60850.1 transcript:fgenesh2_kg.2__376__AT1G60850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRPAC42 [Source:UniProtKB/TrEMBL;Acc:D7KWN9] MVTKAEKQFAKNFNIDDLPDVPTGLPPHLKAQQTGVVSNNNAPLHTASAIYSGSYVSSLGVDDSVKLGNFYENFKVDVISLTKTDMEFDMIGIDAAFANAFRRILIAELPSMAIEKVLIAYNTSVIIDEVLAHRMGLIPIAADPRLFEYLSENDQANEKNTIVFKLHVKCPKNRPRLKVLTSDLKWLPNGSEFLRESGGSTSKPKTYTSFSCSQDSLPEFANNPITPCDLDILIAKLAPGQEIELEAHAVKGIGKTHAKWSPVGTAWYRMHPEVVLRGEVEDELAERLVKVCPQNVFDIEDMGKGRKRATVAQPRKCTLCQECVRDDDLVERVDLGSVRNHFIFNIESTGSLTPDVLFTEAVKILEAKCETVIADC >fgenesh2_kg.2__380__AT1G60810.1 pep chromosome:v.1.0:2:2904301:2907369:1 gene:fgenesh2_kg.2__380__AT1G60810.1 transcript:fgenesh2_kg.2__380__AT1G60810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate lyase A-2 [Source:UniProtKB/TrEMBL;Acc:D7KWP3] MARKKIREYDSKRLLKEHFKRLSGQELPIRSVQINQETDLNELVEKEPWLSSEKLVVKPDMLFGKRGKSGLVALKLDFADVATFVKERLGKEVEMSGCKGPITTFIVEPFVPHNEEFYLNIVSDRLGCSISFSECGGIDIEENWDKVKTITIPTGASLTPEICAPLVATLPLEIKGELEDFIQVIFTLFEDLDFTFLEMNPFTLVDGKPYPLDMRGELDDTAAFKNFKKWGDIEFPMPFGRVMSSTESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPKEDEVLQYARVVIDCATANPDGKSRALVIGGGIANFTDVAATFNGIIRALKEKEAKLKAARMHIFVRRGGPNYQKGLAKMRSLGDEIGVPIEVYGPEATMTGICKEAIQYITAAA >fgenesh2_kg.2__381__AT1G49850.1 pep chromosome:v.1.0:2:2915600:2916926:1 gene:fgenesh2_kg.2__381__AT1G49850.1 transcript:fgenesh2_kg.2__381__AT1G49850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KWP5] SRPGRSDPDLESDSSSYRHYSHHHHHRRHGVNHHNQRHDSDGCDPLRRPTPRLRRFCHLLERSRPIRDVQGTGQYLNTDSTDIDTQSSSFVNLNGSERLPGAVLLARARLFERLRGVSLSSNSRSNRVSLGDDQRESSFHSINGDPIFQLEGLQVTYECNKKPQGLTQDAINCLHRQTFSSAEVNTEMKDCSICLESFTKGDMLISLPCTHSFHSSCLNPWLRACGDCPYCRRAIAKE >fgenesh2_kg.2__382__AT1G60800.1 pep chromosome:v.1.0:2:2919944:2923795:1 gene:fgenesh2_kg.2__382__AT1G60800.1 transcript:fgenesh2_kg.2__382__AT1G60800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nsp-interacting kinase 3 [Source:UniProtKB/TrEMBL;Acc:D7KWP6] MESVRLGFLVLVWLLDVSTATLSPTGVNYEVTALVAVKNELNDPYNVLENWDVNSVDPCSWRMVTCTDGYVSGLVLPSQSLSGTLSPRIGNLTYLESVLLQNNAITGPIPETIGRLEKLQTLDLSNNSFTGEIPASLGELKNLNYLRLNNNSLLGTCPASLSKIEGLTLVDISYNNLSGSLPKVSARTFKVIGNALICGPKAVSNCSAVFPEPLTLPQDGPPDESGTRTNGHHVALAFAASFSAAFFVFFTSGMFLWWRYRRNKQIFFDVNEQYDPEVSLGHLKRYTFKELRSATNHFNSKNILGRGGYGIVYKGHLSDGTLVAVKRLKDCNIAGGEVQFQTEVETISLALHRNLLRLRGFCSSNQERILVYPYMPNGSVASRLKDNIRGEPALDWSRRKKIAVGTARGLVYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRSAHQKGVMLDWVKKLHQEGKLKQLIDKDLNDKFDRVELEEIVQVALLCTQFNPSHRPKMSEVMKMLEGDGLAERWEATQNGTAEHQPPPLPPGMVSSSPRVRYYSDYIQESSLVVEAIELSGPR >fgenesh2_kg.2__383__AT1G60790.1 pep chromosome:v.1.0:2:2924962:2927013:1 gene:fgenesh2_kg.2__383__AT1G60790.1 transcript:fgenesh2_kg.2__383__AT1G60790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKKVLFPDQILSSRRNILTRFGLGIAASFLLLTLLSFTSSSFNVPFVSPLLQGLKSSNLNTSSSVKQVNEKPEVVNLTDKVPGVKVPSFDAEHRSGTTVDAGSKNTTLSEEGKVSNFDSGQRSGEVVKNSSLPEEGKVSVADDKNTLEVNATTSVGNSSSLASDCDIYDGSWVRADDETMPYYPSGSCPYIDRDFNCHANGRPDDAYAKWRWQPNGCDIPRLNGTDFLDKLRGKKLVFVGDSINRNMWESLVCILRHSLKDKKRVYEISGRREFKKKGFYAFRFEDYNCTVDFVGSPFFVRESSFKDVNGTTLETLRLDMMDKTTSMYRDADILIFNTGHWWTHDKTKLGENYYQEGNVVYPRLKVLEAYKRALITWAKWVDKNIDRSQTHVVFRGYSVTHFRGGPWNSGGQCHKETEPIFNTRYLAKYPSKMKALEYILRDTMKTPVIYMNISRLTDFRKDGHPSIYRMVYRTEKEKREAVSHQDCSHWCLPGIPDTWNQLLYVSLLKAGLASKW >fgenesh2_kg.2__384__AT1G60780.1 pep chromosome:v.1.0:2:2960043:2963119:1 gene:fgenesh2_kg.2__384__AT1G60780.1 transcript:fgenesh2_kg.2__384__AT1G60780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7KWQ1] MAGAASALFLLDIKGRILVWRDYRGDVSAAQAERFFTKLIEKEGDSQSNDPVAYDNGVTYMFVQHSNVYLMIASRQNCNAASLLFFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEARILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIQYKKNEVFLDVIENVNILVNSNGQIVRSDVVGALKMRTYLTGMPECKLGLNDRVLLEAQGRATKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGAFDLMTYRLSTQVKPLIWVEAQIESHSRSRVEMLIKARSQFKERSTATNVEIELPVPTDASNPTVRTSLGSASYAPEKDALVWKIKSFPGNKEYMLRAEFHLPSITAEEATPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLV >fgenesh2_kg.2__385__AT1G60770.1 pep chromosome:v.1.0:2:2963370:2965379:1 gene:fgenesh2_kg.2__385__AT1G60770.1 transcript:fgenesh2_kg.2__385__AT1G60770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KWQ2] MAMRHLSRSRDVTKRSTKKYIEEPLYHRLFKDGGTEVKVRQQLNQFLKGTKHVFKWEVGDTIKKLRNRGLYYPALKLSEVMEERGMNKTVSDQAIHLDLVAKARGITAGENYFVDLPETSKTELTYGSLLNCYCKELLTEKAEGLLNKMKELNITPSSMSYNSLMTLYTKTGQTEKVPAMIQELKAENVMPDSYTYNVWMRALAATNDISGVERVIEEMNRDGRVAPDWTTYSNMASIYVDAGLSQKAEKALQELEMKNTQRDFTAYQFLITLYGRLGKLTEVYRIWRSLRLAMPKTSNVAYLNMIQVLVKLNDLPGAETLFKEWQANCSTYDIRIVNVLIGAYAKEGLIEKAKELKEKAPRRGGKANAKTWEIFMDYYVKSGDMAHALECMSKAVSIGKGDGGKWIPSQETVRTLMSYFEQKKDVNGAENLLEILKNGTDNIGAEIFESLIRTYAAAGKSHPAMRRRLKMENVEVNEVTKKLLDEVSQEV >fgenesh2_kg.2__388__AT1G60730.1 pep chromosome:v.1.0:2:2979982:2981887:1 gene:fgenesh2_kg.2__388__AT1G60730.1 transcript:fgenesh2_kg.2__388__AT1G60730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7KWQ6] MAEPCRVRRMKLGSQGLEVSAQGLGCMGLSAFYGAPKPETEAIALIHHAIHSGVTFLDTSDIYGPETNEVLLSKALKDGVREKVELATKYGIRYAEGKVEFNGDPAYVRAACEASLKRLDVTCIDLYYQHRIDTRVPIEITLGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITALQIEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFASGPNLVENLDNNDVRKTLPRFQQENLVHNKILFEKVSAMSEKKGCTPAQLALAWVHHQGDDVCPIPGTTKIENLNQNIGALSVKLTPEEMSELESLAQPESVKGERSISILTTFKNSETPPLSSWKAA >fgenesh2_kg.2__38__AT1G64405.1 pep chromosome:v.1.0:2:220203:220632:-1 gene:fgenesh2_kg.2__38__AT1G64405.1 transcript:fgenesh2_kg.2__38__AT1G64405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWLQGEGEDGKIEVTERAKESFKLDGDDNGDGHGGMKVKIVLTRHELDMFLLQMNRNHDGNLMITRDVMVELEKRIIRASSLSSPSSMAWEPSLESIVECPEVQEMDR >fgenesh2_kg.2__390__AT1G60710.1 pep chromosome:v.1.0:2:2983712:2985907:1 gene:fgenesh2_kg.2__390__AT1G60710.1 transcript:fgenesh2_kg.2__390__AT1G60710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEACGVRRMKLGSQGLEVSAQGLGCMGLSAFYGAPKPETDAIALIHHAIHSGVTFLDTSDIYGPETNEVLLGKALKDGVREKVELATKFGISYAEGKREVRGDPEYVRAACEASLKRLDIACIDLYYQHRVDTRVPIEITMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDVEEEIIPTCRELGIGIVAYSPLGRGFFASGPKLVENLEKDDFRKALPRFLEKNLDHNKIVYEKVCAISEKKGCTPAQLALAWVHHQGDDVCPIPGTTKIENLNQNIGALSVKLTPEEMTELEAIAQPGFVKGERYSSMIPTFKNSETPPLSSWKAA >fgenesh2_kg.2__392__AT1G60680.1 pep chromosome:v.1.0:2:2994755:2996351:1 gene:fgenesh2_kg.2__392__AT1G60680.1 transcript:fgenesh2_kg.2__392__AT1G60680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 2 [Source:UniProtKB/TrEMBL;Acc:D7KWR1] MAEACRVRRMKLGSQGLEVSAQGLGCMALSARYGAPKPETEAIALLHHAINSGVTFFDTSDMYGPETNELLLGKALKDGLKGKVEIATKFGFFVIEGEISEIRGDPEYVRAACEASLKRLDIACIDLYYQHRVDTRVPIEITMGELKKLVEEGKINYIGLSEASASTIRRAHAVHPITAVQIEWSLWSRDAEEDIIPTCRELGIGIVAYSPLGRGFLASGPKLAENLEDDDFRKTLPRFQQENLDHNKILFEKVSAMSAKKGCSPAQLALAWVHHQGADVCPIPGTTKIENLNQNIGALSVKLTTEEMFELESLAQPESVQGERYMASVSTFKNSDTPPLSSWKAA >fgenesh2_kg.2__398__AT1G60620.1 pep chromosome:v.1.0:2:3022430:3024871:-1 gene:fgenesh2_kg.2__398__AT1G60620.1 transcript:fgenesh2_kg.2__398__AT1G60620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRPAC43 [Source:UniProtKB/TrEMBL;Acc:D7KWR8] METKEVTRIVTDEEKQFAKNFNIFDLPDVPTGLPPHLELQRTRAVCKNDAPIHPTSVLYSGAYASMGVDNSVRLENFSEDFKVDVISLTETYMVFDMIGVPAGIANAFRRILLAELPSMAIEKVFVANNTSVIQDEVLAHRLGLIPIAADPRLFEYLSENDQPNEKNTIVFKLHVKCPKGDPRRKVLTSELKWLPNGSELVKESGGLTTKPKTYTSFSHSQDSFPGFAKNPITPSFQDILIAKLGPGQEIELEAHAVKGIGKTHAKWSPVATAWYRMLPEVILLKEFEGKHAEELVKVCPKKVFDIEDMGQGRKRATVARPRDCSLCRECIREGVEWAKQVDLRRVKNHFIFTIESTGSQPPDVLFNEAVKILEDKCERVISELS >fgenesh2_kg.2__399__AT1G60610.1 pep chromosome:v.1.0:2:3026578:3028975:1 gene:fgenesh2_kg.2__399__AT1G60610.1 transcript:fgenesh2_kg.2__399__AT1G60610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7KWR9] MLGGNNENPVPQVLMNDSQFQYQTNTSLNQLHLLGTMRPGCTIDPVNYFANDNLAPMIRHNSKRGRETEINNNMQRQQKLQISLNYNYNNNSVVQDEVPKQNLVSTGLRLSYDDDERNSSVTSANLSITTPVFQSLGDNIRLDLHRQKEELDQFIKFRADQMAKGVRDMKQRHVTSFVTALEKDVSKKLQEKDQEIESMNKKNRELVDKIKQVAVEAQNWHYKAKYNESVVNALKINLQQVMSHGNDNNAAGVVADHHQMKEGFGDSEIDDEAASYNYLNIPGIPSAAMRCKSCNVKDVSVLLVPCRHLSLCKDCDVFTGVCPVCQSLKTSSVQVFFS >fgenesh2_kg.2__39__AT1G64400.1 pep chromosome:v.1.0:2:242469:247192:1 gene:fgenesh2_kg.2__39__AT1G64400.1 transcript:fgenesh2_kg.2__39__AT1G64400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRYIFEVEKGKQGVHGGSPSVGPVYRSIYAKGGFPEPADDLVSAWDIFRLSVKKSPDNPMLGRREIVDGKAGKYVWQTYKEVYDVVIKLGNSIRTIGVGKGEKCGIYGANSPEWIISMEACNAHGLYCVPLYDTLGAGAIEFIICHAEVSLAFSEEKKISELLLTAPKSTRYLKNIVSFGEVTNGQRAEAERHGLTIYSWDQFLKLGEGKHYELPEKRSSDVCTIMYTSGTTGDPKGVLLTNESIIHLLEGVKKLLKSINEELTSKDVYLSYLPLAHIFDRVIEELCISEAASIGFWRGDVKILIEDISALKPTVFCAVPRVLERIYTGLQQKLSDGGFIKKKLFNFAFNFKHKNMTKGQPHEQASPMADRVVFKKVKEGLGGNVRLILSGAAPLAAHIESFLRVVACAHVLQGYGLTESCGGTFVSIPNELSMLGTVGPPVPNVDIRLESVPEMGYDALASNPRGEICIRGKTLFSGYYKREDLTQEVLIDGWLHTGDVGEWQPDGAMKIIDRKKNIFKLSQGEYVAVENLENIYSHVAAIESLWVYGNSYESYLVAVVCPSKIQIEHWAKEHSVSGDFESICRNQKTKEFILGEFNRVAKDKKLKGFELIKGVHLDTVPFDMERDLITPSYKKKRPQLLKYYQKEIDKMYNKDKQLKL >fgenesh2_kg.2__3__AT1G64700.1 pep chromosome:v.1.0:2:29716:30798:1 gene:fgenesh2_kg.2__3__AT1G64700.1 transcript:fgenesh2_kg.2__3__AT1G64700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLFGGLGDEEEDLLIKVIKSDGGVLEFYSPVTAGYVSHEFSGHALFSALDLLWKPLPHDHLLVPGQSYYLFPNIVSDELKTFVGSCHVRSNSESLPAITPYRMSLDYNHRVLKRSYTDVFSRNNHTRTRQKEKKTRRRRTISKGGIWKVKLIINTEELLQILSEDGRTNELIESVRAVAKGENVVTSSITSGSSENVFSVVHT >fgenesh2_kg.2__402__AT1G60600.1 pep chromosome:v.1.0:2:3033176:3035908:1 gene:fgenesh2_kg.2__402__AT1G60600.1 transcript:fgenesh2_kg.2__402__AT1G60600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVALVPLTVGASAAYLETGLFLARRYVTLMLSSILIITWLNLSNDVYDFDTGADKNKKESVVNLVGSRTGTLAAAITSLVLGVSGLVWTSLNASNIRAILLLASAILCGYVYQCPPFRLSYQGLGEPLCFAAFGPFATTAFYLLLGSSSEMRHLPLSGRVLSSSLLVGFTTSLILFCSHFHQVDGDLAVGKYSPLVRLGTEKGAFVVRWAIRLLYSMLLVLGLSRILPLPCTLMCFLTLPIGNLVSSYVEKHHKDNGKIFMAKYYCVRLHALFGAALSLGLVIAR >fgenesh2_kg.2__407__AT1G60550.1 pep chromosome:v.1.0:2:3103600:3106392:1 gene:fgenesh2_kg.2__407__AT1G60550.1 transcript:fgenesh2_kg.2__407__AT1G60550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKELGSASRRISVVTNHLIPIGFNPTRVDSVELCSASSMDDSFHKVHGEVPTHEAVWKKAEFFVDGDNKEFVDIIYEKALDEGIAKITINRPERRNAFRPLTVKELMRAFNDARDDSSVGVIILTGKGTKAFCSGGDQALRTQDGYADPNDVGRLNVLDLQIQIRRLPKPVIAMVAGYAVGGGHILHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFMTRFYTASEAEKMGLINTVVPLEMLEKETVKWCREILRNSPTAIRVLKAALNAVDDGHAGLQGLGGDATLLFYGTEEAIEGRTAYMQRRPPDFSKFPRRP >fgenesh2_kg.2__411__AT1G60500.1 pep chromosome:v.1.0:2:3129237:3131627:-1 gene:fgenesh2_kg.2__411__AT1G60500.1 transcript:fgenesh2_kg.2__411__AT1G60500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin family protein [Source:UniProtKB/TrEMBL;Acc:D7KWT6] MVSSKKKVVTKKTSPPLSIAIKKSRGNKDVVPVEAPIVSSYNDQIRPLLDTVDRLRNLNVMREGIHLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQRSSSPEPEIWLEYSDKVVPTDEEHIAEAIRAATDVIAGSGKGVSDAPLTLHVKKAGVPDLTMVDLPGITRVPVNGQPENIYEQISGMIMEYIEPQESIILNVLSATVDFTTCESIRMSKKVDKTGQRTLAVVTKADMAPEGLLQKVTADDVSIVLGYVCVRNRIGEETYEEARMQEELLFKTHPVLSLIDEDIVGNPVLAQKLMLIQASMIARCLPNIVRKINQKLDTAVLELNKLPMVMASTGEALMALMNIIGSAKESLLRILVQGDFSEYPDDQNMHCTARLADMLSQFSDDLQAKPKDVTEFLMDEIKILDECKCVGLPNFIPRSAFFAILSQHVDEIHDKPVEFINKIWDYIEVVLSSVISKHSDNFPQIQSSIKRAGRNLISKIKEQSVNRVMEIVEMEKLTDYTCSPEYMTSWTQKTGEQESFMYDVLKNGNKPDYFSMSGFGNVKISHLRKYHAHLLIPAFDMKIRITSYWNIVLRRIVDNLALYLQLSVKSLVNCQFQKEIVAEMVDPRDGGGVEKMLEESPLVASKREKLKNSIKLLKESKDAVAAIVDQNC >fgenesh2_kg.2__412__AT1G60490.1 pep chromosome:v.1.0:2:3151726:3156489:1 gene:fgenesh2_kg.2__412__AT1G60490.1 transcript:fgenesh2_kg.2__412__AT1G60490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATVPS34 [Source:UniProtKB/TrEMBL;Acc:D7KWU0] MGANEFRFFLSCDINSPVTFRIEKLDGNLPVKKSSDSGVVSVAEEKKPELYIECALYIDGAPFGLPMRTRLKTTGPPYCWNELITLSSKYRDLTAHSQLAITVWDVSCGKTEGLIGGATVLLFNSKMQMKSGKQKLRLWQGKEADGSFPTSTPGKVPRHERGELERLEKLMNKYERGQIQSIDWLDRLMLKSLDTIKDQESSKHGSSHLFVVIDFCSFEHRVVFQESGANLFITAPIGSTNEFVTVWDTELGKTNPSENKQLKLARSLDRGIIDRDLKPSNIERKSIQRVLKYPPTRTLSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQAIQLMYKWEMIDVCDALELLSPLFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDRSCLSQFLVQRALQNIELASFLRWYVAVELHDHVYAKRFYSTYELLEENIIKLPPGVNGEDGYQLWQSLVRQTELTAQLCSITREVRNVRGNTQKKIEKLRQLLGGLLSELTYFEEPIRSPLTPNVLIKGIVAGESTLFKSALHPLRLTFRTPEEGSCKLIFKKGDDLRQDQLVVQMVWLMDRLLKLENLDLCLTPYKVLATGHDEGMLEFIPSRSLAQILSEHRSITSYLQKFHPDEHAPFGITATCLDTFIKSCAGYSVITYILGIGDRHLDNLLLTDDGRLFHVDFAFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFHLMAGSTIPDIASDPEKGILKLQEKFRLDMDDEACIHFFQDLINESVSALFPQMVETIHRWAQYWR >fgenesh2_kg.2__413__AT1G60470.1 pep chromosome:v.1.0:2:3165035:3166647:1 gene:fgenesh2_kg.2__413__AT1G60470.1 transcript:fgenesh2_kg.2__413__AT1G60470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KWU2] MAPQIPVNSIYLSEKAHQAPPKRAYVTFLAGNGDYVKGVVGLAKGLRKVKSAYPLVVAMLPDVPEEHREILRSQGCILREIEPVYPPENQVEFAMAYYVLNYSKLRIWNFEEYLKMIYLDADIQVFENIDELFDLPDGYFHAVMDCFCEKTWSHSLQYSIGYCQQCPDKVTWPEDMESPPPPLYFNAGMFVFEPSPLTYESLLQTLEITPPSPFAEQDFLNMFFEKVYKPIPLVYNLVLAMLWRHPENVELEKVKVVHYCAAGSKPWRYTGEEANMDREDIKMLVDKWWDVYNDESLDFKSIIPADVEETVTKSSILASVLEPEITYFPAPSAA >fgenesh2_kg.2__415__AT1G60460.3 pep chromosome:v.1.0:2:3166861:3170054:-1 gene:fgenesh2_kg.2__415__AT1G60460.3 transcript:fgenesh2_kg.2__415__AT1G60460.3 gene_biotype:protein_coding transcript_biotype:protein_coding METTAPVPKLLLQLISSAFQRCRLSEDLCRLSVLLDQSTNNDPPITCISIADTGIGCNLEEFQILRCPREFNGAKIWDGLLSVKTTCFTDDEVYYYHINLDEYIANKRLKRQPSQAKNGAKFSGTEVSLTVFGSMDVLVAPIIGFFQKVFPSVLHNVTMDLMVKQGDSPGNQTQYVFAVNADKTPCFTASNLERLKSGLEDYVLRHGNCLDTMCDYCFSDREHLKAGSGTLCQEDKHKRVGGTMEVVIVISDLLETTQHCSRSCDGKTEVLYFDNFSPSPVPHLALSALKKIDWKNYGLILANVNDQDGHVFLEWENFPSYVQIQISLHWYHNQYPARQKNGPGISFLKKGMKNALDNLKAKHEGFLLSSHARKICSYVPDLARSIAGLIFSSTDLDFQGDCLSVLGFQTQKVERDTVEDYIQRKIVTVIGMNERKPQKDQEAAPFLFFDGESETSFFEDEEVEGEYYSSSLE >fgenesh2_kg.2__418__AT1G60440.1 pep chromosome:v.1.0:2:3189420:3192274:1 gene:fgenesh2_kg.2__418__AT1G60440.1 transcript:fgenesh2_kg.2__418__AT1G60440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCOAA/ATPANK1 [Source:UniProtKB/TrEMBL;Acc:D7KWU6] MDSTKKISHLALDIGGTLIKLVYFSANGDYSEESRNGCSVVHGKLCFAKFETRKIDDCLEFIRFNILHHSGVQHPNGVAHDKLYVKATGGGAFKFADLFKEKLGILFDKEDEMHSLVGGVNFLLKTVPREAFTYLDGQKEFVEIDHNDLYPYLLVNIGSGVSMIKVDGDGKYERISGTSLGGGTFLGLGKLLTKCKSFDELLELSHHGNNRVIDMLVGDIYGGTDYSKIGLSSTAIASSFGKAISDGKELEDYQPEDVARSLLRMISNNIGQIAYLNALRFGLKRIFFGGFFIRGLEYTMDTISVAVHFWSRGEAKAMFLRHEGFLGALGAFTSYSNQSHNDLKPHHHTVQRAILNCSGDNLRHIPVTSNLNDSETIECSINLV >fgenesh2_kg.2__41__AT1G64385.1 pep chromosome:v.1.0:2:261101:263821:-1 gene:fgenesh2_kg.2__41__AT1G64385.1 transcript:fgenesh2_kg.2__41__AT1G64385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLVLLLCIALIFVADTKVYFTIEISSITNSNLTDTRFGGGSENVTDSSKSITIDHSKNSTNDDDTQLGDGSKMIGSDSSKSGESENTKEEDAMSDSSRKKEGFHGEECDPSNMCTDDQHEFAACLRVPGNDAPHLSLLIQNKGKRPLIVTITAPGFVRLEKDKVQLLQNEDTKVKVSIKKGGSNDSAIVLASSKGRCSLELKDLAAAHETESDDTVSVSRPSILYISSRTLIVIIMISFLVLSLVIIPVIIHVYKNKSRGNNKYQRLDMELPVSNPALVTKSDQESGDDGWNNNWGDDWDDENGGGDEEQPNTPVLPLTPSLSSRGLAPRRLSKEGWKD >fgenesh2_kg.2__420__AT1G60410.1 pep chromosome:v.1.0:2:3202577:3204292:-1 gene:fgenesh2_kg.2__420__AT1G60410.1 transcript:fgenesh2_kg.2__420__AT1G60410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KWV0] MARTEVSGKDWLSDLPCHLLCRILSKLSTKESVRTSILSRRWSNLWSLVSVLDLDFQDFKGVHDMGEFIDSFMESHEELGLKLKRFNLFYAVHEHFHKVFVRRLNKVVKLGVCDLTIQNMVDVDEALVRMPLSLYSCATLVNLIVYCVVFDHPGSKSVSLPSVKKMYFEGVKFDGHSVLETLISSCPVLEELTVIPHPEDYLEVIRVRSQSLESFRLESKRFECDNPNVEIDAPSLKFMSIFDNKPESLKIHRIGPFAEVTVDVEFDVEYDDPSEIAKVHNFLVGLSSFHELTISARTLESIDEYSKVEPLPPFSNLFRLDASLVESSWEVLPAFLGCCINLDSLVLELDHVPEMEEIKLSPVPQCVLSSLDFLQLKTPSTPSKMKLATYFRKNCTTLTKMLLSGR >fgenesh2_kg.2__421__AT1G60390.1 pep chromosome:v.1.0:2:3216995:3219165:1 gene:fgenesh2_kg.2__421__AT1G60390.1 transcript:fgenesh2_kg.2__421__AT1G60390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIEATLFLCFFFIFSSSHVHFAGAKQTAGNITASENPFTPKASLIRYWNNHINGDSPKPSFFLSKASPLTAVDSTRFASLASTHVLHTRHSDFCSAAKLFCFPELANAHSVDKHGDDVSFAAYSGKNFTNYGSDRLSGADSFKNYSGGDNIAVDSFRRYSRNSAGHDDGFTNYAGEVNVADQSFTTYATGTTGGSGEFTSYNTNANEPNGRFTSYSDKANGRSQTFTTYSDNGNTGDQTFTSYSKNGNGAPNEFSGYGTGSNVVITGFTKYGETANGANDSFTSYGENGNVPVNDFKGYGDGGNGAVYGFKNYRDQSNIGVDSFSSYAKNSNNEKVNFVNYGKSFNLGSDNFTGYGQGNVGGNVSFKTYGQGQSFKVYTKDGVVFAHYSNNVSSNGKTVNKWVEEGKFFRESMLKEGTLMQLPDIKDKMPKRTFLPRNIVSNLPFSSSEIGEIWGVFGAGENSSMAGIISSAVSECERPASKGETKRCVGSAEDMIDFATSVLGSGVVVRTTENVVGSKKKVVIGKVNRINGGDETRAVSCHQSLYPYLLYYCHSVPRVRVYETDLLDPKSLEKINHGVAICHIDTSAWSPSHGAFLALGSGPGRIEVCHWIFENDMTWNIID >fgenesh2_kg.2__422__AT1G60350.1 pep chromosome:v.1.0:2:3229770:3230726:1 gene:fgenesh2_kg.2__422__AT1G60350.1 transcript:fgenesh2_kg.2__422__AT1G60350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC024 [Source:UniProtKB/TrEMBL;Acc:D7KXA4] MEDDAAFDLIKAELLNAEDEVIILRYLKRMIVNGDSWPDHFIKDADVFNKNPNVVFDAESPSFVIVKPRTEICGGTDGCESGCWRIMGREKLIKSKKTGKILGFKKILKFCLKRKPREYKRSWVMEEYRLTNNLNWKQDHVICKIRFLFESEISFVLAKHFYTTSESLPRNELLPAYGFLSSDKQVEDISYLVTIMTSEGLNDWPSYVTNDVYCLHPLELADLQDRMFHIYGTLIFSNKTCGKTDRCNGGYWRILHRDKLIKSKSGKTIGFKKVFKFYETEKERYFCDEEDVKITWTIEEYRLNVKQNKFVCVIKVYL >fgenesh2_kg.2__426__AT1G60240.1 pep chromosome:v.1.0:2:3256530:3257246:1 gene:fgenesh2_kg.2__426__AT1G60240.1 transcript:fgenesh2_kg.2__426__AT1G60240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSRRDQSIEDAIVANYLKMMIDNVIVWPRHFLRSQDVYCKNPWTLFVTRDPMVLQAGGYFFVNRSVNSGKTDGCEYGCWRIIGRDKVIKSVTTGKILGLKKVYKFCKTEEPRSFIKFVEMEKRRARDKRIWAMEEYRFASAWKQDYVICKIRLLDPQPFEYMLSHHIRGYYK >fgenesh2_kg.2__428__AT1G60220.1 pep chromosome:v.1.0:2:3265598:3269573:-1 gene:fgenesh2_kg.2__428__AT1G60220.1 transcript:fgenesh2_kg.2__428__AT1G60220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-type peptidase [Source:UniProtKB/TrEMBL;Acc:D7KXB3] MTKRKKEVVDVDCSEKKDFVIDWSSAMDKEDEVPELEIVNTTKPPPPQTPTFLSDDQTDSQISLTDRALDEQLERSKTNLVTLGPGLPDKGERIRLRIVYLEEEKQRRVLDRSKMEVDRSSKVVSSTSSGSDVLIQGKAASKDPSRQGKTDSKDTSKQGNAASKDISKQGNTDSKEVSRSTFSAFFSKPKTDTQSKKAFGKELEDLGCESKKHKADRKPVTRLSSGWRLLSDIGNAEHSEKQLDSGFKGSNGNQKSKESYGKKKRKESSIYSLLDDDDDDDNDPIGHETPREWSWQESPSESSKRRKKSEDIVINVDEEEPQPSTVAEQAVELPEGLLEDICYPSRDDPHLVQVCLKDLECLAPREFLTSPVMNFYIRFLQQQISSSNQISADCHFFNTYFYKKLSDAVTYKGNDKDAFFVKFRRWWKGIDLFRKAYIFIPIHEDLHWSLVIVCIPDKKDESGLTILHLDSLELHSRKSIVENVKRFLKDEWNYLNQDDYSLDLPISEKVWKNLPRRISEADIQVPQQKNDFDCGPFVLFFIKRFIEEAPQRLKRKDLRMFDKKWFRPDEASALRIKIRNTLIELFRVNDQTD >fgenesh2_kg.2__42__AT1G64380.1 pep chromosome:v.1.0:2:279405:280913:1 gene:fgenesh2_kg.2__42__AT1G64380.1 transcript:fgenesh2_kg.2__42__AT1G64380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNDLFQTNFSPKISEIRASLSQIILAGGPNTLDSIFSLLTPSTVESAATSFNNLNPQPPPQLGSSVYLRQRDIIEKFHLQNRAISSTHPPLFSSSFDHHQTSELMLQAAAGTPAAAFAAALAAGRTMKKKKLYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYDTAEAAAYAYDRAAYKLRGEYARLNFPNLKDPSELLGLGDSSKLIALKNAVDGKIQSICQRVRKERAKKSGKVSKNPSATADSSCLSSPEILSSSPATTTTTAVNSEDSYWVSPMGLCNSEISSPASVSVSDEIPAMTTATAEEEAMMGVDTDGFLLARMPSFDPELIWEVLAN >fgenesh2_kg.2__430__AT1G60190.1 pep chromosome:v.1.0:2:3306758:3308992:-1 gene:fgenesh2_kg.2__430__AT1G60190.1 transcript:fgenesh2_kg.2__430__AT1G60190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7KXB5] MIHTQTGSNRRILTFPAVNPCESIAITTLVDSLLQLAGEILSFKPKDFSTNKRSVKETLRHIQSLVIFFEELRIQIRVGSISASRSAILSLSELHVIFQKLKFLLEDCTREGAKLYMLMNSGQVSAHFRALTRSISTSLDTFPVGSVDLPGEVNELIYLVMRQTRKSEARPDREDKRAIDSVYWFFNLFENRINPNSDEILRVLDHIGVRKWRDCVNEIDFIREEISTGKKSNIEIELLSNLMGFICYCRCVILRGIDVDDEEKDKEEDDMMMIRSLNVDDLRCPISLEIMSDPVVLETGHTYDRSSITKWFASGNITCPKTGKTLVSTMLVDNFSVKQVIQSYCKQNGVVLGQKGKKKTIVAESLAAEEAGKLTAEFLAGELINGDEEEMVKALVEIRILTKTSSFFRSCLVEAGVVESLMKILRSEDQRVQETAMAGIMNLSKDITGKTRIAGEDGGGLRLIVDVLNEGARRESRQYAAAALFYLSSVGDYSRLIGEISDSIPGLVRIVKSCDYGDSAKRNALIAIRSLLINQPDNHWRVLAAGVVPVLLDLVKSEEISDGVTADSMAILAKMAEYPDGMISVLRRGGLKLAVKILGSSEVSSATKQHCVALLLNLCHNGGSDVVGSLAKNPSIMGSLYTASSNGEFGGGKKASALIKLIHEFQERKTGPVEPVIERERFIHAW >fgenesh2_kg.2__431__AT1G60170.1 pep chromosome:v.1.0:2:3311229:3313870:-1 gene:fgenesh2_kg.2__431__AT1G60170.1 transcript:fgenesh2_kg.2__431__AT1G60170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1220 [Source:UniProtKB/TrEMBL;Acc:D7KXB6] MATLEDSFLADLDELSDNEAELDENDGDVGKEEEDVDMDMADLETLNYDDLDSVSKLQKSQRYADIMQKVEEALGAEKGTVLEDDPEYKLIVDCNQLSVDIENEIVIVHNFIKDKYKLKFQELESLVHHPIDYACVVKRIGNETDLTLVDLADLLPSAIIMVISVTASTTKGTALPEDVLQKVLEACDRALDLDSARKKVLEFVESKMGSIAPNLSAIVGSAVAAKLMGTAGGLSALAKMPACNVQVLGLKRKNLAGFSSATSQSRVGFLEQTEIFQSTPPGLRARASRLVAAKSTLAARVDATRGDPSGTSGKAFREEIRKKIEKWQEPPPARQPKPLPVPDSEPKKRRGGRRLRKMKERYAVTDMRKLANRMAFGTPEESSLGDGLGEGYGMLGQAGSNRLRVSSVPSKLKINAKVAKKLKERQYAGGATTSGLTSSLAFTPVQGIELCNPQQALGLGSGTQSTYFSESGTFSKLKKI >fgenesh2_kg.2__435__AT1G60070.1 pep chromosome:v.1.0:2:3372984:3379918:1 gene:fgenesh2_kg.2__435__AT1G60070.1 transcript:fgenesh2_kg.2__435__AT1G60070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin binding protein [Source:UniProtKB/TrEMBL;Acc:D7KXC6] MNPFSSGTRLSDMIRAIRASKTAAEERAVVRKECAAIRASINENDQDYRHRDLAKLMFIHMLGYPTHFGQMECLKLIASPGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHTNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCAIRIIRKVPDLSENFINPSAALLKEKHHGVLITGVHLCTEICKVSSEALEYFRKKCTEGLVKTLRDIANSPYSPEYDVAGITDPFLHIRLLKLLRVLGQGDAEASDCMNDILAQVASKTESNKNAGNAILYECVQTIMSIEENGGLRVLAINILGKFLSNRDNNIRYVALNMLMRSLTVDSQAVQRHRATILECVKDSDASIQKRALELIYLLVNENNVKPLAKELIEYLEVSEQDFKGDLTAKICSIVEKFAPEKIWYIDQMLKVLSEAGTYVKEDVWHALIVVITNAPDLHGYTVRALYRALHTSFEQETLVRVAIWCIGEYADLLVNNAGMLDLEDPITVTESDAVDVVETAIKHHTSDVTTKAMSLIALLKISSRFPSCSERVKSIIGQNKGSFVLELQQRSLEFSSVIQKHQNIRSALVERMPVLDEATFSGRRAGSLPAAVSTSGKSPLSIPNGVAKAAPAPLVDLLDLGSDDTPAPTSSSNNFLQDLLGIDLTQPSAQPGAMQPSKAGADILMDLLSIGAPAPVQNGSTNGDLLSIQDNNAPIASMDTISAPSSMMDLLDGFGPTPPKSEDKGPAYPSIVAFESSSLKIEFNFTKQSENPQTTDIVANFINLTPNVYTDFLFQAAVPKFLQLHLDPASSNSLPANGNIKQTMRVTNSQQGKKPIVMRMRVGYKINGKDVLEEGQINNFPRGL >fgenesh2_kg.2__439__AT1G60010.1 pep chromosome:v.1.0:2:3456551:3457769:1 gene:fgenesh2_kg.2__439__AT1G60010.1 transcript:fgenesh2_kg.2__439__AT1G60010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDAAALVLQHPDGKIDRYYGPISVSEVMRMYPGHYVSLIIPLPEKNIPTTTTTTDDKTERRVVRFTRVKLLRPTESLVLGHAYRLITSQEVMKVLRAKKYAKTKKHQSETSKEKKKPSPEKKIDEESDKNQNLETKDEKQRSVLTNSASSRSKTWRPSLQSISEATS >fgenesh2_kg.2__43__AT1G64370.1 pep chromosome:v.1.0:2:282436:283151:-1 gene:fgenesh2_kg.2__43__AT1G64370.1 transcript:fgenesh2_kg.2__43__AT1G64370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYYENREKDYYEVAQGQRNGYGQSQGHEGYGQSQTRGGYGQIQNREEYSQSQSRPVYGQSPTLNHRSHGGFLDGLFKGQNGQKGQSGLGTFLGQHKSQEANKGQGHGKLIGQHQKKTHETNKGLNGLGMFINNGEKKHKRKSEHKKKNKDGHGSGNESGSSSGSDSD >fgenesh2_kg.2__440__AT1G60000.1 pep chromosome:v.1.0:2:3458581:3459634:1 gene:fgenesh2_kg.2__440__AT1G60000.1 transcript:fgenesh2_kg.2__440__AT1G60000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTVPLSCFKLNHQPNLLHGCSKSSNQTLLKFNFSPLKPFLISSRSGSRRFRVLPETINVKLEEEEKDLDPPAAINTKLYFGNLPYNVDSATLAQIIQDFANPELVEVLYNRDTGQSRGFAFVTMSNVEDCNIIIDNLDGTEYLGRALKVNFADKPKPNKEPLYPETEHKLFVGNLSWTVTSESLAEAFRECGDVVGARVVYDGDTGRSRGYGFVCYSSKAEMETALESLDGFELEGRAIRVNLAQGKKF >fgenesh2_kg.2__441__AT1G59990.1 pep chromosome:v.1.0:2:3461025:3463925:1 gene:fgenesh2_kg.2__441__AT1G59990.1 transcript:fgenesh2_kg.2__441__AT1G59990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSRSVSVLHLCGVSSSAPSKLLSQRFKVSFALAYCSSVSFRLNSLNRSDAKWVRGFASTTEAEVEKKGNDTFFADNTVSWKSLGLSDNVSIALRDSGFDRPSLTQAVCIPSILSGKDVIVAAETGSGKTHGYLAPIIDRLTNTALDSEYTNGEERPFPLKNLSLILCPNVMLCEQVVRMVNGLLGEDGNPLLRVEAVCVPQGWPDRLPDIIVSTPAALLNNIEPKRNRRLEFLRSVKYVVFDEADMLLCGSFQNQIIRLINMLRFDEKQVSRLATSTIGKTMEIDASVPQIDLENEDDAEFDDGSISEEEEEEEEHIDDTAHMPSVEAEAGSDTKKGWRRVRKIYSRSKQYIFIAATLPVNGKKTAGGILKHMFQDAIWVSGNFLHRNSPRLKQKWVEVTVDSQVDALIEAVKNNNNTNTERTMVFANTVEAVEAVADILEKASIQCYRYHKNHKLDERANILADFRETGGVFVCTDAAARGVDVPNVSHVIQADFASSAVDFLHRIGRTARAGQYGTVTSLYTEANRDLVEAIREAVKMGQPVETAFSRKRGFRNKVKKRAFLKAGEAEEPQAVRF >fgenesh2_kg.2__442__AT1G59980.1 pep chromosome:v.1.0:2:3486095:3488915:-1 gene:fgenesh2_kg.2__442__AT1G59980.1 transcript:fgenesh2_kg.2__442__AT1G59980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHSSRSENKDAGEEDELRRRNPYEVLGIPSNSTDQEIKSAYRRMALRYHPDKNPNDPVAADMFKEVTFAYEVLSDPENRRLYDTTGSEAVGPENEDLELDLSSLGAVNTIFAALFNKLGVQIKTTVSANLLGEALNGTVTTLPLMVGQVVSRKVEKQSAHFYSVTLTEEEAQAGLICKVQSSAKNKFKLLYFEQVENGGLSLALQEDSRKTGKLSTAGLYFFGFPVYRFDHRVNSRALSRDPETGFFKRLDTFQPFEITELKAGSHVFAVYGDNFFKSVSYTLEIFSSAPFANEKESLRSTEAQIVSKRSELLKFESEYHEVFAQFTEMASKCTGEVQEIDELLKRRNEICAAYTIFPPSKQGSSKNRSWSKGKSKKKSSLLMEPREEGEVAVREEDGVKKKKWYNIQLRQDKKKN >fgenesh2_kg.2__444__AT1G59960.1 pep chromosome:v.1.0:2:3515510:3522814:1 gene:fgenesh2_kg.2__444__AT1G59960.1 transcript:fgenesh2_kg.2__444__AT1G59960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTSVPTLAIRSGPSGHHSMPVLGFGTAASPIPEPTMLKETVIEAIKLGYRHFDTSPRYQTEEPIGEALAEAVSLGLVRSRSEFFVTTKLWCADAHGGLVVPAIKRSLKNLKLDYLDLYLIHWPVSSKPGKYKFPIDEDDFLPMDFEVVWSEMEECQRLGLAKCIGVSNFSCKKLQHILSLAKIPPSVNQVKTVEMSPIWQQRKLRELCSSNDIVVTAYSVLGSRGAFWGTPKIMESDVLKEIAEAKEKTVAQVSMRWAYEQGVNMVVKSFTKERLEENLNIFDWSLTEEETQRISTEIPQFRNLGGEVYISKKGPIKSVAEMWDGEI >fgenesh2_kg.2__448__AT1G59900.1 pep chromosome:v.1.0:2:3540356:3542930:-1 gene:fgenesh2_kg.2__448__AT1G59900.1 transcript:fgenesh2_kg.2__448__AT1G59900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:UniProtKB/TrEMBL;Acc:D7KXE8] MALSRLTSRSNIITRPFSAAFSRLLSTDTTPITIETSLPFTAHLCDPPSRSVESSSQELLDFFRTMALMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAIGMEAAITKKDAIITAYRDHCIFLGRGGSLYEIFSELMGRQAGCSKGKGGSMHFYKKESSFYGGHGIVGAQVPLGCGIAFAQKYNKEEAVTFALYGDGAANQGQLFEALNISALWDLPAILVCENNHYGMGTAEWRASKSSSYYKRGDYVPGLKVDGMDAFAVKQACKFAKQHALEKGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERIKKLVLSHDLATEKELKDMEKEIRKEVDDAIAKAKDCPMPEPSELFTNVYVKGYGTESFGPDRKEVKASLP >fgenesh2_kg.2__44__AT1G64360.1 pep chromosome:v.1.0:2:283516:284077:-1 gene:fgenesh2_kg.2__44__AT1G64360.1 transcript:fgenesh2_kg.2__44__AT1G64360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRKVEKKPTEMGRQMTHEKSDSDSDNEGTPMTAGGYTEFVARSDSDWDEPFYSGKARSNLTTKETGPTNSYSRKHFSNN >fgenesh2_kg.2__450__AT1G59870.1 pep chromosome:v.1.0:2:3556156:3561724:-1 gene:fgenesh2_kg.2__450__AT1G59870.1 transcript:fgenesh2_kg.2__450__AT1G59870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDR8/PEN3 [Source:UniProtKB/TrEMBL;Acc:D7KXF0] MDYNPNLPPLGGGGGSMRRSISRSVSRASRNIEDIFSSGSRRTQSVNDDEEALKWAAIEKLPTYSRLRTTLMNAVVEDDVYGNQLMSKEVDVTKLDGEDRQKFIDMVFKVAEQDNERILTKLRNRIDRVGIKLPTVEVRYEHLTIKADCYTGNRSLPTLLNVVRNMGESALGLIGIQFAKKAQLTILKDISGVLKPGRMTLLLGPPSSGKTTLLLALAGKLDKALQVSGDITYNGYRLDEFVPRKTSAYISQNDLHVGIMTVKETLDFSARCQGVGTRYDLLNELARREKDAGIFPEADVDLFMKASAAQGVKSSIVTDYTLKILGLDICKDTIVGDDMMRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLNEATVLMSLLQPAPETFDLFDDIILLSEGQIVYQGPRDNILEFFESFGFKCPERKGTADFLQEVTSKKDQEQYWVNPNRPYRYIPVSEFASRYKSFHVGTQISNELAVPFDKSRGHKAALVFDKYSISKRELLKSCWDKEWLLMQRNAFFYIFKTVQIVIIAAITSTLFLRTEMNTRNEGDANLYIGALLFGMIINMFNGFAEMAMMVSRLPVFYKQRDLLFYPSWTFTLPTFLLGIPSSIIESTAWMVVTYYSIGFAPDAGRFFKQFLLVFLIQQMAASLFRLIASVCRTMMIANTGGALTLLLVFLLGGFLLPKKEIPDWWGWAYWVSPLTYAFNGLVVNEMFAPRWMNKMASSNSTIRLGTMVLNTWDVYHQKNWYWIAVGALLGFTALFNLLFTVALTYLNPLGKKAGLLPEEENEDADQGKDPMRRSLSTADGNRRGEVAMGRMSRDSAAEASGGAGNKKGMVLPFSPLAMSFDDVKYFVDMPAEMRDQGVTETRLQLLKGVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGFPKVQETFARISGYCEQTDIHSPQVTVRESLIFSAFLRLPKEVGKDEKMMFVDQVMELVELDSLRDSIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELMLMKRGGQVIYAGPLGQNSHKVVEYFESFPGVPKIPAKYNPATWMLEASSLAAELKLGVDFAELYNQSALHQRNKALVKELSVPPAGASDLYFATQFSQNTWGQFKSCLWKQWWTYWRSPDYNLVRFIFTLATSLLIGTVFWQIGGNRSNAGDLTMVIGALYAAVIFVGINNCSTVQPMVAVERTVFYRERAAGMYSAMPYAISQVTCELPYVLIQTVYYSLIVYAMVGFEWKAEKFFWFLFVSYFSFLYWTYYGMMTVSLTPNQQVASIFASAFYGIFNLFSGFFIPRPKIPKWWIWYYWICPVAWTVYGLIVSQYGDVETPIQVLGGAPGLTVKQYIEDHYGFQSDFMGPVAAVLIAFTVFFAFIFAFCIRTLNFQTR >fgenesh2_kg.2__455__AT1G59840.1 pep chromosome:v.1.0:2:3569760:3571450:-1 gene:fgenesh2_kg.2__455__AT1G59840.1 transcript:fgenesh2_kg.2__455__AT1G59840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARIILQIQIPWNPNRKFSRTPSDFPRFIRAYSSSSSTSQKPRSYRGPKPSKNLVADFISKNDDLVRSLPIYVGGASLLAVLFNRTVSGIAPVADASSSQSRADLLALGLAVTNLLTGLVWLSIRPKSITPVQPDGVEWKVVESGLPASVVSELLWAWESFKVATCCKSLVIVYNGICLIQIGMVAESPEDKKAVVVNTDKLMQGSVYRGVMKSKAQSYLANLSLYPGRSELPFLPANTQAVILQPLGDKGIAVIGGNTIRGFTSADQAWISSIGEKLDATLGRYFIDSDEISRVTV >fgenesh2_kg.2__457__AT1G59830.1 pep chromosome:v.1.0:2:3590348:3592888:1 gene:fgenesh2_kg.2__457__AT1G59830.1 transcript:fgenesh2_kg.2__457__AT1G59830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7KXF7] MPSNGDLDRQIEQLMECKPLGEADVKILCDQAKAILVEEYNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRLTILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIATQFNHNNGLSLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGEKMEQNFLQFDPAPRQVEPDTTRKTPDYFL >fgenesh2_kg.2__458__AT1G59820.1 pep chromosome:v.1.0:2:3593114:3602286:-1 gene:fgenesh2_kg.2__458__AT1G59820.1 transcript:fgenesh2_kg.2__458__AT1G59820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7KXF8] MVRSGSFSVDSSATHRRTPSRTVTLGHIQPQAPTYRTVYCNDRESNQPVRFKVHRNSISTTKYNVFTFLPKGLFEQFRRIANIYFLGISCLSMTPISPVSPITNVAPLSMVLLVSLIKEAFEDWKRFQNDMSINNSTVEILQDQQWVSIPWRKLQVGDIVKIKKDGFFPADILFLSSTNADGICYVETANLDGETNLKIRKALERTWDYLVPEKAYEFKGEIQCEQPNNSLYTFTGNLVVQKQTLPLSPDQLLLRGCSLRNTEYIVGAVVFTGHETKVMMNAMNAPSKRSTLEKKLDKLIITIFCVLVTMCLIGAIGCSIVTDREDKYLGLHKSDWEYRNGLMIGFFTFFTLVTLFSSIIPISLYVSIEMIKFIQSTQFINRDLSMYHAETNTPASARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGISYGCGVTEIERGIAQRHGLKVQEEQRSTGAIREKGFNFDDPRLMRGAWRNEPNPDLCKELFRCLAICHTVLPEGDESPEKIVYQAASPDEAALVTAAKNFGFFFYRRTPTMVYVREAHVEKMGKIQDVAYEILNVLEFNSTRKRQSVVCRFPDGRLVLYCKGADNVIFERLANGMDDVRKVTREHLEHFGSSGLRTLCLAYKDLNPETYDSWNEKFIQAKSALRDREKKLDEVAELIEKDLILIGSTAIEDKLQEGVPTCIETLSRAGIKIWVLTGDKMETAINIAYACNLINNEMKQFVISSETDAIREAEERGDQVEIARVIKEEVKRELKKSLEEAQHSLHTVAGPKLSLVIDGKCLMYALDPSLRVMLLSLSLNCTSVVCCRVSPLQKAQVTSLVRKGAQKITLSIGDGANDVSMIQAAHVGIGISGMEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRICKVVMYFFYKNLTFTLTQFWFTFRTGFSGQRFYDDWFQSLFNVVFTALPVIVLGLFEKDVSASLSKRYPELYREGIRNSFFKWRVVAVWASSAVYQSLVCYLFVTTSSFGAVNSSGKVFGLWDVSTMVFTCLVIAVNVRILLMSNSITRWHYITVGGSILAWLVFAFIYCGIMTPHDRNENVYFVIYVLMSTFYFYFALLLVPIVSLLGDFIFQGVERWFFPYDYQIVQEIHRHESDASKADQLEVENELTPQEARSYAISQLPRELSKHTGFAFDSPGYESFFASQLGIYAPQKAWDVARRASMRSRPKVPKK >fgenesh2_kg.2__45__AT1G64355.1 pep chromosome:v.1.0:2:284893:286331:-1 gene:fgenesh2_kg.2__45__AT1G64355.1 transcript:fgenesh2_kg.2__45__AT1G64355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILINSNSPTLISAVRFVGSSPFTTRGLSQSTVSISRNKSFFLHFTDTKEKKARRDDLRVSIVCNAGGMFPVDPWAPTIDSQSIASQLFAVSLFPYIGFLYFLTKSKSAPKLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDWLHGGAESLLALTNLFIVLGLRQALRKSEDDDDKLGNDEEASTTQEQEKSSV >fgenesh2_kg.2__468__AT1G59750.1 pep chromosome:v.1.0:2:3708931:3713880:-1 gene:fgenesh2_kg.2__468__AT1G59750.1 transcript:fgenesh2_kg.2__468__AT1G59750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:D7KXS0] MAATTHSSVKPGGVLSDALCTELWHACAGPLVTLPREGERVYYFPEGHMEQLEASMHQGLEQQMPSFNLPSKILCKVINIQRRAEPETDEVYAQITLLPELDQNEPTSPDAPVQEPEKCTVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVATDLHNNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGENEELRVGVRRHMRQQTNIPSSVISSHSMHIGVLATAAHAITTGTIFSVFYKPRTSRSEFIVSVNRYLEAKTQKLSVGMRFKMRFEGEEAPEKRFSGTIVGVQENKSSVWHDSEWRSLKVQWDEPSSVFRPERVSPWELEPLVANSTPSSQPQPPQRNKRPRPPGLPSPTTGPSAPVTPDGVWKSPADTPSSVPLFSPPAKAATFGHGGNKSFGVSIGSAFWPSHADSAAESFASAFNNESTEKKQTNGNVCRLFGFELVENVNVDECFSAASVSGAVAVDQPVPSNEFDSGQQSEPLNINQSDIPSGSDDPENSSLRSPQESQSRQIRSCTKVHMQGSAVGRAVDLTRSECYEDLFKKLEEMFEIKGELLKSTKKWQVVYTDDEDDMMMVGDDPWNEFCGMVRKIFIYTPEEVKKLSPKNKLAVNARMQPKTDAEENGNTEGRSSSMAGSR >fgenesh2_kg.2__470__AT1G59740.1 pep chromosome:v.1.0:2:3722391:3726732:-1 gene:fgenesh2_kg.2__470__AT1G59740.1 transcript:fgenesh2_kg.2__470__AT1G59740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7KXS1] MAEINKQSNKWEQEVVSNEMNNWELAEEESVDWRGRPSNPNKHGGMRAALFVIGLQAFEIMGIAAVGNNLITYVINEMHFPLSKAANIVTNFVGTIFIFALLGGYLSDAFLGSFWTIIIFGFVELSGFILLSVQAHLPQLKPPKCNPLIDQACEEAKGFKAMIFFMALYLVALGSGCVKPNMIAHGADQFSQSHPKQSKRLSSYFNAAYFAFSMGELIALTLLVWVQTHSGMDVGFGVSAAAMTMGLISLVSGTMYFRNKRPRRSIFTPIAQVVVAAILKRKLVSPSDPRMLHGDHHVANDVVPSSTLPYTPRFRFLDKACIKIQDTNTKESPWRLCTVTQVEQVKTLISLVPIFASTIVFNTILAQLQTFSVQQGSSMNNRLSNSFHIPPASLQAIPYMMLIFLVPLYDSFLVPFARKLTGHNSGIPPLTRIGIGLFLSTFSMVSAAMLEKKRRDSSVLDGRILSIFWITPQFLIFGVSEMFTAVGLIEFFYKQSAKGMESFLMALTYCSYSFGFYFSSVLVSVVNKITSTSVDSKGWLGENDLNKDRLDLFYWLLAVLSLLNFLSYLFWSRWNIKSSRSNNTNVVGDMNI >fgenesh2_kg.2__471__AT1G59730.1 pep chromosome:v.1.0:2:3753442:3754241:1 gene:fgenesh2_kg.2__471__AT1G59730.1 transcript:fgenesh2_kg.2__471__AT1G59730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:D7KXS6] MGSNVSSVHDVHSSMETNNGLVVEIESRRQWKSLFDSMKGSNKLLVIDFTAAWCGPCKAMEPRVKEIASKYPEAVFSRVDVDRLMDVAGTYRAITLPAFVFVKRGEEIDRVVGAKPDELVKKVEKHRV >fgenesh2_kg.2__473__AT1G59720.1 pep chromosome:v.1.0:2:3770189:3772229:1 gene:fgenesh2_kg.2__473__AT1G59720.1 transcript:fgenesh2_kg.2__473__AT1G59720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLLLHPLSPHIQPANSPSASTAGNHHRRILSLAETCSDMSQLKQLHAFTLRTTYPDEPATLFLYGKILQLSSSFSDVNYAFRVFDSIENHSSFMWNTLIRACAHDVSRKEEAFMLYRKMLERGESAPDKHTFPFVLKACAYIFGLSEGKQVHCQIVKHGFSGDVYVNNGLIHFYGSCGCLDLARKVFDEMPERSLVSWNSMIDALVRVGEYDSALQLFRDMQKSFEPDGYTMQSVLSACAGLGSLSLGTWSHVFLLRNCDVDVAMDVLIKNSLIEMYCKCGSLRMAEQVFQGMRKHDLASWNAMILGFATHGKAEEAMNCFDCMVKEGKNVKPNSVTFVALLIACNHRGMVNKGRQYFDMMVREYGIEPALEHYGCIIDLLARAGYITEAIDMVMSMPMKPDAVIWRSLLDACCKKGASVELSEEIARNIIGTMEDNQSSNSNCSGAYVLLSRVYASANRWNDVGIVRKLMSDHGIRKEPGCSSIEINGISNEFFAGDTSHPQTKQIYQQLKVIDDRLRSIVDATNDSSKEYSLRLHSERLAIAFGLISLPPQTPIRIFKNLRVCSDCHEVTKLISKVFNTEIIVRDRVRFHHFKDGSCSCLDYW >fgenesh2_kg.2__477__AT1G59650.1 pep chromosome:v.1.0:2:3820462:3844805:-1 gene:fgenesh2_kg.2__477__AT1G59650.1 transcript:fgenesh2_kg.2__477__AT1G59650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTPKSCVGGKIRSSKRRKTRTRRKIQKKRVVSSSRLSDGSFDNNHTFRASVDEAWFDSNLAFETDCDDDFHSVQEDILSVNGGERISVSSMSSVRDSNLGGSARNSLSDVISQSKAESALIDAKQPVFIDEISSNAGDSSRKDEGLLENCGILPSNCLPCLNSTVHSIEKRRSLSSSPPSTRKKAALKLSFKWREGHATGPLFSTKMQLQRPMAGSQVPFCPLEKQMFDSWSIIEPGSFRVRSKTYFREKKKEFAPNYAAYNPFGVDVFLSQRKVNHIAQYVELPIVTTTPTKLPSILVVNVQIPLYPAAIFQGETDGEGMNFVLYFKLSDNYLKELPPHFQESIQRLLDDEVEKVRGFTMDTNVPFRERLKILGRVANVDDLQLNGAEKKLMNAYNEKPVLSRPQHEFYSGENYFEIDIDMHRFSYISRKGFEAFLDRLKHCVLDVGLTIQGNKPEELPEQILCCVRLNGIDYMNYHQLALSQEVL >fgenesh2_kg.2__47__AT1G64350.1 pep chromosome:v.1.0:2:286699:288345:-1 gene:fgenesh2_kg.2__47__AT1G64350.1 transcript:fgenesh2_kg.2__47__AT1G64350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSMATLDSGTTCSSWNHSGRRLATGSLDGSFSVYDSSTSSSSTFTCTSKVRVSESSIVKIVWLPSEYGDAVACICEDGSLSTWEELSEDSHALEWKLCKSIKNKSSQVLDVQFGVSRKSLKMVAAYSDGYLRVFELLNPLELKNWQLQAEFQNVIDSLSMLGKPSSLSASVSWNPMKGEEQEPSFVLAFNSDSPHLNSSKIWEFDEAHNRWLAVAELALPEDKGDPVYALSWAPNIGRPYEVVAVATHKGIGIWHVGLAPDLEGRLPVKKVSSLSGHQGEVWQMEWDMSGMTLASTGSDGMVKLWQSKLNGEWHEQATLEPVPS >fgenesh2_kg.2__481__AT1G59620.1 pep chromosome:v.1.0:2:3879115:3888355:-1 gene:fgenesh2_kg.2__481__AT1G59620.1 transcript:fgenesh2_kg.2__481__AT1G59620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTVKEVKEIVYDTEDIIETFLRKEELGRTSGIKKRIKQFACVIPDRRKIAIDMEGLSNRISKVISDMQSLGVQQENVKKLVGHLVEENSSQVVSITGMGGIGKTTLARQVFNHETVKSHFARLAWVCVSQQFTRKYVWQTILRKVGPEYIESEMTEDELQEKLFRVLGTQKALIVLDDIWREEDWDMIEPIFPLGKGWKVLLTSRNEGVALRANPNGFTFKPDCLTLEESWTIFQRIVFPGENTTEYKVDEKMEELGKQMIKHCGGLPLALKVLGGLLVVQFTLDEWKRIYGNIKSHIVGGTSFNDRNLSSVYHILYLSFEELPIYLKHCFLYLAQFPEDFTIDVEKLSYYWAAEGMPRPRYYDGATIREVGDGYIEELVKRNMVISERDARTRRFETCHLHDIVREVCLLKAEEENFIQIVHSTSSENSKSLCKSRRLVVQQCDEPYMEEKLKNPKIRSLLFIEQLRWAVKGSFTRLQLMRVLDLSDVHFGGELPSSIGLLIHLRYLSLYRALVSHLPSSMRNLKMLLYLNLCVDPDEVCSISIPNLLKEMQELKYLSLPLRMHDTHGRVGDLQFMTRLRTLSIYIRGRLTMKTLSSSLSELRRLENLTICYYPMYAPMSAKEGLVLDCANLKHLNLRIYMPRLPDEQQFPSYLRNISLAECCLKEDPMPILEKLIHLNEVSLSHQSFCGKRMVCSGGGFPQLLKLDLCGLDEWEEWIVEEGSMPLLHKLTLRNDPKLKEFPDGLKFITSLKELHVILNNWDFKKKLSRGGEDYYKVQHIPLVRFL >fgenesh2_kg.2__482__AT1G59610.1 pep chromosome:v.1.0:2:3903785:3911710:-1 gene:fgenesh2_kg.2__482__AT1G59610.1 transcript:fgenesh2_kg.2__482__AT1G59610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDELSQLSDSMRQAAALLADEDPDETSSSRRPATSLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIIIDLSREESLSSKAIILQIDNKNQQVSASALRHSLQDRLSKGASGRGRDEIYLKLRTSTAPPLKLIDLPGLDQRIVDDSMIGEHAQHNDAILLVVVPASQASEISSSRALKIAKEYDPESTRTVGIISKIDQAAENPKSLAAVQALLSNQGPPKTTDIPWVALIGQSVSIASAQSGGSENSLETAWRAESESLKSILTGAPQSKLGRIALVDTLASQIRSRMKLRLPNILTGLQGKSQIVQDELARLGEQLVSSAEGTRAIALELCREFEDKFLLHLAGGEGSGWKVVASFEGNFPNRIKKLPLDRHFDLNNVKRIVLEADGYQPYLISPEKGLRSLIKTVLELAKDPARLCVDEVHRVLVDIVSASANATPGLGRYPPFKREVVAIASAALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKGRSSKKGQDAEQSLLNRATSPQPDGPSSTGGSLKSLRDKLMPQDKDKDKDKETPEVSGLKTAGPEGEITAGYLMKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEERNFRGTVTLEECSIEEISDDEGEKSKSSKDKKSNGPDSKGPGLVFKITCRVPYKTVLKAHNALVLKAESMVDKNEWIDKLQKVIQARGGQVGSASMRQSLSEGSLDKMVRKPVDPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKSKEDMLNQLYSSISAIGNERIESLIQEDQNVKRRRDRYQKQSSLLTKLTRQLSIHDNRAAAASSWSDNSGTESSPRTNGGSTGEDWMNAFNAAASGPDSLKRYGSGGHSRRYSDPAQNGEDSSGSGGSSRRTTPNRLPPAPPQSGSSYRY >fgenesh2_kg.2__484__AT1G59590.1 pep chromosome:v.1.0:2:3934800:3935805:-1 gene:fgenesh2_kg.2__484__AT1G59590.1 transcript:fgenesh2_kg.2__484__AT1G59590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPFRSPCSSPKKSRKASKNPYSNRGLDKFSELLSELDEKRQSIYSKKLDSGGPPLVRFVFTSSGECVPVVIRSSYLHKKNKKTKDVVADKVKTEVKEAKTEEIKNIEPETEQKQSCVINENLKKIARPNHFLPVTMILVLVFLVFFGRSVAIMCTCIAWYLVPTIQEQSGNRGSSPAYAMKKKDFARKLSIENRASFNPRTVRDNS >fgenesh2_kg.2__488__AT1G59530.1 pep chromosome:v.1.0:2:3966755:3967183:-1 gene:fgenesh2_kg.2__488__AT1G59530.1 transcript:fgenesh2_kg.2__488__AT1G59530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KXV3] PVHICFDDHDTITGDEITEILAFLQSDESGIPSGTNELVPVDEKKRRRTISNRESAKRSRLKKKKRFEELTEEVNRLNQRNEELKNRLANVVSCGNFISSENNRLKTESVCLEIRLLELYRFLVAIQSPISTRVNYITELEI >fgenesh2_kg.2__490__AT1G59520.1 pep chromosome:v.1.0:2:3969828:3974885:-1 gene:fgenesh2_kg.2__490__AT1G59520.1 transcript:fgenesh2_kg.2__490__AT1G59520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDGEETPSRHEILSMVKKHSKSLGKTSIDEQDASDVEMDSNFWHGVFDVYFIRCMESRRRQDDDLLFFVRKLSCKSYGLTENEEAPAPYFVRRWAPKLDELLGESLAEVDWRKSFYLNMIAHTSYTVTVAICSNEALKTYQGNKDTKLSPIYKVVKTVYASPSRVNFHLDSKKEVETTPAYPEICFAVDDFDSTFDAVVLTEKDHCYCVLLNSHDGAAFPSATVKDSSDSNTSADPRSVKDPKVTLFSGFVSYQMVREAYEGERNRFGSLLSLGHNTGKADRLYMRGPGGRGEVEVAVSGVIDQSQVVLGPVSPMSSKKSIDLGSIFRKAASVASVAAKHAIAAATASYDEDEMFPLKCCLMSISLPWDTIAHDLLFKVPSPPVNME >fgenesh2_kg.2__492__AT1G59510.1 pep chromosome:v.1.0:2:3985112:3986526:-1 gene:fgenesh2_kg.2__492__AT1G59510.1 transcript:fgenesh2_kg.2__492__AT1G59510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRLRDIGSISPQRRRKWLILLAVFGVSGYGVYRVYNSEYITRKTKRLMKLFGGIVSFAELVIDSAETISIVSRDLKEFLDSDSDEIPNSLKQLAKITKSKEFTDSLARVSEALAIGVFRGYNFDQELKNVEKESNLSVVDRVFSEEGAGFVSVVVGSFAKNLVLGFYSGEIEIDDSSKPRWMNLFSDGKCRELLADCIERFTSSAVSVYIDKTVGVNTYDQIFSGLTNPKHRDSARDVLVSVCNGALETFMRTSHDVFTSSGEKTDSSLRKSENREESRNGWAEALSTTLAVPSNRKFMFDVTGRVTLETMRSILEFVILKTSQSFKRSLDVIHEEVTERGRQVVGYVGAKSSVIITVCLAVYLHIFNRIVRGSPVYLSQQF >fgenesh2_kg.2__494__AT4G37390.1 pep chromosome:v.1.0:2:4002795:4004957:1 gene:fgenesh2_kg.2__494__AT4G37390.1 transcript:fgenesh2_kg.2__494__AT4G37390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3.4 [Source:UniProtKB/TrEMBL;Acc:D7KXV9] MAVDSPLQSRIASPTTSEKDMKALMFIEEMTRNPDSVQEKVLGEILSRNSNTEYLKRFDLNGAVDRKTFKSKVPVVMYEDLKTEIQRISNGDRSPILSSHPINEFLTSSGTSSGERKLMPTIEEDIHRRQLLGSLLMPVMNLYLPGLDKGKGLYFLFVKSESKTSGGLPARPALTSYYKSDHFKTSDLQNDYTSPREAILCSDSSQSMYAQMLCGLLMRHEVLRLGAVFPSGLLRAISFLQNNWKELAQDISTGILSSKIFDPAVNNRMSKILNKPDEELAEFLIGVCSQENWEGIITKIWPNTKYLDVIITGAMAQYIPMLEYYSGGLPIASTIYASSESYFGINLNPMCKPSEVSYTIMPNMAYFEFLPHNHDGDGALDETSLVELADVEVGKEYELVITTYAGLYRYRVGDILCVTGFHNSAPQFKFIRRKNVLLSIESDKTDEADLQKAVDNASRLLAEQGTSVIEYTSYAETKTIPGHYVIYWELLGRDQSNALPSDEVMAKCCLEMEESLNSVYRQSRVSDKSIGPLEIRVVQNGTFDELMDYAISRGSSINQYKVPRCVSLTPILELLDSRVVSAHFSTSLPHWSPERRH >fgenesh2_kg.2__495__AT1G59453.1 pep chromosome:v.1.0:2:4007736:4014533:1 gene:fgenesh2_kg.2__495__AT1G59453.1 transcript:fgenesh2_kg.2__495__AT1G59453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIICTALDEICSQGNTGIALVSLWSRLSTLSSSVKVHVWRNLLTIPQLQFKTKNTVYGSSDTSIQQLDDALRLDLRIVANENLRANFVGLYDTQSNNTTIPAIQRRVLERLAIARDNGDAQNLLAKEFGIDGRNFFYSVKQLESRGLIVRQPAIVRTKEVDSKTTSCITTNMIYLTRYAKPMGSQQRFEICKEDSMSEHEATAAGDSLKDDTLINDFLPAMQAVCDKLEEANEKVLVVSDIKQDLGYMGSHIRHRAWRSVFRRLIDSHVVEEFDAMVNNKVERCLRLLKRFSAEDFNYSRKKQLLKFGRSIQKTEQTLELPIDNQIYDMVDAEGSKGLAVMEVCERLGIDKKKIYPRLCSICSRVGMHLQAESHKKTRVFRVWTSRHTRSESSDKAENIRGENNDFSTPHGTGGLAKTSTEHSFKVSDADIYTPTTLTDSERNSGAKRRKVVTRRNLQEPFNEIGDKVVEDAMGSPDLPNSAKSKVQQPHATIENSRREQRILERLNEEKFVLRAEFHKWLLSFEKDRSPKVDRKTIYRILNKLQEEGLCKCVGIRVPNVNDCGRSRCFVIVLHPSVQGLSRDVGNEIHERIRSFELEFRGQRLSKRKSNETIPVLNVVQRGRTNADLDAQASKSGVMRVKGSVFAKMVRVKLLHCFLWDYYSSSLPGWDNAFSSIHDHKSENLFSLKDAFRAMPLQLFVQVVGSTQKADDIMKKCKQVMRLSEISSDKYKLLMDTRAIGVLSMLIDILRRLKLIQMVSDRLRRDEIEEKYANLTHAVELKPYIEEPLFVAAKSDVTSLDFRPRIRHDFILSNRDAVDEYWLTLEYCYAAADHRAAKQAFPGSVSQEVFGVRSWASDNVMTAEQRAKLLQCIAIDEKEKISFKECEKISKDLNLTIEQVMHAYYAKHGRRVESKSKDNSPSSSRKRKRASLVKTRGEGVRSITVDGQKVLNSEAIDASTSENFQDSLQDDQTPIPMHRQENAEISDFTEDEGQCCSIINRHASSKTRSTPLQRFSWTDEADRKLLSKYVRHRAALGAKFHGVNWASVPELPAPPLPCKRRIQTLMKNDKVRKAVMRLCNLLSERYAKHLKTESGCVEHRKDEGKWDDFNEKSISQAFNNVLELKKMAKLLPSKRRRPAIHSEDIQTFSMDQVKDTSRRAGHYRLHQIFKHVDEKDTGSVQVQKSLVVSTAVELLKLVFLSMPTAPGMPNLLEDTLRRYSEGDLFTAYSYLRDRKFLVGGSGGQPFVLSQNFLHSISKSPFPVNTGKRAAKFSSWLLEHERDLMAGGVTLTSDLQCGDVLNFFSLVASGELSISVSLPEEGVGEPEHRRGLKRKADDVEESETDSAKKFKLLGEGESNVRKEKGFPGIAVSVRRVNLPIANAVELFKDDDSCSGELHFKSGETNNRCGSDNMKELFNSTDATVIPGSLGDSPWQAMASVASCIMSGSADEQLSLFSPGVFEAVSNALHKAGDQGLSTEEVHCLINIPSQETCDCIVEVLQTFGVALKVNGYDNFRLVQSLYRSKYFLTLEDGGTTKNGQQSLPVNYLERALEEHTSKDAVASGYSTSQEKRERVAVNNVHKVTILNIPETAQTSDLHEASIKSPSVTFGPGIESETKESTSENSLPMAIFPWINADGSVNKVVFDGLIRRFLGTVMQNPGIPEDEIINQMDVLNPQSCRKLIELMTLDGYVKVREMVQTKFTGPPSLLTGLLFTGHRKPELISRKHFFANSKGLFAL >fgenesh2_kg.2__506__AT1G58684.1 pep chromosome:v.1.0:2:4035169:4036583:1 gene:fgenesh2_kg.2__506__AT1G58684.1 transcript:fgenesh2_kg.2__506__AT1G58684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGERGAERGGDRGNFGRGFGGGRGGGRDRGPRGRGRRGGRASEEAKWVPVTKLGRLVLDNKITKLEEIYLHSLPVKEYQIIDLLVGPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVVPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLQKTYGFLTPEFWKETRFSKSPYQEYTDFLATKAVPAAKVITEGEDQA >fgenesh2_kg.2__509__AT1G58936.1 pep chromosome:v.1.0:2:4036817:4038974:-1 gene:fgenesh2_kg.2__509__AT1G58936.1 transcript:fgenesh2_kg.2__509__AT1G58936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLFTIWSLLKSYVLMLWTIRFCQYYVLLGVWVQMEEIVLETKDAVDWSYRSEGVVNLVLAYTGSSPTFLGKVMRTKKMRNTGNENGDRSGNGLTTQEKLIWGDIKDLVSCQNKEIAEYLFVKHVMKPLLGHKYVNAGIPLPVEKEFLESVKTIVTSQRHSWRANAASVDTNRSFALLLDDLTIFSHGQIEDHKPCLTVEIKPKCGFLSSSSFIAGENVIKKSISRFEMYQVLKLRENQISQISEYNPLDLFSGSKDRIHKAIKALYSTPQNSLQVFLNGSLVFGGFRGGICKTTPKLELAFEHKLKDFFKTEDDSGVRANTFIELVTETVYASGALDQLLDVQKLDKYNIEGAIHAYYDLVDKPCKACQELESSKLSNQFGSMHSIPQDEKVNILKDFLISSTATDCSVMISFRPIETGLSKSSSHGNIHLESTKQEFEYKVHFIDLDMRPLKRMEAYHESDKKITKTYLEMLKKKKGDQPRRF >fgenesh2_kg.2__513__AT1G59406.1 pep chromosome:v.1.0:2:4093283:4094751:-1 gene:fgenesh2_kg.2__513__AT1G59406.1 transcript:fgenesh2_kg.2__513__AT1G59406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLQILWLALVLIVVEANAVKQGINATIPALIVFGDSIMDTGNNNNLPTLLKCNFPPYGKDYPGGFATGRFSDGRVPSDLIAEKLGLSKTLPAYMNPYLKPEDLLKGVTFASGGTGYDPLTAKIMSVISVWDQLIYFKEYISKIKRHFGEEKAKEILEHSFFLVVSSSNDLAHTYLAQAHRYDRISYANFLADSAVHFVKELHKLGARKIGVFSAVPVGCVPLQRTVFGGFFTRGCNQPLNNMAKQFNARLSPALDSLDKELDGVILYINVYDTLFDMIQHPKKYGFEVADRGCCGKGLLTISYMCNSLNPFTCSNSSAYVFWDSYHPTERAYQVIVDNLLEKYLSKVY >fgenesh2_kg.2__519__AT1G58440.1 pep chromosome:v.1.0:2:4124358:4127530:1 gene:fgenesh2_kg.2__519__AT1G58440.1 transcript:fgenesh2_kg.2__519__AT1G58440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQLWNWILPLLISSLLISIVAFYGFFFKPKRNSIRHDRTVSTVTSDVGSVNITGDTVADVIVVGAGVAGSALAYTLGKDKRRVHVIERDLSEPDRIVGELLQPGGYLKLLELGIEDCVEEIDAQRVYGYALFKDGKRIRLAYPLEKFHEDVSGRSFHNGRFIQRMREKAASLPNVQLEQGTVVSLLEENGTIKGVRYKNKAGEEQTAFAALTIVCDGCFSNLRRSLCNPQVEVPSCFVGLVLENCNLPYANHGHVVLADPSPILMYPISSTEVRCLVDVPGQKVPSIANGEMKNYLKTVVAPQMPHEVYDSFIAAIDKGNIKSMPNRSMPASPYPTPGALLMGDAFNMRHPLTGGGMTVALADIVVLRNLLRPLRDLSDGASLCKYLESFYTLRKPVAATINTLANALYQVFCSSKNEARNEMREACFDYLGLGGMCTSGPVSLLSGLNPRPLTLVCHFFAVAIYGVIRLLIPFPSPKRIWLGAKLISGASGIIFPIIKAEGVRQMFFPATVPAYYRAPPVKGETKCS >fgenesh2_kg.2__520__AT1G58430.1 pep chromosome:v.1.0:2:4128559:4130094:1 gene:fgenesh2_kg.2__520__AT1G58430.1 transcript:fgenesh2_kg.2__520__AT1G58430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKTITFTLFITITLLASCNASAKAKTQPLFPAILIFGDSTVDTGNNNYPSQTIFRAKHVPYGIDLPNHSPNGRFSNGKIFSDIIATKLNIKQFVPPFLQPNLTDQEIVTGVCFASAGAGYDDSTSLTTQAIRVSEQPNMFKSYIARLKSIVGDKKAMKIINNALVVVSAGPNDFILNYYDVPSWRRVYPSISDYQDFVLNRLNNFVQELYSLGCRKILVGGLPPMGCLPIQMTAQFRNVLRFCLEQENRDSVLYNQKLQKLLYQIEVSLTGSKILYSNVYDPMMEMIQNPSKYGFKETTRGCCGTGFLETSFMCNAYSPMCQNRSEFLFFDSIHPSEATYNYIGNVLDTKIRGWLKA >fgenesh2_kg.2__521__AT1G58420.1 pep chromosome:v.1.0:2:4133427:4134097:-1 gene:fgenesh2_kg.2__521__AT1G58420.1 transcript:fgenesh2_kg.2__521__AT1G58420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KY59] MGKRGQKQNKFLRIVTMPLKVLCKARDLYMRSITGCAARTHYSSAVDAASVPFPRSRSTSSAFSSSASSRRRSSDFTFDDDYSELLRAASARSLGHKNEIDMIIHQQLQLQQQQQRQENRVAMGAVAVAVKGGLPKSSSVGMTMARIDEEDEEEGSLKNQKKGSDFLYPRSRSHAVTI >fgenesh2_kg.2__522__AT1G58370.1 pep chromosome:v.1.0:2:4169343:4187181:-1 gene:fgenesh2_kg.2__522__AT1G58370.1 transcript:fgenesh2_kg.2__522__AT1G58370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISRKDNEEPEKQNNNNVASIIGSDRTNVIVNHDFSSGMHSWHPNCCEAFVVTAESNVSHGVLDPSKCGTYVAVTNRKETWQGLEQDITSRVKPCCPYKVSATVAVSGPVQGLVEVMATLKLEDRQSQTNYQFIAKACVFKEKWVTLEGMFSLPSITEKVVFYLEGPSPGIDLLIQSVTIHSESELELDRVTAEDETIVVNPNFEDGLTNWSGRSCKIVLHDSMADGKIVPESGKVFASATERTQNWNGIQQEITGKVQRKRAYEATAVVRIYGNNVTTATVQATLWVQNPNQRDQYIGISTVQATDKEWVHLKGKFLLNGSASRVVIYVEGPPPGTDILLNSFTVKHAEKIPPSPPPSIENPAFGVNILTNSHLSDDTTNGWFPLGNCTLSVAEGSPRILPPMARDSLGPREPLSGRYILATNRTQTWMGPAQMITDKLKLFLTYQISVWVKVGYGINSPQNVNVALGIDGQWVNGGQVEINNDRWHEVGGSFRIEKQPSKALVYVQGPSSGIDLMVAGLQIFPVDRLARIKHLKRQCDKIRKRDVILKFSGVDSSKLSGATVIVRQIRNSFPVGTCISRSNIDNEDFVDFFLKNFNWAVFANELKWYWTEPEQGKLNYQDADDMLNLCSSNNIETRGHCIFWEVQATVQQWIQNMNQTDLNNAVQNRLTDLLNRYKGKFKHYDVNNEMLHGSFYQDKLGKDIRVNMFKTAHQLDPSATLFVNDYHVEDGCDPKSCPEKYTELILDLQEKGAPVGGIGIQGHIDSPVGPIVCSALDKLGILGLPIWFTETDVSSVNEHIRADDLEVMMWEAFGHPAVEGIMLWGFWELFMSRDNSHLVNAEGDVNEAGKRFLAVKKDWLSHANGHVDQNGAFPFRGYNGNYAVEVITTSSTKVLKTFVVEKEDSSQVITVDLQGL >fgenesh2_kg.2__529__AT1G58350.1 pep chromosome:v.1.0:2:4234033:4241469:1 gene:fgenesh2_kg.2__529__AT1G58350.1 transcript:fgenesh2_kg.2__529__AT1G58350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRLRWLIGLSKRSRQAKTLDAKPYIAKVKPVLMVDTVQEIAIYIHRFHNLDLFQQGWYQIKITMRWEDGDNVTRGIPSRVVQYEATESGSNDSYGVWRIVDKDNSFLTQPFRIKYARQDIRLCMMISFTLPLERYEGSATSAAILKFELMYAPSVDNASAKHLDASSVAVHEFRIPPKALTGLHSYCPVHFDTLHAVLVDVSVHISVLKSAAYKRPARLSSGVSNSKNVSGSSAQSFKKALGLLASADKKLVSFVKALLGARGILLEEMQRLSKAVGQTIDLSDFVSNMNNIPLSNSTINGSGQGKEQNSLEKLNITFDLASDDWLHELSKDHLSRIFHLLGTQLHHLWNTFLGFHRDNHTKILEYLRDIWTKDRRAEWSIWMVYSKVEMPHHFINSGMTDILNQSAHKRASGVLNEPAQIAATRAELHRRSIAQMRINNRSIQDMHILGDPMRVPIVIIERVLNAPRRTLSDNSYLRHMDLLDSSLLNGHKDEAEKTKATNSHQSARELKIVGHHLDLRLVRNQWLLIDPKIEFLMSEVNEEKTHGDFREMGQRLAQEVVSFLKRKKDRYARQGHLKSIKLSFVGHSIGNVIIRTAIADSLMEPYRRFLHTYLSLSGPHLGYLYSTNSLFNSGLWLLKKLKSTQVIHQLTLTDDPDLRHTFFYKLCKQKTLENFKNIILLSSPQDGYVPYHSARIESCQPASFDSSKKGVAFLEMLNNCLDQIRGPVPEAPHQQRVFMRCDVNFDMTVYGRNLNSFIGRAAHIEFLESDIFARFIMWSFQDLFR >fgenesh2_kg.2__52__AT1G64300.2 pep chromosome:v.1.0:2:343605:346531:-1 gene:fgenesh2_kg.2__52__AT1G64300.2 transcript:fgenesh2_kg.2__52__AT1G64300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KSS6] MEQFRQIGEVLGSLNALMVLQDDILINQRQCCLLLDIFSLAFNTVAEEIRHHLKLEEKHTKWRALEQPLRELYRVFKEGEMYVRNCMSNKDWWGKVINFHQNKDCVEFHIHNLLCYFSAVIEAIETAGEISGLDPAEMERRRVVFSRKYDREWNDPKLFQWRYGKQYLVPRDICIRFEHSWREDRWNLVEALQEKRKSKSDEIGKTEKRLADFLLKKLTGLEQFNGKLFPSSILVGSKDYQVRRRLGGGGQYKEIQWLGDSFVLRHFFGDLEPLNAEISSLLSLCHSNILQYLCGFYDEERKECSLVMELMHKDLKSYMKENCGPRRRYLFSVPVVIDIMLQIARGMEYLHSNEIFHGDLNPMNILLKERSHTEGYFHAKISGFGLTSVKNQSFSRASSRPTTPDPVIWYAPEVLAEMEQDLKGTAPRSKFTHKADVYSFAMVCFELITGKVPFEDDHLQGEKMAKNIRTGERPLFPFPSPKYLVSLIKRCWHSEPSQRPTFSSICRILRYIKKFLVVNPDHGHLQIQNPLVDCWDLEARFLKKFSMESGSHAGSVTQIPFQLYSYRIAEKEKMSPNFNKEENSETGESVSEGVSVVEDPPTMPMYTKSLCLDAISEYSDIRSVYSEAPIKKTSALKKSGDTIKNRRNSISGLRSPGSSPIKPRSTPKVSSPLSPFGRSSSKARKDTRLPLSPMSPLSHGRRRQLTGPASDSELT >fgenesh2_kg.2__542__AT1G58030.1 pep chromosome:v.1.0:2:4296937:4301178:-1 gene:fgenesh2_kg.2__542__AT1G58030.1 transcript:fgenesh2_kg.2__542__AT1G58030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVDTQKEGGGHSWGYVRSLVRRKQVDSANGQSHGHQLAKALTVPHLVAIGVGATIGAGVYILVGTVAREHSGPSLALSFLIAGIAAGLSAFCYAELSSRCPSAGSAYHYSYICVGEGVAWIIGWALILEYTIGGSAVARGISPNLALIFGGEDGLPAILARHQIPGFDVVVDPCAAILVFVVTGLLCMGIKESTFAQGIVTAINVCVLLFVIVAGSYLGFKTGWAGYDLPTGFFPFGVDGMFAGSATVFFAFIGFDSVASTAEEVRNPQRDLPIGIGLALLLCCSLYMMVSIVIVGLIPYYAMDPDTPISSAFASHDMQWAVYLITLGAVMALCSALMGALLPQPRILMAMARDGLLPSIFSDINKRTQVPVKATVATGLCAATLAFFMDVSQLAGMVSVGTLLAFTMVAVSVLILRYVPPDEQPLPSSLQERVDSVSFIGGETTSSGHVGTSDSSHQPLIDNNDALVDVPLIKNQEALGCLVLSEENRRIVAGWSIMFTCVGAFLLSYSASNLSFPGLIRYPLCGVGGCLLLVGLIALSSIDQDDARHTFGHSGGYMCPFVPLLPIICILINMYLLVNLGSATWARVSVWLLIGVIVYVFYGRKNSSLADAVYVTTAHAEEIYREHEGSLA >fgenesh2_kg.2__547__AT1G58070.1 pep chromosome:v.1.0:2:4391940:4393110:1 gene:fgenesh2_kg.2__547__AT1G58070.1 transcript:fgenesh2_kg.2__547__AT1G58070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KY76] GNNQVEQHHVDTSRPFSSVKEAVAIFGQRIMLPVQTHTLNSKPVSPIANRSISQTDKPRSPGLSQTAKLVSPTASPKISQTAKLVSPIASPNISQTASSSSPWKQRSLLPSSPQGPKDEIMDVLKKLEAEITETKTEVKMLKERESETEVALATLNAELHKNMSKIAKAEADAAGKSAAAMEKSVRFKDTQEKENREDQRRKELMRKMQKEYPSLAQILDSNKGDKDGYFAKTKKTKKKKKPIIPLVGDFFFFRKKGPATEISGPLYTTSSTLHF >fgenesh2_kg.2__549__AT1G58100.1 pep chromosome:v.1.0:2:4437574:4439109:-1 gene:fgenesh2_kg.2__549__AT1G58100.1 transcript:fgenesh2_kg.2__549__AT1G58100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KY84] MDRNNDTAAVTGGGARQLVDASLSIVPRSTPLEDSTLATTSSTTTTTKRSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAIVAATGTGTIPANFSTLSVSLRSSGSTLSAPPSKSVPLYGALGLTHQYDEQGGGGVFAAHTTPLLGFHHHLQQQQHHHQNQNQDPVETIPEGENFSRKRYRSVDLSKENDDRKQNENKSLKESETPGPAAAPMWAVAPPSRSGAANTFWMLPVPTTTGNQMESSSNNTTTAGHRAPPMWPFVNSAGGGAGGGGGAATHFMAGTGFSFPMDQYRGSPLQLGSFLAQPQPTQNLGLSMPDSNLGMLAALNAAYSRGGNANADAEQANNAVEHQEKQQQSDHDDDSREENSN >fgenesh2_kg.2__54__AT1G64280.1 pep chromosome:v.1.0:2:364742:367402:1 gene:fgenesh2_kg.2__54__AT1G64280.1 transcript:fgenesh2_kg.2__54__AT1G64280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-expressor of PR1 [Source:UniProtKB/TrEMBL;Acc:D7KST1] MDTIDGFADSYDISSTTFFATDNTESSIVFPAAAEQLLTGPDVSALQLLSNSFESVFDKPEEFYSDAKLVLSDGREVSFHRCVLSARSSFFKNALAAAKKEKDSNAAVKLELKEIAKDYEVGFDSVLTVLAYVYSSRVRPPPKGVSECADENCCHVACRPAVDFMLEVLYLAFIFKIPELVTLYQRPLLDVVDKVVIEDTLVILKLANICGKACKKLLDRCKEIIVMSNVDRVSIEKSLPPELVKEIIDNRKELGLEVPILDKHVSNIHKALDSDDVELVKNFLTEGHTNLDDACALHFAVAYCDVKTATDLLKLDLADVNHRNPRGYTVLHVAAMRKEPQLILSLLEKGASASEKTLEGRTALLIAKRVTMAVEYNNVPARFKHSLKGRLCVEILEQGDKREPIPRDVPPSFTVAADELKMRLLDLENRVALAQRLFPTEAQVAMEIAQMKGTCEFIVTSLEPDRLTGTKRTSPDVKIAPFKILEEHQSRLRALSKTVELGKRFFPRCSAVLDQIMDCEDLTQLACGEEDTPEKRLQKKQRYMEIQEILTKAFTEDNLEFGKSSLTASASSTSKSTGGKRSNRKLSHRRR >fgenesh2_kg.2__553__AT1G58122.1 pep chromosome:v.1.0:2:4466191:4468234:1 gene:fgenesh2_kg.2__553__AT1G58122.1 transcript:fgenesh2_kg.2__553__AT1G58122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVTVQDFHSMCQKHFHYLLKKRDCPSSSISSADTFLKASRSEVLSLFMRSTLLALLFLSFTWLSLLKYGTSATAPSKSVESDLPELLPLLLNDLEKEGLFKMGDKALFLSGGDDEVTVSSYSQTVIETDMVLVSASNQEMQSMVPDETFDFAFAHSRHIDSAEFIDRTLKVGGIFTVQLNLQDLPPNFLKHPNYEIVYVKSSEYTVMTMRKTGETEHKQSLVAPGRKLLGITEEEAREKALRKLEDVLLEPPRAASRKSRTYFKRTRYLPDLMGDNLDLESYSRRVFIDVGNGKGSSGMEWFVENYPTRNQKFEMYKIETVNDEMSLESEKMGMTEWLKENVKEEEYVVMKAEAEVVEEMMRSKSIKMVDELFLECKPKGLGLRGRKMQSKSGRAYWECLALYGKLRDEGVAVHQWWG >fgenesh2_kg.2__555__AT1G58170.1 pep chromosome:v.1.0:2:4504971:4505601:1 gene:fgenesh2_kg.2__555__AT1G58170.1 transcript:fgenesh2_kg.2__555__AT1G58170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7KY91] MASFLSLFIVSSLTLALVLISVTGESNFLHHKKEKLTHFRVYWHDIVTGQDPSSVSIMNPPKNYTGPTGFGRMRMIDNPLTLTPILSSKMVGRAQGFYAATSKEEIGLLMAMNFAILDGKYNGSTITVFGRNSVFDKVREMPVIGGSGLFRFARGFVEARTHEFHLKTGNAIVEYNCYVLHY >fgenesh2_kg.2__558__AT1G58180.2 pep chromosome:v.1.0:2:4509726:4511678:-1 gene:fgenesh2_kg.2__558__AT1G58180.2 transcript:fgenesh2_kg.2__558__AT1G58180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:D7KY93] MAFTLGRRARRLVSATSVHQNGCLHKLQQTGSDRFQLGEAKAIRLLPRRTNMVQELGIGEELMERNRETDRSYDFLGEMRQRFMRFKRQKYLPEIEKFQALAIAQSPKVMVIGCADSRVCPSYVLGFQPGEAFTIRNVANLVTPVQNGPTETNSALEFAVTTLQVENIIVMGHSNCGGIAALMSHQNHQGQHSSLVERWVMNGKAAKLRTQLASSHLSFDEQCRNCEKESIKDSVMNLITYSWIRDRVKTGEVKIHGCYYNLSDCSLEKWRLSSDKDNNGFHISDREIWS >fgenesh2_kg.2__55__AT1G64270.1 pep chromosome:v.1.0:2:368011:370520:-1 gene:fgenesh2_kg.2__55__AT1G64270.1 transcript:fgenesh2_kg.2__55__AT1G64270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KST2] MLEVPSIHPSIKNVELYLEVKSTSDDVTDHAACSSVLENPASSSKRQRIQHPQEATGYVSHPSVKVERDNGLEIQGVGNSNGWIEDEEHSDFGNGSTHGGGDGEMTDKNSGSDDVQKDL >fgenesh2_kg.2__561__AT1G58200.2 pep chromosome:v.1.0:2:4532532:4537409:-1 gene:fgenesh2_kg.2__561__AT1G58200.2 transcript:fgenesh2_kg.2__561__AT1G58200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTVSLPLSHDLNVHKIHEASGYHNSAAAKNRVYLTRTGLSSCATRQDVWSLQLLESLSGSIVPVSSRCNAFVCRSALFPGNGNEGPILKSTAVIFTRAYDALRGNHLMKLIPAVGILAFATWGLRPLLRLARATLFEKGNDANSQKSSTQYIVVSYLQPLLLWSGAILLCRTLDPIVLPSSSSQAVKQRLLTFARSMSTVLAFACCLSSLLQQVQKFFMETNNPADTRNMGFSFAGKAVYTAAWVAAASLFMELLGFSTQKWLTAGGLGTVLLTLAGREILTNFLSSIMIHATRPFVLNEWIQTKIGGYEVSGTVERVGWWSPTIIRGDDREAVHIPNHQFSVNIVRNLTQKTHWRIKTHLAISHLDVSKINNIVADMRKVLSKNPQIEQQKIHRRVFLEDIDPENQALRILISCFVKTSRFEEYLCVKEAVLLDLLTVIRHHGARLATPIRTVQRMRNEAEVDSAGFSDIIFNQAAMNRRFMLIEPSYKINSDDNSKSPSPSPGQKSEERDLQEEPSETKAETENNGSASVLISNAKKEKQKTALGSNSSTGTKGSPTSTSDQPVAQKSEEKKKESVGDSNKAEKDEVYDDEGTTEQTLKSKARQGTEKSSGDSKARDGGGSGTSSFLEENLVLGVALDGSKRTLPIDEEVKASGALMDSEELGIGSE >fgenesh2_kg.2__562__AT1G58210.1 pep chromosome:v.1.0:2:4544033:4549813:1 gene:fgenesh2_kg.2__562__AT1G58210.1 transcript:fgenesh2_kg.2__562__AT1G58210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1674 [Source:UniProtKB/TrEMBL;Acc:D7KY97] MTTTRSKFQSLSARRFTPLPEPNPSPRTFSKTLPEPNSSPGTNGTFRTPFPLSLITPIKTLKSITLSDWWLKKKSKGLSITGFESNGGSGVRLFSSGTISKRHESTTLEAIDGITISINGFINRSRSLENGVSNEVCNRFRLGFPYDWEDYNVEEEEEKKNVVDISFDDIPVNRYQDLYCLEGCLKDKILDDVVSSLRDLVCQIFDKECEKSRIGGDDGESLVSRVVGVKTRGMLRRREEYEASIGKGVATISGERAVTTRRYELSTEFSFNEKFGFLALMDIRMCIEIQIWIQPKFLNLRVRMVTAFCGTGEVRYYSALSSSSSSSLVQQKRCCREQRAMRIHGGGQVISVQSNPNGSNTIFRVEYTLKIIDEDGDTFAKRAEMYYRKRPEIVNFVEEAFRSYRALAERYDHLSRELQSANRTIATAFPEHVQFPLEDDSDENEDYEGNPRKPPKHLHLIPKGINIPEVPDIPKKKDFRSQSMMLSRKGPAGLKRTVSSAQAKREAAIVRSGLSKEEGLEEIDKLQKGILALQTEKEFVRSSYEQSYERYWDLENEVTEMQKSVCNLQDEFGLGASIDDSDARTLMASTALSSCKDTLAKLEEKQRKSVEEAEIEKGRITTAKERFYALRNKFEKPESDVLDEVVRTDEEEEKEADDVVQESIYESEREDSSENLTVVKLAEKIDDLVHRVVSLETNASSHTALVKTLRSETDELHEHIRGLEEDKAALVSDSTVMKQRITVLEDELRNVRKLFQKVEDQNKNLQSQFKVANRTVDDLSGKIQDVKMDEDVEGAGIFQELAVVSGSEDSRDDLKSISTETEMRSSVEKTKKDVIVVKESEDSERAQEEKSEMKDSFALSETASTCFGTEAEDLVTEDEDEETPNWRQLLPDGMEDREKVLLDEYTSVLRDYREVKRKLGDVEKKNREGFFELALQLRELKNAVAYKDAEIQSLRQKLSSPGKDSPHQVERNNQLEHEQVHHESVSISPTSNFSVSTTPHHQLGDMKRTPGRTKSTEVRVKFADVDDSPRTKIPTVEDKVRADIDAVLEENLEFWLRFSTSVHQIQKYQTTVQDLKSELSKLRIESKQQHESPRSSSNTAVASEAKPIYRHLREIRTELQLWLENSAVLKDELQGRYASLANIQEEIARVTAQSGGNKVSDSEISGYQAAKFHGEILNMKQENKRVSTELQSGLDRVRALKTEVEKILSKLEEDLGISSATEARTTQSKSSSSGRPRIPLRSFLFGVKLKKNRQQKQSSSSLFSCVSPSPGLQKQSSYNRPPGKLPE >fgenesh2_kg.2__564__AT1G58225.1 pep chromosome:v.1.0:2:4561839:4565696:1 gene:fgenesh2_kg.2__564__AT1G58225.1 transcript:fgenesh2_kg.2__564__AT1G58225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARSTKGGLVAILAIVVYCFFENMDWNSIDVSPYEPVGSYFPGGFFALDNKNKMLKSFPSYNEYLKRFNLASVEIWQTVRSKCRDGFITLSIKSLHFFVSKFTQFRYSISTATPMSRSWTSRIIFWVTLTRSVSSMANDKAL >fgenesh2_kg.2__569__AT1G58250.1 pep chromosome:v.1.0:2:4612087:4626405:-1 gene:fgenesh2_kg.2__569__AT1G58250.1 transcript:fgenesh2_kg.2__569__AT1G58250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAKFFFGFLIVSIVLWMIFIICSRLFAWMLSRVLGASVVFRVGGWKCLKDVVVKFKKGAVESVSASEIKLSLRQSLVKLGVGFLSRDPKMQVLISDFEVVMRSSTSTTNLQKAKSQKSRTSGRGKWMVVANVARFLSVSVADMVVKTPKVIVEVKELKLDINKDGGTKPNLYVKLNVLPILVHLCESRIISDQSSSGSFESCPASQVSSASPDRSAAALFCDELSLSSEFGHDRAVGIVVRNVEVTSGDVILNFDEDSFPKSKQSSASARSDEVKSSATAVSSAKKPHKEHQLLAALAKYSPSFPEKVSFSLPKLDIRCVNREHDLLAENNITGIQLRSVKSKSFEDTGESTRLDVQMELSEIHVFREAESSILEIMKVDVVSFIYIPVQPVLPIRAEVDIKLGGTRCKLFISRLQPWLRLHFLKKKRLVLQEKTHTVEKTKAADMKAIMWTGTVSAPEMTVMLYGTEDLPLYHFCSQSSHVFANNVSSLGTAVHVELGELNLHLADEYQECFREHLFGIEPNSGSLMHIAKVSLDWGRRDRTSSDEVGFRSKLVLSVDVTGMGIYFSFKRVESLITNAMSFKALFKTLSVAGKTKNKSGGVQPSKASGKGTRLVNLNLERCCVTFCDDTGLDNTIIDDPKSVNYGSQGGRVSFSSLADGTPRTATILSTAPESCKRLKYSVSLEISQFSFCLNKDKHSTQMELERAKSIYQEYLEEHKPCSNVILFDMHNAKLVRRSGGLNEIDVCSLFSATHISLGWEPDVHLSFYELFLRLRSLVYAQRHKEPEGGCNKGISSVKDGGLSEKINQSNSVDKQKKKESMFAIDVETLTISAEVGDGVEVKLEAQSIFSENACIGVLLEGLMLAFNGSRVFKTTRMQISRIPTATLNLPDAVPVLTGGPWDWVVQGLDVHICMPYKLQLRAIDDSIEEMLRGLRLISVAKGRHILSGKREGSKPKKSSPKFGRIRFCIRRLTADIEEEPIQGWLDEHYQLVKKEACELAVRLKFLEDFIHKAGQSPKGAETSAVLDERKMFFNGVEIDVEDPVAINKVKEEIHKRSFQSYYQACQGLAPSEGSGACREGFQAGFKPSAARTSLLSVCATDFDLSLTAVLGGDAGLIEVLKKLDPICEENDIPFSRLYGSNVYLNTGSLVVQLRNYTLPLLSGTSGKCEGRIVLAQQATCFQPQISQDVFVGRWRKVQMFRSASGTTPPLKTYSDLRIHFEKGEVSFGVGYEPAFADISYAFTVALRRANLSHRNPDMVQVIKKERSLPWWDDMRNYVHGNITLSFSESKWSVLATTDPYESLDKLQIVSGPIELQQSDGRVFVNAKDFKIKLSSLESLISRHSLKVPVRASGAAFIEAPDFNLEVTMDWDCESGNSLNHYLYAFPAEGKPREKVFDPFRSTSLSLRWNFSLRPKKFHQSSSSTEPPTDVGTVYSSQDKPDSIPLASPTMNLGAHDLAWILKFWGLNYYPPHKLRSFSRWPRFGVPRAARSGNLSLDKVMTEFMLRVDATPSLIKYMPWDSDDPARGLTFNMAKLKYELCYSRGKQKYTFECKRDALDLVYQGLDLHVPKAFINKDEHPCIPGSVQFLRKSTQDALIDRVPSGKDHKRDEKHRDEGFLLSSDYFTIRRQSPKADPERLLAWQEAGRRNLEMTYVRSEFENGSESDEHIRSDPSDDDGYNVVIADNCQRVFVYGLKLLWTIENRDAVWSFVGGISKAFEPPKPSPSRQYTQRKILEENQKESCPETHQGEMSRSSASPGRNLPSSPSHSIKIVKSDDIGTVETIESEEEGTRHFMVNVIEPQFNLHSEEANGRFLLAAVSGRVLARSFHSIMRVGVEVIEQALGTGSVKIPECSPEMTWTRMEVSVMLEHVQAHVAPTDVDPGAGLQWLPKIRRNSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPDLKVKPLKELTFNSHNIIATMTSRQFQVMLDVLTNLLFARLPKPRKSSLQCPTEDEDVEEEADEVVPYGVEEVELAKINLEEKERGRKLLLDDIRKLSPCSDNMDDTHIEREGELWMISTRRSILVQGLKKELTYAQKSRKAASASLRMALQKAAQLRIMEKEKNKSPSYAMCISLQINKVVWSMLVDGKSFAEAEINDMIYDFDRDYKDIGVARFTTKYFVVRNCLQNAKSDMLLSAWNPPPEWGKKVMLRVDAKQGAPKDGHYPLELFHVEIYPLRIHLTETMYRMMWEYFFPEEEQDSQSRQEVWKISTTAGSKRVKKGLVGHESSGHAIKDVEAARMSSSALSASAAVQSQSNADSVQKSNILCLRTSTGGSAPELRRTSSFDREENVAEPVANELVLQAHSCTVSSSVEQQEDSSKQKVKEIKPVKSGRSSHEEKKAGKSHEEKKSRPRKMMEFHNIKISQVELLVTYEGSRFVVNDLKLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKSNNNRESTDNDLNLSDNDQTGKPDQQVTWFKRQSDGAGDGFVTSIRGLFNTQRRKAKAFVLRTMRGEAENDFHGDWSDSDVEFSPFARQLTITKAKRLIRRHTKKFRPRSQRGSTSQQRESLPSSPRETTAFESGYSSGSSPYEDFRE >fgenesh2_kg.2__572__AT2G07110.1 pep chromosome:v.1.0:2:4647641:4648209:-1 gene:fgenesh2_kg.2__572__AT2G07110.1 transcript:fgenesh2_kg.2__572__AT2G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIELSRLYFQEIQKFYLHNTDLKQERRRTKMLRRLVLKSYGSLKPDRLVIVNAFSFSEGGLFCCLRKVEREYETILKRTLQSICVLTVVSNTTTSVIIQVVHDGGSVSFLCSLHLGKHLPMLSETHIIRYKQCLFEYL >fgenesh2_kg.2__575__AT1G58290.1 pep chromosome:v.1.0:2:4706855:4709187:-1 gene:fgenesh2_kg.2__575__AT1G58290.1 transcript:fgenesh2_kg.2__575__AT1G58290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA reductase [Source:UniProtKB/TrEMBL;Acc:D7KYC4] MAVSSAFVGCPKLETLLNHHNLSPSSSSSVSQTPLGLNGVRVRTRRGLIQKARCELSASDSASNAASISALEQLKNSAADRYTKDRSSIVVIGLSIHTAPVEMREKLAIPEAEWPRAIAELCGLNHIEEAAVLSTCNRMEIYVLALSQHRGVKEVTEWMSKTSGIPVSEICQHRFLLYNKDATQHIFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVNGFGRNISGLFKHAITVGKRVRTETNIASGAVSVSSAAVELALMKLPQSSHASSMMCVIGAGKMGKLVIKHLMAKGCTKVVVVNRSEERVSAIREEMPGIEIIYRPLDEMLACAAEADVVFTSTASETPLFLKEHVETLPQASPEVGGLRLFVDISVPRNVGSCVGEVETTRVYNVDDLKEVVAANKEDRLRKAMEAQTIITEESTQFEAWRDSLETVPTIKKLRAYAERIRVAELEKCMSKMGDDINKKTTRAVDDLSRGIVNRFLHGPMQHLRCDGSDSRTLSETLENMHALNRMYGLEKDILEEKLKAMVEQQQK >fgenesh2_kg.2__576__AT1G58300.1 pep chromosome:v.1.0:2:4724144:4742899:-1 gene:fgenesh2_kg.2__576__AT1G58300.1 transcript:fgenesh2_kg.2__576__AT1G58300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRLNASLRFPSSRRLDCESYVSLRADDKICPNHSRSLWPSYPKSKRRSDFGGECYYSMETIYTLIASLKMAKPERRYPGEPKGFVEEMRFVVMKMHPRDQVKEGKNESNGLVSTWNFTIEGYLKFLVDSKLVFETLERIINESTLQAYAGLKNTGLERTENLSRDLEWFKEQGYEIPEPMAPGKIYSQHLKNIAEKDPQAFICHFYNINFAHSAGGRMIRTKVAEKILDNKELEFYKWDGQLSELLQNVSEELNKVAELWTREEKNHCLAETEISFKFYWEIFRFLMS >fgenesh2_kg.2__578__AT1G58320.1 pep chromosome:v.1.0:2:4756500:4763783:-1 gene:fgenesh2_kg.2__578__AT1G58320.1 transcript:fgenesh2_kg.2__578__AT1G58320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQESKNEKIVTEGQWTTGLYDCLSEDISTCCFTWFCPCVAFGRIAEILDKGETSEGLAGLMVVAMSSIGCGWYYASKYRAKLRHQYSLPEEPCADGAIHFFCCPCALSQEHRELKHRGLDPSLGNNETGRTNTKTPPFVASGMDR >fgenesh2_kg.2__579__AT1G58025.1 pep chromosome:v.1.0:2:4771727:4775253:1 gene:fgenesh2_kg.2__579__AT1G58025.1 transcript:fgenesh2_kg.2__579__AT1G58025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSGHKKGKKSKKSKTINEEGNLNESTEIAENQTSEQSSEAPVECENDESKMEVDAPLSIGSGNSPIAGSVDPAENIAAKSVARVKVKLKTSKAPEPDETLGNDIDKAVSEKPVVPAEKKEELVPPRLPERKPVFLNVYRKTKGIKIKTSKMVDGSSSVTEKSADAVKVQDAVVLQKDTKTPDEDSQASKKEPEVAPISLQKEEKKTDQNSRYNKQELEDSLTVIKKIMKMDAADPFNVPVNPEALGIPDYFDIIKTPMDFGTICNNFEKGNKYMNSEDVYKDVNYIWNNCSKYNKKGDYIVDLMKRVKKNFMKYWTAAGLYTEQSAAENTEDGGKASTKGSQSKQKSHKRHGRHHKSDCMCAVCVLKRRKRERERDSGAQEESSPTGSPSVDNSSINMGEEQDMDIGVDDKTEQEKTEIVELDSPVSRTQRVPENNQEVVEEENVEVESENKTEANVEDKTQSIDISMEETGDEPVTSAAEKSVVLASLEGPKSTQNEEEEKEKQLQEQKKRQELERKEWRIKMQEKFQVRNPQLLSLCETLFPNENNHNSVWNGPHSLFKRRGGSSNHSSALHKAVESLMK >fgenesh2_kg.2__580__AT1G58007.2 pep chromosome:v.1.0:2:4811900:4813357:1 gene:fgenesh2_kg.2__580__AT1G58007.2 transcript:fgenesh2_kg.2__580__AT1G58007.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNESRSIRTWSIYRSKEASGSSMNAISFGFVATAILILMFIILAILEHLFKSDHSSSYDVDGSSQFQKLAEKTSLVPITTSDVSVVMPGEKLPSYVALRAPFPCRREGIRWPSHL >fgenesh2_kg.2__582__AT1G57990.1 pep chromosome:v.1.0:2:4820940:4822234:1 gene:fgenesh2_kg.2__582__AT1G57990.1 transcript:fgenesh2_kg.2__582__AT1G57990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPUP18 [Source:UniProtKB/TrEMBL;Acc:D7KYK5] MTEASKPITTEESANPEPDQILSPRRSLELNQRKWWISVSLCIFLVLLGDSLVMLLLNFFYDQNNREDSDQDLQYQGTWLQALVQNAAFPLLIPLFFIFPSPKQNQETNNTRFLSFRLLLLYISLGVLVAAHSKLFALGKLYANYGVFTLISATQLTFTAIFTAIINRFKFTRWIILSIILTILIYVFGSPEFGGEPDENEEFYNIQAWLTFAASVAFALSLCLVQLGFEKVLVKTKRYGNKKVFRMVLEMQICVSFVATVVCLVGMFASGENKELQGDSHNFKKGEMYYVMSLIGLALSWQVWAVGLIGLVLYVSGVFGDVVHMCTSPLVALFVVLAFDFMDDEFSWPRIGTLIATPLALGSYFYTLHKRNKKKMVELYQTENNIEV >fgenesh2_kg.2__584__AT1G57943.2 pep chromosome:v.1.0:2:4858911:4860090:1 gene:fgenesh2_kg.2__584__AT1G57943.2 transcript:fgenesh2_kg.2__584__AT1G57943.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDFHEIYDQDLQYKGTWTQALIQNAAFPILIPLFFIFPKPKQNLETNNTRFLSLRLFFLYLSLGVLVAAHSKLFALGKLVSNYGIFMLISATQLIFTAILTAIINRFKFTRWIIISILLTIVIYVLSIPDFGGQPHEGEEYGYNIQAWLAFSATIAFSLSLCLIQLGFEKLLVKTKRYGNKKVFRMVLEMQICVSFVASIICLVGLFASGEYKELKGDSKRFKKGEAYYVLSLVGLALSWQVWAVGLIGLVLYVSGLFGDIVHMCASPLVALFVVLAFDFMDDVFSWTRIGALLGTTLALGSYFYTLHKRNKKKMSELN >fgenesh2_kg.2__585__AT1G57870.1 pep chromosome:v.1.0:2:4865261:4870167:1 gene:fgenesh2_kg.2__585__AT1G57870.1 transcript:fgenesh2_kg.2__585__AT1G57870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHLGNGVGSSRSAKNIKNTSSSVDWLSRDMLEMKIRDKTEADEDRDSEPDIIDGVGAEPGHVIRTTLRGRNGQSRQTVSYIAEHVVGTGSFGMVFQAKCRETGEVVAIKKVLQDKRYKNRELQIMQMLDHPNVVCLKHSFYSRTENEEVYLNLVLEFVPETVNRTARSYSRMNQLMPLIYVKLYAYQICRGLAYLHNCCGLCHRDIKPQNLLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLLCRFFQYSPNLRCTAVEACIHPFFDELRDPNARLPNGRPLPPLFNFKPQELSGIPPETVDRLVPEHARKQNHFMALHS >fgenesh2_kg.2__587__AT1G57860.1 pep chromosome:v.1.0:2:4877388:4878249:1 gene:fgenesh2_kg.2__587__AT1G57860.1 transcript:fgenesh2_kg.2__587__AT1G57860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L21 [Source:UniProtKB/TrEMBL;Acc:D7KC68] MPAGHGVRARTRDLFARPFRKKGYIPLSTYLRTFKVGDYVDVKVNGAIHKGMPHKFYHGRTGRIWNVTKRAVGVEVNKQIGNRIIRKRIHVRVEHVQQSRCAEEFKLRKKQNDVLKAAAKARGETISTKRQPKGPKPGFMVEGMTLETVTPIPYDVVNDLKGGY >fgenesh2_kg.2__592__AT1G58025.1 pep chromosome:v.1.0:2:4917936:4921771:-1 gene:fgenesh2_kg.2__592__AT1G58025.1 transcript:fgenesh2_kg.2__592__AT1G58025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGHKKGKKSKKSKSITEEGNLNESTENVENQTSEQSSEGPAECENSEHESKMEVDAPSSTEIGNSPIASVDPADNVAAKSMGRVKVKLKTSKAPEPDETWRDDIDKISSQVGSEKPAVPAEKKEELVPPRLPERKPVFLNVYRKTKGIKIKSSKAVDGSSSVTEKSADAVKAQDANAPQKDTKTLDENPQASKKEPESAPISLPKEEKKTDQNSRYNKQELEDSLTVIKKIMKMDAADPFNAPVNPEALGIPDYFEIIKTPMDFGTICNNFEKSNKYMNSEDVYKDVQYIWNNCSKYNKKGDYIVDLMKRVKKNFMKYWTAAGLYTESAAENTEDGGKSSTKGSQSKQKSHKRHGRHHKSDCMCAICVLKRRKRERERDSQGHSGAQEESSPAGSPSVDNSSINMGEEQDMDIDVDNKTEIVELDSPVSKRQRVNEDKQEVEEEENVEVESENKTKANVEDKTHSIDRVTEETGDERVTSAAEKLVVLASIEGPKSTHKDEEEKEKQLQEQKKRQELERKEWRMKMQEKFEVRNPQLLSLCETLFPKDDNHNSVWNGPHSLFKYRNSALHKAVEALMK >fgenesh2_kg.2__594__AT1G57760.1 pep chromosome:v.1.0:2:5045977:5054955:1 gene:fgenesh2_kg.2__594__AT1G57760.1 transcript:fgenesh2_kg.2__594__AT1G57760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSHVTALFIVVALVCAFVPAFSVEETEAKTLWDTCLVKITPKCALNIIAVVFGNGTLIESCCHDLVQEGKVCHDNLIKYIADRPSLVGRETQYLKKRDDVWSHCVSISKTA >fgenesh2_kg.2__596__AT4G08025.1 pep chromosome:v.1.0:2:5063264:5063756:1 gene:fgenesh2_kg.2__596__AT4G08025.1 transcript:fgenesh2_kg.2__596__AT4G08025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSHVTALFIVVALVCAFVPAFSVEEAEAKTLWDTCLVKITPKCALNIIAVVFGNGTLIESCCHDLVQEGKVCHDNLIKYIADRPSLVGRETQYLKKRDDVWSHCVSISKTA >fgenesh2_kg.2__59__AT1G64235.1 pep chromosome:v.1.0:2:394227:394625:-1 gene:fgenesh2_kg.2__59__AT1G64235.1 transcript:fgenesh2_kg.2__59__AT1G64235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVGLLLITFVILLASLPLPTKAIRACGSRSKRDGESCDESKIQTCLDVVNSGLKISTECCKILKEQQSCLKIKTNVLSSHVEIININMMRTRNPLVESTPSVESPGGRI >fgenesh2_kg.2__5__AT1G64680.1 pep chromosome:v.1.0:2:48704:50039:-1 gene:fgenesh2_kg.2__5__AT1G64680.1 transcript:fgenesh2_kg.2__5__AT1G64680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIASLQAVNLTLRRRGTRCGIAEPSGEPAPMGLKTRYDDGLVERVFMGLFARKMDKFGSKKKKETKEKGFWEYDYESFVEVSKRVMQGRSRVQQQEAVREVLLSMLPPGAPQQFRKLFPPTKWAAEFNAALTVPFFHWLVGPSQVIEVEVNGVKQRSGVRIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMNPNFEDMSCEMIYGQAPPAFEEDVATKQPCLADICSMSTPSSPICPKLEA >fgenesh2_kg.2__601__AT3G44117.1 pep chromosome:v.1.0:2:5070247:5070482:1 gene:fgenesh2_kg.2__601__AT3G44117.1 transcript:fgenesh2_kg.2__601__AT3G44117.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KYQ0] MANLSSHVTALFIVVALVCAFVPAFSVEEAEAKTLWDTCLVKITPKCALNIIAVVFGNGTLIESCCHDLVQEGKVCHD >fgenesh2_kg.2__602__AT1G64740.1 pep chromosome:v.1.0:2:7259517:7262060:1 gene:fgenesh2_kg.2__602__AT1G64740.1 transcript:fgenesh2_kg.2__602__AT1G64740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:D7KYQ2] MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGTMPSDSTVGVCHDAFNTFFSETSSGQHVPRAVFLDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGREIVDTCLERLRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDFGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVVVLLDNEAIYDICRRSLDIERPTYTNLNRLISQTISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISSAKAYHEQFSVSEITTSVFEPSNMMAKCDPRHGKYMACCLMYRGDVVPKDVNTAVAAIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGAEDDDEEGDEY >fgenesh2_kg.2__603__AT1G64750.2 pep chromosome:v.1.0:2:7262122:7263391:-1 gene:fgenesh2_kg.2__603__AT1G64750.2 transcript:fgenesh2_kg.2__603__AT1G64750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATDSS1(I) [Source:UniProtKB/TrEMBL;Acc:D7KYQ3] MATEPKAATAEVVKMDLFEDDDEFEEFEINEDWLEKEEVKEVSQQWEDDWDDDDVNDDFSRQLRKELENGSEKK >fgenesh2_kg.2__609__AT1G64770.1 pep chromosome:v.1.0:2:7379922:7381872:1 gene:fgenesh2_kg.2__609__AT1G64770.1 transcript:fgenesh2_kg.2__609__AT1G64770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLISFSLLPKPKAVRSSISAPQTQTIIERLEDKFGRKGIKFSESNNIPMVELKVRNGSSLKLSLSDAHVVSYKPKVYWKDEGFEEVLYTVDGDESRGGVGLVIVNGEEPNGPKGVSSVISGYDWSVKDTDSDAIDALQIELSCTAGVLDITYIVSLYPVSMATALVVKNNGRKPVTLKPGIMSYLRFKKRSGAGIQGLKGCSYCPNPPLSSPFELLSPSEAMKADSSGWFGSDEGEKPGIWAVEDSVITLLEKKMSRIYGAPPAERLKAVYNTPPSKFETIDQGRGLFFRMIRIGFEEMYVGSPGSMWDKYGKQHYFVCTGPTSMLVPVDVASGETWRGAMVIEHDNL >fgenesh2_kg.2__611__AT1G64800.1 pep chromosome:v.1.0:2:7461508:7461855:1 gene:fgenesh2_kg.2__611__AT1G64800.1 transcript:fgenesh2_kg.2__611__AT1G64800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSTSHKRKKNDDHTKKSKKRQHVRASNVENKMEEKRSGSNEESFGVFEFPWMKESMISTSLDWSLPESLFPVLDDGNEMFEEISDVRWPVKRVSNYRLEFEAFECIWTSILD >fgenesh2_kg.2__612__AT1G64810.1 pep chromosome:v.1.0:2:7477565:7479346:1 gene:fgenesh2_kg.2__612__AT1G64810.1 transcript:fgenesh2_kg.2__612__AT1G64810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSPACRGVYLQTIDPNPIDFSARGSYASCFQIPRSIPKRECSMRLRTIVCFNQNLTEHTSFKKRHVSTQNVDLPPILPKNKKKPYPVPFQQIQEEARKDKKLAQMGIEKQLEPPKNGLLVPNLVPVAYQVIDNWKLLIKGLAQLLHVVPVFACSECGAVHVANAGHNIRDCNGPTNSQRRGSHSWVKGTINDVLIPVESYHMHDPFGRRIKHETRFDYERIPVLVELCIQAGVEIPEYPCRRRTQPIRMMGKRVIDRGGYLREPDKPQTSSLSSPLAELDTLGACERHPPPTPEDIPKIAQETMDAYEKVRLGVTKLMRKFTVKACGYCSEVHVGPWGHSVKLCGEFKHQWRDGKHGWQDALVDEVFPPNYVWHVRDLKGNPLTGNLRRFYGKAPALVEICMHSGARVPQRYKAMMRLDIIVPDSQEADMVA >fgenesh2_kg.2__617__AT1G64880.1 pep chromosome:v.1.0:2:7601114:7604955:1 gene:fgenesh2_kg.2__617__AT1G64880.1 transcript:fgenesh2_kg.2__617__AT1G64880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 family protein [Source:UniProtKB/TrEMBL;Acc:D7KZ22] MSLRTRASSWPRLLLRRNPRSSFSRQISTLDSSTSTFPRRISGYSSSSFPVNQTPWISSQRYRFFSSFTMSPSEADRVVRDLIAEVEKEKQREREERQRQGLDYKDIDAEDEEDYLGIEPFIEKLKKQNLKDDGELNRREESSDSDSEYDEIDLDEERKKEDIFNKKFQRHKELLQTLTKSETLDETFRWMNKLDKFEEKHFKLRPEYRVIGELMNRLKVAEGKEKFVLQQKLNRAMRLVEWKEAFDPNNPANYGVIERDNVQGGGEEREERLVVDGSKDDNDDDDDEEEFDDMKERDDILLEKLNAIDKKLELKLSELDHTFGKKGKRLEEEIRELAEDRNALTEKKRQPLYRKVYDVHVIDVKKVAKVTKGGRVERYTALMVCGNYEGVIGYAKAKAETGQSAMQKVYEKCFQNLHYVERHEEHTIAHAIQTSYKKTKLYLWPAPTTTGMKAGRVVKTILLLAGFKNIKSKVIGSRNSYNTVKAVLKALNAVETPKDVQEKFGRTVVEKYLL >fgenesh2_kg.2__618__AT1G64890.1 pep chromosome:v.1.0:2:7623673:7625670:1 gene:fgenesh2_kg.2__618__AT1G64890.1 transcript:fgenesh2_kg.2__618__AT1G64890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7KZ24] MSSSDDHAGESRHRRNPIRWLLGFGFFVQGIRGFPWLGANFFLTEQLRVNPSVLQLLQNSANLPMVAKPIYGVVSDSVYFFGQHRIPYIAIGALLQAISWLAIAFLSRSNVSILALSIYLLLSNLGASLVEVANDAIVAEAGKQKTSETQSGELPSFVWMASSLGGILGNLLGGIAIKTFSAQSTFLVFGILALLQFLVTINIREKSLNLPENPSPAGIRKHLSDLSHVLRKPEISFSIAWIALSTAVVPVLTGTMFFYQTKFLKIDASLLGISKVFGQIAMLLWGFAYNRWLKSVQPRKLMTAIQVTIAVFVISDLLFVRGVYRDLGVSDSVYVLFFSGFLETLFYFKILPFTVLMARLCPPGCEGSLMAFVMSAIALAFIVSGYLGIILASFVGVTVDDFSGFTRGLAIEAFCVGIPLILTSWIYDEAETKEKSKKIE >fgenesh2_kg.2__622__AT1G64910.1 pep chromosome:v.1.0:2:7651355:7652890:-1 gene:fgenesh2_kg.2__622__AT1G64910.1 transcript:fgenesh2_kg.2__622__AT1G64910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KZ26] MGQKFHAFMFPWFAFGHMTPYLHLANKLAEKGHRVTFLLPKKAQKQLEHLNLFPDSIVFHPLTMPHVDGLPAGAETFSDIPMPLWKFLPPAIDLTRDQVEAAVHALRPDLILFDLASWVPEMAKEYRVKSMLYNIISATSIAHDFVPGGELGVPPPGYPSSKLLYRRHDAHALLSFSVYYKRFSQRLITGLMNCDFISIRTCKEIEGKFCEYIERQYHKKVFLTGPMLPVLEKSKPLEDRWSHWLNGFGQGYVVFCALGSQISLEKDQFQELCLGIELTGLPFLVAVTPPKGAKTIQEALPEGFEERVKDRGVVWGEWVQQPLILAHPSVGCFVSHCGFGSMWESLMGDCQIVLLPFLADQVLNTRLMTEELEVSVEVQREETGWFSKESLSVAITSVMDQGSEIGNLVRRNHSKLKEVFVSDGLLTGYTDKFVDTLENLVSDTNLE >fgenesh2_kg.2__625__AT1G64940.1 pep chromosome:v.1.0:2:7661113:7703589:1 gene:fgenesh2_kg.2__625__AT1G64940.1 transcript:fgenesh2_kg.2__625__AT1G64940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP89A5 [Source:UniProtKB/TrEMBL;Acc:D7KZ28] MEIWLLILGSLFLSLLLNLLLFRRRDSSSSLPLPPDPNFFPFLGTLQWLRQGLGGLNNYLRTVHHRLGPIITLRITSRPAIFVADRSLAYQALVLNGAVFADRPPAAPISKIVSSNQHNISSSSYGATWRLLRRNLTSEILHPSRVRSYSHARGWVLGILFDRFRKNGGEEPIVVVDHLHYAMFALLVLMCFGDKLDEKQIKQVEYVQRRQLLGFSRFNILNLWPKLTKFIFRKRWEEFFQMRREQHDVLLPLIRARRKIVEERKNRSSKEAEDNKEYVQSYVDTLLDLELPDEKRKLNEDEIVSLCSEFLNGGTDTTATALQWIMANLVKNPEIQRRLYEEIKSVVGEEAKEVEEEDAQKMPYLKAVVMEGLRRHPPGHFVLPHSVTEDTVLGGYKVPKKGTINFMVAEIGRDPKVWEEPMAFKPERFMGEEEPVDITGSRGITMMPFGAGRRICPGIGLAMLHLEYYVANMVREFEWKEVEGHEVDLTEKLEFTTVMKHPLKALAVPRRSH >fgenesh2_kg.2__629__AT1G64960.1 pep chromosome:v.1.0:2:7721369:7725764:1 gene:fgenesh2_kg.2__629__AT1G64960.1 transcript:fgenesh2_kg.2__629__AT1G64960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLRSSLKTSAEEFLSSAVKLTLKSSKPSLKTIIYAVKPSSDLSSSLPLALLNSILQNTESFQKLVEEDDNNPYVPSPSNSPPTKRHRGTGTLPDSDLDQRKLQILASLQILSHVVHLCLLSPKKAFSTSDLLPAAQVLHNHLRLFESDSVLCLEIAGICECWWKEGLVERESLISQSLPFLLSRSLTLKKKVDVHRVYMLREAFTLFDFEDESIEDLRMLLMRCVVSPLYVKTEDGQRFVSFAFGLSRQLMKAGLAVVKAQIPLGRKSVLEGFGGILFRAWKEVEQDLKGEIEDGFLQGIIDSAIHASSCAFAASLRRVLGGFISQRTTQGVEKLLFSLAEPMIFRSLQVANSNVRLNALHLLLDLFPMEDPDATKEAKDTLLDKQFYLLEKLMSDECPDVRSVAVEGLCRVFYLFWEVIPSTTITKVLTKIFDDMSHESCSEVRLSTVNGITYLLANPQSHGILKVVLPRLGHLMLDSVTSIRVAMVDLLLLLRDVRAFQFNTVVSLDVLLSVLASDQTHVAKGIARLLIPSYFPSRKRAEEACQRCRTLINRNPMAGARFSEFLVSLGATVQSVLHLVGFFLNSVLSGDKLDENQTEGLLRAAYHLCKDLVADSGCMASLKELLPGEKMKSLLAFAPTAQAVSAVFDIIAMVSPDIVSEVLEDCMNLAVNCGGLPGDAGRQTELRSVHKLLLSSNAFCDLIGTFTSIMRKTAYRCQINFGYEVERKNVHSMKRKKSKSSGKSSARWKHVSGKNAISFEEDYSVSVGIAWQIKDLLTIEAARKAILESDIEELLLALKVVSQTSILQATCCEYMDVYPDIMDRTMDHILGCTDELFQTGDSGTSGITSPEANLSQKPTTSNGSQPKRRHSIAGDDASEGSKEGGVLNNVKMLTVILKFFVESTDMGLASHFQARMLKFTSAYLKYAISIFNDHSTGKLQFEDADMKDMILCTKSSTSYAGKFINLVLRHATEASHPLFEAFDLANDLLDLFTMVEISLGSAYASRLVTALNPWIPDLVLALGPCFINNDNLDEESSYTSSFNHIKLCVPSWLLTCAKIELHEINKEDETETPDFPALKRLRNTIFTLVKGNTKVIDGIGYVLLMCLAVCIEKRDYSTALGLLHFVCVKLVGSEDREWKELDTMLVSLPRIYPIIEQEIGEERDEDEVKKLEAARELLQPVWMYHVYETGRFHMMDEEEE >fgenesh2_kg.2__630__AT1G64970.1 pep chromosome:v.1.0:2:7725683:7727605:-1 gene:fgenesh2_kg.2__630__AT1G64970.1 transcript:fgenesh2_kg.2__630__AT1G64970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tocopherol methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KZ32] MEATLASPSSLTSLPFRTNASFGSPSLLFRSPLSSVSMTTTTARGNVAVAAAATSTEALRKGIAEFYNETSGLWEDIWGDHMHHGFYDPDSSVQLSDSGHREAQIRMIEESLRFAGVTDEEEEKKIKKVVDVGCGIGGSSRYLASKFGAECIGITLSPVQAKRATDLAAAQSLSHKVSFQVADALDQPFEDGKFDLVWSMESGEHMPDKAKFVKELVRVGAPGGRIIIVTWCHRNLSTGEKALQPWEQNILDKICKTFYLPAWCSTDDYVKLLQSHSLQDIKCADWSENVAPFWPAVIRTALTWNGLVSLLRSGMKSIKGALTMPLMIEGYKKGVIKFGIITCQKPL >fgenesh2_kg.2__631__AT1G64980.1 pep chromosome:v.1.0:2:7736451:7738061:-1 gene:fgenesh2_kg.2__631__AT1G64980.1 transcript:fgenesh2_kg.2__631__AT1G64980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISNGDVKSDTCNNGSSEKKPFRIFVGYDPREDLAYQVCRHSVTKRSSIPVEIIPIVQSDLRKKGLYWRERGQLESTEFSFSRFLTPHLSDYQGWAMFVDCDFLYLADIKELTDLIDDKYAIMCVQHDYTPKETTKMDGAVQTVYPRKNWSSMVLYNCGHPKNKTLSPEIVNTQTGAFLHRFQWLEDEEIGSIPFVWNFLEGHNRVVEKDPTTQPKAVHYTRGGPWFDAWKDCEFADLWLNEMEEYNKESKKEADNAKK >fgenesh2_kg.2__633__AT1G64990.1 pep chromosome:v.1.0:2:7910129:7916798:-1 gene:fgenesh2_kg.2__633__AT1G64990.1 transcript:fgenesh2_kg.2__633__AT1G64990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGWAIYEGTVVMASLCLLGWAGLWFLNRRLYKEYEEKRALVQIIFSVVFAFSCNLLQLVLFEIIPVLSREARMINWKVDLFCLILLLVFLLPYYHCYLMLRNSGLRRERASVGAFLFLSAFLYAFWRMGVHFPMPSADKGFFTMPQLVSRIGVIGVTLMAVLSGFGAVNLPYSYISLFIREIEEADILSLERQLMQSTETCIAKKKKIILCQLEVERNQGSEENQKRSSFFRRIVGTVVRSVQDDQKEQDIKILEAEVEALEELSKQLFLEVYELRQAKDAAAYSRTWKGHVQNLLGYACSIYCVYKMLKSLQSVVFKEAGTKDPVTTMISIFLRLFDIGVDAALLSQYISLLFIGMLIVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFLSSILLIRKSLRNEYRGIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQSDKHAIE >fgenesh2_kg.2__636__AT1G65020.1 pep chromosome:v.1.0:2:7949905:7952064:-1 gene:fgenesh2_kg.2__636__AT1G65020.1 transcript:fgenesh2_kg.2__636__AT1G65020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIEIICGLVIYKLVRRFFYDDEFSDVETSDSTALFSVAHRLEKLYGGKAYVGLRIPDADTASRQDIDVVLVTKGEIVVIAVKNLSGIVTVTSDGSWVCEGGKHHTTESFPDPLAEVKKQASVLESYLEQRGVTLLEGNLSCKVVIPNPSFRTIHAFPSEVITYEDWQQLKPASRSKISGWVKGAFSTGKEMQESSHQKLNFILGTAPTWDRVELKSSKIVLGEFLEFKGKQEDTLALRNIKRSKVDHVSIQKTSMFGLAPSRLQVLYSYRDYRSEGSSGAESKEVTVRSSTEVLFQPRDSTKMKKFKLSSLVSISLSA >fgenesh2_kg.2__645__AT1G65080.1 pep chromosome:v.1.0:2:8026874:8032331:1 gene:fgenesh2_kg.2__645__AT1G65080.1 transcript:fgenesh2_kg.2__645__AT1G65080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWRTCLRASSSSSSRHRFSTLFPLFSSISNPPPLFSPSPSPSPPCFPPLRRFISSSPEFPIIPQEIIIPNDDSSLPVLFVVDFLDGFHEFTGLPWWMIIASSTVAVRLALLPLLILQLKKLKTISELLPQLPMPIPETPTLKGSIDQFSHFLKESRAIGCPSFLWFFPYLSVQLPCFFLLMASIRKMSLDGHPGFDSGGALWFQNLSDLPVGSFGPVFPILIAAFHYINIQISFDTSTIRQTTGLTGLLMRYYKLYLEILGVPLFFVGYAIPQGSLVYWVTNSSVNIFQQLSLKHPRVGAKLGLLTQGASPSVEHSMEISESVIKYVDSDLKEHTLSLQTLTPEELLSLSVQVLSKDDKETSIQLLRLALDKDPGYVRGLVLMGQALLQKTELSEATKYLELAISKLLDEDPSDAEDVELLMLASQWAGAAYVQQGKMKSGITHLERVAKLKEPGDPKSKEHYFEALLLLSSALYKEGQSDEAAKILRVVVEHNPAYKPLLEQCEDENELVSDLVSRRKDHF >fgenesh2_kg.2__651__AT1G65120.1 pep chromosome:v.1.0:2:8115142:8119909:-1 gene:fgenesh2_kg.2__651__AT1G65120.1 transcript:fgenesh2_kg.2__651__AT1G65120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLPLLVEDPEVAKIKKLVQDYFAQGNHIKALEVIEDSISVRGKEKVPGMVHYLQGSFFYEQGTKTENSDMKFPFLLASVECFSQDLGVHAYSAIALLELGKLIGSVSFYRKALNNAKEGLSFIASFGGLRLREEHTKSNLENVVLVAESMIPKLQGRVRSDSDTAAAESMIQAADTMVLLQKKSEPKLRESKNIRDSIRSDPDTAAGKRLRSYWASMDVESKRNFMKISIEKLKAYVERLHGREGLDALEQVLDSAKINRKWKFWMCRSCSQKFYYPKKFKSHLEQEHAAKFKPSTKKHMAQMVDEVWAGMISVADWEPVDAVAAAEMIKTQLEFVKEFVYENGWSKNWPLAADEERSKLLKEIQLLLVSFREHKILSCSIRDWMMQFTVKHLAQLEISEHTLTGRRLVETPQSICFLECHELNQILYLLKRINCERVDGTELVSKATDSLCGRLRVKEKIEFDHEFSFMLLDKRLLRGKIASFDDEGIIDVFDQSVHYTKTHPQGDDIITWLLDYPLLDESFEFPRSIRAHNLEIWVAVLRVIHFTCTTLGTKYAKKLQILSYDAALADAKNLCILEDKRRKNVPEDQWNTYASLLCDKCDKRLEIDGGDSHTTKLCLCAVRDILEGASHPAFEFSDLEDCLTLIRGHKNLNDDIVLKSIDLLKSVVTNKVPVADSKILLVENSRIILLNDLVRLSVFDYRSYILHLLKRFLRDELDRIVDMDAKTKFAAAQAEHLFEEKQEKVKKSGSNKKKNKTNKRTSASMSSRIDQDDVHESSVSLEQEVTPPSPKSREEDSTEPEGTLSSERGRFEISSNTKSQDEATKDMKNMPGEDLLSENLESSHKEATRYNSALDMTLKALLNIKVLEEDLVHNRQPFHGNLEQVPCALKDFFSAVVSEQIKEDGLYSYLLSNLLASLEEVHSLSSDADEVVVAILEFWHWWKSPEKESLVTRLFTLEEYERISCTRCRRKPNYPEQSSYGIVMAANSIRNLKCAFANMKFEDILKVIRMEDEMICDAKTGGCGKINSVHHIISRCPPIFTIVLEWEKNETETEISETLKALDWEIDISRLYEGLEPNTNYRLVSVVNLKQSFVLLFFCISSLLQCRNMENADWLW >fgenesh2_kg.2__652__AT1G65130.1 pep chromosome:v.1.0:2:8163152:8167528:-1 gene:fgenesh2_kg.2__652__AT1G65130.1 transcript:fgenesh2_kg.2__652__AT1G65130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSLEDHGLDESYFARGDHIKAMEVIEDSILEQGKGNVLGLLDFQQGAIFRAQARRTDNSDVKFTFLLGSLECFWESEGFSSFSALSLFELGQHLGSSLYYKKSVKKAKEYLSVLAKLSELGPKELKSQKDVERILRDAESRIIGGKTLVASPIERSEPKATVSKKNPDPNLRSFWRGLDDEFKRNFLKVSTAKFISFVEAMHGKEGRDAFDQLLTFARENRNWRVWICRSCSKKFSSPEECKNHLGQEHAAEFKPSSTKDMAQTVSKVWARKISVGGWEPVDAAAAVEMIKNRLQDVKAFAYENGWSKDWPLAADEERSKLLKEIQLLLVSFWDHRILSCSIRDWIMQFPVKHLAQFEVSEHTLTTECRLVETPQSICFLECYELSQILEFLRAIKGERDDGTDLVCKAVDSFWDGTRVKEKIDFDSQFSFLLLDKRLLKCKLPRFDNEGTVNVFNHNDYYANAQVHGDDILSWLADYSSRDESFRFPKPIRTHNIDIWVAVLRSVQFTCRTLGTKYENKLRMICYDAALIDAKKLCIREDERRRNIPEDQWTLYASLLCDKCEEHIRIDAGNSLTTKLSLCAVRDVLEAASQPTFDFADLVDCLNLINGHKHISDDIVLKSIDLLKSVVTKKVSLADSKILLVENSRINLLNDLVRLSVFDYRSYILQPLKLYLQEELDAKAKLAAALPEHLSGGKKQEKEKKSGSKKRKNKSNKRTSESMSSHLDQDVEQAEEDSMELEDTKAEKSLSEISSNTNNQEETTKGDSSYMQSMPKEDLESVHGKAATRYNSALDMMMKSLCNIKVLKEDLVNNRKPFSDNQVPCALRDFFSAFVSEKIKDEELYGHLLSNLLASLEEVHSLSSDAAEVLVAILEFCHCWKSPESESLVTRLFTLEGYERMCCRKCRRKPNYPEQSSYGIVMAADSIRDLKCAFGNIKFEDILKVIRMNDKMICDVRTGGCGESNFVHHTISRCPPIFTIVLEWENNETEKEISETTNALHWEIDISRLYEGLEPNTNYRLVSMSLNKEMQIGCVEEGEYICMAYEKNRWVSLRLEAPAEEVVGNWKSVVRICGERKVCPEILFYEAVQLPNK >fgenesh2_kg.2__654__AT5G47450.1 pep chromosome:v.1.0:2:8207002:8213005:-1 gene:fgenesh2_kg.2__654__AT5G47450.1 transcript:fgenesh2_kg.2__654__AT5G47450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIEVGSVGDSFSVSSLKAYLSEFIATLLFIFAGVGSAIAFDKLTSDGALDPAGLVAIAIAHAFVFSLEFPLRLIYLVVTLTQQHYRSENIVFFCLGELIIIRRVLMLTQLCKFLFLHLPTSTSYCLSFFINKCPVFISSFTTTNSNYIIFFLVNMLIANYNVFISSFMTTTRTRSKILPVRKPYIISWLTLGLAIGGNITLITGFFYWIAQCLGSIVACLLLVFVTNGKSVPTHGVAAGLGAVEGIVMEIVVTFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGDLSQIWIYWVEPLVGGALAGLIYGDVFIGSYEAVETREIRV >fgenesh2_kg.2__656__AT1G65170.1 pep chromosome:v.1.0:2:8308860:8310339:1 gene:fgenesh2_kg.2__656__AT1G65170.1 transcript:fgenesh2_kg.2__656__AT1G65170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7KQW3] MILKSLWQISVFERQNKVRQSFTGSFLKEDILRLSFINNLSLSQPPEANNVFELFVYILENLPPWNPYFEVYELAKKICNRCKMDLEYPGLFENLTFEKIVQIIRIGLKMPCDKEGCRKRNYVQRMINKLPTVFTIALEWEKNETEGEIFDTTSVLATEIDISAIYRYEGDSAFTKYRLVSMVCSDGDRYNCVAYENNRWVRHFCSQKEVIGEWDGVLSIFRKLHIRPEILFFENREQMFSAKGSEDSCVIS >fgenesh2_kg.2__657__AT1G65200.1 pep chromosome:v.1.0:2:8420094:8424389:-1 gene:fgenesh2_kg.2__657__AT1G65200.1 transcript:fgenesh2_kg.2__657__AT1G65200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPTLGDANSEKLFKRAKDFYSAKDYINALEIIEDLRFVQGNDRVFINVVQGQIFKELAEKTENTDVKVAYLLGSVQCLSIAPGLSSLAAISLFILAQQLDSVVYYKKSVRKAKEALYNLTVNLKDPEITKREQKGMLSMIEIAESRIAESKTPGVVKNWEQKESGDTRKSEPDIIKGLMLYWAGLNVEIKRNFMKVSTAELTSYVEGLCGSEGQDALEKVLTFAREEGKWRFWMCRSCSKKFSSAEECKNHLEQEHRAKFLPNSTKHMPQRISKVWSRKISIGGWEPVDAAAAIELIKNRLEDVKAFAYENGWSKDWPLAVDEERSKLLKEIQLLLVSFCDHKILSCSVRDWVVHFLVNHLEKLEVSKHILTDCRLVETPQSICFLECCELNQILEFLKNIKCERDDGTNLVCRAVDSFCGGTRVKEKIDFDPQFSVLLLDKRLLQCKISRFDDEGTINVFDPSVHYANAHAQGGYILSWLVDNSSEDESFRFPTPVRMHNLDIWVAVLKAVQFACWTLATKYANKWKLLDYDAALTAAKNLCISEDVRRRNLHKDQWNSYASLLCDTCEENLRRDAGKSLNSKLFLCAVQDVFKGASFPIFDFLNCMTVIREHKDLSDDIVMKSIDLLKLAVTNKVVRIDSKILLVESARINLLNNLTRLSVFDYRSYILRPMKELILDGIIYMENKAKLAAAEAELISEEKQEEEMKLPSKKKKNKTNKKNSTSMSSHLDKTFEHEHSVNFELESTSPSLKPAEEDTFSSERGLLEMTSKTTNQEETTKGDPMQSRPGEDSLSKYPCSAYEEATTRYNSILDMVLKALCNLKVLKEDLVHNRQPFSDNQVPCALRDFFSAFVSEQIEYEGLYSYILSALLASLKEVHPMSNDAVEVVIAILEFWQCWKSPQRESLVTRLFTLEVYERMQCSKCRKMPNYPEQRSYGIVITADSIRDLKCAFGNIKFGDIIKVIRMEDTMLCDIKTRGCGKANFVRHTISSCPPIFTIVLEWEKNETEKEISETLKALDWEIDISRLYEGLEPNTNYRLVSVIGCGEEGEHICMAYKKNRWVSLRHEALTEEVVGIWKSVVRFCGERRVRPEILFYEAALLDR >fgenesh2_kg.2__658__AT1G65150.2 pep chromosome:v.1.0:2:8436250:8437949:1 gene:fgenesh2_kg.2__658__AT1G65150.2 transcript:fgenesh2_kg.2__658__AT1G65150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNASDSAMLKSWRKNAPSSSSLVRLSQLANDKYESPPFSSGGHNWRLVVYPKGNEEDNGMGFVSMYVECLSSTTPPIDVFAYLTFFIFSEEEKKYLSIQDVEVKRFNSSKTVWGLSQALSIEALKDRAKGFILYGELHEFGAHVKIVSRPDSFGEDLPFHKFSWTIRDFSLLRQNDCVSKTFHMGEKDWTLTLYPKGDSEADGELSQHLHLADGEVLLKGELVFVRVNLQVLDPRGSDHLKGWTKGWIMNSTKAMGLPQSMSLDKIQGAYLDREGTLEVEIECELENSIKNHPFF >fgenesh2_kg.2__662__AT1G65220.1 pep chromosome:v.1.0:2:8450780:8453944:1 gene:fgenesh2_kg.2__662__AT1G65220.1 transcript:fgenesh2_kg.2__662__AT1G65220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eIF4-gamma/eIF5/eIF2-epsilon domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KQX3] MSSKEKPTLGGTRIKTRKRNIAAPLDPAAFSDAVVQIYVDNAGDLELVAKSLESSDLNFSRYGDIFFEVVFIGGRTQTGSVKSDEGERHPYSIIDCEPTREAILPSVVYIQKILRRKAFLIKNLENVTRRFLQSLELFEENERKKLAIFTALAFSQKLSGLPAETVFQPLLKDNLVAKGIVLNFVTDFFNEYLVENSLDDLISILRRGKMDDKLLEFLPPTKRTTESFAEHFTKAGLTALVEYNERKIFEVKLKEIKAVLTSQVTEEINVDEVIETVKQQVKDAKLPEIEVVHVIWDGIMNAVQWSGKNQQQNSNAVLRQVKTWAPLLNTLCCSGNMEMELMYKVQMQCYEDAKLMKVFPEVVRSLYELDVLAEDTILHWYRKGTNPKGRQAFVKGLEPFVNWLEEAEEEE >fgenesh2_kg.2__663__AT1G65230.1 pep chromosome:v.1.0:2:8454147:8456053:1 gene:fgenesh2_kg.2__663__AT1G65230.1 transcript:fgenesh2_kg.2__663__AT1G65230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSFLLPASPPSAAFLRRRFFLHPWLPSKIHHRLPQVMNDSTRTEVSIDKSEVDKLVDRIDFGELCNDFECTSSPQVESTARQLVRDILELREGNRAFACYAVSVKYKDPVRSFTGREKYKRPMWITSGLENPTVTVQEMVMLSTSVLRIKWTVKGKPKSILAAVSGDLIIKVKSEFTLNQISGQVIEHEESWDLSSSSPIAQAYFWTSRRLFAASESAKDLSDVTKDLTANLTTRTEDTDIYRDPTDPNKFFQRDDSFERDVYQIALFLAIVYFVVQFLKNTL >fgenesh2_kg.2__668__AT1G65270.2 pep chromosome:v.1.0:2:8497947:8526028:1 gene:fgenesh2_kg.2__668__AT1G65270.2 transcript:fgenesh2_kg.2__668__AT1G65270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTLLFFLSFLIFSSCIAFQSDELLVDDDEFGLEGAKPRSTDLHTSSSSSPQQQQQTPTIRRRYSDPTDLDSKVQFTLEHAFGDSDFSPAGTFSARLKTWSHGGKTLTKLRFSRNDFSAEEKEAFKNLLKGDDFYRIRLPSNVVSPPGREFVIASVRARCLPRDGLDEHFIIHMEGANILAVSYGSPGACQYPRQLKLPAKWSFNSHTILKSSEQAPRTPIFTEEILGGENVEGEVEPPPERSFWAKYWMYLIPLGLVVMNAVTQASNMAEEPAGGQAGGAQMQPAARRR >fgenesh2_kg.2__669__AT1G65280.1 pep chromosome:v.1.0:2:8554385:8557608:1 gene:fgenesh2_kg.2__669__AT1G65280.1 transcript:fgenesh2_kg.2__669__AT1G65280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHRKREVDSGDDTPSSATSSSSVSSYSSDESDSPSRKRRKKQKERRKSDGGSYEREKRRKREKERKRKKIERKERKRRDMKKKKKTKKRDYESDTESHSASDSFSDQEDEPETVVKEMLLEFPNVGNDLKQLLKMIDDGQAVDIKGISESALKKRLKKLFLALKLKERGDRVFLLPPGASPSLDVVGHLIKGSEEEVEKNLEDSVPLKNTEKGLPDENGLGSNSADDVAGPKKRVIGPAMPSAELLAAAAKLTEAQAELREAELEEDSEYFIGPAPPAVVAEVASSNEAERFEEVTRIMEADADSPYDVLGVNHNMAADNMKKRYWKLSLLVHPDKCSHPQAQEAFVLLNKAFKELQDPEKRKAMDDKIKLKEEQEAFKVELRSMQEAAQWRRSQGISMEGDAELLAATEVKPVPKRDEWMTTLPPERKVGVAVQQSTTFSRNAREGRGDTTAWTDTPMDKAERAKMNYLEAYNKASALASNEGENMKRSMDAELVDKYNKEKRAKSLVEKHREDSSSSSSRLKKKKKLSSSKEKTEKDEWVGKHPWKPWDRENDLTAGRQNVKLDAEGMAEGLASKFSSGNFQRSFL >fgenesh2_kg.2__66__AT1G64180.1 pep chromosome:v.1.0:2:418531:421401:-1 gene:fgenesh2_kg.2__66__AT1G64180.1 transcript:fgenesh2_kg.2__66__AT1G64180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKEEEEDDGGEEEEGQKGKRFIEKLRRRAVFVGHRSFFRRPSTPTHIGFNPNNNPSSSSSSRKLAASLWEFYQYYDNDYQIHPPAATKMHRAPLGSAGPSNNRRLRHGHGKADNNGLEFTDHQPESAGSIRRQIGQMLMKHHHLTERNDHPLQPVSPTSYDSSLESPGRRRAGEPNYNLKTSTELLKVLNRIWSLEEQHSANISLIKSLKTELAHSRARIKELLRCRQADRRDMDDLVKQLAGEKLSKDTKEHDRLSSAVQSLEDERKLRKRSESLYRKLAQELSEVKSTLCNCVKEMERGTKSKKILERLCDEFAKGIKSYEREIHGLKQKLDKNWKGWDEQDQMVLCIAESWLDERIQSGNGSALEKLEFEIETFLKTKQNANSNEIVRNRRTSLESVPFNAMSAPIWEVDCEEEEDSGGSDSNCFELKKHGSDVAKPRLGDETEKPERRNQSPSSLQVKFEDQMAWAMSSNEKKKARVIETDKCGKETNNVVGEMIRTHRRLLSETREIDEASCSYPSRRRESPIRQWNTRTVTPDLSAPQGVKDNTLKGKLSEARTKSSRPRARVFKG >fgenesh2_kg.2__671__AT1G65295.1 pep chromosome:v.1.0:2:8570001:8570817:1 gene:fgenesh2_kg.2__671__AT1G65295.1 transcript:fgenesh2_kg.2__671__AT1G65295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLEESKISIVFLLLLLFSIFISFSHQANEVLPSEGEKMMVPLMEEKFMVMNETRRKLGSFQICSVCTCCGGAKGLCLPSPCCYAINCNIPNRPFGYCSFTPKTCNCFGCHI >fgenesh2_kg.2__673__AT1G41830.1 pep chromosome:v.1.0:2:8724896:8729654:-1 gene:fgenesh2_kg.2__673__AT1G41830.1 transcript:fgenesh2_kg.2__673__AT1G41830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVGRSGGTVVLLFCLSFFAAVTAESPYRFFDWNVTYGDIYPLGVRQQGILINGKFPGPDIHSVTNDNLIINVHNSLDEPFLISWNGIQNRRNSYVDGMYGTTCPIPPRSNYTYILQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPGIPVPFADPAGDYTVLIGDWYKSNHTDLKSRLDRGRKLPPPDGILINGRSNGATLNVEQGKTYRLRISNVGLQDSLNFRIQNHRMKLVEVEGTHTLQTMFSSLDVHVGQSYSVLITADQSPRAYYVVVSSRFTDKIITSTGVLRYSGSSTPASGPIPGGPTIQVDWSLNQARAIRTNLTASGPRPNPQGSYHYGLIPLIRTIVFGSSAGQINGKQRYGVNSVSFVPADTPLKLADFFKISGVYKINSISDKPTYGGLYLDTSVLQVDYRTFIEIVFENQEDIVQSYHLNGYSFWVVGMDGGQWKTGSRNGYNLRDAVSRSTVQVYPKSWTAIYIALDNVGMWNLRSEFWARQYLGQQLYLRVFTSSTSLRDEYPIPKNSRLCGRARGRHTRPL >fgenesh2_kg.2__679__ATCG00800.1 pep chromosome:v.1.0:2:8752636:8753284:1 gene:fgenesh2_kg.2__679__ATCG00800.1 transcript:fgenesh2_kg.2__679__ATCG00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KQZ9] MEGIARIEIQKRIDLIQIIIYMGFPKLLIEDKPRRVEELQMNVQKELNCVNRKLNIAITRISNPYGDPNILAEFIAGQLKNRVSFRKAMKKAIELTEQANTKGIQVQIAGRIDGKEIARVEWIREGRVPLQTIEAKIDYCSYTVRTIYGVLGIKIWIFVD >fgenesh2_kg.2__683__ATCG00750.1 pep chromosome:v.1.0:2:8756752:8757168:1 gene:fgenesh2_kg.2__683__ATCG00750.1 transcript:fgenesh2_kg.2__683__ATCG00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S11, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KR03] MAKPILRIGSRKNTRSGSRKNVRRIPKGVIHVQASFNNTIVTVTDVRGRVISWSSAGTCGFRGTRRGTPFAAQTAAGNAIRAVVDQGMQRAEVRIKGPGLGRDAALRAIRRSGILLSFVRDVTPMPHNGCRPPKKRRV >fgenesh2_kg.2__685__ATCG00730.1 pep chromosome:v.1.0:2:8758404:8758862:-1 gene:fgenesh2_kg.2__685__ATCG00730.1 transcript:fgenesh2_kg.2__685__ATCG00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6/f complex subunit IV (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KR05] LNDPVLRAKLAKGMGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPAGLLTVPFLENVNKFQNPFRRPVATTVFLIGTAAALWLGIGATLPIDKSLTLGLF >fgenesh2_kg.2__687__ATCG00710.1 pep chromosome:v.1.0:2:8761352:8761573:-1 gene:fgenesh2_kg.2__687__ATCG00710.1 transcript:fgenesh2_kg.2__687__ATCG00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein H [Source:UniProtKB/TrEMBL;Acc:D7KR07] MATQTVEDSSRSGPRSTTVGKLLKPLNSEYGKVAPGWGTTPLMGVAMALFAVFLSIILEIYNSSVLLDGISVN >fgenesh2_kg.2__689__ATCG00670.1 pep chromosome:v.1.0:2:8764172:8766209:1 gene:fgenesh2_kg.2__689__ATCG00670.1 transcript:fgenesh2_kg.2__689__ATCG00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KR09] MAIYDTMQFVRPDVQTICMGLAASIASFILVGGAITKRIAFPHAWVMIHQPASSFYEAQTGEFILEAEELLKLRETITRVYVQRTGKPIWVVSEDMERDVFMSATEAQAHGIVDLVAV >fgenesh2_kg.2__690__ATCG00540.1 pep chromosome:v.1.0:2:8773621:8774574:-1 gene:fgenesh2_kg.2__690__ATCG00540.1 transcript:fgenesh2_kg.2__690__ATCG00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome f [Source:UniProtKB/TrEMBL;Acc:D7KRA2] MQTRNTFSWIREEITRSISVSLMIYIITWASISSAYPIFAQQNYENPREATGRIVCANCHLANKPVDIEVPQTVLPDTVFEAVIKIPYDMQLKQVLANGKKGALNVGAVLILPEGFELAPPDRISPEMKEKIGNLAFQNYRPNKKNILVIGPVPGQKYSEITFPILAPDPATNKDVHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATAGGIISKILRKEKGGYEITIVDASNGREVIDIIPRGLELLISEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFLGSVVLAQIFLVLKKKQFEKVNYPK >fgenesh2_kg.2__693__ATCG00490.1 pep chromosome:v.1.0:2:8779806:8781245:-1 gene:fgenesh2_kg.2__693__ATCG00490.1 transcript:fgenesh2_kg.2__693__ATCG00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase large chain [Source:UniProtKB/TrEMBL;Acc:D7KRA6] MSPQTETKASVGFKAGVKEYKLTYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVPGEETQFIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALAALRLEDLRIPPAYTKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIYKSQAETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHVHAGTVVGKLEGDRESTLGFVDLLRDDYVEKDRSRGIFFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAVEGNEIIREACKWSPELAAACEVWKEIRFNFPTVDKLDGQE >fgenesh2_kg.2__695__ATCG00470.1 pep chromosome:v.1.0:2:8783549:8783946:1 gene:fgenesh2_kg.2__695__ATCG00470.1 transcript:fgenesh2_kg.2__695__ATCG00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KRA8] MALMGGFARIGNNEITILVNDAEKNSDIDPQEAQQTLEIAEANLRKAEGKRQTIEANLALRRARTRVEALNTI >fgenesh2_kg.2__696__ATCG00430.1 pep chromosome:v.1.0:2:8786284:8786961:1 gene:fgenesh2_kg.2__696__ATCG00430.1 transcript:fgenesh2_kg.2__696__ATCG00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDAITKLRKKIAREIYKDRIRPQQGNRCFTTNHKFFVVRSPHIGNYDQELLYPPSSTSEISTEKFFKYKSPVSSHELVN >fgenesh2_kg.2__6__AT1G64650.1 pep chromosome:v.1.0:2:65599:68477:1 gene:fgenesh2_kg.2__6__AT1G64650.1 transcript:fgenesh2_kg.2__6__AT1G64650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFYFVVFGGLAAIVAGLELSKSNKDRINTSSAFNSFKNNYLLVYSLMMAGDWLQGPYVYYLYSTYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRKRASITYCITYILSCITKHSPQYKVLMVGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLVAIIAGLFGNFLVDSLALGPVAPFDAAACFLAIGMAVIISSWSENYGDPSENKDLLTQFKNAASAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLASMLGSSIASRLLAHSAPKVESYMQIVFVISSTALMLPVVTSFLVAPSGVKGGSISFSGCIQLMGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCLVLYNVDAFPMTVMFGMCSVFLFVASILQRRLMNVAEIHKSRSQEWSAEKEMTSEADPLNP >fgenesh2_kg.2__700__ATCG00350.1 pep chromosome:v.1.0:2:8794628:8796879:1 gene:fgenesh2_kg.2__700__ATCG00350.1 transcript:fgenesh2_kg.2__700__ATCG00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psi P700 apoprotein A1 [Source:UniProtKB/TrEMBL;Acc:D7KRB4] MIIRSPEPEVKILVDRDPIKTSFEEWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYSEFLTFRGGLDPVTGGLWLTDIAHHHLAIAILFLIAGHMYRTNWGIGHGIKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSLTIIVAHHMYSMPPYPYLATDYATQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTNRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLASTRYVFRYCYTITTSLCSMDTKYPCFSTCLTWGGGELVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNAISVVIFHFSWKMQSDVWGSISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFFLARIIAVG >fgenesh2_kg.2__702__ATCG00280.1 pep chromosome:v.1.0:2:8801301:8802720:-1 gene:fgenesh2_kg.2__702__ATCG00280.1 transcript:fgenesh2_kg.2__702__ATCG00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP43 reaction center protein [Source:UniProtKB/TrEMBL;Acc:D7KRF8] MKTLYSLRRFYHVETLFNGTLALAGRDQETTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVIDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGVGAFLLVFKALYFGGVYDTWAPGGGDVRKITNLTLSPSVIFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGSICIFGGIWHILTKPFAWARRALVWSGEAYLSYSLAALSVCGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLSTSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDFEPVLSMTPLN >fgenesh2_kg.2__709__ATCG00160.1 pep chromosome:v.1.0:2:8820809:8821518:1 gene:fgenesh2_kg.2__709__ATCG00160.1 transcript:fgenesh2_kg.2__709__ATCG00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S2 [Source:UniProtKB/TrEMBL;Acc:D7KRC5] MTKRYWNIDLEEMMRAGVHFGHGTRKWNPRMAPYISAKRKGIHIINLTRTARFLSEACDLVFDAASRGKQFLIVGTKNKAADLVSRAAIRARCHYVNKKWLGGMLTNWSTTEKRLHKGINRLPKRDAAVLKRQLSRLETYLGGIKYMTGLPDIVIILDQQEEYTALRECITLGIPTISLIDTNCNPDLADISIPANDDAIASIRFILNKLVFAICEGRSSYIQNS >fgenesh2_kg.2__70__AT1G64142.1 pep chromosome:v.1.0:2:445973:449314:1 gene:fgenesh2_kg.2__70__AT1G64142.1 transcript:fgenesh2_kg.2__70__AT1G64142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRFQNSAFAGNSSSNSFKILGRSLQVEVPEAADTTLRLDSLASPLSNAKGIKRKWNLIEGSDPLLSLRLGHSSSSSDSKGSSATACTSLSSAKETEEASSMDIELDFSLHLGNEKPAASNKKPANLKMKGLQVPSPKFDLELSLSGGGSCQSEITAVQQHANRFQSLADMLRATNEESTSCGWRPGFGLPTLQTSSSKETSSFLGHVPKNVIIPAAHVLELSSSTATTTPISSGTCTSGLSQQLKPQLKNSSSSKLCQVEGCQKGARGASGRCISHGGGRRCQKHGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSHEDCTRAARGRSGLCIRHGGGKRCQRENCTKSAEGLSGLCISHGGGRRCQSNGCTKGAQGSTMFCKAHGGGKRCTHSGCTKGAEGSTPFCKGHGGGKRCAFQGDDPCSKSVHGGTNFCVAHGGGKRCAVPECTKSARGRTDFCVRHGGGKRCKSEGCGKSAQGSTDFCKAHGGGKRCGWGQPETEYAGQSSSGPCTSFARGKTGLCALHNSLVHDNRVHGGMTITSESQEPRVSSSETENEEEFSGSQDMNMDTMKARSATGSPETDVDLNEYEAGLGLAPEGRVHGGSLMMAMLGRDGGSGSTDGPKRWM >fgenesh2_kg.2__713__ATCG00130.1 pep chromosome:v.1.0:2:8823747:8825029:1 gene:fgenesh2_kg.2__713__ATCG00130.1 transcript:fgenesh2_kg.2__713__ATCG00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase CF0 B subunit [Source:UniProtKB/TrEMBL;Acc:D7KRC8] MKNLTDSFVYLGHWPSAGSFGFNTDILATNPINLSVVFGVLIFFGKGVYNLLSLNDLLDNRKQRILNTIRNSEELREGAIQQLENARARLRKVEMEADQFRVNGYSEIEREKLNLINSIYKTLKQLENYKNETILLSNKEQLIKSANGCLSNELHLRTINANIGMFGTMKEITD >fgenesh2_kg.2__714__ATCG00120.1 pep chromosome:v.1.0:2:8825095:8826616:1 gene:fgenesh2_kg.2__714__ATCG00120.1 transcript:fgenesh2_kg.2__714__ATCG00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase subunit I (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KRC9] MGDGLMIQEGSSVKATGKIAQIPVSEAYLGRVINALANPIDGRGKISASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGQNVICVYVAIGQKASSVAQVVTSLQERGAMEYTIVVAETADSPATLQYLAPYTGAALAEYFMYREQHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSQLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFSSDLDKATQNQLARGQRLRELLKQSQSAPLTVEEQIMTIYTGTNGYLDGLEIGQVRKFLVQLRTYLKTNKPQFEEIIASTKTLTAEAESFLKEGIQEQLERFLLQEKV >fgenesh2_kg.2__715__ATCG00050.1 pep chromosome:v.1.0:2:8830261:8831393:1 gene:fgenesh2_kg.2__715__ATCG00050.1 transcript:fgenesh2_kg.2__715__ATCG00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S16 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KRD2] MVKLRLKRCGRKQRAVYRIVAIDVRSRREGRDLSKVGFYDPITNQTFLNLPAILDFLKKGAQPTRTVHDISKKAGIFTE >fgenesh2_kg.2__716__AT4G30280.1 pep chromosome:v.1.0:2:8928790:8930048:1 gene:fgenesh2_kg.2__716__AT4G30280.1 transcript:fgenesh2_kg.2__716__AT4G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7KRD7] MKLSCGTSFSFLILFLLAGQYVHVYAGSFHKDVQIHWGDGRGKIHDKDGKLLSLSLDKSSGSGFQSNQEFLYGKAEVQMKLVPGNSAGTVTTFYLKSPGTTWDEIDFEFLGNISGHPYTLHTNVYTKGTGDKEQQFHLWFDPTVNFHTYCITWNPQRIIFTVDGIPIREFKNSEAIGALRESLGSRALGNKRRIRENRLVKSSFYCFLQKLQCRWMVWANGKSSCSANSPWFTQKLDSNGQTRMKGVQSKYMIYNYCTDKRRFPRDVPAVCT >fgenesh2_kg.2__719__AT1G65320.1 pep chromosome:v.1.0:2:9016465:9019259:-1 gene:fgenesh2_kg.2__719__AT1G65320.1 transcript:fgenesh2_kg.2__719__AT1G65320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFLYHVVGDLTVGKPEMVEFYETETVESAIRAIGESTECGIPVWRKRSTPNLPGFVENSEMRQQRFVGILNSLDIVAFLAKSECLQEEKAMKIPVSEVVSPDNTLLKQVDPGTRLIDALEMMKQGVRRLLVPKSVVWRGMSKRFSILYNGKWLKNSENSSSSSGLAADSTNRPTTSMTSCRDKFCCLSREDVIRFLIGVLGALAPLPLTSISTLGIINQNYNFIEAYLPAIEATRRPPCDPSAIAVLEQTENEQQFKIIGEISASKLWKCDYLAAAWALANLYAGQFVMGVEDNMSSRSFSDFLQTSFPGGEQNGTTKAKKFSSRSIGFNPTSPTRLSIGRSMYRGRSAPLTCKTSSSLAAVMAQMLSHRATHVWVTEADSDDVLVGVVGYGEILTAVTKQPSAFVPSHRSYEGFGNENQS >fgenesh2_kg.2__721__AT1G65340.1 pep chromosome:v.1.0:2:9120074:9121589:-1 gene:fgenesh2_kg.2__721__AT1G65340.1 transcript:fgenesh2_kg.2__721__AT1G65340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP96A3 [Source:UniProtKB/TrEMBL;Acc:D7KRF3] MAIVIGLLEIFIAFVFFIFFQYLLLHKKSPKPLLTNWPVLGMLPGLLLQVPRIYDWITEVLEATDMTFCFKGPYLSGMDILLTVDPFKKIFEVVGDSIFNVDSGLWEDMRNSSHAIFSHQDFQRFWVRASVNKLRQGLVPILENAADKNILVDFQDLFQRFLFDTSLILMTGYDPKCLSIEMPKVEFGDAVDGVSDGVFYRHVKPVFLWRFQYWIGVGVEKRLKRGLAVFDQLLEKIITTKREEIKSHGTQHHSRGEAIDVLTYYMTVDTTKYKNLKPSDDKFIKDTILGFLIAARDTTSSALTWFFWLLSKNPEAMNKIRQEVNKKMPRFNPADLDKLVYLHGAVCETLRLYPPVPFNHKSPAMPDVLPSGHKVDENWKIVISMYALGRMKSVWGDDAEDFRPEACLGKKLTFLQMKTVAAEIIRNYDIKVVEGHKTEPVPSVLFRMQHGLKVNITKI >fgenesh2_kg.2__722__AT1G65342.1 pep chromosome:v.1.0:2:9124196:9124615:1 gene:fgenesh2_kg.2__722__AT1G65342.1 transcript:fgenesh2_kg.2__722__AT1G65342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTCRISFISSMILLLFINQSALSSSVRIGLQEGRDLVHHRTLDEIERADKARLRVEIIGRSYARKGQVTESIIYPRAFDLVALGFDTSMYHINPFFY >fgenesh2_kg.2__723__ATCG00270.1 pep chromosome:v.1.0:2:9134750:9135809:1 gene:fgenesh2_kg.2__723__ATCG00270.1 transcript:fgenesh2_kg.2__723__ATCG00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II D2 protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KRF7] MTIALGKFTKDEKDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFALGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWAFVALHGAFALIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANSFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >fgenesh2_kg.2__725__ATCG00340.1 pep chromosome:v.1.0:2:9139498:9141564:-1 gene:fgenesh2_kg.2__725__ATCG00340.1 transcript:fgenesh2_kg.2__725__ATCG00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A2 [Source:UniProtKB/TrEMBL;Acc:D7KRG1] MALRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDTTEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFETWVQDPLHVRPIAHTIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSALSLIGGWLHLQPKWKPRVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLNVLPHPQGLGPLFTGQWNLYAQNPDSSSHLFGTSQGSGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAILFLIAGHMYRTNFGIGHSIKDLLEAHIPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDVLLSSTSGPAFNAGRSIWLPGWLNAINENSNSLFLTIGLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGRLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIR >fgenesh2_kg.2__727__AT2G12905.1 pep chromosome:v.1.0:2:9145028:9145246:1 gene:fgenesh2_kg.2__727__AT2G12905.1 transcript:fgenesh2_kg.2__727__AT2G12905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRVSKVRTRWMFIVPTMLISPNPNEEEERKGIIFKKVFVLLISRRSASSPMPPIRILY >fgenesh2_kg.2__729__ATCG00430.1 pep chromosome:v.1.0:2:9150503:9150928:-1 gene:fgenesh2_kg.2__729__ATCG00430.1 transcript:fgenesh2_kg.2__729__ATCG00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDAITKLRKKIAREIYKDRIRPQQGNRCFTTNHKFFVVRSPHIGNYDQELLYPPSSTSEISTEKFFKYKSPVSSHELVN >fgenesh2_kg.2__730__ATCG00470.1 pep chromosome:v.1.0:2:9153516:9153736:-1 gene:fgenesh2_kg.2__730__ATCG00470.1 transcript:fgenesh2_kg.2__730__ATCG00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KRH0] MALMGGFARIGNNEITILVNDAEKNSDIDPQEAQQTLEIAEANLRKAEGKRQTIEANLALKRARTRVEALNTI >fgenesh2_kg.2__731__ATCG00480.1 pep chromosome:v.1.0:2:9153911:9155406:-1 gene:fgenesh2_kg.2__731__ATCG00480.1 transcript:fgenesh2_kg.2__731__ATCG00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KRH1] MRTNPTTSDPEVSIREKKNLGRIAQIIGPVLDVAFPPGKMPNIYNALVVKGRDTLGQEINVTCEVQQLLGNNRVRAVAMSATEGLKRGMDVVDMGNPLSVPVGGATLGRIFNVLGEPVDNLGPVDTRTTSPIHKSAPAFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEQNLAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFINNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGTLQERITSTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQQVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFKLILSGEFDSLPEQAFYLVGNIDEATAKATNLEMESKLKK >fgenesh2_kg.2__732__ATCG00490.1 pep chromosome:v.1.0:2:9156222:9157661:1 gene:fgenesh2_kg.2__732__ATCG00490.1 transcript:fgenesh2_kg.2__732__ATCG00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Large subunit of riblose-1,5-bisphosphate carboxylase/oxygenase [Source:UniProtKB/TrEMBL;Acc:D7KRH2] MSPQTETKASVGFKAGVKEYKLTYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVPGEETQFIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALAALRLEDLRIPPAYTKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVKSQPFMRWRDRFLFCAEAIYKSQAETGEIKGHYLNAIAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHHAMHAVIDRQKNHGMHFRVLAKALRLSGGDHVHAGTVVGKLEGDRESTLGFVDLLRDDYVEKDRSRGIFFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAVEGNEIIREACKWSPELAAACEVWKEIRFNFPTVDKLDGQE >fgenesh2_kg.2__735__ATCG00520.1 pep chromosome:v.1.0:2:9161004:9161557:1 gene:fgenesh2_kg.2__735__ATCG00520.1 transcript:fgenesh2_kg.2__735__ATCG00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KRH5] MSFYGIAGLFISCYLWCTILWNVGSGYYLFDRKEGIVRIFRWGFPGKSRRIFLRFFMKDIQSIRIEVKEGVSARRVLYMEIRGQGAIPLIRTDENFTTREIEQKAAELAYFLRVPIEVF >fgenesh2_kg.2__736__ATCG00540.1 pep chromosome:v.1.0:2:9162903:9163852:1 gene:fgenesh2_kg.2__736__ATCG00540.1 transcript:fgenesh2_kg.2__736__ATCG00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTINTFSWIREEITRSISVSLMIYIITWASISSAYPIFAHQNYENPREATGRIVCANCHLANKPVDIEVPQTVLPDTVFEAKPVDIEVPQTVLPDTVFEAVPGQKYSEITFPILAPDPATNKDVHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATAGGIISKILRKEKGGYEITIVDASNGRKVIDIIPRGLELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFLGSVVLAQIFLVLKKKQFEKVQLSEMNF >fgenesh2_kg.2__739__ATCG00710.1 pep chromosome:v.1.0:2:9175866:9176087:1 gene:fgenesh2_kg.2__739__ATCG00710.1 transcript:fgenesh2_kg.2__739__ATCG00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein H [Source:UniProtKB/TrEMBL;Acc:D7KR07] MATQTVEDSSRSGPRSTTVGKLLKPLNSEYGKVAPGWGTTPLMGVAMALFAVFLSIILEIYNSSVLLDGISVN >fgenesh2_kg.2__741__ATCG00730.1 pep chromosome:v.1.0:2:9178576:9179034:1 gene:fgenesh2_kg.2__741__ATCG00730.1 transcript:fgenesh2_kg.2__741__ATCG00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6/f complex subunit IV (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KR05] LNDPVLRAKLAKGMGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPAGLLTVPFLENVNKFQNPFRRPVATTVFLIGTAAALWLGIGATLPIDKSLTLGLF >fgenesh2_kg.2__743__ATCG00750.1 pep chromosome:v.1.0:2:9180256:9180672:-1 gene:fgenesh2_kg.2__743__ATCG00750.1 transcript:fgenesh2_kg.2__743__ATCG00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 [Source:UniProtKB/TrEMBL;Acc:D7KRR5] MAKPILRIGSRKNTRSGSRKNVRRIPKGVIHVQASFNNTIVTVTDVRGRVISWSSVGTCGFRGTRRGTPFAAQTAAGNAIRAVVDQGMQRAEVRIKGPGLGRDAALRAIRRSGILLSFVRDVTPMPYNGCRPPKKRRV >fgenesh2_kg.2__746__ATCG00790.1 pep chromosome:v.1.0:2:9182483:9182730:-1 gene:fgenesh2_kg.2__746__ATCG00790.1 transcript:fgenesh2_kg.2__746__ATCG00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast 50S ribosomal protein L16 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KRR8] MTRNVRRGEKIWVRIFPDKPVTVRPAETRMGSGKGSPEYWVAVAKPGKILYIMGGVPENIARKAISIAASKMPIKTNSLFLN >fgenesh2_kg.2__747__ATCG00800.1 pep chromosome:v.1.0:2:9184294:9184623:-1 gene:fgenesh2_kg.2__747__ATCG00800.1 transcript:fgenesh2_kg.2__747__ATCG00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIARIEIQKRIDLIQIIIYMGFPKLLIEDKPRRVEELQMNVQKELNCVNRKLNIAITRISNPYGDPNILAEFIAGQLKNRVSFRKAMKKAIELTEQANTKGIQVQIA >fgenesh2_kg.2__74__AT1G64110.1 pep chromosome:v.1.0:2:452143:462578:1 gene:fgenesh2_kg.2__74__AT1G64110.1 transcript:fgenesh2_kg.2__74__AT1G64110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:UniProtKB/TrEMBL;Acc:D7KSV6] MLLSALGVGVGVGVGLGLASGQAVGKWAGGNSSSSNAVTADKMEKEILRQVVDGRESKITFDEFPYYLSEQTRVLLTSAAYVHLKHFDASKYTRNLSPASRAILLSGPAELYQQMLAKALAHFFDAKLLLLDVNDFALKIQSKYGSGNTESSSFKRSPSESALEKLSGLFSSFSILPQREESKAGGTLRRQSSGVDIKSSSMEGSSNPPKLRRNSSAAANISNLASSSNQVSAPLKRSSSWSFDEKLLIQSLYKVLAYVSKANPIVLYLRDVENFLFRSQRTYNLFQKLLQKLSGPVLILGSRIVDLSSEDAQEIDEKLSAVFPYNIDIRPPEDETHLVSWKSQLERDMNMIQTQDNRNHIMEVLSENDLICDDLESISFEDTKVLSNYIEEIVVSALSYHLMNNKDPEYRNGKLVISSTSLSHGFSLFREGKAGGREKLKQKTKEEKSKEQKAELAADIKPETKPESVTAASSKEEPEKETKAEKVAPKAPEVAPDNEFEKRIRPEVIPAEEINVTFKDIGALDDIKESLQELVMLPLRRPDLFTGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFTLASKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMSHWDGLMTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPAVENREKILRTLLAKEKVDENLDYKELAMMTEGYTGSDLKNLCTTAAYRPVRELIQQERIKDTEKKKQRETTKAGEEDEGQEERVITLRPLNRQDFKEAKNQVAASFAAEGAGMGELKQWNELYGEGGSRKKEQLTYFL >fgenesh2_kg.2__754__ATCG00860.1 pep chromosome:v.1.0:2:9187784:9206620:1 gene:fgenesh2_kg.2__754__ATCG00860.1 transcript:fgenesh2_kg.2__754__ATCG00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Ycf2 [Source:UniProtKB/TrEMBL;Acc:D7KRS3] MVESKNLYLKGLLPIPMNSIGPRNDTSEESFGSCNINRLIVSLLYLTKGKKISESCFRDPKESTWVLPITQKCIMPESNWSSRWWRNWIGKKRDFCCKISNETVAGIDISFKEKDIKYLEFLFVYYMDDPIRKGHDWELFDRLSPSKRRNIINFNSGQLFEILVKDWICYLMFAFREKIPIEVEGFFKQQGAGSTIQSNDIEHVSHLFSRNKWAISLQNCAQFHMWQFHQDLFVSWGKNPHESDFFRKLSRENWIWLDNVWLVNKDRFFSKVRNVSSNIQYDSTRSRFGISLSHIDQSKRDQQLKERSILLDPSFIQTEGREIESDRFPKYLSGYSSMPRLFTEREKRMNNHLLPEESEEFLGNPTRAIRSFFSDRWSELYLGSNPTERSTRDQKLLKKEQDVSFVPSRRSENKEIVNIFKIITREIQEMADLFTLSITEPDLVYHKGFAFSIDSYGLDQRQFLKEKSLLVLPPIFYEENESFYRRIRKNWVRISCGNYLEDPKRVVFASNNIMEAVNQYRLIRNMIQIQFQYSPYGYIRNVLNRFFLMKRPDRNFEYGIQRDIIGNDTLNHRTIMKDTINQHLSNLKKSQKKWFDPLIFLSQTERSINRDPNAYRYKWSNGSKNFQEHLEHFVSERKSRFQAVFDQLCINKYLIDWSEVIDKKDLSKSLRFFLSKLLRFFLSKLLLFLSKLLLFLSNSLPFFFVSFENIPIHRSEIHIYELKGPNDQLCNQLLESIGLQIVHLKKLKPFLLDDHNTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSIVSHDQDNWLNPVKPFQRSSLISSFSKANRLRFLNNPHHFCFYCNKRFPFYVEKARLNNSDFTYGQFLTILFIHNKIFSSCGGKKKHAFLERDTISPSSIESQSYLLDCRHFWTPLIEGQRVNFERTYCQTLSDMIYPIQKRRACISISISIQTWRKKRSLCLKKCVDKGQMDRTFQRDNSAFSTLSKWNLFQTYMPWFFTSTGYKYLNLIFLDTFSDLLRILSSSQKFVSIFHDIMHGLDISWRILQKKLCLPQRNLISEISSKSLHNLLLSEEMIHRNNESSLISTHLRSPNVREVLYSILFLLLVAGYIVRTHLLFVSRAYSELQTEFEKIKSLMIPSYMIELRKLLDRYPTSELNSFWLKNLFLVALEQLGDCLEEIRGSGGNMLWGGDPAYGVKSIRSKKKDLKINFIDIIDLISIIPNPINRITFSRNTRHLSHTSKEIYSLIRKRKNVSGDWIDDKIESWVANSDSIDDKEREFLVQFSTLRAEKRIDQILLSLTHSDHLSKNDSGYQMIEQPGTIYLRYLVDIHKKYLMNYEFNTSCLAERRIFLAHYQTITYSQTSCGANSFHFPSHGKPFSLRLALSPSRSILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFFIDDIDIDDSDDIDASNDIDRELDTELELLTMMNALTMDMMSEIDRFYITLQFELAKAMSPCIIWIPNIHDLDVNESSYLALGFLFHNNESTFSLFPILGDFTWKRKLHEIFARDLVALTNEALSISITQKKSIIDTNTIRSALHRQTWDLRSQKKSCNEGDSYLYKWYFELGTSMKTFTILLYLLSCSSGSVAQDLWSLPVPDEKNRITSYGFVENDSDLVHGLLEVQGALVGSSRTEKDCSQFDNDRVTLLFRSEPRDPLYMMQDGSCSIVDQRFLYEKYESEFEEGEGEGVLDPQQIEEDLFNHIVWAPRIWRPRGFLFDCIERPNELGFPYSAGSFRGKRIIYDEKYELQENDSEFLQSGTMLYQRRDRSSKEQGFFRISQFIWDPADPLFFLFKDQPFVSVFSHREFFADEEMSKGLLTSQTDPPTSIYKRWFIKNTQEKHFEFSLSNGFFRSNTRSESYQYLSNLFLSNGTLLDRMTKTLLKKRWLFSDEMKIGFM >fgenesh2_kg.2__759__AT4G30280.1 pep chromosome:v.1.0:2:9244879:9246138:-1 gene:fgenesh2_kg.2__759__AT4G30280.1 transcript:fgenesh2_kg.2__759__AT4G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7KRT6] MKLSCGTSFSFLILFLLAGQYVHVYAGSFHKDVQIHWGDGRGKIHDKDGKLLSLSLDKSSGSGFQSNQEFLYGKAEVQMKLVPGNSAGTVTTFYLKSPGTTWDEIDFEFLGNISGHPYTLHTNVYTKGTGDKEQQFHLWFDPTVNFHTYCITWNPQRIIFTVDGIPIREFKNSEAIGVPFPTRQPMRLYASLWEAEHWATRGGLEKTDWSKAPFTAFYRNYNVDGCVWANGKSSCSENSPWFTQKLDSNGQTRMKGVQSKYMIYNYCTDKRRFPRDVPAVCT >fgenesh2_kg.2__762__AT1G65380.1 pep chromosome:v.1.0:2:9334681:9337110:1 gene:fgenesh2_kg.2__762__AT1G65380.1 transcript:fgenesh2_kg.2__762__AT1G65380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIADFTLFFLFFFVSSPSLLLAQSQLPNIDPQDKASLLIFRVSIHDLNRSLSTWYGSSCSNWTGLACQNPTGKVLSLTLSGLNLSGQIHPSLCELSSLQSLDLSHNNFSANIPSCFGSLRNLRTLNLSRNSFFGSIPASFLSLKELREVVLSENRDLGGVIPHWFGGFSMNLERVDLSFCSFLGELPESLLYLKSLKYLNLESNNLTGTLRDFQQPLVVLNLASNRFTGTLPCFYASRPSLSVLNLAENSLVGGLPSCLGSLKELSHLNLSFNGFNYEISPRLMFSEKLVMLDLSHNGFSGRLPSRISETTEKLGLVLLDLSHNRFSGDIPLRITELKSLQALRLSHNLLTGDIPARIGNLTYLQVIDLSHNALTGSIPLNIVGCFQLLALMISNNNLSGEIQPELDALDSLKILDISHNQISGEIPLTLAGLKSLEIVDISSNNLSGNLNEAITKWSNLKYLSLARNKFSGTLPSWLFKFDKIQMIDYSCNRFSWFIPDDNLNSTRFKDFQTSGDERFAEPPGKVEIKISAAVVAKDELSFSYNLLSMVGVDLSDNLLHGEIPEALFRQKNIEYLNLSYNFLEGQLPRLEKLPRLKALDLSHNSLSGQVTGNISAPPGLTLLNLSHNCFSGIITEKEGLGKFPGALAGNPELCMESPGSKCDPANIDASQEEIYQNELVEGPISIWIFCLSAFISFDFGVLGIFCSARARSYILQTKA >fgenesh2_kg.2__763__AT1G65390.1 pep chromosome:v.1.0:2:9341122:9344066:1 gene:fgenesh2_kg.2__763__AT1G65390.1 transcript:fgenesh2_kg.2__763__AT1G65390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPP2-A5 [Source:UniProtKB/TrEMBL;Acc:D7KRU8] MSGASSVSSICSSNVSLIPTGPQVFINFRGKDLRKGFLSFLSPALKKEKINVFIDEQEERGKYLISLFNTIGESKIALVIFSEGYCESHWCMDELVTIKEYMDKNRLKIIPIFYRLELDVVKDLTGKFGDNFWNMVDNYQPEPEKLHKWTEALFSVCQLFALILPKHSDTSDRDFVKVIVKAVKKVQRNFSQGRNGEIGDQGFLIPTSKLTITMHESPNEEAVQVSVLNEFYQMRNQSPGPSHEVIKFAVLTRPKGNVFMIDARDLSIAWSENSNHWTWLPLPNQKSNESVVEIAFLKKASWLDIAGKFDTRYLSPRTRYEVVFVVKLEYTFEWETPVKLKLDLPNTWEKPQEQSVDMFDYISNQWLEISVGEFTTSMKNVGEISFAMYEHECQLWKSGLFVKGVTIRPKF >fgenesh2_kg.2__765__AT1G65410.1 pep chromosome:v.1.0:2:9358986:9361572:1 gene:fgenesh2_kg.2__765__AT1G65410.1 transcript:fgenesh2_kg.2__765__AT1G65410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATNAP11 [Source:UniProtKB/TrEMBL;Acc:D7KRV3] MLSLSSSSSSLLRPSSSSGPSIVVPRRSFISFRRKVSCCCIAPPQNLDNDATKFDSLTKSGGGMRKQRELENDSDVLIECRDVYKSFGEKHILKGVSFKIRHGEAVGVIGPSGTGKSTILKIMAGLLAPDKGEVYIRGKKRAGLISDEEISGLRIGLVFQSAALFDSLSVRENVGFLLYERSKMSENQISELVTQTLAAVGLKGVENRLPSELSGGMKKRVALARSLIFDTTKEVIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHMTDEDAVGKPGKIASYLVVTHQHSTIQRAVDRLLFLYEGKIVWQGMTHEFTTSTNPIVQQFATGSLDGPIRY >fgenesh2_kg.2__76__AT1G64090.1 pep chromosome:v.1.0:2:467344:468838:-1 gene:fgenesh2_kg.2__76__AT1G64090.1 transcript:fgenesh2_kg.2__76__AT1G64090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:D7KSV8] MAEEHKHEESIMEKIAEKIHGHDDGSSSSSSDSDDDKKSASLKTKIYRLFGREQPLHKVFGGGKPADIFLWRNKKVSGGVLGAATVSWILFELLEYNLLTLFGHISILALAVLFLWSSATTFIHKSPPHIPEVHIPEEVVLELASGLRIEINRGFTVLRDIASGRDLKKFLLVIAGLWVLSKLGSSCNFLTLIYIATVLLFTIPVLYEKYEDKVDDFGEKAMREIKKQYVEFDVKVLSKVMSKIPKGAFYKKKD >fgenesh2_kg.2__775__AT5G51300.3 pep chromosome:v.1.0:2:9582029:9585285:1 gene:fgenesh2_kg.2__775__AT5G51300.3 transcript:fgenesh2_kg.2__775__AT5G51300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESVELNNPNPNSQTLHQPPPSSNGDTAPLASDHMNPQNSDSVALNGSSTPTPDTNGSSAKPEFLRPLLSENDKDQSGGEEETTSRRKRRSRWDPPPSEGGTDSEPGIRKRKSRWADDEPKPQIQLPDFMKDFTGGIEFDPEIQALNSRLLEISRMLQSGMPLDDRPEGQRSPSPEPVYDNMGIRINTREYRARERLNRERQEIIAQIIKKNPAFKPPADYRPPKLHKKLFIPMKEFPGYNFIGLIIGPRGNTQKRMERETGAKIVIRGKGSVKEGRHQQKKDLKYDPAENEDLHVLVEAETQDALEAAAGMVEKLLQPVDEVLNEHKRQQLRELATLNGTIRDEEFCRLCGEPGHRQYACPSRTNTFKSDVLCKICGDGGHPTIDCPVKGTTGKKMDDEYQNFLAELGGTVPESSLKQSATLALGPGSNPPWANNAGNGASAHPGLGSTPTKPPSKEYDETNLYIGFLPPMLEDDGLINLFSSFGEIVMAKVIKDRVTGLSKGYGFVKYADVQMANTAVQAMNGYRFEGRTLAVRIAGKLPPPTAPPGPPAPTQGYPPSNQPPGAYPSQQYATGGYSTAPVPWGPPVPSYSPYAPPPPPPGSYHPVHGQHMSPYGMQYPPPPPHVTQAPPPGTTQNPSSSEPQQSFPPGVQADSGAATSVPPNVYGSSVTAMPGQPPYMSYTSYYNAVPPPPPPAPASSTDHSQNMGNMPWANNPPVSTPEHSQGLGNASWAPNPPMPPTVGYSQSMGNVPWAPKPPVQPPAENPSTVGDSEYEKFMAEMK >fgenesh2_kg.2__779__AT1G65486.1 pep chromosome:v.1.0:2:9668666:9669126:1 gene:fgenesh2_kg.2__779__AT1G65486.1 transcript:fgenesh2_kg.2__779__AT1G65486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSPNIAAFVLPMLLILFTISSQVEVVECTGRKLSWGFNGAPIVYTPPSSSCGGSPAATMASEWMPNRPCRRSRPPGTNIPVSQSP >fgenesh2_kg.2__77__AT1G64080.1 pep chromosome:v.1.0:2:471510:473305:1 gene:fgenesh2_kg.2__77__AT1G64080.1 transcript:fgenesh2_kg.2__77__AT1G64080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFSLLNYWKNSGGALTFLPQSSDSSSSCRYSAGESTGTTTIVTSVTGTEDEEADYDNDEGPFFDLEFALPAEEDEEEEENTDGGVGREGDSDCTDGGCEFKFTLSSCSGGEDRVDPDSLDDVFFKETIVEEVEPPSSSEQQTCSVKAPAAQLSASILKSATKLRVFMLGMKKSKLIQAKSEANFGSEDLEKNNPPLPPTSLSPESQQKSTVTVNLKVEEVPIISLFTRENSSKNSSSSSSSSSSSSSSLRKQNGNESVVSDEKRFVMMQKYLKKVKPLYIRVSRRYGEKLRHSGQLTAPSARSTAEKTESPTATKTIKPGNININIPAGLKVVRKHLGKSRSSSSTATTPSSTAATVTTPSESRRRDDSLLQQQDSIQSAILHCKRSFNSSRDKDPSVLPRSVSEPSSYEK >fgenesh2_kg.2__780__AT1G65490.1 pep chromosome:v.1.0:2:9672938:9673427:1 gene:fgenesh2_kg.2__780__AT1G65490.1 transcript:fgenesh2_kg.2__780__AT1G65490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KSB8] NIVALVLPLLLILFTLSSQVEVVESTGRKLAFWRNPIVWTPHSNSCGGSPASVFSSSKWTTGQPCRRSRPPGTNIPVSDQSP >fgenesh2_kg.2__782__AT1G65520.1 pep chromosome:v.1.0:2:9716512:9717270:-1 gene:fgenesh2_kg.2__782__AT1G65520.1 transcript:fgenesh2_kg.2__782__AT1G65520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase/isomerase family protein [Source:UniProtKB/TrEMBL;Acc:D7KSC7] MCSLEKRDRLFILKLTGDGEHRLNPTLLDSLRSTINKIRSDPSSSKSVLITTSDGKFFSNGYDLALAESNPSLSVLMDAKLRSLIADLISLPMPTIAAVTGHASAAGCILAMSHDYVLMRRDRGFLYMSELDIELIVPAWFMAVIRMKIGSPAARRDVILTAAKVTADVGVKMGIVDSAYDSAVETVEAAVKLGEEIIKRGGDGHVYGKMRETLLREVLSHTIGEDESGLSVVRNTGSKL >fgenesh2_kg.2__783__AT1G65540.1 pep chromosome:v.1.0:2:9718581:9722994:-1 gene:fgenesh2_kg.2__783__AT1G65540.1 transcript:fgenesh2_kg.2__783__AT1G65540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7KSC8] MASRALLRRRSYNVVKSLSEHLPTIQCLSSIERQGQRGYENITSGYVSGKRDFKDVKNKESTGLLEGFYTSRLLQSPNFSNGVGRLEFPYPLGYRLVRQSMYSSLATANKPDHDKKGEKLTLQTKEASPEECDEAVEGLSLAKAKAKAMKLEESQKSDISIMQRVRSFLLGIGPALRAIASMSREDWAKKLRHWKDEFKSTLQHYWLGTKLLWADVRISVRLLVKLANGKGLSRRERQQLTRTTADIFRLVPVAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRRLNARMEYAKFLQDTVKEMAKEVQTSRSGEIKKTAEDLDGFMNKVRRGVGVSNDEILGFAKLFNDELTLDNINRSRLVNMCKYMGISPFGTDAYLRYMLRKRLQEIKKDDKLIKAEGVESLSEAELRQACRERGMLQLGSVEEMREQLIDWLDLSLNHSVPSSLLILSRSFSMAGKLKPEEAVQATLSSLPDEVVDTVGVTALSSEDSVSERKRKLEYLEMQEELIKEEEEEEEEEMAKMKESASSQKDVALDEMMASTAKDANEQAKAKTLEKHEQLCELSRALAVLASASSVSMEREEFLKLVKKEVDLYNSMVEKGGTDDEEEARKAYLAAREDSDRSAQKAIADKTSSALLDRVETMLQKLEKEIDDVDNKIGNRWRLLDRDYDGKVSPDEVASAAMYLKDTLGKEGIQELIQNLSKDKDGKILVEDLVKLASEIEDAEADETDEPTTKS >fgenesh2_kg.2__784__AT1G65550.1 pep chromosome:v.1.0:2:9724206:9727786:-1 gene:fgenesh2_kg.2__784__AT1G65550.1 transcript:fgenesh2_kg.2__784__AT1G65550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:UniProtKB/TrEMBL;Acc:D7KSC9] MTNGGGNNGGGRNTGVGNRTEELQPHPVKEQLPEIQYCVNSPPPWFEAVVLGFQHYLLSLGITVLIPSLLVPLMGGGDAEKVKVIQTLLFVSGLTTLFQSFFGTRLPVIAAASYAYIIPITSIIYSARFTYYIDPFERFVRTMRSIQGALIITGCFQVLVCFLGVWRNIVRLLSPLSIASLATFTGLGLYHIGFPLLARCIEVGLPGLILLVFITQYLPRFLKMKKGAMIWDGNRCDRYGMMLCIPVVWLFAQLLTSTGVYDHKPQTTQISCRTDRTGLITNTPWIYIPYPFQWGSPTFDITDSFAMMAASLVTLFESTGLFYASARYGSATPIPPSIVSRGTGWLGVGVLLNGMLGGITGITTSTENVGLLAMTKIGSRRVIQISAAFMLFFSIFGKFGAFFASIPLPIMASLYCIVLCFVSSAGLSFLQFCNLNSFNTKFILGFSFFMAISIPQYFREYYNGGWRSDHRANWLEDVIRVIFMSHTTVAAIIAIVLDCTLCRESDEAKKDCGLKWWDKFRLYNLDVRNDEFYGLPFGLNKFFPSH >fgenesh2_kg.2__787__AT1G65580.1 pep chromosome:v.1.0:2:9759700:9766328:-1 gene:fgenesh2_kg.2__787__AT1G65580.1 transcript:fgenesh2_kg.2__787__AT1G65580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRQNDQNDDVFSFFSPPYESTFSAATPSTLFNRSAYSSSSSSGDDESQPSVDDSNKRIDYMIQFLDRRLSEDGNHDGIGDGNGPDSLPEFVGKCGESGIFKVPIRSAVHPNRPPSLDVRPHPLRETQIGRFLRTMTSTERQLWTGGEDGALRVWEFSELYGSGRGLEVEDTAPYKESLGNEIGSAVVCMIGDEGSRVVWSGHRDGRIRCWRLRGDHGIEEALSWQAHRGPVLSIAVSAYGDIWSGSEGGALKVWPWDALGKSLSLKMEERHMAALSVERSYIDPRNMVSANGFANTLTSDVTFLVSDHTRARVWSASPLTFALWDARTRDLIKVFNIDGQLENRTENSVYPDFGSEEEGKMKITASKKEKAQSSLGFFQRSRNALMGAADAVRRAATKGGFCDDSRKTEAIVISVDGLIWTGSSNGVLMRWDGNGNCLQEFSYQSSGILCMFTFCSRLWVGYSNGTVQVLDLEGKLLGGWVAHSGPVIKMAIGAGYLFTLANHGGIRGWNVTSPGPLDNVLRAELAGKEFLYSRIENLKILAGTWNVGEGRASTDSLVSWLGCTATGVEIVVVGLQEVEMGAGVLAMSAAKETVGLEGSPLGQWWLDMIGKTLDEGSSFVRVGSRQLAGLLICVWVRHDLKPYVGDVDAAAVPCGFGRAIGNKGAVGVRLRMYDRVLCFVNCHFAAHLDAVNRRNADFDHVYRTMTFSRQSSSLNAGVAGASFGVSVPRGGNATGVNIVEARPELSEADMIIFLGDFNYRLDDITYDETRDFISQRCFDWLREKDQLHAEMEAGNVFQGMREAIIRFPPTYKFERHQAGLAGYDSGEKKRIPAWCDRILYRDNKKHLGAECSLDCPVVSSVSQYDACMDVTDSDHKPVRCVFSVKIARVDESVRRQEFGNIINSNKKIKVLLGELSKVPETIVSTNNIILQNQDSTILRITNKSEKNIAFFKIICEGQSNIEEDGQAHDHRARGSFGFPQWLEVSPGTGTVKPNQIAEVSVHLEDFPTVEEFVDGVAQNSWCEDTRDEEVILVLVVHGRFSTETRKHRIRVRHCPRGGPAKNHFNDRPKTSGQINALHRSDYHQLSNTLDVVEQLKNLHSP >fgenesh2_kg.2__788__AT1G65590.1 pep chromosome:v.1.0:2:9783766:9789233:1 gene:fgenesh2_kg.2__788__AT1G65590.1 transcript:fgenesh2_kg.2__788__AT1G65590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:UniProtKB/TrEMBL;Acc:D7KSD5] MRGRGAKIAGVLPLFMLFIAGTISAFEDIERLRIWPLPAQVSHGGRRMYISGDFKLVTEGSKYGDTSGILKEGFDRMLSIVRLSHVISGDRNSSGSGGSALLQGLHVIISSSTDELEYEADESYKLVVPSPEKPSYAQLEAKSVYGALHGLQTFSQLCHFNLKKKVIEILMTPWNITDQPRFSYRGLLIDTSRHYLPLPVIKNVIDSMTYAKLNVLHWHIVDTQSFPLEIPSYPKLWNGAYSSSQRYTFEDAAEIVNYAQRRGIHVLAEIDVPGHALSWGKGYPALWPSKNCQEPLDVSSDFTFKVIDGILSDFSKIFKFKFVHLGGDEVNTTCWSATPRIAQWLKKHRMSEGEAYQYFVLRAQKIALSHGYEIINWEETFINFGSKLNSKTVVHNWLNTGLVENVTASGLRCIVSNQEYWYLDHIDAPWQGFYANEPLQNITDKKQQSLVLGGEVCMWGEHIDASDIEQTIWPRAAAAAERLWTPYAKLAKNPNKVTTRLAHFRCLLNRRGVAAAPLVGGGRVVPFEPGSCLAQ >fgenesh2_kg.2__78__AT1G64065.1 pep chromosome:v.1.0:2:474722:475639:-1 gene:fgenesh2_kg.2__78__AT1G64065.1 transcript:fgenesh2_kg.2__78__AT1G64065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEDRITLAPTAIYGRSDEEQSGPRIWRRKTEEPPGKCLVYSLTIIVIIFALCLILSSIFLRISKPEIETRSISTRDLRFGGNSTNPYFNATLVSDISIRNSNFGAFEFEDSSLRVVYADHGVVGETKIAGRRVEAHKTVRITDVVVEIGSFRLLNTKDLDSDLRLGFLELRSVAEVRGRIKVLGRRRWKVSVMSCTMRLNLTGRFIQNLLCE >fgenesh2_kg.2__790__AT5G35207.1 pep chromosome:v.1.0:2:9854375:9855084:-1 gene:fgenesh2_kg.2__790__AT5G35207.1 transcript:fgenesh2_kg.2__790__AT5G35207.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KSE3] RTNEMGILSLMYLNSYIQNQPALHKWISLMLEIYMPSNLGNMMATRARVRDRIKHEELKADLVEHVWQHYYQNQS >fgenesh2_kg.2__795__AT5G34837.1 pep chromosome:v.1.0:2:9856332:9857272:-1 gene:fgenesh2_kg.2__795__AT5G34837.1 transcript:fgenesh2_kg.2__795__AT5G34837.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KSE4] MAHQIFSKDYGCKFTCEHAWRELRYDQKWIGQSTHGKAKRRKCEADSDSVGVEDKEARPIGVKAAKAAAKAKGKAKL >fgenesh2_kg.2__796__AT1G65620.2 pep chromosome:v.1.0:2:9873267:9876082:1 gene:fgenesh2_kg.2__796__AT1G65620.2 transcript:fgenesh2_kg.2__796__AT1G65620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFANVHKVFGASNVTKLLNELHPSQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQIDLSCAKSELSKYQSLGILAATHQSLGINLLAGAADGTATATVRDHHYHHHQFFPREQMFGGLDVPAGSNYDGGILAIGQITQFQQPRAAAGDDGRRTVDPS >fgenesh2_kg.2__79__AT1G64060.1 pep chromosome:v.1.0:2:478117:485442:-1 gene:fgenesh2_kg.2__79__AT1G64060.1 transcript:fgenesh2_kg.2__79__AT1G64060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Respiratory burst oxidase [Source:UniProtKB/TrEMBL;Acc:D7KSW1] MKPFSKNDRRRWSFDSVSAGKTAVGSASTSPGTEYSINGDQEFVEVTIDLQDDDTIVLRSVEPATTAIGDISDDTTGIMTPVSISRSPTMKRTSSNRFRQFSQELKAEAVAKAKQLSQELKRFSWSRSFSGNLTTTSTAANQSGGGGGLVNSALEARALRKQRAQLDRTRSSAQRALRGLRFISNKQTNVDGWNDVQSNFEKFEKNGYIYRSDFAQCIGMKDSKEFALELFDALSRRRRLKVEKINHDELYEYWSQINDESFDSRLQIFFDIVDKNEDGRITEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNLQGLRKKSRIHRMSSDFVYFMQENWKRIWVLSLWIMIMIGLFLWKFFQYKQKDAFHVMGYCLLTAKGAAETLKFNMALILFPVCRNTITWLRSTRLSYFVPFDDNINFHKTIAGAIVVAVILHIGDHIACDFPRIVRATEYDYNRYLFHYFQTKQPTYFDLVKGPEGITGILMVILMIISFTLATRWFRRNLVKLPKPFDRLTGFNAFWYSHHLFVIVYILLILHGTFLYFAKPWYVRTTWMYLAVPILLYGGERTLRYFRSGSYSVRLLKVAIYPGNVLTLQMSKPTQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPEDDYVSIHIRQLGDWTQELKRVFSEVCEPPVAGKSGLLRADETTKKSLPKLLIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIVKMEEHADSISDFSRSSEHSTGSNGDPPRRKRILKTTNAYFYWVTREQGSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTRVRTHFARPNWKKVLTKLSSKHCNARIGVFYCGVPVLGKELSKLCNTFNQKGSTKFEFHKEHF >fgenesh2_kg.2__801__AT1G65650.1 pep chromosome:v.1.0:2:9924506:9927065:-1 gene:fgenesh2_kg.2__801__AT1G65650.1 transcript:fgenesh2_kg.2__801__AT1G65650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:D7KSF3] MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDSLNNLRPVYGLIFLFKWQVGEKDDRPTIQDQVSNLFFANQVINNACATQAILAILLNSPEVDIGPELSALKEFTKNFPSDLKGLAINNSEAIRAAHNSFARPEPFVPEEQKAATKDDDVYHFISYIPVDGVLYELDGLKEGPISLGPCPGDQTGIEWLKMVQPVIQERIERYSQSEIRFNLLAVIKNRKDIYTAELKELQRQREQLLQQANTCVDKSEAEAVNALIEEVGSGIEAASDKIVMEEEKFMKWRTENIRRKHNYIPFLFNFLKLLAEKKQLKPLIEKAKKQKTESST >fgenesh2_kg.2__802__AT4G37120.1 pep chromosome:v.1.0:2:9928438:9931494:-1 gene:fgenesh2_kg.2__802__AT4G37120.1 transcript:fgenesh2_kg.2__802__AT4G37120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SLU7-A [Source:UniProtKB/TrEMBL;Acc:D7KSF4] MATASVAFKSREDHRKQIELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNSEKPSLKHQRKWKSDPNYTKSWYDRGAKIFQAEKYRKGACQNCGAMTHTAKGCMDRPRKVGAKYTNKNIAPDEKIESFELDYDGKRDRWNGYDPSTYHRVIDLYEAKEDARKKYLKEQQLKKLEEKNNNEKGDEATSDGEEEEDDLRVDEAKVDESRQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPDADPNDKFYLGDNQYRNSGQALEFKQLNIHSWEAFDKGQDMHMQAAPSQAELLYKSFQVAKEKLKSQTKDTIMDKYGNAATEDEIPMELLLGQSERQVEYDRAGRIIKGQEVILPKSKYEEDVHANNHTSVWGSYWKDHQWGYKCCQQIIRNSYCTGSAGIEAAEAALDLMKANIARKEASEESPKKVEEKRMASWGTDIPEDLELNEEALANALKKEDLSRREEKDERKRKYNVKYKNDVTPEEMEAYRMKRVHHEDPMRDFLG >fgenesh2_kg.2__806__AT1G65720.1 pep chromosome:v.1.0:2:10011019:10011792:-1 gene:fgenesh2_kg.2__806__AT1G65720.1 transcript:fgenesh2_kg.2__806__AT1G65720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYLRFAIAVITFLSITTISVARPCKTFLISSYSLSITPENPNLESDFTSTRFVTVFTIRRLNPHHIVPFFVNRRHEKPQIQSDRSLPLISENINSFRDRTRDILSVVVALLFGVGCGALTAATMYLVWALVVNRQSFDFDEEDDYENDESDAASLKKLGYVKIPAPAPAPVKESA >fgenesh2_kg.2__807__AT1G65730.1 pep chromosome:v.1.0:2:10037950:10042365:1 gene:fgenesh2_kg.2__807__AT1G65730.1 transcript:fgenesh2_kg.2__807__AT1G65730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQRSKKDDDLNNNNNGSKSNEEEEEISVERIFEASHEIPPPWQKQLTFRALIVSFILAILFTFVVMKLNLTTGIIPSLNISAGLLGFFFVKTWTKILNKAGFLKQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGMSDIVAKQSAEANTPMNIKNPHLGWMIGFLFVVSFLGLFSVVPLRKIMIVDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVRALGKFFSFSFLWGFFQWFFTSGDDCGFANFPTFGLKAYENKFYFDFSATYVGVGMICPYLINVSLLIGSILSWGIMWPLIGAQKGKWYSADLESSSLHGLQGYKVFIAIAMILGDGLYNFIKVLGRTVFGLYKQFKNKDVLPVNDRSSPATVTISYDDKRRTELFLKDRIPSWFAVTGYVVMAIVSIITVPHIFHQLKWYHILTMYIIAPVLAFCNAYGCGLTDWSLASTYGKLAIFTIGAWAGAANGGVLAGLAACGVMMNIVSTASDLMQDFKTGYMTLASPRSMFLSQAIGTAMGCVISPCVFWLFYKAFPDFGQTGTAYPAPYALVYRNMSILGVEGFSALPKHCLMLCYIFFAAAVIVNGVRDAVGPRWARFIPLPMAMAIPFYIGGYFTIDMCVGSLILFIWRKLNKPKADAYSSAVASGLICGEGIWTLPSSILALAGVKPPICMKFLSTAANLKVDSLLNAS >fgenesh2_kg.2__808__AT3G24550.1 pep chromosome:v.1.0:2:10112884:10116015:-1 gene:fgenesh2_kg.2__808__AT3G24550.1 transcript:fgenesh2_kg.2__808__AT3G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KSH5] IEAKSKKQKYPRRRLRELLHHHLHHLLLLQTQQPPLLLLLLLYLLHLLLDLGLFLFLNLLLPLPSLHLRRLPPLLDQLKALHLQAKDHQPPLQDLLLELHLQTHQGALLLLLPRHRVVVGIAIGGVAVLVFLTLICLLCKKKRRRRHDDEAAYYVPPPPPPPGPKAGGPYGGQQQYWQQQNASQPSDNHVMPSLPPPPKPPSPPRQPPPPPPPPAYMSSSGNSDYSDLPVLPPPSPGLVLGFSKSTFTYEELSRATNGFSEANLLGQGGFGYVHKGILPSGKEVAVKQLKAGSGQGEREFQAEVEIIGRVHHRHLVSLIGYCMAGAQRLLVYEFVPNNNLEFHLHGKGRPTMEWSTRLKIALGSAKGLSYLHEDLCAIRKSFTVILRQQIYLWISNFKLSVFVADFGLAKIASDTNTHVSTRLMGTFGYLAPEYAASGKLTEKSDVFSFGVVLLELITGRCPVDANNVYVDDSLVDWARPLLNRASEEGDFDGLADSKMGNEYDKEEMARMVACTAACVRHSARRRPRMSQIVRALEGNVSLSDLNEGMRPGHSNVYSSYGGSTDYDTSQYNDDMKKFRKMALGTQRVRHNRRIHSEGQATREMEMGKIKRTGQGYSGPSL >fgenesh2_kg.2__80__AT1G64050.1 pep chromosome:v.1.0:2:491688:495262:-1 gene:fgenesh2_kg.2__80__AT1G64050.1 transcript:fgenesh2_kg.2__80__AT1G64050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLKISCPVDVSLPAKLMGSEGCGGGVRVSSNKADNNCEKARVSIGVNSSIERCSASINKKGAGSSGGGSDSSLWRKLMHSHDFVHDRLTKLRVDNSSEPQNGYSPIASPESAESPRKRGKLSRSSSNGTPRRTKLILLDETVSIPRDNDTKEICGQGSTSCLDKPFVVKQRTSCNGKRGDKRISKVPVRTFSTITSATGENAFFGAYGLKPAINDVTKLVEDLSLKNLLEGSYECPSLGKDKMKKLENTNDILLSVVKNVWSILPTKRPVQSQSSTELDTCLSRTLGSPPSSISATLLNGENIDNANALDGDLSSSSKDHCINSEIPSTPLSFPLCDAVDVLKRLGLPPSKDLDSLLQDASKPSQNSKNNLDQQRSAKQLPPRSGLPHFPWSQAFSGSSRTNSEAAKLVTGKTLCQGRWLRIANTTMSSPEGITDNFANLGSLTFNQNLVPPVLKQTIAGIKTSQTKFANITSCQCTGASVSTLQKASFVPKEPEGSPDVQDDALSCPLLLEAARTLCDIAVQSANHINPNGILRWPKKLSQKSMKARKSKLIEKPLERHGTTVSSLDLNSSNNNNNKNHVRKDSAAEHNHHHHHHHFPKPSKRLKLSTMENKKRSFPSSSSSPIESDRKHSSSSKFKNHSRMMLPPPPPTRTLQKSSMYPQKARKFP >fgenesh2_kg.2__813__AT5G56090.1 pep chromosome:v.1.0:2:10137671:10139829:1 gene:fgenesh2_kg.2__813__AT5G56090.1 transcript:fgenesh2_kg.2__813__AT5G56090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAVGSALKRNKEAFNGIARGFTTSSHQAFTSNITAATVTSASSSSLAGNSFYGLPSLLKGQNASMFRKMSTVASISSESKEGLKHLVTGGPQARKWVGIWLFGSATWVFSMVVLGGVTRLTRSGLSMTDWKFTGEFPPLSDEAWVCEFEKYMQSPEYKRVNKGMNLEDFKFIYWMEYAHRMWGRGLGIMFALPFSYFLRKGYITLRLGVQLSGLFALGAGQGLIGWWMVKSGLEEPPSEYSQPRVSPYRLAAHLTSAFAIYCGLFWTALSVVMPEPPAESLAWVRGAAKVKKLALPVSLIVGITAISGAFVAGNDAGRAFNTFPKMGDTWIPDNIFEMKPLLRNFFENTATVQLDHRLLATTTLIAIGTMWWFTRKLDIHPAVKALIGSTVGMTAVQVTLGVSTLLSYVPVSLGSAHQAGALTLLTLMLLLNHTLRRPSPSLLKSLPQVAKSNFS >fgenesh2_kg.2__814__AT1G66510.2 pep chromosome:v.1.0:2:10142363:10146167:-1 gene:fgenesh2_kg.2__814__AT1G66510.2 transcript:fgenesh2_kg.2__814__AT1G66510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAR2 protein family [Source:UniProtKB/TrEMBL;Acc:D7KSY9] MDSEKALELVKHGATLLFLDVPQHTLVGIDTQIFAVGPSFKGIKMIPPGIHFVFYSSSTRDGKEFSPTIGFFVDVGLSQVIVRKWNQQDEWLAKVTEEEEERYSQAVRSLEFDKHLGPYNLSQYGEWKHLSNYITKDVVEKFEPVGGEITVTYESAILKGGPKTAMERALDAQMKKSKFAASSTEQPKGNRFYYTTIPRIIKHKGMSGQELTSMNLDKTQLLESLLSKEYKDSEDLLLGELQFSFVAFLMGQSLESFMQWKSLVTLLLGCIDAPFHTRSQLFTNFMKVIYHQLKYGLQKESNGPEMGIHALLDDSWLASDSFLHLLCKDFFALVEETSVVDGDLLSWIRKFKELLENRLGWEFQKKSATDGIYFEEDDEYAPVVEMLDESHGEYMST >fgenesh2_kg.2__816__AT1G65790.1 pep chromosome:v.1.0:2:10196998:10199876:1 gene:fgenesh2_kg.2__816__AT1G65790.1 transcript:fgenesh2_kg.2__816__AT1G65790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVQNYHHPYFIFFILILFLAFSVSANTFSATESLTISSNKTIISPSQIFELGFFNPASSSRWYLGIWYKIIPIRTYVWVANRDNPLSNSNGTLKISENNLVIFDQSDRPVWSTNITGGDVRSPVVAELLDNGNFLLRDSNNRLLWQSFDFPTDTLLQEMKLGWDHKNGFNRILRSWKNTEDPSSESIRYRSGPWNGIGFSSVAGTNQVGYIVYNFTASKEEVTYSYRINKPNIYSILNLNSAGFLQRLTWMEAAQSWKQLWYTPKDLCDNYKVCGNYGYCDSNTIRNCNCIKGFKPMNEQEWDLRDGSAGCMRKTRLSCDGRDGFARLKRMKLPDTTATIVDRDIGLKVCKERCLKDWDKRIKNEKMIGSSIGMSILLLISFIIFHFWKRKQKRSIAIQTPIVDQVRSQDSLMNEVVVSSRSYQSEENKTEYLDLPLIEWEALAMATNNFSKDNMLGQGGFGIVYKGMLLDGKEIAVKRLSKMSSQGTDEFMNEVRLIAKLQHINLVRLLGCCVDKGEKMLIYEFLENLSLDSHLFDKTRRSNLNWQKRFDIINGIARGLLYLHQDSRCRIIHRDLKASNVLLDKNMTPKISDFGMARIFGREETEANTRRVVGTYGYMSPEYAMDGIYSMKSDVFSFGVLLLEIISGKRNKGFYNSNRDLNLLGFVWRHWKEGKGLEIVDPINIDSSPSTLRTHEILRCIQIGLLCVQERAEDRPVMSSVMVLLGSETTAITQPKRPGFCIGRSPLEADSSSSTQRGDECTVNQITVSVIDAR >fgenesh2_kg.2__818__AT1G65820.1 pep chromosome:v.1.0:2:10233362:10235606:1 gene:fgenesh2_kg.2__818__AT1G65820.1 transcript:fgenesh2_kg.2__818__AT1G65820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITEFLPKEYGYVVLVLVFYCFLNLWMGFQVGGARKRYNVPYPTLYAIESENKDAKLFNCVQRGHQNSLEYMPMYFILMILGGMKHPCISTGLGLLYSVTRYFYFKGYATGDPMKRLTIGKYSFLGLIGLMICTISFGVTLIRA >fgenesh2_kg.2__81__AT1G64040.1 pep chromosome:v.1.0:2:497196:499356:1 gene:fgenesh2_kg.2__81__AT1G64040.1 transcript:fgenesh2_kg.2__81__AT1G64040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7KSW3] MEDSVVDDVIKRLLGAKNGKTTKQVQLTEAEIKHLCSTAKQIFLTQPNLLELEAPIKICGDTHGQFSDLLRLFEYGGYPPAANYLFLGDYVDRGKQSVETICLLLAYKIKYKENFFLLRGNHECANINRIYGFYDECKKRYSVRVWKIFTDCFNCLPVAALIDEKILCMHGGLSPELKHLDEIRNIARPADIPDHGLLCDLLWSDPDKDIEGWGENDRGVSYTFGPDKVEEFLQTHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDDSLTCSFQILKASEKKGNFGFGKNAGRRGTPPRKFY >fgenesh2_kg.2__823__ATMG00120.1 pep chromosome:v.1.0:2:10332774:10333110:1 gene:fgenesh2_kg.2__823__ATMG00120.1 transcript:fgenesh2_kg.2__823__ATMG00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KT13] ALHQCLMQEIALYELFFFSLLKTGSFGIVRKKLNIPNPSHSIIAWMALNSGLQQIELADFIPTNTVTLCGMCMLEDESANQYGS >fgenesh2_kg.2__825__AT1G65920.1 pep chromosome:v.1.0:2:10351275:10355499:-1 gene:fgenesh2_kg.2__825__AT1G65920.1 transcript:fgenesh2_kg.2__825__AT1G65920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQISATVPRDRTDEQAILALKKGAQLLKCRRRGNPKFCPFKLSMDEKYLIWYSGEEERQLRLSSVITIVRGQITPNFQKQAQSDRKEQSFSLIYANGEHTLDLICKDKAQADSWFKGLRAVITKHHNIRNSANLRTSRGAQSCINSPAGFMRRKQNLGLLEETPDLTQIRSLCGSPSTLLEERCLSNGLSCSSDSFAESDALGPVSSYNGTDYDFRNSDCERTGTELCRFSSQRFAASPPPSIITQPITRSNVLKDIMIWGAITGLIDGSKNQNDALSPKLLESATMFDVQSISLGAKHAALVTRQGEVFCWGNGNSGKLGLKVNIDIDHPKRVESLEDVAVRSVACSDHQTCAVTESGELYLWGIDGGTIEQSGSQFLTRKISDVLGGSLSIFSVACGAWHTAMVTSSGQLFTYGSRTFGVLGHGSLESVTKPKEVESLRRMKVISVSCGPWHTAAIVETAIDRKFYNAKSCGKLFTWGDGDKGRLGHADNKRKLVPTCVTELIDHDFIKVSCGWTLTVALSVSGTVYTMGSSIHGQLGCPRAKDKSINVVLGNLTRQFVKDIASGSHHVAVLTSFGNVYTWGKGTNGQLGLGDVRDRNSPVLVEPLGDRLVESIACGLNLTAAICLHKEISLTDQTACSSCKSAFGFTRKKHNCYNCGLLFCNACSSKKAVNASLAPNKSKLSRVCDSCFDHLWSITEFSRNVKTENHTPRMQLVTRRVSEDWTEKEAENQMQNVPQANRFSDGQPRWGQVSVPSLFHFDKFSTSSPINLSVSARRPSSTKISTSSESNKILIEEIERLKAEIRNLQKQCELGNEKMEECQQELDKTWEVAKEEAEKSKAAKEIIKALASKLQTNKEKPSNPPKTGIACNPSQVSPIFDDSMSIPYLTPITTARSHPENKQLVEKCVTKSSNRESNIKLLVDASPAITRTGYLQNETQDSTAEQVEQYEPGVYITFTALPCGQKTLKRVRFSRKRFSEKEAQIWWEEKQVFVYNKYDAEA >fgenesh2_kg.2__826__AT1G65930.1 pep chromosome:v.1.0:2:10435517:10438742:1 gene:fgenesh2_kg.2__826__AT1G65930.1 transcript:fgenesh2_kg.2__826__AT1G65930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:D7KT18] MAFEKIKVANPIVEMDGDEMTRVIWKSIKDKLITPFVELDIKYFDLGLPHRDATDDKVTVESAEATKKYNVAIKCATITPDEGRVTEFGLKQMWRSPNGTIRNILNGTVFREPIICKNVPKLVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLTMTFEGKDGKTETEVFTFTGEGGVSMAMYNTDEIVLQDELYLYLIIQSILALYLSTKNTILKKYDGRFKDIFQEVYEASWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNAKLLDFTEKLEAACVGTVESGKMTKDLALIIHGSKLSRDTYLNTEEFIDAVAAELKARLQA >fgenesh2_kg.2__827__AT1G65950.1 pep chromosome:v.1.0:2:10459220:10463974:-1 gene:fgenesh2_kg.2__827__AT1G65950.1 transcript:fgenesh2_kg.2__827__AT1G65950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 family protein [Source:UniProtKB/TrEMBL;Acc:D7KT21] MEILRKFKFTGRSKSPIFAFTITGLALTAVTSSAAAVSIFRDSPSNKFATAVEGVVRSSRAIYSITLTVADYKYSLRRLPADSDEYLQRLTEVHSRSAKRILKLCESNKGFYVKAGQFVATLKLVPKEYSLALSSLQDKAVPCNFQEIKHVLTSNLGQNLTEMYLSFDEEPIAAASIAQVHHAVLKNHQEVAVKVQYPGLKQNMKLDTMIMSFLSKSVAKIFPEYRFDWLVYEFVKSISQELDFIQEAKNSERIAKNFKHNKMITIPTVFWEFTTTQVLTMQFCKGFKVDDVESLKSSNVSPQKVAKVLVEVFAEMIFVHGFIHGDPHPGNILVSPEGQNGFSLVLLDHGNCKTLDEGFRRDFCRLWEALILLDSNKIQELGKQFGVGKYAKFFPVIFTGRTSDSKSGLGKGMSIQERQKLKQELKLLRLEDVTTFMGSLPPDFLTVLRTDGLIRSITLKLGAPQRVRLLAYAKYAVYGLGYKPTSELDFVEKSIISRSVMIMSYLRLRFILELMELFQGMKKLKHTIYGFYGQLVGGITNSVKRITCSV >fgenesh2_kg.2__830__AT3G62300.1 pep chromosome:v.1.0:2:10532034:10535258:-1 gene:fgenesh2_kg.2__830__AT3G62300.1 transcript:fgenesh2_kg.2__830__AT3G62300.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTGRKEKLSVSKGSEIEVSSQEYEYGTGNVWYCAILEETLAKSKRKKLSVRHLDPLLKDDYSPPLIQTTVHRLMRPVPPPDPFPEVDFEEGDVVDAAHKGGWWSGLVIKVLGNWRFLVYLRFQPDVIELQRKDLRPHFTWKDDEWFRYEKLQLTESDFSAGKSVEVRTEVHNLGDVWAPAMAIKENEDGTLLVKLKTLSDEEVDCTKISVSYSKIRPSPLPIGLRDYKLMENVDALVESGWCPGVVSKVLFGKRYAVALGPNKESKEFSRLQLRPSIEWKDGVWHKEEKVYDIEESSHGVEETARSTRIRVTVRTALRERHASASVKNLRATRSSSGAIQNMQNPLPASSSGDVAEAGRASVTVSETPLSVTAALSGELGSRMTDVVMNENTPVTSQPEIAAPKDFHPSIVLGVAAAVKTQGKTSPKKKLQAMKNQKSSTNDSAKEKVSVNKRKRGQPRKFISTEPKQKTGVSGNNSKAATIEHTDMTEDDRPLASWIHAGNSSSGQSVSRTPDLGLNTVVEKHVDVLETPPARESTMVLPFVKKSQLWKVLESMEVFKTVPQRPHFSPLLDSEEEFREGDAIGTMVKFSSLLEKVNNLQVDDPISSINRIDECFLKLXKHGFNVTTPRSRIAKLLSIKERQTCALEELKAVEEKITENDNKRRKYEEDIAELQRQEVLMKEAKVTLDNEIARMQSQAAVLDQKVQNVDQEFQAIVATQWK >fgenesh2_kg.2__831__AT3G62290.1 pep chromosome:v.1.0:2:10535656:10537670:-1 gene:fgenesh2_kg.2__831__AT3G62290.1 transcript:fgenesh2_kg.2__831__AT3G62290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor [Source:UniProtKB/TrEMBL;Acc:D7KT27] MGLSFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKVYIPSLKNA >fgenesh2_kg.2__832__AT3G62280.1 pep chromosome:v.1.0:2:10538188:10539860:1 gene:fgenesh2_kg.2__832__AT3G62280.1 transcript:fgenesh2_kg.2__832__AT3G62280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:D7KT28] MDSAVSSLQFFFFILCLSLMVCSNSEISSKSNKKRILINFGDSNSDTGGVLAGVGLPIGLPHGITFFHRGTGRLGDGRLIVDFFCEHLKMTYLSPYLDSLSPNFKRGVNFAVSGATALPVFSFPLAIQIRQFVHFKNRSQELISSGRRDLIDDNGFKNALYMIDIGQNDLLLALYDSNLTYTPVVEKIPSMLLEIKKAIQTVYLYGGRKFWVHNTGPLGCAPKELAIHPHNDSDLDPIGCFRVHNEVAEAFNKGLFSLCNELRSQFKDATLVYVDIYSIKYKLSADFKRYGFVDPLMACCGYGGRPNNYDRKATCGQPGSTICRDVTKAIVWDGVHYTEAANRVVVDAVLTNRYSYPKIPLDRFW >fgenesh2_kg.2__834__AT1G65970.1 pep chromosome:v.1.0:2:10561237:10562499:-1 gene:fgenesh2_kg.2__834__AT1G65970.1 transcript:fgenesh2_kg.2__834__AT1G65970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-dependent peroxidase 2 [Source:UniProtKB/TrEMBL;Acc:D7KT31] MAPITVGDVVPNGTISFFDENDQLQTVSVHSIAAGKKVILFGVPGAFTPTCSMSHVPGFIGKAEELKSKGIDEIICFSVNDPFVMKAWGKTYPENKHVKFVADGSGEYTHLLGLELDLKDKGLGIRSRRFALLLDNLKVTVANVESGGEFTVSSAEDILKAL >fgenesh2_kg.2__836__AT1G65980.1 pep chromosome:v.1.0:2:10564352:10565807:-1 gene:fgenesh2_kg.2__836__AT1G65980.1 transcript:fgenesh2_kg.2__836__AT1G65980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-dependent peroxidase 1 [Source:UniProtKB/TrEMBL;Acc:D7KT32] MAPITVGDVVPDGTISFFDENDQLQTASVHSLAAGKKVILFGVPGAFTPTCSMKHVPGFIEKAEELKSKGVDDIICFSVNDPFVMKAWGKTYPENKHVKFVADGSGEYTHLLGLELDLKDKGLGVRSRRFALLLDNLKVTVANVESGGEFTVSSADDILKAL >fgenesh2_kg.2__837__AT5G03710.1 pep chromosome:v.1.0:2:10614451:10614640:1 gene:fgenesh2_kg.2__837__AT5G03710.1 transcript:fgenesh2_kg.2__837__AT5G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KT37] WEKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKK >fgenesh2_kg.2__83__AT1G64030.1 pep chromosome:v.1.0:2:533949:535469:1 gene:fgenesh2_kg.2__83__AT1G64030.1 transcript:fgenesh2_kg.2__83__AT1G64030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serpin family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KSW7] MDVRAAMKNQTEVAMILSRHFLTSAPKDSNIIFSPASINSAITMHAAGPGGDVVSGQFLYLLRSSSIDELKTVFRELASVVYADSSGSGGPKITAANGLWIDKSLPTDPKFKDLLENFFKAVYVPVDFKSKAEEVRIEVNSWVEHHTNNLIKNLLPDGSVTSLTDKIYANALYFKGAWKRPFEKFYTKDRDFHLVNGTTVSVPFMTSYENQYVRAYDGFKVLRLPYRRGSDDTNRKFSMYFYLPDKKDGLDNLVEKMVSTRGFLDSHIPTYRDALEEFRIPKFKIEFSFSVTSILDRLGLRSMSMYHKACVEIDEEGAEAAAATADEGQGCALEFEEPPKKIDFVADHPFLFLIREEKTGTVLLVKSLILRNLVRELIIRTQMTIKR >fgenesh2_kg.2__841__AT1G66070.1 pep chromosome:v.1.0:2:10649109:10651625:-1 gene:fgenesh2_kg.2__841__AT1G66070.1 transcript:fgenesh2_kg.2__841__AT1G66070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit J [Source:UniProtKB/TrEMBL;Acc:D7KT46] MDDWEDEQIAPLPAKVELKSNWDDEDVDVNEIKESWEDDDEEPAQPPVVKPAPEKAPKKAAPKTVEKKGKAIEVPKEEPLDPIVEKLRQQRLVEEADYRSTAELFGVKDEEKNLDMFIPKSESDFLEYAEMISHRIKPYEKSYHYIALLKTIMRLSLTNMKAADVKDVASSITTIANEKLKAEKEAAAGKKKGGKKKQLIVDKPDDDLVAGPYDAMDDFDFM >fgenesh2_kg.2__842__AT1G66080.1 pep chromosome:v.1.0:2:10667559:10668504:-1 gene:fgenesh2_kg.2__842__AT1G66080.1 transcript:fgenesh2_kg.2__842__AT1G66080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPNRSFPLDISTFTQIDTFHWVLDMNHFVGEAYDQISEMCIFLLNNFNLPPDKALAVYLQSPGSAFVFCGAVTLARPSAVLSLQWPEPGSAAQMQLTAGDSSSLSAKIGVSVEDITALRSLDVVAERRIEKLAMKVGENLFNFMQSFCGVDGSKLVVPMDILDRWFKKFQEKAKRDPDFLKSFAL >fgenesh2_kg.2__844__AT1G66120.1 pep chromosome:v.1.0:2:10684302:10686929:1 gene:fgenesh2_kg.2__844__AT1G66120.1 transcript:fgenesh2_kg.2__844__AT1G66120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-activating enzyme 11 [Source:UniProtKB/TrEMBL;Acc:D7KT51] MDNLALCEANNVPLTPITFLKRASECYPNRTSIIYGKTRFTWPQTYDRCCRLAASLLSLNITRNDVVSILAPNVPAIYEMHFSVPMTGAVLNPINTRLDAKTIAIILRHAEPKILFVDHEFAPLIQEVLSLLPTDESQPHPRIIFINEIDSTTNPFSKDLDYEGLIRKGEPTPSLYASMFLVHNEHDPISLNYTSGTTADPKGVVVSHRGAYLSALSLIIGWQMGIFPVYLWTLPIFHCNGWTLPWSVAARGGTNVCIRHVTAPEIYKNIELHGVTHMSCVPTVFRFLLEGDRTNQSHKSPPVQVLTGGSSPPAVLIKKVEQLGFQVMHGYGLTEATGPVLFCEWQDEWNKLPEHQQMQLQQRQGVRHLTLADVDVKNTKTLESVPRDGKTMGEIVIKGSILMKGYLKNPKATSEAFKHGWLNTGDIGVIHPDGYVEIKDRSKDIIISGGENISSIEVEKVLYMHQQVLEAAVVAMPHPLWGETPCAFVVLKNGEEELVTNEGDLIKYCRENMPHFMCPRKVVFFQELPKNSNGKIPKSKLRDVAKALVVKEDDVGFKKVHQRSVEHVSSRL >fgenesh2_kg.2__846__AT1G66140.1 pep chromosome:v.1.0:2:10719917:10722079:-1 gene:fgenesh2_kg.2__846__AT1G66140.1 transcript:fgenesh2_kg.2__846__AT1G66140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILDLEVEASSDSSSSQVASNLSPVGEDYKPILLNLSLSFNNNNLDLESSSLTLPLSSTSESSNPEQQQQQQPSVSKRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRAMRMGLAGVFPGRGSSSSYAAATAAALSCLPLHGSGNGNMTSFRTLGIRAHASSHDVGMTRQTPETIIRNIARFNQGYFGNCIPFYVEDDEAEMLWPGSFRQATDAAAVEAGNDNLGERKMDFLDVKQAVDMESSLPDLTLKL >fgenesh2_kg.2__847__AT1G66150.1 pep chromosome:v.1.0:2:10754253:10757602:1 gene:fgenesh2_kg.2__847__AT1G66150.1 transcript:fgenesh2_kg.2__847__AT1G66150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRRTFLTFSFTFLLKSDSDGDLSAMISLKKSLNPPSSFGWSDPDPCKWTHIVCTGTKRVTRIQIGHSGLQGTLSPDLRNLSELERLELQWNNISGPVPSLSGLASLQVLMLSNNNFDSIPSDVFEGLTSLQSVEIDNNPFKAWEIPESLRNASALQNFSANSANVSGKLPGFFGPDEFPGLSILHLAFNSLGGELPLSLAGSQVQSLWLNGQKLTGEINVLQNMTGLKEVWLHSNVFSGPLPDFSGLKELESLSLRDNAFTGPVPTSLLSLESLKVLNLTNNHLQGPVPVFKSSVSVDLDKDSNSFCLPSPDECDSRVKSLLLIASSFDYPQRLAESWKGNDPCTNWIGIACSNGNITVINLEKMGLTGTISPEFGSIKSLQRIILGINNLTGTIPQELTTLPNLKTLDVSSNKLFGKVPGFRSNVVVSTNGNPDIGKDKSSLPSPGSSSPSGGSGSGINGDKDRRGMKSSTFIGIVVGSVLGGLLSIFMIGLLVFCWYKKRQKCNTRGESSNAVVVHPRHSGSDNESVKITVAGSSVSVGGISDTYTLPGTSEVGDNIQMVEAGNMLISIQVLRSVTNNFSADNILGSGGFGVVYKGELHDGTKIAVKRMENGVIVGKGFAEFKSEIAVLTKVRHRHLVTLLGYCLDGNEKLLVYEYMPQGTLSRHLFEWSEEGLKPLLWKQRLTLALDVARGVEYLHGLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKGSIETRIAGTFGYLAPEYAVTGRVTTKVDVYSFGVILMELITGRKSLDESQPEESIHLVSWFKRMYINKESSFKKAIDPTIDLDEETLASVHTVAELAGHCCAREPYQRPDMGHAVNILSSLVELWKPSDQNPEDIYGIDLDMSLPQALKKWQAYEGRSDLESSTSSLLPSLDNTQMSIPTRPYGFAESFTSVDGR >fgenesh2_kg.2__84__AT1G64020.1 pep chromosome:v.1.0:2:536067:536489:1 gene:fgenesh2_kg.2__84__AT1G64020.1 transcript:fgenesh2_kg.2__84__AT1G64020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKEAMKKQNEVAMILSWHLFSTVAKHSNNVFSPASINAAFTMMASGPGSSSISDQILSFLRSSSIDELNSVFRVITTVVFADDSNIGGPTIKVANGAWIDQSFSIDSSSKNLFEIFFKAVLASVDFKSKVLILCLLCL >fgenesh2_kg.2__851__AT1G66190.1 pep chromosome:v.1.0:2:10833916:10834778:-1 gene:fgenesh2_kg.2__851__AT1G66190.1 transcript:fgenesh2_kg.2__851__AT1G66190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFANYYISSPSTSEKNINNNNDGGNKRRKKKRAMTVYGGGGGGGDDLAVVKAAAWAWYLRKEGKPIMREFDLTRASRTPRPSRYKIEATKNMILCENRVLAENRVSTKSPLWYTNYPFRGDQETQYSRLLDTYEIKNISKRLNIDDTSFSASLSSVLRHNRDDHQNHNRNDDYGFDDHGLLQKRNGKDNNNTTRSRTNNDKSYNGGFMKKVSKRSLWKGMIVMGPGSTVCGRSDDVASQAGRRTVKVAAAAEALVRSAASGKTQSGRR >fgenesh2_kg.2__853__AT1G66200.1 pep chromosome:v.1.0:2:10837735:10840177:-1 gene:fgenesh2_kg.2__853__AT1G66200.1 transcript:fgenesh2_kg.2__853__AT1G66200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:D7KTL7] MSLLADLVNLDLSDTSEKIIAEYIWVGGSGMDMRSKARTLSGPVTDPSKLPKWNYDGSSTGQAPGQDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRHAAAVIFSNPDVVAEVPWYGIEQEYTLLQKDVNWPLGWPIGGYPGPQGPYYCSIGADKSFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISASDEVWIARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMREEGGYEIIKKAIEKLGLRHKEHISAYGEGNERRLTGHHETADINTFLWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYIVTSMIAETTLLWNP >fgenesh2_kg.2__854__AT1G66210.1 pep chromosome:v.1.0:2:10852255:10855429:-1 gene:fgenesh2_kg.2__854__AT1G66210.1 transcript:fgenesh2_kg.2__854__AT1G66210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7KTL8] MELSSLIALNNKKHVVVVFIGLVLIFNIALITAANEKSQIYTVHLGERQHDDPNLVTESHHDILGPLLGSKEASRESMIYSYRHGFSGFAAKLTSSQARELSGHPDVVHVTKSKNMKLKTTRVNDYLGLTPTAPTGLLHETAMGSEAIVGILDSGIWPDSKSFNDNGLGPIPARWKGQCVSGEAFNASSCNRKLIGATYYSKGLMSKYNGTFNAVEKGEVMSPLDKMGHGTHCASTAVGSFVPDANVFGLAQGTARGSAPRARIASYKVCWNNDECFTPDIVKAIDHAIRDGVDVISLSLGSEVPVDFEVDSRSDFAIAAFHAVMKGIPVVCAGGNDGPDKQTISNVAPWLITVAATTMDREFFTPITLGNNITLLGQEGVYTGKEVGFTDLLYFEDLTKEDMQAGKANGKILFFFQTAKYQDDFVEYAQSNGAAGVILAMQPTDSIDPGSADIAYAYVDYEIGMDILLYIQTTKSPVAKISPTKTFVGRPLATKVARFSSRGPNSLSPAILKPDIAAPGSGILAAVPSRAGYELMSGTSMAAPVVSGIVSLLRQKRPDWSPAAIRSALVTTALQTDPSGEPIAAEGSPRKLADSFDYGGGLVNPGKVADPGLVYDMGHDEYVHYLCSAGYDNTSISKLLGKIYTCPSPIPSMLDVNLPSITIPYLSEEITITRTVTNVGPVGSVYKAVIQAPQGINLQVSPETLEFGSNTNKITFTVKVSTTHRANTDYLFGSLTWTDNEGHNVRIPLSVRTRVLNFKI >fgenesh2_kg.2__855__AT1G66220.1 pep chromosome:v.1.0:2:10856214:10859367:1 gene:fgenesh2_kg.2__855__AT1G66220.1 transcript:fgenesh2_kg.2__855__AT1G66220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7KTL9] MDNSFLIADTSSLVIGLVLILNGLFISAAQPNGLNKIHIVHLGAKQHDTPELVTKSHYQILEPLLGSKEAARNSLVYNYKHGFSGFAAKLTASQAKNLSAHPEVLSVVPSRVMRLKTTRTFDYLGLSLTSPKGLLHETRMGSEAIIGVIDSGIWPESQSFNDTGLGPIPKHWKGKCVSGNGFDANKHCNKKLIGAEFFTEGLLESTNGEYDFVSHDESKSPRDIEGHGTHVSAIAAGSFVATANYNGLAGGTARGAAPHARIAMYKACWKGIGCITPDMLKAIDHSIRDGVDVISISIGTDAPASFDIDQSDIAFGSFQAVMKGIPVVASAGNEGPNAQTIDNVAPWIITVAATSLDRSFPIPITLGNNLTILGEGLNTFPEAGFTDLILSDEMMSASIEQGQTQGTIVLAFTPNDDAIRKANTIVRAGCAGIIYAQSVIDPTVCSDVHVPCAVVDYEYGTDILYYIQTTDVPKAKISPSKTLIGRPIASRVPRFSCRGPNSVSPAILKPDIAAPGVNVLSAVTGVYKFMSGTSMATPVVSGIVGLLRQTRPDWSPAAIRSALVTTAWKTDPSGEPIFSEGSTRKLADPFDYGGGLINPEKVTDPGLIYDMGIDDYLHYLCSAEYDNASISKLLGKTYKCTYPKPSMLDFNLPSITIPSLTGEVTVTRTVTNVGPASSVYRPVIESPFGIELDVNPKTLVFGSNITKITFSVRVKTSHRVNTDYYFGSLCWTDGVHNVSTPVSVRTKILRNYV >fgenesh2_kg.2__857__AT1G66235.1 pep chromosome:v.1.0:2:10879762:10880350:-1 gene:fgenesh2_kg.2__857__AT1G66235.1 transcript:fgenesh2_kg.2__857__AT1G66235.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KTM1] FNQAKEMLMQDKNFKSGWKFDHVWNITKNFEKFKDGATPARKVSYLCGFENPTPDSVSQTSPDLSSFSLNLDDKDDTIGGSPSERPIGVKQLKLKRKNDDQTRDVIKTLEEGNKQLVEQLKKTSAQRQQYLEMQNKSLTLKELKEENKVLYRDLNSIEDPNLRAYLQSEQAKILKKRLDQQASSASTSF >fgenesh2_kg.2__858__AT1G66240.1 pep chromosome:v.1.0:2:10884125:10885362:-1 gene:fgenesh2_kg.2__858__AT1G66240.1 transcript:fgenesh2_kg.2__858__AT1G66240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KTM2] MSQTVVLRVAMTCEGCVGAVKRVLGKMEGVESFDVDIKEQKVTVKGNVQPDAVLQTVTKTGKKTAFWETEGETAK >fgenesh2_kg.2__859__AT1G66245.1 pep chromosome:v.1.0:2:10900369:10901205:1 gene:fgenesh2_kg.2__859__AT1G66245.1 transcript:fgenesh2_kg.2__859__AT1G66245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKITKKLSMKYVKLHKQKKLRQDGSMKSEEDGGEPFRESHSPDNAESNETKETPKVTKIMESMHRKLMLKDKANKKKIHVDGHDQSQMSKRSVRNGGRDRKDQLDEDSVRNTNRDGTDRDEDLIRGRDRMEGSIRNGTRNRVDQLEGSSKKGHICEREDNNTIKTSDQIDQSERSTKSPSDFASKKDYLDWIEYVEGSNHHCFDRSEDSGKPYIREDIDHDQISVGISEGSIEGNNDESLLLKSSKYRKNEKFEDSKGYKKGKGSKAKDTLKCQIVD >fgenesh2_kg.2__85__AT1G64010.1 pep chromosome:v.1.0:2:536725:537282:1 gene:fgenesh2_kg.2__85__AT1G64010.1 transcript:fgenesh2_kg.2__85__AT1G64010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDRDFHLLNGTLVYVPFMSSYKDQYMEAYDDFKVLKLPFRQGDDTSRSFSMHFYLPDEKDGLDKLVEKMASSLGFLDSHIPSQKVKVGEFRIPKFKIEFGFSASRAFNRLGLDEMALYQKSCVEIDEEGAEAIAATPVVGGFGCSFVKRIDFVADHPFLFMIREDKTGTVLFVGQIFDPSNSS >fgenesh2_kg.2__861__AT1G66260.1 pep chromosome:v.1.0:2:10908563:10911339:-1 gene:fgenesh2_kg.2__861__AT1G66260.1 transcript:fgenesh2_kg.2__861__AT1G66260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALNMTLDEIVKKSKSARSAAARSGGKGVSRRGRGRGGPNGVVGAGRGGGPVRRGPLAVNARPSSSFSINKLARRKRSLPWQNQNDLLEESLRAVGVSGVEVGTTVYITNLDQGVTNEDIRELYTEIGELKRYAIHYDKNGRPSGSAEVVYMRRSDALQAMRKYNNVLLDGRPMRLEILGGSAEAAPVAARVNVTGLNGRMKRSVFIGQGIRGGRVGRGRGSGPSGRHLPIQQNQQGVVKGGRGGFRGRGRGNTGGRGNKSGRGGKKAVEKSAADLDKDLESYHAEAMNIS >fgenesh2_kg.2__862__AT1G66280.1 pep chromosome:v.1.0:2:10914571:10929362:-1 gene:fgenesh2_kg.2__862__AT1G66280.1 transcript:fgenesh2_kg.2__862__AT1G66280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7KTM8] MALQKFPLMGLLLLLTIVVSSTIAVDDPVCPTTSKLSRASFPNGFLFGTATAAFQVEGAINETCRGPALWDIFCRRNPERCSGHNADVAVDFFHRYKEDIQLMKNLNTDAFRLSISWSRIFPHGRKEKGVSQSGVKFYHDVIDELLKNGILPSVTVFHWDTPQDLEDEYGGFLSQNIVKDFREYADFVFTEYGGKVKNWITFNEPWVFAHAGYDVGKKAPGRCSRYVKGCEDRDGRSGYEAYLVSHNLLNAHAEAVEVFRQKVKGGKIGIAHSPAWFEPHDLKDSNDTPTVGRVLDFMLGWHLDPTTFGDYPQIMKDLLGHRLPKFTNAQKAKLKDSTDFVGLNYYTSTFSNHNEKPDPSTPSWKQDSLVAWEPKNVDHSAIGSQPLTAALPVYAKGFRSLLKYIKDKYANPEIMIMENGYGDKLRDKDSVEVGTADHNRKYYLQRHLLAMNEAICIDKVRVTGYFVWSLLDNFEWQDGYNNRFGLYYVDFKNNLTRYEKESAKYYKDFLAQGVRPSAIKRDEL >fgenesh2_kg.2__866__AT1G66330.2 pep chromosome:v.1.0:2:10956000:10959243:-1 gene:fgenesh2_kg.2__866__AT1G66330.2 transcript:fgenesh2_kg.2__866__AT1G66330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNVSKIVPKSPILAKSVNASRSQRVLLAFVHHPLDAKKGSSVEELKQGLCCIKTVTFVSSRRSSTLCFVGKSQDTETNSQVVQKEGEKQVMPRRKSSSSQILVEYVSNDAKFVNERARNDLVLLSRGIMRLDARARQDVAILGSGFLKLDARAREDTEKIDRDVKRKAECLHHIATILKNIAESKLKNAADKHWSDGALEADLRRADFRAKQRAMEDALMALEFIKNIHDMMVNKMVDSLVTSETGTTDRISLEKNGIALGFFPGEVSSDRISAIEEAYKSMASALSEADGIDYTDPEELELLVTTLIDLDAMDGKSSASLLAECSISPDVNTRKALANALAAAPSMWTLGNAGMGALQRLAEDSNPAIAAAASRAINALKKQWEVEEGDSLRFMMNFETPNDDDDVDSDLDEI >fgenesh2_kg.2__867__AT1G66340.1 pep chromosome:v.1.0:2:10968427:10971711:1 gene:fgenesh2_kg.2__867__AT1G66340.1 transcript:fgenesh2_kg.2__867__AT1G66340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene receptor [Source:UniProtKB/TrEMBL;Acc:D7KTN2] MEVCNCIEPQWPADELLMKYQYISDFFIAIAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTTHSRTVALVMTTAKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRHQHPVEYTVPIQLPVINQVFGTSRAVKISPNSPVARLRPVSGKYMLGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARNLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSNLLATLMNDVLDLSRLEDGSLQLELGTFNLHTLFREVLNLIKPIAVVKKLPITLNLAPDLPEFVVGDEKRLMQIILNIVGNAVKFSKQGSISVTALVTKSDTRDADFFVVPTGSHFYLRVKVKDSGAGINPQDIPKLFTKFAQTQSLATRSSGGSGLGLAISKRFVNLMEGNIWIESDGLGKGCTAIFDVKLGISERSNESKQSGIPKVPAIPRHSSFTGLKVLVMDENGVSRMVTKGLLVHLGCEVTTVSSNEECLRVVSHEHKVVFMDVCLPGVENYQIALRIHEKFTKQRHQRPLLVALTGNTDKSTKEKCMSFGLDGVLLKPVSLDNMRDVLSDLLERRVLYEGM >fgenesh2_kg.2__868__AT1G66350.1 pep chromosome:v.1.0:2:10983594:10985455:1 gene:fgenesh2_kg.2__868__AT1G66350.1 transcript:fgenesh2_kg.2__868__AT1G66350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREHNHRESSTGEGGSSSMTTVIKEEAAGVDELLVVLGYKVRSSDMADVAHKLEQLEMVLGDGISNLSDETVHYNPSDLSGWVESMLSDLDPARNQEKPDSEYDLRAIPGSAVYPREEHVTRRNKRTRIESELSSTRSVVVLDSQETGVRLVHALLACAEAVQQTNLKLADALVKHVGLLASSQAGAMRKVATYFAEGLARRIYRIYPRDDVALSSFSDTLQIHFYESCPYLKFAHFTANQAILEAFATAEKVHVIDLGLNHGLQWPALIQALALRPNGPPDFRLTGIGSSLTDIQEVGWKLGQLASTIGVNFEFKSIALNHLSDLKPEMLDIRPGSESVAVNSVFELHRLLAHPGSIDKFLSTIKSIRPNIMTVVEQEANHNGANFLDRFTESLHYYSSLFDSLEGPPSQDRVMSELFLGRQILNLVACEGEDRVERHETLNQWRNRFGSRGFKPVNIGSNAYKQASMLLALYAGADGYNVEEDEGCLLLGWQTRPLIATSAWRINLVE >fgenesh2_kg.2__869__AT1G66370.1 pep chromosome:v.1.0:2:11028252:11029360:1 gene:fgenesh2_kg.2__869__AT1G66370.1 transcript:fgenesh2_kg.2__869__AT1G66370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSFKGLRKGTWTAEEDSLLRQCIDKYGESKWHQVPLRAGLNRCRKSCRLRWLNYLKPSIKRGKFCSDEVDLLLRLHKLLGNRWSLIAGRLPGRTANDIKNYWNTHLSKKHDELCCKTKMINRNITSHPTTSAQKIDVLKPRPRSFSDKNSCNDLNVLPKVDVVPSWFGLNNNNVCERSITCNKDEQKDKLTNNLMDGDNTWWESLLEESQEADLFGPEATITEKGETSAFDVSKLWGLFHEETVELN >fgenesh2_kg.2__86__AT1G64000.1 pep chromosome:v.1.0:2:541114:543135:-1 gene:fgenesh2_kg.2__86__AT1G64000.1 transcript:fgenesh2_kg.2__86__AT1G64000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDNTNPMLTLEEGENNNPFSSFDDKTLMMMAPSLMFSGDVGSSSSSCSLSSFHLSAQLENFRGGGGDMGGLVSNNSNNSDHNKNCNKGKGKKTSAMQRIAFHTRSDDDVLDDGYRWRKYGQKSVKNNAHPRSYYRCTYHTCNVKKQVQRLAKDPNVVVTTYEGVHNHPCEKLMETLSPLLRQLQFLSRVSDL >fgenesh2_kg.2__870__AT2G07110.1 pep chromosome:v.1.0:2:11043924:11044371:1 gene:fgenesh2_kg.2__870__AT2G07110.1 transcript:fgenesh2_kg.2__870__AT2G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KTP2] SYGSLKPDRLVIVNAFRCSEEGLFCCLRKVEREYKTILKRTLQSICVLKVVPNSTTSVIIQVVHDGGSVSFLCSLHLGKYLLMLSETHIIRYKQCLFEYL >fgenesh2_kg.2__872__AT1G66400.1 pep chromosome:v.1.0:2:11101441:11102203:-1 gene:fgenesh2_kg.2__872__AT1G66400.1 transcript:fgenesh2_kg.2__872__AT1G66400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNVSRNCLGSMEDIKKVFQRFDKNNDGKISIDELKDVIGALSPNATQEETKSMMKEFDLDGNGFIDLDEFVALFQINDQSSDSNEIRDLKEAFDLYDLDRNGRISANELHSVMKNLGEKCSVQDCQRMISKVDSDGDGCVDFEEFKKMMINGNA >fgenesh2_kg.2__874__AT1G66420.1 pep chromosome:v.1.0:2:11122990:11126587:1 gene:fgenesh2_kg.2__874__AT1G66420.1 transcript:fgenesh2_kg.2__874__AT1G66420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KTQ1] EEFSGSSGKVQPSEMKRRREGTSTDVTSKRAKKVSAEDEKKKMGECTKKQYFQRLWNEEDEIVMLQGIIKFEDVTGKSPFDDSHGFIEFVKKFISFEASVHQYTGKIRQLKRLKGTKRKSGFSEAHDQKCFKLAKSIWGTKETAKKTDLFSSPNGKMVEEDDVDVTNSDWFENSFLLPLIESLGVDSVKHKWSVVPMEAKKKIEERLELLEADEGECKKIKETLKIKKRECLKQKTDFLNEVIDVMT >fgenesh2_kg.2__875__AT1G66430.1 pep chromosome:v.1.0:2:11128269:11130487:1 gene:fgenesh2_kg.2__875__AT1G66430.1 transcript:fgenesh2_kg.2__875__AT1G66430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-type carbohydrate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KTQ2] MALQATTTLCFSGPTFRSTPHSFTSKRRISIKATSSSSSSSSRLSNSRSNLKGRALSSDGSSTQESPYVLCFGEMLIDFVPTTSGLSLADAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGEDEFGYMLANILKDNNVNNEGMRFDPGARTALAFVTLTSEGEREFMFYRNPSADMLLEESELDFDLIKKAKIFHYGSISLITEPCKSAHIAAAKAAKEAGVILSYDPNLRLPLWPSADNAREEILSIWDTADIIKISEEEIVFLTKGEDPYDDNVVRKLFHPKLKLLLVTEGPEGCRYYTKDFSGRVHGLKVDVVDTTGAGDAFVAGILSQLANDLSLLQDEERLREALMFANACGALTVKVRGAIPALPTKEAVHEALLKAVV >fgenesh2_kg.2__876__AT1G66460.1 pep chromosome:v.1.0:2:11181636:11184200:-1 gene:fgenesh2_kg.2__876__AT1G66460.1 transcript:fgenesh2_kg.2__876__AT1G66460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KTQ7] MILDLGFGCFLLPRTSSCEDNKAWLLEETEPKFIDSEPHSLQSSFRFSLCSQVELEKMKKEQPSSSYRNFPVSEGSETVLLVNLENETGELTNEMNFSRGLSLEKSISPVANTLVRFSYSELLAATHNFSKRRVLGRGACSYVFKGRMGIWRKAVAIKRLDKKDKESPKSFCRELMIASSLKCPNVVPLLGFCIDPDQGLFLVYKYVSGGSLERFLHDNKKKKKGRKTPLNLPWSTRYKVALGIADAIAYLHNGTEQCVVHRDIKPSNILLSSNKIPKLCDFGLATWTAAPSVPFLCKTVKGTFGYLAPEYFQHGKISDKTDVYAFGVVLLELITGRKPIEARRPSGEENLVVWAKPLLHRGIEAIEELLDSRLKYTRKNSVSMERMIRAAAACVISEESRRPGMKEILSILKGGEGIELRAFSSRKKSNLPGMMDCYPQLQRTKSEMKSHLTLAMLGVTEFEDDDLL >fgenesh2_kg.2__878__AT1G66480.1 pep chromosome:v.1.0:2:11208671:11210587:-1 gene:fgenesh2_kg.2__878__AT1G66480.1 transcript:fgenesh2_kg.2__878__AT1G66480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSITVKRKRAKVMKIDGETFRIKTPVTAREVTADYPGYVLLDSQAVKHFGVRSKPLEPSQILKPKKTYFLVELPKLPPETTATDSDNKLPYRRVMSGIHVGAKERLEMLMLSRRTVSDVTIGRSDGGDGFGPGLGPGHTSVRLRLPRSQITKLMEENNNDASAIAEKILGIYMERSGELGGGRGGGDSRRKLGSGEIKAREKQVSFAGEGGRELPVLWSRSGK >fgenesh2_kg.2__87__AT1G63990.1 pep chromosome:v.1.0:2:545228:547732:1 gene:fgenesh2_kg.2__87__AT1G63990.1 transcript:fgenesh2_kg.2__87__AT1G63990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSGLSSMKFFSDQHLSYADILRPHEARARIEVSVLNLLRILNSPDPAISDLSLINRKRSNSCINQGILTDVSYIFLSTSFTKSSLTNAKTAKTFVRVWKVMEICFQILLQEKRVTQRELFYKLLCDSPDYFSSQIEVNRTVQDVVALLRCSRYSLGIMASSRGLVAGRLFLQEPGKEAVDCSACGSSGFTITGDLNLLDNTIMRSDARYIIIVEKHAIFHRLVEDRVFNHIPCVFITAKGYPDIATRFFLHRMSTTFPNLPILALVDWNPAGLAILCTFKFGSIGMGLEAYRYACNVKWIGLRGDDLNLIPDESLVPLKPKDSQIAKSLLSSKILQDNYRDELSLMVQTGKRAEIEALYCHGYNYLGKYIATKIVQGKYI >fgenesh2_kg.2__885__AT1G66540.1 pep chromosome:v.1.0:2:11345492:11347365:-1 gene:fgenesh2_kg.2__885__AT1G66540.1 transcript:fgenesh2_kg.2__885__AT1G66540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAPYSEHWRNLRRIGAVEIFSNHRLNSFSSIRRDEARRLIVRLSQNSSLEFTKVEMNSMLSNLAFNNIIRMVTGKCYYGDGAEDDAEAKRVRQLIAEAMSCFGAGHAADHLPVLRWITGFERRVKEISGRLDEFFHGLVDEKRAAKEKENTMIDHLLSLQESQPEYYTDHTIKGTMLSLILAGTNTSAVTLEWALASLLNHPEVLKKARDEIDNKIGLDGLLEESDVPNLPYLQNIVSEMLRLYPAGPLSVPHVASEDCKVGGYDMPRGTMLLVNVWAIHRDPKLWDDPTSFKPERFEKEGETHKLLAFGLGRRACPGSGLAQRLVSLSLGSLIQCFEWERIGEEEVDMTEGGGLTMPRTRPLVAICRARAFVGKIIHESA >fgenesh2_kg.2__887__AT5G56090.1 pep chromosome:v.1.0:2:11499485:11501647:-1 gene:fgenesh2_kg.2__887__AT5G56090.1 transcript:fgenesh2_kg.2__887__AT5G56090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAVGSALKRNKEAFNGIARGFTTSSHQAFTSNITAATVTSASSSSLAGNSFYGLPSLLKGQNASMFRKMSTVASISSESKEGLKHLVTGGPQARKWVGIWLFGSATWVFSMVVLGGVTRLTRSGLSMTDWKFTGEFPPLSDEAWVCEFEKYMQSPEYKRVNKGMNLEDFKFIYWMEYAHRMWGRGLGIMFALPFSYFLRKGYITLRLGVQLSGLFALGAGQGLIGWWMVKSGLEEPPSEYSQPRVSPYRLAAHLTSAFAIYCGLFWTALSVVMPEPPAESLAWVRGAAKVKKLALPVSLIVGITAISGAFVAGNDAGRAFNTFPKMGDTWIPDNIFEMKPLLRNLFENTATVQLDHRLLATTTLIAIGTMWWFTRKLDIHPAVKALIGSTVGMTAVQVTLGVSTLLSYVPVSLGSAHQAGALTLLNLMLLLNHTLRRPSPSLLKSLPQVAKSNFS >fgenesh2_kg.2__889__AT1G66530.1 pep chromosome:v.1.0:2:11504724:11508076:-1 gene:fgenesh2_kg.2__889__AT1G66530.1 transcript:fgenesh2_kg.2__889__AT1G66530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVANKEFTGNPRRQLAKLFEVSLKLTVPDEPNVEPLIEPGKFGDYQCNNAMGLWSFIKGKGTQFRGPPAVGQALIKNLPSSEMVESCSIAGPGFVNVVLSAKWIAKSIENMLVDGIDTWAPTLSVKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEYSKVEVLRRNHVGDWGTQFGMLIEFLFEKFPDTDSVTETAIGDLQMFYKKSKLRFDLDPEFKEKAQQAVVRLQGGDPVYRKAWAKICEISRNEFAKVYQRLRVELEEKGESFYNPYIANVIEELSSKGLVEENEGARVIFVEGFNIPLMVVKSNGGFNYASTDLTALWYRLNEEKAEWIIYLTDLGQQQHFHMFFKAARKAGWLPDDDKTYPRVNHVGFGLVLGADNKRFRTRANEVVRLVDLLDEAKDRSKAALIERGKDKEWTPEELDQTAEAVGYGALKFADLKTNRLTGYTFSFDQMLNDKGDTAVYLLYAHARICSIIRKSGKDIDELKRTGKIALDHAAERALGLHLLQFAETVEEACTTLLPNVLCKYLYYLSEEFTKFYSNCQVNGSAEETSRLLLCEATAIVMRKCFYLLGITPVYKL >fgenesh2_kg.2__890__AT5G65600.1 pep chromosome:v.1.0:2:11523137:11524577:-1 gene:fgenesh2_kg.2__890__AT5G65600.1 transcript:fgenesh2_kg.2__890__AT5G65600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KU92] LDVWKEHIDVENVGMQANTAKILNLSVTWAYELTTSDPKEKVMFGFIAAAGTNTEEHRLLSWELSSTLDIEKADSKIGLVVGISVSGFVLLIVLVHTIVVVWSRKQRKKKDRDITNMISINEDLEREAGPRKFSYKDLVELGEGGFGAVYEGNLIEINTMVAVKKLSVKIISKLRHRNMVQLIGWCNEKNEFLLIYELVLNGSLNSHLFGKRPHLLSWDIRYKIALGLASALLYLHEEWDQCVLHRDIKASNIMLDSDFNVKLGDFGLARVMNHEHGSHTTGLAGTFGYMAHEYVTKGSASKESDIYSFGIVLLEIVTGRKSLERTQEDNSDSESNEKSLVEKVWKLYGKQEGNEFDNKEAECLLLETFDKTSDTKGCCYVLHLNNYDFFFFSLG >fgenesh2_kg.2__891__AT1G66540.1 pep chromosome:v.1.0:2:11539196:11574264:1 gene:fgenesh2_kg.2__891__AT1G66540.1 transcript:fgenesh2_kg.2__891__AT1G66540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAPYSEHWRNLRRIGAVEIFSSHRLNSFSSIRRDEARRLIVRLSQNSSLEFAKVEMNSMLSNLAFNNIIRMVTGKCYYGDGAEDDAEAKRVRQLIAEAMSCFGAGHAADHLPVLRWITGFERRVKKIAGRLDEFFQELVDEKRAAKETENTMIDHLLSLQESQPEYYTDHTIKGTMLSLILAGTDTSAVTLEWALSSLLNHPEVLKKARDEIDNKIGLNRLLEESDVPNLPYLQNIVSETLRLYPAGPLSVPHVASEVCKVGGYDMPRGTMLLVNVWAIHRDPKLWDDPASFKPERFEKEGETHKLLAFGLGRRACPGSGLAQRLVSLSLGSLIQCFEWERIGEEEVDMTEGGGLTMPRANPLVAMCRARAFVGKILHESA >fgenesh2_kg.2__894__AT1G66680.1 pep chromosome:v.1.0:2:11599605:11602472:-1 gene:fgenesh2_kg.2__894__AT1G66680.1 transcript:fgenesh2_kg.2__894__AT1G66680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-lysine N-methyltransferase ARALYDRAFT_475764 [Source:UniProtKB/TrEMBL;Acc:D7KUA6] MAGIRLLPEEPETTPQQQARASAAAVTTTTDSLASDDDRSIAADSWSIKSEYGSTLDDDQRHADAAEALSSANFRVSSDYSSDKEEPDADGGGQSMLGLLSYWDAAYSDELTNFREHGHAGEVWFGDDVMEIVTSWTKDLCVEISQKNMSVSDNDVTTEVNDQAEKYLSSWNVLDLGTGNGLLLHQLAKEGFSDLTGTDYSEGAVELAQHLSQRDGYPNIRFMVDDILDTKLEQQFKLVMDKGTLDAIGLHPDGPVKRVMYWDSVSKLVAPGGILVITSCNHTKDELVEEVENFNIRKSNLCRGDGNDATNSLSSGSEAASRIDQPPFEYLSHVRTYPTFMFGGSVGSRVATVAFLRK >fgenesh2_kg.2__896__AT1G66700.1 pep chromosome:v.1.0:2:11608680:11611826:-1 gene:fgenesh2_kg.2__896__AT1G66700.1 transcript:fgenesh2_kg.2__896__AT1G66700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine:carboxyl methyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KUA7] MTTTPDWIMIGGDGPESYNQQSSYQRALLEATKDKMTEAISANLDLDLISNRFIVADFGCASGPNTFVAVQNIIDAVEEKYRRETGQNPDDNIEFQVLFNDFSLNDFNTLFQTLPPGRKYFSAGVPGSFFERVLPKESFHIGVMSYAFHFTSKIPKGIMDRDSPLWNKDMQCTGFNPAVKKAYLDQYSIDTKILLDARAEELVPGGLMLLLGSCLRDGVKMSETPKGTVMDFIGESLIDLAQQGVTEQEKVDTFKTSIYFAEQGEIRQIIEENGKFTIELFEDIIHSKNEFPLDPKTLAISFKAFYGAFISAHFGVEVMRKAFELVEVKAREQISRLHNAKDGMQYLIVLRKN >fgenesh2_kg.2__899__AT3G27430.2 pep chromosome:v.1.0:2:11637976:11639759:-1 gene:fgenesh2_kg.2__899__AT3G27430.2 transcript:fgenesh2_kg.2__899__AT3G27430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:D7KUB2] MSQSTVDVSAKGGFSFDLCKRNEMLTQEGLKAPSFLKTGTTIVGLIFKDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLRLHRYETGRDSRVITALTLLKKHLFSYQGHVSAALVLGGVDITGPHLHTLYPNGLTETLPFATMGSGSLAAMSVFEAKYKEGLTRDEGIKLVAEAICSGIFNDLGSGSNVDICVITKGNKEYLRNYMEPNPRTYVSSKGYSFTKKTEVLLTKITPLLERVEITEVGEAMEE >fgenesh2_kg.2__8__AT1G64640.1 pep chromosome:v.1.0:2:68804:69695:1 gene:fgenesh2_kg.2__8__AT1G64640.1 transcript:fgenesh2_kg.2__8__AT1G64640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSLSSTMMVLLQVMILLGQEIGTVSSTLYKVGDLDAWGIPIDAKVYTKWPKSHSFKIGDSLLFLYPPSEDSLIQVTPSNFKSCNTKDPILYMNDGNSLFNLTQNGTLYFTSANPGHCTKYQKLLVSVGTYSAEAEALSPSSAADGPSYQNAFGSIPLSQKSSATSSLISAFSTVAASLACAVVGAIM >fgenesh2_kg.2__902__AT1G66750.1 pep chromosome:v.1.0:2:11778496:11781141:1 gene:fgenesh2_kg.2__902__AT1G66750.1 transcript:fgenesh2_kg.2__902__AT1G66750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase D1_2 [Source:UniProtKB/TrEMBL;Acc:D7KUD5] MSKSNENQPVDRYLRRQILGEGTYGVVYKATDTKTGKTVAVKKIRLGNEKEGINFTALREIKLLKELNHPHIVELIDAFPHNGSLHLVFEYMQTDLEAVIRDRNIFLSPGDIKSYMLMTLKGLGYCHKKWVLHRDMKPNNLLIGENGLLKLADFGLARLFGSPNRRFTHQVFATWYRAPELLFGSRQYGAGVDVWAAGCIFAELLLRRPFLPGSTEIDQLGKIFQAFGTPVPSQWSDMIYLPDYMEFSYTPAPPLRTIFPMASDDALDLLAKMFIYDPRQRITIQQALDHRYFSSSPSPTEPGKLQIPASKGDALEPKASEQNQHANSPAVLSPPGKMRRVMGPEGFT >fgenesh2_kg.2__904__AT1G66760.2 pep chromosome:v.1.0:2:11791802:11794317:1 gene:fgenesh2_kg.2__904__AT1G66760.2 transcript:fgenesh2_kg.2__904__AT1G66760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7KUD7] MKKSIETPLLLNTKQSQEEENEKLRWEKIKKVASMAAPMVAVNMSQFLLQATSTMIVGHRSELALAGIALGSSFANVTGFGVLFGLSGSLETLCGQAYGAKQYHKLGSYTFTSIVFLMIISVPISILWMFMNQILLLLHQDPQIAELAGVYCLWLVPALFGYSVLESLVRYFQSQSLIYPMVLSSLAALSFHVPLCWLMVHKFDFGAKGAAVSIGISYWLTAAFLWVYMKRSSRCAETRIYMSKDVFVHTNIFFQFAVPSAMMCCLEWLAFEVITLLSGLLPNSKLETSVISICLTTSSLHYNLVNGIGDAASTNVANELGAGNPRGARDSAAAAIIIAAVESVVVSSSLFFSRSVWPYAYSNVEEVISYVTDITPILCISILMDSFLTVLSGIVRGTGWQKIGAYVNITSYYLIGIPVGLLLCFHLHFNGKGLWAGLVTGSTLQTLILFLVIGFTNWRKEAIKARERIGDEKVWRHDSLLN >fgenesh2_kg.2__907__AT1G66810.1 pep chromosome:v.1.0:2:11852014:11853070:-1 gene:fgenesh2_kg.2__907__AT1G66810.1 transcript:fgenesh2_kg.2__907__AT1G66810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLASSTITDLAYVTAINSPPPPLSPLSEKSFNNKHQEEFAASFASLYNSIFSPESQFPISLSLSPSPPSSSSPPARVDTTTEHRLRQARLILEYDELNEHYELCLNRLQSLMTELDSLRHENDSLRFENSDLLKLIHLSTSSSSSSSSVSPPPIHNHNRQFRHQISDFGPIHKHSRSVKRNSLPKSISVRSPGYLKINHGFGVSDRQTSQLSFTSQHSSDSVSSQKVCVVPTKGEREALELEVYRQGMMKTELCNKWQETGACPYGDNCQFAHGIGELRPVIRHPRYKTEVCRMIVTGAMCPYGHRCHFRHSLTDQERMMMMLTR >fgenesh2_kg.2__909__AT1G66830.1 pep chromosome:v.1.0:2:11857416:11859616:-1 gene:fgenesh2_kg.2__909__AT1G66830.1 transcript:fgenesh2_kg.2__909__AT1G66830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFLILSLILTHFFAMATSLNDQGLALLSFKQSLQNQSSDSVFTNWNSSDSNPCLWQGVTCNDELRVVSIRLPNKRLSGFLHPSIGSLLSLRHVNLRDNEFQGELPVELYGLKGLQSLGLSGNSFSGLVPEEIGRLKSLMTLDLSENSFNGSIPLSLIRCKKLKTLVLSKNSFSGALPTGFGSNLVHLRTLNLSFNRLTGTIPEDIGSLKNLKGTLDLSHNVFSGMIPTSLGNLPELLYVDLSYNNLSGPIPKSNVLLNAGPNAFQGNPFLCGLPIKVSCTTRNTQVVPSQLYTRRANHHSKLCIILTATGGTVAGIIFLALLFIYYLRKASARAIKDENNHTEEKLKKTKPGFLCFKTGNSESEALENKNQQVFMPMDPEIEFDLDQLLKASAYLLGKSRIGLVYKVVLENGLMLAVRRLEDKGWLRLKEFLADVEAMAKIKHPNVLNLKACCWSPEEKLLIYDYIPNGDLGSAIQGRPGGVSCKQLTWPVRLRILRGIAKGLTYIHEFSPKRYVHGHINSSNILLGPNLEPKVSGFGLGRIVDTSSEIRSDQISPMETSSPIISRESYYQAPEAASKMTKPSQKWDVYSFGLVILELVTGKSPVNSEMDLVMWVQSASERNKPVWYVLDPVLARDRDLEDSMVQVIKIGLACVQKNPDKRPLMRNVYESFEKLVSSI >fgenesh2_kg.2__911__AT1G66850.1 pep chromosome:v.1.0:2:11872718:11873209:1 gene:fgenesh2_kg.2__911__AT1G66850.1 transcript:fgenesh2_kg.2__911__AT1G66850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVTLVLVVFVILSISFPAAIVKAADTGDTGNVGVTCDARQLQPCLAAITGGGQPSGACCAKLTEQQSCLCGFAKNPAFAQYISSPNARKVLVTCGVAYPTC >fgenesh2_kg.2__912__AT1G66860.1 pep chromosome:v.1.0:2:11889967:11892506:1 gene:fgenesh2_kg.2__912__AT1G66860.1 transcript:fgenesh2_kg.2__912__AT1G66860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPSVNDLSQILPRVLVVSRRTLRKNKFVDFVGEYHLDLIVENGAVPVIVPRVAGVHKLLESFKPIHGVLLCEGEDIDPSLYESEISSLSLQELDEIRKTHASDTAIDKEKDSIEFALAKLCLEQNIPYLGICRGSQVLNVACGGSLYQDLEKEVTINVSEEHRRNHIDYDDYDGYRHEVKIVKNSPLHKWFKDSLDEEKMEILVNSYHHQGVKRLAQRFVPMAFAPDGLIEGFYDPDMYNPEEGKFLMGLQFHPERMRKNGSDEFDFPGCPVAYQEFAKAVIACQKKLVPKKLELNQEMEKKRKILVRSFSLARSMYTRSYSLNNQSRESELDVGAEFLESNTALSVQQEMRLKEMGATMRNGGSFTEKLRLDEEKQRKAMNIMKNMNVERLSELMAFYHLMGKISSEVLERKLRASVNDLNLTSQ >fgenesh2_kg.2__913__AT1G66890.1 pep chromosome:v.1.0:2:11910437:11910917:1 gene:fgenesh2_kg.2__913__AT1G66890.1 transcript:fgenesh2_kg.2__913__AT1G66890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQKFKLLATHCSTVAESPTRSPVIHLRRRKTLRLLLTRSSDRWRLPEIQNNVDESKKSDKRDKIRSRRKLRELFVSSPPFEESGGGGDEKAMKMEVEVEMERDVAVNGVNNNGGFGEEITARRVGFNGSVRPMSSVTLRCRLLRRAWRPVLVTIPEQ >fgenesh2_kg.2__916__AT1G66930.1 pep chromosome:v.1.0:2:11964661:11966583:1 gene:fgenesh2_kg.2__916__AT1G66930.1 transcript:fgenesh2_kg.2__916__AT1G66930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KUW3] EKELNLTHLESALRKGFEVKLMIDEIPCQECSSTRGICGFNSTTQICCNVTSTSGGVTCVPQHQPSADLLKKRCSERFSCGNQRDLYYPFWIPGREYCGHPDFKLDCSRGFAEINIASVKFKIINMSHDSSTIRLARSDYIGDLCPPNPLNEPLIENVLQFSADTELLTLYYDCQLNSSVISATSYIGELGCDGGRSYFVTKNLSSPLLDRFRGVLNNLRGMCKRKVRIFRKRKTSDDRRQEKLIKGPYSTQTLYLCAMVGRGGFGIVYRGTLCDGRVVAVKVLKESKGNNSEDFINEVSSMSQTSHVNIVSLLGFCSEGSKRAIIYEFLENGSLDKFISERTSVNLDLTTLYGIALGVARGLEYLHYGCKTRIVHFDIKPQNVLLDDNLSPKVSDFGLAKLCEKKESVMSLMDTRGTIGYIASEMISRVYGSVSHKSDVYSYGMLVFEMIGARKKERFGQTSTSNASSMYFPEWIYKDLEKADSEDLEKGKHIENGISSQEEEIAKKMTLVGLWCIQPSPSDRPPMNRVVEMMEGSLDTIEVPPRPVLQQIPVVPLLESSWISEEGSTISEIENRNSL >fgenesh2_kg.2__921__AT1G66960.1 pep chromosome:v.1.0:2:11985078:11994921:-1 gene:fgenesh2_kg.2__921__AT1G66960.1 transcript:fgenesh2_kg.2__921__AT1G66960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:D7KUW6] MWRLKIGEGKEKDPYLFSSNNFVGRQTWEFDPKAGTPEERAAVEEARRSFWENRSRVKPSSDLLWRMQFLKEAKFEQVIPPVKLDRGEAITYEKATNALRRGVAFFSALQASDGHWPGEFTGPLFMLPPLVFCLYITGHLEEVFDAEHRKEMLRYIYCHQNEDGGWGFHIESKSIMFSTALNYICLRMVGVGPDEGVENACKRARQWILSHGGVTYIPCWGKVWLSVLGIYDWSGINPMPPEIWLLPSFLPIHLGKTLSYTRVTYMPISYLYGKKFVCPITPLIMQLREELHVQPYEEIKWNKARHLCAKEDTYYPHPLVQDLIWDTLHTFVEPFLASWPLNKLVRNKALQVAMKHIHYEDENSHYITIGSIEKILCMLACWIDNPDGDHFKKHLSRIPDLMFTFTPNQLIQLWVTGFAVQALLASDPCDETYDVLRRAHDYIKKSRAGLFVNIRENPSGDFKSMYRHISKGGWTLSDQDQGWQVSDCTAEAAKCCMLLSTMPPDVIGKKINLEQLYDSVNLMLSLQSENGGFTAWEPVRAYKWLELMNPTDFFANAMTEREYTECTSAVIQALVIFKQLHPNHRKKEIIKSIEKAAQFIESKQMPDGSWYGSWGIFFTYATWFALSGLAAIGKTYNNCLSMRNGVDFLLKIQNDDGGWGESYLSCPEQRYIPLEGNRSNLVQTAWAMLGLIHAGQSKRDITPLHRAAKLIITSQLEKGDFPQQELLGASMKTCMIHYATYNDIFPLWALAEYRKAAFVYRADQ >fgenesh2_kg.2__922__AT1G66970.1 pep chromosome:v.1.0:2:12009325:12012547:-1 gene:fgenesh2_kg.2__922__AT1G66970.1 transcript:fgenesh2_kg.2__922__AT1G66970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KUX1] MNSRPGNPTKIVIRSSTLLFCGVVLIQLFVAQIDAQRSTSRWQTLNGDAPLVIARGGFSGLFPDSSLAAYQFAMQASLADVILWCDVQLTKDEVGICFPDLNLANASNIQDVYSTRRKSYRVNGVTTQGWFPIDFSLTELQNVSLIRGIFSRSERFDGSGFAILTIQDVNTQLLPKGFWLNVQHDAFYEQQNLSMSSFLLSVSRTVSIDFISSPEVNFFRKIAGRFGRNGPSFVFQFLRKEDFEPTMNRTYGSILSNLTFVKTFASGILVPKSYILPIDEEQYLVPHTSLVQDAHKAGLQVYVSGFANDVDIAYNYSWDPVSEYLSFVDNGDFSVDGVLSDFPITASATVDCFSHIGRNATKQVDFLVISKNGASGDYPGCTDVAYENAIKDGADVIDCSVQMSSDGIPFCLSSINLGDSTTVTQTLYSNRSTPVPEISSVAGIYTFSLTWPEIQNLTPAISNPYFRVYRISRNPKEKNSGTLISLSQFLDLAKNYTSLSGVLISVENAAYLREKQGLDVVQAVLDTLTKTGYNNGTTTTKVMIQSTNSSVLVDFRKQIKYETVYKIEETISNIHDYAIEDIKKFANAVVINKDSVFPNSESFLTKQTNVVERLKKSQLTVYVELFRNEFVSQPYDFFADATVEINTYISGAGVSGTITEFPFTAARYKRNRCLGRKEIPPYMLPIQPGGLLAIMNPLALPPAQAPNP >fgenesh2_kg.2__923__AT1G66980.1 pep chromosome:v.1.0:2:12013449:12017864:-1 gene:fgenesh2_kg.2__923__AT1G66980.1 transcript:fgenesh2_kg.2__923__AT1G66980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KUX2] LLYGVVLLQLFAAQINAQRSTSTWQTLSGEAPLVIARGGFSGLFPDSSLAAFQFAMQASVDEVILWCDVQLTKDEVGICFPDLNLANASNIQDVYSTRRKSYPVNGVTTQGWFPIDFSLTELQNVLFSLIRGILSRSEKFDENGFAISTIQDVNTQLLPKDFWLNVPHDAFYEQQNYSMSSFLLSAARTVSIDFISSPEVGFFRKIAGRYGRNGPSFVFQFLGKEDFEPTTNRTYGSIFNNLTYVKTFASGILVPKSYILQLDDKHYLLPPTSLVQDAHKAGLKLYASGFANDVDIAYNYSWDPVSEYLSFVDNGVFSVDGVLSDFPLTASASVDCFSHVGRNATKQVDFLVISKNGASGDYPGCTNLAYEKAIRDGAEVIDCPVQMSSDGIPFCASSIDLANSTTVNQTHLRNRSIFVPEISSAAGIFTFSLTWHEIQSLTPAISNPFRLYSMSRNPNEGNSGNLISLYEFLNLAKNSSSLSGVLISLENVIYLREKQGLDVVKVVLNRLTETGYIFGTLKVMIQSTTSLVLVDFKNQSKYETVYKIEETIGNIPDSAIEDIKKFANAVVINKDSVSPNSESFVTGQTNVVERLQKSQLPVYMELFRNEFVSQPYDFFDDATVEINTYISGHGINGTITEFPFTAARYKRNRCLGRKEIPPYMLPIQPGGLLAIMNPLALPPAQAPNPIFTYDDVTEPPVPPVIAKSPTSTPGTSSTIAKPLISLSAAGVVLFLVLLSLTFCFLRKRETQLRQQKLKALIPLEHYTYAQVKRITKSFAEIVGRGGFGIVYKGTLGDGRVVAVKVLKDSKGNSEDFMNEVASMSRTSHLNIVSLLGFCSEGSKRAIIYEFLENGSLDKFISGKTSVDMDWTTLYRIALGVARGLEYLHHSCKTRIVHFDIKPQNVLLDDNFCPKVSDFGLAKLCEKKESILSMLDTRGTIGYIAPEMISRVYGNVSHKSDVYSYGMLVLEIIGARNKEKANQASPSNTSSMYFPEWVYRDLESGKSGRHIEDGINNVEDELAKKMTLVGLWCIQPSPVDRPAMNKVVEMMEGSLEALEVPPRPVLQQIPRSNFQESSTLSEEVSVYTEG >fgenesh2_kg.2__926__AT1G67025.1 pep chromosome:v.1.0:2:12053598:12059048:-1 gene:fgenesh2_kg.2__926__AT1G67025.1 transcript:fgenesh2_kg.2__926__AT1G67025.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KUX9] MSDQIIKLEGPTLLPNVTYPFWGVNKPNYCGQTEFQLSCKNNQNLTLVLTNLSLQVISFDLENQTLTVADESLFEGRCPSISLNFTGTNQFTIAPTSKKIDLFICPAPEMVNPLSSFTCRKSNGDMVTYYTFKTSDSVTECVKLGEVPVLSSVLDDFQRSRLTLEEALVKGFDLRYNIRDGRSCRGCLDSGGVCGSESVSGSFHCLCYD >fgenesh2_kg.2__927__AT1G67030.1 pep chromosome:v.1.0:2:12061577:12062519:1 gene:fgenesh2_kg.2__927__AT1G67030.1 transcript:fgenesh2_kg.2__927__AT1G67030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETSSLKLFGINLLETTSDTNRSSEPRSGPGTGSESRKYECQYCCREFANSQALGGHQNAHKKERQLLKRAQMLATRGLTRHHNFHPHTNPLLSAFAPLPHLLSQPHPPPHMMLSPSSSSSKWLYGEHMSSQNAVGYFHGGRGLYGGGMESMAEGVKAHGGSLPEMRRFAGESDRSSGVKLENGIGLDLHLSIGP >fgenesh2_kg.2__928__AT1G67035.1 pep chromosome:v.1.0:2:12067555:12068350:1 gene:fgenesh2_kg.2__928__AT1G67035.1 transcript:fgenesh2_kg.2__928__AT1G67035.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KUY2] DTISNPSMESLFFSVDSISDTQQKLKLLVDNGDYGFERGSRYGEYARLRESKLRMKRDFEKILKEEELRFGFNREEEIRVLPEKKTRFGFIQNQRKTSSSSSLAQSVPDFSAMIRKENRRPPVNSNLIPRRTELTPPPMKSRNAAVFAGSVARGSVSASAGEKKGGRKSIGGGGRSILGYRQIY >fgenesh2_kg.2__929__AT1G67040.1 pep chromosome:v.1.0:2:12068499:12071945:-1 gene:fgenesh2_kg.2__929__AT1G67040.1 transcript:fgenesh2_kg.2__929__AT1G67040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGELQETTAPCAAITEKRPNRLGGCVGVFFQLFDWNRRFAKKKLFSRKSLLPGKQVSKRFGGNEKMLKSKLNLIDDENRGSFPNRGEVGELKKHEMRSPSLVARLMGLESMPSSHRDKGKNKKKKQLFSQIQGTDKCDLFDGEEEEESGFDKLRPQKMQRTTGVCDRRVAVKKFGSDALQIKNVLTRVRKHHQYNSHHQHQKLASPVRSPRLNRRSSRLIDAAARILEPGKRNAKCAIAYPGSSGFRRFENAGKEPVEVVSPEFQCGYNNNVASCKACGSLVDVNGSSPVVEETGKSVACVSESTPFQRSKRNVFWRNEDSTDQVVRKALHRARYDYNGKHGKDEMSLPGFRNRDYHNKVLHREDRFPPEARSFALPSKRGCSSPANAINCKEKDFIAMNRGSTSRSHHSKSPVKFENSDLNLQRKSQTRVEESSSRSVLSTPGRKRRLACDSGHGRGSSFTSPVSKRLDGECSCAFSNGPSGSNETAFPSLKLGSLHRNNSQCCRETKERKGVQRVPRPSFTKRPPLDVGTLGLIQQKLKELASQEEDEAKGESVFPNKSASLILHELLSSLALEQRYNRDIDMPYAETAYRRKGKNELWSPVGNANSEYTSPGSVLDASFSNESFFSNSFDNVSGQMRLPLEPIEPDWNILEDSATSFKHSTSGGNYQAIAINLPGLTGGFLVDAMIEHLEERNISCGLLKSFSAKPDELIRGVLEEVPKWTRINMDEVIGIEMEKWLDPETFLFGIGSEIAYEILRCLVGELTTDLGKSLPVKANLF >fgenesh2_kg.2__930__AT1G67050.1 pep chromosome:v.1.0:2:12092724:12093894:-1 gene:fgenesh2_kg.2__930__AT1G67050.1 transcript:fgenesh2_kg.2__930__AT1G67050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLLSENSNMSPRISFSRDFCQSDAIPIEKRPLRSSNSKPSSLNSSIDFDFCIPGGVNSGESFDQGSWSADELFSNGKILPTEIKKKPEPGKKEPESKDTKPVKTKPDSRKQRKQNEEQQEDDVIITTEEKTNTKSFWGFKRSSSLNCGSTYGRSLCPLPLLNRSNSTGSTSSKQKQSSSRKHNEHVKLQQSSSLSSSSSASSSLSNNGFSKPPLKKSYGGYSYGSHGGGGIRVSPVINVVPSGNLFGFGSMFSGNGRDKNKKR >fgenesh2_kg.2__931__AT1G67060.1 pep chromosome:v.1.0:2:12103467:12105980:1 gene:fgenesh2_kg.2__931__AT1G67060.1 transcript:fgenesh2_kg.2__931__AT1G67060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSPNWELRGCCNRNQNTFLITIGIFTVVILLLWRTFLLTPFKLITVFLHEASHAVACKLTCGDVEGMEVNANEGGSTTTRGGIYWLILPAGYLGSSFWGMALILASTNLLTARIAAAGLGLALFIVLFIAKNWTLRGLCIGFIVFLAIIWVLQELTTVKILRYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEICPCCTGCGWGVIWGMISFAFLCASLYLGLVILS >fgenesh2_kg.2__933__AT1G53480.1 pep chromosome:v.1.0:2:12112827:12113360:1 gene:fgenesh2_kg.2__933__AT1G53480.1 transcript:fgenesh2_kg.2__933__AT1G53480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KUZ0] FLPLFSFLPAAALPSLWLNQRLLSRRRAEVVFSDGGSLVDLQWGTITLDDGSRSGGFLRQSLRGGTSPPMSLFGGGGSVLRRDRGRRIVVFRAWLCRGDKKTIWIVEEEGKLSINAPASRNFCLSPVNPVEYQSD >fgenesh2_kg.2__935__AT1G67090.1 pep chromosome:v.1.0:2:12124386:12125629:-1 gene:fgenesh2_kg.2__935__AT1G67090.1 transcript:fgenesh2_kg.2__935__AT1G67090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:D7KUZ2] MASSMLSSATMVASPAQATMVAPFTGLKSSAAFPATRKANNDITSITSNGGRVNCMQVWPPVGKKKFETLSYLPDLTDSELAKEVDYLLRNKWIPCVEFELEHGFVYREHGNSPGYYDGRYWTMWKLPLFGCTDSAQVLKEVEECKKEYPEAFIRIIGFDNSRQVQCISFIAYKPPSFTG >fgenesh2_kg.2__938__AT1G67110.1 pep chromosome:v.1.0:2:12154115:12157849:-1 gene:fgenesh2_kg.2__938__AT1G67110.1 transcript:fgenesh2_kg.2__938__AT1G67110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP735A2 [Source:UniProtKB/TrEMBL;Acc:D7KUZ5] MMVTLVLKYVLVIVMTLILRVLYDSICCYFLTPRRIKKFMERQGITGPKPRLLTGNIIDISKMLSQSASNDCSSIHHNIVPRLLPHYVSWSKQYGKRFIVWNGTEPRLCLTETEMIKELLTKHNPVTGKSWLQQQGTKGFIGRGLLMANGEAWHHQRHMAAPAFTRDRLKGYAKHMVECTKMMAERLRKEVGEEVEIGEEMRRLTADIISRTEFGSSCDKGKELFSLLTVLQRLCAQATRHLCFPGSRFLPSKYNREIKSLKTEVERLLMEIIESRRDSVEIGRSSSYGDDLLGLLLNQMDRNKNNLNVQMIMDECKTFFFTGHETTSLLLTWTLMLLAHNPTWQDKVRDEVRQVCGQDGVPSVEQLSSLTSLNKVINESLRLYPPATLLPRMAFEDIKLGDLIIPKGLSIWIPVLAIHHSKELWGEDANEFNPERFTTRSFASSRHFMPFAAGPRNCIGQTFAMMEAKIILAMLVSKFSFEISENYRHAPIVVLTIKPKYGVQLVLKPLDP >fgenesh2_kg.2__940__AT1G67140.1 pep chromosome:v.1.0:2:12198198:12214587:-1 gene:fgenesh2_kg.2__940__AT1G67140.1 transcript:fgenesh2_kg.2__940__AT1G67140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7KUZ7] MAKNNATDNVPLSRFGVLVAQLESIVASASQKNPDPLLCFEILSDLISSIDEEPKESLLVTQRKCEDALYSLVTLGARRPVRHLASVAMAKIILNGDSISIYSRASSLQGFLSDGKRSDPQRVAGAAQCLGELYRHFGRKITSGLVETTVIVTKLVKFNEDFVRQEAFILLHNALEGCGGTAAATAYSEAYRLITRFSTLDKSFVVRIAAARCLKAFSNIGGPGLGTSEFDTLASYCVKGIEDSESSVRDAFAEALGSLLALGMHPEAHVQPRGKGPFPPAKKLEAVGSRAKNKRFGLALSWVFFLQAIRIRYMDSDSELQEYSLLIMDMLQGDSSIDAHALLQSSNASSSMKIVALRALSYTLKTLGEVPHEFKEFFDDTVGAALSHFLDLVRVEAALTLRALAEVDPTCIGGLTSFAVTTLNALRESLPFEKGDKLKTDLASLHGQAATLAALVSISPGLSLGYPARLPRSVLEVSKKMLTESRRNITVASSEKEAGWLLLSSLLNSMPKEEFGDQDFDILILWTDVFTGNPEHLIKQQADLKSMLSVWSAAIDALTAFIRRFVSCNDGILLQPVLANLRSALSCVSTMANKRFSDVKTLVDILIIRLLIAYQSIPDPLAYKRICLHLFPCIFSIMLQKPSSPQEDVTSYELLSRDWFEDELRYFQGGEDGLAPSVWESKVSSFPLDSQGMLSLLSVIQQCMKAGKKQQWRTASLTNICAGLLAVLCVLTNRREKIGQDSRMVKALHALRPQQLTTEVLSSGQAIFQNILTEGDICASQRRAACEGLGLLARLGNDIFTARMIWALHGLLLTIEAAGLSFVSHVQAALGLALDILLTEESGWIDLSQGIGRLINAIVAVLGPELSPGSILFSRCKSVIAEISSWQEIPTLLEYVNASLFATIMLIHCCYYINYLYPVSSLIVTVHLNIFRSVCFTQQLILFAPQAVSVHLHVKNLLMTLASRQPIIRRLSVSTLRHLIEKDPVSVIDEQIEDNLFQMLDEETDSEIGNLIRSTLIRLLYATCPSRPSRWMSICRNMALAASAGRSAETSIAENDPANTRENLGDDDEDMVSSSSGKSIRPNPDKDKTLRYRTRVFAAECLSLLPEAVGNDAAHFDILLARKLASGRQSSGDWLVLQLQELISLAYQISTIQFENMRPIGVGLLSTILEKAQLVSAVRTALDANSGPVLLEAGLQLATKIMTSGIISSDQIAVKRIFSLLSRPLNDFTELYYPSFAEWVTSKIKIRLLAAHASLKCYIFTFLRKHHGDVPVEFEALLPLFSKSSDLLGRYWIQVLSGYSYVCLCQNLKRSQCSFLDEIPPHTVSRRLQPCLEEAWPVILQALVLDAIPVNHSVEEFSDRSLISRHRMVTLEVEDYQFLWGFAVLVLFQGMHPASNMQVIPFSSAKIKCSGDSGINESSFQGLKIYEIALPVFQSLSAGRFFSSGFLSIDLCQELLQVFSYSFHMDSSWDILAVSVVQQISQNCPKDFLESEQFAYSTIELCLGYLFKILHRHNESSTDDDIWDNMLSPLFISIKTLVTRFELKHRLNSAPLAFLLSGYKCIRQVPTDAYLPKALEIVKSTNELLHELTRPSSQKPSTDGTNFAADSSVHLRAIFGACLHMVGDLTKDCINGIRLVDNKRSGLRKLLQLKLVFCLEQLFSLAKLSYEFDCPGDEIDTNSTCIAMLKSCQISIAAVIKDSNVQVQATVLQVLKSLVQRYNNPEEKSFVIFFVGELIEDIVSLMQRALLKPMNKESVVIAGECLRFIMLLQTHSITDELQRGFMSLFLEVVLVVFSKTSDGVSQEVLELRNVAVRLVSHLAQLPSSAVHFKDVLLSLPATHRQQLQDIIRASVSKDSALPKPKSLVPPMDIKLPAPVVATPEKVTSTANMVKAEALSTVPISFNQINTVESEIDEENDEEEDDDDDDDDWDTFQSFPASTNLEGSESKTESVAEEEPDLPGSSSIQEDESNETNNSLLAEEADDQHFASDNATDTTREDSNDKSKEVDEETVEPYFTTSEDSVDKSKEVEEETVDPCRIEEALTSQNDKTSSDDHPVESKNLESENIGTDIKLTSTEVDSPALDDTSDDLEPHQIQKLTEDESSKEHVGADVIVTGHTMAENKSEED >fgenesh2_kg.2__944__AT1G67170.1 pep chromosome:v.1.0:2:12261442:12263779:1 gene:fgenesh2_kg.2__944__AT1G67170.1 transcript:fgenesh2_kg.2__944__AT1G67170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGRIHPSHHHMRRPLPGPGGGIAHPETFGSHAAIPPSAAQGAYPSFNMLPPPEVMEQKFAAQHGELQRLAIENQRLGATHGSLRQELAAAQHELQMLHSQIGSMKSEREQRMMGLAEKVAKMETELQKSEAVKLEMQQARAEARSLVVAREELMSKVHQLTQELQKARSDVQQIPALMSELENLRQEYQQCRATYDYEKKFYNDHLESLQAMEKNYMTMAREVEKLQAQLMNSANSDRRAGVPYGSNMNAEIDASGHQSGNGYYEDAFGPQGYIPQSVAGNAAGPNSIVGAAQYPYPGVTQPGYFPPRPGYNFPRGPPGSYDPTIRLPTGPYGAPFPPGPSNNTPYGSAATASARGNPSCR >fgenesh2_kg.2__945__AT1G67180.1 pep chromosome:v.1.0:2:12267890:12270035:1 gene:fgenesh2_kg.2__945__AT1G67180.1 transcript:fgenesh2_kg.2__945__AT1G67180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KV04] MENVVATVSGYHGSDRFKLIKLISHSGASYVGAMSRSITHLVCWKFEGKKYDLAKKFGTVVVNHQWVEECVREGRRVSETPYMFESGEEVGPLMVELPAVSGEAKVTKKVNKAAETFEKYFSKGEENRSGSTSELATWMDSVLLKEKNVEANRHSVRLRTKRPSNIFEDKENTGVAKSSRKGKRQLVKQRSCRNLIDLESDEESDNNRHENSDENRNETQDPREPADENERGCVFEQGETSALRHPGDSATQNWDVDEIEESENWSHSAVFKRPRSISPEIKPQDDGSNYNKPESTRDETEATQKATAQVSCIICWTEFSSSRGILPCGHRFCYSCIQKWADRLVSERKKTTCPLCKSNFITITKIEDADSSDQKIYSQTVPDLSSTNNTLVVLPEEEQRQTFNPLTRASGCSRCYLTEPEELLIRCHLCNFRRIHSYCLDPYLLPWTCNHCNDLQMMYQRRHY >fgenesh2_kg.2__946__AT1G67190.1 pep chromosome:v.1.0:2:12271071:12274227:1 gene:fgenesh2_kg.2__946__AT1G67190.1 transcript:fgenesh2_kg.2__946__AT1G67190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KV05] MDYLPVEVIGNILSRLGGARDVVIASATCRKWREACRKHLQTLSFNSADWPFYRDLTTNRLEILITQTIFQITGLQGLSIMMDDANKFSAATVIAWLMYTRDTLRRLSYNVRTTPNVNILEICGRQKLEALVLAHNSITGVEPSFQRFPCLKSLSLSYVSISALDLNLLLSACPMIESLELVSLEIAMSDAQVTIELSSPTLKSVYFDGISLDKFILEADSIEFLHMKDCVLELFELIGNGTLKHFKLDDVSVIHLDIMETSESLEVVDVNHFTMVWPKFYQMISRSQKLKKLRLWDVVFDDDDEIIDVESIAAGFSHLTHLSLSYDLKDGAAHYSLQGTTQLENVTVLELGWTVINDVFSIWVEELLRRCPNLKKLIIYGVVSETKTQGDCQILATFTWSIVQLMRKYIHVEVQFEYE >fgenesh2_kg.2__947__AT1G67195.1 pep chromosome:v.1.0:2:12277368:12278176:-1 gene:fgenesh2_kg.2__947__AT1G67195.1 transcript:fgenesh2_kg.2__947__AT1G67195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRMRLGKIVEGLKSKIKTGLRMRKRSSLSSSSSSSSSSSSSSYEKIEKSESMRFELRSRKAHKIIKETLQIADSPTSRTYAF >fgenesh2_kg.2__948__AT1G67210.1 pep chromosome:v.1.0:2:12285991:12289237:1 gene:fgenesh2_kg.2__948__AT1G67210.1 transcript:fgenesh2_kg.2__948__AT1G67210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich spliceosome-associated family protein [Source:UniProtKB/TrEMBL;Acc:D7KV08] MAASSGSGLEAEEGEISIDMEEDMDLTEDDFRNVSGQFSGEASIVEVRDAVNVSVETVKVDVSSKSGVKRPRTISLEQQPSVHVTYKHLTRNSKQKLESLLQQWSEWEAEQNSFSEDQEQVLESGDETYFPALRVGLQKTSSVSFWFDYQTGHSSSKKSVPVESSTTPLYNRGFTIGLDSAGGSNNMEGGLEIIDDPPRCFNCGAYSHSIRECPKPFDRSAVSNARREHKSKRNQTSGSRLPSRYYQSPQGGKYDGLKPGSLDAETRKLLGLKELDPPPWLNRMREIGYPPGYLGVEDNDDLSGITIFGEEETKEEEEVKTEEGEILEEKASPQEPRRIMTVGFPGINAPIPENADSWLWEQRNNTGHTYYHDHLRPQNYGYEMGPLGIQLSSSFPPRYGIRYDHGFGL >fgenesh2_kg.2__94__AT1G63940.4 pep chromosome:v.1.0:2:558411:562123:-1 gene:fgenesh2_kg.2__94__AT1G63940.4 transcript:fgenesh2_kg.2__94__AT1G63940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTTLPTRSGLSLWCPSSPSLARRFRARFSPIGSRIASRGLVTASFANENREFVIVGGGNAAGYAARTFVENGMADGRLCIVTKEAYAPYERPALTKAYLFPPEKKPARLPGFHTCVGGGGERQTPDWYKEKGIEVIYEDPVTGANFEKQTLTTDTGKQLKYGSLIIATGCTASRFPDKIGGSLPGVHYIREVADADSLIASLGKAKKVVIVGGGYIGMEVAAAAVAWNLDTTIVFPEDQLLQRLFTPSLAQKYEELYRQNGVKFVKGASINNLEAGSDGRVSAVKLADGSTIEADTVVIGIGAKPAIGPFETLAMNKSIGGIQVDGLFRTSTPGIFAIGDVAAFPLKIYDRMTRVEHVDHARRSAQHCVKSLLTAHTDTYDYLPYFYSRVFEYEGSPRKVWWQFFGDNVGETVEVGNFDPKIATFWIESGRLKGVLVESGSPEEFQLLPKLARSQPLVDKAKLAGASSVEEALEIAQVALQS >fgenesh2_kg.2__953__AT1G67280.1 pep chromosome:v.1.0:2:12340248:12355322:-1 gene:fgenesh2_kg.2__953__AT1G67280.1 transcript:fgenesh2_kg.2__953__AT1G67280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIIPMAASSIRPSLTCLSSSPRFPISLFSRNLSRTLHVPQSQLFGLNSHKLLRRSVNCLGVAESGKPAQASTATAQDDLLTWVKNDKRRMLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGPEDSHFVIELTYNYGVDKYDIGAGFGHFGIAVDDVAKTVELVKAKGGKVAREPGPVKGGKTVIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLDRAIKFYEKAFGMELLRTRDNPEYKYTIAMMGYGPEDKFPVLELTYNYGVTEYDKGNAYAQIAIGTDDVYKTAEAIKLFGGKITREPGPLPGISTKITACLDPDGWKSVFVDNIDFLKELE >fgenesh2_kg.2__954__AT1G67290.1 pep chromosome:v.1.0:2:12356126:12358296:-1 gene:fgenesh2_kg.2__954__AT1G67290.1 transcript:fgenesh2_kg.2__954__AT1G67290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESTRLLWILSIIVLVAAVSKAVSELDNDAFDDDDHTSLEGMTIKREAMEVKPPKAGKRKGKGKGRGTVAAGPEMNWPGQWELFMKNSGVSAMHAILMPLINKVQFYDATIWRISQIKLPPGVACHVYDQKANKIDCWAHSVLVDINTGNIKPLALTTDTWCSSGGLTVNGTLVSTGGFQGGANTARYLSTCENCVWIEYPKALAARRWYSTQATLPDGTFIVVGGRDALNYEYILPEGQNNKKLYDSQLLRQTDDPEENNLYPFVWLNTDGNLFIFANNRSILLSPKTNKVLKEFPQLPGGARNYPGSASSALLPIRLYVQNPAVIPADVLICGGAKQDAYFRAEKLKIYDWALKDCARISLNSAKPVWKTETMPMSRVMSDTVILPNGEILIINGAKRGSSGWHLAKDPNFAPLLYTPNKPLGKRFKELAPSTIPRVYHSIAIALPDGKVLVGGSNTNDGYQYNVEYPTELRIEKFSPPYLDPALANMRPRIVNTATPKQIKYGQMFDVKIELKQQNVAKENVMVTMLAPSFTTHSVSMNMRLLMLGINNVKNVGGDNHQIQAVAPPSGKVAPPGYYLLFAVYNGVPSVGEWIQIV >fgenesh2_kg.2__956__AT1G67300.1 pep chromosome:v.1.0:2:12358776:12362144:-1 gene:fgenesh2_kg.2__956__AT1G67300.1 transcript:fgenesh2_kg.2__956__AT1G67300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRTSSRDYSPMVDVEDSSGLLLENEVNKEMETTNPSWKCSLPHVLVATISSFLFGYHLGVVNEPLESISSDLGFSGDTLAEGLVVSVCLGGAFIGSLFSGGVADGFGRRRAFQLCALPMILGAFISGVSNSLAVMLLGRFLVGTGMGLGPPVAALYVTEVSPAFVRGTFGSFIQIATCLGLMAALFIGIPVHNITGWWRVCFWLSTIPAALLALGMFLCAESPQWLFKQGKIAEAEAEFERLLGGSHVKTAMAELYKLDLDKTDEPDVVSLSELLYGRHSRVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDLGNIFVGVSNLLGSVIAMVLMDKVGRKLLLLWSFIGMAVAMALQVGATSSYLPHFSALCLSVGGTLAFVLTFALGAGPVPGLLLPEIFPSRIRAKAMAFCMSVHWVINFFVGLLFLRLLEQLGPRLLYSMFSTFCLMAVMFVKRNVIETKGKTLQEIEISLLAKP >fgenesh2_kg.2__960__AT1G67328.1 pep chromosome:v.1.0:2:12388071:12389278:1 gene:fgenesh2_kg.2__960__AT1G67328.1 transcript:fgenesh2_kg.2__960__AT1G67328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDKSKGAKQALLERPWFLVVALAGLLGGAMLIISFIRATDNTLSLCSTAKNTAASIAEYSATPIQLQSIVHYATSHTVPQQSFEEISISLNVLKERLPCNFLVFGLGRDSLMWASLNPGGTTVFLEEDPEWIEAVLKDAPSLRAHHVQYRTHLSEAGRLLSTYKNEPMCLPAKAFPIRYNEKCPLALTSLPDEFYDTEWDLIMVDAPKGYFPVAPGRMAAIFSSAVMARNRKGDGTTHVFLHDVDRYVEKTFANEFLCEKYKVNSAGRLWHFEIPNAANMTDQPGDRFC >fgenesh2_kg.2__963__AT1G67350.1 pep chromosome:v.1.0:2:12424085:12425563:1 gene:fgenesh2_kg.2__963__AT1G67350.1 transcript:fgenesh2_kg.2__963__AT1G67350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F1N21.17 [Source:UniProtKB/TrEMBL;Acc:D7KV23] MGFIMEFAENLVLRLMENPEERDRKAREHIYEMHERCKKIKEMWALPIRPYGFWTFERHNAQLRWDPQISQVAGRRDPYDDLLEDNYTPPPSSSSD >fgenesh2_kg.2__966__AT1G67360.2 pep chromosome:v.1.0:2:12425634:12426913:-1 gene:fgenesh2_kg.2__966__AT1G67360.2 transcript:fgenesh2_kg.2__966__AT1G67360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubber elongation factor family protein [Source:UniProtKB/TrEMBL;Acc:D7KV24] METEKKNSKEVGLKHLGFVRIAAIQILASVSNLYDYAKQNSGPLKSAVEKVEGAVTTVVTPVYQKFKDVPDSLLVFLDHKVGEVSYKFDEHAPPMAKQVVNQAHVLIYKATEKAQNFVKEARTGGPKAAFSYAATEYKYFVVTNSVKVWAKLNQYKPIHAVGDKALPVAAHLSSRYNDLVTDMTQMGYSLVGYLPLVPVDDIVKAYKKEDAARKKGGESEETATTDGKKGETANTTDGNKSSSDSE >fgenesh2_kg.2__970__AT1G67420.1 pep chromosome:v.1.0:2:12465972:12471190:1 gene:fgenesh2_kg.2__970__AT1G67420.1 transcript:fgenesh2_kg.2__970__AT1G67420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase [Source:UniProtKB/TrEMBL;Acc:D7KV32] MAFWKMSSRDATAFKFLFSIVFIYALMSAIVYSVLHMKFISPLPANAPLERFSEARAVEHIRVLAEEIDGRQEGRPGLKEAATYIKSQLEMVKERAGPNLRIEVEETQVDGSFSMMFLGHSISLGYRNHTNILMRISSMNSLDTDASVLMNAHYDSPVNSPGAGDCGSCVASLLELARLVVDSGWVPPRPVIFLFNGAEELFMLGSHGFMTKHKLKDTIGAFINVEASGTGGIDLVCQSGPGSWPSYVYSQAAVYPMAQSSAQDVFPVIPGDTDYRMFAEDYADIPGLDIIFLLGGYYYHTTFDTVDRIVPGSMQARGENLISVLTAFTSSSKLKVASERKSLDVDANSDMVERAVFFDYLTWFIVYYPRRVAMVLHNIPAALFLCVPFFLYMMDPRTHPWLSVFWAFLKGVMHHFAGILLGVIFPVLFAVIRLFFAYPMSWFAHSYLAFLMFIPCSFFGLLIPRAISDRVSNFQGVSSKKIMTVEPSDEARFWGAFGFYAFATSAYFFAGLNGGFMTFVICISMLLGWIAFCLSVKSYGYNSIKSPMFYVIALVPYLLYSLYFGGILALLVIEKTGMMGAIPPPYGFYLADVAVAAVIGIVTGLCLGPIIPICDRWLAKSSILKFLLHFSVVMLAVSSQFFPYSKDAPKRVVLQHTFISAGGNEITGSSYDLAVIDANSIEFVFKHAPEVAKELHVGPSFSLGNAEASPQEAWVALFPISCVITKNGRFPAKATNISKRYSQFPHLQTHKPQTTFENGTRRVHLELSLGSLEEIWVTVLNITGPLSGWSLADGKPPAPELPPGGPPSYILRLSGNSDEKWNFWLEASSEEEVRVDVAVLDQRLDEETIHLKGLFPKWSDVIAYTSFLSTYLF >fgenesh2_kg.2__971__AT1G67430.1 pep chromosome:v.1.0:2:12475450:12477015:1 gene:fgenesh2_kg.2__971__AT1G67430.1 transcript:fgenesh2_kg.2__971__AT1G67430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L17 [Source:UniProtKB/TrEMBL;Acc:D7KV33] MVKYSQEPDNQTKSCKARGSDLRVHFKNTRETAHAIRKLPLIKAKRYLEDVIAHKQAIPFTRFCRGVGRTAQAKNRHSNGQGRWPAKSAQFVLDLLKNAESNAEVKGLDVDALFISHIQVNQAAKQRRRTYRAHGRINPYMSNPCHIELILSEKEEPVKKEPETQLAAKSKKSAA >fgenesh2_kg.2__975__AT1G67490.1 pep chromosome:v.1.0:2:12502291:12508596:-1 gene:fgenesh2_kg.2__975__AT1G67490.1 transcript:fgenesh2_kg.2__975__AT1G67490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGASRRSARGRIKSSSFPGGDEGSDSLPYPPSIRRGKGKELVSIGAFKTNLKILIGLIILGILVIYFVINRLVRHGLLYDEAQKPRVITPFPAPKLMNLSMFQGEHKESLYWGTYRPHVYFGVRARTPLSLVAGLMWLGVKDEMYVMRHFCENSDDLSTFGWREHNGRDFGRQELVENDMVLETSFVKSKGDGLGYGGDWAVRIDVKNKGLNDDVKRSAHLFFYLADEGGNVLNLGQDNLDFHGSSLLVSGSREDVGDWQIHLKSQNQLETHYSGFKTPHIYNLSDLVQQNLALQARKFGRLQLSDTSEDSSNIYIFQISGRLPFTIDIPFVSGIKGESSNVEKRLTSLTGSPLSDLLKKKRKEFDTKFEKCFNLSEKHDSETLGVGKTAIANMLGGIGYFYGQSKIHNRNNFLLYWPTELYTAVPSRPFFPRGFLWDEGFHQLLIWRWDFRITLDIVGHWLDLLNIDGWIPREQILGAEALSKVPEEFVVQYPSNGNPPTLFLVIRDLIDAIRMEKFIASERDEVLSFLERASVRLDAWFQWFNTSQKGKEIGSYFWHGRDNITTRELNPKTLSSGLDDYPRASHPSEDERHVDLRCWMYLAADCMHSITELLGKKDKLSKEDYNSTAKLLSNFNLLNQMHYDSDHGAYFDFGNHTEKVKLIWKEVIQENGQLSRQLLRKTFGKPKLKMVPHVGYVSFFPFMSRIIPPDSPILEKQLDIISNRSILWSDYGLVSLAKTSSMYMKRNTEHDAPYWRGPIWMNMNYMILSSLHHYSIVDGPYREKSRAIYTELRSNLIRNVVRNYYETGYIWEQYDQVKGTGKGTRLFTGWSALTLLIMSEDYPIF >fgenesh2_kg.2__97__AT1G63930.1 pep chromosome:v.1.0:2:562919:564351:-1 gene:fgenesh2_kg.2__97__AT1G63930.1 transcript:fgenesh2_kg.2__97__AT1G63930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAQDNQGSFLGRISIRRNQFVDVNNEQEQEDLELFQKHIADRFTELLSPPQPPPSDETNTVASVAATEQIMSVTWLRKLMDVFLCCEAEFKAILLMGRDPTQISKPPFDRLVPEMLDRSIKALDICTAVVNGIDSVRHYQRLAEIAVTALEQRPLGDGNVRRAKRALANLVVALSLEDKENVSGGGGGGGGGNKTTERSWSFGRRSGGSSAASKGGATIGQLKSSSWAVGRNWSAAKQIHAMTANLTPPRGNEAAGLPQPMFIMSTVMVFVMWVLTAAVPCQERSGLANHLPVPPKHLNWAQSLIGIHEKIGDEWKKKEKKGSAGLMEEMTRMEKLGHSLMEFADGFHYPAEKDAAESAAAQVAEMAEICRRMEEELVPLQQQIREVFHRIVRSRAEILEVLEQAGKVSAPVV >fgenesh2_kg.2__980__AT1G44130.1 pep chromosome:v.1.0:2:12544298:12546127:-1 gene:fgenesh2_kg.2__980__AT1G44130.1 transcript:fgenesh2_kg.2__980__AT1G44130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRFSLLLFLFLVIVSQSKSSIFKTFPGNLSPKSPLSSVVLLLSGNVFPLGYYSVLLQIGNPPKAFEFDIDTGSDITWVQCDAPCTGCNLPPKLQYKPKGNTVPCSDPICLALHFPNNPQCPNPKEQCDYEVNYADQGSSMGALVIDQFPFKLLNGSAMQPRLAFGCGYDQSYPSAHPPPATAGVLGLGRGKIGLLTQLVSAGLTRNVVGHCLSSKGGGYLFFGDTLIPSLGVAWTPLLPPDNHYTTGPAELLFNGKPTGLKGLKLIFDTGSSYTYFNSKTYQTIVNLIGNDLKVSPLKVAKEDKTLPICWKGAKPFKSVLEVKNFFKTITINFTNARRNTQLQIPPESYLIISKTGNACLGLLNGSEVGLQNSNVIGDISMQGLLIIYDNEKQQLGWVSSNCNKLPKT >fgenesh2_kg.2__981__AT1G44160.1 pep chromosome:v.1.0:2:12549190:12550713:1 gene:fgenesh2_kg.2__981__AT1G44160.1 transcript:fgenesh2_kg.2__981__AT1G44160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGMAQPSAKKRSFLLRDIFKLCRSISRVFPRDKPKHHHHKAKHETKLFKGRVPGDNNNKVEGVSLCKVRSYRFDNTNTNFNTATATGATTNPTFRSLSFIGRSKSSSNRMTESGGFMPTLMRSTTTVPRSFANPILYSSSSAKVAKPSPTEKKLRCTLEELCNGCTKKIKITRDVITSSGQMCEEEETVEIKVKPGWKGGTKVTFEGNGEKSMRCSKEKEMTSKWRAVEVSLLEALTGCELSIAYLDGDNMSLRIEDVIHPGYVTVVQGKGMPNLKEKGKRGDLRVRFRTKFPQHLTDEQRAEIHSILQDSS >fgenesh2_kg.2__984__AT1G44170.2 pep chromosome:v.1.0:2:12550665:12554494:-1 gene:fgenesh2_kg.2__984__AT1G44170.2 transcript:fgenesh2_kg.2__984__AT1G44170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7KVK7] MAAKKMFGAADASILVTDLRRSFDDGVTRGYEWRVTQLKKLLIICDNHEPEIVAALRDDLGKPELESSVYEVSLLRNSIKLALKQLKNWMAPEKAKTSLTTFPASAEIVSEPLGVVLVISAWNYPFLLSIDPVIGAISAGNAVVLKPSELAPASSALLAKLMEQYLDSSAVRVVEGAVTETTALLEQKWDKIFYTGSSKIGHVIMAAAAKHLTPVVLELGGKSPVVVDSDTDLKVTVRRIIAGKWGCNNGQACISPDYILTTKEYAPKVIDAMKKELETFYGKNPMESKDMSRIVNSTHFDRLSKMLDEKEVSDKIVYGGEKDRENLKIAPTILLDVPLDSLIMSEEIFGPLLPILTLNNLEESFDVIRSRPKPLAAYLFTHNKKLKERFAATVSAGGIVVNDIAVHLALHTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRSLFGDSAVRYPPYSRGKLRLLKALVDSNIFDIFKVLLGLS >fgenesh2_kg.2__985__AT4G06570.1 pep chromosome:v.1.0:2:12564490:12573097:1 gene:fgenesh2_kg.2__985__AT4G06570.1 transcript:fgenesh2_kg.2__985__AT4G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KVK9] LNRRPRGLPSQYEFTPVNRQAPLQDSEQEPIAQPLTGPTIRDYPHPMQLFQSGEGSPRGSPSPRGSGATPFRAYGSTQPRSGGSVHRL >fgenesh2_kg.2__988__AT1G67540.1 pep chromosome:v.1.0:2:12583160:12584407:-1 gene:fgenesh2_kg.2__988__AT1G67540.1 transcript:fgenesh2_kg.2__988__AT1G67540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVYTRSQTLKKKKNLASWLLRKLFEFVIFFVSMTSPFHRHFLAILSVADDHILALQDAIETYFPSSSFVFVKIFDLLIIVETLPEKFDGFLKKLPSLMNRVAWLDWLLVHAISWLGLLVNVLGRWRDKNKGTKEKEITVDRSFSRSGSVSEEEEEEKTKFGTEKKVSYKEALQRGSSGEDGGGSTGRSSSSRGDPILELFETGWIQNPIKRSSRSADSLTFSRSESYST >fgenesh2_kg.2__989__AT1G67560.1 pep chromosome:v.1.0:2:12602486:12606769:1 gene:fgenesh2_kg.2__989__AT1G67560.1 transcript:fgenesh2_kg.2__989__AT1G67560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:D7KVL3] MFVASPVKTNFNGVSLGRSPAFPALPRRRTHRVPISRQVRAVISREEKAVDQEESGKSTNKPVINSSPFPWQRSKYTGSKTVTAFVKIRKKIKEKLTERFEHQLELLMKAIGQGMLIQLVSEEIDPDTGKGRRSLETPVLGLPKAVKDPRYLEFTADFTVPFNFGKPGAILVTNLLSTEICLSEIIIKDSSDTILFPGHTWIHSRIDNPQARIIFRSQPCLPSETPDGIKELREKDLVSVRGDGKGERKPHERIYDYDVYNDLGDPRKKERVRPVLGVPETPYPRRCRTGRPLVSKDPPCESRGKEKEEFYVPRDEVFEEIKRDTFRAGRFKALFHNLVPSISAALSNLDIPFTCFSDIDNLYKSNIVLGHTEPKDTGLGGFIGGFMNGILNVTETLLKYDTPAVIKWDRFAWLRDNEFGRQALAGVNPVNIELLKELPIRSKLDPALYGPQESVLTEEVIAREVEHYGTTIEKALEEKRLFLVDYHDMLLPFVEKINSIKEDPRKTYASRTIFYYSKNGALRPLAIELSLPPTPESENKFVYTHGHDATTHWIWKLAKAHVCSNDAGVHQLVNHWLRTHAAMEPYIIATNRQLSTMHPVYKLLHPHMRYTLEINARARKSLINGGGIIESCFTPGKYAMELSSAAYKSMWRFDMEGLPADLIRRGMAEEDSSAECGVKLVIDDYPYAADGLLIWKAIKDLVESYVKHFYSDPKSITSDLELHAWWDEIKNKGHYDKKDEPWWPKLNTTQDLSQILTNMIWIASGQHAAINFGQYPFGGYVPNRPTLLRKLIPQETDPDYEMFMRNPQYSFLSSLPTQLQATKVMAVQETLSTHSPDEEYLIELREVQRHWFQDEEVVKYFNKFSEELVKIEKTINERNKDKKLKNRTGAGMPPYELLLPTSPHGVTGRGIPNSISI >fgenesh2_kg.2__98__AT1G63900.1 pep chromosome:v.1.0:2:589620:592192:-1 gene:fgenesh2_kg.2__98__AT1G63900.1 transcript:fgenesh2_kg.2__98__AT1G63900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KSY2] MIPWGGVTCCLSAAALYLLGRSSGRDAEVLKTVTRVNQLKELAQLLELDSKILPFIVAVSGRVGSETPIKCEHSGIRGVIVEETAEQHFLKHNETGSWVQDSALMLSMSKEVPWFLDDGTSRVHVMGARGATGFALTVGSEVFEESGRSLVRGTLDYLQGLKMLGVKRIERVLPTGIPLTIVGEAVKDDIGEFRIQKPDRGPFYVSPKSLDQLISNLGKWSRWYKYASMGFTVFGVFLITKHVIDSVLERRRRRQLQKRVLDAAAKRAELESEGSNGARESIADSTKKEDAVPDLCVICLEQEYNAVFVPCGHMCCCTACSSHLTSCPLCRRRIDLAVKTYRH >fgenesh2_kg.2__991__AT1G67590.1 pep chromosome:v.1.0:2:12621035:12622592:-1 gene:fgenesh2_kg.2__991__AT1G67590.1 transcript:fgenesh2_kg.2__991__AT1G67590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVEDNKGWIGPATPEISNGFEFQKGSNRTPNHHRSTMGKPAPSKWDDAQKWLSGVGFARGGGGGGEKSSRHHSRSNQPRNSNADDLRLIASASQREREGEDQYVEYDDEEMAAGRPEVETKNVDCGESVWRKDSSINPTAGIRSVCVRDMGTEMTPIGSQEPSRTATPVRATTPVGRSPVTSPVRASQRGEAVGIVTETVMEVRRVESNINEKSNGFGESKKAMSAMEARAMAWDEAERAKFMARYKREEVKIQAWENHEKRKAEMEMKKMEVKAERMKARAEEKLAKKLAATKRIAEERRANAEAKLNEKAVKTSEKADYIRRSGHLPSSFSFSFKLPSRCWCQ >fgenesh2_kg.2__993__AT1G67620.1 pep chromosome:v.1.0:2:12627002:12628617:1 gene:fgenesh2_kg.2__993__AT1G67620.1 transcript:fgenesh2_kg.2__993__AT1G67620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTALRSRCSSLLLDQSWKLGLTTPNRIFASSPSFSSISDVSDVLTLPEVEKILADVKADNVTVIPTHNHCFWADFTVIATGRSDWHLRNIAQALVYRAKQKQKGAKHVMLPSVQGYNSKWIVIDYGKFVVHALDEKARGYFNLESLWSAESSATDTSDQDLQNAFVKVRPKNNSKRKPAKVSS >fgenesh2_kg.2__994__AT1G67630.1 pep chromosome:v.1.0:2:12629764:12633774:-1 gene:fgenesh2_kg.2__994__AT1G67630.1 transcript:fgenesh2_kg.2__994__AT1G67630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha subunit B [Source:UniProtKB/TrEMBL;Acc:D7KVM0] MATDEEIKNEFKRSGFTLVEEDDILKRCVTLCINYSLNPSDLVSSWELYHLNRQLLDQTVKKDEMDGFVLHLQNEQKESIMRAEEAGLHLYSNRDVDMLLDGVQEDTEEIVTTPTNESQRLHPDPFDSISRSRDYGYSTGKSVGHVTPFGSRIEKFVVKFNVGNVAPAENGNSNEIENSEDDIIKRVQTSQRCSLEVNGSGPEPGCRFMYDRTEDRFNVLENRIVRHADAFAASGLYEEQVDPAVASQRSIFAVGMICCDGEGHLNDKSVLLQSSAERTSGQRVPLDLNRLDQFSIFPGQIVGIEGQNPSGHYLTASKLLDSVPLIRAVDVDLPPAKRQNLDQEVLVPTEESCERSEVSFIIASGPFTTSDNLLFEPLNELLSYAKRKPPQLLVLLGPFVDSEHPEIKKGAVDATFSEIFQVEVLRKLRDYVEFMGSEVRVVLVPSIRDANHDFIFPQPPFDIHIPDLEHQMTSLSNPGTFEANQVKVGCCTVDVLKQLSGEEMSKNPSGVPTDRMSRLASHLLRQRSFYPLYPPPESLPYDSSLAPKALQILSIPDILLLPSDMRYFVKILSLGEEENAAKCVCVNPGRLAKGEGAGTFVELTYKGGPESMRASIISI >fgenesh2_kg.2__996__AT1G67645.1 pep chromosome:v.1.0:2:12642616:12643685:1 gene:fgenesh2_kg.2__996__AT1G67645.1 transcript:fgenesh2_kg.2__996__AT1G67645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKSIPLPSLESIRSKTSKIKRVGDRKFERDDSGCIFDELSSDVVRGLLWVDKSGSEYVVVWFFDLPGHAYISFCKKGDTHYTDIPLYYPDDHWLDGLSYMVLGRDRLYISTSRRYIQVLDLSGPQGFFKDITEGKPFPMLSRYQSWDSSIAVTTSGEVLLVESDPCQRNCFRVYKKNLNVKDPDSASNTVREVYSLDGEALLLDLGFTVPANDTLGIEPDSIYFTRHYRPCQCSPCDLDICVFNLVTKSLKRFPGLDDMNLMDARWFLPGN >fgenesh2_kg.300__1__AT3G24068.1 pep scaffold:JGI8X:scaffold_300:7805:8310:1 gene:fgenesh2_kg.300__1__AT3G24068.1 transcript:fgenesh2_kg.300__1__AT3G24068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTKAYRLFLVSLALALITTSSLSFAAQVFTIEISNTLAPGSNPISISCISPQRDTWSTVLSRGASFDFHFDTNQSVKWSCDISSGARKSSFVIFDLNRDKSRCKTDGLCLWQINPDGFYLYVASIQKYQKQFNW >fgenesh2_kg.301__1__AT2G40080.1 pep scaffold:JGI8X:scaffold_301:5721:6325:1 gene:fgenesh2_kg.301__1__AT2G40080.1 transcript:fgenesh2_kg.301__1__AT2G40080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNGETKRRRNVAEEAEQGGGGEDPAMWENLDRNFRQVQSVLDRNRSLIQQVNDNHQSRMADNMSKNVALIQELNGNISKVVNMYSDLNTSFSSGFHGGKNGHDGGGAAGTRA >fgenesh2_kg.302__1__AT2G47270.1 pep scaffold:JGI8X:scaffold_302:2165:2652:-1 gene:fgenesh2_kg.302__1__AT2G47270.1 transcript:fgenesh2_kg.302__1__AT2G47270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor/ transcription regulator [Source:UniProtKB/TrEMBL;Acc:D7LGL5] MGVTTLEGQRKESIWVLMRRQRARRALVKKIMIRPRKSLEASRRPCRAIHRRVKTLKELVPNTKTSEGLDGLFRQTADYILALEMKVRVMQTMVQVLTETNCV >fgenesh2_kg.315__1__AT5G38580.1 pep scaffold:JGI8X:scaffold_315:1184:1891:-1 gene:fgenesh2_kg.315__1__AT5G38580.1 transcript:fgenesh2_kg.315__1__AT5G38580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLREAYVDVVYDDGLVFKELEHLNLCVCREDSSNLFGQLLKDSPNLRILDISVVKDHATDELNGTVSWNQPNFVPECLLSSLQTLKWSRYYGRPQDRDIAVYILKNARHLKTATILADTEEHYVPNLQMIKELALSPRASSTCQLVFVEDLYSSV >fgenesh2_kg.343__1__AT4G31560.1 pep scaffold:JGI8X:scaffold_343:3390:4531:1 gene:fgenesh2_kg.343__1__AT4G31560.1 transcript:fgenesh2_kg.343__1__AT4G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFFPIPMQPTQISFSASSSQPLFSPPANNFPDGVAGGLCLTRRIRDCSVVTRAGPSTSSYLLAFAIPATLIAATVFTSIKIADKLDEDFLEDIALNQAIKAAEKGENGEGEISLDDVIQEPVLQRTRNRPKREV >fgenesh2_kg.345__1__AT2G27690.1 pep scaffold:JGI8X:scaffold_345:2317:4200:-1 gene:fgenesh2_kg.345__1__AT2G27690.1 transcript:fgenesh2_kg.345__1__AT2G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP94C1 [Source:UniProtKB/TrEMBL;Acc:D7MXB5] MLLIISFIIVSFFFFIIFSLFHILFLQKLRYCNCEICHAYLTSSWRKDFINLSDWYTHLLRRSPTSTIKVHVLNSVITANPSNVEHILKTHFHSYPKGKQFSVILGDLLGRGIFNSDGDTWRFQRKLASLELGSVSVRVFAHEIVKTEIETRLLPILTSFSDNPGSVLDLQDVFRRFSFDTISKLSFGFDPDCLRLPFPMSEFAVAFDTASTLSAKRALAPFPLLWKIKRLLRIGSEKKLKESINVINRLAGDLIKHRRLTGLMGKNDLISRFMAVVAEDDDEYLRDIVVNFLLAGRDTVAAGLTGFFWLLMRHPDVENRIREELDRVMGTGFDSVTARCDEMREMDYLHAALYESMRLFPPVQFDSKFALNDDVLSDGTFVKRGTRVTYHAYAMGRMDRIWGPDYEEFKPERWLDSEGKFRPENPVKYPVFQAGARMKSIAVAINRRFKTRLASLESTKTLRFAPGLTATVNGGLPVIIQERSQDS >fgenesh2_kg.348__1__AT2G33070.1 pep scaffold:JGI8X:scaffold_348:1282:3218:1 gene:fgenesh2_kg.348__1__AT2G33070.1 transcript:fgenesh2_kg.348__1__AT2G33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin lectin family protein [Source:UniProtKB/TrEMBL;Acc:D7LFP5] MAQKVEAKGGEIGDVWDDGSYDGVRKVYVGQGEDGIAFVKFEYVNGSQVVVGDERGKKTLLGAEEFEVDADDYIVYVEGYHEKVFGVSTKEIISTLTFKTYKGKTSPPFGIVSGTKFVLQGGKIVGFHGRSTDVLHSLGAYISLPSTPKLRGKWIKVEQKGEGPGPRCSHDIAQVGNKIYSFGGELTPNQPIDKHLYVFDLETRTWSISPATGDVPNLSCLGVRMVSIGSSLYVFGGRDASRKYNGFYSFDTIKNEWKLLTPVEQGPTPRSFHSMAADEKNVYVFGGVSATVRLKTLDAYNIVDHKWVQCSTPGGSFSIRGGAGLEVVQGKVWVVYGFNGCEVDDVHCYDPVQDKWTQVETFGEKPCARSVFASAVVGKYLLIFGGEIAMDPKAHEGPGQLSGGTFAFDTETLKWEKLDKFGEEEETPSIRGWSASTTGTIDGKKGLVMLGGKAQTNDRFGDLFFYGVDSA >fgenesh2_kg.348__3__AT2G33060.1 pep scaffold:JGI8X:scaffold_348:7215:9207:-1 gene:fgenesh2_kg.348__3__AT2G33060.1 transcript:fgenesh2_kg.348__3__AT2G33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXB9] SRLLPSGHIAESFVTLPFLSSLHLRENYLTGSIEVPNSSSSSRLEFMYLGNNHFEGQILEPISKLINLKELDISFLNTSYPIDLNLFSSLKSLVRLVLSGNSLLATSISSDSKIPLNLEDLVLLSCGLIEFPTILKNLKKLEYIDLSNNKIKGKVPEWLWNLPRLGRVNLLNNLFTDLEGSAEVLLNSSVRFLDLGYNHFRGPFPKPPLSINLLSAWNNSFTGNIPLETCNRSSLAVLDLSYNNLTGPIPRCLSNFQESLIVVNLRKNNLEGSLPDIFSDGALLRTLDVGYNQLTGKLQDHNRIKDTFPFWLKALPDLQALTLRSNNFHGPIYTPDRGPLAFPKLRILEIADNNLIGSLPPNYFVNWEASSLHMNEDGRIYMGDYNNPYYIYEDTVDLQYKGLFMEQGKVLTSYATIDFSGNKLEGQIPESIGHLKALIALNLSNNAFTGHIPPSLANVTELESLDLSRNQLSGNIPKGLGSLSFLAYISVAHNQLTGEIPQGTQITGQSKSSFEGNAGLCGLPLEETCFGSNAPPTQQPKEEDEEEEQVLNWKAMLIGYGPGLLFGLVIAHVIASYKPKWSEKRKEVNPVRLFMTLDSRWDSFNNKNNVEQKSDM >fgenesh2_kg.349__1__AT5G43460.1 pep scaffold:JGI8X:scaffold_349:6800:8726:-1 gene:fgenesh2_kg.349__1__AT5G43460.1 transcript:fgenesh2_kg.349__1__AT5G43460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSFLGRVLFASLFILSAWQMFNDGGPAAKELAPKLDLAKAHLSSRLGVTLPEIEVRQVVVTIIALKGVGGLLFVIGNIFGAYLLAFYLVVVSPILYDFYNYKPQERHFSLLLTEFLQSVALFGALLFFIGMKNSSPSKRNLKKRTPKPKAA >fgenesh2_kg.352__1__AT3G28500.1 pep scaffold:JGI8X:scaffold_352:444:990:1 gene:fgenesh2_kg.352__1__AT3G28500.1 transcript:fgenesh2_kg.352__1__AT3G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVISAFLLAKLGGNENPTSNDLKKILESVGAEIDETKIDLLFSLIKDHDVTELIAAGREKMAALSSGGPAVAMVAGGGGGGGGASAAEPVAESKKKVEEVKDESSDDAGMMGLFD >fgenesh2_kg.355__1__AT2G46280.2 pep scaffold:JGI8X:scaffold_355:5123:6792:1 gene:fgenesh2_kg.355__1__AT2G46280.2 transcript:fgenesh2_kg.355__1__AT2G46280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit I [Source:UniProtKB/TrEMBL;Acc:D7MXC6] MTPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPTLWLAHNGERLGTYRGHNGAVSCCDVSRDSSRLITGSADQTAKLWDVKSGKQLFTFNFGSSARSVDFSVGDHLAVITTDHFMGVSSAIHVKRIAEDPQDQVGDSVLVLQSPDGKKKINRAVWGPLNQTIVSGGEDTVIRIWDTETGKLLKQSDVEVGHKEAITSLCKAADDSHFLTGSHDKTAKLWDMRSLTLIKSYTTVVPVNAVAMSPLLNHVVLGGGQDASAVTTTDHRAGKFEAKFYDTILEEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDSNYFNIKI >fgenesh2_kg.364__1__AT2G44770.1 pep scaffold:JGI8X:scaffold_364:247:3461:1 gene:fgenesh2_kg.364__1__AT2G44770.1 transcript:fgenesh2_kg.364__1__AT2G44770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDREGSFVAVRRISQGLERGSVYNSSSAEAVPGSAAWLGRGLSCVCAQRRDSDANSTFDLTPAQEESLQNLQNRIDVAYDSTIPLHQEALRDLWKLAFPEEELHGLISEQWKEMGWQGKDPSTDFRGGGLISLENLLYFARNFQKSFQDLLRKQVGDRSVWEYPFAVAGINLTFMLIQMLDLEAVKPRTIVGATFLKFLSENESAFDLLYCIAFKLMDQQWLSMRASYMEFNTVMKSTRRQLERELMLEDIMHLEDLPSYALLNQ >fgenesh2_kg.365__1__AT5G61550.1 pep scaffold:JGI8X:scaffold_365:3699:7261:-1 gene:fgenesh2_kg.365__1__AT5G61550.1 transcript:fgenesh2_kg.365__1__AT5G61550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MXD6] MEEKKVVRALSEHLSLPPPPSPSVAVAINGKKKSKYVAFWALEKFIPEGFTDFKLLYVRPPVSYIPTPMGNTISVSELRDDVVSAYKQEVDWSTNEMLRPYKKMFERRKVQVEVLVLDSNEPAAAIAEEISGTGVTKLVIGMSLRGFFSRKIDMSSMIATAVPRFCTVYVISKGKLASVRPSESDASGSIRFERSSSTSGSTDSPRLPPEYQDFLSFVSEGQSRVSPFSPAPKNSLGSSAVVQMDTSSSGTDQEEVSTGRGMEIVHSGIEGKKNKDESFSASFPMGTEAYNSMSWTSKWRDHEDRREIRSSSSSNNHDLANMDWGAVVPENYSWVSHCASNMSDGLLSVHSITDNQVNLNFEIEKLRAELKHVQEMYAMAQTETVGASQKLTELNQRRFEESEKLVELKEKEEVAKDTGSKEKQRYNEAMKEAEKVKELMMREALHRREAEIKAERDAREKDKLQASLVSPGIQYQHYTWEEITAATSDFAENLKIGIGAYGTVYKCNLHHTTGAVKVLHAGETQLSKQFDQELEILSKIRHPHLVLLLGACPERGCLVYEYMDNGSLDDRLMLVNNTPPIPWFDRFRIALEVASALVFLHKSKPRPIIHRDLKPGNILLDHNFVSKLGDVGLSTMVNQDDDSSNLTIFKKTSPVGTLCYIDPEYQRTGIISPKSDVYSLGIVILQLLTAKPAIAITHMMEEAIGDDAEFMAILDKKAGSWPISETRELAALGLCCTEMRRRDRPDLKDQIIPALERLKKVADKAQNSLSRTPSGPPSHFICPLVKGVMNEPCVAADGYTYDREAIEEWLRENDTSPVTNLPLPNKNLLANYTLYSAIMEWKSNKQ >fgenesh2_kg.371__1__AT2G45900.1 pep scaffold:JGI8X:scaffold_371:665:3172:1 gene:fgenesh2_kg.371__1__AT2G45900.1 transcript:fgenesh2_kg.371__1__AT2G45900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEICRRHLSREGIGYNGGSNQKLLMDKKRGSKRIIGNNGMFDTKVEKQLTCDCDYDCEESEADMQSVKKLIEDEIDEKTKQKCEARNRKRRSRTCIKTSEDINVLIVGDDDDHAEKAGDQCPRISQNEVDLVNDDSEEKFSELIKRLIAQKESEVGSCKKNLEDAFQVLDSKEESFLNIGTPISRDSQRINELTQCRQTIVILKPEPNSLDVGSSPGTPSTDNKTKNGRFSSRFILSRIRRRLKFAVGKNPCNAQQDSDPDPDALSSNMSQNCCLGEAIETNPGKGVSDGETLPDIASKREANKEDTIHESEDSKKNMCGIYIAAKKHLSEMLAERDDADSPDKEVPRILGKILSPPDSSIIQQDAYVDEDEQKQLLEKEGLDEGQLPCSPPNSSMRMSECQENTTDVLGKSSPVSVLEPLFTDDDTSPNSSRFSSAEVRMQPLCIRFDEADSPRPEKDNDAKTRMDDKELALEYIQAVVKSSELNWEELLARSFYSEKILEQALMDDIDFCSTNLCSDKKLLFDCINEVLMEFCGHGPWISFVKPSIRRSLLASLTFDFSSHTRPDSAERLARTGNWMDSALILVASVLKQIISNCTDLVQPETINKVIH >fgenesh2_kg.371__2__AT2G45890.1 pep scaffold:JGI8X:scaffold_371:3710:5863:-1 gene:fgenesh2_kg.371__2__AT2G45890.1 transcript:fgenesh2_kg.371__2__AT2G45890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLNSEQNEGTPTSSVSSPYRRTYSDISGLSHRFDVQNFYNRPSNTNAVVLSGHEEDVSEDAVEPKDDVVNDGDGDEEDSDIDSAEDAELEMMRERFAKLLLGEDMSGSGKGVCTAVTVSNSITNLYATVFGQSLRLQPLSTEKKELWKREMNCFMSICDYIVEVIPRSLGTNVEITETKLRSDILMSLPALRKLDNMLMEILDSFTENEFWYVERGSSSMNSSGGGRDSGTFRKVVVQRKDEKWWLPVPCVPAEGLSEEERKNLRHKRDCASQIHKAALAINDSTLNDMDIPDSYLTTLPKSGKASVGDVIYKQLCTAEKFYPDQLLDILKITSEHEALELADKVEASLVTWRRKTGGLTHSKSSWDMMKDIGGDADRGNDKNHILAARARSLLFCLKQRYPELSQTSLDICKIQFNRDVGKAVLESYSRVLEGLAYNVVSWIDDVLYVDRTVRNRED >fgenesh2_kg.37__3__AT5G43730.1 pep scaffold:JGI8X:scaffold_37:45801:48867:-1 gene:fgenesh2_kg.37__3__AT5G43730.1 transcript:fgenesh2_kg.37__3__AT5G43730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWLLLIPWNKIFTAACGCFLSDRNYIHLMESNLDALETTMENLRIDEMICLQRLAQVNEWLSRVKSVESQFNDMLAARATETGRLCLFGYCSNDCVSSYNYGEKVSKMLEEVEELLSKKDFVEVAQKIIRKAEKKHIQTTVGLDTLVEMAWESVMNDEIRTLGLYGMGGVGKTTLLACINNKFVELESEFDVVIWVVVSNDLQYEGIQDQILGRLRLDKEWKQETEKEKALCIDNILNRKKFVLLLDDLWSEMDLNKIGVPPPTRANGSKIVSPLIEVDCLSPDKAWELFRITVGDVIFSGHQDIPALARRVAAKCHGLPLALNVIGKAMACKETLQEWYLAINVLNSLGHEFPGMKERILGVLKFSYDSLKNGEIKSCFLYCSLFPEDFEIKKEQLIEYWICEGFINPNRYEDGGTNQGYDIFGLLVRAHLLIDCGVGVKMHDVIREMALWINSDYGNQQGTICVKSGAHVRLIPNDINWEIVRQMSLIRTHIEQISCSPNCPNLSTLLLSVSGSFELVDISVGFFRFMPKLVVLDLSGNWGLVGLPEEISNLGSLQYLNLSRTQIESLPAGLKKLRKLIYLNLEYTVALESLVGIAATLPNLQVLKLIYSKVCVDDILMEELQHLEHLKILTANIEDATILERIQGIDRLASSIRRLCLRYMSEPRVKLNTVALGGLQYLAIESCNISEMKINWKSKERRELSPMVILPSTSSPGFKQLSTVFIFNLEGQRDLSWLLFAQNLKNLDVGDSREIEEIINKEKGMSITKAHRDIVLPFGNLESLDLDRLPELKEICWNFRTLPNLKEFSVRYCPKLPEDITNLNFPLFTV >fgenesh2_kg.37__5__AT5G43720.1 pep scaffold:JGI8X:scaffold_37:49183:50882:1 gene:fgenesh2_kg.37__5__AT5G43720.1 transcript:fgenesh2_kg.37__5__AT5G43720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVW2] MAHGGYAKRRVSEPNQAAGSSRRSKVLRVEKKPKTVSIKNQMRSVERFLRKDLPPEVRESLVEKLEDLKKQQDAHTRLAVERKIFLRNRKIKFFERRKIERSIRRLEKLQRTSSAHVGDTDIAEQLSKLKEDLEYVRFFPKNEKYVSLFTGAEDSEVIEKRGKMRKQIKANIIVAAASGKELEETGSEDDGLLDLSDDDFFDKGSSSDEADADDELTDKSAKEAASSASGRATSGMSSDERNQKQNSDRALMPPPQARFESNYRKNSYVQRNEMPSSSRNNTSNRRSE >fgenesh2_kg.384__2__AT3G07180.1 pep scaffold:JGI8X:scaffold_384:3743:7023:1 gene:fgenesh2_kg.384__2__AT3G07180.1 transcript:fgenesh2_kg.384__2__AT3G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTDGRNLRSSESWNHAGRPFITTSVLFSFLLGVPFLWKSVEIYRSPLPFHDIDSLSDQIESTPLRFPCNFHAVFVGFRSTDPDNLRSQIQDGINQLTHQNSQCGSCNFSLSVTVQNRDDQCSETLAHSSTTCSYRCGVIKRNVFGVGVDDTVDESLNDVFSGCSEESGKMYSVVVVNKENANGEDEVKAVVGKGRHAWIVGNGLEERYGDMVARVSEIFVKVFMNGGREEDSIQGEFMPVGSDGRIVLSFSLLNSNPRDWVYDWDFQRIDEALLAPVTKALAPIANISVESQVLYHTPKSSFSSWDKKLQSYIFRTSALPFFVNSNEWHLDTSAGASGRSKILQFVVYIPSGKECPLLLQLPNGEISKTNGFISPIWGGVIVWNPGNCDKDSESSSRNTISPQDLEQIVEIFLGQFRQLFGFKSEAFYTSGLGTYKILPSDRGFTEWELDVLSTKHTCFNLHSCSTTLGSLSRLVRSLPRMIIKDEIGEQVKYSLKAAKLAQSNASLGEYSSSASSSREARSLAENAFFHPSIMSVSYFSYEHCFAVYSPFFLPVAGHVVLAALREWKRYKQEKAKYLTWLTRKKTA >fgenesh2_kg.386__2__AT5G63530.2 pep scaffold:JGI8X:scaffold_386:5565:8271:1 gene:fgenesh2_kg.386__2__AT5G63530.2 transcript:fgenesh2_kg.386__2__AT5G63530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKPEEEKKEGEEKKVEAEKKGEDSDKKPQESNKDSKEDSAPAPPEAPAPPPPPQEIVLKVYMHCEGCARKVRRCLKGFEGVEDVMTDCKTGKVVVKGEKADPLKVLARVQRKTHRQVQLLSPIPPPPPPPEKKAEEEKPIVEEKKVKPPVVVTVVLKVHMHCEACATEIKKRIMRMKGVESAESDLKGSQVTVKGVFEPQKLVEYVYKRTGKHAAIMKIDPPPPPPPEESAAEGEKKEEGKGENGGGESKGEEGKDEKAKTDEEKKEGDGGKGEGEAAENGGGEEEGKVVEVRKIENPYYYYYYQPPRVAVPPMEMPPHAYPPQLFSDENPNACTVM >fgenesh2_kg.390__1__AT5G43650.1 pep scaffold:JGI8X:scaffold_390:3823:5112:1 gene:fgenesh2_kg.390__1__AT5G43650.1 transcript:fgenesh2_kg.390__1__AT5G43650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7MXG4] MDNFFLDLVCQEDDNFWDLIGDISGNDDKSVSVPNRSAFRSYVKGTEHLLMSPGTTSSKVNVKKRMVNLLRKNWEEKKNAVAPEKERCRRHMLKERTRREKQKQSYLALHSLLPFATKNDKNSIVEKAVDEIGKLQRLKKELEKRIKVIEEKSAKDDPDNMSETKVRFNLQEPLSGLDSMLEVLHYLKSMGTKLKTVHANFSPQEFSATMNIETQIRGEEVEKRVERRLQENEWKLLFLPEASCYKD >fgenesh2_kg.390__2__AT5G43640.1 pep scaffold:JGI8X:scaffold_390:5872:7038:-1 gene:fgenesh2_kg.390__2__AT5G43640.1 transcript:fgenesh2_kg.390__2__AT5G43640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S15 [Source:UniProtKB/TrEMBL;Acc:D7MXG5] MADVEPEVAAAGIMKKRTFKKFSFRGVDLDALLDMSTDDLVKHFSARVRRRFSRGLTRKPMALIKKLRKAKIEAPAGEKPAAVRTHLRNMIIVPEMIGIEIKPEMIGHYLAEFSISYKPVKHGRPGVGATNSSRFIPLK >fgenesh2_kg.397__1__AT3G24310.1 pep scaffold:JGI8X:scaffold_397:1:915:1 gene:fgenesh2_kg.397__1__AT3G24310.1 transcript:fgenesh2_kg.397__1__AT3G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXG8] RFELHAKWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKTKSPTNNAEKTKNRILKRQQFQQQRQMELQQEQQLLQFNQIDMKKIMSLLDDDNNNNGDNNTFSSSSSGSSGEGGAFYVPHQITHSTTTSGCDPNSNGYYPVVPVTMPEANVNEDNAIWDNLWNVDFEGQGSFGGAACAPRKQYFQNMVIPFY >fgenesh2_kg.39__2__AT2G47860.1 pep scaffold:JGI8X:scaffold_39:9897:12053:1 gene:fgenesh2_kg.39__2__AT2G47860.1 transcript:fgenesh2_kg.39__2__AT2G47860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:UniProtKB/TrEMBL;Acc:D7MVW5] MGVVTVPESKQSVAAKRAFRPSSSIRHTPQWPVSDVTSDLTIEVGSASFSLHKFPLVSRSGRIRKLVLESKDKNLNLAVVPGGSESFELAAKFCYGVGVQFNSANIAALRCVAHYLEMTEELSEKNLEARTEAYLKDSIFNDISNSITVLHSSERLLPVAEEINLVGRLVNAIAVNACKEQLASGLLKLDQNFSCGVPETEKPCDWWGRSLPILKLDFFQRVLSAMKSKGLNHDIISDILMSYARKSLQIIREPSLVKSDSDLQRKQRIVLEAVVGLLPTQANKSSIPISFLSSLLKTAIGSGTSVSCRSDLERRISHQLDQAILEDILIPANMGAMYDTDSVQRIFSMFLNLDECDYRDDDDDEDAGDAVDESEMAIYDFEGAESPKQSSIFKVSKLMDSYLAEVALDSSLPPSKFIALAELLPDHARVLCDGLYRAVDIFLKVHPHMKDSERYRLCKTVSCKKLSQDASSHAAQNERLPVQIAVQVLFYEQTRLKNAMTSGGGTSQSQFFLFTNRSGSGMASGAISPRDNYASVRRENRELRLEVARMRMRLTDLEKDHVSMKKDFVKPQSRRRRYGMLRKLSRGLNKLNAIVLRFRSSQSKHTEEKTNSERRFMFQKRRCHSVS >fgenesh2_kg.39__5__AT2G47940.1 pep scaffold:JGI8X:scaffold_39:19374:54491:-1 gene:fgenesh2_kg.39__5__AT2G47940.1 transcript:fgenesh2_kg.39__5__AT2G47940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVANCSFSVLNASVKLQSSCVSSPWCFVAASQKKSLNLKRKSSRSDSSSPILNPEKNYPGRVRDDSPNPPQKMAFKAFGSPKKEKKEPLSDFSRDQQTDPGKIHDASFLNAVFRNELLSSSSITLLASSFFELQAKTMELALEGIAFMIGDGKLLTNAHCVEHDTQVKVKRRGDDRKYVAKVLVRGVDCDIALLSVESEDFWKGAEPLRLGHLPRLQDSVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVYRSEETENIGYVIPTTVVSHFLTDYERNGKYTGYPCLGVLLQKLENPALRECLKVPTNEGVLVRRVEPTSDASKVLKEGDVIVSFDDLHVGCEGTVPFRSSERIAFRYLISQKFAGDIAELGIIRAGEHKKVQVVLRPRVHLVPYHIDGGQPSYIIVAGLVFTPLSEPLIEEECEDTIGLKLLTKARYSVARFRGEQIVILSQVLANEVNIGYEDMNNQQVLKFNGIPIRNIHHLAHLIDMCKDKYLVFEFEDNYVAVLEREASNSASLCILKDYGIPSERSADLLEPYVDPIDDTQALDQGIGDSPVSNLEIGFDGLVWA >fgenesh2_kg.3__1000__AT3G09770.1 pep chromosome:v.1.0:3:3867612:3869573:-1 gene:fgenesh2_kg.3__1000__AT3G09770.1 transcript:fgenesh2_kg.3__1000__AT3G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNISSSGGEGRRRRRRNHTAAPPPPPPPSSSLPPPPPATEIQANPIVFAAVTPYPNPNPNPVYQYPASYIHHPPPGTMSGPPLPPYDHHLHHPYHNHSWAPVAMARYSFAGHMMAPPTPYVEHQKAVTIRNDVNLKKETLRLEPDPDNPGRFLVSFTFDATVSGRISVIFFAKESEDCNLTATKEDILPPITLDFEKGLGQKFKQSSGSGIDFSVFEDVELFEAANTDIYPLAVKAEAAPSGENEEERLGSKKKNAQITQAVYEKDKGEIKIRVVKQILWVNGTRYELQEIYGIGNTVEGDEDSADDANDPGKECVICLSEPRDTTVLPCRHMCMCSGCAKVLRFQTNRCPICRQPVERLLEIKVHGNSGSGNNTGQGETVEPE >fgenesh2_kg.3__1002__AT3G09780.1 pep chromosome:v.1.0:3:3872223:3874636:-1 gene:fgenesh2_kg.3__1002__AT3G09780.1 transcript:fgenesh2_kg.3__1002__AT3G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L8C6] MEAHFFLLFLSLILLFLPKPGSGFGSSGPIAAAFGGSAFFCAIDASGRQDVICWGKNYSSPSSPSSSSSSSSLASSTSASYNIPSMAVLSGGDGFLCGILSNTSQAFCFSSLGSSSGMDLVPLAYRTTAYSQIAAGTSHVCAVRGAYYSDHDSGTVDCWEIARATNNNSLIAKENPNFYDQIVRNLVFNNIVSGDGFSCGGIRDGGLLCFGPNSSNLGFNTTSDNFQVLAAGKNSVCAILNSSREVKCWGEDESFVDSPMNDSRFVSLTAGPRHFCGIREDNHEVECWGNSNFSLIPKGSGFKAIASSDFIVCGIREEDLVLDCWMVNGSSTLAYDPPLELCSPGMCRAGPCYEKEFAFNASILNEPDLTSLCVRRELMVCSPCGSDCSHGFFLSSSCTENSDRICTPCSLCQNSSCSDICKLHNSNFPDKHWHQLQRLVLIIGSCASALLILIIGCCIVPRVIITSPNKEDGAANQFKSCIGKPDLDTEPLENVSPAPSVTPFAQVFRLSELKDATNGFKEFNELGRGSYGFVYKALLPDGRQVAVKRANAATIIHTNTREFETELEILCNIRHCNIVNLLGYSTEMGERLLVYEYMPHGTLHDHLHSGFSPLSWSLRIKIAMQTAKGLEYLHNEAEPRIVHGDVKSSNVLLDAEWVARVADFGLVTSSNEKKLDIKRDVYDFGVVLLEILTGRKRHDRDCDPPEIAEWAVTVIREGRAAAIVDKYIALPRNVEPLLKLADVAELCVREDPNQRPTMSELANWLEQVARDALIF >fgenesh2_kg.3__1003__AT3G09790.1 pep chromosome:v.1.0:3:3875302:3877524:-1 gene:fgenesh2_kg.3__1003__AT3G09790.1 transcript:fgenesh2_kg.3__1003__AT3G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQIYAKTLTEKTITLDVETSDSIHDLEDGLTLADYSIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGHTLADYSIQKESTLHLVLRLRGGMQIFVITFPGKNFTGETLTLEVESSDTIDSVKAKIQDREGLRPDHQRLSFHGEELFTKDGRTLADYGIQNKSALHLGTRLRGNMYIFVKNLPYNTFTGENFILEVESSDTIDNVKAKIQEKEGIPMDLHRLIFAGKPLEGGRTLAHYNIQKGSTLYLVTRFRCGMQIFVKTLTGKRINLEVESWDTIENVKAMIQDKEGIQPDLQRLIFLGKELKDRCTPADYGIQKESTLHLVLGMQIFVKLFGGKIITLEVVSSDTIESVKAKIQDKVGSPPDQQRLIFLGHELEDGRTLADYDIRNESTLRLFFHIPHGMQIFVKTYAFSGETPTCKTITLEVESSDTIDNVKVKIQHKVGIPLDRQSLIFGGRVLVGTRTLLDYNIQKESTIHQLFLQRGGMQIFIKTLTGKTIMLEVENSDTIANVKEKIQVKEGITPVQQRLIFFGKQLEDGVTLGDYCIHKNSTLYLVLRL >fgenesh2_kg.3__1004__AT3G09800.1 pep chromosome:v.1.0:3:3877809:3880318:-1 gene:fgenesh2_kg.3__1004__AT3G09800.1 transcript:fgenesh2_kg.3__1004__AT3G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complex small chain family protein [Source:UniProtKB/TrEMBL;Acc:D7L8C8] MSHDSCPLVKNILLLDSEGKRVAVKYYSDDWQTNAAKLSFEKYVFSKTSKTNARTEAEITLLDNNIIVYKFAQDLHFFVTGGENENELILASVLQGFFDAVALLLRNNVEKMEALENLDLIFLCLDEMVDQGVVLETDPNVIAGKVAMQSTEASGSLSEQTLTQALATAREHLARSLLT >fgenesh2_kg.3__1006__AT3G09820.1 pep chromosome:v.1.0:3:3885122:3888121:1 gene:fgenesh2_kg.3__1006__AT3G09820.1 transcript:fgenesh2_kg.3__1006__AT3G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDFDGILLGMGNPLLDVSAVVDQEFLDKYDIKLNNAILAEDKHLPMYDEMSQKFNVEYIAGGATQNSIKVAQWMLQIPGATSYMGSIGKDKYGEAMKKDATAAGVNVHYYEDESTPTGTCGVCVLGGERSLIANLSAANCYKVEHLKKPENWALVEKAKFYYIAGFFLTVSPESIQLVREHAAANNKVFTMNLSAPFICEFFKDVQEKCIPYMDYIFGNETEARTFSRVHGWETDDVEQIAIKMSQLPKATGTYKRTTVITQGADPVVVAEDGKVKKYPVIALPKEKLVDTNGAGDAFVGGFLSQLVHGKGIEECVRAGCYASNVVIQRSGCTYPEKPDFN >fgenesh2_kg.3__1008__AT3G09830.1 pep chromosome:v.1.0:3:3889866:3892425:1 gene:fgenesh2_kg.3__1008__AT3G09830.1 transcript:fgenesh2_kg.3__1008__AT3G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFLFSGGDKKGEQKTPISVSLTSNFSDREINRSGSEFNSRDVSGTSTESSMGRKNSYPTMSTRASNIREFSITDLKSATKNFSRSVMIGEGGFGCVFRGTVRNLEDPSNKIEVAVKQLGKRGLQGHKEWVTEVNFLGIVEHKNLVKLLGYCAEDDERGIQRLLVYEYMPNRSVEFHLSPRSLTVLTWDLRLRIAQDAARGLTYLHEEMEFQIIFRDFKSSNILLDEDWKAKLSDFGLARLGPSEGLTHVSTDVVGTMGYAAPEYIQTGRLTSKSDVWGYGVFLYELITGRRPVDRNRPKGEQKLLEWVRPYLSDTRKFKLILDPRLEGKYPIKSVQKLAVVANRCLVRNSKARPKMSEVLEMVTKIVEASSGNGSPQLVPLNSLKASRGAGGKNNGGGGEGGWFGKLWNPKTIRAC >fgenesh2_kg.3__100__AT3G01290.1 pep chromosome:v.1.0:3:336676:338044:1 gene:fgenesh2_kg.3__100__AT3G01290.1 transcript:fgenesh2_kg.3__100__AT3G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 family protein [Source:UniProtKB/TrEMBL;Acc:D7LAH1] MGNLFCCVLVKQSDVAVKERFGKFQKILNPGLQFVPWVIGDYVAGTLTLRLQQLDVQCETKTKDNVFVTVVASIQYRVLVDKASDAFYRLSNPTTQIKAYVFDVIRACVPKLNLDDVFEQKNEIAKSVEEELDKAMTAYGYEILQTLIIDIEPDQQVKRAMNEINAAARMRVAANEKAEAEKIIQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFAGNVPGTSAKDVLDMVMMTQYFDTMRDIGATSKASAVFIPHGPGAVSDVATQIRNGLLQANNASSS >fgenesh2_kg.3__1010__AT3G09840.1 pep chromosome:v.1.0:3:3893017:3896654:1 gene:fgenesh2_kg.3__1010__AT3G09840.1 transcript:fgenesh2_kg.3__1010__AT3G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAESSDSKSKKDFSTAILERKKSPNRLVVDEAINDDNSVVSLHPATMEKLQLFRGDTILIKGKKRKDTVCIALADESCEEPKIRMNKVVRSNLRVRLGDVISVHQCPDVKYGKRVHILPVDDTVEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCVVAPDTEIFCEGEPVKREDEERLDDVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEIGRLEVLRIHTKNMKLAEDVDLERISKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDDSIDAEILNSMAVTNEHFHTALGNSNPSALRETVVEVPNVSWNDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGGGSGGDGGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDSALLRPGRLDQLIYIPLPDEDSRLNIFKAALRKSPIAKDVDIAALAKYTQGFSGADITEICQRACKYAIRENIEKDIEKEKRRNENPEAMEEDGVDEVSEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFENSTGSGATTGVADPFATSAAAAGDDDDLYN >fgenesh2_kg.3__1011__AT3G09850.1 pep chromosome:v.1.0:3:3896851:3899444:-1 gene:fgenesh2_kg.3__1011__AT3G09850.1 transcript:fgenesh2_kg.3__1011__AT3G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGRRRSNGGGGSGKSKARSPGQSTRTNHNSAAGSSSGGRRRRQNNTTLFVEGGILSDYRKDPNFSTPSRGGSSSGKGLKYGSTDRVKASASMSGPRKCSGNTYVYQYPSIDFEQGLERKAGVDRDQMDGSIPIVLGKSEATQVVAFLDQTPSSSKGIKVSYDYEYEPSFVLGDDSHRGLGFCDDSDATPSGSLSIPKALGDQGGSFSPEEEVDAVEESGGNDDEVMPDVVKTPKRRNSGFISIGGMKLYTEDISGEESDGEEEMDDDESGDEGSNGFSESSESDSSEDMFGSNSEIDDAVAKDYLEGIGGSEIMLDAHWLAEQSLDKLDLSSDDSSSSDSSDRKTRKLTGIGLRKASMEYGKKKTTRSRFSGHGKSTNPLTMDDLMFVKDPRSLSGKKNKKKEVAKFPHSWPSGAPKSKNSRNFPGEKKKHRKEYIALKRRERMLQRGVDLADINSQLESFVLENVDMHCFQRMHNRDCSQVRRLADVYRLSSSCNGSGKKSFVTVTRTYQTCMPSASDKLRIEKLIGAGDEDYDFAVSGEVKGKSGSLDRKKAKDSAKKRTTREEQERNKSNGKKSSYADQPVSFVSSGIIDSEIAVGKTLGEKDAKQVAESTPGTSNGADIGAFEVHTRGFGSKMMAKMGFIDGGGLGKDGKGIAQPIEAVQRPKSLGLGLDFNIDTEDPTPSSNNNAKRNRSSSSGKHVKRISHENGASGSGGIRDKRLGAFEQHTTGFGSRMMARMGFVEGSGLGRESQGIVNPLVAVRRPRARGIGAEG >fgenesh2_kg.3__1012__AT3G09880.1 pep chromosome:v.1.0:3:3906932:3909753:1 gene:fgenesh2_kg.3__1012__AT3G09880.1 transcript:fgenesh2_kg.3__1012__AT3G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A regulatory subunit [Source:UniProtKB/TrEMBL;Acc:D7L8D6] MFKKIMKGGHRKPSKSEANEPSSYGIGLPDNRSGPGSNVVVSHASRGALVNSSPLPVAATPPPPPIGSVEPLPLFRDVPVSERQTLFLRKLQNCCFLFDFTDTIKNAREKEIKRQTLLELVDFIQSGSSKVSESCQEEMIKMISVNIFRSLPPASHENTGQEPADPEEEEPYLEPSWPHLQLVYELLLRYVVSTDTDTKVAKRYIDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIYETERHSGIGELLEILGSIINGFALPMKEEHKLFLIRVLIPLHKPKPIVVYHQQLSYCIVQFVEKDYKLADTVIRGLLKYWPVTNCAKENLFLGELEEVLEATQPVEFQRCMVPLFQQIGRCLTSSHFQVAERALFLWNNEHIVGLIAQNRSVILPIIYPALEKNIQSHWNQAVHGLTTNIKKMFMEMDPELFEECQRQYEEKQLKSKEVEEQRQYKWKRLAEAAAERDGGGEEEEDHMITS >fgenesh2_kg.3__1015__AT3G09900.1 pep chromosome:v.1.0:3:3912312:3914128:1 gene:fgenesh2_kg.3__1015__AT3G09900.1 transcript:fgenesh2_kg.3__1015__AT3G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPARARSDYDYLIKLLLIGDSGVGKSCLLLRFSDDTFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWMKNIEQHASDSVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNQNVEQVFLSIAKDIKQRLTESDTKAEPQGIKITKKDANKASSSSTTEKSACCSYV >fgenesh2_kg.3__1016__AT3G09910.1 pep chromosome:v.1.0:3:3914388:3915935:-1 gene:fgenesh2_kg.3__1016__AT3G09910.1 transcript:fgenesh2_kg.3__1016__AT3G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGQSGYDLSFKILLIGDSGVGKSSLLLSFISSSVEDLAPTIGVDFKIKQMKVRGKRLKLTIWDTAGQEKFRTLTSSYFRGSQGIILVYDVTKRETFMNLADVWAKEIELYSTNHDCIKMLVGNKVDRESERKVSQEEGMALAKDLKCLFHECSARTRDNVNWCFEELALKIMEVPSLLEEGSSSVKRKPDYRSHQGRCCSS >fgenesh2_kg.3__1017__AT3G09915.1 pep chromosome:v.1.0:3:3918084:3919064:-1 gene:fgenesh2_kg.3__1017__AT3G09915.1 transcript:fgenesh2_kg.3__1017__AT3G09915.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L8E0] CLYVCLRCFPGYRWFTLCRKPDQTLTNDTTKKKPSGYVLAPVPIPSFHKPHFSSLVVVGSDIYNIHGPSSNVSILDCRSHTWRKVQSLILDSRVLGQKIYVAGCYNDIHLDSSFFEVFDTKTKVWVSEPIPCIPYSEREFNFLHSKSICIDKKIHVVTDSEGVVAYNPKEGRWDLDGLRLDLDQHMRSDSYCVMENVLYSVYNRMVIWYDTEASRWRYLEGLAGLPTFPLGGSVRLGDYGGKLVVLWEEDLLYCLSSFVHKKKIWCAEIALERRKNCEIYGEVEWFDHVLTIPKEYDLVKVLAATV >fgenesh2_kg.3__1018__AT3G09920.1 pep chromosome:v.1.0:3:3919407:3923328:-1 gene:fgenesh2_kg.3__1018__AT3G09920.1 transcript:fgenesh2_kg.3__1018__AT3G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIP5K9 [Source:UniProtKB/TrEMBL;Acc:D7L8E1] MSGLDLREPVSCAERTKSVDALTKKEILLALNSGEVSETSEDARFRVRELLLPDGESYSGSLLGNVPEGPGKYIWSDGCVYDGEWRRGMRHGIGKMRWASGASYEGEFSGGYMHGSGTYVDANKLTYKGRWRLNLKHGLGYQVYPNGDVFEGSWIQGLGEGPGKYTWANKNVYLGDMKGGKMSGKGTLTWVTGDSYEGSWLNGMMHGVGVYTWSDGGCYVGTWTRGLKDGKGSFYSAGTRVPAVQEFYLNALRKRGVLPDLRRQNQVASSVNMENLRVGVNRNKLSKGSLINLEQSRNGRVSLERRWSLEVSIEKVIGHGYSDLSTAVLDSGSSVQYKANVPILEREYMQGVLISELVVNNGFSRTSRRAKRKHKRLVKEAKKPGEVVIKGHRSYDLMLSLQLGIRYTVGKITPIQRRQVRTADFGPRASFWMNFPRAGSTMTPPHHSEDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDTLRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVKVLLRMLPDYHHHVKTYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFFTDLRIHRRFDLKGSSLGRSADKVEIDENTILKDLDLNYNFFLEPSWREDLLRQLEIDSKFLEAQNIMDYSLLLGVHHRAPQHLRSQLVRSQSITTDALESVAEDDTIEDDMLSYHEGLVLVPRGSENIVTGPHIRGSRLRASAAGDEEVDLLLPGTARLQIQQGVNMPARAELIPGREDKEKQILYDCCDVVLYLGIIDILQEYNMTKKIEHAYKSLHFDSLSISAVDPTFYSQRFLEFIKKVFPQNNHS >fgenesh2_kg.3__101__AT3G01280.1 pep chromosome:v.1.0:3:338217:340273:-1 gene:fgenesh2_kg.3__101__AT3G01280.1 transcript:fgenesh2_kg.3__101__AT3G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGPGLYTEIGKKARDLLYKDHNSDQKFSITTFSPAGVAITSTGTKKGDLLLGDVAFQSRQKNITTDLKVCTDSTVLITATVDEAAPGLKSIFSFKVPDQNSGKIELQYLHDYAGISTSMGLTQNPTVNFSGVIGTNVLAVGTDVSFDTKSGNFTKINAGLSFTKDDLIASLTVNEKGDLLNASYYHIVNPLFNTAVGAEVSHKFSSKDNTITVGTQHSLDPLTSVKARVNSAGIASALIQHEWKPKSFFTISGEVDTKSIDKSAKVGLALALKP >fgenesh2_kg.3__1021__AT3G09930.1 pep chromosome:v.1.0:3:3937593:3939840:1 gene:fgenesh2_kg.3__1021__AT3G09930.1 transcript:fgenesh2_kg.3__1021__AT3G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7L8E5] MESLKIFISLFLFSFSSFFLGVESDHLQHSNLKRLRPNRLFVFGDSYADTGNIRKSLSDSWKIPYGITFPQKPSGRFSDGRVATDFLARYLGIKSPIPYTWKNYAGKERLLYGMNYAYGGTGVFKTKDNPLPNMTTQIDYFQRVLAAGNIYSPSDLPSSLALVSVAGNDYASFLALKRPLTELPAFMKQVVDQIAVNAMRIHKLGVNKILIPSMQPLGCLPSITVFTSFQRCNATDNASTKLHNYLLHKAVARINNETKPSTFVVLDHYNAFLTVFKNKGPEPGVMRFGNPLKPCCVGVNSNFDCSNVDEKGEKKYTICEDPKAAFFWDIFHPTEEGWRSVYSVLHKTLKDVWI >fgenesh2_kg.3__1022__AT3G09940.1 pep chromosome:v.1.0:3:3940873:3943590:-1 gene:fgenesh2_kg.3__1022__AT3G09940.1 transcript:fgenesh2_kg.3__1022__AT3G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSYKYVITGGGVAGGYAAREFSNQGLKPGELAIISKEPVHPFERPELTKTYIDLEVNPTLANIYVCAGTGEAKQYPNWYKEKGIDLIVSTEIVKADLASKTLVSDDGKIYKYQTLLIATGSTNIRLSEIGVQEADVKNIFYLREIEDSDELSFAMELYVQRGKAVVIGGGFLGLEISSALRANNHEVTMVFPEPWIIHRFFTAEIASFYEGYCANKGIKIIKGTVATGFSTNSDGEVTEVKLEDGRTLEANIVVAGVGARPVTSLFKGQLEEEKGGIKTDGFFKTSVPDVYALGDVATFPMKMYGGMRRVEHADNARKSAAQAVKAIKAAEEGKTIPDYDYLPYFYSRFFNLSWKFYGDNVGESVLFGDNDPKSPKPKFGTYWVKDGKVVGVFLEGGTQEEHKAIAKVARAQPSVESLDVLSEEGLSFATKFYSS >fgenesh2_kg.3__1024__AT3G09960.1 pep chromosome:v.1.0:3:3946312:3947824:1 gene:fgenesh2_kg.3__1024__AT3G09960.1 transcript:fgenesh2_kg.3__1024__AT3G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKPRTVICVGDIHGNISLLNKLWLNLQSDIEQSDFNSALVIFLGDYCDRGPETKKVIDFLISLPEKHPEQTADPCLSCRKPRLCFCWVLGFDTWKEYSKSEEREGWYKGEGFEDMHLQSRRWAGKIRDQFDYSAYGVLYNGSIYDAASTFESYGVPHGSSDLINAVSESHKKFLTNMVWVHEEEDVCIETEKGLKHCKLIAVHAGLEKGNNVEEQLKLLRAKDTSIPRIQHEPLTGRKTVWDIPRELDDKQTLIVSGHHGKLHIDGLRLIIDEGGGYADKPLAAIVLPSKKIIRDTDNFSN >fgenesh2_kg.3__1025__AT3G09970.1 pep chromosome:v.1.0:3:3948362:3950195:1 gene:fgenesh2_kg.3__1025__AT3G09970.1 transcript:fgenesh2_kg.3__1025__AT3G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKPRTVLCVGDIHGYISKLNNLWLNLQSAIDPSEFSSALVIFLGDYCDRGPETGKVIDFLISLPEKHPDQTHVFLAGNHDFAFSGFLGLLPRPSDGSDLKDTWKEYEGSEEREGWYRGEGFEDMHLQGRRWAGKIKATFNSVKGMAYKGSIYDAGSTFESYGVPHGSSDLMKAVPESHKKFLTNMVWVHEEDDVCIDTEEGLKHCKLIAVHAGLEKGNNVEEQLKLLRAKDTSISKIQHLSGRKNVWDIPQELDDEHTVVVSGHHGKLHIDGMRLIIDEGGGFPDKPVAAIVLPSKKIIRDTDNLSS >fgenesh2_kg.3__1026__AT3G09980.1 pep chromosome:v.1.0:3:3969090:3971375:1 gene:fgenesh2_kg.3__1026__AT3G09980.1 transcript:fgenesh2_kg.3__1026__AT3G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMQAIETSQPTTVAPAVHSRQLGAQLSGSMSFSSQMSKEDEEMSRTALSAFRAKEEEIEKKKMEIRERVQAQLGRVEEETKRLALIREELEGLADPMRKEVALVRKKIDSVNKELKPLGHTVQKKEREYKEALEAFNEKNREKVQLITRLMELVGESEKMRMKKLEELSKNIDSIH >fgenesh2_kg.3__1027__AT3G09990.1 pep chromosome:v.1.0:3:3976329:3980507:-1 gene:fgenesh2_kg.3__1027__AT3G09990.1 transcript:fgenesh2_kg.3__1027__AT3G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L8F2] MDTSIVAATTNPKGKYCALAVCWLLGIGCLLAWNSMLTIVDYYAYLFPRYHPSNIITIIYQSFAIGALSVLVHKEARLNTRRRNLFGYSLFSLGSLAVLVLDLATSGRGGIGSFIGVCVISAAFGLGDAHVLGGMIGDLSMMTPKFLQSFLAGLAASGALTSGLRLVTKAAFKNSRDGLRKGAILFFAVSASFELVCVLLYAFVFPRIPIVKYYRGEAILQGAETVAADLAAGGGTQVAPTQDVEAPRYVRRLNKRDLMLLYSDLAVTLFSVYVLTFSIFPGFLSEDTGKHSLGDWYALVLIAVFNVSDLVGRYVPVVKKLKMKSRRGLLITSLGRLLLIPAFNITGIYGSQGWMISLMSVLGFSNGYLTVCVITSATHDLLAPEQNALGNLLVFFISGGMFVGVACDWLWLIGKDW >fgenesh2_kg.3__102__AT3G01270.1 pep chromosome:v.1.0:3:341309:343590:1 gene:fgenesh2_kg.3__102__AT3G01270.1 transcript:fgenesh2_kg.3__102__AT3G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7LAH3] METARLFKLVCVFCIASLIPTIRANVADETDDYWVNKANEARKHTLMAYHPDPYEIVDHFHERHYDNSTDVEGTEEEKAVASEEEDVIEMISSPTNSTRRSLTGRGKGKGKGKWSKLKGPCTASNPIDKCWRCQPDWARRRKKLVHCVRGFGYRTTGGKRGRIYVVTSPRDDDMVNPRPGTLRHAVIQKEPLWIIFKHDMSIRLNQELMIASHKTIDARGANVHIAYGAGITMQYVHNIIIHGLHIHHIVQSSGGMIRDSIDHFGQRGRADGDGISIFGATNIWLDHISMSKCQDGLIDAIMGSTAITISNSHFTHHNDVMLLGAQDNNMDDKKMQVTVAYNHFGKGLVQRMPRIRWGFVHVVNNDYTHWELYAIGGSQGPTILSHGNRFIAPPHKQHYREVTKRDYASESEWKNWNWRSEKDVFMNNAYFRQSGNPHFKCSHSRQQMIKPKHGVAVSKLTKYAGALDCRVGKAC >fgenesh2_kg.3__1033__AT3G10040.1 pep chromosome:v.1.0:3:4009893:4011584:-1 gene:fgenesh2_kg.3__1033__AT3G10040.1 transcript:fgenesh2_kg.3__1033__AT3G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNPQNPVQFQHPHPYTTSGDQQTQPPMKSLYPYASKPKQLSPISGAGDDEDRGSGSGSGCNPEDSAGTDGKRKLSQWHRMKWTDTMVRLLIMAVFYIGDEAGLNDPIDPKKKTGGGGGGGMLQKKGKWKSVSRAMVEKGFSVSPQQCEDKFNDLNKRYKRVNDILGKGIACRVVENQGLLESMDHLTVKLKDEVKKLLNSKHLFFREMCAYHNSCGHLGGHDQPPQQNPISIPSQQNCFHAAEAGKMARIVERVEVEEEVESDMAEDSESEMEESEEEVEEDTRKKQRISTAVKRLREEATRVVEDVGKSVWEKKEWMKRKMLEIEEKKIGYEWEAVEMEKQRVKWMRYRSKKEREMEKAKLDNQRRRLETERMVLNLRRTEIELSELQSSGKRVDLSSAKG >fgenesh2_kg.3__1036__AT3G10070.1 pep chromosome:v.1.0:3:4017484:4020670:-1 gene:fgenesh2_kg.3__1036__AT3G10070.1 transcript:fgenesh2_kg.3__1036__AT3G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tata-associated factor II 58 [Source:UniProtKB/TrEMBL;Acc:D7L8V2] MDQPRQSSTASQPPETPPQPSDSASKPATLTQIQPPPSTNPSPSSVVSSIPSSPAPQSPSLNPNPNPPQYARPVTSPVTQQQQHLSQPLVRPPPQAYSRPWQQHSSYTHFSSASSPLLSSSSAPASSSSSLPITGQQRGGMAIGVPASPIPSPSPTPSQHTPSAFPGSFGQQYGGLGRGTVGMSEATSNSSAPQVRMMQGTQGIGMMGTLGSGSQMRPSGMAQHQQRPTQSSLRPASSPSTQSPVAQNFQGHSLMRPSPIGSPSVQSTGASQQSLQAINQPWLSSTPQGKPPLPPPSYRPQVNSPSMQQRPHIPQQHLSTSAATSQPQQLQSQQQHQPQEQLQQLRSPQQPLPHPHQPTRVQGLVNQKVTSPVMPSQPPVAQPGNHAKTVSAENETSDDRILGKRSIHELLQQIDPSEKLDPEVEDILADIAEDFVESITTFGCSLAKHRKSDTLEAKDILLHVERNWNIRPPGFSSDEFKTFRKPLTTDIHKERLAAIKKSVTATEAASARNQFGHGTANARGGQSKTPSNPLGSTTFNH >fgenesh2_kg.3__1037__AT3G10080.1 pep chromosome:v.1.0:3:4021129:4022027:-1 gene:fgenesh2_kg.3__1037__AT3G10080.1 transcript:fgenesh2_kg.3__1037__AT3G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANTLFLLKALCLLCFSVCFTLASDPDPIQDFCIPKPMTSPYHDHHFSTNLPCKNSSEVTTEDFVFSGLKTVGNFTETGFATVPVSPVNFPGLNTLGLSFVRADLKPGSINPPHYHPRATEVAHLVKGRVYSGFVDSNNKVYAKVMEEGEMMVYPKGLVHFQMNVGDVTATIVGGLNSQNPGIQKIPSVVFGSGINEELLMKAFGLSLKQIGTLKKRFDPVMSNEH >fgenesh2_kg.3__1038__AT3G10090.1 pep chromosome:v.1.0:3:4028353:4030403:-1 gene:fgenesh2_kg.3__1038__AT3G10090.1 transcript:fgenesh2_kg.3__1038__AT3G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQIKHAVVVKVMGRTGSRGQVTQVRVKFTDSDRYIMRNVKGPVREGDILTLLESEREARRLR >fgenesh2_kg.3__1040__AT3G10120.1 pep chromosome:v.1.0:3:4035528:4036185:-1 gene:fgenesh2_kg.3__1040__AT3G10120.1 transcript:fgenesh2_kg.3__1040__AT3G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L8V9] MEKKVIKIMRNDGKVVEYRGPLKVHHILTQFSPHYSIFDSLSNNCHLHPQAKLLCGRLYYLLPQETTKIKHVKKTMKKVRFANPEVEKEEEEDRLTDCCDNTKEKSNGVVRVKMVVSKQELEKLLQGGSVHEMVYRTLAKQHLCADDDDDHHQVWKPLLDSIPES >fgenesh2_kg.3__1042__AT3G10150.1 pep chromosome:v.1.0:3:4047694:4049520:-1 gene:fgenesh2_kg.3__1042__AT3G10150.1 transcript:fgenesh2_kg.3__1042__AT3G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPAP16/PAP16 [Source:UniProtKB/TrEMBL;Acc:D7L8W0] MKKPSLFLIILVLSIPTTVGNLRVREGSTFKMAIFADLHFGEDTWTDWGPRQDVNSVNVMSAVLDAETPDFVVYLGDVVTANNIAIQNASLFWDKAISPTRDRGIPWATLFGNHDDASFLWPLDWFSSSGIPPIRCPAASDDDGCAFRGTTRVELIREEIKSSSALSYSMIGPKELWPSVSNYVLLVESSDHSKPPVAILYFLDSGGGSYPEVISNAQVEWFKTMSNTLNPDLRIPELIFWHIPSKAYKKVAPRLWITKPCVGSINKEKVAAQEAENGMMRVLENRSSVKAVIVGHNHGLDWCCPYKDKLWLCFARHTGYGGYGNWPRGSRILEISEMPFRIKTWIRMEDGSVHSEVNLAYD >fgenesh2_kg.3__1044__AT3G10180.1 pep chromosome:v.1.0:3:4054255:4065867:-1 gene:fgenesh2_kg.3__1044__AT3G10180.1 transcript:fgenesh2_kg.3__1044__AT3G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVSVRARPLSSEDEKTSPWKISSDSIFMPNHSSLSFEFDRIFREDCKTIQVYEARTKEIVAAAVRGFNGTVFAYGQTNSGKTHTMRGSPIEPGVIPLAVHDLFDTIYQDASREFLLRMSYLEIYNEDINDLLAPEHRKLQIHENLEKGIFVAGLREEIVASPQQVLEMMEFGESHRHIGETNMNLYSSRSHTIFRMIIESRQKMQDEGVGNACDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGVENQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAPIHADETKSSLQFASRALRVTNCAHVNEILTDAALLKRQKKEIEELRSKLKTSHSDHSEEEILNLRNTLLKSELERERIALELEEEKKAQAQREKVLQEQAKKIKNLSSMVLLSNRDEKREQDQFKKGKRRDTWCIGKLSRDSTSEVQSNVLSRGSSLESARSERETGPLLPFSELVNEPLYNINEEDEDSIDGTLEDSALPDPCALVNVTSRKKTIIRQKTPVVVENELDRIQREYEDLLLQYETEAKLGEDGLSGDAKCKQSEVVGNVHWDEHVVNLRDPEAILLIKQLQEKINMLELEKSSSNRNLDDLVMVATEQNICAREKFAEIQEEIHAAREEAQVAREQLVSKESEVIHVLNENFNSLVNVATKVEVLESEFRKYKVSVETISSVMNEGLQDFAFISPLIHDFTLFVRQISEQHESLINSYQSVQSSLKQKVLDVENEKLLLQEQCAGLQSQIEELNQESQKHETSLMMLSENHESERSDLLSHIECLEKDIASLSSSSLAKEKENLRKDFEKTKTKLKDTESKLKNSMQDKTKLEAEKASAERELKRLHSQKALLERDINKQESFAGKRHDSLLVERSANQSLQEEFKQLEVLAFEMETTIASLEEELAAERGEKREALCRNEGLDSEITDLTEKLEHSNTQLEHLQKDVSELKTRLEGSSSDQQQLETNVKQLLEEKEELAMHLANSLLEMEEEKAIWSSKEKALTEAVEEKIRLYSIQIESLSKEMSEAKKELESSEENAKQEKECSLEKSLEIDRLGDELRSADAVSKQSQEVLKSDIDTLKSEVQHACEMSDTFQRELDYVTSERQGLLARIKELSNELASSNRWQDAAAENKEKAKLKMRLRGTQARLDAISLRHKQSVQESELMNRKFMEASAKLKEKLASKALEVLDLKKQLSASSRTISETGETHLRAIVMRQLWLRLWWLWLWSRRFQNLSIYIIETLRILSMLQVDNLVGTELRKDVVLFDVIQVIFGDLTYLRYSSKSIEVVDKAAIDMGSKEDKADNPALSSYDDAMEALSTLISRRNRGDRTPTRGNRDKLEQVITYLKVIQPFTVQH >fgenesh2_kg.3__1049__AT3G10230.1 pep chromosome:v.1.0:3:4076453:4078405:-1 gene:fgenesh2_kg.3__1049__AT3G10230.1 transcript:fgenesh2_kg.3__1049__AT3G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene cyclase [Source:UniProtKB/TrEMBL;Acc:D7L8W7] MDTLLKTPNKLDFFIPQFHGFERLSSNNPNPARVRLGLKKRAIKIGSSVASGSAALLDLVPETKKENLDFELPLYDTSKSQVVDLAIVGGGPAGLAVAQQVSEAGLSVCSIDPSPKLIWPNNYGVWVDEFEAMDLLDCLDTTWSGAVVYVDEGVKKDLSRPYGRVNRKQLKSKMLQKCITNGVKFHQSKVTNVVHEEANSTVVCSDGVKIQASVVLDATGFSRCLVQYDKPYNPGYQVAYGIIAEVDGHPFDVDKMVFMDWRDRHLDSYPELKERNSKIPTFLYAMPFSSNRIFLEETSLVARPGLRMDDIQERMAARLKHLGINVKRIEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVANAIVRYLGSPSSNSLRGDQLSAEVWRDLWPIERRRQREFFCFGMDILLKLNLDATRRFFDAFFDLQPHYWHGFLSSRLFLPELLFFGLSLFSHASNTSRLEIMTKGTVPLAKMINNLVQDRD >fgenesh2_kg.3__104__AT3G01250.1 pep chromosome:v.1.0:3:346370:347082:-1 gene:fgenesh2_kg.3__104__AT3G01250.1 transcript:fgenesh2_kg.3__104__AT3G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSYALSGLIVALVIALVCNIHDAAARDVKLVKLRDVKPETLKKLNGEKESEIMKGEEKNNLLKEIEKAKKMLGELKTLKKQSTGDMKSELSSLVKSESLLNEISDSLKNGTYNHIKANIFVEKESFFYRAWKENAYNSVVPEKEKEYMSTIKRIVKLLKKT >fgenesh2_kg.3__1052__AT3G10250.1 pep chromosome:v.1.0:3:4080386:4083556:-1 gene:fgenesh2_kg.3__1052__AT3G10250.1 transcript:fgenesh2_kg.3__1052__AT3G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSVRRVSRQDIQLVQNLIERCLQLYMNQKEVVDTLLEQAKIEPGFTELVWQKLEEENREFFKAYYLRLMVKHQIMEFNKLLEQQVHHMRQMHPTGVASVQNTNGSHIQSMNQKQLCYPSEHTDQSLKSESAHHPMASSLSNAFLNGSSTLNTNVPSSINISTHARRVDASPNMLSSHMPMVQGMNGGMIKSETAFTNPASFMYGGERNALEGHSAVGDTSISNFSNESNNQPLSDTLLEAETSTIGFLGQIPRNFSLSDLTADFSQSSEILESYDRSPFLVANAENFLDSRERGEYQGDNKRLDTISEGFSYDNIGSE >fgenesh2_kg.3__1055__AT3G10260.1 pep chromosome:v.1.0:3:4083907:4085610:-1 gene:fgenesh2_kg.3__1055__AT3G10260.1 transcript:fgenesh2_kg.3__1055__AT3G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:D7L8W9] MPEKNIVEDVIGDLVDNFTETVQKNKHGSSFFEQEDSVSSRFNRLFGRQKPIHNILGGGKSADVLLWRNKKISASVLMGATAIWVLFEWINFHFLSLLCYALLLGMIAQFVWCNASGFLNRSQSRVPRLVLPKDFFAEVGVAIGKEVNRGLLFLQDLACKGNMKQFLMAIIGLWVAAMVGSCCNFLTVLYIGFVGAHTMPVLYERYEDEVDGFMDSLIMKFHSHYKKLDTGFLSRIPSGKFGLKKRE >fgenesh2_kg.3__1056__AT3G10270.1 pep chromosome:v.1.0:3:4086700:4092696:-1 gene:fgenesh2_kg.3__1056__AT3G10270.1 transcript:fgenesh2_kg.3__1056__AT3G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA gyrase subunit B [Source:UniProtKB/TrEMBL;Acc:D7L8X0] MESLQESSTSKDYSSEHIQVLEGLDPVRKRPGMYIGSTGSRGLHHLVYEILDNAIDEAQAGFASKIDVVLHSDDSVSISDNGRGIPTDLHPATGKSALETVLTVLHAGGKFGGKSSGYSVSGGLHGVGLSVVNALSEALEVIVRRDGMEFQQKYSRGKPVTTLTCHVLPPESRGTQGTCIRFWPDKEGFALFTTAIQFDHNTIAGRIRELAFLNPKVTISLKKEDDDPERDLYSEYFYAGGLTEYVSWLNTDKKPLHDVLGFRKEINGSTVDVSLQWCSDAYSDTMLGYANSIRTIDGGTHIEGVKASLTRTLNSLAKKLKVIKEKDISLSGEHVREGLTCIVSVKVPNPEFEGQTKTRLGNPEVRKIVDQSVQEYLTEYLELHPDVLESIISKSLNAYKAALAAKRARELVRSKSVLKSSSLPGKLADCSSTDPAESEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERKDEAAMYKNEEIQNLILGLGLGVKGEDFNKENLRYHKIIILTDADVDGAHIRTLLLTFFFRYQRALFDAGCIYVGVPPLFKVERGKQAHYCYDDAALKKITASFPANASYNIQRFKGLGEMMPAQLWETTMNPDTRILKQLVVEDAAETNMVFSSLMGARVDVRKELIKSAATRMNLENLDI >fgenesh2_kg.3__105__AT3G01240.1 pep chromosome:v.1.0:3:347394:347919:1 gene:fgenesh2_kg.3__105__AT3G01240.1 transcript:fgenesh2_kg.3__105__AT3G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISFVLFVVALIAFLHAYEARKVVNVGEAFEKDLQKAEAMIAEEVKAKKTNIQGLTSEVKTLSKSEVMLKDLGNAYKKDMDLRPYEKKLKNFSRVVALKKAPVKNNKKPVSIIQSILKDFGLNGGRD >fgenesh2_kg.3__1063__AT3G10320.1 pep chromosome:v.1.0:3:4110273:4112294:-1 gene:fgenesh2_kg.3__1063__AT3G10320.1 transcript:fgenesh2_kg.3__1063__AT3G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNLKKVAQIKVDESPKLFPYVFRFKNSCGNCAKRSKPKLIYLLLFSLISSCFVFAPDLLYFPYPSALFLIDSSIKEIENRVSESHIESPKTSQNEESISCDRTGYRSDICFMKGDIRTHSPSSSIILYTSNDLTDNVLPEKIKPYTRKWETSIMETIHELKLVTKDMKRFGDRCKCEVIHEVPAVLFSTGGYTGNLYHEFNDGLIPLYITSKRFNKKVLLVIAEYHKWWEMKYGDVLSQLSDYPLIDFSKDKRTHCFKEAIVGLRIHGELTVDPSQMQDGRTTINEFRNVLDRAYGPRINRLDRLEEQRFHARVAKRRKAQRPKLALFSRTGSRGITNEDLMVQLAQRIGFEVEVLRPDRTTELAKIYRVLNSSKVMVGVHGAAMTHFLFMQPGSIFIQIIPLGTDWAAETYYGEPAKKLGLDYIGYKILPRESSLYEKYDKDDPILRDPNSITKKGWQFTKGIYLNDQKVRLDLHRFKKVLVDAYAKSIS >fgenesh2_kg.3__1064__AT3G10330.1 pep chromosome:v.1.0:3:4115851:4117995:1 gene:fgenesh2_kg.3__1064__AT3G10330.1 transcript:fgenesh2_kg.3__1064__AT3G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIB-2 [Source:UniProtKB/TrEMBL;Acc:D7L8X6] MSDAFCSDCKRHTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNPLLADGGLTTVISKPNGSSGDFLSSSLGRWQNRGSNPDRGLIVAFKTIATMADRLGLVATIKDRANEIYKRVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLETGQSVEMGTIHAGDFMRRFCSNLGMTNQTVKAAQESVQKSEEFDIRRSPISIAAAVIYIITQLSDEKKPLRDISVATGVAEGTIRNSYKDLYPHLSKIIPAWYAKEEDLKNLQSP >fgenesh2_kg.3__1066__AT3G10350.1 pep chromosome:v.1.0:3:4126524:4129491:1 gene:fgenesh2_kg.3__1066__AT3G10350.1 transcript:fgenesh2_kg.3__1066__AT3G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anion-transporting ATPase family protein [Source:UniProtKB/TrEMBL;Acc:D7L8X8] MATLSSYLLSSPPLCKSLFSATSLISGIDFISFSPRTTLSSSTVLPAILSLSVKHNRRRNSFQVKSVASPTETISEFDEMVSGTKRKYYMLGGKGGVGKTSCAASLAVRFANNGHPTLVVSTDPAHSLSDSFAQDLTGGMLVPVEGPEAPLFALEINPEKAREEFRSASQMNGGTGMKDFMDGMGLGMLVEQLGELKLGELLDTPPPGLDEAIAISKVIQFLESPEYNMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKITSATSAIKSVFGKEENRPDAADKLERLRERMVKVRELFRDTESTEFVIVTIPTVMAVSESSRLSASLKKESVPVKRLIVNQILPPSSSDCKFCSIKRKDQMRALDMIREDSELSALTLMEAPLVDMEIRGVPALRFLGDIIWK >fgenesh2_kg.3__1068__AT3G10370.1 pep chromosome:v.1.0:3:4138016:4140876:1 gene:fgenesh2_kg.3__1068__AT3G10370.1 transcript:fgenesh2_kg.3__1068__AT3G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7L8Y0] MSVASIRRLAAGAAVIAAASGGAVYLSPSVASSDKGGGPILDSLRRRIGDPTASVPSRSAQESSLIAATASDPLDVLVIGGGATGSGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLLIENAPHLCHALPCMTPCFDWFEVIYFWMGLKMYDLVAGPRLLHLSRYYSAKESIELFPTLARKGKDKNLRGTVVYYDGQMNDSRLNVGLACTAALAGAAVLNHAEVVSLITDDSTKRIIGARVRNNLTGQEFNTYAKVVVNAAGPFCDSIRKMIDEDTKPMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITSLPEPHEDEIQFILDAISDYLNIKVRRTDVLSAWSGIRPLAMDPTAKSTESISRDHVVFEENPGLVTITGGKWTTYRSMAEDAVDAAIKSGKLSPTNECVTQKLQLLGSYGWEPSSFTTLAQQYVRMKKTYGGKVVPGAMDTAAAKHLSHAYGSMADRVATIAQEEGLGKRLAHGHPFLEAEVAYCARHEYCESAVDFIARRCRIAFLDTDAAARALQRVVEILASEHKWDKSRQKQELQKAKEFLETFKSSKNAQFNDGKHN >fgenesh2_kg.3__1072__AT3G10405.1 pep chromosome:v.1.0:3:4155724:4160802:-1 gene:fgenesh2_kg.3__1072__AT3G10405.1 transcript:fgenesh2_kg.3__1072__AT3G10405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSLGSAISRPTSSSSSYTYLVSCSRIFGLATSSLLWTKNKPHHTNTKLKQQKPCVRNSAQEIPQTLEEDSKFVPLDPQDPRFGPPVLLLLGLQLHEAQKIQELLKELDGEFMEIVFCTEDMIPRSLWEAVNTKQPDLNRVNVAKSLPRICFLSGLTGEEMMMFIDAFPETGLEPVVFAAMVPNSADKPIFELIEEIMGDHELLTGSGSS >fgenesh2_kg.3__1078__AT3G10450.1 pep chromosome:v.1.0:3:4173325:4176109:1 gene:fgenesh2_kg.3__1078__AT3G10450.1 transcript:fgenesh2_kg.3__1078__AT3G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDYVSSVLLLLILLIFLSQRTVSSSIVKSLPGFDGPLPFELETGYIGVGKEEEVQLFYYFIKSERSPQEDPLLLWLSGGPGCSSISGLLYENGPVTVKLEVYNGTLPSLVATTYSWTKVSSIIYLDQPVGTGFSYSRTQLVNKPSDSGEAKRIHEFLHKWLGKHQEFSSNPFYVGGDSYCGMVIPALVQEISKGNYVCCKPPINIQGYILGNPSTENEVDNSYRIPYAHGMALISDELYESMKRICKGKYENVDPRNTKCLKLVGEYQKCINRINKALIITPECVETSPDCYMYRYLLTTYWANDESVQRALHVNKGSIGEWVRCYREIPYNHDIKSSVPYHMNNSIDGYPSLIFSGDHDMEVPYLGTQAWIRSLNYSLIDDWRPWMIGDQITGYTRTYANKMTFATIKGGGHTPEYKPEETYIMFQRWISGQPL >fgenesh2_kg.3__1079__AT3G10460.1 pep chromosome:v.1.0:3:4182529:4183132:1 gene:fgenesh2_kg.3__1079__AT3G10460.1 transcript:fgenesh2_kg.3__1079__AT3G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGVTIFLIVVCLYTEHVIGGSVFIYNNLHHGHYLQVHCKSGDSNLGDHVRRPGAAYSYSFTDHIFGKTLYWCHLWKGKDWENHVAIVAYESKQLPHRDNWVRWSVRENGIYQNVNGEENYHFRYNWDGHV >fgenesh2_kg.3__1080__AT3G10470.1 pep chromosome:v.1.0:3:4187868:4189309:1 gene:fgenesh2_kg.3__1080__AT3G10470.1 transcript:fgenesh2_kg.3__1080__AT3G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAEAVSAAREQSLILKGKRTKRQRPQSPIPFSIIPPLSSHEPDAEEESTSLISKEKSLNDEINNNNNKNDNNTLINDVTSSSSASSSSNKNATLKATADEEDQDMANCLILLAQGHSLPHHQPQPHPQQQTRQLMISYQESGNNNNAYRSSSRRFLETPSSNGTTTNGGGGKAGYYVYQCKTCDRTFPSFQALGGHRASHKKPKAATGLHSNHDHKKSIYDDAVSLHLNNVITTTPNNNSNHRSLVAYGKASNNKVHECGICGAEFTSGQALGGHMRRHRGAVVAAAAASTATVAVAATQATANTALSLSPMSFDQMSDGPVQAPVKRARSAVVSLDLDLNLPAPEDENRVNGLSFASKQEHEQEHEQTQQKKQREEQKSLVLSSPPTLVDCHY >fgenesh2_kg.3__1083__AT3G10490.2 pep chromosome:v.1.0:3:4197506:4200519:1 gene:fgenesh2_kg.3__1083__AT3G10490.2 transcript:fgenesh2_kg.3__1083__AT3G10490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC051/ANAC052 [Source:UniProtKB/TrEMBL;Acc:D7L8Z6] MGRESLAVVTAPPSATAPGTATAATSLAPGFRFHPTDEELVSYYLKRKVLGKPVRFDAIGEVDIYKHEPWDLAVFSRLKTRDQEWYFYSALDKKYGNGARMNRATNRGYWKATGKDREIRRDIQLLGMKKTLVFHSGRAPDGLRTNWVMHEYRLVEYETEKNGNLVQDAYVLCRVFHKNNIGPPSGNRYAPFMEEEWADDAGALIPGIDVKLRLEPPPVANGNNQMDQEIQSGSKSLININEPPRETAPMDIEPNQQNHHENELKPQEHNNNNNYDENEETLKREHTEEDERPAPPVCVLNKEAPLPLLQYKRRRQNESNNNSSRNTQDHCSSTTTTVDNTTTLISSSAAATNTAISALLEFSLMGISDKKEKPQQPLRPHKEPSPPQTPLASPEEKVNDLQKEIHQMSVERETFKLEMMSAEAMISILQSRIDALRQENEELKKNNANGQ >fgenesh2_kg.3__1085__AT3G10500.1 pep chromosome:v.1.0:3:4201153:4203659:1 gene:fgenesh2_kg.3__1085__AT3G10500.1 transcript:fgenesh2_kg.3__1085__AT3G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC053 [Source:UniProtKB/TrEMBL;Acc:D7L8Z7] MGRGSVTSLAPGFRFHPTDEELVRYYLKRKICNKPFKFDAISVTDVYKSEPWDLPDKSKLKSRDLEWYFFSMLDKKYRNGSKTNRATEMGYWKTTGKDREILNGSKVVGMKKTLVYHKGRAPRGERTNWVMHEYRLVDEDLDKTGVHQDAFVLCRIFQKSGSGPKNGEQYGAPFVEEEWEEEDDMTFVPNQEDLGSQDHVYVDMDDIDQKSENFVVYDAIPIPLNFIHGESSNNVETNYSDSVNYIQPGNYVDSGGYFEQPEESYEKDQKPIIRDGNLQNDALFQEEEIGCGVQDEHTSNLLSSENIFGTDTSCYNDFPVESNYLTGEAFLDPNSNLLQNDGLYLETNDLSSTQQDGFDFEDYLTFFDEDDESAQNLTFDPSQLMGNEDIFVDQEELFQEVETKELKKEETSGSKHVVEEKEKDEASCSKQVDADATEFEPDYKYPFLKKASHMLAAIPAPPAFASELPTKDAAIRLHAAQSSGSVHVTAGMITISDGNMGWSYGKNGNFDLILSLGLVQGNTASEKSGNSSAWAMLIFMCLWVLVLSVSFKVSILVSSG >fgenesh2_kg.3__1087__AT3G10525.1 pep chromosome:v.1.0:3:4209611:4210273:-1 gene:fgenesh2_kg.3__1087__AT3G10525.1 transcript:fgenesh2_kg.3__1087__AT3G10525.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L8Z9] MDLELLQDLSKFNFPTPIKIRSKTSKTKKDEGDADEDGLSCSTPTSQEHKIPAVVDSPPPPPRKPRPPPSAPSATAALMIRSCKRKLLVSTCEIIMNREEIDRFFSSVYNETSTTAKRRRSYPYCAR >fgenesh2_kg.3__1088__AT3G10526.1 pep chromosome:v.1.0:3:4210885:4211052:1 gene:fgenesh2_kg.3__1088__AT3G10526.1 transcript:fgenesh2_kg.3__1088__AT3G10526.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L900] ICKKKLQRTNETLSLELWKHSGSELRRAITHETNANGVVVPSVSYLKTCGLWSQQ >fgenesh2_kg.3__1089__AT3G10530.1 pep chromosome:v.1.0:3:4216249:4218985:1 gene:fgenesh2_kg.3__1089__AT3G10530.1 transcript:fgenesh2_kg.3__1089__AT3G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7L901] MEMSSEDNNLMEKVLPPVEQESDVELETTVKKYLRGEGANLETLKDKKLKTQLASREKLYGKSAKAAAKIEKWLLPAEAGYLETEGLEKTWRVKQSDIANEVDILSSRNQYDIVLPDFGPYKLDFTASGRHMLAGGRKGHLALLDMMNMSLIKEIQVRETVRDVAFLHNEQFFAAAQKKYAYIYGRDGTELHCLKERGPVARLRFLKNHFLLASVNKSGQLHYQDVTHGGMVASIRTGKGRTDVMEVNPYNGVVALGHSGGTVTMWKPTSQAPLVQMQCHPGPVSSVAFHPNGHLMATSGKERKIKIWDLRKFEEVQTIHSFHAKTLSFSQKGLLAAGTGSFVQILGDSSGGSSHNYSRYMNHSMVKGYQIEKLMFRPYEDVIGIGHSMGWSSILIPGSGEPNFDSWVANPFETSKQRREKEVHSLLDKLPPETIMLDPSKIGAMRPSRRREKPSRGEIEAEKEVAIEAAKSTELKNKTKGRNKPSKRTKKKKEMVENAKRTFPEQEHNTALKKRRIGEDAAAELPASLKRFARKN >fgenesh2_kg.3__108__AT3G01210.1 pep chromosome:v.1.0:3:354110:355594:-1 gene:fgenesh2_kg.3__108__AT3G01210.1 transcript:fgenesh2_kg.3__108__AT3G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7LAH9] MYPCGYVAQVTNLSPQATERDVHRFFSHCGIVELVEITGCEGDALTAYVTFRDAYALDMAVLLSGATIVDQTVWISVYGVYLHESNNLRQEEDYTVTATRSDAFASSPGEAVTVAQQVVKTMLAKGYVLSKDAIGKAKALDESQRFSSLVATKLAEISHYIGLTQNIQSSMEVVRSADEKYHFSDFTKSAVLVTGTAAVAAATITGKVAAAAATSVVNSRYFANGALWFSDALGRAAKAAAHMAGGGSD >fgenesh2_kg.3__1092__AT3G10560.1 pep chromosome:v.1.0:3:4236547:4238337:1 gene:fgenesh2_kg.3__1092__AT3G10560.1 transcript:fgenesh2_kg.3__1092__AT3G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP77A7 [Source:UniProtKB/TrEMBL;Acc:D7L906] MSFLSLSTSLDLFPNNVFLTILATLVSGLLITITYRKRNSNRLNLPPGPPGCPVIGNLFQFTRSGKQFFEYVEDLVKIYGPILTLRLGTRTMIIISDASLAHEALIERGAQFATRPVETPTRKIFSSSEITVHSAMYGPVWRSLRRNMVQNMLSSNRIKEFGSVRKSAMDKLIERIESEARGNEGLVWVLKNSRYAAFCVLLDMCFGVEMEEESIEKMDQLMTAILNAIDPKLHDYLPILTPFNYNERDRALKLRRELVDFVVKFIEKRRRVILIETASSFSYLDTLFDLRVVEGSETTPSDEDLVTLCSEFLNAGTDTTGAAIEWGIAELIANPEIQSRLYDEIKLTVGDRAVDERDVEKMVFLQAVVKEILRRHPPTYFTLSHGVTEPTTLSGYNIPVGVNIEFYLPGISEDPKIWSEPKKFDPDRFLSGREDADITGVAGVKMMPFGAGRRICPGMGMATVHVHLMIARMVQEFEWFAYPPQSEVDFAGRLVFAVVMKKPLRAMVRPRV >fgenesh2_kg.3__1093__AT3G10570.1 pep chromosome:v.1.0:3:4238702:4240410:1 gene:fgenesh2_kg.3__1093__AT3G10570.1 transcript:fgenesh2_kg.3__1093__AT3G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP77A6 [Source:UniProtKB/TrEMBL;Acc:D7L907] MSLLSFPPTFFNIPPSLFYTILVSSLVLLILTRRSSKSKRVKLPPGPPGWPVVGNLFQFARSGKQFYEYVDDVRKKYGPIYTLRMGSRTMIIISDSALVHDVLIQRGPMFATRPTENPTRTIFSSNTFTVNASAYGPVWRSLRKNMVQNMLSSIRFREFGSLRQSAMDKLVERIKSEAKDNDGLVWVLRNARFAAFCILLEMCFGIEMDEESILNMDQVMKKVLITLNPRLDDYLPILAPFYSKERARALEVRREQVDFIVKFIERRRRAIQNPGTDKTASSFSYLDTLFDLKTEGRKTTPSNEELVSLCSEFLNGGTDTTGTAIEWGIAQLIVNPEIQSRLYDEIKSTVGDREVEEKDVDKMVFLQAVVKEILRKHPPTYFTLTHSVTEPTTVGGYDVPVGINVEFYLPGINEDPKLWSDPKKFNPDRFIAGKEEADITGVTGVKMMPFGIGRRICPGLAMATVHVHLMLAKMVQEFEWSAYPAGSEIDFAGKLEFTVVMKKPLRAMVKPRV >fgenesh2_kg.3__1094__AT3G10572.1 pep chromosome:v.1.0:3:4241187:4243319:1 gene:fgenesh2_kg.3__1094__AT3G10572.1 transcript:fgenesh2_kg.3__1094__AT3G10572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATDIWGEIERSESYLVCSMYEEAESLSSSTLKIIFGNIDVLSEGEHQFHDILESAGMVLVQSLHGISRTVEIVNELRDVFGEVAAIPVQVLLTGICLQISNGSYSGVCEILEEFFSIWVYKDSHYILNDAGVSAKGFHEKNCLDIDEYMEVVELYTFGVLAKVSNDIGLAISWVEKAALPEERRQGILRRLHSLLSFKTANVPDASSFEENSKDSSYAVVNNKKSLANEKKDKIDSVLKLSKQHEPWSLWSSHPLSLKVGNTQFSMSRGKFAISLVGLIICYALKRKRAALIRIIRRQMESTRKAIVDFWKLAFSYQVNPLAAIQSIPSTTT >fgenesh2_kg.3__1096__AT3G10610.1 pep chromosome:v.1.0:3:4261204:4262334:1 gene:fgenesh2_kg.3__1096__AT3G10610.1 transcript:fgenesh2_kg.3__1096__AT3G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S17 [Source:UniProtKB/TrEMBL;Acc:D7L915] MGRVRTKTVKKSSRQVIEKYYSRMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKTDDVKVDKETLEMLASLGMSDTRGISQVETQQAMAPAVFGRPARRY >fgenesh2_kg.3__1097__AT3G10630.1 pep chromosome:v.1.0:3:4263957:4265466:-1 gene:fgenesh2_kg.3__1097__AT3G10630.1 transcript:fgenesh2_kg.3__1097__AT3G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7L917] MDQPPDRSKRPWKFSTLVYSSSILFLLLSIFLFGFTNTNLYKVQSLRFTFTANRIYSYLQFLLGFHDGTPKSKSETLDPASSTSHCVLWMAPFLSSGGYSSEAWSYILSLHNHLTNPRFRITIEHHGDLESVEFWNGLAKETKELAIEMYRTQCRPNETIVVCHSEPGAWYPPLFETLPCPPTGYEDFLSVIGRTMFETDRVNPEHVKRCNQMDHVWVPTEFHVSSFVQSGVDSSKVVKIVQPVDVGFFDPLKYEPLDLMAVGDLVLGSGMKNAGLGFVFLSVFKWEQRKGWDVLLKAYLREFSGKDNVALFLLTNAYHSDSDFGNKILDFVEELNIQELRNGYPFVYVIDKHIAQVDLPRLYKAADAFVLPTRGEGWGRPIVEAMAMSLPVIATNWSGPTEYLTERNGYPLVVEEMSEVKEGPFEGHQWAEPSVDKLRVLMRHVMSNLDEAKVKGNRGRDDMIQKFAPEVVAKVVADQIERIFDEKIRT >fgenesh2_kg.3__1099__AT3G10640.1 pep chromosome:v.1.0:3:4265605:4267358:-1 gene:fgenesh2_kg.3__1099__AT3G10640.1 transcript:fgenesh2_kg.3__1099__AT3G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:UniProtKB/TrEMBL;Acc:D7L918] MRRVFGAKKNTEPPPSIQDASDRINKRGDSVEDKIKKLDLELCRYKEQLKKTRPGPAQEAVKARAMRVLKQKKMYEGQRDMLYNQTFNLDQVSFAAEGLKDAQQTMTALKSANKDLKGMMKTVKIQDIDNLQDEMMDLMDVSSEIQETLGRSYNVPDGLDEDDLMGELDALEADMGNETEADGMPSYLQPDTETDYDNELNLPAAPTGRNGASQGRVQAEDEFGLPAVPRASLRG >fgenesh2_kg.3__1101__AT3G10660.1 pep chromosome:v.1.0:3:4273824:4276758:-1 gene:fgenesh2_kg.3__1101__AT3G10660.1 transcript:fgenesh2_kg.3__1101__AT3G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-domain protein kinase CDPK isoform 2 [Source:UniProtKB/TrEMBL;Acc:D7L920] MGNACVGPNISGNGFLQTVTAAMWRPRIGVEQASSSSSHNGQVAKETASEPAADQVQNKPPEPITMPNPRTNPETKPKPDLEIQPEQKVLPEEIKPKVLPEESKQEVLPEESKREVVVQPETAKPETKSESKQETTKPETTSETKPETKPEPQKPKHMRRVSSAGLRTESVLQRKTENFKEFYSLGRKLGQGQFGTTFLCLEKGTGNEYACKSISKRKLLTDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDVVAVHLVMELCSGGELFDRIIQRGHYTERKAAELARTIVGVLEACHSLGVMHRDLKPENFLFVSKEEDSLLKTIDFGLSMFFKPDEVFTDVVGSPYYVAPEVLRKRYGPESDVWSAGVIVYILLSGVPPFWAETEQGIFEQVLHGDLDFSSDPWPSISESAKDLVRKMLVRDPKRRLTAHQVLCHPWVQIDGVAPDKPLDSAVLSRMKQFSAMNKFKKMALRVIAESLSEEEIAGLKEMFKMIDADNSGQITFEELKAGLKRVGANLKESEILDLMQAADVDNSGTIDYKEFIAATLHLNKIEREDHLFAAFSYFDKDESGFITPDELQQACEEFGVEDARIEEMMRDVDQDKDGRIDYNEFVAMMQKGSIMGGPVKMGLENSISISLKH >fgenesh2_kg.3__1102__AT3G10670.1 pep chromosome:v.1.0:3:4277523:4280513:-1 gene:fgenesh2_kg.3__1102__AT3G10670.1 transcript:fgenesh2_kg.3__1102__AT3G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATNAP7 [Source:UniProtKB/TrEMBL;Acc:D7L921] MAGVNLQLRQAYSIAQFVPTVSSPPPLHTQRVRLGTSPSRVLLCNLRANSAAAPILRTTRRSVTVSASSVSSSVDSDSLVEERDDMGRIPLLEVRDLRAVIAESRQEILKGVNLVIYEGEVHAVMGKNGSGKSTFSKVLVGHPDYEVTGGSIVFKGQNLLDMEPEDRSLAGLFMSFQSPVEIPGVSNMDFLNMAFNARKRKLGQPELDPIQFYSHLVSKLEVVNMKTDFLNRNVNEGFSGGERKRNEILQLAVLGAELAILDEIDSGLDVDALQDVAKAVNGLLTPKNSVLMITHYQRLLDYIKPTLIHIMENGRIIKTGDNSLAKLLEKEGYKAISG >fgenesh2_kg.3__1104__AT3G10690.1 pep chromosome:v.1.0:3:4282505:4289785:-1 gene:fgenesh2_kg.3__1104__AT3G10690.1 transcript:fgenesh2_kg.3__1104__AT3G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA gyrase subunit A family protein [Source:UniProtKB/TrEMBL;Acc:D7L923] MTPLLCHSTASIPNPNSFMSLSSTLRLSSSFLRRSFFRFPLTDPLCRLRRTEPSASRFFSSRTPRSGQFVVGASKRADEQFKEESGANNGGLVVSGDESRIVPFELHKEATESYMAYALSVLLGRALPDVRDGLKPVHRRILFAMHELGMSSKKPYKKCARVVGEVLGKFHPHGDTAVYDSLVRMAQSFSLRCPLIQGHGNFGSIDADPPAAMRYTECRLDPLAEAVLLSDLDQDTVDFVANFDNSQKEPAVLPARLPALLLNGASGIAVGMATNIPPHNLGELVDVLCALIHNPEATLQELLEYMPAPDFPTGGIIMGNLGVLDAYRTGRGRVVVRGKAEVELLDPKTKRNAVIITEIPYQTNKATLVQKIAELVENKTLEGISDIRDESDRNGMRVVIELKRGGDPALVLNNLYRHTALQSSFSCNMVGICDGEPKLMGLKELLQAFIDFRCSVVERRARFKLSHAQQRKHNIEGIVVGLDNVDEVIKLIKNASSHSSASAALQSEYGLSEKQAEAILEITLRRLTTLERKKVTDESSSLTEQITKLEQLLSTRANILKLIEQEAIELKDRFSSPRRSMLEDSDSGDLEDIDVIPNEEMLMAISEKGYVKKMKADTFNLQHRGTIGKSVGKLRVDDAMSDFLVCHAHDHVLFFSDRGIVYSTRAYKIPECSRNAAGTPLVQILSMSEGERVTSIVPVSEFAEDRYLLMLTVNGCIKKVSLKLFSGIRSTGIIAIQLNSGDELKWVRCCSSDDLVAMASQNGLVVLSTCDGVRTLSRNTKGVTAMRLKNEDKIASMDIIPASLRKDMEEKSEDASIVKQSTGPWLLFVCENGYGKRVPLSSFRRSRLNRVGLSGYKFAEDDRLAAVFVVGYSLAEDGESDEQVVLVSQSGTVNRIKVRDISIQSRRARGVILMRLDHAGKIQSASLISAADEEETEGTPTNETLEAVSL >fgenesh2_kg.3__1105__AT3G10700.1 pep chromosome:v.1.0:3:4290219:4294530:-1 gene:fgenesh2_kg.3__1105__AT3G10700.1 transcript:fgenesh2_kg.3__1105__AT3G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GHMP kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L924] MSWPTDSELISIKEAVAQMSGRDKGEVRVVVAPYRICPLGAHIDHQGGTVSAMTINKGILLGFVPSGDTQVQLRSAQFEGEVCFRVDEIQHPIGLANKNGASTPSPSKEKSIWGTYARGAVYALQTSKKNLKQGIVGYLSGSNGLDSSGLSSSAAVGVAYLLALENANELTVSPTENIEYDRLIENRYLGLRNGILDQSAILLSSYGCLTYMDCKTMDHELVQAPELEKPFKILLAFSGLRQALTTNPGYNLRVSECQEAAKVLLTASGNSELEPTLCNVEHAVYEAHKHELKPVLAKRAEHYFSENMRVIKGREAWASGNLEEFGKLISASGLSSIENYECGAEPLIQLYKILLKAPGVYGARFSGAGFRGCCLAFVDAEKAEAAASYVKDEYEKAQPEFAKNLNGGKPVLICEAGDAARVLL >fgenesh2_kg.3__1108__AT3G10720.2 pep chromosome:v.1.0:3:4298673:4301577:-1 gene:fgenesh2_kg.3__1108__AT3G10720.2 transcript:fgenesh2_kg.3__1108__AT3G10720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7L926] MQRLNFSSSLLFLSFFFFSWALLISSQQSPSQPPSDPPSPLPFEPPSQLPFEPPVESPFLPPSQPPIFVPPSQPPSLPPSQSQSPSLACKSTPYPKLCRTILNAVKSSPSDPYRYGKFTIKQCLKQASRLSKVITSYALRVKSKPGSATAEEIGALADCGELSELSVNYLETVTTELKTAQVMTAALVEHVNSLLSGVVTNQQTCLDGLAEAKSGFAAAIGSPMGNLTRLYSISLGLVSHALNRNLKRYKAAKGKILGGANSTYREPLETLIKGLRKTCDNDKDCRKASRNLGELGETSGGSILVSKAVIVGPYKSDNFTTITDAIAAAPNNTRPEDGYFVIYAREGVYEEYIVVPINKKNLMLIGDGINKTIITGNHNVVDGWTTYNCSSFAVVGERFMAVDVTFRNTAGPEKHQAVALRNNAEGSSFYRCSFEGYQDTLYVHSLRQFYRECDIYGTIDFIFGNAAAIFQNCNIYARKPMDKQKNAITAHGRIDPNQNTGISIINCTIKAAPDLAAEPKSAMTFLGRPWKPYSRTVFMQSYISDVVQPVGWLEWNGTTGLDTIYYGEYDNFGPGANTNQRVQWLGYNLLNLAEAMNFTVYNFTMGDTWLPQTDIPFYGGLLRKE >fgenesh2_kg.3__1109__AT3G10730.1 pep chromosome:v.1.0:3:4302387:4304552:-1 gene:fgenesh2_kg.3__1109__AT3G10730.1 transcript:fgenesh2_kg.3__1109__AT3G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTVSITASPTRAIRRTPVLSGENKSNFDFPPSESHANAAIGESSAGTNKDLIRSEAAAERSNTYDVGPVTRKSGSTATGTNTTTTQRRTRKSQGNKTDKGQWKTVVRVFAKQFGALLLLVGLIQLIRKLTLKDSSLSSSNFPIETEMVLSELESRISAVDGLVKTTTKMMQVQVEFLDKKMESESRALRQTIDSTSSVLQSGLKKVESKTERLQVSVDELNAKPLVSREELERVYEELKKGKVGDSDVNIDELRAYARDVVEKEIGKHAADGLGRVDYALASGGAFVMGHSDPFLVGSGGNWFGTSRRRVHSKAVKMLTPSFGEPGQCFPLKGSNGYVLVRLRAPIIPEAVTLEHVSEAVAYDRSSAPKDCRVSGWLGDIDMETETMPILTEFSYDLDRSNAQTFDIAESAHSGLVNTVRLDFNSNHGSSSHTCIYRFRVHGCQLDSVSVVHA >fgenesh2_kg.3__110__AT3G01200.1 pep chromosome:v.1.0:3:356126:357900:1 gene:fgenesh2_kg.3__110__AT3G01200.1 transcript:fgenesh2_kg.3__110__AT3G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDGVSSGHLEANSEPPIPTGSSSPKLKISPKLNRWSMGRALRSGGKLDRPINRSDDTHRRQVTEAKQTEEAGKKTSAIDVEITAGKSIYLVSDGTGWTAEHSVNAALGQFEDFSVNRGSSVNTHLFSWVEDEERLIEIIKQAAKEGAMCFYTLANPSMAKSAKQACDQLGVLSVDILGPIIEGIASHLGVSPSGLTRGAPGRVKTLNDAYFKRIEAIEFTIKQDDGTLPENLSKADIVLVGVSRTGKTPLSTYIAQKGYKVANVPFVMGVEPPKTLFEVEPRKVFGLKIQLVVLQAIRRTRAKTLGVDTEAENNYSGIDLVRKELDFASRIYAANPGWAVIDVTNKAIEETAAVILRLYHDGRDTSTTVPRISKRY >fgenesh2_kg.3__1110__AT3G10740.1 pep chromosome:v.1.0:3:4304991:4309417:-1 gene:fgenesh2_kg.3__1110__AT3G10740.1 transcript:fgenesh2_kg.3__1110__AT3G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-arabinofuranosidase [Source:UniProtKB/TrEMBL;Acc:D7L9Q2] MESWKLLRSVCLLSFLLGSCFVYQSLRVVDAQEDPKPAVTLQVDASNGAGRPIPDTLFGIFFEEINHAGAGGLWAELVSNRGFEAGGQNTPSNIWPWSIVGDHSSIYVATDRSSCFERNKIALRMDVLCDSKDCPSGGVGVYNPGYWGMNIEEGKKYKVALYVRSTGDIDVSVSLTSSNGSLTLASEKIIASASDVSKWIKKEVLLEAKSTDPSARLQLTTTKKGSIWIDQVSAMPVDTYKGHGFRNDLFQMMVDIKPRFIRFPGGCFVEGEWLSNAFRWKETVGPWEERPGHFGDVWKYWTDDGLGHFEFFQMAEDIGAAPIWVFNNGISHNDEVETASIMPFVQEALDGIEFARGDANSTWGSVRAKMGRQEPFELKYVAIGNEDCGKTYYRGNYIVFYNAIKKAYPDIKIISNCDGSSHPLDHPADYYDYHIYTSASNLFSMYHQFDRTSRSGPKAFVSEYAVTGKDAGTGSLLASLAEAAFLIGLEKNSDIVEMASYAPLFVNTNDRRWNPDAIVFNSSHLYGTPSYWVQRFFAESSGATLLTSTLKGNSTSLVASAISWENNGKDYIRIKAVNFGANSVNMKVLVTGLDPNVMRVTGSKKTVLTSTNVMDENSFSQPEKVVPHESLLEMAEEDMTVVLPPHSFSSFDLLKESAKIKMPISDSSSHQKTTTV >fgenesh2_kg.3__1116__AT3G10800.1 pep chromosome:v.1.0:3:4337804:4340115:1 gene:fgenesh2_kg.3__1116__AT3G10800.1 transcript:fgenesh2_kg.3__1116__AT3G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7L9R1] MTESTFVIAPPPEIPNLNPSMFSESDMISIPPLDPLFLSDSDPISMDAPISDLDFLLDDENGDFADFDFSFENSDDFFDFDLAEPAVVIPEEIGNSRSNLDSSENRSGDGGLEGRSDSVHSQVSSQGSKTFVSDTVDALSSPESSNHQKSSVSKRKNENGDSSGELRSCKYQKSDDKSVATNNEGDDDDKRKLIRQIRNRESAQLSRLRKKQQTEELERKVKSMNATIAELNGKIAYVMAENVALRQQMAVASGAPPMNPYMAAPPLPYQWMPYPPYPVRGYGSQTPLVPIPKLNPKPVSSCRPKKADSKKNEGKSKLKKVASISFIGILFFMFLFGTLVPFMNVNYGGEGGSFGGLSKYDGHRYYDEHKGKVLMVGDGSDVRRESGISEGNIHSSRIIHGERDSCGGVDYNGHPKVEGRSSSLSNASDPLFASLYVPRNDGLVKIDGNLIIHSVLASEKATALGKKNITETVKSKEPDLTMPGALSSAIAVPEVRGNAAMLPHSTALSSEGKRLHQWFHEGGSGTLMDYSMCTEVFQFDIAPGAIVPSSVSNISAEHLQNVTTHGKRMKNRRILEGLPVSLVASELNITGTQPNKDAQNKTFHGNTNKPTSSSSMVVSVLLDPREVVDSENDRVVPPNPKSLSRIFVVVLLDSVKYVTYSCVLPRSGLHLVAT >fgenesh2_kg.3__1122__AT3G10870.1 pep chromosome:v.1.0:3:4356839:4358187:-1 gene:fgenesh2_kg.3__1122__AT3G10870.1 transcript:fgenesh2_kg.3__1122__AT3G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENQEETVDLKPGRKPPHFVLIHGMSLGSWCWYKIKCLMEVSGFTVTCIDLKSSGIDFSSADSLTTFDQYNQPLIDFLSSFPEQEQVILVGHSAGGLSVTSAIQRFPKKICLAVFIGASMLKYGLQTDEDMKNGVPDLSEHGDVYELGFGLGPENPPTSAIIKHEFRRKLLYHMSPQQECSLAALMMRPAPILALTTAKLDEEKETGQEEQVPRVYIKTLLDRVMKPEQQDAMIRRWPPSQVYELESDHSPFFSNPFVLFGLLIKAAVSVGSI >fgenesh2_kg.3__1125__AT3G10900.1 pep chromosome:v.1.0:3:4368816:4370614:-1 gene:fgenesh2_kg.3__1125__AT3G10900.1 transcript:fgenesh2_kg.3__1125__AT3G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLCFIVSLAIVTAQSYVGVEAASSNGFVSRNGVQFILNGKPFYANGFNAYWLAYEATDPATRFMITNVFQNATSHGLTIARTWGFRDGALYRALQTAPGSYDEQTFQVLDFVIAEAKRVGIKLIIPLVNNWDDYGGKKTIQTHLLNSSTSTTSRVNTFTKVAYKDEPAIMAWQLMNEPRCGSDRSGKTLMAWINEMAPYVKSVDPNHLLSTGHEGFYGDSSPQRKNTLNPVSANRVGADFIANHNIDAIDFASMHCGTDLWLPKLNQNSRLEFIRRWLQGHIEDAQNILKKPLILAEFGLGTDTPGYTLANRDAVFTTTYDIIYASAQKGGPAVGALFWELISDGMSNFAGPSSIILSDKSSTVNIISEQSRKLGLIGGK >fgenesh2_kg.3__1128__AT3G10910.1 pep chromosome:v.1.0:3:4379012:4380032:-1 gene:fgenesh2_kg.3__1128__AT3G10910.1 transcript:fgenesh2_kg.3__1128__AT3G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7L9S3] MGRLLLEPQANAPADANPKPKGGINDTYFDTNMVIILAALLCALICALSLNSALRCVLRITRRFTSDDQVANASNANANSRRLASATGLKKQALKQIPVGLYGSGIIDMKATECLICLGDFEDGEKVRVLPKCNHGFHVRCIDTWLLSRSSCPTCRQSILLDEQPSPMAVSRRDDDMVVSIV >fgenesh2_kg.3__112__AT3G01180.1 pep chromosome:v.1.0:3:361834:365405:1 gene:fgenesh2_kg.3__112__AT3G01180.1 transcript:fgenesh2_kg.3__112__AT3G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:D7LAI3] MASVAESSFPLLCQIKTQRRVVTSSTLRNSSLVRVSYPELPSGSLSFRCRSFVYGHRWKCVRRVEATGSDSSESASGGDEPEDALQATIEKSKKVLAMQRNLLQQIAERRKLVSSIKESTPNLDDGKASSKEEYGSSVIANTDATKKETMDGDGNRSVSPSSYGKSSLNKEPEAKSLSPSTESLKNSKQSSASVTSPEKPSDVAANGKPWSSVVASSLDPPYKPSSVVTSPEKTSPEKPSKSRAGAFWSDPLPSYLTKAPETSSMKTEEYVETREEKTPEVASSETNEPGKDEEKPPPLAGANVMNVILVAAECAPFSKTGGLGDVAGALPKALARRGHRVMVVVPRYAEYAEAKDLGVRKRYKVAGQDMEVMYFHAYIDGVDFVFIDSPVFRHLSNNIYGGNRLDILKRMVLFCKAAVEVPWYVPCGGVCYGDGNLAFIANDWHTALLPVYLKAYYRDHGIMKYTRSVLVIHNIAHQGRGPVDDFSYVDLPNHYLDSFKLYDPVGGEHFNIFAAGLKAADRVLTVSHGYSWEVKTLEGGWGLHNIINENDWKFRGIVNGIDTQEWNPKFDTYLHSDDYTNYSLENLHIGKPQCKAALQKELGLPVRPDVPLIGFIGRLDHQKGVDLIAEAVPWMMSQDVQLVMLGSGRPDLEEVLRQMEHQYRDKARGWVGFSVKTAHRITAGADILLMPSRFEPCGLNQLYAMNYGTIPVVHAVGGLRDTVQQFDPYSETGLGWTFDSAEAGKLIHALGNCLLTYREYKESWEGLQRRGMTQDLSWDNAAEKYEEVLVAAKYHW >fgenesh2_kg.3__1134__AT3G10920.1 pep chromosome:v.1.0:3:4384234:4386208:1 gene:fgenesh2_kg.3__1134__AT3G10920.1 transcript:fgenesh2_kg.3__1134__AT3G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Source:UniProtKB/TrEMBL;Acc:D7L9S5] MAIRCVASRKTLAGLKETSSRLLGFRGVQTFTLPDLPYDYGALEPAISGEIMQIHHQKHHQAYVTNYNNALEQLDQAVNKGDASTVVKLQSAIKFNGGGHVNHSIFWKNLAPVQEGGGEPPKGSLGSAIDTHFGSPEGLVKKMSAEGAAVQGSGWVWLGLDKELKKLVVDTTANQDPLVTKGGSLVPLVGIDVWEHAYYLQYKNVRPEYLKNVWKVINWKYASEVYEKECN >fgenesh2_kg.3__1135__AT3G10930.1 pep chromosome:v.1.0:3:4386578:4387125:-1 gene:fgenesh2_kg.3__1135__AT3G10930.1 transcript:fgenesh2_kg.3__1135__AT3G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYRSLLLIILLFISVSLSTARILPGEYLLFLSRRRWVAEEQETKTEYSSFVPEVVAGKFGSLVLNALPKGNRPGSGPSKKTNDVKT >fgenesh2_kg.3__1136__AT3G10940.1 pep chromosome:v.1.0:3:4389068:4390517:-1 gene:fgenesh2_kg.3__1136__AT3G10940.1 transcript:fgenesh2_kg.3__1136__AT3G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIGTKSCIFSVTIYSRGNEISSCFTSINKKSSIDLRFPRNLAGVSCKISGENPRTNGVSLSSKNKMEDYNTAMKRLMRSPYEYHHDLGMNYTLIRDELIVGSQPQKPEDIDHLKQEQNVAYILNLQQDKDIDYWGIDLDSIVRRSKELGIRHMRRPAKDFDPLSLRSQLPKAVSSLEWAVSEGKGRVYVHCSAGLGRAPGVSIAYMYWFCDMNLNTAYDNLVSKRPCGPNKGAIRGATYDLAKNDPWKEPFESLPENAFEDIADWERKLIQERVRALRGT >fgenesh2_kg.3__1138__AT3G10960.1 pep chromosome:v.1.0:3:4391882:4394071:-1 gene:fgenesh2_kg.3__1138__AT3G10960.1 transcript:fgenesh2_kg.3__1138__AT3G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:UniProtKB/TrEMBL;Acc:D7L9S9] MEQQQQLPSTTTRPKPKLLNRLNTYVGSSRVGKRFKLAERNSTFTTELRAGTATFLTMAYILAVNASILSDSGGTCSVSDCIPLCSNPTIQPSQCTGPGLRLIQPDVSCKFNPVNPGYATCVEGIRKDLIVATVAASLIGCVIMGLMANLPLALAPGMGTNAYFAYTVVGFHGSGSISYRTALAAVFIEGLIFLFISAIGFRAKLAKLVPKPVRISSSAGIGLFLAFIGLQNNQGIGLVGYSPSTLVTLAACPTSSRISLAPVITSANGTVSLLVGGSVSGDIMCLHGRMESPTFWLGIVGFVIIAYCLVKNVKGAMIYGIVFVTAVSWFRNTEVTAFPNTSAGDAAHDYFKKIVDVHVIKHTAGALSFSGINKGHFWEALVTFLYVDILDTTGTLYSMARFAGFVDEKGDFAGQYFAFMSDASAIVIGSLLGTSPVTVFIESSTGIREGGRTGLTAITVAVYFFLAMFFTPLLASIPAWAVGPPLILVGVMMMKSVTEINWEDMREAIPAFVTMILMPLTYSVAYGLIGGIGSYVVLHLWDWGEEGLVKLGFLKKKVEEEEEEEDNNGVVKASEIDTNV >fgenesh2_kg.3__113__AT3G01175.1 pep chromosome:v.1.0:3:366892:368297:-1 gene:fgenesh2_kg.3__113__AT3G01175.1 transcript:fgenesh2_kg.3__113__AT3G01175.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LAI4] MAVLSACWLMIESLVIQDGFLKLSYMKLYMHPLVLFLCQLLIWLYLFFLWLLTPFQAFYNTFSSVLVTFSKLVSSFLRVIMVRKEVTCSVESRQEIKNTLDNLELSRNTHNPVPAFSFSFKYQVDSQLKVRLSLQKGKILEEEDVDDCFYEFDEEDVGELLDAKEGEEEEDIDIVDAVNMEKNLGFEIQTMPIHQEDENSLADDNEEEQEEIVNSDVENDLSSLVSSDREHPSPLSIASLDSVLQDSVVIENVYKIYCERMRWYDILSRDRSYGLSVITNQLTASSLSLWGKPAEKRIKQSMKKDLELVYVAQSCLSWEALQHQYITVRDSSNLADSRGRFYDDDISREFQNFQVLLERFLEDERCEGKRVLSFVQRRFELISFLQVPRLSGK >fgenesh2_kg.3__1143__AT3G10980.1 pep chromosome:v.1.0:3:4407398:4409520:1 gene:fgenesh2_kg.3__1143__AT3G10980.1 transcript:fgenesh2_kg.3__1143__AT3G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNCDGNLKAEIEESNGSSGKKTRVPPCPLDVSTSRRTLIGHGKPRRWSISALPDASSRFQLLKFGSPSAKFKKMAEDRDEVSRSVKSSSSGSSHNFRERISGVLHRKIDWSSLMKMGKEWIRNPINMALFVWILVVAVSGAILFMVMTGMLNHALPKKSQRDAWFEVNNQILNGLFTLMCLYQHPKRFYHLVLLCRWKQDDITKLRKAYCKDGTYKPNEWMHIMVVVLLLHLNCFAQYALCGLNVGFRRSERPPIGVAICISAAIGAPAVAGLYTILSPLGKDYDDSNEDEENQLQQREEGSVNRRFTLERRYSFASASTGVGDGMVPVSDPQWSGGILDIWDDISLAYLSLFCTFCVFGWNMERVGFGNMYVHIATFILFCLAPFFIFNLAAVNIDNETVREALGISGILLCVFGLLYGGFWRIQMRKRFKLPSYKFCFGRAAIADCALWLCCCWCSLAQEVRTANAYEIVEDKFCQRKEEKNLASPNLVSPLPREDGVFDPRFGLGSSPKNISGASSPSPSRFWKEVHSPNIQTPREKEEGKSEVALTPPSPLSIHREA >fgenesh2_kg.3__1144__AT4G36925.1 pep chromosome:v.1.0:3:4413817:4414211:-1 gene:fgenesh2_kg.3__1144__AT4G36925.1 transcript:fgenesh2_kg.3__1144__AT4G36925.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L9T2] HIYVLSNSPVGGKSLFAGNESFFGVCGLAVASCLDMLHFCRLSLVAEDIVAKTALSLKNALLLAWNEDSLILQLFLDSQFIVIPFKSLEVTVELGRILLVLPPIYPLASHGISGGYEKTGE >fgenesh2_kg.3__1146__AT3G10990.1 pep chromosome:v.1.0:3:4420895:4421474:-1 gene:fgenesh2_kg.3__1146__AT3G10990.1 transcript:fgenesh2_kg.3__1146__AT3G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L9T5] MIQCCKPHVPHGSEICINGVLYYTTVEKESLMVTTVVCFDISSEKFSFMKVTETFNRDLPRSTTMINYNGKLGLLMAEDFELRVLEDAGKHEWSTHVYMLPPLWKNLVGEETNLRFLGLKGTNEIVLSCKYPSTFMPSYVFYYNIERNTIRRLQIQGMEEFNGKRCYIYLNHVENIKLIQAY >fgenesh2_kg.3__1147__AT3G11000.1 pep chromosome:v.1.0:3:4428170:4431061:1 gene:fgenesh2_kg.3__1147__AT3G11000.1 transcript:fgenesh2_kg.3__1147__AT3G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRNLKKGDLCGVIFGCKFSTIKECYAKNLFGLPAPHMAYIKNIDPGLTLFLFNYSDRTLHGIFEAASEGKLNIDSKAWSPNGTDPTPYPAQVKVRVRVRCEPLPEEKFSPVISENYKDEKMFWFELDRGQTNKLLRLFKPSPSVRAPPSISRDAVPPPRKPIPTSSLAQIGDLGATRVDKWSSLFKSSDESTENKEKDSKEGALGAGSRLVNLGKTKEWETASNNADERRTQPSVSQSGTSYSSALKNMTASSTLEKKTSLTNEVSSQACKGVENHWTSASRVPSFRQDSGTFRNASKEGDDVKVNAYHHQQNLHPTQKGTSTTANNRIGTSISKEDPAEDTYSVIDWDAASSFQVHLDGLNKILEDPKDKDCFKSFAGNTGQASSSVVPNCWEDDFEERSIAKSPCGSSYVSAATGDVVDDIGRMDNFKVEIGSPTVVDILTELLAEVKELKHTQMKQAERMITLEMEQLESRREILRLKGSNGSL >fgenesh2_kg.3__1148__AT3G11020.1 pep chromosome:v.1.0:3:4433906:4435835:1 gene:fgenesh2_kg.3__1148__AT3G11020.1 transcript:fgenesh2_kg.3__1148__AT3G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DRE-binding protein 2B [Source:UniProtKB/TrEMBL;Acc:D7L9T7] MAVYEKTGTDTPKKRKSRARADGSTVADRLKKWKEYNEILEVSAIKEGEKPKRKVPAKGSKKGCMKGKGGPDNSHCSFRGVRQRIWGKWVAEIREPNRGQRLWLGTFPTAEEAAAAYDEAASVMYGPLARLNFPQSVGSELTSTSSQSEVCTVENKAVLCGDVCVKHEDIDCESKPCSQILDVREESCVTRPDSCAVGHHDTNSVLNYDLLLEFEQQYWSETLQEKEKPKQEEEEIQQKKRRYSNINSNISNRICLLLQIMILWDPNELFDIDELLGDMNGGMLPGPDQSQDPNHVNSGSYDLHPLHLEPHDGHEFDGLSTLDL >fgenesh2_kg.3__1149__AT3G11030.1 pep chromosome:v.1.0:3:4435904:4437959:-1 gene:fgenesh2_kg.3__1149__AT3G11030.1 transcript:fgenesh2_kg.3__1149__AT3G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Steroid hormone receptor/ transcription factor [Source:UniProtKB/TrEMBL;Acc:D7L9T8] MTSALLSPSHRRKRRLTHFFFTVLAFILLAAFIYGHHFISFSRRSLHSPTIVHQSAIVVVVDEPPPPPPPSPPPPSPPPPSPPPPSPPPPAFALGKTPEGCDVFKGNWVKDWSTRPFYRESECPYIQPQLTCRTHGRPDSDYQSWRWQPESCSLPSFNATVMLESLRGKKMMFVGDSLNRGMYVSLICLLHSQIPENSKSMDTFGSLTVFSLKDYNATIEFYWAPFLLESNSDNATVHRVSDRIVRKGSINKHGRHWRGADIVVFNTYLWWRTGFKMKILEGSFKDENKKIVEMESEDAYRMALKTMVKWVKKNMDPSKTRVFFATMSPTHYKSEDWGGEHGKNCYNQTTPIQDMNHWPSDCSKTLMKVIGEELDHRADFPVTVLNITQLSGYRKDAHTSIYKKQWSPLTKEQLANPASYSDCIHWCLPGLQDIWNELFFAKLFYP >fgenesh2_kg.3__1150__AT3G11050.1 pep chromosome:v.1.0:3:4443555:4445446:1 gene:fgenesh2_kg.3__1150__AT3G11050.1 transcript:fgenesh2_kg.3__1150__AT3G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin [Source:UniProtKB/TrEMBL;Acc:D7L9U0] MLLKASPALSLLSSTGGGNLFPPSRNSSNLLFSPSGSRFSVQAAKGTNTKSLTGVVFEPFEEVKKELELVPTTPFVSLARHKFSDDSESAINDQINVEYNVSYVYHALYAYFDRDNVGLKGFAKFFNDSSLEERGHAEKFMEYQNMRGGRVKLQSILMPVSEFDHEEKGDALHAMELALSLEKLTNEKLLKLQSVGVKNNDVQLVDFVESEFLGEQVEAIKKISEYVAQLRRIGKGHGVWHFDQMLLNEV >fgenesh2_kg.3__1152__AT3G11090.1 pep chromosome:v.1.0:3:4455834:4456325:1 gene:fgenesh2_kg.3__1152__AT3G11090.1 transcript:fgenesh2_kg.3__1152__AT3G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain family protein [Source:UniProtKB/TrEMBL;Acc:D7L9U4] MRGHEPRSSSSCAACKLLKRRCTPTCIFAPYFRSSDLITFAKVHKVFGASNVSKLLGEVPEEQRQETVNSLAYEAEVRLKDPVYGCIGAIASLQKKMLELQHDLAVARTRLLAHSGVTNQVSPLDDSPELAAFLDLVPYSDLMLLDGSSLDAYLYDLGQPPFV >fgenesh2_kg.3__1155__AT3G11130.1 pep chromosome:v.1.0:3:4462650:4472143:-1 gene:fgenesh2_kg.3__1155__AT3G11130.1 transcript:fgenesh2_kg.3__1155__AT3G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin heavy chain [Source:UniProtKB/TrEMBL;Acc:D7L9U7] MAAANAPIIMKEVLTLPSVGIGQQFITFTNVTMESDKYICVRETAPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQVPGTTQDHLQIFNIEAKAKLKSHQMPEQVAFWKWITPKMLGLVTQTSVYHWSIEGDSEPVKMFDRTANLANNQIINYKCSPNEKWLVLIGIAPGSPERPQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSILISFASKSFNAGQITSKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVAMQVSHKFNLIYVITKLGLLFVYDLETASAIYRNRISPDPIFLTSEASSLGGFYAINRRGQVLLATVNEATIIPFISGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNTYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYMFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRVAQLCEKAGLYIQSLKHYSELPDIKRVIVNTHAIEPQALVEFFGTLSSEWAMECMKDLLLVNLRGNLQIIVQACKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSMSEDPEIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSKVVGKYCEKRDPTLAVVAYRRGQCDEELINVTNKNSLFKLQARYVVERMDGDLWEKVLTEENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVDAQLYEEAFAIFKKFNLNVQAVNVLLDNVRSIERAVEFAFRVEEDAVWSQVAKAQLRDGLVSDAIESFIRADDATQFLEVIRASEDTNVYDDLVRYLLMVRQKVKEPKVDSELIYAYAKIERLGEIEEFILMPNVANLQNVGDRLYDEALYEAAKIIYAFISNWAKLAVTLVKLQQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRYEKLMEHIKLFSTRLNIPKLIRACDEQQHWQELTYLYIQYDEFDNAATTVMNHSPEAWEHMQFKDIVAKVANVELYYKAVHFYLQEHPDIINDLLNVLALRLDHTRVVDIMRKAGHLRLIKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDSFDQIGLAQKIEKHELVEMRRVAAYIYKKAGRWKQSIALSKKDNMYKDCMETASQSGDHDLAEQLLVYFIEQGKKECFATCLFVCYDLIRPDVALELAWINNMIDFAFPYLLQFIREYSGKVDELIKDKLEAQKEVKAKEQEEKDVMSQQNMYAQLLPLALPAPPMPGMGGGGYGPPPPMGGMPGMPPMPPYGMPPMGGY >fgenesh2_kg.3__1156__AT3G11150.1 pep chromosome:v.1.0:3:4473468:4476513:-1 gene:fgenesh2_kg.3__1156__AT3G11150.1 transcript:fgenesh2_kg.3__1156__AT3G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAHLSHHQPSTKHGGATAAPPPTPSCSHLNTTSKSETAVDALSSLFNRLPPLLSLPNRRFSDSASSIASLPLVSLSAGDRRSWDDLISAAVTDLGYFQLTNDDSDTVFPHGLAEAAESDSLSLLELSEEKIESSFPKNWPLGYEADAETPSFCLDADCSTESSELNLSSLREFTRTLEKVGLKTVEMLANALGFGYDMTRFNTLMWVNQGVPDDEPEITNGFYPFVVCLQYQIREQRYCLLTESGWVSVLPRVDSVLVTLGDIAQVWRNGEVKRVKYRPVLSSGQNDGPKKCVTMTLMLTLPMDSMVSPLKDMISDGDKEEEYAEEEEEDGGARSDDKKAFRSFRFEEYAWRVYQERLFFRDPLDRYRIKS >fgenesh2_kg.3__1157__AT3G11170.1 pep chromosome:v.1.0:3:4483195:4485719:1 gene:fgenesh2_kg.3__1157__AT3G11170.1 transcript:fgenesh2_kg.3__1157__AT3G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVLSESGIRPLPRIYTTPRSNFVSNNNKFRPSPSSYKTSSPLAFRLNSRDGFTRNWALNVSTPLTTPIFEESPLEEEYKDNKQRFDPGAPPPFNLADIRAAIPKHCWVKNPWKSLSYVVRDVAIVFALAAGAAYLNNWIVWPLYWLAQGTMFWALFVLGHDCGHGSFSNDPRLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPMSEKIYKTLDKPTRFFRFTLPLVMLAYPFYLWARSPGKKGSHYHPDSDLFLPKEKKDVLTSTACWTAMAALLVCLNFTIGPIQMLKLYGIPYWINVMWLDFVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGLINNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYREPDKSGPLPLHLLEILAKSIKEDHYVSDEGDVVYYKADPNLHREVKVRAD >fgenesh2_kg.3__1160__AT3G11200.1 pep chromosome:v.1.0:3:4492884:4495404:-1 gene:fgenesh2_kg.3__1160__AT3G11200.1 transcript:fgenesh2_kg.3__1160__AT3G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein [Source:UniProtKB/TrEMBL;Acc:D7L9V3] MAAAAVSSNPRTVEEIFKDYSARRAALLRALTKDVDDFYSQCDPEKENLCLYGHPNESWEVNLPAEEVPPELPEPALGINFARDGMQRKDWLSLVAVHSDCWLLSVSFYFGARLNRNERKRLFSLINDLPTLFDVVTGRKAMKDNKPSSDSGSKSRNGTKRSIDGQTKSSTPKLMEESYEEEEEEEDEHGDTLCGSCGGNYTNEEFWICCDVCERWYHGKCVKITPAKAESIKQYKCPPCCAKKGRQ >fgenesh2_kg.3__1161__AT3G11210.1 pep chromosome:v.1.0:3:4495464:4498597:-1 gene:fgenesh2_kg.3__1161__AT3G11210.1 transcript:fgenesh2_kg.3__1161__AT3G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CPRD49 [Source:UniProtKB/TrEMBL;Acc:D7L9V4] MVGPSRPQIVLFGSSIVQMSFGHGGWGAILSEVYARKADIILRGYYGWNSSRALEVVDKVFPKDAAVQPSLVVVYFGGNDSMAPHPSGLGPHVPLTEYVDNMKKIALHLQSLSDSTRIIFLSCPPVDEAKVRQNQSPYLSEVIRTNELCKTYSDACVELCQELGLEVVDLFSTFQKADDWKTVCFTDGIHLSAQGSKLVAAEILRVIKEAEWNPSLHWKSMPTEFAEDSPYDLVSADGKQTVNSSEWTYFWEEQWD >fgenesh2_kg.3__1162__AT3G11220.1 pep chromosome:v.1.0:3:4499059:4501928:-1 gene:fgenesh2_kg.3__1162__AT3G11220.1 transcript:fgenesh2_kg.3__1162__AT3G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNVRSSSSFSRNISVVSSPQIPGLKSGPNGTAFISTGIRDLDRILGGGYPLGSLVMVMEDPEAPHHMDLLRTFMSQGLVNNQPLLYASPSKDPRGFLGTLPHPASSKEDKSTAPDPDQGESLRIAWQYRKYLESQKNSIDDYSNDFDMRKPLERQFLSGRPIDCVSLLDSSDLSVAQDHCSTFLSKFSRSSSNIASIGRIAIQSFSSPLCEYSDKESDMLSFIRLLKSMLMVSNAVAIVTFPPSLLSPSSSKRLQHMADTLLSIKAIPDGDKELEKLLTGYKDINGFLNIHKVARINTQVPVILEAKTFSMSLKKRRFLALECLNQAPVDGSSGTSYGTSGSCSSKSGALDF >fgenesh2_kg.3__1163__AT3G11230.1 pep chromosome:v.1.0:3:4502168:4504011:1 gene:fgenesh2_kg.3__1163__AT3G11230.1 transcript:fgenesh2_kg.3__1163__AT3G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:D7L9V6] MGRLFLVNLEGKSYSCKHCKTNLGLCDDVVSKSFQSRHGKAYLFSKVVNVYAGKKEDRMMMTGMHTVVDIYCVKCGSYVGWKYEFAFEKNQKYKEGKSVLDRYKVWGPDGNNYWVAQEVEAGDSDTDDA >fgenesh2_kg.3__1165__AT3G11240.1 pep chromosome:v.1.0:3:4504162:4506878:-1 gene:fgenesh2_kg.3__1165__AT3G11240.1 transcript:fgenesh2_kg.3__1165__AT3G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl-tRNA--protein transferase [Source:UniProtKB/TrEMBL;Acc:D7L9V7] MSTRKAKTKNEASSSRGGVGGESVVADCGRNKSTCGYCKSSTRSSISHGLWTERLTVNDYQALLDRGWRRSGCFLYKPEMDKTCCPSYTIRLKASDFVPSKEQQRVRRRLERFLDGEIDAKPSEQTEYQDVSFSREVSGSVRKSLGAAKREQNNEVEPIMKDLAEQIDNAVQRCIQSGEFPSNIQIPKASVKRVLSAKRKKLAEGSEELLYTSNIAFPIVAAIKRTETSEKGKNVEENRLSPEAVSEKLLIAMNKVEEFTGFSVKVSKGHINFLSATGVTSSDRNEGEESLCATTTKSSSNKLRARKRKLEMHLKRSSFDPEEYELYKRYQLKVHNDKPESISETSYKRFLVDTPLIEVPPSGYDDEEKLPPCGFGSFHQQYRVDDRLIAVGVIDILPNCLSSKYLFWDPDFASLSLGNYSALQEIDWVKQNQAHCATLEYYYLGYYIHSCNKMRYKAAYRPSQLLCPLRYQWVPFEVAKPLLDKKPYSVLSNFTKDSLSSSSPKAYETIVQSTREHEDMEQGETNDDDGDEMYNSDEDSDSSRNRNDIANILISLDGPRLRYKDIPRIKNPVVQKQLESMLINYRKVVGTELLERMVYELR >fgenesh2_kg.3__1166__AT3G11250.1 pep chromosome:v.1.0:3:4507133:4508724:1 gene:fgenesh2_kg.3__1166__AT3G11250.1 transcript:fgenesh2_kg.3__1166__AT3G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P0 [Source:UniProtKB/TrEMBL;Acc:D7L9V8] MVKATKAEKKIAYDTKLCQLIDEYTQILVVAADNVGSTQLQNIRKGLRGDSVVLMGKNTMMKRSVRIHSENTGNTAILNLLPLLQGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVQPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKQGDKVGSSEAALLAKLGIRPFSYGLVVQSVYDNGSVFSPEVLDLTEDQLVEKFASGISMVTSLALAVSYPTLAAAPHMFINAYKNALAIAVATDYTFPQAEKVKEFLKDPSKFVVAAAAVSADAGGGGAQAGAAAKVEEKKEESDEEDYEGGFGLFDEE >fgenesh2_kg.3__1167__AT3G11260.1 pep chromosome:v.1.0:3:4513137:4513982:1 gene:fgenesh2_kg.3__1167__AT3G11260.1 transcript:fgenesh2_kg.3__1167__AT3G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSMKGRSLRGNNNGGGGGTGTKCGRWNPTVEQLKILTDLFRAGLRTPTTDQIQKISTELSFYGKIESKNVFYWFQNHKARERQKRRKISIDFDHHHHQPSTRDVFEISEEDCQEEEKVIETLQLFPVNSFEDSNSKVEKMRARSNNHYREYIRETNNTTSFSPYSSCGAEMEHPPPLDLRLSFL >fgenesh2_kg.3__1168__AT3G11270.1 pep chromosome:v.1.0:3:4514510:4516930:1 gene:fgenesh2_kg.3__1168__AT3G11270.1 transcript:fgenesh2_kg.3__1168__AT3G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHMOV34 [Source:UniProtKB/TrEMBL;Acc:D7L9W0] MDVIKTQQISARTIEKVIVHPLVLLSIVDHYNRVAKDTRKRVVGVLLGNSSRGTFDVTNSYAVPFEEDDKDTSIWFLDHNYHESMFHMFKRINAKEHIVGWYRTGPKLRENDLDVHALFNGYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQQVFVHVPTEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTAKLTALKGLDARLREIRSYLDLVIEGKLPLNHEILYHLQDVFNLLPNLNVNELVKAFAVKTNDMMLVIYLSALIRSVIALHNLINNKLLNKEHEKAEDSKPVAIPAISGS >fgenesh2_kg.3__1170__AT3G11280.1 pep chromosome:v.1.0:3:4520128:4521553:-1 gene:fgenesh2_kg.3__1170__AT3G11280.1 transcript:fgenesh2_kg.3__1170__AT3G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7L9W1] METLHPFSHLPISDHRFVVQEMVSLHSSSSSSSWTKEENKMFERALAIYAEDSPDRWFKVASMIPGKTVLDVMKQYSKLEEDVFDIEAGRVPIPGYPAASSPLAFDPDTCRKRPNGARGSDQDRKKGVPWTEEEHRRFLLGLLKYGKGDWRNISRNFVVSKTPTQVASHAQKYYQRQLSGAKDKRRPSIHDITTGNLLNANLNRSFSDHTGILPDLGFIDKDNAEDGVIFMGQNVSSENLFSPSPTSFEAAINFAGQNAFSAGA >fgenesh2_kg.3__1172__AT3G11320.1 pep chromosome:v.1.0:3:4529955:4532149:-1 gene:fgenesh2_kg.3__1172__AT3G11320.1 transcript:fgenesh2_kg.3__1172__AT3G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic anion transporter [Source:UniProtKB/TrEMBL;Acc:D7L9W2] MKIAANGRFFTIGLVASWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIAWMKMVPMQTIRSRVQFLKIAALSLVFCVSVVFGNISLRFLPVSFNQAIGATTPFFTAVFAYLITFKREAWLTYFTLVPVVTGVVIASGGEPSFHLFGFIMCIAATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLIMEKNVVGITIALARDDFRIVWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYSLTVCGVILYSEAKKRSK >fgenesh2_kg.3__1176__AT3G11397.1 pep chromosome:v.1.0:3:4559746:4561768:1 gene:fgenesh2_kg.3__1176__AT3G11397.1 transcript:fgenesh2_kg.3__1176__AT3G11397.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7LAM5] MDWDSVAAEDVIEALREVEWSASPRSLAEFFSRFAFPRSFSKWMSRLKCNLYYYRTNYFILLICVLGLALITRPLAILGAALTALSLAFLNDSFAATFNEKMIRTIRHFSPHLAAKMRPPHMPVIRGRSATRKTVYICGQPRLVFVLIGLTASFVLWFMSCGLLWVLYAFTTAILMILLHASLRTPNLKARLNTFREEFRAVWRNYSEL >fgenesh2_kg.3__1177__AT3G11400.1 pep chromosome:v.1.0:3:4562201:4564119:1 gene:fgenesh2_kg.3__1177__AT3G11400.1 transcript:fgenesh2_kg.3__1177__AT3G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit G [Source:UniProtKB/TrEMBL;Acc:D7LAM6] MTIDSQQKTTSKFRWGEMDEDDDFDFLLPPKQVIGPDENGLKTTIEYKFNDEGSKVKITTRTRVRKLASARLNKRAMERRNWPKFGDAANEEAGSHLTMVSTEEILLERPRAPGTKADESKATGDGLSQLGKGGAVLMVCRICHKKGDHWTSKCPYKDLAATTDAFIDKPPTGEPSTMSAAPGTGKAAYVPPSMRAGADRSAVGSDMRRRNDENSVRVTNLSEDTREPDLMELFHPFGAVTRVYVAIDQKTGVSRGFGFVNFVSREDAQRAINKLNGYGYDNLILRVEWATPRPT >fgenesh2_kg.3__1178__AT3G11405.1 pep chromosome:v.1.0:3:4564449:4564913:1 gene:fgenesh2_kg.3__1178__AT3G11405.1 transcript:fgenesh2_kg.3__1178__AT3G11405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSKLSIIFRDELQHLNKDSVENLDVVGKKPFNSSSDNERDQFSGLFASCSSSSFQMPLQYPNYKKEQYEIMSEEELDQLLKLYGLPVDIGDLSYKKEFVVGAFLWETGLNSSLDEHDSVNPNSSTDDLDESSLIGLMTVLIKDMVHFIFRV >fgenesh2_kg.3__1179__AT3G11410.1 pep chromosome:v.1.0:3:4567270:4569127:-1 gene:fgenesh2_kg.3__1179__AT3G11410.1 transcript:fgenesh2_kg.3__1179__AT3G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AHG3/ATPP2CA [Source:UniProtKB/TrEMBL;Acc:D7LAM8] MAGICCGVVGETEPAAPVDSTTRASLRRRLDLLPSIKIVADSAVAPPLESCRKRQKRETVLSRNLDLESNVRSEHKKVKSAVTNSNSVTEAESCFFSDVPRIGTTSVCGRRRDMEDAVSIHPSFLQRNSENLHFYGVFDGHGCSHVAEKCRERLHDIVKKEVEVMASDEWKETMVKSFQKMDKEVSQRECNLVVNGANRSMKNSCRCELQSPQCDAVGSTAVVSVVTPEKIIVSNCGDSRAVLCRNGVAIPLSVDHKPDRPDELIRIQQAGGRVIYWDGARVLGVLAMSRAIGDNYLKPYVIPDPEVTVTDRTDDDECLILASDGLWDVVPNETACGVARMCLRGAGPMDDAAAAHNACSDAALLLTKLALARQSSDNVSVVVVDLRKRRNNQSSS >fgenesh2_kg.3__117__AT3G01140.1 pep chromosome:v.1.0:3:382018:383790:1 gene:fgenesh2_kg.3__117__AT3G01140.1 transcript:fgenesh2_kg.3__117__AT3G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHVRDREKGRLQNLNRDIFYCVSPSIYQSDAKRAAFVIILIMIRIISPCCDKAGLKKGPWTPEEDQKLLAYIEEHGHGSWRSLPEKAGLQRCGKSCRLRWTNYLRPDIKRGKFTVQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLVKMGIDPVTHKHKNETLSSTTGQSKNAATLSHMAQWESARLEAEARLARESKLLHLQHYQNNNLNKSAPQQHCFAHKTSTNWTKPNEGNGDQQLESPTSTVTFSENLLMPLGIPTDSRNRNNESSEIPAMIEFAVSSSTSSDVSLVKEHEHDWIRQINCPTEGIGEGFTSLLLGDSVDRSLSTGKNETTVAGAGVVNESEYNYYEDNKNYWNSILSLVDSSPSDSATMF >fgenesh2_kg.3__1180__AT3G11420.1 pep chromosome:v.1.0:3:4577347:4580433:1 gene:fgenesh2_kg.3__1180__AT3G11420.1 transcript:fgenesh2_kg.3__1180__AT3G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANRGGGEHGHHEALKFSSFFFMPERPRDYLVLFTRFSVLTCLIVSVSLVLRATFLSPSARDYSTTYGLRLTAVPQKAIAFPPTGSVGPINISHILFSIAGAAETWIDRSQYISLWWRNSTRGFVWLDEPVKIPENHSDVRFSIPTRVSDPGWTRFKFSSSRAAVRIARIIWDSYRLNLPNIRWFVMGDDDTVFFTENLVKVLSKYDHEQMWYIGGNSESVEQDVMHAYDMAFGGGGFAISRPLAARLAGAMDDCLQRYFYFYGSDQRIAACVSEIGVPFTEERGFHQLDIRGDPYGFLAAHPLAPLVSLHHLVYLDPMFPNKNPIESLQTLMKPYNLDPNRILQQINCHDRKRQWSISISWGYSIQIYTYFLTATELTTPLQTFKTWRSSSDGPFVFNTRPLKPDPCERPVTYFMDGAEDVRGSGTKTWYSIADKNYGHCEKSEHIQLTKVKRILVTSMKTDPEYWNKAPRRQCCEVMEGGGRKRMEKEMLIRIRKCRSLEKI >fgenesh2_kg.3__1181__AT3G11430.1 pep chromosome:v.1.0:3:4581936:4584031:1 gene:fgenesh2_kg.3__1181__AT3G11430.1 transcript:fgenesh2_kg.3__1181__AT3G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 5 [Source:UniProtKB/TrEMBL;Acc:D7LAN0] MVMEQAGTTPYSVVSEFEGTILKNADSFSYFMLVAFEASGLIRFAILLFLWPIITLLDVFSYKNAALKLMIFVATVGLREPEIESVARAVLPKFYMDDVSMDTWRVFSSCKKRVVVTRMPRVMVERFAKDHLRADEVIGTELIVNRFGFVTGLIRETDVDQSALNRVANLFVGQRPQLGLGRPAVTASTTFLSLCEEHIPAPIPENYNHGNQQLQLRPLPVIFHDGRLVKRPTPATALLILLWIPLGIILAVIRIFLGAILPLWATPYVSQIFGGHIIVKGKPPQPPAAGKSGVLFVCTHRTLMDPVVLSYVLGRSIPAVTYSISRLSEILSPIPTVRLTRIRDVDAAKIKQQLSKGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRVGFFHATTARGWKGLDPIFFFMNPRPVYEVTFLNQLPMEATCSSGKSPHDVANYVQRILAATLGFECTNFTRKDKYRVLAGNDGTVSYLSLIDQLKKVVGTFEPFLH >fgenesh2_kg.3__1182__AT3G11440.1 pep chromosome:v.1.0:3:4589734:4592734:1 gene:fgenesh2_kg.3__1182__AT3G11440.1 transcript:fgenesh2_kg.3__1182__AT3G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LAN1] MSYTTTTVDSDDGMHSSIHNESPAADSISNGCRSRGKSRVLKKGPWTSTEDGILIDYVKKHGEGNWNAVQKHTSLARCGKSCRLRWANHLRPNLKKGAFSQEEEQLIVEMHAKMGNKWAQMAEHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEIHVEDFQWSEEYTKSNIIRVDRRRHQDFLQLGNSKANVLFDDLNFAASLLPAASDLSDLVACNMLGTGPSSSRYESYMPPILPSPRQIWESGSRFPMCSSNIKHEFQSPEHFQNTASQKNPRSCSISPCDVDHPPYGNQHLSDMMIPDSHTLMYGMLPTSKPSFGAVKLELPSFQYSETSAFDQWKTTPSPPHSDLLDSVDAYIQSPPSSQVEESDCFSSCDTGLLDMLLHEAKIKTSAKHSLLMSSPQKSFSSTTCTTNVTQNVPRGGTLIKSGEYEDSQKNLTRSEITMPMQLSAGGISSAFAGNVVKTEEFDQVWEPKRVDTTRPDVLLASSWLDQGCFGIVRDTSSMSDALALLLGGDDTGNSYVTVGSSSGQTPRGVGSCGWTNMPPVWSL >fgenesh2_kg.3__1183__AT3G11450.1 pep chromosome:v.1.0:3:4592957:4595292:-1 gene:fgenesh2_kg.3__1183__AT3G11450.1 transcript:fgenesh2_kg.3__1183__AT3G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRSESAVKLITYSEELEDGKPFVAFSNCLPVKALNREPAGHAFHSAALKLHGCAEEPTDDEGVDKKVGDDKEKEYVPSFNSYANKGKKKSGTQQQDHYALLGLSNLRYLATEDQIRKSYREAALKHHPDKLATLLLAEETEEAKEAKKDEIESRFKAIQEAYEILMDPTRRRIFDSTDEFDDEVPSDCLPQDFFKVFGAAFKRNARWSVNQRIPDLGDENTTLKDVDKFYNFWYAFKSWREFPDEEEHDLEQADSREERRWMEKENAKKTVKARKEEHARIRTLVDNAYRKDPRIVKRKEEEKAEKQQKKEAKLLAKKKQAEDAAIAAEEEKRRKEEEEKRAAESAQQQKKTKEKEKKLLRKERNRLRTLSAPLVAQRLLGISEEDIENLCMSLNTEQLQNLYGCDSSRNDEAESKEKESKKTNGGTEPTPQVSQLDSSTQKKQPWSKEEIDMLRKGMIKYPKGTSRRWEVVSEYIGTGRSVEEILKATKTVLLQKPDSAKAFDSFLEKRKPSASISSPLSTREELGESLPTVTTTANAKPSKETVVGKSSSSQSSDNNGEVGGSSDADSWSTVQERALVQALKTFPKETSQRWERVAAAVPGKTMNQCKKKFAELKEIIRNKKTGV >fgenesh2_kg.3__118__AT3G01120.1 pep chromosome:v.1.0:3:389759:392719:1 gene:fgenesh2_kg.3__118__AT3G01120.1 transcript:fgenesh2_kg.3__118__AT3G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSFQCPTIFSSSSISGFQCRSDPDLVGSPVGGSSRRRVNATAGISSSFTGDGGLSSRILRFPPNFVRQLSIKARRNCSNIGVAQIVAAKWSNNPSSGLPSAAAAAAASSASAVSSAASAATASSAAAAPEAAAPPVVVLKSVDEEVVVAKEGIREKIGSVQLTDSKHSFLSSDGSLTVHAGERLGRGIVTDAITTPVVNTSAYFFKKTAELIDFKEKRSVSFEYGRYGNPTTVVLEEKISALEGAESTLVMASGMCASTVMLLALVPAGGHIVTTTDCYRKTRIFMENFLPKLGITVTVIDPADIAGLEAAVNEFKVSLFFTESPTNPFLRCVDIELVSEICHKRGTLVCIDGTFATPLNQKALALGADLVVHSATKYIGGHNDVLAGCICGSLKLVSEIRNLHHVLGGTLNPNAAYLIIRGMKTLHLRVQQQNSTAFRMAEILEAHPKVSHVYYPGLASHPEHELAKRQMTGFGGVVSFEIDGDIETTIKFVDSLKIPYIAPSFGGCESIVDQPAIMSYWDLPQEERLKYGIKDNLVRFSFGVEDFEDVKADILQALEAI >fgenesh2_kg.3__1190__AT3G11500.1 pep chromosome:v.1.0:3:4609840:4610883:-1 gene:fgenesh2_kg.3__1190__AT3G11500.1 transcript:fgenesh2_kg.3__1190__AT3G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRMVVGTLRGFDQFMNLVVDNTVEVNGDDKTDIGMVVIRGNSIVTVEALEPVGRS >fgenesh2_kg.3__1191__AT3G11510.1 pep chromosome:v.1.0:3:4611988:4613296:-1 gene:fgenesh2_kg.3__1191__AT3G11510.1 transcript:fgenesh2_kg.3__1191__AT3G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S14 [Source:UniProtKB/TrEMBL;Acc:D7LAN8] MSKRKTKEPKVENVTLGPAVREGEQVFGVVHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITAIHVKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >fgenesh2_kg.3__1192__AT3G11520.1 pep chromosome:v.1.0:3:4613695:4615625:-1 gene:fgenesh2_kg.3__1192__AT3G11520.1 transcript:fgenesh2_kg.3__1192__AT3G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPVIRPQPLRGDRRALGDIGNIDSLLGVDGGKINRPITRNFRAQLLENAQVAAAANNKDPILDGATKKQEVVRAVQKKARGDKREPSKPIEVIVISPDTNEVAKAKENVSSNKNTLLFLMLAASKTLDIDYVDKDNDLAAVEYVEDMYTFYKEVENETKPQMYMHTQPEINEKMRSILIDWLVEVHVKFDLSPETLYLTVNIIDRFLSLKTVPRRELQLVGVSALLTASKYEEIWPPQVNDLVYVTDNSYNSKQILVMEKTILGNLEWYLTVPTQYVFLVRFIKASGSDPKVENMVHFLAELGLMHHDSLMFCPSMLAASAVYTARCCLNKSPTWTDTLKFHTGYSESQLMDCSKLLAFIHSKAGESKLRGVFKKYSKLGRGAVALISPAKCLLSSAP >fgenesh2_kg.3__1195__AT3G11540.1 pep chromosome:v.1.0:3:4622551:4628505:1 gene:fgenesh2_kg.3__1195__AT3G11540.1 transcript:fgenesh2_kg.3__1195__AT3G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLEDDTERERSPIVENGFSNGSRSSPTLSYANILRARNKFADALALYEAMLEKDSKNVEAHIGKGICLQTQNKGNLAFDCFSEAIRLDPHNACALTHCGILHKEEGRLVEAAESYQKALMADASYKPAAECLAIVLTDLGTSLKLAGNTQEGIQKYYEALKIDPHYAPAYYNLGVVYSEMMQYDNALSCYEKAALERPMYAEAYCNMGVIYKNRGDLEMAITCYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDVTQGVAYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVLYKDRDNLDKAVECYQMALSIKPNFAQSLNNLGVVYTVQGKMDAAASMIEKAILANPTYAEAFNNLGVLYRDAGNITMAIDAYEECLKIDPDSRNAGQNRLLAMNYINEGLDDKLYEAHRDWGWRFTRLHPQYTSWDNLKDPERPITIGYISPDFFTHSVSYFIEAPLTHHDYTKYKVVVYSAVVKADAKTYRFRDKVLKKGGVWKDIYGIDEKKIASMVREDKIDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPTVDYRITDSLADPPDTKQKQVEELVRLPECFLCYTPSPEAGPVCPTPTLSNGFVTFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDSIRQRFLTTLEQLGLESKRVDLLPLILFNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLTKVGLGHLVAKNEDEYVQLSVDLASDVTALSKLRMSLRDLMAGSPVCNGPSFAVALESAYRNMWKKYCKGEVPSLRRMEMLQKEVQDDPLISKDSGPSRLSVTGEATPSLKANGSAPVTSSLPTQSPQISKRMDSTS >fgenesh2_kg.3__1197__AT3G11550.1 pep chromosome:v.1.0:3:4628850:4629764:1 gene:fgenesh2_kg.3__1197__AT3G11550.1 transcript:fgenesh2_kg.3__1197__AT3G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casparian strip membrane protein 2 [Source:UniProtKB/Swiss-Prot;Acc:D7LAP2] MKNESTTIDVPAESSSAMKGKAPLIGVARDHTTSGSGGYNRGLSIFDFLLRLAAIVAALAAAATMGTSDETLPFFTQFLQFEASYDDLPTFQFFVIAMALVGGYLVLSLPISVVTILRPLATAPRLLLLVLDTAVLALNTAAASSAAAISYLAHSGNQNTNWLPICQQFGDFCQKSSGAVVSAFISVVFFTILVVISGVALKRH >fgenesh2_kg.3__119__AT3G01100.1 pep chromosome:v.1.0:3:393355:397477:1 gene:fgenesh2_kg.3__119__AT3G01100.1 transcript:fgenesh2_kg.3__119__AT3G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSALLTSVGINLGLCFLFFTLYSILRKQPSNVTVYGPRLVKDGKSQQSNEFNLERLLPTAGWVKRALEPTNDEILSNLGLDALVFIRVFVFSIRVFSFASVVGIFILLPVNYMGTEFEEFFDLPKKSMDNFSISNVNDGSNKLWIHFCAIYIFTAVVCSLLYYEHKYILTKRIAHLYSSKPQPQEFTVLVSGVPLVSGNTISETVENFFREYHSSSYLSHIVVHRTDKLKVLMNDAEKLYKKLTRAKSGSISRQNSRRVGFLGMFGNNVDDYQKKLEKLEGDMRLKQSLLAGEEVPAAFVSFRTRHGAAIATNIQQGIDPTQWLTEAAPEPKDVHWPFFTASFVRRWISNVVVLVAFVALLILYIVPVVLVQGLANLHQLETWFPFLKGILNMKIVSQVITGYLPSLIFQLFLLIVPPIMLLLSSMQGFISHSQIEKSACIKLLIFTVWNSFFANVLSGSALYRVNVFLEPKTIPRVLAAAVPAQASFFVSYVVTSGWTGLSSEILRLAPLLWSFITKLFGKEDDKEFEVPSTPFCQEIPKVLFFGLLGITYFFLSPLILPFLLVYYCLGYVIYRNQLLNVYAAKYETGGKFWPIVHSYTIFSLVLMHIIAVGLFGLKELPVASSLTIPLPILTVLFSIYCQRRFLPNFKSYPTQCLVNKDKADEREQNMSEFYSDLVVAYRDPALPASQNSRDISP >fgenesh2_kg.3__11__AT3G02000.1 pep chromosome:v.1.0:3:36028:36666:1 gene:fgenesh2_kg.3__11__AT3G02000.1 transcript:fgenesh2_kg.3__11__AT3G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQTESWGSYKMNSIGFGGLGMVADTGLLRIESLAAESAVVIFSVSTCCMCHAVKGLFRGMGVSPAVHELDLHPYGGDIQRALIRLLGCSGSSSPGSLPVVFIGGKLVGAMDRVMASHINGSLVPLLKDAGALWL >fgenesh2_kg.3__1201__AT3G11560.3 pep chromosome:v.1.0:3:4630029:4636815:1 gene:fgenesh2_kg.3__1201__AT3G11560.3 transcript:fgenesh2_kg.3__1201__AT3G11560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLQRPGLVSSSSSSKPCLPRMSIVTFISCKKTVHLEYLSNCWSNPRSQLFVRYVFFERSKICRSKNKSQRLVLASAEDGVAINGSPKPRSSSNLGDMRTNFTGSSQDENSSNGLIQSLHDAARSIELAVREKITPSRFSWFPATWLGADKYAWVKTLSYQASLYSLLQAVNEISSRGNYRDEDINVFVQRSLSRQAAPLENMMRENLSSKHPKAYEWFWSEQVPSVVTSFVNYIEGDQRFVAATSVYAKGKSAAASNEIEVSLLMLVLNCIAAITKVGPAKFSCPPFFSMIPDTTGRLMEKLVDFVPLPQAYHSIKSIGLQREFLTHFGPRAAMCRVNGDIATDEVVFWIDLIQKQLQRAIDREKIWSKLTTSESIEVLERDLAIFGFFIALGRSTQSILAANGFDSLENPLEDLVRHLIGGSVLYYPQLSAISSYQLYVEVVCEELEWIPFYPDNTGTQPPKQSHGHKSKTEGPPNYEVIPQLLDVCSYWLQSFIKYSKWPENPSNVKAAKFLSKGHKTLIRCKEELGILKNASSIVRESNSFDKALESVDEALVRLESLLQELYVSNSSSGKEQIKAACSDLEKIRKLKKEAEFLEATFRAKAASLQQGGDKNDSQESYKVQKRYFKGKDTKIAISSEDQGKSISRGFWGFFVRPPRKKLDPEISGGEYIGKPSGNLLSIDSEPNEISRFEILRNELIELEKRVKRSTDQSVDEEEIISDDTPQASSRTESVQLVQSPMKENIIEKTLQKLREASTDVWQGTQLLAIDSAAAVQLLRRSLIGDELTEKEKKALRRTMTDLASVIPIGILMLLPVTAVGHAAMLAGIQRYVPGLIPSTYGSERLNLLRQLEKIKELQTNETETEEGVEEIAL >fgenesh2_kg.3__1205__AT3G11590.1 pep chromosome:v.1.0:3:4653015:4656301:1 gene:fgenesh2_kg.3__1205__AT3G11590.1 transcript:fgenesh2_kg.3__1205__AT3G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQNQSVENLLLLGKIRKRGCSSPTSSTSSILREGYRFKRAIVVGKRGGSTTPVPTWRLMGRSPSPRASGALHAASPSSHCGSKTGKVSAPAPVSARKLAATLWEMNEMPSPRVVEAAVPMIRKSRKERIAPLPPPRSSVHSGSLPPHLSDPSHSPVSERMERSGTGSRQRRASSTVQKLRLGDCNVGARDPISNGSFMDIETRSRVETPTGSTVGVKTRLKDCSNALTTSKELLKIINRMWGQDDRPSSSMSLVSALHSELERARLQVNQLIHEHKPENNDISYLMKRFAEEKAAWKSNEQEVVEAAIESVAGELEVERKLRRRFESLNKKLGKELAETKSALMKAVKEIENEKRARVMVEKVCDELARDISEDKAEVEELKRESFKVKEEVEKEREMLQLADALREERVQMKLSEAKHQLEEKNATVDKLRNQLQTYLKAKRCKEKTREPPQTQLQNEETGDYLNHHIGFGSYNIEDGEVENGNEEDSGESDLHSIELNIDNKSYKWPYGEENRGRKSTPRKSLSLQKSISDCVDWVVQSEKLQKSGDGGLDWGRSIEVEPKGYLDETQAYKPNKASSKDHHLVSGSRLSNFRGGSVSKSRLSDASKGENQNARKSRW >fgenesh2_kg.3__1207__AT3G11600.1 pep chromosome:v.1.0:3:4659594:4660314:1 gene:fgenesh2_kg.3__1207__AT3G11600.1 transcript:fgenesh2_kg.3__1207__AT3G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNKNGSKLELRLNLSPPPSQASQMSLVRSPNRSNTTSPTSCVSSETNQEENEAITSMVLVGCPRCLMYVMLSDDDPKCPKCKSTVLLDFLQENAFAATTATAANTRRNKKTWWN >fgenesh2_kg.3__1211__AT3G11650.1 pep chromosome:v.1.0:3:4669160:4669947:-1 gene:fgenesh2_kg.3__1211__AT3G11650.1 transcript:fgenesh2_kg.3__1211__AT3G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKQPYLNGAYYGPSIPPPAKAHRSYDSPGFGCCCFSCLGSCLRCCGCCILSLICNILIAIAVILAITGFILWLIFRPNAVKFYVADANLNRFSFDSNNNSNLHYSLDLNFTIRNPNQRVGVYYDEISVSGYYGDQRFGSVNVSSFYQGHKNTTVVLTKIEGQNLVVLGDGARTDLKEDEKSGVYRIDAKLRLSVRFKFWVIKSWKLKPKIKCDDLKIPLGSSNSTGGFKFQPVKCDFDLS >fgenesh2_kg.3__1215__AT3G11690.1 pep chromosome:v.1.0:3:4691734:4693106:1 gene:fgenesh2_kg.3__1215__AT3G11690.1 transcript:fgenesh2_kg.3__1215__AT3G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTLRYDPRQISRQTKPSDTPSSSPSRSHRRQPLLQRSLSSPSPRASCGGSTPAEFCGGTTASCAAVWCCCPCGLVNLLVLAIYKVPKGICRRAIRNRRRKQLVKNGILPPLPTDGKNERMQRVFQNSEFAIHPLDSDDVSDDEDDDNFLDLKYIGKSVAAAGFTTEEETDEDDEAVLALEKEMWNRFYGAGFWRSPSQRESVSSPRVSKSLSSSPRPSFTEVYRNRDTTVKGGGATVVAATPRAVRHFD >fgenesh2_kg.3__1216__AT3G11700.1 pep chromosome:v.1.0:3:4695504:4698089:1 gene:fgenesh2_kg.3__1216__AT3G11700.1 transcript:fgenesh2_kg.3__1216__AT3G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-Ig-H3 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LAQ7] MDRCIYGCSVITIFFCFLINASALESGHHNITGSGQINSNSVLVALLDSRYTELAELVEKALLLQTLEDAVGRHNITIFAPRNEALERDLDPDFKRFLLQPGNLKSLQTLLLSHIIPKRVGSNQWPEDNSGRVKHVTLGHDQVLHLSKIKGANGKRLVNSAVITRPDDLTRPDGLIHGIERLLIPRSVQEDFNRRRNLRSISAVLPEGAPEIDPRTNRLKKSAAAASVPAGSPPALPIESAMAPGPSLAPAPAPGPGGAHKHFNGDAQVKDFIHTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIMYYHIIPEYQTEESMYNSVRRFGKVKYETLRFPHKVGAKEADGSVKFGSGDRSAYLFDPDIYTDGRISVQGIDGVLFPEEKEEETVKKTTGPVKKVVQPRRGKLLEVACRMLGAIGKDSYLSRC >fgenesh2_kg.3__1217__AT3G11710.1 pep chromosome:v.1.0:3:4699847:4703303:-1 gene:fgenesh2_kg.3__1217__AT3G11710.1 transcript:fgenesh2_kg.3__1217__AT3G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase [Source:UniProtKB/TrEMBL;Acc:D7LAQ8] MEGSADQTTKALSELAMDSSTTSNATESSAGDAAGPRSKNAQKKELKLKQKEEERKRKEEEKAKQAPKVSSQNQKAVAADDEEMDATQYHENRLKYLAAEKAKGENPYPHKFSVSMSIPEYIEKYGSLNNGDHVEDANESLAGRIMSKRSSSSKLFFYDLHGDDFKVQVMADASKSGLDEAEFLKLHSNVKRGDIVGVTGFPGKTKRGELSIFPRSFILLSHCLHMMPRKADNVNAKKPEIWVPGETRNPEAYVLKDQESRYRQRYLDLMLNVEVRQIFKTRAHIISYIRRFLDNKNFLEVETPMMNMIAGGAAARPFVTHHNDLDMRLYMRIAPELFLKQLIVGGLERVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMKMTEDMLSGMVKELTGGYKIKYHANGYDKDPIEIDFKPPFRRIEMIGELEKVANLNIPKDLASEEANKYLIDACARFDVKCPPPQTTARLLDKLVGEFLEVTCVNPTFIINHPEIMSPLAKWHRSNNVLTERFELFINKHELCNAYTELNDPVVQRQRFADQLKDRQSGDDEAMALDETFCSALEYGLAPTGGWGLGIDRLAMLFTDSQNIKEVILFPAMRPPQDESAAAKAPSTEEKK >fgenesh2_kg.3__1218__AT3G11720.1 pep chromosome:v.1.0:3:4703509:4706324:-1 gene:fgenesh2_kg.3__1218__AT3G11720.1 transcript:fgenesh2_kg.3__1218__AT3G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNREVSEYRERLNETLSSPELVNEQTLKTLVRKQLNEECSVDILDQRVAALSSTIEKLRSVSTKDHDSSKSNNEASYGDWKVKHDDEDCRVMYREGLKGSPFHTLLVEGCIDGTIEECLCVCWESSFYEKWWPKLAFPSFRVLEAKCLQNFRIDEQICLLRVKAPWPLTDREAILQFFVFEYFKDGLVIILLNSVKESDGIAEVVNAVRIDFVGGVAIQKVTPERSYLRFIAEVDIKLDLVPPSLINFMSRQLLGNGFKLFKKTIGSVAESDDYKRVLADPLYTHIHEALYSSDKTNEICQVNELHSQQGRDCEEQEPQLEADDPYMAKENETRHCENESVPSKRDVPEIEEEECADLKEEEKSSLSSFSSSSSSEEDENKKVKTRFCISPEVKQALGTLERVISMVRKSKTDNNNTSTSSGEEEEEEEEASSSQMHHSGSTQTVSNSKVCIQDPKTELLDEASFAHYHNNNSNKIAPASPEIDLTTNSEVTRITVSQATTLFSQTTENSDDKPSGLNGGKSSNLQRKRNPSCFGFRFWRRRT >fgenesh2_kg.3__1220__AT3G11730.1 pep chromosome:v.1.0:3:4707088:4709229:-1 gene:fgenesh2_kg.3__1220__AT3G11730.1 transcript:fgenesh2_kg.3__1220__AT3G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEYDYLFKLLLIGDSSVGKSCLLLRFADDAYIDSYISTIGVDFKIRTIEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDCTEMESFNNVKQWLSEIDRYANESVCKLLIGNKNDMVENKVVSTETGKALADELGIPFLETSAKDSINVEQAFLTIAGEIKKKMGSQTNANKTSGPGTVQMKGQPIQQNNGGCCGQ >fgenesh2_kg.3__1221__AT3G11740.1 pep chromosome:v.1.0:3:4710278:4711228:1 gene:fgenesh2_kg.3__1221__AT3G11740.1 transcript:fgenesh2_kg.3__1221__AT3G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSPNFCAPYPIELGIVRKVMTLTDGNFAVTDVNGNLLFKVKEPMFSISDKRILLDAYDTPILTLRENKVSLHDRWQVFRGKSTDQSDLVYTLKRSSMIQIMKPKLDIFLAQNKEMKICDFHVKGSWIDRSCVVYAGKSDAMVAQMHKKHTAESILIGKSNFSVTVYPNVDFAFIVSLIVILDDINREDSE >fgenesh2_kg.3__1222__AT3G11750.1 pep chromosome:v.1.0:3:4713073:4713920:-1 gene:fgenesh2_kg.3__1222__AT3G11750.1 transcript:fgenesh2_kg.3__1222__AT3G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:7,8-dihydroneopterin aldolase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LAR3] MHSSLETTAPATLERRESMLGDKLVLKGLKFYGFHGAIPEERTLGQMFLVDIDAWVSLKKAGESDNLADTISYVDIFSLAKEIVEGSPRNLLEAVAELIASKTLEKFPQINAVRVKLSKPNVALIKSTIDYLGVEIFRQRSTSSRN >fgenesh2_kg.3__1223__AT3G11760.1 pep chromosome:v.1.0:3:4716029:4718896:1 gene:fgenesh2_kg.3__1223__AT3G11760.1 transcript:fgenesh2_kg.3__1223__AT3G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMMKWRPWPPLVTKKYEVKLAVKRLEGWDLVREGVPEKERLTVEIRWKGPKATLGSLRRSVKRNFTKEAVGESDIVSWEDEEFQSLCSLTSYKDSLFYPWEIAFSVFTNGMKQGQKNKAPLVGTAFLNLAEYARVTDKKEFDINIPLTLSACVTSEPHPLLFVSLSLLELRTTPETSDSAAQTAVVPVPVPSPSPQQPTETHSIEKEDVSAIKAGLRKVKIFTEFVSTRKAKKACREEEGRFSSFESSESLDDFETDFDEGKEDLMSVRKSFSYGPLSYANGAGTSLNCGAKVSDEDEDWVYYSHRKSDVGAGCSDAEDSAAGLVYETSLLPRRSILPWRKRKLSFRSPKSKGEPLLKKDNGEEGGDDIDYDRRQLSSDEAHPLFGSKIDEDSSANPRSSFSEFGEDSFAIGSWEEKEVISRDGHMKLQTNVFLASIDQRSERAAGESACTALVAVVADWFQKNGNLMPIKSQFDSLIREGSLEWRNLCENETYMQKFPDKHFDLDTVLQAKIRPLTVIPGKSFVGFFHPDGMINEGRFEFLQGAMSFDSIWAEIISLEESSANSDSYDDDSSPHVYIVSWNDHFFVLKVEKEAYYIIDTLGERLYEGCDQAYVLKFDHKTVIHKILHTEESGSESEPESEIVCRGKESCKEYIKNFLAAIPIRELQEDIKKGLASTAPVHHRLQIEFHYTTNAEITSLKA >fgenesh2_kg.3__1225__AT3G11780.1 pep chromosome:v.1.0:3:4722024:4723380:-1 gene:fgenesh2_kg.3__1225__AT3G11780.1 transcript:fgenesh2_kg.3__1225__AT3G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFTGFTSLAISYFLLVSTIVAATDVHYCDNNEEYEVKVQGVDIIPDPVARGAPATFSISANTDTEISSGKLVIEVSYFGWHIHSETHELCDETSCPVAVGDFVVEHSQVLPGYTPPGSYSLKMKMLDGRKKELTCIKFSFEIGFLSSVADI >fgenesh2_kg.3__1226__AT3G11800.1 pep chromosome:v.1.0:3:4723919:4725583:1 gene:fgenesh2_kg.3__1226__AT3G11800.1 transcript:fgenesh2_kg.3__1226__AT3G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLLCYLFAAVLTSSLTEAGDNNQVYSPCSDSTVAIGDGFTFGIAFAARDSFFSTNRSDHVQYSPCDRHRLSLNGNSEVAVFRPKVDEITLLTINTSSSSSFRPDASKGYMVAFAGAKYAARSIPIMVADSNHIVTSFTLVLEFQKGRLEEMFWKKDGCSKCSGDSKFVCLNKEECAIKTQSCKNQGGQVDCSLGIQLAFSGTDKHYTALNSWYEVANLKQYSLYGLYSNLKDSLTNPFKNIF >fgenesh2_kg.3__1232__AT3G11850.2 pep chromosome:v.1.0:3:4737836:4740850:-1 gene:fgenesh2_kg.3__1232__AT3G11850.2 transcript:fgenesh2_kg.3__1232__AT3G11850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPIKCCDCGCDCCSSSGSWIRSVKKRRHDELQTEKRFSIPELDDLDMFSNPRVQIENECELLRETVSNQQQTIQDLYHELEEERIASSTAVDEALNLIGKLQKEKTDMLMELTQLQRCVKDRLTYENAEIEALEAVVYQRDQTIQALTFETQAYKHRMMSFGLTEAEADGERNLLSRNPSMIDINSEYDFPIPDYPPLKCNINENQDPLEADIYVADDENYPPADSPHGREHLKTLDRRLSQMETYPSFTQLNRGRDVSEKSMVGQSPRRQRHFRRISPTGSSSHLGASKELRPDAFVDSPRSRSYMKMEDVSYTENNNAKDDSSEIGDDMSDRVYTIDSVHHSSVSHSGGVTEQKLEDGTSDGNVVFPREHTDLGDPNITKLYMRLQALEADRESMKHALLSMRTEKAQMVLLKEIAQHLSKEVVPQRRLPLRKASIARPLTFTPVFKWITSFVSWKRKARRSKYMFGMSGNNIGLQMLLEKVPRSRKWRCLRSTQV >fgenesh2_kg.3__1234__AT3G11890.1 pep chromosome:v.1.0:3:4754018:4756047:1 gene:fgenesh2_kg.3__1234__AT3G11890.1 transcript:fgenesh2_kg.3__1234__AT3G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRPRQLELSKTVPSKLGFDGEEDAWVFVKKQRIIIVLPSLPLPEQHLTMEKPAITSQLEAELRESMEDTQESTFLHTVVPALPLPEHFIMHKPENSESQAELRDVLADTHKTTTPVPTVVVPTPLQTGMSPLLLPEHFVLQKPATSQSQADTHETTLLQTVIPSPPVPDHYSLQKPAASISQSELRMEISKATLEPTTSKSQAELRTETHKAILVHTVMPSLPVPEHYTMPSLPVPEHYSIQKPSTSQSQAELRADTRKATLVHTVVPSLPVTEHCTLQKPATSQSQAELRDLVADTHEASRVHTVEPEAYPDFTSVDKPEIVMSRSLTTRKAPAPKRSLQDCRMNQDRRVEVHRRRAGHKPIRFPRVMCSSVVIDNEKLRVLNLEKKVEKAGGLHEWVGSIGLGREFERMLRGQKMSKFQMANLTMEKLKQMGALAVGPRRKLIHAIGCVYHPHCLRASFN >fgenesh2_kg.3__1240__AT3G11930.2 pep chromosome:v.1.0:3:4806911:4808393:1 gene:fgenesh2_kg.3__1240__AT3G11930.2 transcript:fgenesh2_kg.3__1240__AT3G11930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein family protein [Source:UniProtKB/TrEMBL;Acc:D7LAT3] MAEDQATTAVETSAVEKQPETTREAEPPSLTTKRMVVAIDESDSSFYALQWVIDHFSNLLLTTEAAEAESGMLTVVHVQSPYYHFAAFPAGPGGATAVYASSTMIESVKKAQQETSAALLSRALQMCRAKQIRTETLVLEGDAKEMICEAVEQMHVDLLVVGSRGLGKIKRAFLGSVSDYCAHHANCPILIVKPPKEMTK >fgenesh2_kg.3__1244__AT3G11940.2 pep chromosome:v.1.0:3:4808620:4810224:-1 gene:fgenesh2_kg.3__1244__AT3G11940.2 transcript:fgenesh2_kg.3__1244__AT3G11940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRPS5A [Source:UniProtKB/TrEMBL;Acc:D7KZM4] MATAADVDAEIQQALTNEVKLFNRWTYDDVTVTDISLVDYIGVQAAKHATFVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLSDLNPIQVIIDAIVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIFLITTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >fgenesh2_kg.3__1249__AT3G11964.1 pep chromosome:v.1.0:3:4825885:4838054:-1 gene:fgenesh2_kg.3__1249__AT3G11964.1 transcript:fgenesh2_kg.3__1249__AT3G11964.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S1 RNA-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KZM8] MVVPQKKFANGKRHDSTKSFKPTKKPFKKTKDDVAARSEAMALQLDDVLDFPRGGGTSLSKKEREKIYEEVDAEFDADERVSKKSKGGKSKKRIPSDVDDLGLLFGGLNGKRPRYANKITTKNISPGMKLLGVVIEVNQKDIVISLPGGLRGLVRASEASDFTDLGIEVFSANVKSVEDHGYILHFGLPSISGFIEISNDGNQESVMKTGQLIQGVVTKIDRDRKVVHLSSDPDSVAKCLTKDLNGMSFDLLIPGMMVNARVQSVLENGILFDFLTYFNGTVDLFHLKNPLSNKSWKDEYNQNKMVNARILFIDPSSRAVGLTLNPHLVCNKAPPLHVFSGDIFDEAKVVRIDKSGLLLELPSKPVPNPAYISFKEGNHIRVRILGLKQMEGLAIGTLKESAFEGPVFTHSDVRPGMVTKAKVISVDTFGAIVQFAGGLKAMCPLRHMSEFEVMKPRKKFKVGAELVFRVLGCKSKRITVTYKKTLVKSKLPILSSYTDATEGLVTHGWITKIEKHGCFVRFYNGVQGFVPRFELGLEPGSDPDSVFHVGEVVKCRVTSAVHGSRRITLNDSIKLGSIVSGIVDSITSQAVIVRVKSKGVLKGTISTEHLADHHDQAKLMMSLLRPGYELDKLLVLDIEGNNLSLSSKYSLIKLAEELPSDFNQLQPNSVVHGYVCNLIENGCFVRFLGRLTGFAPRSKAIDDPRADLSESFFVGQSVRANIVDVNQEKSRITLSLKQSSCASVDASFVQEYFLMDEKISDLQSSDITKSEYSWVEKFSIGSLIKGTLQEQNDLGVVVNFDNINNVLGFIPQHHMGGATMVPGSVVNAVVLDISRAERLVDLSLRPELINNLTKEVSNSQLKKKRKRGISKELEVHQRVSAVVEIVKEQHLVLSIPEHGYTVGYASLSDYNTQKLPVKQFSTGQSVVASVEAVQNPLTSGRLLLLLDSVSGTSETSRSKRAKKKSSCEVGSVVHAEITEIKPFELRVNFGNSFRGRIHITEVNDASTSDEPFAKFRVGQSMSARVVSKPCHTDIKKSQLWELSVKPAMLRDSSELNDIQESEQLEFVAGQCVNGYVYKVDKEWVWLAISRNVTARIFILDTACEAHELEEFERHFPIGKAVSGYVLTYNKEKRTLRLVQRPLLDIHKSIANGGGSKTDKLDISIPGDDGTLFIHEGDILGGRISKILPGVGGLRVQIGPYVFGRVHFTEINDLWVPNPLDGFREGQFVKCKVLEISSSSKGTWQIELSLRTSLDGMSSADHISEDLNNNDNVCKRFERFEDLSLDMGVQGYVKNTMSKGCFIILSRKVEAKVKLSNLCDTFVKEPEKEFPVGKLVTGRVLNVEPLSKRIEVTLKTVNAGGQPKSESYDMKKLHVGDMISGRIKRVEPYGLFIDIDQIGMVGLCHITQLSDDRIENVQARYKAGESVSAKILKLDEEKRRISLGMKSSYLMNGDDVKAQPPSEEKADETSMECDPINDPNTEVLAAVGDFGFQETSGGRHSGASLVLAQVDSRASIPPLEVDLDDIEEMDFDNNQNQEKLLGADKDEKSKRREKQKDKEEREKKIQAAEGRLLEHHAPESADEFEKLVRSSPNSSFVWIKYMAFMLSLADIEKARSIAERALRTINIREEEEKLNIWVAYFNLENEHGSPPEEAVKKVFERARQYCDPKKVYLALLGVYERTEQYKLVDKLLDEMVKKFKQSCKIWLRKIQSSLQQNEEGIQSVVNRALLCLPRHKHIKFISQTAILEFKCGVADRGRSLFEGVLREYPKRTDLWSVYLDQEIRLGEVDVIRSLFERAISLSLPPKKMKFLFKKFLEYERSVGEEERAEYVKQRALEYAKSTLA >fgenesh2_kg.3__1250__AT3G11980.1 pep chromosome:v.1.0:3:4841330:4844195:1 gene:fgenesh2_kg.3__1250__AT3G11980.1 transcript:fgenesh2_kg.3__1250__AT3G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:D7KZN0] MEALFLSSSSSSSIVASNKLARLHNRCDWSTLVRDKKRVGPTWCRVGGGDGGRNSNAERPIRVSSLLKDRGQVLIREQSSPAMDAETLVLSPNGNGRTIEINGVKTLMPFSGAAMVGMKEGLGIISFLQGKKFLITGSTGFLAKVLIEKVLRMAPDVSKIYLLIKAKNKEAAIERLKNEVLDAELFNSLKETHGASYMSFMLTKLIPVTGNICDSDIGLQADSAEEIAKEVDVIINSAANTTFNERYDVALDINTRGPGNLMGFAKKCKKLKLFLQVSTAYVNGQRQGRIMEMPFSMGDCIATENFLEGNRKALDVDREMKLALEAARKGTQNQDEAQKMKDLGLERARSYGWQDTYVFTKAMGEMMINSTRGDVPVVIIRPSVIESTYKDPFPGWMEGNRMMDPIVLCYGKGQLTGFLVDPKGVLDVVPADMVVNATLAAIAKHGMAMSDPEPEINVYQIASSAINPLVFEDLAELLYNHYKSSPCMDSKGDPIMVRLMKLFNSVDDFSDHLWRDAQERSGLMSGMSSVDNKMMQKLKFICKKSVEQAKHLATIYEPYTFYGGRFDNSNTQRLMEKMSEDEKREFGFDVGSINWTDYITNVHIPGLRRHVLKGRA >fgenesh2_kg.3__1255__AT3G12020.1 pep chromosome:v.1.0:3:4856436:4863994:1 gene:fgenesh2_kg.3__1255__AT3G12020.1 transcript:fgenesh2_kg.3__1255__AT3G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQGSKSRKAGLKGADSTASSTTSSSKLYQETSIDGHSSPASSSAQSKQQFFSPDSLPQSAQRSKENVTVTVRFRPLSPREIRQGEEVAWYADGETIVRNEHNPTIAYAYDRVFGPTTTTRNVYDIAAHHVVNGAMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRISYMEIYNEVVNDLLNPAGQNLRIREDKQGTFVEGIKEEVVLSPAHALSLIAAGEEQRHVGSTNFNLLSSRSHTIFTLTIESSPLGDKSKGEAVHLSQLNLVDLAGSESSKVETSGVRRKEGSYINKSLLTLGTVISKLTDVRASHVPYRDSKLTRILQSSLSGHDRVSLICTVTPASSSSEETHNTLKFAHRAKHIEIQAEQNKIIDEKSLIKKYQHEIRQLKEELEQLKQEIVPVPQLKDIGADDIVLLKQKLEDGQVKLQSRLEEEEEAKAALLSRIQRLTKLILVSTKNPQASRLPHRFNPRRRHSFGEEELAYLPYKRRDMMDDEQLDLYVSVEGNHEIKDNAYREEKKTRKHGLLNWLKPKKRDHSSSASDQSSVVKSNSTPSTPQGGGSHLHTESRLSEGSPLMEQLSEPREDREALEDISHEMEIPETSNKMSDELDLLREQKKILSEEAALQLSSLKRLSDEATKSPQNEEIKGEIKVLNDDIKAKNDQIATLEKQILDFVMTSHEALDKSDIMQAVAELRDQLNEKSFKLEVIELFICFCVYYIIPVFKTPNYTNNEATRFSYSSSCLQVKAADNRIIQETLNQKTCECEVLQEEVANLKQQLSDALELAQGTKIEELKQKAKELSESKEQLELRNRKLAEESSYAKGLASAAAVELKALSEEVAKLMYQNERLAAELATQKSPVSQRNKTGTTTNVRNNGRRESLAKRQEHDSPSMELKREVRLSKERELSYEAALVEKDQREAELERILEETKQREAYLENELANMWVLVSKLRRSQGADSEISDSISETRQTEQTEGSF >fgenesh2_kg.3__1256__AT3G12030.1 pep chromosome:v.1.0:3:4864450:4865115:-1 gene:fgenesh2_kg.3__1256__AT3G12030.1 transcript:fgenesh2_kg.3__1256__AT3G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium load-activated calcium channel [Source:UniProtKB/TrEMBL;Acc:D7KZN5] MATTIPLFASFKYADSLTVVGISICTALVCEAISWILIYRTSSYKSLKYSIDKAAKKLETMKTENPSSKLSIKKSKTKKIDRVETSLKESSRDLSLFKFKSGAVVALVLFVVFGLLNSLFEGKVVAKLPFHPITIVKKMSHRGLKGDDPTDCSMAFLYLLCSISIRTNLQKFLGFSPPRGAAGAGGLFPMPDPKTN >fgenesh2_kg.3__1257__AT3G12040.1 pep chromosome:v.1.0:3:4865295:4866813:-1 gene:fgenesh2_kg.3__1257__AT3G12040.1 transcript:fgenesh2_kg.3__1257__AT3G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPARRSKRIDQESEINVTTRVVLRTRKTNCSKTRAARVRPDYPLTRSTSESEMKLMPLEFFQIDALDLAPRLLGKFLRRDNVVLRITEVEAYRPNDSACHGRFGVTPRTAPVFGPGGHAYVYLCYGLHMMLNIVADKEGVGAAVLIRSCSPVSEMETIQERRGLKTDKPVLLNGPGKVGQALGLSTEWSHHPLYSPGGLELLDGGEYVEKVMVGPRVGIDYALPEHVNALWRFAIADTPWISTPKNTLKPL >fgenesh2_kg.3__1258__AT3G12050.1 pep chromosome:v.1.0:3:4868049:4870439:1 gene:fgenesh2_kg.3__1258__AT3G12050.1 transcript:fgenesh2_kg.3__1258__AT3G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aha1 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KZN7] MAKFGEGDKRWIVEDRPDGTNVHNWHWSETNCLEWSRNFFTKQFSGVVILSGEGNLFIKVNKIEKVEGEAYVNVRKGKIIPGYELNVSLSWEGEAKDSDGKTLLKADGLVDMPYISDENADEDPEIRFSVKDEGPIGRTLKEAMVKKGKSIILEKVRVYVEAMAKGGPCRDELESKKVAPKSVAAGSAPVAVEKSGGAPVVSAAAVETKVVKEKKKAKTKEGFKTITMTEKFNCRAKDLYEILMDENRWKGFTQSNAKISKDVNGPISVFDGSVTGMNLELEEGKLIVQKWRFGSWPDGLDSTVKIVFEEPQPGVTIVNLTHTDVPEEDRYGNATVVENTERGWRDLIFHRIRAVFGFGI >fgenesh2_kg.3__1263__AT3G12080.1 pep chromosome:v.1.0:3:4880762:4884961:1 gene:fgenesh2_kg.3__1263__AT3G12080.1 transcript:fgenesh2_kg.3__1263__AT3G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2738 [Source:UniProtKB/TrEMBL;Acc:D7KZP1] MASLLDSLTTRCFFTIPSISRISSPLASSSSLTSSPSSSSPIIPSFSVLSYTHQHSHSRFPFLVAATLDGSSAEEELDFEEFDQYAEDNYADDSDDEDDSIDISVLEKEARDIVRDYATILSRELKLEDETIEGKETRRKGKRLAKNTQQIPEHLLQRVAIVGRPNVGKSALFNRLVGENRAIVVDEPGVTRDRLYGRSYWGDQEFVVVDTGGVMTVSKSPSGVMEELNVSTTIGMEGIPLSSREAAIARMPSMIEKQATAAVDESAVIIFVVDGQAGSSGADVEIADWLRKYYSHKYIILAVNKCESPRKGLMQASEFWSLGFTPIPISALSGTGTGELLDLVCSGLNKIEIMENIEEEEEENYIPAIAIIGRPNVGKSSILNALVREDRTIVSPVSGTTRDAIDAEFTGPDGEKFRLIDTAGIRKKSSVASSGSTTEAMSVNRAFRAIRRSDVVALVIEAMACITEQDLKIAERIEREGKGCLVVVNKWDTIPNKNQETAAHYEDDVREKLRSLKWAPIVYSTAITGHSVDNIVVAAATVQKERSRRLSTAILNQVIREAVAFKSPPRTRGGKRGRVYYCTQAAIRPPTFVFFVNDAKLFSDTYRRYMEKQLRTDAGFAGTPIRLLWRSRKRSDKNGGGGGTMRMAGLTRQRNLATKRT >fgenesh2_kg.3__1265__AT3G12090.1 pep chromosome:v.1.0:3:4885001:4886372:-1 gene:fgenesh2_kg.3__1265__AT3G12090.1 transcript:fgenesh2_kg.3__1265__AT3G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFSNTVIGVLNLLTLLASIPIIGTALYKARSSTTCENFLQTPLLVIGFIILLVSLAGFIGACFNVAWALWVYLVVMIFLIATLMGLTLFGLVVTSQGGGVEVPGRVYKEYRLGDYHPWLRERVRDPQYWNSIRSCILSSKTCTKIETWTTLDYFQRDMTSVQSGCCKPPTACTYEAGVVDGGGDCYRWNNGVEMLCYECDACKAGVLEEIRLDWRKLSVVNILVLVLLIAVYAAGCCAFHNTRHAAHPYHPSDDNRMTRVRPRWDYYWWRWWHEKKEQLY >fgenesh2_kg.3__1268__AT3G12110.1 pep chromosome:v.1.0:3:4890935:4892893:1 gene:fgenesh2_kg.3__1268__AT3G12110.1 transcript:fgenesh2_kg.3__1268__AT3G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-11 [Source:UniProtKB/TrEMBL;Acc:D7KZP4] MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTSAEREIVRDVKEKLSYIALDYEQEMDTANTSSSVEKSYELPDGQVITIGGERFRCPEVLFQPSLVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >fgenesh2_kg.3__1269__AT3G12120.1 pep chromosome:v.1.0:3:4893476:4896247:-1 gene:fgenesh2_kg.3__1269__AT3G12120.1 transcript:fgenesh2_kg.3__1269__AT3G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMPVPTSSKKSETDTIKRVPCEKPPFSVGDLKKAIPQHCFKRSIPRSFSYLIGDIIIASCFYYVATNYFSLLPQPLSYLAWPLYWACQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLIFHSFLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKQKSAIKWYGKYLNNPLGRIMMLTVQFVLGWPLYLAFNVSGRPYDGFACHFFPNAPIYNDRERLQIYLSDAGILAVCFGLYRYAAAQGMASMICLYGVPLLIVNAFLVLITYLQHTHPSLPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYNAMEATKAIKPILGDYYQFDGTPWYVAMYREAKECIYVEPDREGDKKGVYWYNNKL >fgenesh2_kg.3__1274__AT3G12140.3 pep chromosome:v.1.0:3:4904281:4906976:-1 gene:fgenesh2_kg.3__1274__AT3G12140.3 transcript:fgenesh2_kg.3__1274__AT3G12140.3 gene_biotype:protein_coding transcript_biotype:protein_coding METQIHQLEQEAYTAVLRAFKAQSDAISWEKESLITELRKELGVSDDEHRELLSRVNKDDTIQRIRDWRQGGGSQNTRHATIQPFDVLPSPTFSAGRKKQKTFQSYHPSIGATGNKSFNSRVVSGGISGNESAEALIGRKVWTKWPEDNHFYEAIITQYNADDGRHALVYDIHAANETWEWVDLKEIPPEDIRWDGEESGVALNIGHGSGSFRGNRRSQIHGGRGRGPRIHQPRREILPPPTQQNGGGGRRTSSDDIELFNTDSLVKEVERVFDSTHPDPLELDKAKKMLKRIITTSVLADTSDGEMGNNNKIMLCLVCDLRINFIYP >fgenesh2_kg.3__1276__AT3G12150.1 pep chromosome:v.1.0:3:4913282:4915878:-1 gene:fgenesh2_kg.3__1276__AT3G12150.1 transcript:fgenesh2_kg.3__1276__AT3G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIKLGMLHYVIDHVYGAFMHRTKITPPFFSRGWGGPNLELLERMVQRLFPLEAQGQNWPPPLVRPVWRTVWETKTATLREGVFQTPCADELTAALPPESRTARVAWLVPKNVPPQKMACVVHLAGTGDHTYDRRLRLGGPLVKQNIATMVLESPFYGQRRPFLQRGARLLCVSDLLLLGRATIEESRSLIHWLDTEEGFGKMGVCGLSMGGVHASMVGSLHPTPVATLPFLSPHSAVVAFCEGILKYGTAWEALREELAAQKITMTLDEVRERMRNVLSLTDVTRFPIPKNPDAVIFVAATDDGYIPKHSVLELQKAWPGSEVRWVTGGHVSSFLLHNDEFRRAIVDGLDRVEWKESW >fgenesh2_kg.3__127__AT3G01060.1 pep chromosome:v.1.0:3:413354:415549:1 gene:fgenesh2_kg.3__127__AT3G01060.1 transcript:fgenesh2_kg.3__127__AT3G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMALHLPKHSLFLPKPKLPLDKNHNFLGGSLNLGRPMSLNREINSPMMVSASSTSKTVVTDDVDADRSKRFYINFTGFPFPLGPFLNRRTIRTEAVKGCIWMFEQEQALGFSSVSTNIRMTIIKLKSGGLWVHAPIAPTKECIQLIKELEAPVEYIVLPTFAYEHKIFVGPFSRKFPKAQVWVAPRQWSWPLNLPLEFFGIFRAKIIKDGDLSTPWAEEIEQKVLSSPEVGIGPYVEVAFYHKRSRTLLVTDAVIFVPRKPPSSISNESLLASAKNGLAVKILSKGKDVPNDPVVDNPNTRQKGWERMVLQILFLGPSNLLEPNASFARMSQKLIVSPIVKTLVFSKVPEKVRDWIDEIARDWRFKRIIPAHFEAPINAGRSEFLAAFGFLEDLLGERYVTRPSLSLLFTSLMGKAASYFPPDDMRTLSSLDQFLVSVGAVKKTVSGRKRR >fgenesh2_kg.3__1280__AT3G12200.1 pep chromosome:v.1.0:3:4926115:4929952:-1 gene:fgenesh2_kg.3__1280__AT3G12200.1 transcript:fgenesh2_kg.3__1280__AT3G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KZQ6] MEANDCEEEHKFTLDNYHVVEQVRRGKSSSDFLVLHQIEDKKYAMKKISLAKHTDKLKLTAFQEMKLLSNLKNPYIMHYEDSWIDKDNNACIFTAYCEGGNMATAIKKARGKLFPEERIFKWLAQLLLAVNYLHSNRVVHMDLTCSNIFLPKDDHVQLGNYGLAKLINPEKPVSMVSGISNSMCPEVLEDQPYGYKSDIWSLGCCMYEITAHQPAFKAPDMAGLINKINRSLMSPLPIVYSSTLKQMIKLMLRKKPEYRPTACELLRNPCLQPYLLQCQNLSPIYLPVFPIKSVNSPKDKARRNSLPGKFVKERDSREKSEVSRSLENLYPFWTNAETGSSSSSQPASSTNGAEDKLETKRIDPSCDTLKISEFTSHKSDESLIDPDIAFYSTEKQAEENALPKETKNMFSEESQLRDVDVGVVSAQEVVCSPPKAIEEAETQELLPEPKEHRTVSISSTAPSSTEIAAAARDRLSGSLEGDEVKTVKLTASEMSSVLSKLTKLGPPQSKERADALECLLEKCAGLVKQEKYEELAGLLTPFGEDGVSARDTAIWFAKTLLSSEKLNQGT >fgenesh2_kg.3__1282__AT3G12210.2 pep chromosome:v.1.0:3:4933422:4934531:1 gene:fgenesh2_kg.3__1282__AT3G12210.2 transcript:fgenesh2_kg.3__1282__AT3G12210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFKCSDVGVWKEALSSYESRIESLNKPDLVSLDQFYRVKLPSLLHDRDPDPYLTTSELSQLMKWKLSRGKWRPRLLDFVSSLEDSVVKSASEKAFKSLPDISKAVKELTVLKGVGPATASAVLAAYAPDIAPFMSDEAMEVALGNSKDYSLKQYLLFVTKLQDKAKELKLKGEWDGPSDVERALWSCTVRAKSQPDKSSSGKKRKR >fgenesh2_kg.3__1283__AT3G12220.1 pep chromosome:v.1.0:3:4934896:4937556:-1 gene:fgenesh2_kg.3__1283__AT3G12220.1 transcript:fgenesh2_kg.3__1283__AT3G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWIPKFLLLQLVLLLTKHADSSSIIRYLPGFEGPLPFELETGYIGVGDEEEDQMFYYFIKSESNPEEDPLLVWLTAGPGCSSFSGLVYENGPLAFKVKGYNGSIPTLVSTTYSWTKVTPAFGSLLLFRNPLADISSDTGSTKRVDEFLPKLSIEYFSNPFYVTGNSYSGKLIPVIVQEISNGNCICCKPQINLQGYVLGSPVTDSELNKNSRIQYAHRMSLISDELYESMKRSCGGNYIIVDPLNTQCLELIKDYDKCVSGIYENLILAPKCDLTSPDCQFAMLLWQSYRSMLSEYWANNESGTTGNGERCKWSLQSNKDIKSSIPYHKKNSIEGYRSLIFSGDHDMLTPYIGTQDWIRSLNYSIIDKWRPWMILDQVAGYTTTYANRMTFATVKGGGHTLDYKPKENSILFQRLVAHGQGIKPEERSIMFKIWISGQLL >fgenesh2_kg.3__1288__AT3G12250.4 pep chromosome:v.1.0:3:4942102:4944157:1 gene:fgenesh2_kg.3__1288__AT3G12250.4 transcript:fgenesh2_kg.3__1288__AT3G12250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSSRTDLVIILLFYFLYNVTPGRLVPGSLGKTWDILPSDRGQMLAAASDSSDRSKDKMDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGVFISSSGDQAHSTGGNGALAFDAEHSRWLEEKNRQMNELRSALNAHAGDTELRIIVDGVMAHYEELFRIKSNAAKNDVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPMTERQVMGINSLQQTSQQAEDALSQGMESLQQSLADTLSSGTLGSSSSDNVASYMGQMAMAMGQLGTVEGFIRQADNLRLQTLQQMLRVLTTRQSARALLAIHDYSSRLRALSSLWLARPRE >fgenesh2_kg.3__1289__AT3G12260.1 pep chromosome:v.1.0:3:4944582:4945959:-1 gene:fgenesh2_kg.3__1289__AT3G12260.1 transcript:fgenesh2_kg.3__1289__AT3G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 family protein [Source:UniProtKB/TrEMBL;Acc:D7KZR1] MAAPFTLRKIGVPPNSANLTEARRRVFDFFRAACRSIPTIMDIYNLQDVVAPSQLRYAISAQIRNNAHITDPKVIDLLIFKGMEELTDIVDHAKQRHHIIGQYVVGEGLVQNTGNKDQGKTDFLKNFYTSNYF >fgenesh2_kg.3__1291__AT3G12280.1 pep chromosome:v.1.0:3:4949094:4954784:-1 gene:fgenesh2_kg.3__1291__AT3G12280.1 transcript:fgenesh2_kg.3__1291__AT3G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVQPPVTPPIDPNGKRSEASLLDICEKVLSLDGSTCDEALKLFTETKRILSASMSNIGSGTREEVERFWFAFILYSVKRLSVRKEADGQSVSGDNGFNLCQILRALKLNIVDFFRELPQFVIKAGSVLGELYGADWENRLQAKELQANFVHLSLLSKYYKRGFHEFFLTYDANAEKNSANSSTYLADSYRFGWLLFLALRNHAFSRFKDLVTCSNGVVSILAILIIHVPCRFRNFSIQDSSRFVNKGDKGVDLVASLCKIYDASEDELRIVIDKANNLVETILKKKPSPASDCQTDKLDNIDPDGLTYFEDLLEEMSISSSLIMLEKDYDDGKGELDERVFINEEDSLLGSGSLSAGAVNITGVKRKIDALSSPARTLISPLSPHKSPAAKTNGISGATKLAATPVSTAMTTAKWLRTVICPLLPKPSPGLEHFLKSCDRDITNDVTRRAHIILEAIFPNSSLGAQCGGGSLQAVDLMDDIWAEQRRLEALKLYYRVLEAMCKAEAQILHANNLNSLLTNERFHRCMLACSAELVLATHKTITMLFPAVLERTGITAFDLSKVIESFIRHEDSLPRELRRHLNSLEERLLESMVWEKGSSMYNSLIVARPSLALEINQLGLLAEPMPSLDAIAALINFSEGVNHASSIQKHETCSGQNGGIRSPKRLCTDYRSILVERNSFTSPVKDRLLALGNVKSKMLPPPLQSAFASPTRPNPGGGGETCAETGINIFFTKINKLAAVRINGMVERLQLSQQIRESVYCFFQHVLAQRTSLLFSRHIDQIILCCFYGVSKISQMSLTFREIIYNYRKQPQCKPLVFRSVYVDSLQCRRQGRIGPDHVDIITFYNEIFIPAVKPLLVELGPVRNDRAVEANNKPEGQCPGSPKVSVFPSVPDMSPKKVSAVHNVYVSPLRGSKMDALISHSTKSYYACVGESTHAYQSPSKDLSAINNRLNNSSSNRKRTLNFDVEAGLVSDSMVANSLNLQNQNQNQNVSDGLSSGAAPLKTEPTDS >fgenesh2_kg.3__1292__AT3G12290.1 pep chromosome:v.1.0:3:4955159:4956933:1 gene:fgenesh2_kg.3__1292__AT3G12290.1 transcript:fgenesh2_kg.3__1292__AT3G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDHTAKIIDGKAIAHTIRSEIAEEVRGLSEKHGKVPGLAVVIVGNRKDSQTYVNTKRKACAEVGIKSFDVGLPEEVSEADLISKVHELNSNPDVHGILVQLPLPKHINEENVLGAISIDKDVDGFHPLNIGKLAMKGREPLFLPCTPKGCLELLARSGVKIKGQRAVVVGRSNIVGLPVSLLLLKADATVTTVHSHTKDPEAFIREADIVIAACGQAHMIKGNWIKPGAAVIDVGTNAVSDPSKKSGYRLVGDVDFAEASKVAGFITPVPGGVGPMTVAMLLRNTVDGAKRVFGE >fgenesh2_kg.3__12__AT3G01990.1 pep chromosome:v.1.0:3:36725:38840:-1 gene:fgenesh2_kg.3__12__AT3G01990.1 transcript:fgenesh2_kg.3__12__AT3G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEYAKLIRRMNPPRVVIDNNASDDATVIQVDSVNKHGTLLEVVQVLTDMNLVIKKAYISSDGGWFMDVFKVIDQDGNKIRDTQVLDYIQRRIESNAGWFIPPLRSSVGVMPTDEYTAIELAGTDRPGLLSEVSAVLTDLHCNVVNAEIWTHNTRAAAVIHVTDNLTNSAITDPIRLSTIKELLCNVVRTNSGSRAAKTVFSCSDTHRERRLHQIMFDDRDYEGVKRAKTSASRPSVTLMNIEKDYTVVTMRSKDRPKLVFDVVCTLTDMQYVVFHGMVSTEPVEAYQEFYIRHVDGLPINSEAEQERVIQCLEAAIERRASEGLELELSAEDRVGLLSDITRTFRENSLTIVRAEISTREGKAKDTFYVTDVTGNPVESKIVESIRQQIGVSKLKVKKKEDCSVLGTSRPSHETTMGYLLSNIFKPKSLQSFKLHLSHS >fgenesh2_kg.3__1301__AT3G12410.1 pep chromosome:v.1.0:3:4982827:4983790:-1 gene:fgenesh2_kg.3__1301__AT3G12410.1 transcript:fgenesh2_kg.3__1301__AT3G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTIRTVASYNTHLEYSVDFFGDELIVTVTPDSSVISRWIRDVLFNNRFSSHPLVVGVGVQWTPFYYYADPLENDYYADAPAGRNYSDNPADILQLCVGNRCLIIQLGYCEQVPNNLRSFLGDPETTFVGVWNGQDAGKLARCCHQLEIGELLDIRQYVNDSWGRSMRRCSFAEIVEECMGYQGVTLDPEISMSDWTVYDLDLDQILQASLDAYVCHQLGVWARLWEV >fgenesh2_kg.3__1302__AT3G12420.1 pep chromosome:v.1.0:3:4991377:4992413:-1 gene:fgenesh2_kg.3__1302__AT3G12420.1 transcript:fgenesh2_kg.3__1302__AT3G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTIRTGGNYSTHQEYSIDVFGNALSVTVTSDFAIISQWIREVLYNNCGPYYPQPLVVGVGVQWTPPLSYDANPPSNRYYSDQPLRGYDANPPPNRYYSDQPSRSYDANPPPNRHYSNEPPHSYDANDLPPNRYYDHQTCGYYEHSPPRDHYADPPQYNYTGNPSRPSYCANPYPGDYISDTQRGESNSDPPADTLQLCVGNKCIIIQLGHCDQVPNSLRTFLTDPGPRSSAFGIVKTQESWRYLNISCRSGNF >fgenesh2_kg.3__1303__AT3G12470.1 pep chromosome:v.1.0:3:4995222:4995973:-1 gene:fgenesh2_kg.3__1303__AT3G12470.1 transcript:fgenesh2_kg.3__1303__AT3G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTIKTIGSFRTHQKHLINFFGDDLIVTVTPTATVIRRWIRSVRSYNRNHSVHPLVVGIGVRSDPDPSPKTLQLCVGSRCLIIQLGDCYCLPNVLRTFLSDPNTTFVGVWNGQDQRKLATCRHQLEIGKLLDIRLYVIDSRRIAMRFCSFEQIVKERLGREGVRLDPAICMSDWGVYMLSHDQVLQASIESCVCFKLAVEERLWELKSCNGVANLVI >fgenesh2_kg.3__1304__AT3G12480.1 pep chromosome:v.1.0:3:4996962:4999501:1 gene:fgenesh2_kg.3__1304__AT3G12480.1 transcript:fgenesh2_kg.3__1304__AT3G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKSLELFLQDLCDRTYEITLERGAKTVSSLHLKHCVERYNVFDFLREVVSKVPDYGHSQGQGHGDVTMDDRTISKRRKPINDEVNDSDEEYKKSKTQEMGNAKPSGRGGRGRGRGRGRGGRAARAAEREGLNREMELEAAVSGQPPPEDNIKMHVSESSPQENEKKDIDGTVASNEDNKQHLQSPKEGIDFDLNAESLDLNETKLAPATGATTTAATTDSEEYSAWPMMDIGKMDPAQLASLGKRIDEDEEDYDEEG >fgenesh2_kg.3__1310__AT3G12540.1 pep chromosome:v.1.0:3:5013047:5015081:1 gene:fgenesh2_kg.3__1310__AT3G12540.1 transcript:fgenesh2_kg.3__1310__AT3G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQGELEDEQALNKALRDMHRGPVMSQPRLSLLLLPPQVQELIEELATVEAEILCLEKRIQDLKLDVYSEKKENKELEASIDQGEEERMMNPKRLLQRQNHLPCDADNDIIKMRSEDLKHRSKSQSYADHHIVKDIQMNSPRTHASIGSSMEFSSRIHSSTFSDGTSRTQESKNVQETTPNGVSEDLVKCLMGIYLELNRSSREREGSKTVSKLSLTHLKNASFKRKSVYDHNASNLDPYGAVMGTSLRDIGEYKNFIHITRTSIDVSRLSDCSTSLVNLRVLKEKLSKVDLSFLNHKKKMAFWINTYNACVMNGFLEHGLPSSKEKLLTILKMATIDVGGTQLSALDIEGSILLSPCEPRESVSAGESEVRIQQRYGFRCVEPNLMFVLCRGDWSSPALRVYTAEDVVNELIKARTEYLEASIGVSGRKKIVIPRFLHKRLRDFAEDEGSLIEWICSQLPPVQRCLQLKETAVEWLNKKGESQLKKMIEVRSHEYEFRYLLPL >fgenesh2_kg.3__1311__AT3G12545.1 pep chromosome:v.1.0:3:5015422:5016171:-1 gene:fgenesh2_kg.3__1311__AT3G12545.1 transcript:fgenesh2_kg.3__1311__AT3G12545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQRTRLRTVPEKSPVEKKRYSSRLLQQILEIIRYFKQQKGFGDIPRNLCLYSTRYGRALKSSQEGIYMKILPLMLIAFVILLSAPIKVVGTKLPSYCCGRLVKPQPCACKYFIKNPVLLPRLLIACRVPHPKC >fgenesh2_kg.3__1313__AT3G12560.1 pep chromosome:v.1.0:3:5019772:5023444:-1 gene:fgenesh2_kg.3__1313__AT3G12560.1 transcript:fgenesh2_kg.3__1313__AT3G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKRKLDCRFDFPNIPRAPRSCRRKVLNKRIDDDNDTQICAIDLLALAGKILQESESSSASSNAFEGNNHDKEIKQEQDDKCKPIKSESSDQGNSVSKPTYEISTDKCVVNSFSFPDSDGVLERTPMSDYKKIHGLMDMGDVNVNTGFDQGEATDCLGDGGLITDTCNLEDATALGVQFPKSVRVGGDLKSPSSLDMTPNGSYARHGSHTNLGSRDDDENFYSYLKLSNKFKSYRSPTIRRIRKSLSSKYWKQVPKDFGHSRADVGVKTLYRKRKSCYGYNAWQREIIYKRRKSPDRSSVVTSDGGLSSGSVSKLPKKGDTVKLSIKSFRIPELFIEVPETATVGSLKRTVMEAVSVLLSGGIRVGVLKHGKKLRDDRKTLSQTGISCDEILDNLGFTLEPGPSKVPLPLCFEDPAVPTDPTSLSERSAASPMLDSGIPHAEDMINSGNIVDSNLELVPYQGDISVDEPSSDSKELVPLPALEVKALAIVPLNQKPKRTELAQRRTRRPFSVTEVEALVQAVEELGTGRWRDVKLRAFEDADHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLRAYGYWSQHQGKHQARGASKDPEMNRGIAPESGVSV >fgenesh2_kg.3__1314__AT3G12570.2 pep chromosome:v.1.0:3:5026907:5029202:1 gene:fgenesh2_kg.3__1314__AT3G12570.2 transcript:fgenesh2_kg.3__1314__AT3G12570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETLITTLSMENYHPSTLLSMDSGAFTHEESERDINRSLLLTGPPDINLPLSSEASPSLLLWNEHCDILDVGLGPQLYEPEAVVHVPKVAKKYNKRVDSAWGAWLFFSFYFKPVLDEKSKSKLTRDSNGLSGYDKSDLQLDSFLVQHDMENMYMWVFKEKPENALGKMQLRSYMNGHSREGERPFPFSVDKGFVRSHRMQRKHYRGLSNPQCLHGIEVVYSPNLSVLNEDEKKKWTELTGRDVNFAIPAEASDYGSWRNLPNTEFEAERPLPLVKANGHTHLKKLNGTCLNLSTHSPDHAVDTVEVQPAGSNKRKRDCLALGNCDDSSSSEKSLDMKIHATELPWSNDFSGVIKNVYGPVTAAKTIYEDDKGFLIVMSLPFVDSGRVKVTWRNTPTHGIVKISCVSTACEPFIKRHDRTFKLTDPTPEHCPPGEFVREVSLPNRIPDDATLEAYRDETGTTLEVLVPKHRMGPEEHEVRVCLRPFVLE >fgenesh2_kg.3__1319__AT3G12600.1 pep chromosome:v.1.0:3:5043077:5044607:1 gene:fgenesh2_kg.3__1319__AT3G12600.1 transcript:fgenesh2_kg.3__1319__AT3G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRLQQRYEDGSRLVAGCIPFRYINSDKDGNSESGKVIQVLMISSSSGPGLLFPKGGWENDETVREAAVREAVEEAGVRGILMDFLGNYEFKSKTHQDEFSPEGLCKAAMYALYVKEELETWPEHETRTRKWLTIEEAVENCRHAWMKDALVEGFCKWHKEKMDKGEEITSEH >fgenesh2_kg.3__1320__AT3G12610.1 pep chromosome:v.1.0:3:5049452:5050819:-1 gene:fgenesh2_kg.3__1320__AT3G12610.1 transcript:fgenesh2_kg.3__1320__AT3G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage repair/toleration 100 [Source:UniProtKB/TrEMBL;Acc:D7L0I5] MRKLLASPFSSLLAVVFISVISVVRCCSPEDQTALNAFKSSLSEPNLGIFNTWSENTDCCKEWYGISCDPDSGRVTDISLRGESEDAIFQKAGRSGYMSGSIDPAVCDLTALTSLVLADWKGITGEIPPCVTSLASLRILDLAGNKITGEIPAEIGKLSKLAVLNLAENQMSGEIPSLLTSLVGLKHLELTENGITGVIPADFGSLKMLSRVLMGRNELTGSIPESISGMERLVDLDLSRNHIEGPIPEWMGNMKVLSLLNLDCNSLTGPIPGSLLSNSGLDVANLSRNALEGSIPDVFGSKTYLVSLDLSHNSLSGRIPDSLSSAKFVGHLDISHNKLCGRIPTGSPFDHLEATSFSDNQCLCGGPLMTLC >fgenesh2_kg.3__1322__AT3G12620.1 pep chromosome:v.1.0:3:5052493:5054707:-1 gene:fgenesh2_kg.3__1322__AT3G12620.1 transcript:fgenesh2_kg.3__1322__AT3G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein [Source:UniProtKB/TrEMBL;Acc:D7L0I6] MVSSATILRMVAPCWRRPSVKGDHSTRNDANGRCDGLLWYKDSGNHVAGEFSMSVIQANNLLEDHSKLESGPVSMFDSGPQATFVGVYDGHGGPEAARFVNKHLFDNIRKFTSENHGMSANVITKAFLATEEDFLSLVRRQWQIKPQIASVGACCLVGIICSGLLYIANAGDSRVVLGRLEKAFKIVKAVQLSSEHNASLESVREELRSLHPNDPQIVVLKHKVWRVKGIIQVSRSIGDAYLKKAEFNREPLLAKFRVPEVFHTPILRAEPAITVHKIHPEDQFLIFASDGLWEHLTNQEAVDIVNTCPRNGIARKLIKTALREAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSHLVSRSTSRRPLLSISGGGDLAGPST >fgenesh2_kg.3__1323__AT3G12630.1 pep chromosome:v.1.0:3:5055882:5056812:1 gene:fgenesh2_kg.3__1323__AT3G12630.1 transcript:fgenesh2_kg.3__1323__AT3G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTEKEETEFKVLDTLTTTTTTTLCTNNCGVTANPATNNMCQKCFNASLVSAAAGVVESGSILKRSARSVNLRSSPAKVVIRTREIDAVKRDQQIVNRCSGCRKKVGLTGFRCRCGELFCSEHRYSDRHDCSYDYKTAGREAIARENPVVKAAKMVKV >fgenesh2_kg.3__1325__AT3G12650.1 pep chromosome:v.1.0:3:5061621:5062374:-1 gene:fgenesh2_kg.3__1325__AT3G12650.1 transcript:fgenesh2_kg.3__1325__AT3G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISRRLVSKFLKPLSSPSFHASCRHLQSSSSLIRNHLTHGSVTQELGLLRSFSAFNIDKLRSETWSPRYFSTPSGETEPKKPAEESKPEELPKMKHQEIEGPTVERDLSALGNETRQVLEGMMKNMYSLSGAMGALGLTQLILGGAILYATRSDPMKEMTIQSCIAFGFPFAMALMVRRSLKPMYFFKKMEELGRLQILTLTLQVAKNLNLLFVRARVVSILCVVALCFGNLFLLLSP >fgenesh2_kg.3__1326__AT3G12660.1 pep chromosome:v.1.0:3:5062881:5063636:1 gene:fgenesh2_kg.3__1326__AT3G12660.1 transcript:fgenesh2_kg.3__1326__AT3G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLTIFFFFASTFLYSSSNSFNITNILNEHDDFSTFNQLLSETQLASTINKRQTITVLVVSNGALSSISGQPTAVIKKILSLHIVLDYYDQKKLKNLSKKSVLLTTLFQSSGLARGQQGFLNATVTKDGDVAFGSAVPGSSLDAQLQDTVASLPFNISVLHISSAIMIDVKGDNAPTASPLSPVSSPPRPAESPKDDGHDFDEPPSSAPDAAADGPSENAGSANGVSRIDSQPAFTFPLLMSSIWWFMA >fgenesh2_kg.3__1327__AT3G12670.1 pep chromosome:v.1.0:3:5063989:5068016:-1 gene:fgenesh2_kg.3__1327__AT3G12670.1 transcript:fgenesh2_kg.3__1327__AT3G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:D7L0J1] MKYVLVTGGVVSGLGKGVTASSIGLLLQACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDSTLTRDNNITTGKIYQSVIDKERKGDYLGRTVQVVPHVTDAIQEWIERVANVPVDGKEGPPDVCVIELGGTIGDIESMPFIEALGQFSYKVGPGNFCLVHVSLVPVLSVVGEQKTKPTQHSVRGLRSLGLTPNILACRSTKALEENVKTKLSQFCHVPEVNIVTLYDVPNIWHVPLLLRDQKAHEAILKELNLSNAVKPDLAEWTARTKIYDTLQDPVRIAMVGKYTGLTDSYLSVLKALLHASVACHKKLVIEWVAASDLEEITAQEAPDVHKAAWDLLKGADGILVPGGFGDRGVQGKILATKYARENQVPFLGICLGMQLAVVEFARSILGFHDANSTEFEPETSSPCIIFMPEGSTTHMGGTMRLGSRRTYFQVADCKSAKLYGNAKFVDERHRHRYEVNPDMISEIEKAGLSFVGKDETGRRMEIVELPSHPYFVGAQFHPEFKSRPGKPSALFLGLIAAASGCLESVLQTGGKVNIVSKNGVANGSAMGKVHQNGNVYSNGNGLHH >fgenesh2_kg.3__1328__AT3G12680.1 pep chromosome:v.1.0:3:5068996:5072930:-1 gene:fgenesh2_kg.3__1328__AT3G12680.1 transcript:fgenesh2_kg.3__1328__AT3G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of ag-4 1 [Source:UniProtKB/TrEMBL;Acc:D7L0J2] MAHRQLYSYALQPSYAAASAVSPAPPPQQQPPKTGLSSLYGSSADHYYPDTTFRFLARDGSEALSNYSGTLASSSAMYHHLPNTTASHLAYPQLLQHQEAAWPPGVEVPGAASAVEPLPPGVKRTSEALYYPTLLGAHNTIGQTEAWYTTDYFTKRPKLESTSHLPIYPQRAGEKDCTHYMQTRTCKFGDSCKFDHPIWVPEGGIPDWKEAPVVPNEEYPERPGEPDCPYYIKTQRCKYGSRCKFNHPREEAAVSVETQDALPERPSEPMCTFYMKTGKCKFGLTCKFHHPKDIQVPSSSQDNGSSVGLTSEPDATNNPHVTFTPALYHNSKGLPVRPGEVDCPFYLKTGSCKYGATCRYNHPERTAFIPQATGINYSLVSSNTANLNLGMVTPATSFYQTLTQPTLGALSATYPQRPGQSECDYYMKTGECKFGERCRFHHPADRLSATSNQASQQPNVKLSLAGYPRREGALNCPYYMKTGTCKYGATCKFDHPPPGEVMAKTTSEADAAGATDTTQ >fgenesh2_kg.3__1329__AT3G12685.1 pep chromosome:v.1.0:3:5073065:5074273:-1 gene:fgenesh2_kg.3__1329__AT3G12685.1 transcript:fgenesh2_kg.3__1329__AT3G12685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHCFVSYNANDLYFSFSNSKFNLSSCPKKPPRLTSCVVNVGFQDIAEVIHNKVLIAAGTSAAIGQLSKPFTSVVLYGKNLDFRSVFQAGGFPSTHSSSVVAAATAIAFERGFADSIFGLTVVYAGLIMYDAQGVRREVGKHAKVLNKLTANARRSEVMSFKGNESNKALQSDEISEEVAPPLKESIGHTEVEVIAGALFGFLVTFSVYSLM >fgenesh2_kg.3__132__AT3G01040.1 pep chromosome:v.1.0:3:420337:423710:-1 gene:fgenesh2_kg.3__132__AT3G01040.1 transcript:fgenesh2_kg.3__132__AT3G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LAJ8] MQLHISPSMRSITISSSNEFIDLMKIKVAARHISYRTLFHTILILAFLLPFVFILTAVVTLEGVNKCSSFDCFGRRLGPRLLGRIDDSEQRLVRDFYKILNEVSTQEIPDGLKLPDSFSQLVSDMKNNHYDAKTFALVLRAMVEKFERELRESKFAELMNKHFAASSIPKGIHCLSLRLTDEYSSNAHARRQLPSPELLPVLSDNAYHHFVLATDNILAASVVVSSAVQSSSKPEKIVFHVITDKKTYAGMHSWFALNSVAPAIVEVKSVHQFDWLTRENVPVLEAVESHNSIRNYYHGNHIAGANLSETTPRTFASKLQSRSPKYISLLNHLRIYLPELFPNLDKVVFLDDDIVIQRDLSPLWDIDLNGKVNGAVETCRGEDVWVMSKRLRNYFNFSHPLIAKHLDPEECAWAYGMNIFDLRTWRKTNIRETYHSWLKENLKSNLTMWKLGTLPPALIAFKGHVQPIDSSWHMLGLGYQSNTNLDNAKKAAVIHYNGQSKPWLEIGFEHLRPFWTKYVNYSNDFIKNCHILE >fgenesh2_kg.3__1330__AT3G12690.1 pep chromosome:v.1.0:3:5074352:5077180:-1 gene:fgenesh2_kg.3__1330__AT3G12690.1 transcript:fgenesh2_kg.3__1330__AT3G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASKKNTANVGSKEVDPIVKPKSPRTSLSPFSLKLGDNVPRNPYFDPKKMDPLVKHQPIKSHEPPTATRGTNSEGDLKHNTYSSEGDSLAMRKNAPKNLHYDPKKIVPLTTSETYSPSARNHHHHRTKSPDNKRAPRHNGDYAYGDNLVGPSATPFKPHTGGDVRWDAINSIASKGPQIGLDNFRLLKRLGYGDIGSVYLADLRGTNAVFAMKVMDKASLASRNKLLRAQTEREILSLLDHPFLPTLYSYFETDKFYCLVMEFCSGGNLHSLRQKQPSRRFTEEAARFYASEVLLALEYLHMLGVVYRDLKPENILVRDEGHIMLSDFDLSLRCTFNPTLVKSSSVCSGGGAILNEEFAVNGCMHPSAFLPRLLPSKKTRKAKSDSGLGGLSMPELMAEPTDVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGTTPFKGQGNRATLHNVVGQPLKFPDTPHVSSAARDLIRGLLVKDPHRRIAYTRGATEIKQHPFFEGVNWALVRSASPPHIPDPVDLGPYAAARGKAKSHGVGDHCNSIKPEPLVACAAGPTDDTAYIDFEYF >fgenesh2_kg.3__1333__AT3G12700.1 pep chromosome:v.1.0:3:5085433:5087510:1 gene:fgenesh2_kg.3__1333__AT3G12700.1 transcript:fgenesh2_kg.3__1333__AT3G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIKTSLSCLCLITTLLLLTAADSTEDTAVRLKLAHRDTLWPNPLSRIEDIIGADQKRHSLISRKRKFKGGVKMDLGSGIDYGTAQYFTEVRVGTPAKKFRVVVDTGSELTWVNCRYRGRGKGKVKNRRVFRAEESKSFKTVGCFTQTCKVDLMNLFSLSTCPTPSTPCSYDYRYADGSAAQGVFAKETITVGLTNGRKARLRGLLVGCSSSFSGQSFQGADGVLGLAFSDFSFTSTATSLFGAKLSYCLVDHLSNKNISNYLIFGYSSSSTSTKTAPGRTTPLDLTLIPPFYAINIIGISIGDDMLDIPTQVWDATTGGGTILDSGTSLTLLAEAAYKPVVTGLARYLVELKRVKPEGIPIEYCFSSTSGFNESKLPQLTFHLKGGARFEPHRKSYLVDAAPGVKCLGFMSAGTPATNVVGNIMQQNYLWEFDLMASTLSFAPSTCT >fgenesh2_kg.3__1334__AT3G12710.1 pep chromosome:v.1.0:3:5088766:5090457:-1 gene:fgenesh2_kg.3__1334__AT3G12710.1 transcript:fgenesh2_kg.3__1334__AT3G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyladenine glycosylase family protein [Source:UniProtKB/TrEMBL;Acc:D7L0J6] MSKTEAISLTKRGMLPPSSCSSLMDRSESLKRDSVMSNGAAKVRGSLERKKSKSFKEGESYSSWLITEAPGSIAAVRREQVAAQQALRKLKIAHYGRSKSTINFTSSKVVPLLHPNPNPHPQRCSFLTPTSDPIYVAYHDEEWGVPVHDDKTLFELLTLSGAQVGSDWTSTLRKRHDYRKAFMEFEAEAVTKLTEKEMNAISIEYKIDMSKVRGVVENATKIVEIKKAFGSLEKYLWGFVNHKPISTNYKMGHKIPVKTSKSESISKDMVRRGFRFVGPTVVHSFMQAAGLTNDHLITCCRHTPCTILATNP >fgenesh2_kg.3__1337__AT3G12740.1 pep chromosome:v.1.0:3:5099548:5101755:1 gene:fgenesh2_kg.3__1337__AT3G12740.1 transcript:fgenesh2_kg.3__1337__AT3G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEM3 (Ligand-effect modulator 3) family protein [Source:UniProtKB/TrEMBL;Acc:D7L0J9] MSSTNTPSSSAAAAAGSVDSSAARRNSKRPKYSKFTQQELPACKPILTPGWVISTFLIISVIFIPLGVISLFASQDVVEIVDRYDSACVPPPDQANKVAYIQGAANKSCTRTLIVPKRMKQPIYVYYQLENFYQNHRRYVKSRSDSQLRSVKDENQIDACKPEDDVGGLPIVPCGLIAWSLFNDTYALSRNNQRLAVNKKGIAWKSDREHKFGKRVFPKNFQKGNLTGGAILDPNISLSDQEDLIVWMRTAALPTFRKLYGKIESDLEKGDNIQVTLQNNYNTYSFSGKKKLVLSTTSWLGGKNDFLGIAYLTVGGICFFLALAFTVMYLVKPRRLGDPTYLSWNRIPGGR >fgenesh2_kg.3__133__AT3G01030.1 pep chromosome:v.1.0:3:424807:425902:-1 gene:fgenesh2_kg.3__133__AT3G01030.1 transcript:fgenesh2_kg.3__133__AT3G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LAJ9] MEEHQEFEKPIFEFHPKKLRNSRVSRNLTKKTGFRESMNHYKELSCNYGLRENPKKTQKSLLDHRLCTRRRNKKSLIRCKECGKGFLYEKCLLNHRQVTHSEESMRKSLFCSFSVVQRRKRSKRVSRYKKILSRFSVSSSSCTMFPVSVDDGGLLEVAESLILLSMSGGKFLNALELSGRALDSNPREFEHVLLRNELKHFGEALDSNSVVNLRKDGDFEDGFLSNEQKKPVGISRASVGTSKELSGYLADKKAREEDESGQQKLVEAGILREETDNEHKLVCQETAFEDSISGFEMNIEHRCGLCDKVFSTYQALGGHQTFHRMRNKSKIEAGAGINNGSVTLTHKCRVCSRLF >fgenesh2_kg.3__1341__AT3G12775.1 pep chromosome:v.1.0:3:5110111:5111287:1 gene:fgenesh2_kg.3__1341__AT3G12775.1 transcript:fgenesh2_kg.3__1341__AT3G12775.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L0K3] MSNNNSLITNLFEGRNKGIDASSSDSDDDRAVSTTEQEEDESPKLIPESKPQSEGDKVGDIVRFGKDSYFVIDIFEKEIMELGDKVDLNFKRFKRFEAVYGDAPRDHHFYNYHCCYSCCCVCSSSTVGRLWGILETGLASREKEGVSFFVRTYKERKELMRVVVTVTDCHQNHNLYFFDLKFPREYPYRAPSFYYHPYGLHLSTPEAQKSLKEKSCYNILDVFLHIQEIVLMNTNKSCHQMLDILEQPLAGFQDFVKGYFRRKGPLILRNLLQELDMEEERDKKMFWKLYFAFEGNKVYCEQILNSDLKAELEKLKEKEHTLSDYYYGSSSSPNYPTYTQRDDGIKKTSKIQSFWSKYLSLSFD >fgenesh2_kg.3__1342__AT3G12780.1 pep chromosome:v.1.0:3:5111340:5113736:-1 gene:fgenesh2_kg.3__1342__AT3G12780.1 transcript:fgenesh2_kg.3__1342__AT3G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:D7L0K4] MASAAASPAFSLLKSTGGVASSAGTRARASLLPVPSTSVSARPLGFSATLDSRRFTLHVASKVESVRGKGSRGVVSMAKKSVGDLTSADLKGKKVFVRADLNVPLDDNQTITDDTRIRAAIPTIKYLIDNGAKVILSTHLGRPKGVTPKFSLAPLVPRLSELLGIEVTKADDCIGPEVESLVASLPEGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATELLAKAKAKGVSLLLPTDVVVADKFAPDANSKIVPASGIEDGWMGLDIGPDSIKTFNEALDTTQTVIWNGPMGVFEMEKFAAGTEAVANKLAELSGKGVTTIIGGGDSVAAVEKVGVAGVMSHISTGGGASLELLEGKVLPGVIALDEAIPVTV >fgenesh2_kg.3__1343__AT3G12800.1 pep chromosome:v.1.0:3:5113872:5115297:-1 gene:fgenesh2_kg.3__1343__AT3G12800.1 transcript:fgenesh2_kg.3__1343__AT3G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7L0K5] MESPFKPDVVRGQVALITGGGSGIGFEISSQFGKHGASIAIMGRRKQVLDDAVSALRSLGIQAIGLEGDVRKQEDARRVVEATYQHFGRIDILVNAAAGNFLAAAEDLSPNGFRTVLDIDAVGTFNMCHAALKYLKKGGPGRDSSTGGGSIINISATLHYTASWYQIHVSAAKAAVDATTRNLALEWGTDYDIRVNGIAPGPIGGTPGMSKLVPEEIENKTREYMPLYKLGEKWDIAMAALYLSCDSGKYVNGLTVVVDGGLWLSKPRHLPKEAVKQLSRAVEKRSRAKPVGLPTSKL >fgenesh2_kg.3__1345__AT3G12810.1 pep chromosome:v.1.0:3:5115740:5124715:1 gene:fgenesh2_kg.3__1345__AT3G12810.1 transcript:fgenesh2_kg.3__1345__AT3G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photoperiod-independent early flowering 1 [Source:UniProtKB/TrEMBL;Acc:D7L0K6] MASKGGKSKPDIVMASKGGKSKPDNDSRAKRHKTLEAPKEPRRPKTHWDHVLEEMAWLSKDFESERKWKLAQAKKVALRASKGMLDQASREERKLKEEEQRLRKVALNISKDMKKFWMKVEKLVLYKHQLVRNEKKKKAMDKQLEFLLGQTERYSTMLAENLVEPYKQGQNTPSKPLLAIESKSDEERAEQIPPEINSSAGLESGSPELDEDYDLKSEDETEDDEDTIEEDEKHFTKRERQEELEALQNEVDLPVEELLRRYTAGRVSRETSPVKDENVDNLASVSRETSPVKDENEDNLASVGQDHGEDKNNLTASEETEGNPNVRRSNDSYGHLAISETHSHDLEPGMTTASVKSRKEDHTYDFNDELEDVDFVGATGEEKDDETTLAIEEELAKADNEDHVEEIALLQKENEMPIEVLLARYKEDFGDKDISEDDSEYSCAQSEDSIVNSDENRQQADSDNENVDSTECKPDPEPCSENVEGTFHEITEDNGKDSSDKIADAAAAARSAQPTGFTYSTTKVRTKLPFLLKHSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACDKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKLKRQGWMKLNSFHVCITTYRLVIQDSKMFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPIAGMVEGQEKINKEVIDRLHNVLRPFLLRRLKRDVEKQLPSKHEHVIFCRLSKRQRNLYEDFIASTETQATLNSGSFFGMISIIMQLRKVCNHPDLFEGRPIVSSFDMAGIDVQLSSTICSLLLESPFSKVDLEALGFLFTHLDFSMTSWEGDEIKAISTPSELIKQRVNLKDDMEAIPLSLKNRKNLQGTNIFEEIRKAVFEERVKETKDRAAAIAWWNSLRCQRKPTYSTSLRTLLTIKGPLDDIHHLKANCSSYMYSSILADIVLSPIERFQQMIELVEAFTFVIPAARVPSPACWCSKSDSPVFLSPSYKEKVTDLLSPLLSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAMLLRKLKFGGHRALIFTQMTKMLDVLEAFINLYGYTYMRLDGSTPPEERQTLMQRFNTNPKIFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRVLDNLVIQNGEYNTEFFKKLDPMELFSGHKTLTTKDEKETSKNCGAEIPLSNADVEAALKQAEDEADYMALKRVEQEEAVDNQEFTEEPVERPEDDELVNEDDIKADEPADQGLVAAGLAKEEISLLHSDIRDERAVITTSSQEDDADVLDDVKQMAAAAADAGQAISSFENQLRPIDRYAIRFLELWDPIIVEAAMENEAGFEEKEWELDHIEKYKEEMEAEIDDGEEPLVYEKWDADFATEAYRQQVEVLAQHQLMEDLENEAREREAAEVADMDLTQNVSAHVLKPKKKKKAKKAKYKSLKKGSLAAEAKHVKSVVKIEDSTDDDNEEFGYVSSSDSDMVTPLSRMHMKGKKRDLIVDTEEEKTSQKKAKKHKKSILNSDIKYKQTSALLEELEPSKPSDSVVVDNELKLTNRGKTIGKKFITSMPIKRVLMIKPEKLKKGNLWSRDCVPSPDSWLPQEDAILCAMVHEYGPNWNLVSGTLYGMTAGGAYRGRYRHPAYCCERYRELIQRHILSASDSAVNEKNVNTGSGKALLKVTEENIRTLLNVAAEQPDTEMLLQKHFSCLLSSIWRTSTRTGNDQMLSLNSPIFNRQFMGSVNHTQDLARKPWQGMKVTSLSRKLLESALQDSGTSQPDDTVSRSRLQENQPINKVGLDLTLEFPRGKDDSLTQFPPMINLSIDGSDSLNYVNEPTGEDVLKGSRVAAENRYRNAANACIEDSFGWASNTFSANDLKSRTGTKTQSLGKHKLSGSDSAKSTKSKHRKLLAEQLEVAWVRPNDPNLKFDFTPADREDEEQEVEENAVSEEIEMISCSQWYDPFFTSGLDDCSLASDISEIE >fgenesh2_kg.3__1346__AT3G12820.1 pep chromosome:v.1.0:3:5124834:5126538:-1 gene:fgenesh2_kg.3__1346__AT3G12820.1 transcript:fgenesh2_kg.3__1346__AT3G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB10 [Source:UniProtKB/TrEMBL;Acc:D7L0K7] MGKRRAPCCDKSQVKRGPWSDEESERLRSFILKNGHHNWRSLPKLAGLMRCGKSCRLRWINYLRPGLKRGNFTKEEEDTIIQLHQTHGNKWSKIASHFPGRTDNEIKNVWNTHLKKRLMKRNSSSSSDVTHHSVSSTSSSSSSISSILKDVIIISEMPNQEEEFEEILVEQMACGFEVDAPQSLECLFDDSQIPPPISKPDSLEIHGKSSDHEFWSRLVEPGFDDYNEWLSFLDNQTC >fgenesh2_kg.3__1347__AT3G12830.1 pep chromosome:v.1.0:3:5131442:5132427:-1 gene:fgenesh2_kg.3__1347__AT3G12830.1 transcript:fgenesh2_kg.3__1347__AT3G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7L0K8] MKQLIRRLSRVADSAQYSLLRSDSQRPSRRSESFLRSSVSRRSKKQTSSVPEGHVPVYVGDEMERFVVSAELLNHPVFIGLLNRSAQEYGYEQKGVLQIPCHVLVFERIMESLRLGLPVPIDVQDLIGDEAN >fgenesh2_kg.3__1348__AT3G12860.1 pep chromosome:v.1.0:3:5145347:5147725:1 gene:fgenesh2_kg.3__1348__AT3G12860.1 transcript:fgenesh2_kg.3__1348__AT3G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYLLYESSSGYGLFEVHGLDEIGQNTEAVRSSVSDLSRFGRVVQLTAFHPSQSALDALNQINAVSEGYMSDELRSFLELNLPKVKEGKKPKFSLGVSEPKIGSCIFEATKIPCQSNEFVHELLRGVRQHFDRFIKDLKPGDLEKAQLGLAHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFAMRVREWYSWHFPELVKIVNDNNLYARVSKIIVDKSKLSEEHVPMLTEILGDEDKAREVVEAGKASMGQDLSPVDLINVQSFAQRVMDLADYRKKLYDYLVTKMSDIAPNLASLIGEMVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLVFHSSFISRASAKNKGRIARFLANKCSIASRIDCFSDSSTTAFGEKLREQVEERLDFYDKGVAPRKNVDVMKEVMENLEKKDEGEKTVDASEKKKKRKTEEKEEEKEEEKSKKKKKKSKAVDGEELTTENGHSKKKKKKTKSQDDE >fgenesh2_kg.3__134__AT3G01020.1 pep chromosome:v.1.0:3:428823:429677:-1 gene:fgenesh2_kg.3__134__AT3G01020.1 transcript:fgenesh2_kg.3__134__AT3G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster assembly protein [Source:UniProtKB/TrEMBL;Acc:D7LAK0] MMMLRQTSRKAYLGLRASPLGLGRRLYHESVIDHFENPRNVGSFNRNDPNVGTGLVGAPSCGDLMSLQIKVDDSGQIIDTRFKTFGCGSAIASSSVASEWIKGKTLEEVLTIKNAEIAKHISLPPVKLHCSMLAEDAIKSAVRDYKEKQAKANAAAAGEIVKA >fgenesh2_kg.3__1350__AT3G12880.1 pep chromosome:v.1.0:3:5149402:5150479:1 gene:fgenesh2_kg.3__1350__AT3G12880.1 transcript:fgenesh2_kg.3__1350__AT3G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAKILILTTLVTTVSVTSRANEELMMQQCHNSDNPTLCLRCLNSDPTSHEADKVGLARIILKCINSQLLILTQNTSTLGSQHYRNPKAAAALKQCGLGFSTAKRGVGEADAHLITGDYDKAANDVSVKVVNPPVSCRNSLETLNIQVPSSFRYHMDVYLALTQALLRIVDRF >fgenesh2_kg.3__1351__AT3G12890.1 pep chromosome:v.1.0:3:5153579:5154822:1 gene:fgenesh2_kg.3__1351__AT3G12890.1 transcript:fgenesh2_kg.3__1351__AT3G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPQFYADFTTFSGETSSQFHGSSSCPDVDSSLSSYLDDCYGSFNTSSNPESIFVPQVFGISDVSVPEYNNYYQKMSVNNATQYFHGGDHQEYYAFSPEIKPLFRPATGEQSWGNSEGGIQAEPNTKVGRYSVEERKDRIMRYLKKKNQRNFNKTIKYVCRKTLADRRVRVRGRFARNNDTCEEQSHMSKNHNNHSEKEEDMFSGSDDYLIQMEHDDGWLHEAMSNLIPFPCEFDAPGDAHHPNTWSF >fgenesh2_kg.3__1353__AT3G12910.1 pep chromosome:v.1.0:3:5166535:5167795:1 gene:fgenesh2_kg.3__1353__AT3G12910.1 transcript:fgenesh2_kg.3__1353__AT3G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7L0L5] MEKMIESRDNTRESEDEEVLKLPGFRFHPTDEELVGYYLSKKVLLKKPSKIDEIVSQIDIYKFDPWDLPRSRNTEKESYFFCKRGRKYRNSIRPNRVTGSGFWKATGIDKPIYSDGSNKAVIGLKKTLVYYLGSAGKGSKTDWMMHEFRLPTANDTIPGGPTHSNPTPTSLLHAEVWTLCRIFKRNVSSRKYTPDWRELANGKRVKQQQSNYQEAYYINFGDYESSSTNVINVREGEGNYERSVFQLQQTPHQHQNKPILMDTTHVDNSFQHFSNDNIHEETYENWDELRSVVEFAFPPSSLS >fgenesh2_kg.3__1355__AT3G12920.1 pep chromosome:v.1.0:3:5178080:5179702:-1 gene:fgenesh2_kg.3__1355__AT3G12920.1 transcript:fgenesh2_kg.3__1355__AT3G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7L0L7] MAVEAHHLNPLFSSNREMIHPVEANGLAYNTQMRYGTVPTFNPTVECQTSLFSPIYNISPVDGLVHQSMKPTIHSVDSSVTFNSENDFLRPVSSRKRSREESVVLNPSAYMQSHKNPTDPLMFLGQDLSSNVQQHHFDIDRLISNHVERMRMEIEEKRKTQGRRIVEAVEQGLMKTLRAKDEEINHIGKLNLFLEEKVKSLCVENQIWRDVAQSNEATVNALRSNLQQVLATVERNRWEEPPTVADDAESCCGSNDEGDSEEERWKLAGEAQDTKKMRRVGLSMCRSCGKGEASVLLLPCRHMCLCTVCGSSLNTCPICKSPKNASLHVNLSS >fgenesh2_kg.3__1358__AT3G12950.1 pep chromosome:v.1.0:3:5190544:5192942:-1 gene:fgenesh2_kg.3__1358__AT3G12950.1 transcript:fgenesh2_kg.3__1358__AT3G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQYGSTGQHCEFTAASYFSWPTSSRLSNAAEERANYFSNLQKEEEEDEEVSPEPASTDPKGQRATTLLELMTIRAFHSKILRCYSLGTAIGFRIRRGVLTDIPAIIVFVSRKVHKQWLSPLQCLPTALEGAGGIWCDVDVVEFSYFGEPDHQPTPKQTFTTDIVDHLQGSDPFIGSGSQVASQETCGTLGAIVRSQTGSRQVGFVTNRHVAVNLDYPSQKMFHPLPPALGPGVYLGAVERATSFITDDLWFGIFAGTNPETFVRADGAFIPFADDYDLSRVTTSVKGGVGEIGEVKAIELQSPVGSLVGKQVVKVGRSSGLTTGTVLAYALEYNDEKGVCFLTDFLVVGENHRSPFDLEGDSGSLIVMKGEEKARPIGIIWGGTGSRGRLKLKVGECPESWTTGVDLGRLLTHLQLDLITTDEGLKAAVQEQRAASTTGMSSMVADSSPPYVNLKKGKRNPEEKVEASLGPLQVQHIDLEERIETKGGAPSVEHQFMPTFSGQCSASAWPETAREDLAVGLTNGSCDGDLCVGLRLGDDGAKRRRTQVTKERMRPAE >fgenesh2_kg.3__1360__AT3G12960.1 pep chromosome:v.1.0:3:5195518:5195758:1 gene:fgenesh2_kg.3__1360__AT3G12960.1 transcript:fgenesh2_kg.3__1360__AT3G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L0M1] MAKNKDDIKYATAQAKLSEDEPIRVSYKHGTPLEGGKIAESEPVELFSSAQRIEKGKDHSA >fgenesh2_kg.3__1364__AT3G12981.1 pep chromosome:v.1.0:3:5215769:5216674:-1 gene:fgenesh2_kg.3__1364__AT3G12981.1 transcript:fgenesh2_kg.3__1364__AT3G12981.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L0M5] LDYSSYAAEDYQVNLGSLVEDIVGDVTNLVAGMSNVKTLHLSADSLEVFHSCCKSIPLFPNLVTLSFESDKERGW >fgenesh2_kg.3__136__AT3G01015.1 pep chromosome:v.1.0:3:432611:435214:1 gene:fgenesh2_kg.3__136__AT3G01015.1 transcript:fgenesh2_kg.3__136__AT3G01015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTNAKHETLVMEPISFQSKDQEISKFSENSNPNVVSHSTPLAKSSAQKNPKSKPAVFSPRNRIRERRFVVVKKNSRKEKKDSGSVDCKCGAKTNSNMKKCVCIAYETLRASQEEFFNNRRESESEIGESSQNLEDGDEQVEIGDSDEIRVSSMKRRREKVLEEARMSLPEFGKVMHLVKAFEKLTCFPLSKVTYKEEEEDQIKKPLKWELPGMSHPPKCPETETDQVTWSSSFSPSDLVLTATNLGLEQPHASVSSSWDNSVSSLNSNGGRRGRRNSLDSSASMGCRRSKKKQIKVTSLKPFKLRTEERGRMKEEEFAKKLHEMTLEKEKMRIPIAQGLPWTTDEPENLVKPHVKDITIPVDLKLHSDIRAVERAEFDYQVAEKINLIEQYKTERERQQKLAEEEEIRRLRKELVPKAQPMPYFDRPFIPKRSNKHPTIPRDPKFNIPQRKKIRCCSTSSWSDTGSYMSDLLYQQDL >fgenesh2_kg.3__1373__AT3G13040.1 pep chromosome:v.1.0:3:5240434:5243708:-1 gene:fgenesh2_kg.3__1373__AT3G13040.1 transcript:fgenesh2_kg.3__1373__AT3G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYSLVSTATDECNKGVVQTCSTSPSPVHNFLNVQPEHRKSPFIRSQSPDSTGQLWPKNISQSTFSRSSTFCTNLYLSSSSTSETQKHLGNSLPFLPDPSSYSHSASGVESARSPSIFSEDLGNQCDGDNSGSLLKDFLNLSGDACSDGGFHDFGCSNDSFCLSDQMELQFLSDELELAITDRAETPRLDEIYETPLALSNPVTRLSPSQSCVAGAMSIDVVSSHPSPGSAANHKTRMRWTPELHDSFVKSVIKLEGPEKATPKAVMKLMNVEGLTIYHVKSHLQKYRLAKYMPEKKEEKKNENSEEKKLALSNSEADEKKKGAIQLTEALRMQMEVQKQLHEQLEVQRVLQLRIEEHAKYLEKMLEEQRKTGRLICSSSSQTVLSPSDDSIPDSQNMSKTEASSPQRSTSAKKKASETEEDKCESPQKRRRLENKAESEDAER >fgenesh2_kg.3__1376__AT3G13060.2 pep chromosome:v.1.0:3:5251677:5255221:1 gene:fgenesh2_kg.3__1376__AT3G13060.2 transcript:fgenesh2_kg.3__1376__AT3G13060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQSHTSDLTSEEQPASLDIMKEQNVPVNNETSASFKSSQEAAVVVHPAKVAPLTGPYGLAGDFAGHLPSSILSPQAQGFYYRGYENPTGEWDEYSSYVNVEGLDITSPVGFNENASLVYQTGYGYNPQMPYGPYSPAASPLPSEGQLYSPQQFPFSGASPYYQQVVPPSMQYITSPTQPELTSLVGVDQQGDNIGPRPSYHPHPIGPFNGNQPNLGFPEWQQGFDGGIWSDWSKPSDMHRHSSSISPALSPQPLGSFGSYGQNIPMGSQRQRSFYGFGSGSNSYNRGYMHSGGRGQGSNYGSRLISNVGMGNHGWIGVDNSRGRGRVSDPSLGGGYNGTFDILNEQNRGPRASKPKTQVSEELDSAADSKKNNKGSAKEHEESNNADFVTDYNNAKLFIIKSYSEDNVHKSIKYNVWASTPNGNKKLDAAYREAKDEKEPCPLFLLFSVNASSQFCGVAEMVGPVDFEKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIILENNDNKPVTNSRDTQEVKLEQGIEMLKIFKNYDAETSILDDFGFYEEREKIIQDRKARRQPSLPSAGVVAGENEHKPASVALPTDFMKNMSKSFAQVVRLDEGSKEAGKASSPPDTITTTAAVSSGQSN >fgenesh2_kg.3__137__AT3G02080.1 pep chromosome:v.1.0:3:443703:445240:-1 gene:fgenesh2_kg.3__137__AT3G02080.1 transcript:fgenesh2_kg.3__137__AT3G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S19 [Source:UniProtKB/TrEMBL;Acc:D7LAK3] MAGKTVKDVSPHDFVKAYASHLKRSGKIELPTWTDIVKTGKLKELAPYDPDWYYIRAASMARKVYLRGGLGVGAFRRIYGGSKRNGSRPPHFCKSSGGIARHILQQLETMNIVELDTKGGRRITSSGQRDLDQVAGRIAVEP >fgenesh2_kg.3__1382__AT3G13070.1 pep chromosome:v.1.0:3:5262800:5266650:-1 gene:fgenesh2_kg.3__1382__AT3G13070.1 transcript:fgenesh2_kg.3__1382__AT3G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L0N4] MTGMALELSVLGRSVIDSKTLNLKRYGQKSKLSGRFLPRAELHCPVALSSSKSSNLSFRFRRSCEFSYRSRFMLFSSSQCHEGSQQNSESGEKELESIKVLLKRGIVLGAVVCGVFLYGCQKVLASAGVMEAGYEVFGQSVVLFKNALPKIYQVLRVLREQGLILAALLSLSAFFSMAETSITTLWPWKVRELAEKEPENGVFRMLRSDVTRFLTTILIGTTVVNIAATALVTEAATAIFGEAGVSAATGLMTVAILLLTEITPKSVAVHNAQEVARIVVRPVAWLSLILYPVGRIVTYLSMGILKILGLKGRSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASASLVEFHSMWVTHQYSRVPVFEQRIDNIVGIAYAMDLLDYVQKGDLLESTSVGDMAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRDEGIYDVDANTSIDQLSEELNMKMPEGIQYETVSGFVCEAFGYIPKTGESVKVVLEKESWEEDGDEEEGKQERQEPKEKNQIYRVEILAGNARKVSAVRFERVNDMDQVSEARDVKSMVPKFVRKWSSEEDDGNLSNDDQSEDAVLDEHVLADNSKKQQ >fgenesh2_kg.3__1383__AT3G13080.1 pep chromosome:v.1.0:3:5267218:5272684:-1 gene:fgenesh2_kg.3__1383__AT3G13080.1 transcript:fgenesh2_kg.3__1383__AT3G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMRP3 [Source:UniProtKB/TrEMBL;Acc:D7L0N5] MDFLGSTTGTGMLAMLFSFSESILPPDSRSFLLKPLFLRWVSGFLHAVLLLVLFCSWVRKRIRGNGGYDVTESLKDKRDFGFKSALFCSLALSLLNLLLMSLSGFYWYESGWSDSDQLVSSLGFLLGMVSWGVLSICLHRCRDFEHLKAPFLLRLWLVFYLAVSCYSLVVDFVMYKRHDTVPFHLLVFDIVAFSAAVFLGYVAFLKKDRSNSNGVLEEPLLNGGDSRVGGGGAVELNKTNGSDEATPYSRAGILRLLTFSWMSPLIDLGNKKIIDLEDVPQLHDTDSVIGLAPKFRSMLEASDGGERSGVTTFKLIKALYFSAQWEILVTAFFAFIYTVASYVGPALIDTFVQYLNGRRQYNHEGYVLVISFFGAKLVECLSQRHWFFRLQKVGIRMRSVLVAMIYEKGLTLSCQSKQGRTSGEIINFMTVDAERIGNFSWYMHDPWMVLLQVGLALWILYRNLGLASIAALIATIIVMLVNFPFGRMQERFQEKLMEAKDSRMKSTSEILRNMRILKLQGWEMKFLSKIFDLRKSEEGWLKKYVYNSAVISFVFWGAPTLVSVSTFGACILLGIPLESGKILSALATFRILQEPIYNLPDTISMIVQTKVSLDRLASYLCLDNLQPDIVERLPKGSSEVAIEVINSTLSWDISSPNPTLKDINFKVFPGMKVAVCGTVGSGKSSLLSSLLGEVPKISGSLKVCGTKAYVAQSPWIQSGKIEDNILFGKPMERERYDKVLEACSLSKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLGLLCSKSVIYVTHQVEFLPAADLILVMKDGRISQAGRYSDILNSGTDFMELIGAHQEALAVVDAVDANSVSEKSTLGQQNGIVKDDIGFEGKQESQDLKNDKLDSGEPQRQLVQEEEREKGSVALDVYWKYITLAYGGALVPFILLGQILFQLLQIGSNYWMAWATPVSEDVQAPVKLSTLMIVYVALAFGSSLCILLRATLLVTAGYKTATELFHKMHHCIFRSPMSFFDSTPSGRIMSRASTDQSAVDLELPYQFGSVAITVIQLIGIIGVMSQVSWLVFLVFIPVVAASIWYQRYYIAAARELSRLVGVCKAPLIQHFSETISGATTIRSFSQESRFRSDNMRLSDGYSRPKFYTAGAMEWLCFRLDMLSSLTFVFSLVFLVSIPTGVIDPSLAGLAVTYGLSLNTLQAWLIWTLCNLENKIISVERILQYASVPSEPPLVIESNRPEQSWPSRGEVELRDLQVQYAPHMPLVLRGITCTFKGGLRTGIVGRTGSGKSTLIQTLFRIVEPSAGEIRIDGVNILTIGLHDLRLRLSIIPQDPTMFEGTMRSNLDPLEEYTDDQIWEALDKCQLGDEVRKKEQKLDSSVSENGENWSMGQRQLVCLGRVLLKRSKILVLDEATASVDTATDNLIQKTLREHFSDCTVITIAHRISSVIDSDMVLLLSNGIIEEYDTPVRLLEDKSSSFSKLVAEYTSRSSSSFE >fgenesh2_kg.3__1389__AT3G13120.1 pep chromosome:v.1.0:3:5290732:5292312:-1 gene:fgenesh2_kg.3__1389__AT3G13120.1 transcript:fgenesh2_kg.3__1389__AT3G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTVSSFLLPSFVIPSSSPSSNRLKVSLLPSSSTHGGLSSSVLTKPSVSLTKVIAVPETLDPTPEILDEPASEVPSSSSISVDADKMAPKQKIRIKLRSYWVPLIEDSCKQILDAARNTNAKTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRMIDILYPTAQTIDSLMQLDLPAGVDVEVKL >fgenesh2_kg.3__1390__AT3G13130.1 pep chromosome:v.1.0:3:5293586:5294401:1 gene:fgenesh2_kg.3__1390__AT3G13130.1 transcript:fgenesh2_kg.3__1390__AT3G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L0P0] MDQIKPEFLKKLTKFIAVSIWIFSLLTTHNFYLYRFMIQLVTHAVDKNYMFLLCNGLLVVVAKCSGLVASSKPIEKFWSNTDKTFDYGDFESYNAILEVKYNSVNGIGTEYSFLLAEEVTVEEDTKHQETEENEEDDDDNTLADNEGEEECDLRDGSINEEEEEENVEVMTSTEEEMNKKFDEFIRKMKEELRIEAKRHL >fgenesh2_kg.3__1393__AT3G13160.1 pep chromosome:v.1.0:3:5300587:5301893:-1 gene:fgenesh2_kg.3__1393__AT3G13160.1 transcript:fgenesh2_kg.3__1393__AT3G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRFLLRGNLSLSTPTNRRFFSAVTAAAATPSSPRPSLITLVNDERDPKFIMEKFKKACQAEWFRKNIAVYEKTVRRLAAAKKFEWVEEILEEQNKYPNMSKEGFVARIINLYGRVGMFENAQKVFDEMPERNCKRTVLSFNALLNACVNSKKFDLVESIFKELPGKISIEPDVASYNTLIKGLCGKGSFTEAVALIDEIENKGLKPDHITFNILLHESYTKGKFEEGEKIWARMVEKKVKRDIRSYNARLLGLAMEKKSEEMVSLFDELKVNELKPDVFTFTAMIKGFAGEGRLDEAITWYKEIEKNGCRPMKFVFNSLLPAICKACDLESAYELCKEIFVKRLLVDEAVLQEVVDTLVKGSKQDEAEEIVELAKTNDYLQCKLRLSPKE >fgenesh2_kg.3__1395__AT3G13175.1 pep chromosome:v.1.0:3:5305736:5306244:-1 gene:fgenesh2_kg.3__1395__AT3G13175.1 transcript:fgenesh2_kg.3__1395__AT3G13175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFREKLLKFKFQIVFAFVLSLLTVALVTYSPGFLTVLSYFWPLILSTALFLAAVFFFARTSDLPASSTIIPGEGAGLKVAAEGILDYVVGGQHEDILFDSFTKLD >fgenesh2_kg.3__1396__AT3G13180.1 pep chromosome:v.1.0:3:5306801:5310445:-1 gene:fgenesh2_kg.3__1396__AT3G13180.1 transcript:fgenesh2_kg.3__1396__AT3G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOL1/NOP2/sun family protein [Source:UniProtKB/TrEMBL;Acc:D7L0P6] MAQLLSFRVYLSAETQKASPGSFKRTPKTRKPFSQDRRGPGAPSRKPQNLNLEVSPHRAVSAVRLMRIEYGGAFADLLNEKGKGSGSNEMSYVERTIGFRTRDLDDRDLRLVSVADVVGGTIRWRRYLDHLIGSLCHNERTFRNMEPLLLQILRIGVYEIIKRDMPPYAVVDENVRLAKVALRPGAGDFVNGILRKLVSLKEKDALPLPKVEGDDRAQARALATLHSHPVWMVRRWVKYLGLEEATTLMTWNNNDPGFSLRANTGRGIARSDLVERLNSLKVPHELSLHLEEFVRIKTGLQTVVQAGLLKEGICSVQDESAGLIVSVVKPQPGERIMDACAAPGGKTLFMASCLKGQGMIYAMDVNEGRLRILGETAKSHQVDGLITTIHSDLRVFAVTSEVQYDKVLLDAPCSGLGVLSKRADLRWNRKLEDMVELTELQDDLLDSASKLVKHGGVLIYSTCSIDPEENEGRVEAFLERHPVFSIDPVTSFVPSSFVTSQGFFLSNPVKHSLDGAFAARLVRAL >fgenesh2_kg.3__1397__AT3G13190.1 pep chromosome:v.1.0:3:5310670:5312385:1 gene:fgenesh2_kg.3__1397__AT3G13190.1 transcript:fgenesh2_kg.3__1397__AT3G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFHTVKDAVKLFDAGISGGKHINKRQGQGVLVEETNLCLWSKEVNKLKEKIKNAEKTKIEALLELEEAKNTVEHLSQKLGIKQTMTNDEKDLDLSSSVRVVTSELCVAKESIHRVAEEESELCMLMESLKLELQNVEKEHSELKEIEQRERDLEAIEDLDKETKAAKAELSLLEEELKIVLFEAREAKDAEEHARERLNVAVLESDFRSSVEVKESGAEELTETEALRACRDETLKTLEMSEREIEDIKAATQDALKKAEMAQEATIAVDVELKRRRKAASRILAESKMCAKSTKEVIKSKPRSASKEGCLVKC >fgenesh2_kg.3__1400__AT3G13200.1 pep chromosome:v.1.0:3:5312580:5314620:1 gene:fgenesh2_kg.3__1400__AT3G13200.1 transcript:fgenesh2_kg.3__1400__AT3G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGARIFGPSQKYSSRDVAAHTTLKPRREGQHTQEELQKINLREELEERERRHFSSKDKSYSDDRDRRRGSQLLLEGSKRDPEDRIIPRSVDADDSDVDIKSDDDSDDESDDDDEDDTEALMAELDQIKKERVEERLRKEKQQQMEELNAKEEELLKGNPLLNTPTSFNVKRRWDDDVVFKNQARGEMKAPKRFINDTIRNDFHRKFLHRYMK >fgenesh2_kg.3__1403__AT3G13222.1 pep chromosome:v.1.0:3:5321721:5325095:-1 gene:fgenesh2_kg.3__1403__AT3G13222.1 transcript:fgenesh2_kg.3__1403__AT3G13222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSCDGGSRVSIPADLLETIQNIREVTGKQHSDEDIFSVFKECFNDPHETTQKLLFLDTFHEVRSKRERKKENLVPNTQGRGRTGRRNFASSYTDASNGRTAAFKKQSGANHIIGGSGTASSAPNNARNDTKPSSIMAPNPINLPSGISNHKLQDAIISPVNKGVTEEQPLPKSTSLSEDVVEPDKSKASTVPVAVSDSVVENDVYGTSQIPQPSERVIKSEVAANKGKNQSLLKSDVGEQPHVTFPVHLQVAKMLENGLTFGSFDSNFVREASSDNCTIGCDDSNSESSHGTAAYGASVRKDVSTFSQDKNHEISNSAAQKELTLQPDQTVLSVDGSEGDKVKDEFLPITDTHQAAKCDAPLISYPDQYSIAAAQQAMHLRQQYSLNFFPYGPYFPPYYMPQPYIHQYLSPNGFQQQSYLPPRDDASAPPGAELPLTHIKPGSEIGNSPPTTIPFPYALYAFNHIPSAATVNTTHKEEKKENIYTTGPLSLANLQASPMYNFSLQGHPIAFPTIQPGIPGIYQQTQPVLAPPTISTRTEPIGPSHITNQQPQAALTNLGNNY >fgenesh2_kg.3__1405__AT3G13224.1 pep chromosome:v.1.0:3:5325523:5328234:1 gene:fgenesh2_kg.3__1405__AT3G13224.1 transcript:fgenesh2_kg.3__1405__AT3G13224.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L1B8] MGSRSRNDNFQSGDGASPGKIFIGGLHKDTTNTVFNKHFGKYGEITDSVIMRDRHTGQPRGFGFITFADPSVVDKVIEDNHIINGKQVEIKRTIPKGAGGNQSKDFKTKKIFVGGIPSTVTEDELKDFFSKYGNVVEHQVIRDHETNRSRGFGFVIFDSEEVVDELLSKGNMIDMADTQVSFYKWGLELWYMHTYISWFHFEIFCLFLSLYTFNLVRILPSSLPNVRRLSQ >fgenesh2_kg.3__1406__AT3G13227.1 pep chromosome:v.1.0:3:5330431:5333052:1 gene:fgenesh2_kg.3__1406__AT3G13227.1 transcript:fgenesh2_kg.3__1406__AT3G13227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEEAKRRMMMKIKLKETEAEINQDDIKRQEAYNMSPRVRRGGGGGGSVGMSKSSSVRQNCLCAPTTHPGSFRCRYHRRNAGLGMSRGISVPSNLSMLGGGDSISGSPK >fgenesh2_kg.3__1407__AT3G13225.1 pep chromosome:v.1.0:3:5333396:5337565:-1 gene:fgenesh2_kg.3__1407__AT3G13225.1 transcript:fgenesh2_kg.3__1407__AT3G13225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQQAGENSSALDSRAEGESGFVTVSSSTILDGLNKHTDPSVQASGSVSLEHHAPTDVTSQWKLILHEESNQYYYWNTETGETSWEIPTVLTQTACAYGTGYNESGPMVTDESTLIAGVEPSYFLPVQNSFTGTDYSTFPTVELDERNKSEDLYVKSLGTDGHQVECRIDSVVNSQKYLSRPGNSDHVRTNFDAGAATELPSRLLSQIEGLLEKLRSLKRSHGNFHSNEQITKYILEVEVRHSDVKALILDSSPLLSFWLHTEKQLKRLEDSVNDEIYQLAKSAVMDEIAETNKSPPEEKLVADANTESESEDSERDGELAQSQKSLHSDESADVIGDGSPKYSRSHPAGQSDITPSTEMRQKAGSPDVEDIDMDVDMEVEESVPVSSVQVIDTSDGKMFSQTEPSNLHADVPIPPGEEWIPPPPSESEDVPPPPPDSYSEPIPPPPENGHVPSSLSSDSLGVPYTVPQSYMQQSADYATQYNLPYPESNYQYTNSVALDPNTQFYGHVDGSQVSLPQSTFYYETVPGTSEVAPTAASAGEAYYDFNGAAPLFPVISSTESSLHHGGVGSANHYISSNSSTVVVPSSRSNDFAEIASSATTSQSTDVTGGSSLLAKGQTKVKRLKKRTVAATSTLRSNKKVSSLVDKWKAAKEELNDSEEEEDDSGILDRKRKREIEEWKSRQIASGEAKDNANFQPLGGDWREKVKRKRERAEKSQKKDPDKQQKPDLTKLSANLPSGWQAYWDESTKKVYYGNTSTSQTSWTRPTT >fgenesh2_kg.3__1409__AT3G13229.1 pep chromosome:v.1.0:3:5344828:5345972:-1 gene:fgenesh2_kg.3__1409__AT3G13229.1 transcript:fgenesh2_kg.3__1409__AT3G13229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQSSSVSLLYVVEIAKTTQNVDVTWSKTMSSHSLTIKIENLKDEQHNHHQPVKIDLSGSSFWAKKGLKSLEANGARVDVYWDFRQAKFSNFPEPSSGFYVSLVSQNATILTMGDLKNEALKRTKKNPSATEAALVSKQEHVHGKRVFYTRTAFGGGESRRENEVVIETSLSGPSDPEMWITVDGVPAIRIMNLNWRFRGNEVLTVSDGVSLEIFWDVHDWLFETSGSSSGLFVFKPKAGFESKSLSFNGGYGDGEGEDHDVEDDDSSPKYCHVLYAVKELEFPCGPCQKI >fgenesh2_kg.3__1415__AT3G13310.1 pep chromosome:v.1.0:3:5370177:5370982:-1 gene:fgenesh2_kg.3__1415__AT3G13310.1 transcript:fgenesh2_kg.3__1415__AT3G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L1C9] MAGTLVYSAGRFSPGNCLLPQPKTARFYSGTARFPTGVSSFKASAQTLNAEPAVTESVRRRVSSLYELLKVNETASLTEIKTAYRSLAKVYHPDASESDGRDFMEIHKAYATLADPTTRAIYDSTLRAPRRRVHAGAMGRSGRVYTTTRRWETDQCW >fgenesh2_kg.3__1417__AT3G13330.1 pep chromosome:v.1.0:3:5379510:5390098:-1 gene:fgenesh2_kg.3__1417__AT3G13330.1 transcript:fgenesh2_kg.3__1417__AT3G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7L1D1] MHLYNEWLPPPVAEETKKEKESFARVVRCVKELHRPDDPESVYATLKWISVIELFVRAKSELSVEDVSELVEIGLQIFHSSENKLYAQVRWGNVLVRLINKYRKKLSLKVQWRPLYDTLIHAHFSRSLLENPWHNSSFEGSGFVRLFLPTNPGNQDFFSDFIDWESYFPMLFSRFLNMFEVPVANGSGSYPFSVDVPRNTRFLFSNRTTTPSKSIAQSIVYFLKPGSTAHEQFEKLVNLLEQYYHPSNGGRWTYSLERFLLHLVIAFQKRLQREQQSYSYRDPDSLPAVCLGKPERIAFVGVVLKLIDRGQYSKNEHLSETVAAATSMLSYVEPSLVLPFVASRFHLALETTTATHQLKTAMMSVAFAGRSILQSSMSTAKAQDLGGDVDDRMFLDLIGISLSNALLGMDANDPPKTLATMQLIGSIFSNMAVLDDSSDDLSFMTMDSFSEWLDEFLCRLIALLQHLEPNSVINEGLSSSATSGTFLVEDGPYYYCMLEILLGRLSGSLYNQALRKISKFVQTNILPGAIAEVGLLCCACVHSNPEEAVAQIVEPMLLAVISSLKEIPVTGYGGKGSAETRVSNKQDKHTLSPALEAAIDYQLKVLSVAITYGGISLLRYKGHLIEAISSAFNSSSWKVNGAGDHLLRSLLGSLILYYPIDQYKCLSRHPAASALEEWISTKASSKDEQVAHSRWHVPTKEETQFANELLDLHLQSALDDLLSICQSNIHSDAGDEKTHLKVTLLRIDSTLQGVLSCLPDFRPSPRHDMVEDLPFFIAGASGSCVGSAEIREKTAKTIHAACKYLLEKKSDDSILLILIIRIMDALGNYGSLEYDEWSNHRQAWKLESAAIVEPPANFITEFNSKGKRRPRWALIDKAYMHNTWRSSQSSYHLFRTDGNFSPPEALTLLVDDLLTLCLHNYETVRVLSGKSLLKLLKRWPTLLSKCVLSLTENLRKPDVEENVVLGSCAILSSQSVLKHLTTLFVKYNIHFAGLSRNILRSLESHVEGSTSGDLVSQIGSMSFDSSSLHWRYNLMANRVLLLLVMSSRIDPSFSFKILDETAGHFLKNLKSQLPQTRILAISALNTLLKESPHKMQGKDQPSVSSQEIENANSSLDLALSQIFREEGFFKETFESLSHIHVTDTDSSSRGNHGSSSFQSMADKSITRFYFEFSASWPRTPSWISLLGSDIFYPSFARIFKRLAQECGVPVLLALKSPLEEFCNAKERPKQCVAAEALAGVLHSDVNGLLGEWDSWIMVQLQNVILGQSVESIPEWAACIRYAVTGKGKQGTKIPVMRQQILDCIVAPLPPTATTTVVAKRYAFLSAALIELSPPKMPVSEVKLHIVLLDELICNMSHSSAQIREAIGVILSVLCSNIRLRMSYQQEHPSEEGRTDVDSQLKEENWFKLIGAKASEAVKNIQQASISDSLDTSADVDMENAQSNGDSLDDVKWMETLFHFIISSFKSGRASYLLDVIAGFLYPVISLQETSHKDLSILAKAAFELLKWRVFPESHLPKVIGVILSSADDSNWRIRSSTLTYLRTFMYRHTFILSHEDKQKIWKTVEKLLVDSQVEVREHAAAVLAGLMKGGDEDFAADFRDRSYTEANSIQKRRNRRKASSTQSIAEVHGAVLGLVASVLSVPYDMPSWLPDHVTLLARFAGEPTPIKSTVTKAVAEFRRTHADTWNIQKDSFTEDQLEILTDTSSSSSYFA >fgenesh2_kg.3__1419__AT3G13350.1 pep chromosome:v.1.0:3:5396392:5402024:-1 gene:fgenesh2_kg.3__1419__AT3G13350.1 transcript:fgenesh2_kg.3__1419__AT3G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group family protein [Source:UniProtKB/TrEMBL;Acc:D7L1D3] MSTDISPYSQTHVEPVNGYPSDNKRRDDSSAPAKYDDLVRNSALFWDKLRAVLGLTSQTLKVPTVGGNTLDLHRLFIEVTSRGGIERVVKDRKWKDVIGAFSFPTTITSASFVLRKYYLKFLFQLEHVYYLEKPVSSIQSTDEAMKSLANESPNPEEGIDEPQVGYEVQGFIDGKFDNGYLVTMKLGSQELKGVIYHIPQTPSQSQQTMETASATVPSSQRRHRKKSKLAVVDNQKPKCHRSGYNFFFAEQYARLKPEYHGQERSITKKIGHMWSNLTESEKQVYQDKGVKDVERYRIEMLEYKSSHESGATASTVAQ >fgenesh2_kg.3__141__AT3G02110.1 pep chromosome:v.1.0:3:452130:455152:-1 gene:fgenesh2_kg.3__141__AT3G02110.1 transcript:fgenesh2_kg.3__141__AT3G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7LAK6] MAMAKHVIFTTLMAILVMTSQGRIPTGGEKEAEADRITSLPGQPNVTFEQFSGYVTVDKLSGRSLFYWLTEASDLPLSKPLVIWLNGGPGCSSVAYGASEEIGPFRISKGGSGLYLNKFPWNSISNLLFLEAPAGVGFSYTNRSSDLFNTGDRRTAKDSLQFLIQWLHRFPRYNNREIYITGESYAGHYVPQLAKEIMNYNKRSKNPLNLKGIMVGNAVTDNHYDNLGTVSYWWSHAMISDRTYHQLINTCDFSRQKESDECETLYSYAMEQEFGNIDQYNIYAPPCNKSSDGGGGYTGSSGRRSMRLPHLPHSVLRKISGYDPCTERYAEIYYNRPDVQKALHANTTKIPYKWTACSEVLNRNWNDTDSTVLPIYREMIAGGIRVWVFSGDVDSVVPVTATRYSLARLSLSTKLPWYPWYVKKQVGGWTEVYDGLTFVTVRGAGHEVPLFKPRAAFELFKYFLRGKPLPKA >fgenesh2_kg.3__1420__AT3G13380.1 pep chromosome:v.1.0:3:5426138:5430571:1 gene:fgenesh2_kg.3__1420__AT3G13380.1 transcript:fgenesh2_kg.3__1420__AT3G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQWQLLILCLLVLFLTVDGRGRRLLSDDVSDAALLTAFKQISVKSDPNNFLGNWKYGSGRDPCSWRGVSCSSDGRVIGLDLRNGGLTGTLNLNNLTALSNLRNLYLQGNNFSSGDSSGTSSSSGCPLEALDISSNSITDSSMVEYVFSSCLNLVSVNFSHNKLAGKLKSSPLTSNKRITTVDLSNNRFSDEIPETFIADFPTSLKHLDLSGSNFTGDFSRLSFGLCGNLTVFSLSQNSISGDRFPVSLSNCKLLETLNLSRNSLTGKIPGDEYWGNFQNLKQLSLAHNLYSGEIPPELSLLCRTLEVLDLSGNSLTGQLPQSFTSCGSLQSLNLGNNKLSGDFLSTVVSKLSRISNLYLPFNNISGSVPSSLTNCTNLRVLDLSSNEFTGEVPSGFCSLQRSSVLEKFLIANNYLSGTVPVELGKCKSLKTIDLSFNALTGPIPKEIWTLPNLSDLVMWANNLTGGIPESICVDGGNLETLILNNNLLTGSVPESISKCTNMLWISLSSNLLTGEIPVGIGKLEKLAILQLGNNSLTGNIPRELGNCKNLIWLDLNSNNLTGNLPGELASQAGLVMPGSVSGKQFAFVRNEGGTDCRGAGGLVEFEGIRAERLEHFPMVHSCPKTRIYSGMTMYMFSGNGSMIYLDLSYNAVSGSIPLGYGAMGYLQVLNLGHNLLTGTIPDSFGGLKAIGVLDLSHNNLQGFLPGSLGGLSFLSDLDVSNNNLTGPIPFGGQLTTFPVTRYANNSGLCGVPLPPCGSGSRPTRSHAHPKKQSIATGMITGIVFSFMCIVMLIMALYRVRKVQKKEKQREKYIESLPTSGSSSWKLSSVHEPLSINVATFEKPLRKLTFAHLLEATNGFSADSMIGSGGFGDVYKAQLADGSVVAIKKLIQVTGQGDREFMAEMETIGKIKHRNLVPLLGYCKIGEERLLVYEYMKYGSLETVLHEKTKKGGIFLDWSARKKIAIGAARGLAFLHHSCIPHIIHRDMKSSNVLLDQDFVARVSDFGMARLVSALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSYGVILLELLSGKKPIDPEEFGEDNNLVGWAKQLYREKRGAEILDPELVTDKSGDVELLHYLKIASQCLDDRPFKRPTMIQVMTMFKELVQVDTENDSLDEFSLKETPLVEESRDKEP >fgenesh2_kg.3__1421__AT3G13390.1 pep chromosome:v.1.0:3:5430651:5433011:-1 gene:fgenesh2_kg.3__1421__AT3G13390.1 transcript:fgenesh2_kg.3__1421__AT3G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVKLLAACLYLAAAATVVVRAEDPYFHHVWNVTYGTVSPLGVPQQVILINGQFPGPNINSTSNNNIIINVFNNLDEPFLLTWNGIQHRKNCWQDGTPGTMCPIMPGTNYTYHFQPKDQIGSYFYYPSTALHRSAGGFGGLRVNSRLLIPVPYADPEDDYTVLIGDWYTKSHTQLKKFLDGGRTLGRPDGILINGKAGKGDGSDAPLFTLKPGKTYRVRVCNVGLKTSLNFRIQNHKLKLVEMEGSHVLQNDYDSLDVHVGQCYGTILSANQEAKDYYMVASSRFLKSVITTTGLLRYEGGKGPASSQLPPGPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGKINITRTIKLVNTQGKVNGKLRYALNGVSHTDPETPLKLAEYFGVADKVFKYDSITDNPTPEQIKSIKIEPNVLNITHRTFIEVVFENHEKSVQSWHLDGYSFFAVAVEPGTWTPEKRKNYNLLDAVSRHTVQVYPKCWAAILLTFDNCGMWNIRSENSERRYLGQQLYASVLSPEKSLRDEYNMPETSLQCGLVKGTPKPNPYAGA >fgenesh2_kg.3__1422__AT3G13400.1 pep chromosome:v.1.0:3:5435730:5437866:1 gene:fgenesh2_kg.3__1422__AT3G13400.1 transcript:fgenesh2_kg.3__1422__AT3G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRLLTVLVCLASTVAMVNAGDPYFYYTWNVTYGTVAPLGIPQQVILINGQFPGPNLNSTSNNNVVINVFNNLDEPFLLTWIGLQHRKNSWQDGVTGTSCPIPAGTNFTYHFQPKDQIGSYFYYPSTALHRFAGGFGGLRVNSRLLIPVPYADPEDDRTILINDWYTKSHTALKNFLDSGRTLGSPDGVLINGKSGKIGGNNAPLFTMKPGKTYKYRICNVGFKSTLNFRIQGHKMKLVEMEGSHVLQNDYDSLDVHVGQCFAVLVTADQVAKNYYMVASTRFLKKEVSTVGVMSYEGSNVQASNEIPKAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGKINITRTIKLANTKNLVNGKVRFGFNGVSHVDTETPLKLAEYFGMSEKVFKYNVIKDEPAAKITTLTVEPNVLNITFRTFVEVIFENHEKSMQSFHLDGYSFFAVASEPGRWTPEKRKNYNLLDAVSRHTVQVYPKSWSAILLTFDNAGMWNIRSENWERRYLGQQLYVSVLSPEKSLRDEYNIPLNTNLCGIVKGLPLPTPYTI >fgenesh2_kg.3__1423__AT3G13410.1 pep chromosome:v.1.0:3:5444261:5446432:-1 gene:fgenesh2_kg.3__1423__AT3G13410.1 transcript:fgenesh2_kg.3__1423__AT3G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIQISAVALLVALSMASLFEIGLASTNTVPAFLWSPHLQSANGELDEVVNYQVMSAKDLVGSVFTQGGWSNFLCSEKKLEQPVDVALVFIGRELLSSDVSSKRNSDSALVNTLSNLFTASNFSLAFPYIAAPEEERMENLLLSGLKEACPNNVGVSNIVFSDSCFVQDGTIQKLSDLQSFKDHLLARRETRKEGETDLVVLCSEGSESKSQAAQSHSERESILELVSSVEQSGSKYTALYVSDPYWYTSYKTLQRFLAETAKGNSTPEVATACDELCKFKSSLLEGILVGIVFLLILISGLCCMAGIDTPTRFETPQDS >fgenesh2_kg.3__1424__AT3G13420.1 pep chromosome:v.1.0:3:5446881:5449164:1 gene:fgenesh2_kg.3__1424__AT3G13420.1 transcript:fgenesh2_kg.3__1424__AT3G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVSLRNRIHNWLRDYVRLQSVVVILIYAQIGCALIGSLGALYNGVLLINLAIALFGLVAIESNSQSLGRTYAVLLFCAILLDVSWFILFSNEIWNISSEMYQVFYIFSVKLTLAMEIAGFVVRLSSSLLWFQIYRLGASIIDSSFPRQPDSDLRNSFLEPPLLARQRSRDPELRNSFLQPPAIAKQRSRSDEILEDSIDQPASYTPLFDGGQSNITSPNAKQVFLCSTYSSLKLFMCSL >fgenesh2_kg.3__1425__AT3G13430.2 pep chromosome:v.1.0:3:5449802:5450914:1 gene:fgenesh2_kg.3__1425__AT3G13430.2 transcript:fgenesh2_kg.3__1425__AT3G13430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7L1E1] MEDATETRYWCHMCSRSVVPLIQDQIINCNFCQSGFVEQMDNDDQDSDHQAADSLWAPILMEMMNNHDQQHSTNQEDEDDGDQNNDGEIDITRQLEEIRRIRTRHSTAIVNLLQGIRAGLLIESETNDDNPDNSELVILINSFNQRIRVHQDSVDTASVPSGSLGDYFIGPGFETLLQRLAENDLNNRYGTPPATKEAVEALAMVKIEESLLQCSVCLDDFEIGMEAKEMPCKHKFHSDCLLPWLELHSSCPVCRYLLPTADDDEPKKDADTSRNDDTNGDISDASMASNSLVN >fgenesh2_kg.3__1428__AT3G13445.1 pep chromosome:v.1.0:3:5463470:5466069:1 gene:fgenesh2_kg.3__1428__AT3G13445.1 transcript:fgenesh2_kg.3__1428__AT3G13445.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IID-1 [Source:UniProtKB/TrEMBL;Acc:D7L1E6] MADQGLEGSTPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEYFSKMAARKYARIVQKLGFSAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHAAFSSYEPELFPGLIYRMKVPKIVLLIFVSGKIVITGAKMREETYRAFENIYPVLTEFRKIQQ >fgenesh2_kg.3__1430__AT3G13450.1 pep chromosome:v.1.0:3:5466044:5468199:-1 gene:fgenesh2_kg.3__1430__AT3G13450.1 transcript:fgenesh2_kg.3__1430__AT3G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVRRFCRRSYFPVSGHGYRMLSTIENVSESGKSMNLYSAINQALHIALETDPRSYVFGEDVGFGGVFRCTTGLAERFGKSRVFNTPLCEQGIVGFGIGLAAMGNRVIAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPREAKGLLLSSIRDPNPVVFFEPKWLYRQAVEDVPEDDYMIPLSEAEVIREGSDITLVGWGAQLTIMEQACLDAETEGISCELIDLKTLIPWDKEIVETSVRKTGRLLISHEAPVTGGFGAEIAATIVERCFLRLEAPVSRVCGLDTPFPLVFEPFYMPTKNKASFILDAIKSIVNY >fgenesh2_kg.3__1434__AT3G13460.1 pep chromosome:v.1.0:3:5468591:5472491:-1 gene:fgenesh2_kg.3__1434__AT3G13460.1 transcript:fgenesh2_kg.3__1434__AT3G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAPPADQAADLLQKLSLDSQAKASEIPEPNKKTAVYQYGGVDVHGQVPSYDRSLTPMIPSDAADPSVCYVPTPYNPYQYYNAYGSGQDWTDYAAYTNPEGVDMNSGIYGENGTVVYPQGYGYATYPYSPATSPAPQLGGEGQLYGAQQYQYPSYFPNSGPYASSVATPNQADLSANKAAGVKTLTADSNNVASATGITKGSNGSAPVKPTNQTTLNTSSNLYGIGAPGGGFAAGYQEPRYGYDGYYAPVPWHDGSKYSDVQRPVSGSGVASSYSKSSTVPSSRNQNYRSNSHYTSMHQPASVTGYGTAQGYYNRMYQNKLYGQYGSTGRSALGYGSSGYDSRTNGRGWVATDNKYRSWGRGNSYYYGNENNVDGLNELNRGPRAKGTKNQKGNLEDSLEVKEQTGESNVTEVGEADNTCVVPDREQYNKEDFPVDYANAMFFIIKSYSEDDVHKSIKYNVWASTPNGNKKLAAAYQEAQQKAGGCPIFLFFSVNASGQFVGLAEMTGPVDFNTNVEYWQQDKWTGSFPLKWHIVKDVPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLKIVKIFKEHSSKTCILDDFSFYEVRQKTILEKKAKQTQKQARTRKKNSEIVSEEKMTDEKKESATADSASKESPSAVQTSSDVKVAENGSVAKPVTGDVVANGC >fgenesh2_kg.3__1436__AT3G13490.1 pep chromosome:v.1.0:3:5473744:5483327:-1 gene:fgenesh2_kg.3__1436__AT3G13490.1 transcript:fgenesh2_kg.3__1436__AT3G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase [Source:UniProtKB/TrEMBL;Acc:D7L1E9] MEALKVWSLTATPLKQLLRLSSSSTRLATTIYGRRSYHSSPALRCASAASSSSSSNATTAETSKPSGRNRRSASSSNSTSDREAIRSIRLKKVEELRGQGLEPYAYKWEKTHSANQLQEIYKHLANGEESDNEIDRVSIAGRVVARRAFGKLAFLTLRDDSGTIQLYCEKGRLSDDQFEQLKQFIDIGDILGASGSMKRTEKGELSICVNSFSILTKSLLPLPDKYHGLTDIDKRYRQRYVDMIANPEVADVFRRRAKIVSEIRKTVESFGYLEVETPVLQGAAGGAEARPFVTFHNSLGRDLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYQSMMDMAELIVTQCSMAVNGKLTIDYQGTEICLERPWRRETMHNLVKEITGINFSELGEDLGNAKDTVLLALQDVLEPKDKSGIGACSSLGHLLNEVFEVVVEPKLVQPTFVLDYPVEISPLAKPHRSNAALTERFELFICGREMANAFSELTDPVDQRTRLEEQVRQHNAKRAEAASEYAEPNAKKDDDDDESYEVTLDEDFLTALEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKVQQ >fgenesh2_kg.3__1438__AT3G13500.1 pep chromosome:v.1.0:3:5484130:5484443:1 gene:fgenesh2_kg.3__1438__AT3G13500.1 transcript:fgenesh2_kg.3__1438__AT3G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVANVSTDKWQRMRRIPSSEILNTQQLVEVACCLPLSHLFICLWEFLCFSISDYDSDDDYDDDDVDDDDVLAFDHNSNGDYDDHGSSSSSNLDFDDYYHYPD >fgenesh2_kg.3__143__AT3G02130.1 pep chromosome:v.1.0:3:465162:468390:1 gene:fgenesh2_kg.3__143__AT3G02130.1 transcript:fgenesh2_kg.3__143__AT3G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLEVLDLEGNLMTGSLPIQFTGLRNLRVMNLGFNRVSGEIPNSLKNLSKLEIFNLGGNKLNGTVPGFVGRFRVVHLPLNWLQGSLPKDIGDNCGNLEHLDLSGNFLTGRIPESLGRCGGLRSLLLYMNTLEETIPLEFGNLQKLEVLDVSRNTLSGPLPVQLGNCSSLSVLVLSNLYNVYEDINSIRGEADLPPGADLTSMTEDFNFYQGGIPEEITTLPKLKILWVPRATLEGRFPGDWGSCQNLEMVNLGQNFFKGEIPVGLSNCKNLRLLDLSSNRLTGELLKEISVPCMSVFDVGGNSLSGVIPEFLKNTTSHCPPVVYFDRFSIESYSDPSSVYLSFFTEKAQVGTSLIDLGGDGGPAVFHNFADNNFTGTLKSIPLAQERLGKRVSYIFSAGGNRLYGQFPGNLFDNCDELKAVYVNVSFNKLSGRIPQGLNNMCTSLKVLDASLNQIFGPIPSSLGDLGSLVALNLSWNQLQGQIPGSLGKKMTALTYLSIANNNLTGQIPQSFGQLHSLDVLDLSSNYLSGGIPHDFINLKNLTVLLLNNNNLSGPIPSGFATFAVFNVSSNNLSGPVPSTNGLTKCSGIRGNPYLRPCHVFSLTTPSSESRDSTGDSITQDYASSPVENAPSQSAGKGGFNSLEIASIASASAIVSVLIALVILFFYTRKWHPKSKIMATTKREVTMFMDIGVPITFDNVVRATGNFNASNLIGNGGFGATYKAEISQDVVVAIKRLSIGRFQGVQQFHAEIKTLGRLRHPNLVTLIGYHASETEMFLIYNYLPRGNLEKFIQERSTRDWRVLHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDDDCNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFVSYGNGFNIVQWACMLLRQGRAKEFFTAGLWDAGPHDDLVEVLHLAVVCTVDSLSTRPTMKQVVRRLKQLQPPSC >fgenesh2_kg.3__1440__AT3G13520.1 pep chromosome:v.1.0:3:5493319:5493853:1 gene:fgenesh2_kg.3__1440__AT3G13520.1 transcript:fgenesh2_kg.3__1440__AT3G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMNMKLMVVLMVAIVALSAVGNVAAQTEAPAPSPTSDAAMFVPALFASVAALVSGFLF >fgenesh2_kg.3__1441__AT3G13530.1 pep chromosome:v.1.0:3:5495910:5503466:-1 gene:fgenesh2_kg.3__1441__AT3G13530.1 transcript:fgenesh2_kg.3__1441__AT3G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPKKK7 [Source:UniProtKB/TrEMBL;Acc:D7L1F3] MTSSQFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIVQEDLNTIMQEIDLLKNLNHKNIVKYLGSSKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLNEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDDNPPIPDSLSPDITDFLRQCFKKDSRQRPDAKTLLSHPWIRNSRRALQSSLRHSGTIKYMKEATASSEKDDEGSQDAAESLSAENVGMSKSDSKSKLPLLGVSSFRSEKDQSTPSDLGEEGTDNSEDDIMSDQVPTLSIHEKSSDAKGTPEDVSEFHGKSERVETRENLETETSEARKNTSAKKQVGKELSIPVDQTSHSFGQKGEERGIRKAVKTPSSVSGNELARFSDPPGDASLHDLFHPLDKVSEGKPNEASTSMPTSNVNQGDSPVADGGKNDLATKLRATIAQKQMEGETGHSNDGGDLFRLMMGVLKDDVIDIDGLVFDEKVPAENLFPLQAVEFSRLVSSLRPDESEDAIVSSCQKLVAMFRQRPEQKAVFVTQHGFLPLMDLLDIPKSRVICTVLQLINEIIKDNTDFQENACLVGLIPVVMSFAGPERDRSREIRKEAAYFLQQLCQSRILTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLKRSTPRNDFCRIAAKNGILLRLINTLYSLNEATRLASISGGAIVDGQAPRARSGQLDPNNPIFGQNETSLSMIDQPDVLKTRHGVGEEPSHASTSNSQRSDVHQPDALHPDGDRPRVSSVAPDASTSGTEDIRQQHRISLSANRTSTDKLQKLAEGTSNGFPVSQTEQVRPLLSLLEKEPPSRHYSGQLDYVKHITGIERHESRLPLLHGSNEKKNNGDLDFLMAEFAEVSGRGKENGNLDTTTRYPSKTMTKKVLAIEGVASTSGIASQTASGVLSGSGVLNARPGSATSSGLLAHMVSTLSADVAREYLEKVADLLLEFARADTTVKSYMCSQSLLSRLFQMFNRVEPPILLKILECTNHLSTDPNCLENLQRADAIKHLIPNLELKDGHLVYQIHHEVLSALFNLCKINKRRQEQAAENGIIPHLMLFIMSDSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLSLLDDEYWSVIALDSIAVCLAQDNDNRKVEQALLKQDAIQKLVDFFQSCPERHFVHILEPFLKIITKSYRINKTLAVNGLTPLLISRLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRSGGQVLVKQMATSLLKALHINTIL >fgenesh2_kg.3__1443__AT3G13550.1 pep chromosome:v.1.0:3:5507702:5509077:-1 gene:fgenesh2_kg.3__1443__AT3G13550.1 transcript:fgenesh2_kg.3__1443__AT3G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPGGSGRLRPLPTPMYAGYSGTASSWVAKTSVSASGKRIQREMAELNVDPPPDCSAGPKGDNLYHWIATIIGPSGTPYEGGIFFLDIIFPSDYPFKPPKLVFKTRIYHCNVDTAGNLSVDILRDSWSPALTITKVLQAIRSIFLKPEPYSPALPVIARLYLTDRDKHDEVAKEWTLRFAK >fgenesh2_kg.3__1446__AT3G13560.3 pep chromosome:v.1.0:3:5512212:5515048:-1 gene:fgenesh2_kg.3__1446__AT3G13560.3 transcript:fgenesh2_kg.3__1446__AT3G13560.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7L1F6] MLLPRWFAEAFLLLLSFLAFSNAAYIGVNIGTDLTNMPSPSDIVTLLKSQQITHVRLYDANSHMLKAFANTSIEVMVGVTNNEILRIGRFPSAAAAWVNKNVAAYIPSTNITAIAVGSEVLTTIPHVAPILASALNNIHKALVASNLNFKVKVSSPMSMDIMPKPFPPSTSTFSPSWNTTVYQLLQFLKNTGSFFMLNAYPYYGYTTANGIFPLDYALFKQLSPVKQIVDPNTLLHYNSMFDAMVDAAYYSMEALNFSKIPVVVTETGWPSSGGGDEAAATVANAETFNTNLIKRVLNNSGPPSQPDIPINTYIYELYNEDKRSGPVSERNWGILFPNGTSVYPLSLSGGSNSAALNDSSMFCVAKADADDDKLIDGLNWACGQGRANCAAIQPGQPCYLPNDVKSHASFAFNDYYQKMKSAGGTCDFDGTAITTTRDPSYRTCAYTGSLNANSTGGNFPPNALGPVSPLGGNANALIISSYHIPLLAPLALTLLQLLLQH >fgenesh2_kg.3__1448__AT3G13570.1 pep chromosome:v.1.0:3:5516667:5519004:-1 gene:fgenesh2_kg.3__1448__AT3G13570.1 transcript:fgenesh2_kg.3__1448__AT3G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYTPSPPRGYGRRGRSPSPRGRYGGSRDRDLPTSLLVRNLRHDCRQEDLRRPFEQFGPVKDIYLPRDYYTGDPRGFGFIQYVDPADAAEAKHHMDGSHLLGRELTVVFAEENRKKPTEMRTRDRGGRSNRFNDRRRSPPRYSRSPPPRRGRRSRSRSRGYDSPSAKRHQSRSVSPQDRRYEKERSYSRSPPHNGSRIRSGSPGRVKSHSRSPRRSVSPRRNRSYTPEQARSQSPVPRQSRSPTPVPRGAQNGDRSPSQ >fgenesh2_kg.3__144__AT3G02140.1 pep chromosome:v.1.0:3:469242:470410:-1 gene:fgenesh2_kg.3__144__AT3G02140.1 transcript:fgenesh2_kg.3__144__AT3G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two or more abres-containing gene 2 [Source:UniProtKB/TrEMBL;Acc:D7LAL2] MEVIRGRGIPNGVVQVPNLSANNLLQKFFIASNHFCQLDSEPGENREEAKKKEDEAEEDEKDVELTLGLSLNGQFGTDPRSRKRKNFDLGRSSSIPEGFFFDEQRSDGGSDMFQLDRTRSLPVVTEIEIGKETEKKRKRPEKTRAFMEFPVTNRGTYLTEDKNRGQAAETEKARAFLEFKIPPGKEGKEDKDRFVVTGPVNGNGKNGNTAKKKKNVEVSGMEKARNILEDMPCVSTRDVGADGKRVEGFLYWYGGKKEEVKIVCVCHGSFLSPAEFVRHGGGTISDDGGGDVMINPLRHIVVKLPSSSW >fgenesh2_kg.3__1450__AT3G13580.2 pep chromosome:v.1.0:3:5520603:5527796:1 gene:fgenesh2_kg.3__1450__AT3G13580.2 transcript:fgenesh2_kg.3__1450__AT3G13580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAESKTVVPESVLKKRKREEEWALAKKQELEAAKKQNAEKRKLIFNRAKQYSKEYQEKERELIQLKREAKLKGGFYVDPEAKLLFIIRIRGINAIDPKTKKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVTYGYPNLKSVTELIYKRGFGKLNHQRIALTDNSIVDQGLGKHGIICVEDLIHEIMTVGPHFKEANNFLWPFQLKAPLGGMKKKRNHYVEGGDAGNRENFINELVRRMN >fgenesh2_kg.3__1453__AT3G13610.1 pep chromosome:v.1.0:3:5545639:5546941:1 gene:fgenesh2_kg.3__1453__AT3G13610.1 transcript:fgenesh2_kg.3__1453__AT3G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7L1G0] MAPTLSTTQFSNPAEVTDFVVHKGNGVKGLSETGIKALPDQYIQPFEERLINKFVNETDEAIPVIDMSNPDENRVAEAVCDAAEKWGFFQVINHGVPLEVLDDVKAATHRFFNLPVEEKCKFTKENSLSTTVRFGTSFSPLAEQALEWKDYLSLFFVSEAEAEQFWPDICRNETLEYIDKSKKMVRKLLEYLGKNLNVKELDETKESLFMGSIRVNLNYYPICPNPDLTVGVGRHSDVSSLTILLQDQIGGLHVRSLASGNWVHVPPVPGSFVINIGDAMQILSNGRYKSVEHRVLANGNNNRISVPIFVNPKPESVIGPLPEVIANGEEPIYRDVLYSDYVKYFFRKAHDGKKTVDYAKI >fgenesh2_kg.3__1458__AT3G13660.1 pep chromosome:v.1.0:3:5565283:5565861:1 gene:fgenesh2_kg.3__1458__AT3G13660.1 transcript:fgenesh2_kg.3__1458__AT3G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7L1G5] MIQKAVSNSSTSFGSITMMDNALTSDVPVNSTVVGQAQGFYAGAAQRELGFLMAMNFAFKTGKYNGSTITILGRNTVFSKVREMPVVGGSGVFRLARGYVEARTKWFDPKTGDATVEYNCYVLHY >fgenesh2_kg.3__1460__AT3G13670.1 pep chromosome:v.1.0:3:5568901:5573277:1 gene:fgenesh2_kg.3__1460__AT3G13670.1 transcript:fgenesh2_kg.3__1460__AT3G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L1G7] MPELRRGVRRGRVTDAVAPNQLPQTPTEKKQRKTNGKTRKAVAGGVTGVAERPRTRLAARKLKEEDKEIPQEIPVIVPSKDTDNDKKKGKEVAVEEEKKDMAIGNDSGGSNKAAAQEEEGNTAPFPERVQVGGSPLYKVERKLGKGGFGQVFVGRRISGGNDRSAGASILEVALKFEHRSSKGCNYGPPHEWQVYNTLGGSHGVPRVHFKGRQGDYYVMVMDMLGPSLWDLWNTSGQAMSSEMVACIAVESLSILEKMHAKGYVHGDVKPENFLLGQPSTSQEKKLFLVDLGLATKWREGGSGQHVEYDQRPDMFRGTVRYASAHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSPDMLCCFCPPPFKQFLEIVVNMKFDEEPNYGKLVSLFQDLLGENPAIRPINTEGAQKIIFQVGQKRGRLSIGEEEEDAPRKKVRLGVPATQWISIYNARQPMKQRYHYNVADIRLAQHIERGIADGLLISCVSSCSNLWALIMDAGTGFTNQVYELSPVFLHKEWIMEQWEKNYYISSIAGANNGSSLVVMSKGTQYTQQSYKVSDSFPFKWINKKWREGFHVTSMATAGSRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWDGGFRITSTAATTDQAALILSIPRRRLVDETQETLRTSQFPSTHVKEKWGKNLYLASLSYGRTVS >fgenesh2_kg.3__1463__AT3G13674.1 pep chromosome:v.1.0:3:5574601:5575725:-1 gene:fgenesh2_kg.3__1463__AT3G13674.1 transcript:fgenesh2_kg.3__1463__AT3G13674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVLGRFSSRLKPLCQNLSNKNANVSSLPSPIKSASPSSATTCRLNRSSRLPVELSSMIPLHSAIASSRLVSSLSIESKIWGLVPQGLSLPL >fgenesh2_kg.3__1467__AT3G13682.1 pep chromosome:v.1.0:3:5591395:5593691:-1 gene:fgenesh2_kg.3__1467__AT3G13682.1 transcript:fgenesh2_kg.3__1467__AT3G13682.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase family protein [Source:UniProtKB/TrEMBL;Acc:D7L1H5] MDAPALDRTAPRRNRRKVSRKNYDENAMDDLIEKQFGGNSKKKYRTKQDLEKETETEALIALSVGFPIDELLEEEIRAGVVRELGGKEQNDYIVVRNHIVARWRSNVGIWLLKDQIRETVSSDFEHLISAAYDFLLFNGYINFGVSPLFAPYIPEEGTEGSVIVVGAGLAGLAAARQLLSFGFKVLVLEGRSRPGGRVYTQKMGDKDRFAAVELGGSVITGLHANPLGVLARQLSIPLHKVRDNCPLYNSEGALVDKVADSNVEFGFNKLLDKVTEVREMMEGAAKKISLGELKIQRKENFLTGTLLTWNMLTLGVFRIFPAAYWDQDDPYEMGGDHCFLAGGNWRLINALAEGVPIIYGKSVDTIKYGDGGVEVISGSQIFQADMILCTVPLGVLKKRSIKFEPELPRRKQAAIDRLGFGLLNKVAMLFPSVFWGDELDTFGCLNESSINRGEFFLFYAYHTVSGGPALVALVAGEAAQRFECTEPSVLLHRVLKKLRGIYGPKGVVVPDPIQTVCTRWGSDPLSYGSYSHVRVGSSGVDYDILAESVSNRLFFAGEATTRQHPATMHGAYLSGLREASQILHVANYFRSNPKKPVQRYSGVNMNVLEDLFKRPDIATGKLSFVFNPLTEDLKSFGLVRVCFDNFEDDPTNRLQLYSILSREQANKIQELDESSNESKLSCLMNTLGLKLMGANSVLDTGGALISVIANARRGRSRTHVVAGQCIIP >fgenesh2_kg.3__1468__AT3G13690.1 pep chromosome:v.1.0:3:5597941:5602453:1 gene:fgenesh2_kg.3__1468__AT3G13690.1 transcript:fgenesh2_kg.3__1468__AT3G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L1H6] MSQLQKRGKQEKPVTSDGAEKVIVAVKASREIPKTALIWALTHVVQPGDCITLIVVVPSHNSGRKLWGFTRSFPMFAGDCASGHRKSHSEALPEIKSDLTDTCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVAAESKKAQANWVVMDKHLKQEEKRCMDELQCNIVVMKRSQAKVLRLNLVGSPRKDAEKESPLLSGPEAASEKHTKNTKGSLDSDRGLPVTPTSSPELGTPFTSTEAGTSSVSSSDLGTSPFFTLGMSGYMKKDGALVIKENDGLDDSGSETESENQSLASTSMRFQPWISEYIGTHRHSSQEAEESLWKNDDMAQISTTKALLEKFSKLDVETGFSSSRRIDLEFSGNVRDAISLSRSAPPGPPPLCSICQHKAPVFGKPPRLFSYAELELATGGFSQANFLAEGGYGSVHRGVLPEGQVVAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCIEDSRRLLVYEYICNGSLDSHLYGRQKETLEWPARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDNEPLVGDFGLARWQPDGELGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAIDITRPKGQQCLTEWARPLLEEYAIDELIDPRLGNHFVESEVICMLHAASLCIRRDPHLRPRMSQVLRILEGDMIMDGNYASTPGSEAGNRSGRFWVDHYSGQLTNDGSDRFSERLSVETPRLALRERERSQRFELNHNKQY >fgenesh2_kg.3__146__AT3G02150.2 pep chromosome:v.1.0:3:474942:476483:1 gene:fgenesh2_kg.3__146__AT3G02150.2 transcript:fgenesh2_kg.3__146__AT3G02150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVSWKDANDEVSGGTTRRREGEVEEDQEEAQVRATSGKTVIKKPPTSISSSSSSSSWMKSKDPRIVRVSRAFGGKDRHSKVCTLRGLRDRRVRLSVPTAIQLYDLQERLGVDQPSKAVDWLLDAAKEEIDELPPLPISPENFSIFNHHHSFLNLGQRPGQDPTQLGFKINGCVEESTTTSREANNKEKGENDVVFTNNHHVGSYGTYHNMEHHHHHHQHLSLQADYHNHQHQLHSLVPFPSQILVCPMTTSTTATIQSLFPSSPSAGSGTMETLDLRQMVSHFQMPLMGNSSSSSSQNISTLYSLLHGSNSNNGGRDINNRMSSVQFNRANSTTTANMSRHLGSESSTSRGSDHHM >fgenesh2_kg.3__1470__AT3G13710.1 pep chromosome:v.1.0:3:5602894:5606035:-1 gene:fgenesh2_kg.3__1470__AT3G13710.1 transcript:fgenesh2_kg.3__1470__AT3G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHQPYDPFYVYQPHYHPHHLPPQLPQFADEPGAINTLFVSGLPNDVKAREIHNLFRRRYGFESCQLKYTGRGDQVVAFATFTSHRFAMAAMNELNGVKFDPQTGSTLHIELARSNSRRKERPGSGPYVVIDNRNKELSKSQDDQSDEGDSDPDEVQEPRNSESPKENDNAKSEADSEPDSKAPSANGHLEKAYEGGSGARACSTLFIANLGPNCTEDELRQLLSRYSGFNILKIRARGGMPVAFADFEEIEQATDAMNELQGNLLSSSDRGGMHIEYARSKMRKQ >fgenesh2_kg.3__1474__AT3G13740.1 pep chromosome:v.1.0:3:5617136:5619082:1 gene:fgenesh2_kg.3__1474__AT3G13740.1 transcript:fgenesh2_kg.3__1474__AT3G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILSASSTVRAALDTQPRLPYNPNAPRKVKKTPNNNSFLPPPSPPPSPGISISVADLLKRPASKELTVDVDDTYMGYETWSPSPPKLEKPRSVFNAASLAFIGDSIFELYARRHFLFPPLSIEDYNDRVRAVVRCEAQYALLKKLVDDDFLTKEERDILRWGKNLGSARTRTRRRAGNAVYNKASSLETLIGYLYLTNGKRLEKIMQKLGFSSDSSTEIVIEEAKPKPSESNLSNFILNEQVVS >fgenesh2_kg.3__1477__AT3G13772.1 pep chromosome:v.1.0:3:5632541:5635369:-1 gene:fgenesh2_kg.3__1477__AT3G13772.1 transcript:fgenesh2_kg.3__1477__AT3G13772.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:D7L1I3] MKKTKGSSFRFYATLLLSFLSFSLSRAFYLPGVAPRDFQKGDPLYVKVNKLSSTKTQLPYDYYYLNYCKPPKILNNAENLGEVLRGDRIENSVYTFQMLEDQPCKVGCRVKLDADSTKNFKEKIDDEYRANMILDNLPVAVLRQRRDGSQSTTYEHGFRVGFKGSYEGSKEEKYFIHNHLSFRVMYHRDQESDSARIVGFEVTPNSILHEYKEWDEKNPQLTTCNKDTKNLIQGNTVPQEVEQGKEIVFTYDVSKVKSNGLLGGTRTFLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDISNYNQLETQDEAQEETGWKLVHGDVFRPPVNSGLLCVYVGTGVQIFGMSLVTMMFALLGFLSPSNRGGLMTAMVLLWVFMGIFAGYSSSRLHKMFKGNKWKRMTLKTAFMFPGILFAIFFVLNALIWGEQSSGAIPFGTMFALFCLWFGISVPLVFVGSYLGYKKPAIEDPVKTNKIPRQVPEQAWYMKPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFLILIVTCAEITVVLCYFQLCSEDYNWWWRAYLTAGSSAFYLFLYSIFYFFTKLEITKLVSGMLYFGYMIIISYAFFVLTGTIGFYACFWFVRKIYSSVKID >fgenesh2_kg.3__1478__AT5G47780.1 pep chromosome:v.1.0:3:5635655:5637963:1 gene:fgenesh2_kg.3__1478__AT5G47780.1 transcript:fgenesh2_kg.3__1478__AT5G47780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L1I4] MVKLRNLVLFLMLLTVAAPILLYTDPAASFKTPFSKRDFLEDVTALTLNSDGNRLNLLPRESPAVLRGGGGGKNSRRLDQLSARVLSATDDDTHSHTDISIKQVTHNREKMHVQLTQQTSEKVDEQEPNAFGAKKDTGNLLMPDAQLRHLKDQLIRAKVYLSLPSAKANAHFVRELRLRIKEVQRALADASKDSELPKTATEKLKAMEQTLAKGKQIQDDCSIVVKKLRAMLHSAEEQLRVHKKQTMFLTQLTAKTIPKGLHCLPLRLTTDYYALNSSQQQFPNQEKLEDTQLYHYALFSDNVLATSVVVNSTITNAKHPSKHVFHIVTDRLNYAAMRMWFLDNPPDKATIQVQNVEEFTWLNSSYSPVLKQLSSRSMIDYYFRAHHTNSDTNLKFRNPKYLSILNHLRFYLPEIFPKLSKVLFLDDDIVVQKDLSGLWSVDLKGNVNGAVETCGESFHRFDRYLNFSNPLISKNFDPRACGWAYGMNVFDLDEWKRQNITEVYHRWQDLNRDRELWKLGTLPPGLITFWRRTYPLDRKWHILGLGYNPSVNQRDIERAAVIHYNGNLKPWLEIGIPRYRSFWSKHVDYEQVYLRECNINP >fgenesh2_kg.3__147__AT3G02160.1 pep chromosome:v.1.0:3:478951:480803:1 gene:fgenesh2_kg.3__147__AT3G02160.1 transcript:fgenesh2_kg.3__147__AT3G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGGGDGGSQQRELPGKRKLFRGSDFAFSIARMAVAQICESVEVNSYQESQTREAVRFSSFHESALEALTDVAVQYIQSIGKTAHLYANIAGRVDGNSLDIVQALEDLGSGLGFAGFSDADHCLADSGVLKDIIHYTGEAEEMPFVYSLPRFPFSKEKKPAPSFSEVGPEPPDEHIPIWLPAFPKTKLCDRSEETNAATIEGEIPRKENGSSLPSMQHSFDGGRLDIHTSPKDVRESPKAVVEGNPFLTAPLRIVEKNVSPVVRPLEISNEVVRTNHVADKHMSNNHHIPVLEASASSDKINNMNWLAESEDGEKEDVARKQRTLVRFKIGTTKRSTCLAKSRSFQEEGWFQENEDKREKKSEIKEKRERIDSQLSTQM >fgenesh2_kg.3__1482__AT3G13790.1 pep chromosome:v.1.0:3:5648923:5651933:-1 gene:fgenesh2_kg.3__1482__AT3G13790.1 transcript:fgenesh2_kg.3__1482__AT3G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-fructofuranosidase [Source:UniProtKB/TrEMBL;Acc:D7L280] MTKEVCSNIGLWLLFTLLIGNYVVNLEASHHVYKRLTQSTNIKSPSVNQPYRTGFHFQPPKNWMNGPMIYKGIYHLFYQWNPKGAVWGNIVWAHSTSTDLINWYPHPPAIFPSAPFDINGCWSGSATILPNGKPVILYTGIDPKNQQVQNIAEPKNLSDPYLREWKKSPLNPLMAPDAVNGINASSFRDPTTAWLGQDKKWRVIIGSKIHRRGLAITYTSKDFLKWEKSPEPLHYDDGSGMWECPDFFPVTRFGSNGVETSSFGGPNEILKHVLKVSLDDTKHDYYTIGTYDRVKDKFVPDNGFKMDSTAPRYDYGKYYASKTFYDSGKNRRILWGWTNESSSVEDDVEKGWSGIQTIPRKIWLDRSGKQLIQWPVREVERLRTKQVKNLRNKVLKSGSRLEVYGVTAAQADVEVLFKVRDLEKADVIKPSWTDPQLICSQMNVSVKSGLGPFGLMVLASKNLEEYTSVYFRIFKARQNSDKYVVVMCSDQSRSSLEEDNDKTTYGAFVDINPHQPLSLRSLIDHSVVESFGGKGRACITSRVYPKLAIGKSSHLFAFNYGYQSVDLLNLNAWSMNSAQIT >fgenesh2_kg.3__1485__AT3G13810.1 pep chromosome:v.1.0:3:5681508:5684483:1 gene:fgenesh2_kg.3__1485__AT3G13810.1 transcript:fgenesh2_kg.3__1485__AT3G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDMLLHQHHQPQQDENMSNLTSASGDQASVSSGNITEASGSNYFPHHQQLQEQQQQFFVPDSQPQKKRRNQPGNPDPDSEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSNKEVIRKKVYVCPEASCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVHSDCKAHSKTCGTKEYRCDCGTLFSRRDSFITHRAFCEALAEETAREVVIPQNQNNQPNPLLIHQSSSHPHPHHHQTQPNINVSSSSSSSHNHNIINSLHFDTNNGTNNSNSSNNHLHTFPMKKEQQSNDHIMNYHHSIPPWLAPQPHDLTSSNPNPSNGGGGGSLFSLASPAMSATALLQKAAQMGSTKTPPLPPTTDYERSTRNNNLTTTMAAMMTSPSGFISSNNNNQVLFQDYNASGFDHHGGEEAFDDTFGGFLRTSEATTAAGSEKSKSGGGEGLTRDFLGLRPLMSHNEILSFAGLGNCINSSASDQLHPKPWQG >fgenesh2_kg.3__1486__AT3G13825.1 pep chromosome:v.1.0:3:5691139:5692028:1 gene:fgenesh2_kg.3__1486__AT3G13825.1 transcript:fgenesh2_kg.3__1486__AT3G13825.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L288] MYAFGYDNNKNRNHKILRKFLDDDDYNESSQRNIPFKFEMYYFNSESWRVLDVNPDCDLRRGVSLKGNTYSYARQIIEVGDPVSNSIDFLLCFDFIAEREEQLAVLYEHYETCLVIEIWITTKIEPN >fgenesh2_kg.3__1487__AT3G13840.1 pep chromosome:v.1.0:3:5694964:5696496:-1 gene:fgenesh2_kg.3__1487__AT3G13840.1 transcript:fgenesh2_kg.3__1487__AT3G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7L290] MLLEETEPPNQTLDHVLSWLEDSVSLSPLPGFDDSYLLHEFDGTQTWEWDQTQDPEHGFIQSYSQDLSAYVGFEATNLEVVTEAPSIDLDPPPELQQQNDQSRKRSRDGVIEAQQVKKSARSKRKAIKSSEKSSKDGNKEGRWAEKLLNPCALAITASNSSRVQHYLCVLSELASSSGDANRRLAAFGLRALQHHLSSSSSVSSSFWPVFTFASAEVKMFQKTLLKFYEVSPWFALPNNMANSAILQILAQDPIYKKDLHILDIGVSHGMQWPTLLEALSCRPEGPPPCVRITVVSDLTADIPFSVGPPAYNYGSQLLGFARSLKINLQISVLDKFQLIDTSPHETLIVCVQFRLHPLKHSIPDERGETLKALRSLRPKGVVLCENNGECSASGDFAAGFSKKLEYLWKFLDSTSSGFKEENSEERKLMEGEATKVLMNTGEMNEGKDKWYERMREAGFAAEAFGEDAIDGAKSLLRKYDNNWEVRMEDGDTYAGLMWKGEAVSFCSLWK >fgenesh2_kg.3__1488__AT3G13845.1 pep chromosome:v.1.0:3:5697332:5698639:-1 gene:fgenesh2_kg.3__1488__AT3G13845.1 transcript:fgenesh2_kg.3__1488__AT3G13845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKPTVALRGILVGGVAIFAKVAAAMKAAGGVKLGAAATAMTVAATAAVSGGSKQDQKQDASKAPPPS >fgenesh2_kg.3__1489__AT3G13850.1 pep chromosome:v.1.0:3:5700225:5701034:1 gene:fgenesh2_kg.3__1489__AT3G13850.1 transcript:fgenesh2_kg.3__1489__AT3G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain family protein [Source:UniProtKB/TrEMBL;Acc:D7L292] MPSGKPSSVFPLHPKPTPLKPSSSSTSNTNSSTNQACAACKYQRRKCAPDCLLAPYFPHDRHRQFLNAHKLFGVSNITKIIKSLTPPEKDAAMHTIMFQSDARASDPVDGCYGIIRKLQYQIEYTRNELEIVLQQLAMFRDRAHHHHQEPQIQMQEPEDLSSFSSSCDINNNNSIPYNYALNHIQEPNQQQQQYCSSGNNFNGLQEDMWCLQLQDSSTTVNMKAGFIDECEDVKPVEEVSSERHEFEPHEAFVEQRKLDLPPAQFIISS >fgenesh2_kg.3__1490__AT3G13860.1 pep chromosome:v.1.0:3:5702455:5705879:-1 gene:fgenesh2_kg.3__1490__AT3G13860.1 transcript:fgenesh2_kg.3__1490__AT3G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVLSKLSSSIGSSTSRKLVSGRIISSRNYAAKDISFGVGARAAMLQGVSEVAEAVKVTMGPKGRNVIIESSYGGPKITKDGVTVAKSISFQAKAKNIGAELVKQVASATNKVAGDGTTCATVLTQAILIEGCKSVAAGVNVMDLRVGINMAISAVVSDLKSRAVMISTPEEITQVATISANGEREIGELIARAMEKVGKEGVITVADGNTLDNELEVVEGMKLARGYISPYFITDEKTQKCELENPIILIHEKKISDINSLLKVLEAAVQSSRPLLIVAEDVESDALAMLILNKHHAGFKVCAIKAPGFGDNRKASLDDLAVLTGAEVISEERGLSLEKIRPELLGTAKKVTITRDDTIILHGGGDKKLIEERCEELRSANEKSTSTFDKEKTQERLSKLSGGVAVFKVGGASESEVGERKDRVTDALNATRAAVEEGIIPGGGVALLYATKALDNLQTQNEDQRRGVQIVQNALKAPAFTIAENAGYDGSLVVGKLLEQDDCNFGFDAAKGTYVDMVKSGIIDPVKVIRTALTDAASVSLLLTTTEASVLVKADENTPNHVPDMASMGM >fgenesh2_kg.3__1492__AT3G13870.1 pep chromosome:v.1.0:3:5706209:5712073:-1 gene:fgenesh2_kg.3__1492__AT3G13870.1 transcript:fgenesh2_kg.3__1492__AT3G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROOT HAIR DEFECTIVE 3 homolog [Source:UniProtKB/TrEMBL;Acc:D7L294] MDAACSTQLIDGDGVFNVAGVDHFIKEVKLEECGLSYAVVSIMGPQSSGKSTLLNHLFGTNFREMDAFRGRSQTTKGIWIARCAGIEPCTVVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHKETPLSDFFNVEVVALSSYEEKEEQFKEQVYNLRQRFFQSVAPGGLAGDRRGVVPANAFAFSAKQMWQVIKDNKDLDLPAHKVMVATVRCEEIANEKFSSFIANENWRELEEAVQSGPVSGFGRKLSSILQSSLSEYDTEATYFEESVRSSKRQQLQEKLLQLVQPTFQDVLGHLRSGALENFKNAFEKALNAGEGFSSSAKSCAQSCISKFDKGCEEAVIEQANWDTSKTREKLERDIEAHISSVRTAKLAELTTLYESKLNVALSGPVEALLDGANDETWPAIRKLLRREGELAVYGLSDALSGFEMDKETRSKMLADLENYARGIVETKAKEEAGRALMRMKDRFATIFSHDSDSMPRVWTGKEDIRAITKMARSASLKLLSVMAIIRLDDELDNIEKTLTLSLFNSTGNNATSKSISTIDSLASSTWEQVAPEKTLITPVQCKSLWRQFKNETEYTVTQAISAQEANRRNNNWLPPPWAILALVVLGFNEFMTLLRNPLWLLVLFVGYLISKALWVQLNISGEFRNGALPGLLSLSTKFIPTVMNLLKKLAEEGQAPPTNSNQSMNSTAQSEVTTNGESSSSSSSSGSPPAKNVPIDTSA >fgenesh2_kg.3__1494__AT3G13882.1 pep chromosome:v.1.0:3:5715229:5716771:1 gene:fgenesh2_kg.3__1494__AT3G13882.1 transcript:fgenesh2_kg.3__1494__AT3G13882.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of ribosome [Source:UniProtKB/TrEMBL;Acc:D7L296] MAAKTLIRSGASLMNRFLSKPTTNLVQNNLRSSFQQIAPQGQEIPPYFFPSLSNLQSSLISRPNDTASLQELNERGFLYPSGLPSLEFFLPEVDPSSEPLLLFPKRTFQPSTIRRKRNHGFFARKATKGGRRVIARRIAKGRHRVTA >fgenesh2_kg.3__1496__AT3G13890.1 pep chromosome:v.1.0:3:5717476:5718778:-1 gene:fgenesh2_kg.3__1496__AT3G13890.1 transcript:fgenesh2_kg.3__1496__AT3G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNKQKVKRGLWSPEEDEKLINYINSYGHGCWSSVPKHAGISTHISMGLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIIELHSILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLMSHHHHGHHLHHHLSSMASLLTNVNLPYHNGFHPTTVDDESSRFMSNIITNTNPNFITTSHLSLPSPHVMAPLIFPTSREGDFKFLTTNNTNQSHHQDNNYYNNLDILSATPSINNHHQLSLSSCPHDNDPQWPALPDFPASTISAFQETLQDYDDANKLNVFVTPYNENAKKLLCGEVLEGKVLSSSSPISQDHGLLLPTTYNFQMTSTSDHHHHRVDSYINHMIIPSSSSSSPISCGQYVIT >fgenesh2_kg.3__1498__AT3G13910.1 pep chromosome:v.1.0:3:5732926:5733513:-1 gene:fgenesh2_kg.3__1498__AT3G13910.1 transcript:fgenesh2_kg.3__1498__AT3G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYYKEMEPPSAGNRRDAVKGYSGSFDDSAGDQSQTNDYQLKIKKSKSVPNADRAASRSWSFSDPESRRKRRVAGYKVYSVEQKMKGSIRKSFKWFKDIIGIS >fgenesh2_kg.3__1501__AT3G13930.1 pep chromosome:v.1.0:3:5737917:5742740:1 gene:fgenesh2_kg.3__1501__AT3G13930.1 transcript:fgenesh2_kg.3__1501__AT3G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:D7L2A2] MASRIINHSKKLKHVSALLRRDHAVAVRCFSNSTQPSLIGKEDIFKARLNYSSVERISKCVTGNVTMLSGISTTSTKLSSTMAGPKLFKELISSQMRSVRGFSSSSDLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVAPGEVLCEVETDKATVEMECMEEGFLAKIVKEEGAKEIQVGEVIAITVEDEEDIQKFKDYTPSSGTGPAAPEAKPAPSPPKEEKVEKPASAPEAKISKPSSAPSEDRIFASPLARKLAEDNNVPLSSIKGTGPEGRIVKADVEDFLASGSKETTARPSKQVDSKVPALDYVDIPHTQIRKVTASRLAFSKQTIPHYYLTVDTCVDKMMGLRSQLNSFQEASGGKRISVNDLVIKAAALALRKVPQCNSSWTDEYIRQFKNVNINVAVQTENGLYVPVVKDADKKGLSAIGEEVRFLAQKAKENSLKPEDYEGGTFTVSNLGGPFGIKQFCAVINPPQAAILAIGSAEKRVVPGAGPDQYNVASYMSVTLSCDHRVIDGAIGAEWLKAFKGYIETPESMLL >fgenesh2_kg.3__1504__AT3G13960.1 pep chromosome:v.1.0:3:5758174:5760206:1 gene:fgenesh2_kg.3__1504__AT3G13960.1 transcript:fgenesh2_kg.3__1504__AT3G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLSGSSGRTIGRPPFTPTQWEELEHQALIYKYMVSGVPVPPELIFSIRRSLDTSLVSRLLPHQSLGWGCYQMGFGRKPDPEPGRCRRTDGKKWRCSREAYPDSKYCEKHMHRGRNRARKSLDQNQTRTTPLTSPSLSFHNNNNPSPTLSSSSSSSTTYSASSSSMDAYSNSNRFGVGGSSSHTRGYFNSHSLDYPYPSTSPKQQQQPLHHASALSLHQNTTSTSQFNVLASPTDHKDFRYFQGIGERVGVGERTFFPEASRSFQDSPYHHHQQPLTTVMNDPYHHCSTDHKIDHHHHTYSSSSSSQQHLHHDQEHDHRQQQQQCFVLGADMFNKPTRSVLTNSSRQDQNQEEEEKDSSESSKKSLHHFFGEDWAQNKNSSDSWLDLSSHPRLDTGN >fgenesh2_kg.3__1506__AT3G13990.1 pep chromosome:v.1.0:3:5776162:5781269:-1 gene:fgenesh2_kg.3__1506__AT3G13990.1 transcript:fgenesh2_kg.3__1506__AT3G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSRTSGNRGVGLDDESKKMIQSIKEIVGNHSDADIYTALKEANMDADEAVQKLIHQDPFHEVKRKRDRKKEGTVFVEPANVKKPLENVTSEVKVRTQPEHNVRRGGYSRNFFPRNAAAQRNASPRNPAAGSNREFRVVRDNRSNPNVNEQLKHSSAQSSGSNINKVVATVNKLCSRGGLGNHSSSGAQDSTDDCNAPADGRLRQAEIAPLHRPISKELRGVTLPSTNSVLGVSSSTDPVHVPSPVSRSSPVGAIKREVRGGGLGGKPSDNVGKAPSVPSFSGSSIRKSGPINAHRPSPSSPTSNIDHSRITARESVMPSGVEKNRSFLNRQRGNRGSQYARTQQQVGGHTKGASQHKEWKPKSNQKPVGHNPGVIGTPTKSQGRSPANNSINVESEAVKAHDKLPNVHISESQNVIIADHIRVPETDRCQLTFGSFVQEVNSSSNSTSAFQESWSSEELRESDRSSPVTCPEALTDGPGVQPINNIDDHVRISGSDSPVSAVSEPQLPEQKETHRSDNLDDYSGIQLLNRNGPPYTPLEFEQQQDLPELQKISQAYDNHGSYEFPYFIPAMDETVRVQGLPSPQEQGFSTHMVNNTPPSTIPMLQQQQQASMQQMYPQVHVSHFPNLMPYRQFLSPVYVPQMPMPGYSGNPAAYAHPSNGNSYVLMPGGGSHLGSNGVKYGIHQQYKPVPTGGPTGFGTYNNPNGYPINPPNVVGNATGLEDPSRMKYKDVNIYVPNPQAETSEIWMQNPRDLSSLQSPYYNVAGQSPHGTYLSSHTAHQSFNPAAQSSHMQFQGLFHPPQPGTMANPHHMGPGLGGNVGVGVVPSPPPSQVGTYQQSQLGHPNWPANF >fgenesh2_kg.3__1509__AT3G14000.2 pep chromosome:v.1.0:3:5781709:5784796:-1 gene:fgenesh2_kg.3__1509__AT3G14000.2 transcript:fgenesh2_kg.3__1509__AT3G14000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSNTNNGGSKKQEDDEEDDRVIGTPRSKQAIKSLTSQIKDMAVKASGAYKSCKPCSGSSNQNKNRNYADSDAASNSGRFRYAYKRAGSGSSTPKILGKEMESRLKGFLSGEGTPESMSGRTESTVFMEEEDELKEWVAQVEPGVLITFVSLPEGGNDMKRIRFSREMFDKWQAQKWWAENFDKVMELYNVQQFNQQSVPLPTPPRSEDGSSRIQSTKNGPATPPLNKEGPRGKGYASSGSIAHQATTQTQSRHQDSSGLATTPKLSSISGTKTETSSVDESARSSFSREEEEEADHSGELSVSNASDIETEWVEQDEAGVYITIRALPDGTRELRRVRFSREKFGARLWWEQNRARIQQQYL >fgenesh2_kg.3__150__AT3G02180.1 pep chromosome:v.1.0:3:496558:497351:1 gene:fgenesh2_kg.3__150__AT3G02180.1 transcript:fgenesh2_kg.3__150__AT3G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARGVNNGVNESSLGYLFGSGQPSSAAAATMGTTTTTTTTTTTDGTGGRPITTTTTTVTDNKKTSAGVRGSPNNYFRSEGQNCGNFLTDRPSTKVHAAPGGGSSLDYLFGGPSPAGSGNK >fgenesh2_kg.3__1514__AT3G14030.1 pep chromosome:v.1.0:3:5800118:5800999:-1 gene:fgenesh2_kg.3__1514__AT3G14030.1 transcript:fgenesh2_kg.3__1514__AT3G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTHLPVFLLCFDFTAERFGPRLPLPFHSHDEEIVSLSCVKEEQLAVLYQNYAICLDIWITTMIEPTALSWSKFLKLGVFFIDDEKKVAVVFDLDGYKKTETCRYQTAHIIGQYGYFKSVNIREAPNLGKPDRFGFTLSIYCVPLVCSSYVPSLVKID >fgenesh2_kg.3__1516__AT3G14060.1 pep chromosome:v.1.0:3:5811433:5811925:-1 gene:fgenesh2_kg.3__1516__AT3G14060.1 transcript:fgenesh2_kg.3__1516__AT3G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHLVITATSSAFRWPSSHRKIYLRRRKPQVVRLGGKNSTPRGRFSLKKVVTRMRLKWLRLYYVRLVKKIKAYYRTIVKEFEDAGAATIQQRMTVETAAFAAPGLGLSFCPMSGYVDQPRFFLV >fgenesh2_kg.3__1517__AT2G36730.1 pep chromosome:v.1.0:3:5813082:5814259:-1 gene:fgenesh2_kg.3__1517__AT2G36730.1 transcript:fgenesh2_kg.3__1517__AT2G36730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L2B9] SSFKSRKHQCLIFLKLCSSFKHLLQIHGKIHVSSLQNDSFIIIELVRVSSLSLVKDLTFARTLLLHSSDSTPSTWNMLNRGYSSSDTPVESIWFYSEMERRGINPNKLTFPFLLKACASFLGLTAGRQIQVEVLKHGFDSDVYVGNNLIHLYGSCKKTYDARKMFDEMTDRNFVSWNSIMTALVENGKFNLVFECFCEIIGRRFCPDETTMVVLLSACCGNLSLGKFHSQSRRVVVRELELNCRLGTALVDMYAKSGGLEYARLVFERMVDKNVWTWNAMIVGLAQYGFVEEALQLFAKMMKESTVRPKYVTFLGVLCACSHTALVDDGYKYFHEMEKAHKIKPMIIHYRAMVDILGRACRLNEAYDFI >fgenesh2_kg.3__1518__AT3G14067.1 pep chromosome:v.1.0:3:5814651:5817223:-1 gene:fgenesh2_kg.3__1518__AT3G14067.1 transcript:fgenesh2_kg.3__1518__AT3G14067.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7L2C0] MAKLSISSVFFVFSLFLCFLSSSYSSSDGLESYIVHVQSSHKPSLFSSHNHWHVSLLRSLPSSPQPATLLYSYSRAVHGFSARLSPIQTAALRRHPSVISVIPDQAREIHTTHTPDFLGFSQNSGLWGNSDYGEDVIVGVLDTGIWPEHPSFSDSGLGPVPSTWKGECEIGPDFPASSCNRKLIGARAYYKGYLTQRNGTKKHAAKESRSPRDTEGHGTHTASTAAGSVVANASLFQYAPGTARGMASKARIAAYKICWSSGCYDSDILAAMDQAVADGVHVISLSVGASGYAPEYHTDSIAIGAFGATRHGIVVSCSAGNSGPGPETATNIAPWILTVGASTVDREFSANAITGDGKVFTGTSLYAGESLPDSQLSLVYSGDCGSRLCYPGKLNSSLVEGKIVLCDRGGNARVEKGSAVKIAGGAGMILANTAESGEELTADSHLVPATMVGAKAGDQIRDYIKTSDSPTAKISFLGTLIGPSPPSPRVAAFSSRGPNHLTPVILKPDVIAPGVNILAGWTGMVGPTDLDIDPRRVQFNIISGTSMSCPHVSGLAALLRKAHPDWSPAAIKSALVTTAYDVENSGEPIEDLATGKSSNSFIHGAGHVDPNKALNPGLVYDIEVKEYVAFLCAVGYEFPGILVFLQDPTLFNACETSKLRTAGDLNYPSFSVVFGSTGEVVKYKRAVKNVGSNVDAVYEVGVKSPANVEIDVSPSKLAFSKEKSELEYEVTFKSVVLGGGVGSVPGHEFGSIEWADGEHVVKSPVAVQWGQGSVQSF >fgenesh2_kg.3__1522__AT3G14080.1 pep chromosome:v.1.0:3:5825234:5826936:1 gene:fgenesh2_kg.3__1522__AT3G14080.1 transcript:fgenesh2_kg.3__1522__AT3G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAGPEDIYLSTSLASYLDRKLLVLLRDGRKLMGTLRSFDQFANAVLEGACERVIVGEQYCDIPLGLYVIRGENVVLIGELDTEREELPPNMIRVSEAEIKRAQKVEREASELRGTMRKRMEFLDFD >fgenesh2_kg.3__1523__AT3G14090.1 pep chromosome:v.1.0:3:5827072:5829367:-1 gene:fgenesh2_kg.3__1523__AT3G14090.1 transcript:fgenesh2_kg.3__1523__AT3G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXO70D3 [Source:UniProtKB/TrEMBL;Acc:D7L2C4] MEPPETSLDSDGSKLETAERIILRWDSAATDGARGKMIFQSDRDEVDRFLRAVDEIQRSLSSVSFSSSSSSAATTVDEHEVKANSAIQIAMARLEDEFRNILLSHTTTFEPDSLFLEESSSVSPSLCVELGEDTTTVTTEEEELNSPGGSGSSRLTRRRSSYRSTSSIREMDLISPEAVSDLRSIVQRMVAAGYSRECIQVYGTVRKSAMETIFKQLGIVKISIGDIRKWIRAAKVCIRVVFSSEKRLCEQLFDGICTAMDETCFMETVKASALRLFTFPEAISISRRSPEKLFKILDLHDALTDMLPDIEAIFDSDSSDAIRAQAVEIQSRLAEASRGILSEFENAVLREPSIVPVPGGTIHPLTRYVMNYIVMISDYKQTLDDLIMSNPSTGSDPNTPDMDFTELESKSPLDLHLIWLIVVLHFNLEEKSKHYRDTSLSHIFIMNNIHYIVQKVKRSPELREMIGDHYLRKLTGIFRHAATNYQRATWVRVLNSLRDEGLHVSGSFSSGVSRSALRERFKAFNTMFEEVHRTQSTWSVPDAQLREELRISLSEHLIPAYRSFLGRFRGHIESGRHPENYLKYSVEDIETIVLDLFEGYTTPPHLRRR >fgenesh2_kg.3__1524__AT3G14100.1 pep chromosome:v.1.0:3:5830787:5834115:1 gene:fgenesh2_kg.3__1524__AT3G14100.1 transcript:fgenesh2_kg.3__1524__AT3G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPRLKQHQQQQQQQQQALMQQQALMQQHSLYHPGVLAPPQLEPVPSGNLPPGFDPSTCRSVYVGNIHTQVTEPLLQEIFTSTGPVESSKLIRKDKSSYGFVHYFDRRSAALAILSLNGRHLFGQPIKVNWAYATGQREDTSSHFNIFVGDLSPEVTDATLYQSFSVFSSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQTAINEMNGKWLSSRQIRCNWATKGATSGDDKLSSDGKSVVELTTGSSEDGKETLNEEAPENNSQFTTVYVGNLAPEVTQLDLHRYFHALGAGVIEEVRVQRDKGFGFVRYNTHPEAALAIQMGNTQPYLFNRQIKCSWGNKPTPPGTASNPLPPPAPAPVPGLSAADLLAYERQLALSKMASVNALMHPQGQHPLRQGAHGINAAGATAAMYDGGFQNVAAAQQLMYYQ >fgenesh2_kg.3__1525__AT3G14110.1 pep chromosome:v.1.0:3:5834072:5835762:-1 gene:fgenesh2_kg.3__1525__AT3G14110.1 transcript:fgenesh2_kg.3__1525__AT3G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIRCCSSFSRTSGGQPPPRDMSRGPEIGKFATSIGYSVVRKPGDHHPCSKTIHSSSLPKERGGKGIIKTPFPSGENLDKFSAFEGIGTLKLPVMAALLTNSIQMATPFEALAAEICEPESSMFSMPILLLVALIGATVGGLVARQRKGELQRLNEQLRQINAALRRQAKIESYAPSLSYAPVGARIPESEIIVEPKKQELISKLKTGKTFLRNQEPEKAYAEFKTALELAQSLRDPIEEKKAARGLGASLQRQGKYREAIQYHSMVLAISKRESEDSGITEAYGAIADCYTELGDLEKAGKFYDTYISRLETD >fgenesh2_kg.3__1527__AT3G14120.1 pep chromosome:v.1.0:3:5835947:5843945:1 gene:fgenesh2_kg.3__1527__AT3G14120.1 transcript:fgenesh2_kg.3__1527__AT3G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMDTSPSYFDPEVLSVRDQFRRYRKRHSTSPHEEMLSSYVSENRLLYDGHNIHSPTNTALLLENIKEEVDNFHTDHYEGTPANPISASRRESVGILDDDNENLFRRVESQSLKACKIENDELAESGDTTFALFASLFDSALQGLMSIPDLMLRLEESCRHVSQSIRYGSDIRHRVVEDKLMRQKAQLLLGEAASWSLLWNLYGKGTEEVPEDLILIPSTSHVEACQFVLNDHTAQLCLRIVLWLEELASKSLDLERKVRGSHVGTYLPNAGVWHHTQRYLKKNGSSADTVHHLDFDAPTREHSRLLPDDFKQDESVLEDVWTLIRAGRIEEACDLCRSAGQPWRAATLCPFSGMDMFPSLEALVKNGKNRTLQAIEQESGFGNQLRLWKWASYCASEKIAEQDGGKHEVAVFATQCSNLNRMLPICTDWESACWAMAKSWLDVQVDLELAQSKPGLTERFKSCIDESPETMQNGCQASLGPEDWPLHVLNQQPRDLPALLQKLHSGEMVHEAVVRGCKEQHRQIQMNLMLGDISHLLDTIWSWIAPLEDDQSNFRQSIHFLSNYTKILNSGRWWPHGDPHMIKFGAHVVLVLRLLFTDEINDSFREKLNNVGDLILHMYAMFLFSKQHEELVGIYASQLARHRCIELFVHMMELRMHSSVHVKYKIFLSAMEYLPFSPVDDLHGNFEEIVDRVLSRSREIKLAKYDPSIDVAEQHRQQSLQKAIAIQWLCFTPPSTIKDVKDVISKLLLRSLMHSNILFREFALIAMWRVPATPVGAHTLLSFLAEPLKQLSENPDTLEDYVSENLQEFQDWNEYYSCDAKYRNWLKFQLENAEVTELSEEENQKAVVAAKETLYSSLSLLLRQDNPWMTFLEDHVFESEDYMFLELHATAMLCLPSGECLRPDATVCAALMSALYSSVSEEVVLDRQLMVNVSISSRDSYCIEVVLRCLAIKGDGLGPHNANDGGILSAVAAAAFKGSDIYETYFSFTELTRFQAGVTMDISRLDAWYSSKEGSLETPATYIVRGLCRRCCLPELVLRSMQVSVSLMESGNPPEDHDELIELVASDETGFLSLFSGQQLQEFMLFEREYRMSQLELQEELSSS >fgenesh2_kg.3__1529__AT3G14130.1 pep chromosome:v.1.0:3:5843963:5850180:-1 gene:fgenesh2_kg.3__1529__AT3G14130.1 transcript:fgenesh2_kg.3__1529__AT3G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIVNVDEFQELAKQALPKMYYDFYNGGAEDQHTLKENVLAFRRIMLRPRVLVDVSKIDMSTTILGYPVSSPIMIAPTALHKLAHPEGEIATAKAAAACNTIMIVSFMSTCTIEEVASSCNAVRFLQIYVYKRRDVTAQIVKKAEKAGFKAIVLTVDVPRLGRREADIKNKMISPQLKNFEGLVSTEVRPNEGSGLEAFASNALDASLSWKDIEWLRSITKLPILVKGLLTREDALKAVETGVDGIVVSNHGARQLDYSPATITVLEEVVHVVRGRIPVLLDGGVRRGTDVFKALALGAQAVLIGRPIVYGLAAKGEDGVKKVIEMLKNELEITMALSGCPTIDDITRNHVRTENERLRSML >fgenesh2_kg.3__1530__AT3G14160.1 pep chromosome:v.1.0:3:5851574:5853142:1 gene:fgenesh2_kg.3__1530__AT3G14160.1 transcript:fgenesh2_kg.3__1530__AT3G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCDLVNRTKDVTLFCQESVSPASVELSSVEDHKSAQKADEAGSSSNESSTRHFDLFLMKKGVVLKPSLMETNRVKKKAAKGYTGIVIRPGMVLLKNYLSINDQVMIVNKCRQLCLGEGGFYQPGYRDETKLHLKMMCLGKNWDPETSRYGEIRPIDGSTPPKIPAEFNQFVEKAVKESQSLAASSNSKETKGEDGIPFMLPDICIVNFYTSTGRLGLHQDKDESENSIRKGLPVVSFSIGDSAEFLYGDQRDEDKAETLILESGDVLIFGGRSRKVFHGVRSIRKDTAPKPLVQETSLRPGRLNLTFRQY >fgenesh2_kg.3__1531__AT3G14170.1 pep chromosome:v.1.0:3:5853505:5855670:-1 gene:fgenesh2_kg.3__1531__AT3G14170.1 transcript:fgenesh2_kg.3__1531__AT3G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPRVLIKLLETMNTNIKVRGEYRSVLLQVISIVPALAGAELWPNQGFFIKVSDSSHSTYVSLRNEDNELILNNKLGLGQFFYVDKLEAGTPVPVLVGVRPISGRHPFVGNPKDLMQMLVPSETTPLQEENHNQKKKDGARSKMVENTRKHQPFVIKEEKTGVASRYMKGIVSNSKASGSDSSSGGSNNESETGSIMVAKKAGGLAKGKQREHKDQARQANPPQSRPATAPTKAESKKLSLSSTVNYINRKSNSSEDASWSSLPASLSKLGKGILRRRNIAALIATEAQREALVASHLIKCISMFADLSSNASPKNPNTSLRNFFTLQSILDQAQVTVAASKDKSFQPVNIHSLWTEPEKLSKKTSLASSRTTTKSSKTLTEAEKLEWVKGNGTEEIKELRNTLKRETRSWFLKFLEDALDTGLHASVPEKKGKTKGARLAEPDNHIAETLSQLKQANEWLEKVKNDNLSSDNSLLENIERLKKKIYSCLLLYVDSAASAIGV >fgenesh2_kg.3__1532__AT3G14172.1 pep chromosome:v.1.0:3:5855959:5863221:-1 gene:fgenesh2_kg.3__1532__AT3G14172.1 transcript:fgenesh2_kg.3__1532__AT3G14172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAIPLDYAVFQLSPKRSRCELFVSTAGSTEKLASGLVKPFVAHLKVAEEQVAREVQSIRLEVESNRNAGTWFTKGTLERFVRFVSTPEVLELVSALDVEMSQLEAARKIYGEGTGDQRSSAKDGTETTPAADVTKKELLKAIDLRLAAVRQDLATACNRASAAGFNPITVSELSQFADRFGANRLNEACTKFITLCQKRPELMSSWRVNQEEEAIRLSWESDMSIDDPSEDPSRDLATNRNQQHREYQTGMEEQSATGTNYCQQELKDEEEEKSTVQNEPLVSQPRQLTRRLSVQERISMFENKQKENSGEKTAVAKSTELKRLSSDLSSSAGMEKVVVRRWSGASDMSIDLGNDRKDDTGDSPLCTPSASSVSKDGSGASSKQFVGYNKKEQNGLSHAANPPRNEEECTSNNGGDWGMDEVESQNSSSTFLRKDKEVDLKVPLSKNNQVRHQGNSLDGYLEKNSKYKFHEKNSRATSDYAGNANINDHANNQMSDFISKRQNHIQFRDPQSHSLSTLQQLGGTEPNITSVQSNGGTAESPRKELMPSDRQSPVLEDRQRKTAVYGGSEQMKRPHSRRTDIGSAAVNTKPSAAINSVSDISESDTLNQLSPTEQVQRARPSKGSQELNDELKVKANELEKLFAEHMLRVPGDQTSSVRRGKPGKPSEQAVTSQLRRPVAQDLSSVQISDQKTLAMPTLTSNDEDKFKTPPTKMVVNNEYGDTTRQNFPEISFSDNSRGKFYEKYMHKRDAKLKEDWSCRRTEKEAKLKVLQDILDRSNAEMKSKFSQSTGRRDSNARRAEKLVYFNSKLSAKKDQHPISSFQSEEDEDGSRSTQNKKLQQNKNNLLTARTTATSASRSAAKVSTPSAVRRRGQSEKLLAQTVPNFSEIKKEGMKPASGVGKNGVRTQVRSSIRPKAVNEEEKLRRPKFFRKGAAELASDFSQLKSEDGVSVPLYLEQEQSGRNFNNHDIGISTDNAQLKASEGSEGSDDMEKEGMGEVLDDTEVEAFTDAEIEMPRLSQESEEWGSTGVANGESFSQLEPGLNAELPAAMASRHQTMGSILDSPGGSTGPWNTRVKHRYANEASELDASVDSPVGSPAFWNFSSLNQTENDTTQMRKKWGAAQKRVAGGNPSQNQSQQDVTKGLKRLLNFGRKNRAAESLADWISTTTSEGDDDTDDGRDLANRSSEDLRKSRMGFLQSHPSGDSFNESELFNQHVQTTGVPLSFKLKEDQTSGTSVKAPRSFFSLSNFRSKGK >fgenesh2_kg.3__1533__AT3G14180.1 pep chromosome:v.1.0:3:5864784:5866524:-1 gene:fgenesh2_kg.3__1533__AT3G14180.1 transcript:fgenesh2_kg.3__1533__AT3G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7L2D2] MEDDEDIRSQGSDSPDPSSSPPAGRITVTVASAGPPSYPLTPPATSSQKDPDALALALLPIHASGGGNSSSGRPTGGGGREDCWSEGATAVLIDAWGERYLELSRGNLKQKHWKEVAEIVSSREDYGKIPKTDIQCKNRIDTVKKKYKQEKVRIATGGGRSRWVFFDKLDRLIGSTAKIPTATPGISSGGGPVGGLHKIPMGIPMGNRSNLYHQQAKAATPPFNNIDRLIGATARVSAASFGGSGGGGGGGSVNVPMGIPMSSRSTPFGQQGRTLPQQGRTLPQQQQQGMMVKKCSESKRWRFRKRNASDSDSESEAAMSDDSGDSLPPPPLSKRLKTEEKKKQDGDGVGNKWRELTRAIMRFGEAYEQTENAKLQQVVEMEKERMKFLKELEMQRMQFFVKTQLEISQLKQQHGRRMGNTSNDHHSRKNNINVIVNNNNDLGNN >fgenesh2_kg.3__1535__AT3G14200.1 pep chromosome:v.1.0:3:5872814:5874740:-1 gene:fgenesh2_kg.3__1535__AT3G14200.1 transcript:fgenesh2_kg.3__1535__AT3G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L2D6] MASNNSEKINDNLYAVLGLKKECSSTELRTAYKKLALRWHPDRCSSMEFVEEAKKKFQAIQEAYSVLSDSNKRFLYDVGAYNTDDDDQNGMGDFLNEMATMMNQSKPNENNTGDSFEQLQDLFNEMFQGDPAAFSSSSSCSTSTFTSSCSFVFDTNDQRSSPFETSSIGINNDPFRYDLRAHSFSLGVDHQQEFKKGKNNGGRRNRRKNNASHETSSSNNYGVPTS >fgenesh2_kg.3__1536__AT3G14205.1 pep chromosome:v.1.0:3:5876515:5881486:-1 gene:fgenesh2_kg.3__1536__AT3G14205.1 transcript:fgenesh2_kg.3__1536__AT3G14205.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7L2D7] MAASERSIEKEVDMGSSFLQKFRLYETRSSFYMIGRDKNRTSWRVLKLDRTEPTEVNIYEDSTAYTEAECFETLTRIHEGNRSSGGLKFVTTCYGIIGFIRFLGPYYMLIITKRKKLGELCGHTVYGVAKSKIITIPHASVLSNVAYSKDEKRYKRLLCTVDLTKDFFFSYSYHIMHTLQRNLSNNVEGHTYYESMFVWNEYLTRRIRNNVKDCMWTVALVYGFFKQVKLSVSEKNFRLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEEAQDGNPVRISSVVQNRGSIPLFWSQETSRLNIKPDIILSAKDPNFEATRLHFENLGRRYGNPIIILNLIKTREKRPRETILRAEFANAIRFINKGLSKEDRLRPLHWDLHKHSRKKGTNVLAILGRLATYALNLTGIFYCQLTPDQRGDGFQNQNPSTLENNNGECSTYDILSKDETAPNLAVEIGDDSKDAKEDQQKEATMLQKGVLRTNCIDCLDRTNVAQYAYGLVAFGRQLHALGLTESTTIDLDNPLAEDLMGIYETMGDTLALQYGGSAAHNKIFCERRGQWRAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGYFQPQPDKPALWELGSDQHYNAARFLANSVPENSRSTMKRSLSESSIISESSPAALGPVGRHGVAEKDEEVKGLSDSAPEISTSETAKIAASLSAPPPTLEELGLDDILENDCFCCDGNGEQCTCAAFDLDWVSSSGNSCEDESYGRSTVVRSFETIPESRKIESEICVVEEADSDNRKVNEEEAISGIPEGYVKWVMDEDGHFW >fgenesh2_kg.3__1537__AT3G14210.1 pep chromosome:v.1.0:3:5898117:5899888:1 gene:fgenesh2_kg.3__1537__AT3G14210.1 transcript:fgenesh2_kg.3__1537__AT3G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epithiospecifier modifier [Source:UniProtKB/TrEMBL;Acc:D7L2D9] MAENFNLVSVLGVLLVLTIFHNPMMVYAGEGVPNVALFTFGDSYYDAGNKVFLSQKKDLPQTYWPYGKSRDYPNGKFSDGHIVPDFIADFISIPNGVLPPALKPGVDISRGVSLAVADASILGAPAESMTLNQQVAKFKSLKSNWNDSYIGQSLFMIYIGTEDYLNFTKTNPRADASAQQAFVTSVTNRLKSDIGLLYSLGASKFVVQLLAPLGCLPIVRQEYKTGNDCYEPLNDLAKQHNEKIGPMLNAFAKSSTSPNGFQFTVFDFYNAVLRRITTGRSLNYRLYVTNSSCCGIGTHNAYGCGMGNVHSKLCEYQRSYFFFDGRHNSEKVQEQLAHLLYGADPSVVQPMTVRELIVYPTGETMREYWEPNKSSIRRRPSGDPYFGFSAFE >fgenesh2_kg.3__1538__AT3G14225.1 pep chromosome:v.1.0:3:5904300:5905679:-1 gene:fgenesh2_kg.3__1538__AT3G14225.1 transcript:fgenesh2_kg.3__1538__AT3G14225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRFNSIIIIIFICTISLSIGSISCKEDLITNQAALFVFGDSLFEAGNNNYFDSVSSFRSNFWPYGKTTFKFPTGRVSDGRIMIDFIADYAWLPLIPPNLQPGYSNSQLTYGLNFATTAAGVFAGTFPGSVTNLSKDLGTQLNNFKNVEKTLRSNLGDAEARRVISKAVYLFHIGANDYQYPFFANTSTFSNTTKERLVEFVIRNTTTVIEELYKLGARKFGFLSLGPFGCTPSASIIDRAKIGSCFEPVTELINLHNQEFPKVLRRLERRLSGFKYALHDFHTSLSQRINNPSRYGFKEGKMACCGSGPLRGINTCGFRNGPSQGYEQCENADDYIFFDPSHLTEKAHHQIAELIWSGPPTVTAPYNLKTLFRL >fgenesh2_kg.3__153__AT3G02190.1 pep chromosome:v.1.0:3:497575:498164:-1 gene:fgenesh2_kg.3__153__AT3G02190.1 transcript:fgenesh2_kg.3__153__AT3G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L39 [Source:UniProtKB/TrEMBL;Acc:D7LAL7] MPSHKSFMIKKKLGKKMRQNRPIPNWIRLRTDNRIRYNAKRRHWRRTKLGF >fgenesh2_kg.3__1542__AT3G14240.1 pep chromosome:v.1.0:3:5918943:5921559:-1 gene:fgenesh2_kg.3__1542__AT3G14240.1 transcript:fgenesh2_kg.3__1542__AT3G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFFFYFFFLLTLSSPSSSSSSSNSLTYIVHVDHEAKPSIFPTHRHWYTSSLASLTSSPPSIIHTYDTVFHGFSARLTSQDASHLLDHPHVISVIPEQVRHLHTTRSPEFLGLRSTDKAGLLEESDFGSDLVIGVIDTGIWPERPSFDDRGLGPVPLKWKGQCIASQDFPESACNRKLVGARFFCGGYEATNGKMNETTEFRSPRDSDGHGTHTASISAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWNSGCYDSDILAAFDTAVADGVDVISLSVGGVVVPYYLDAIAIGAFGAIDRGIFVSASAGNGGPGALTVTNVAPWMTTVGAGTIDRDFPANVKLGNGKMIAGVSVYGGPGLNPGRMYPLVYGGSLIGGDGYSSSLCLEGSLDPNLVKGKIVLCDRGINSRATKGEIVRKNGGLGMIIANGVFDGEGLVADCHVLPATSVGASGGDEIRRYISESSKARSSKHPTATIVFKGTRLGIRPAPVVASFSARGPNPETPEILKPDVIAPGLNILAAWPDRIGPSGVPSDNRRTEFNILSGTSMACPHVSGLAALLKAAHPDWSPAAIRSALMTTAYRVDNRGDPMMDESTGNTSSVMDYGSGHVHPTKAMDPGLVYDITPYDYINFLCNSNYTGTNIVTITRRQADCDGARRAGHVGNLNYPSFSVVFQQYGESKMSTHFIRTVTNVGDPDSVYEIKIRPPRGTTVTVEPEKLSFRRVGQKLSFVVRVKTTEVKLSPGATNVQTGHIIWSDGKRNVTSPLVVTLQQPL >fgenesh2_kg.3__1543__AT3G14250.1 pep chromosome:v.1.0:3:5923107:5924107:-1 gene:fgenesh2_kg.3__1543__AT3G14250.1 transcript:fgenesh2_kg.3__1543__AT3G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7L324] MNDGSSSSSTKVDTDVLVDETYFSALFDYDEVFPISDENYATELHLQEALFSSIVASTGGVNHHPQVQRNLVTRVKQEPEIKTENEPTEPSRRFCMICMDEKPSSDIFRGTTNCVHFYCTDCTVRYVATKIKENAARIKCPDVECTHLIEPYTCRDLIPKDVFDRWDKILCESLISSWDKFYCPFKDCSAMMVNDEGGNANVTQTECPSCHRLFCVKCKVTWHAGIGCDEFQRFGNTKKKSSDDEDALLIQMAKNKQWRRCPSCKFYVDKVEGCQHINCRCGYQFCYGCGSVWGSSHVCQIRY >fgenesh2_kg.3__1544__AT3G14260.1 pep chromosome:v.1.0:3:5937928:5938948:-1 gene:fgenesh2_kg.3__1544__AT3G14260.1 transcript:fgenesh2_kg.3__1544__AT3G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIHPDLITSGTGEETISPYLTTEQETFTIWMKSLVFNTNGCTVFDSKGKIIYRVDNYNSKSCREVYLMDLSGHVLFTLRRQKFGLFKTWEGYRSSSGTAESTTKLEYFRVKNNVFQIPNKDSSSSYRVIAGSCRNDEQYCYKMVTRGSSLAIEDHCGKLLAEVKRKQSINGLKLGDDVLTMMVESQVDHSFIIGLVLAHSLINCIL >fgenesh2_kg.3__1545__AT3G14270.1 pep chromosome:v.1.0:3:5950342:5957656:1 gene:fgenesh2_kg.3__1545__AT3G14270.1 transcript:fgenesh2_kg.3__1545__AT3G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L328] MGTRDSNRTFSEIVGLIKSWLPWRSEPATVSRDFWMPDQSCRVCYECDCQFTLINRRHHCRLCGRVFCGKCTANSVPFAPSDLRTPREEWERIRVCNYCFRQWQQGDGGPHVSNITELSTSPSETSLLSSKTSTTANSSSFALGSMPGLIGPCHRVHHGSDVSLHGVSSMETSTTRQGKETSRRSSFIATDVEDPSRFALNRSDDEYEEYGVYQTDIETSHSPRANEYYGPMEYNGMSIDDGPCKHLSGETADQKSLSVSPLIHQCLESLIREGSEQFQKKGEHDGRDECEASSPADISDDQVVEPVDFENNGLLWVPPDPENEEDERESALFDEEDNEGDTSGEWGYLRPSTSFGSGEYRGEDRTTEEHKKAMKNVVDGHFRALLAQLLQVENISVSDEEGKESWLEIITSLSWEAANLLKPDMSKSGGMDPGGYVKVKCLASGFRHDSMVVKGVVCKKNVAHKKMSTKIEKARLLILGGGLEYQRVSNQLSSFDTLLQQEKDHLKMAVAKIHAERPNILLVEKSVSRFAQEYLLAKDISVVLNIKRPLLDRIARCTSAQIIPSVDHLSSQKLGYCENFRVDRFFEEHDSTGQVGKKVAKTLMYFEHCPKPLGFTILLRGANEDELKKVKHVVQYGVFAAYHLALETSFLADEGASPELPLNSPITVALPDKSTSIERSISTVPGFTVSTYEKSPTMLSCAEPQRANSVPVSELLSTTTNLSIQKDANPLIPNGSGWQAREINPSFIFSRHNVSLNLPDRVIESRNSDLSGRSVPVDTPVDKTNPVVVADDTVENSLHSSGQGFVRKSSQSGTSIMVENQDNGSELTTVQQQINEKPKETQSQKEEFPPSPSDHQSILVSLSSRSVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDQSYRCRSCEMPSEAHVHCYTHRQGSLTISVKKLQDYLLPGEKEGKIWMWHRCLRCPRPNGFPPATLRVVMSDAAWGLSFGKFLELSFSNHAAASRVACCGHSLHRDCLRFYGFGNMVACFRYATIDVHSVYLPPSILSFNYENQDWIQRETDEVIERAELLFSEVLNAISQIAEKGFRRRIGELEEVLQREKSEFEENMQKMLHREVNEGQPLVDILELYRIRRQLLFQSYMWDHRLINASTLHKLENSDDTKREENEKPPLAKSQTLPEMNAGTNSLLTGSEVNLNPDGDSTGDTGSLNNVQKEADTNSDLNHEKNDGGEVSPSKTLPDTSYPLENKVDVRRTQSDGQIVMKNLSATLDAAWIGERQTSVEIPTNNKVLLPPSTMSNSSTFPPISEGLMPIDLPEQQNEFKVAYPVSPALPSKNYENSEDSVSWLSVPFLNFYRSINKNFLLSSQKLDTFGEHSPIYISSFREAELQGGPRLLLPVGLNDIVVPVYDDEPTSMIAYALMSPEYQRQTSVEGESLVSYPSELNIPRPVDDTIFDPSRSNGSVDESILSISSSRSSSLLDPLSYTKALHARVSYGEDGTLGKVKYTVTCYYAKRFEALRGICLPSELEFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESISTKSPTCLAKILGIYQVATKQLKSGKETKMDVLIMENLLFGRTVKRLYDLKGSSRARYNPDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLALGDVMDYSLLVGVDEEKNELVLGIIDFLRQYTWDKHLESWVKFTGILGGPKNEAPTVISPKQYKRRFRKAMTTYFLMVPDQWSPPNVVANNSRSDQPEETSQAGTQAE >fgenesh2_kg.3__1546__AT3G14280.1 pep chromosome:v.1.0:3:5957896:5958906:-1 gene:fgenesh2_kg.3__1546__AT3G14280.1 transcript:fgenesh2_kg.3__1546__AT3G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSTKLCLPLKTPCFVPKTVRSKTWMLQQSQVHVNVKQVTVSQPQPIRYSTKNTVFEDPTQGIICYTDDNGEVICEGYDEGPRCPTQSPMVASYSREVEILDLLQRSYQELSNSKKDGQRQILASQQEFTMIKWSSFDFL >fgenesh2_kg.3__1547__AT3G14290.1 pep chromosome:v.1.0:3:5960817:5962957:1 gene:fgenesh2_kg.3__1547__AT3G14290.1 transcript:fgenesh2_kg.3__1547__AT3G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:D7L330] MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGVKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDDHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQFNKDITLQEAETIAVSILKQVMEEKVTPNNVDIAKVAPAYHLYTPQEVEAVISRL >fgenesh2_kg.3__1548__AT3G14310.1 pep chromosome:v.1.0:3:5973123:5976279:-1 gene:fgenesh2_kg.3__1548__AT3G14310.1 transcript:fgenesh2_kg.3__1548__AT3G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7L333] MAPSMKEIFSNNFKKNKKLVLLSSAVALLLVAAVAGFSAGASKSNEKRTLSPSSHAVLRSSCSSTRYPELCISAVANTGGVEITSQKDVIEASLNLTIIAVEHNYFNVKKLIKKRKGLTPREKTALHDCLETIDETLDELHETVEDLHLYPARKTLREHAGDLKTLISSAITNQETCLDGFSHDDADKQVRKALLKGQIHVEHMCSNALAMIKNMTDTDIANFEQKAKITSNNRKLKEENQETTVADIAGAGELDAEGWPTWLSAGDRRLLQGSSVKADATVAADGSGTFKTVAAAVAAAPENSNKRYVIHIKAGVYRENVEVAKKKKNIMFMGDGRTRTIITGSRNVVDGSTTFHSATVAAVGERFLARDITFQNTAGPSKHQAVALRVGSDFSAFYNCDMLAYQDTLYVHSNRQFFIKCIIAGTVDFIFGNAAVVLQDCDIHARRPNSGQKNMVTAQGRTDPNQNTGIVIQKCRIGATSDLQSVKGSFPTYLGRPWKEYSQTVIMQSAISDVIRPEGWSEWTGTFALNTLTYREYANTGAGAGTANRVKWGGFKVITAAAEAQKYTAGQFIGGGGWLSSTGFPFSLGL >fgenesh2_kg.3__1549__AT3G14320.1 pep chromosome:v.1.0:3:5979377:5980025:-1 gene:fgenesh2_kg.3__1549__AT3G14320.1 transcript:fgenesh2_kg.3__1549__AT3G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESDAVNLIHLGINIGFALFFFRISSVVVSCLIKCYSYTHDDDHHDPDHDNNNNDDHVSITIRQCVGIKPYVLRSIPIIDFNSKDFKDDVLECVVCLSELADRDKARVLPSCDHLFHVECIDSWLQSNSTCPICRKRVCLKQSRILTQNHDPTSEHHDEFPTGVPTNTDTRLLKMVVAAKHSGERDKSGPC >fgenesh2_kg.3__1553__AT3G14350.1 pep chromosome:v.1.0:3:5987148:5991626:-1 gene:fgenesh2_kg.3__1553__AT3G14350.1 transcript:fgenesh2_kg.3__1553__AT3G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENRVVLALLILCIVGFEPSFIHGATDSSDTSALNTMFSSMNSPGQLSQWTTSGGDPCGQNWKGITCSGSRVTQIKLSGLGLSGSLGYMLDKLTSVTEFDLSNNNIGGDLPYQLPPNLERLNLANNQFTGSAQYSISMMAPLKYLNLAHNQLKQLAIDFTKLTSLSILDLSSNAFTGSLPNSCSSLTSAKSIYLQNNQFSGTIDILATLPLENLNIANNRFTGWIPYSLKGINLQKDGNLLNSGPAPPPPPGTPPISKSPTPKSGNRETRSNGDSSSSKDSSKSGLGAGGIAGIVISLLVVTAVIAFFLIKRKRSKRSSSTDIEKTDNNINQPIILASNDFHHENKSVQNPPLVETKKLDTSLSMNLRPPPAERHKSFDDDDSTMRKPIVAKKAAVVVPSNVNTYTVADLQIATNSFSVDNLLGEGTFGRVYRAQFEDGKVLAVKKIDSSALPTDTADDFTEIVSKIAHLDHENVTKLDGYCSEHGQHLVVYEFHRNGSLHDFLHLAEEESKPLIWNPRVKIALGTARALEYLHEVCSPSIVHKNIKSANILLDSELNPHLSDSGLASFLPTANELLNQNDEGYSAPETSMSGQYSLKSDVYSFGVVMLELLTGRKPFDSSRSRSEQSLVRWATPQLHDIDALGKMVDPALKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVVLVQRANMSKRTVGAGSGSSGVNDYM >fgenesh2_kg.3__1556__AT3G14380.1 pep chromosome:v.1.0:3:6018080:6018856:-1 gene:fgenesh2_kg.3__1556__AT3G14380.1 transcript:fgenesh2_kg.3__1556__AT3G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 2A2 [Source:UniProtKB/Swiss-Prot;Acc:D7L342] MDKTDQTAIDGSALELNRTEKTVEAVLRVASMALSITGLVIMIKNSISNDFGSLSYSNLGAFMYLVGANGVCAAYSLLSALAILALPCPISKVQVRTLFLLDQVVTYVVLAAGAVSAETVYLAYYGNIPITWSSACDSYGIFCHKALISVVFTFVVSLLYMLLSLISSYRLFSRFEAP >fgenesh2_kg.3__1557__AT3G14390.1 pep chromosome:v.1.0:3:6027339:6030530:1 gene:fgenesh2_kg.3__1557__AT3G14390.1 transcript:fgenesh2_kg.3__1557__AT3G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATQCLSQPSSSLNPYQLKNQTSQLSRIIPIFSLKSTLKPLKRLSVKATAVSQNSTKTLTKFDHCFKKSSDGFLYCEGTKVEDIMESVERRPFYLYSKPQITRNLEAYKEALEGVTSVIGYAIKANNNLKILEHLRSLSCGAVLVSGNELRLALLAGFDPTKCIFNGNGKLLEDLVLAAQEGVFVNVDSEFDLNNIVEASRISGKQVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDQVKAHPKELKLVGAHCHLGSTITKVDIFRDAAVLMIEYIDEIRRQGFEVSYLNIGGGLGIDYYHAGAVLPTPMDLINTVRELVLSRDLNLIIEPGRSLIANTCCFVNHVTGVKTNGTKNFIVIDGSMAELIRPSLYDAYQHIELVSPPPPEAEVTKFDVVGPVCESADFLGKDRELPTPPQGAGLVVHDAGAYCMSMASTYNLKMRPPEYWVEEDGSISKIRHAETFDDHLRFFEGL >fgenesh2_kg.3__1559__AT3G14395.1 pep chromosome:v.1.0:3:6032024:6032555:1 gene:fgenesh2_kg.3__1559__AT3G14395.1 transcript:fgenesh2_kg.3__1559__AT3G14395.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVKTSRFITEVAPAKFISATREPFKNMLTTISEEDFDFDELVRATADRLSSSCPGSSSWSLAHYAKINRVSSS >fgenesh2_kg.3__1560__AT3G14400.1 pep chromosome:v.1.0:3:6032645:6036547:-1 gene:fgenesh2_kg.3__1560__AT3G14400.1 transcript:fgenesh2_kg.3__1560__AT3G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 25 [Source:UniProtKB/TrEMBL;Acc:D7L345] MGFKLQMSWMPSLLSQKRRNGPPLGLRNLGNTCYLNSVLQCLTFTPPLANFCLTHKHSSHCDSYVDGERKRDCPFCIVEKRIARSLSVDLTTDAPNKISSCLKIFAEHFKLGRQEDAHEFLRYVIDACHNTSLRLKKLRIKGNEPFNGNTVVKEIFGGALQSQVKCLSCGAESNKADEIMDISLEILHSSSVKESMQKFFQSEILDGNNKYKCETCEKLVTARKQMSILQAPNILVIQLKRFGGIFGGKIDKAISFGEILVLSNFMSKASKDPQPEYKLFGIIVHSGFSPESGHYYAYVKDSLGRWYCCNDSFVSLSTLQEVLSEKAYILFFSRSNQRPASAKTLVTSNGTTSHEVNGCETSNPQKFIGPLNGVNMKPQSEQSFQKVKLASSKPHKFIGPKLRAEQALQEDNLLSSKVEKAPLKPHAKVIISVNLGAKRVSPSVNGRLSFHQDENIAPKANKENSVSVLPTRVKSGTERKFGTENGGNGVKENGSAPGSSNHKVTVHPHERSNGSCNGGDHYKDNLHPCGSNGSQNGTVHQEIERNGVSTTQSKGLCSSTNEDPCILLRKDESSRNELEAIKESLKKDALSHLRSCGWYDKVHISMRAKKRLRTEQSGGEDGNDLKRLLIEDVKSSLKSQIPEGLKADLVNRIWEISKKKYS >fgenesh2_kg.3__1563__AT3G14420.2 pep chromosome:v.1.0:3:6039673:6045014:1 gene:fgenesh2_kg.3__1563__AT3G14420.2 transcript:fgenesh2_kg.3__1563__AT3G14420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNVTEYDAIAKQKLPKMVYDYYASGAEDQWTLQENRNAFARILFRPRILIDVSKIDMTTTVLGFKISMPIMVAPTAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKNRNVVEQLVRRAERAGFKAIALTVDTPRLGRRESDIKNRFTLPPNLTLKNFEGLDLGKMDEANDSGLASYVAGQIDRTLSWKDVQWLQTITKLPILVKGVLTGEDARIAIQAGAAGIIVSNHGARQLDYVPATISALEEVVKATQGRIPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMLRDEFELTMALSGCRSLKEISRNHITTEWDTPRPSARL >fgenesh2_kg.3__1569__AT3G14440.1 pep chromosome:v.1.0:3:6053141:6055430:-1 gene:fgenesh2_kg.3__1569__AT3G14440.1 transcript:fgenesh2_kg.3__1569__AT3G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nine-cis-epoxycarotenoid dioxygenase3 [Source:UniProtKB/TrEMBL;Acc:D7L349] MASFTATAAVSRRWVGGNHTQPPLSSSQSSDLSYCSSLPMTSRVTRKLNVSSALHTPPALHFPKQSSNSPAIVVNPKAKESDTKQMNLFQRAAAAALDAAEGFLVRHERLHPLPKTSDPSVQIAGNFAPVNEQPVRRNLPVVGKIPDSIKGVYVRNGANPLHEPVTGHHFFDGDGMVHAVKFEDGSASYACRFTQTNRFIQESELGRPVFPKAIGELHGHTGIARLMLFYARAAAGIVDPAHGTGVANAGLVYFNGRLLAMSEDDLPYQVRITPSGDLKTVGRFDFDGQLESTMIAHPKVDPESGELFALSYDVVSKPYLKYFRFSPDGTKSPDVEIQLDQPTMMHDFAITENFVVIPDQQVVFKLPEMIRGGSPVVYDKNKVSRFGILDKYAEDSSNIKWIDAPDCFCFHLWNAWEEPETEEVVVIGSCMTPPDSIFNESDENLKSVLSEIRLNLKTGESTRRPIISDEDQQVNLEAGMVNRNMLGRKTKFAYLALAEPWPKVSGFAKVDLTTGEVKKHLYGDNRYGGEPLFLPGEGGEEDEGHILCFVHDEKTWTSELQIVNAVSLEVEATVKLPSRVPYGFHGTFIGADDLAKQVV >fgenesh2_kg.3__156__AT3G02210.1 pep chromosome:v.1.0:3:500874:503321:-1 gene:fgenesh2_kg.3__156__AT3G02210.1 transcript:fgenesh2_kg.3__156__AT3G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochelatin synthetase family protein [Source:UniProtKB/TrEMBL;Acc:D7LAL9] MGFLCSSSSIFFKFGISIIFLVSFSGYIPSEAYDPLDPTGNITVKWDIITWTGDGYVATVTIYNFQQYRHIQAPGWTLGWSWAKREVIWGMNGGQTTEQGDCSKFKGNIPHCCKKTPSVVDLLPGTPYNQQIANCCRGGVLNSWAQDSATAVSAFQLTIGQAGTTNKTVRVPKNFTLKAPGPGYTCSPAKIVKPTRFIGTDKRRVTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNSTIVSCPTCSCGCRNTSQPGNCVDPKGPRIASVIPNPGKNAYIPPLVQCTKHMCPVRIHWHVKVNYKQYWRVKVTITNFNYNMNYSQWNLVVQHPNFDNLTQTFSFNYKPLTPYASINDTGILWGIKFYNDLLMQAGPFGNVQSELLFQKEASAFTFEKGWAFPRRIYFNGDNCVMPPPDSYPWLPNTGSHKSIGSLFAAIALLLTLFLHGNL >fgenesh2_kg.3__1570__AT3G14450.1 pep chromosome:v.1.0:3:6074110:6076155:1 gene:fgenesh2_kg.3__1570__AT3G14450.1 transcript:fgenesh2_kg.3__1570__AT3G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ctc-interacting domain 9 [Source:UniProtKB/TrEMBL;Acc:D7L350] MATVTEMPTDVVVVDDVNGISTKSDGEKIIDEGIEKSSITCSKTETESELKPKSEFDMQKLVAMFKKLNPLAKEFFPSYYDPKKNHHVGKANQFLSADDFATTNKQSGEEFDPDAKKDDNTRKRRNYSQGRRRLTGRISKAQREDSIRRTVYVSDIDQSVTEEGLAGLFSNCGQVVDCRICGDPHSVLRFAFVEFADDQGAREALSLGGTMLGFYPVRVKNCHSTSESHISSKGKCSDSEDEREMCTRTIYCTNIDKKVSQADVRNFFESACGEVTRLRLLGDQLHSTRIAFVEFTLADSALRALNCSGMVVGSQPIRVSPSKTPVRPRITRPPSTN >fgenesh2_kg.3__1571__AT3G14460.1 pep chromosome:v.1.0:3:6076586:6080875:-1 gene:fgenesh2_kg.3__1571__AT3G14460.1 transcript:fgenesh2_kg.3__1571__AT3G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSYLSNCANVMVERINTSQELVELCKGKSSSALLKRLKVALVTANPVLADAEQRAEHVREIKHWLTGIKDAFFQAEDVLDELLTEALRRRVVAEAGGLGGLFQNLMAGRETIQKKIEPKMEKVVRLLEHHVKHIEVIGLKEYSETREPQWRQASRSRPDDLPQGRVVGRVEDKLALVNLLLSDDEISTGKPTVISVVGMPGVGKTTLTEIVFNDNRVTEHFDVKMWISAGINFNVFTVTKAVLQDITSSAVNTEDLPSLQIQLKKTLSGKRFLLVLDDFWSESDSEWESFQVAFTDAEEGSKIVLTTRSEIVSTVAKAEKIYQMKLMTNEECWELISRFAFGNISVGSINQELEGIGKRIAEQCKGLPLAARAIASHLRSKPNPDDWYAVSKNFSSYTNSILPVLKLSYDSLPAQLKRCFALCSIFPKGHIFDREELILLWMAIDLLYQPRSSRRLEDIGNDYLGDLVAQSFFQRLDITMTSFVMHDLMNDLAKAVSGDFCFRLEDDNIPEIPSTTRHFSFSRSQCDASVAFRSISGAEFLRTILPFNSPTSLESLQLTEKVLNPLLHALSGLRILSLSHYQITNLPKSLKGLKLLRYLDLSSTKIKDLPEFVCTLCNLQTLLLSNCRDLTSLPKSIAELINLRFLDLVGTPLVEMPPGIKKLRSLQKLSNFAIGRLSGAGLHELKELSHLRGTLRISELQNVAFASEAKDAGLKRKPFLDELILKWTVKGSGFVPGSFNALACDQKEVLRMLEPHPHLKTFCIESYQGGAFPKWLGDSSFFGIASVTLSSCNLCISLPPLGQLPSLKYLSIEKFNILQKVGIDFFFGENNLSCVPFQSLQTLKFYGMPRWEEWICPELEGGIFPCLQKLIIQRCPSLTKKFPEGLPSSTEVTISDCPLRAVAGGEHSSRRSLTNIPESPTSIPSMSRRELSSPTGNSKSDASTSAQPGFASSSQSNDDNEVTSTSSLSSLPKDRPLSQTQDFDQYETQLGSLPQHFEEPAVISARYSGYISDIPSSLSPYISRTSLLPDPKNEGSGLLGSSRLSYQYQPYGKLSVRSPPSSDTDNKKLSQYDDETDMDYLKVTEISHLMELPQNIQSLHIDSCDGLTSLPENLTESNPNLHELIIIACHSLESFPGSHPPTTLKTLYIRDCKKLDFAESLQPTRSYSQLEYLFIGSSCSNLVNFPLSLFPKLKSLSIRDCESFKTFSIHAGLGDDRIALESLEIRDCPNLVTFPQGGLPTPKLSSMLLSNCKKLRALPEKLFGLTSLLSLFIVKCPEIETIPGGGFPSNLRTLCISICDKLTPRIEWGLRDLENLRNLEIEGGNEDIESFPDEGLLPKGIISLRISRFENLKTLNRKGFQDTKAIETMEINGCDKLQISIDEDLPPLSCLRISSCSLLSENFAEAETEFFKVLNIPHVEIDGEIFS >fgenesh2_kg.3__1572__AT3G14470.1 pep chromosome:v.1.0:3:6082508:6085808:1 gene:fgenesh2_kg.3__1572__AT3G14470.1 transcript:fgenesh2_kg.3__1572__AT3G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIEGMFLSAFLQALFQTLLSEPFKSFFKRRELNENVLERLSTALLTITAVLIDAEEKQITNPVVEKWVNELRDVVYHAEDALDDIATEALRLNIGAESSSSNRLRQLRGRMSLGDFLDGNSEHLETRLEKVTIRLERLASQRNILGLKELTAMIPKQRLPTTSLVDESQVFGRADDKDEIIRFLIPENGNDNQLTVVAIVGTGGVGKTTLSQLLYNDQRVQSHFGTRVWAHVSEEFDVFKITKKVYESVTSRPCEFTDLDVLQVKLKERLTGTGLPFLLVLDDLWNENVADWELLRQPFIHAAQGSHILVTTRSQRVASIMCAVHVHNLQPLSDGDCWSLFIKTVFGNQDPCLDQEIGDLAERIVHKCRGLPLAVKTLGGVLRFEGKVKEWERVLSSRIWDLPADKSNLLPVLRVSYYYLPAHLKRCFAYCSIFPKGHAFEKEKVVLLWMAEGFLQQTRSNKNLEELGDEYFYELQSRSLFQKTKTRYIMHDFINELSQFASGEFSSKFEDGCKLQVSERTRYLSYLRDNYAEPMEFEALREVKFLRTFLPLSLTNSSRSCCLDTMVSEKLLPTLTRLRVLSLSHYKIARLPPDFFRNLSHVRFLDLSLTELEKLPKSLCYMYNLQTLLISYCSSLKELPTDISNLINLRYLDLIGTKLRQMPRRFGRLKSLQTLTTFFVSASDGARICELGELHDLHGKLKIIELQRVVDVGDAAGANLNSKKHLKEIDFVWRTGSSSSESNTNPHRTQNEAEVFEKLRPHSHIEKLTIERYKGRWFPKWLSDSSFSRIVCIHLRECQYCSSLPSLGQLPGLKELNISGMAGIRSIGPEFYFSDLQLRDRDQQPFRSLETLRFDNLPDWQEWLDVRVTRGDLFPSLKKLFILRCPALTGNLPTFLPSLISLHVYKCGLLDFQPDHHEYRNLQTLSIKSSCDSLVTFPLSQFAKLDKLEIDQCTSLHSLQLSNEHLHGLNALRNLRINDCQNLQRLPELSFLSQQWQVTITNCRYLRQSMEQQHQYHHPQFHLPRSNVSGSPRSYGSHRSYDSRSSSRYD >fgenesh2_kg.3__1574__AT3G14550.1 pep chromosome:v.1.0:3:6096890:6098262:-1 gene:fgenesh2_kg.3__1574__AT3G14550.1 transcript:fgenesh2_kg.3__1574__AT3G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVPLSSLSHFIQSRGRRDNSISSVKSLQKRTGLSLSSALTSQGARDMIPPEGKSDDRNSAFDFKSYMINKAKSVNAALDISVPLREPLTVQEAVRYSLLAGGKRVRPMLCIASCELVGGNEATAMPAACAVEMIHTSSLIHDDLPCMDNADLRRGKPTNHKVFGEDMAVLAGDALLALAFEHMTVVSSGLVPPERMIRAVAELARAIGTTGLVAGQMIDLASERLNPHDAGLERLEFIHLHKTAALLEAAAVLGVIMGGGTEEEIEKLRKYARCIGLLFQVVDDILDVTESTEELGKTAGKDLMAGKLTYPRLIGLERSREVAEKLRKEAEEQVLGFDSENSAPLVALASYIACRHN >fgenesh2_kg.3__1578__AT3G14590.1 pep chromosome:v.1.0:3:6118388:6122061:-1 gene:fgenesh2_kg.3__1578__AT3G14590.1 transcript:fgenesh2_kg.3__1578__AT3G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRKKLQFEERKQANQRRVLSDSESVRWLNHAMEKIWPICMEQIASQKILRPIIPWFLDKYRPWTAKKAVIQHLYLGRNPPLLTDIRVLRQSTGDDHLVLELGMNFLTADDMSAILAVKLRKRLGFGMWTKLHLTGMHVEGKVLIGVKFLRRWPFLGRLRVCFAEPPYFQMTVKPITTHGLDVAALPGIAGWLDKLLSVAFEQTLVEPNMLVVDMEKFVSPEPGENWFFVDEKEPVAHALVEVVEASDVKPSDLNGLADPYVKGQLGAYRFKTKILWKTLAPKWQEEFKIPICTWDSPNILNIEVQDKDRFTDDSLGDCSVNIAEFRGGQRNDMWLPLQNIKMGRLHLAITVLENEAKLNDDPFEGVTISKEDMWASFASDVTSKGSFSSVVSDKSPRVPDNMEPINIEGQEETGIWVHQPGTEVSQIWEPRKGKNRCLDNEIRGAGSVRSTASTSPNNESSSTDENQEGKSTMKSVGRGLKKIGLVFHRNGKKEECHHTGSIEEDIRSPRINLKALNQKDVGVKFIVEDRLSGPLTGRSPKGENFGSEDSQHKGHMKDVAKSILKHAEKSARHLKHAFSRKGSRKSRDDECSTVSEQDSECQHENSDDESAFSSVQDLGTPRTAKLEGKSVRAGEDDNVNTSANSKDDSKADISKNKETKINLANLETTYSDARNSFAGAKKVTTPKNRRIEKES >fgenesh2_kg.3__1580__AT3G14600.1 pep chromosome:v.1.0:3:6124834:6126121:1 gene:fgenesh2_kg.3__1580__AT3G14600.1 transcript:fgenesh2_kg.3__1580__AT3G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L18a [Source:UniProtKB/TrEMBL;Acc:D7L361] MGAFRFHQYQVVGRALPTEKDEHPKIYRMKLWGRNEVCAKSKFWYFMRKLKKVKKSNGQMLAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGGVEQMYTEMASRHRVRFPCIQIIKTATVPAKLCKREITKQFHNSKIKFPLVFRKVRPPTRKLKTTYKASKPNLFM >fgenesh2_kg.3__1581__AT3G14610.1 pep chromosome:v.1.0:3:6126683:6131678:1 gene:fgenesh2_kg.3__1581__AT3G14610.1 transcript:fgenesh2_kg.3__1581__AT3G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP72A7 [Source:UniProtKB/TrEMBL;Acc:D7L362] MSFSVVAALMVVVALVVLWTWRFVKWVWIKPKMLENYLRRQGLAGTSYTPLVGDIKRNVDMMMEARSKPIKITDDITPRLLPLALKMFNSHGKTFFIWIGPIPTIVITNPEQIKEIFNKVYDFEKASTFPLIRLLAGGLASYKGDKWASHRRIINPAFHLEKIKNMIPAFYHCCSEVVCQWEKLFTDKESPLEVDVWPWLVNMTADVISHTAFGSSYKEGQRIFQLQGELAELIAQAFKKSYIPGSRFYPTKSNRRMKAIDREVDVILRGIVSKREKAREAGEPANDDLLGILLESISEESQGNGMSVEEVMKECKLFYFAGQETTSVLLVWTLVLLSHHQDWQARAREEVRQVLGENNKPDLESLNNLKIMTMIFNEVLRLYPPVAQLKRAVNKEMKLGEFTLPAGIQIYLPTILVQRDTELWGDDAADFKPERFRDGLSKATKNQVSFFPFGWGPRICIGQNFAMLEAKMAMALILHKFSFELSPSYVHAPQTVMTTRPQFGAHLILHKL >fgenesh2_kg.3__1583__AT3G14660.1 pep chromosome:v.1.0:3:6134612:6143247:1 gene:fgenesh2_kg.3__1583__AT3G14660.1 transcript:fgenesh2_kg.3__1583__AT3G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP72A15 [Source:UniProtKB/TrEMBL;Acc:D7L364] MEISVASVTISVAIAVVSWWIWRTLQWVWFKPKMLESYLRRQGLVGTPYTPLVGDLKRNFSMLAEARSKPINLTDDITPRIVPYPLQMFKTYGRTFYTWLGPIPTITIMDPEQIKEVFNKVYDFQKSHTFPLARLIAAGLVSYDGDKWAKHRRIINPAFHLEKIKNMVPAFHRSCSEVVGQWDKLVSDKGLSCEVDIWPGLVSMTADVISRTAFGSSYKEGQRIFELQAELAQLIIQAFRKAFIPGFSYLPTKGNRRMKAKAREIQVILRGIVNKRLRAREAGEAPSDDLLGILLESNLRQTEGNGMSTEDLMEECKLFYFAGQETTSVLLVWTMILLSQHQDWQARAREEVKQVFGDKEPDAEGLNQLKVMSMILYEVLRLYPPVTQLTRAIHKEMKLGDLTLPGGVQISLPILLVQRDTELWGNDAAEFKPERFKDGLSKATKSQVSFFPFAWGPRICIGQNFALLEAKMAMALILKRFSFELSPSYVHAPYTVITIHPQFGAQLIMHKL >fgenesh2_kg.3__1584__AT3G14710.1 pep chromosome:v.1.0:3:6146167:6147681:1 gene:fgenesh2_kg.3__1584__AT3G14710.1 transcript:fgenesh2_kg.3__1584__AT3G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L366] MHPETEQAPLVTVSPSKKTKLCSENFEDKFSSLHESVLSRILSQLQTVEAVSTSVLSKSWRNMWTNITELHFDDPKQRDPSDSRFTDFVERVLSDIGSPQINSFHLRSVNSYDETLLFSWLSKVLKRNLQRLVVTCNDLEIINFSPLFPSLVSLVELRLRTKSILDISAPALLPNLKFFSLEDARIFNMSSVSKNLVLNFPVLETFEASYCCYFRTDTVILDSPMLRIFEMFKCTSEHVPNSEVCKIRVLASKLEKITFSGDDSRKIRLSFPPSLPDAYLALSKSEWPKKFLHSFTCVNSLALELSKDFHVIAVPKFRQLVYLHLIYDMTQHCKLIQFLESAPILEMLSIRDLTSPRSTPTTKSLKELRSEESPDCVRTMLKILQIRNFKPNRLQISVLRYVLDNAEILGSVILSSPNPITEEAKARILSYPKASPHASVFFE >fgenesh2_kg.3__1585__AT3G14720.1 pep chromosome:v.1.0:3:6149986:6153521:1 gene:fgenesh2_kg.3__1585__AT3G14720.1 transcript:fgenesh2_kg.3__1585__AT3G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:D7L367] MEFFTEYGDANRYRILEVIGKGSYGVVCAAIDTHTGEKVAIKKINDVFEHVSDALRILREVKLLRLLRHPDIVEIKSIMLPPSKREFKDIYVVFELMESDLHQVIKANDDLTREHHQFFLYQMLRALKYMHTANVYHRDLKPKNILANANCKLKVCDFGLARVSFNDTPTTVFWTDYVATRWYRAPELCGSFCSKYTPAIDIWSIGCIFAEVLTGKPLFPGKSVVHQLDLITDLLGTPKSETIAGVRNEKARKYLNEMRKKNPVPFSQKFPNADPLALRLLQRLLAFDPKDRPTAAEALADPYFKVLAKIEREPSCQPISKMEFEFERRRLTKDDIRELIYREILEYHPQLLKDYMNSEGSNFLYPSAIGHLRKQFAYLEENSGKSGPVIPPDRKHASLPRSTVHSSAVNSNAQPSLNASDSRRVSIEPSRNGVVPSTSAYSTKPIGPPPRVPSGRPGRIVESSVTYENDRNLKESSYDARTSYYRSTVLPPQTISPNCFFHPNTMNQEKRGGTEAASQPKPQFVPTQCNSAKPAELNPNPYVQSQHKVGIDAKLLHAQSQYGPAGAAAVAVAAHRNISAVGYGMS >fgenesh2_kg.3__1586__AT3G14730.1 pep chromosome:v.1.0:3:6153872:6155830:-1 gene:fgenesh2_kg.3__1586__AT3G14730.1 transcript:fgenesh2_kg.3__1586__AT3G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L368] MTVLFLRIKIQNLSSGVLKLLPRNPDFFAAINPSTFASLYSTVSGQIEEHPKRYEHHNVATSIATLQRCAQRKDSVSGQQIHGFMVRKGFLDDSPRAVTSLVNMYAKCGLMRRAVLVFGGSERDVFGYNALISGFVVNGSPLDAMDMYREMRAKGILPDKYTFPSLLKGSDAMEVSDVKKVHALAFKLGFVSDCYVGSALVTSYSKFMSVEDAQKVFDELPDRDDSVLWNALVNGYSHIFRFEDALIVFSKMREEGVAMSRHTITSVLSSFTVSGDLDNGRSIHGLAVKMGFDSGIVVSNALIDLYGKSKWLEEANSIFEAMDERDLFTWNSVLCVHDYCGDHDGTLALFETMLCSGIRPDIVTLTTVLPTCGRLASLKQGREIHGYMIASGLLNRKSSNGFIHNSLMDMYVKCGDLRDARMVFDSMRVKDSASWNIMINGYGVQSCGELALDMFSCMCRAGVKPDEITFVGLLQACSHSGFVNEGRNFLAQMETLYNILPTSDHYACVIDMLGRADKLEEAYDLAISMPICDNPVVWRSILSSCRLHGNKDLAVVAGKRLYELEPEHCGVYVLMSNVYVEAKKYEEVLDVRDTMKEQNVKKTPGCSWIGLQNGVHTFFTGDQTHPEFESIHGWLSLLISHMHGHEYMTLDD >fgenesh2_kg.3__1589__AT3G14750.1 pep chromosome:v.1.0:3:6158293:6160287:-1 gene:fgenesh2_kg.3__1589__AT3G14750.1 transcript:fgenesh2_kg.3__1589__AT3G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNRGPPPPSMKGGSYNGLQAPVHQPPFVRGLGGGPVPPPRPSMIDDSREPQFRVDARGLPPQFSILEDRLAAQNQDVQGLLADNQRLAATHVALKQELEVAQHELQRMMHYIDSLRAEEEIMMREMYDKSMRSEMELREVDAMRAEIQKVRADIKEFTSGRQELTSQVHLMTQDLARLTADLQQIPTLSAEIENTKQELQRARAAIDYEKKGYAENYEHGKIMEHKLVAMARELEKLRAEIANSETRAHAAAPVGNPGGVAYGGGYGNPEAGYHVNPYQPNYVMNPAQAGVIGYYPPPYGQQAAWAGGYDPQQQQQQQQQPPQGHR >fgenesh2_kg.3__158__AT3G02220.1 pep chromosome:v.1.0:3:503753:505310:-1 gene:fgenesh2_kg.3__158__AT3G02220.1 transcript:fgenesh2_kg.3__158__AT3G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRQGPPKHQNKFAWVPKAGVKINETEVGGRFRPLSEITGVCYRCREQIAWKRKYGKYKTLTEATKCQKCTKRNVRQAYHKLCPGCAKEQKVCAKCCSRVDQILGRDIYEVEAEQKMLDETIKNARERDRRTLLRAMNKDNKPNKSDEEATRSDSSKVGDVFPSTSLEEYANKSGRVSGIIGHGSVPDHGHDDDDASGPESDEDHNGGDDEHDLREDSDANEPSQL >fgenesh2_kg.3__1593__AT3G14790.1 pep chromosome:v.1.0:3:6169475:6172196:1 gene:fgenesh2_kg.3__1593__AT3G14790.1 transcript:fgenesh2_kg.3__1593__AT3G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKPKNILITGAAGFIASHVANRLVRSYPEYKIVVLDKLDYCSNLKNLNPSKSSPNFKFVKGDIASADLVNYLLITEEIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDASVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMNGKPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVNHVYNIGTTRERRVIDVANDISKLFGIDPDSTIQFVENRPFNDQRYFLDDQKLKKLGWCERTNWEEGVRKTMEWYTENPEWWGDVSGALLPHPRMLMIPGDRHSDGSDEHKDSDGNQTFTVVTPTKAGASGDKRSLKFLIYGKTGWLGGLLGKLCEKQGISYEYGKGRLEDRASLMADIRSIKPSHVFNAAGLTGRPNVDWCESHKCETIRVNVAGTLTLADVCRENDLLMMNFATGCIFEYDAAHPEGSGIGFKEEDKPNFTGSFYSKTKAMVEELLREFDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYKSYIEPDFKWSNFNLEEQAKVIVAPRSNNEMDGSKLSKEFPEMLSIKDSLIKYVFEPNKRT >fgenesh2_kg.3__1595__AT3G14810.1 pep chromosome:v.1.0:3:6179691:6182802:-1 gene:fgenesh2_kg.3__1595__AT3G14810.1 transcript:fgenesh2_kg.3__1595__AT3G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDSTDRRDFIVNINGQESGAVGATGSSSNAEGGNIWKEPSYDFWDGEKGKNDKKGADDDDEDGGSFHFTQQRERRHSSAELLDPPTKLIRQFLHKQRASGDEISLDVELNMAELQSNTPPRPATASNTPRRGLTTISESSSPFTTKVQADAVRRRQSRVSLGGSSDEEGRNRDEAEVVKVSSNKPMLSRNKTKSRLQDPPTPTHPAIDKTEMKSGRRSGIFKSGFLGKSPKAGTPGRNGIEEEEEEDPFLNEDLPEEFKRDKLSFWVFLEWISLVLIVTSLVCSLTIHNLQRKTWWKLDLWKWEVTVLVLICGRLVSSWIVRIIVFLVEKNFLWRKRVLYFVYGVRKSVQNCLWLGLVLLAWHFLFDKKVERETRSTALRYVTRVLVCLLVALIIWLVKTILVKVLASSFHMSTYFDRIRESLFTQYVIEVLSGPPLMEIQRMEEEEQQVAEDVKSLEKLAGAKLPPALKATVKSFMKVGKRRGMTRIGSKKGEDSEGIRIDHLQKMNTKNVSAWNMKRLMNIVLKGAISTLDQNIQDTSQEDENATQIRSEYEAKCAARKIFHNVTEPGSRYIYLEDFLRFLCEEESERAMALFEGASESNKISKSCLKNWVVKAFRERRALALTLNDTKTAVNRLHRILNVVIGIIVIIIWLLILGIATTRFLLVLSSQLLLVAFVFGNSCKTIFEAIIFLFVMHPFDVGDRCEIDGVQLVVEEMNILTTVFLRDDNQKITYPNSVLGTKPIANYYRSPDMGDAVEFCVHIATPPEKITAIKQRILSYVDNKKDYWYPAPMIVFLSMDDLNSVKIAVWLTHRMNHQDMGARYIRRGLLLEEVAKTCRELDIEYRLYPLSINVRSLPPTANQPSPGRVPPSWMQQHGS >fgenesh2_kg.3__1598__AT3G14830.1 pep chromosome:v.1.0:3:6193089:6196004:1 gene:fgenesh2_kg.3__1598__AT3G14830.1 transcript:fgenesh2_kg.3__1598__AT3G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERSLEAWEEVQRHGQDLADRLAQGFTGLIHINPPSFPWPPNHHHYKAKLFDLEFPSQHFSVNRDSRFSINQPINGVSAILDIGNKIGQAGVDFGAGLNVMVQQFFRSLPIPFLHEDNNKLVVSVDGDKSTRSHRAYVITKGDLGLAAERLRDSGFSKTDDTASVTMSEEEVADSYLRTAGLLGRSKGTIDMSSSYDSRTNGMEHSLAARGDLWRVEASHSSSTASDGNSSLFLLQLGPLLFLRDSTLLLPLHLSKQHLLWYGYDRKKGMHSLCPALWSKHRRWLMMSMLSLNPLACSFMDLQFPNGQLTYVSGEGLTTSAFVPFCGGLLQAQGQYPGDMRFSYSCKNKCGTRITPMVHWPDKSFALDLSQPLAWRRAGLLMKPTIQVSVCPTFGGSNPGLKAEVIHSLSDDLNLICGYALNAHPSAFASVSFGRSKWNGNIGRTGIVVRADTPLASIGQPSFSVQLNNAFEF >fgenesh2_kg.3__159__AT3G02230.1 pep chromosome:v.1.0:3:507193:509369:1 gene:fgenesh2_kg.3__159__AT3G02230.1 transcript:fgenesh2_kg.3__159__AT3G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPANTVGIPVNHIPLLKDELDIVIPTIRNLDFLEMWRPFLQPYHLIIVQDGDPSKTIAVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKAVNALEQHIKNLLCPSTPFFFNTLYDPYREGADFVRGYPFSLREGVSTAVSHGLWLNIPDYDAPTQLVKPKERNTRYVDAVMTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLGLGVKTGLPYIYHSKASNPFVNLKKEYKGIFWQEDIIPFFQSAKLTKEAVTVQQCYMELSKLVKEKLSPIDPYFDKLADAMVTWIEAWDELNPPTKA >fgenesh2_kg.3__1600__AT3G14840.2 pep chromosome:v.1.0:3:6197358:6203003:1 gene:fgenesh2_kg.3__1600__AT3G14840.2 transcript:fgenesh2_kg.3__1600__AT3G14840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7L378] MSLNRQLLFSYYFIVSLILFSGFVSSQTLPKEEVDALQSVATALKKSGWNFSVDPCDLTSDGGWRNLNAAKGFEDAVSCTCSSVICHVNNRVLKAQDLQGSLPTDFSGLPFLQEIDLSRNFLNGSIPPEWASLPLVNISLLGNRITGPIPKEFGNLTNLRSFVLEYNQISGKLPPELGNLPNIQRLSNNLSGEIPSTFSKLVTLTDFRISDNQFTGSIPDFIQNWTGLEKLVIQASGLVGPIPSAIGPLGKLTDLRISDLSGPESPFPPLLNMTSLKNLILRNCNLTGNLPSYLGRRTLKNLLDLSFNKLSGPIPESYTALSDVDYIYFTSNMLTGEVPHWMVDKGDTIDLTYNNFSKDVKIDECQRNRNTFSSTSPLVANNSNETKYDADTWDTPGYYDSRNGWVSSNTGNFLDDDRSNNGENVWENSSALNITNSSLDSRFYTHARLSAISLTYYALCLGEGNYTVNLHFAEIMFSENNSYTSLGRRFFDIYVQGKLEVKDFNIVDEAKGAGRAVVKKFPVTITNGKLEIRLQWAGKGTQAIPVRGVYGSLISAVSVDPNFVPPKEPGTGGGSSVGAVVGSVIASTLILVLLIGGILWWRGCLRPKSQIEKDFKNLDFQISSFSLRQIKVATDNFDPANKIGEGGFGPVHKGIQGVLTDGTVIAVKQLSAKSKQGNREFLNEIAMISALQHPHLVKLYGCCVEGDQLLLVYEYLENNSLARALFGPQETQIPLNWPMRQKICVGIARGLAYLHEESRLKIVHRDIKATNVLLDKELNPKISDFGLAKLDEEENTHISTRVAGTYGYMAPEYAMRGHLTDKADVYSFGVVALEIVHGKSNTSSRSKADTFYLLDWVHVLREQNNLFDVVDPRLGTDYNKQEAMTMIKIGMLCTSPAPGDRPSMSTVVSMLEGHSTVNVEKLLEASLNNEKDEESVRAMKRHYATIGEEEITNTTATDGPFTSSSTSTANAGDLYPVKLDSAYWNTRT >fgenesh2_kg.3__1601__AT3G14850.2 pep chromosome:v.1.0:3:6204277:6206358:1 gene:fgenesh2_kg.3__1601__AT3G14850.2 transcript:fgenesh2_kg.3__1601__AT3G14850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDNAISYGSALVLSLLLLLLHEAEGCDKFTGRWVKDDSYPLYDPSTCPFIRREFGCKKNGRPDLDYSTFRWQPLSCKLARFNGVEFLEKNKGKKIMFVGDSLSLNQWQSLTCMLHSSVPNSSYTLTTQGSISTFTFQEYGLELKLDRNVYLVDIVREKIGRVLKLDSINDGKNWSEMDTLIFNTWHWWSRRGPAQPWDYIQIGTNVTKDMDRVAAFEIALGTWGKWVDTVVDTKKTRVFFQGISPSHYKGVLWGEPAAKSCLGQKEPLLGPNYPGGLPTEVGVLKRALGKISKPVTLLDITMLSLLRKDAHPSVYGLGGQKSCDCSHWCLSGVPDTWNEILYNYMIE >fgenesh2_kg.3__1603__AT3G14860.2 pep chromosome:v.1.0:3:6207007:6209598:-1 gene:fgenesh2_kg.3__1603__AT3G14860.2 transcript:fgenesh2_kg.3__1603__AT3G14860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L380] MGKSQILLLAESFTCSLKTLFTLWIVFTFPFQAQAAPSGSLIKHMSWVLKWTTGSSSKISQSDTNVLQFENGYLVETVVEGNEIGVVPYKIRVSHDGELYAVDELNSNIMKITPPLSQYSRGRLVAGSFQGKTGHADGKPSEARFNHPRGVTMDDKGNVYVGDTLNLAIRKIGDSGVTTIAGGKSNIAGYRDGPSEDAKFSNDFDVVYVRSTCSLLVIDRGNAALRQISLSDEDCDYQDDSSISLTDILLVIGAVLIGYATCLLQQGFGNSFFSKTQLESETSFEEEHPGKEKLSLPVHETKVTKEEPGWPSFGQLIIDLCKLALDFITSHLVPTRFTTSHNLRPLKDRLKMPEDEQEPPRVQRHTAPAPISESRHAHLPKADDSYPEHKTPKLRSSSVMKDPALSASKHHRSSSKRQDYAQFYASGEVAPPKIHKERSRRRHRDKTTETEPKLTPSDTVKPVEYSNSSKFDHYNMRSSKYGPETPFRF >fgenesh2_kg.3__1606__AT3G14870.3 pep chromosome:v.1.0:3:6212573:6214353:1 gene:fgenesh2_kg.3__1606__AT3G14870.3 transcript:fgenesh2_kg.3__1606__AT3G14870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKPLDVVASGKGKLRRTFAKVVNIKKLTGVVPEGDKVERVKKSQEKVKLDKDLVKNAANLSESFDKLEEEYEKRLAMEALLAKLFATISSIKSGYAQLQYAQSPYDPNGIQKADNLVVAELKTLSELKQSFLKKQLDPNPDRTLVLAEIQELRSVLKTYEITGKKLECQLKLKESEIIFLKEKYQESMSQNKLMEKRLNQSGQLCNPLDHNLHLSALNPTHLTTYLHHTVKSIRGFVKLMIEQMKLAAWDIDMAADSIQPEVFYYKQDHKCFAFEHYVCKIMFEAFHLPYFSNESSKKKSREDREMFFERFTELRSMKPKEYLASRPKSRLAKFCRGKYLQLIHPKMEHAFFGHLHLRNQVSAGEFPETSLCTAFLEMAKRVWLLHCLAFSFDPEASIFQVSRGCRFSEVYMKSVSEEAFFSRPEEEVSSSETEPGVAFTVVPGFRIGKALIQCEVFLSRSCQRRPIR >fgenesh2_kg.3__1612__AT3G14900.1 pep chromosome:v.1.0:3:6222239:6224192:-1 gene:fgenesh2_kg.3__1612__AT3G14900.1 transcript:fgenesh2_kg.3__1612__AT3G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQDLTFSKTLNPSFSFRNSPLNSGVRRIVSVLPVITERNYAFSVKRSELFLREDGRFRRDVRALAGRSKKKLGGGSSGGRIEGDADMRKQVKRNARDKSKKLAESLFYRLYNNPDKSRSHILSSHPDKFTEEELEMIGLGYDRMVRFMDKDDPRLRHPYDWFKYGEFGPYSWRGVVVGDPVRGTISDECVTMIGEVENHEEFEKIEQHEMNLAFQKRVKELDSNVGLRYFWVFVRHPKWRLSELPWEQWTLVSEVVVEADKKQRLDKWNLMGRLGNKSRSLICQCAAWFRPDIVYVKKPVFQCRFEPQEDFFNSLIPYLNPVTESGFVCEVEDDEGRVELSTYYGGLCKMLKVRQTAFVDDVVNAYEKSSDEKKSKVLKFLLGNHPNELLHPYTKEWKAKLEEMELGCDAPDENEDETNFNGSSEKAEFSEWIEDEADNNDDDGEVEEEDDDDNMVVDVEGNDEEDSLEDEIEESDPEEDERYWEEQFNKATNNAERMEKLAEMSMVVSDKFYEKQLKALEEREKGEIEGDELEMRGKKAKVKPEEWKTVGYGRWMKKIKKSRIPPELFLRAAVRPFVYRNLVKEIVLTRHAILEGEIGQKE >fgenesh2_kg.3__1613__AT3G14910.1 pep chromosome:v.1.0:3:6224335:6226701:1 gene:fgenesh2_kg.3__1613__AT3G14910.1 transcript:fgenesh2_kg.3__1613__AT3G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRIHLTELGCIACEELSELGAGKEGWLVNNPNLLSALDSHSLALANRFLILIVNWGDPDAPRLKIRPDLSPIEAESITAIEWLVFDDVRVVVAGTSCGYLLVYSVAGDLIHKQMVHPSRILKIRVRGTKKDLMQETSSEEICIVLPGIIARFDGSNIQSMLQKWFQEKNSNFWDQKNRKGDVEDSGSLYQRLPYQIWNVNKNGVCVDATVTGVMPPPLLELQSSQRYYCAVTIGEDAVISAYRLSEDRGRSLVGAILSKVVPAAASTIASFSKLIWRSNDQSPKRKPEAKTQSFARASSLTCIKDYPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGYRDASCVFMEMLAKKDKGKSVIHTEPVKSDYCLCLAIHAPRKGIIEVWQMRTGPRLLTIQCANGSKLLQPAYRFGSNSSSSPYIPLEVFLLNGDSGQVSMLNRSLS >fgenesh2_kg.3__1617__AT3G14930.1 pep chromosome:v.1.0:3:6229455:6231799:1 gene:fgenesh2_kg.3__1617__AT3G14930.1 transcript:fgenesh2_kg.3__1617__AT3G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase [Source:UniProtKB/TrEMBL;Acc:D7L387] MSLSSPTSACSSSRCYSSGLSLPIGFRSNPINVGLVCYPKRHNIAARKFVVACSSSSSDPLLVKAAKGQSVSRPPAWMMRQAGRYMAVYQKLAKKHPSFRERSENTDLIVEISLQPWQAFRPDGVIIFSDILTPLPAFGVPFDIEEVKGPVIQSPIRTEEDLKRLHAIDFEKLQFVGDSLKILRREVGEHAAVLGFVGAPWTIATYIVEGGTTRTYTVIKNMCHTAPNVLRALLSHLTKAITEYVVYQVEHGAHCIQIFDSWGGQLTPEMWERWSKPYIEEIIHAVKKRCPDTPIVFYINGNGGLLERMKGTGADVIGLDWTVDMADGRRRLGSEVSVQGNVDPAYLFSPLPALTEEIERVVKCAGPKGHILNLGHGVLVGTPEEAVAHFFETARNMDYQTLFQNHVPAKKAESELVA >fgenesh2_kg.3__1618__AT3G14940.1 pep chromosome:v.1.0:3:6234443:6238733:1 gene:fgenesh2_kg.3__1618__AT3G14940.1 transcript:fgenesh2_kg.3__1618__AT3G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPPC3 [Source:UniProtKB/TrEMBL;Acc:D7L388] MAGRNIEKMASIDAQLRQLVPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDLRETVQELYELSAEYEGKREPKKLEELGSVLTSLDPGDSIVISKAFSHMLNLANLAEEVQIAHRRRIKKLKKGDFVDESSATTESDIEETFKRLVSDLGKSPEEIFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRDCLAQLYAKDITPDDKQELDESLQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYNQIENLMFELSMWRCTDEFRVRADELHRNSRKDAAKHYIEFWKTIPPTEPYRVILGDVRDKLYHTRERSRQLLSNGISDIPEEATFTNVEQFLEPLELCYRSLCSCGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESERHTDVLDAITKHLDIGSSYRDWSEEGRQEWLLAELSGKRPLFGPDLPKTEEISDVLDTFKVISELPSDCFGAYIISMATSPSDVLAVELLQRECHVKNPLRVVPLFEKLADLEAAPAAVARLFSIDWYKNRINGKQEVMIGYSDSGKDAGRLSAAWELYKAQEELVKVAKEYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTVNGSLRVTVQGEVIEQSFGEAHLCFRTLQRFTAATLEHGMNPPISPKPEWRALLDEMAVVATEEYRSVVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFRYAIKKDVRNLHMLQDMYKQWPFFRVTIDLIEMVFAKGDPGIAALYDKLLVSEDLWAFGEKLRANFDETKNLVLQTAGHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDTNYNVTLRPHISKEIMQSSKSAQELVKLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >fgenesh2_kg.3__1619__AT3G14950.1 pep chromosome:v.1.0:3:6239238:6241905:-1 gene:fgenesh2_kg.3__1619__AT3G14950.1 transcript:fgenesh2_kg.3__1619__AT3G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLKKPILESNCVADKLCDTLNMEDNNNKPDTINVDLGSPITPLQTRPSGLTSTSSCSSSSSGSVTGHAGHAPVTRKPDSDQPVPSAAKSKSSTPSSAAKSSKSSTTPSAAQFGGSSSPASARSRSSSQVGAKTGNMSPLSNSASVTTKPSGNKSSVSSKQHVQIVPAGNLFPSGKVQITGMTQEKPRSMVLGPGAKSYGYGSIMRANNLSPAKPTMSESSSVLPLTLSNNSSGGPDTYTSWKIAIYGSNPEEVKRFGNEMFKKGCFTEALKLYDRAIELSPSNATYHSNRAAALSSLGQIGEAVNECEMAIKLDPKFARAHHRLASLLLRLGYVDNAGIHFYSVEEPADPTLVKMLQQVDKHLNKCTYARRRGEWNIVLTEVSAAIASGADSSPQLAMCKVEALLKLLRLDDAQRVLECVPKVEPFPASFSHTRVFDMNSEAYTSFVKSQMELALGRFENAVTTAEKASEIDPKNNEVEILYKNVRLITRARDRGNDLYELERYTEARSAYAEGLKYDPSNATLLCHRADCFFKVWMWESSIEDCNHALLILPSYTKPRLQRAASYSKLERWAEAVSDYEILRKELPYDKEIAESLFHAQVALKKSRGEVVLNMEFGSEVEEISSLEELKAALTRPGSDPQCKEMSTFMDALCVGYPSLHFLKVEIGKCPEVGNAERVRVVPTFKIYKLGIRMKEIVCPSKEALEKTVRHYGL >fgenesh2_kg.3__1621__AT3G13610.1 pep chromosome:v.1.0:3:6249859:6251269:-1 gene:fgenesh2_kg.3__1621__AT3G13610.1 transcript:fgenesh2_kg.3__1621__AT3G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7L3X4] MAPTLSTTQFSNPAEVTDFVVHKGNGVKGLSETGIKALPDQYIQPLEERLINKFVNETDEAIPVIDMSSPDENRVAEAVCDAAEKWGFFQVINHGVPLEVLDDVKAATHRFFNLPVEEKCKFTKENSLSTNVRFGTSFSPLAEKSLEWKDYLSLFFVSEAEAEQFWPDICRNETLEYMNKSKKMVRKLLEYLGKNLNVKELDETKESLFMGSIRVNLNYYPICPNPDLTVGVGRHSDVSSLTILLQDQIGGLHVRSLASGNWVHVPPVPGSFVINIGDAMQILSNGRYKSVEHRVLANGNNNRISVPIFVNPKPESVIGPLPEVIANGEEPIYRDVLYSDYVRYFFRKAHDGKKTVDYAKI >fgenesh2_kg.3__1623__AT3G14980.1 pep chromosome:v.1.0:3:6258240:6263755:-1 gene:fgenesh2_kg.3__1623__AT3G14980.1 transcript:fgenesh2_kg.3__1623__AT3G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGAEFEMSGGDSFEGTYEDHQIFRQVFFGSDPGNTTKRCLVTGVINFKCDSSKNVNSSLSSNSVVTSGYACLQGSEASASKDGSEVNTKAKRVKLSGNKHLDARDEKGSAFNGYSDIACRIIPLHLVESSNKGVSTSSYLLKQSIEKGREVYLGGIVSGKFKSQNLDKCDWKEFKAIASPVSQESFATRMVCAGASTPHSEKACFTPDELIMSKTCLKIDPKEDPRPLLYKYVCKLLTAARWKIEKRKRSAGRKHIETFYISPEGRKFREFGSAWKSLGAILLADHKLMDTGTKKWTGINDFWSDLSLTLLDIEENLKNLNLANTRALWWSALEPFVVAVFISKQVGSLRKGNKVEVARNSVLDKLKKKDAISLNMISGCPESVLTVSEGSHLVYDVDANQEIHSDIEVQTKIFPRKVSSRLERQNIIGKEISGTHEQEASKGVVASKLIAEDMHESVMRKNLHRRSKKISDIKPAPLDQHDSLDSNSLDSLECQDKEMGNSHLISKASRDERLRNEKMKNSCCNAKKGRKKARKHYTQDDDLMVSKTIRNQGRGSRSSQKKKTQKPKARTKKRNNKGGCRLLPRSTSNVENQFCQGNWSILGPRTVLSWLIATKVISRDEVIQLRDPDDDTVVKTGLVTKDGVVCTCCNRTVSLSEFKNHAGFNQNCPCLNLFMGSGKPFASCQLEAWSAEYKARRNGWRSEEASDDDPNDDSCGVCGDGGELICCDNCPSTFHQACLSMQVLPEGSWYCSSCSCQICSELVSDNGERSQDFKCSQCAHKYHGICLQGISKRRKLFPETYFCGKNCEKVYTGLSSRVGVINPNADGLSWSILKCFQEDGKVHSARRLALKAECNSKLAVALSIMEESFLSMVDPRTGIDMIPHVLYNWGSNFARLDFDGFYTMVLEKDDVMISVASIRVHGVTVAEMPLVATCSKYRRQGMCRILVAAIEEMLMSLKVEKLVVAALPSLVETWTEGFGFKPMDDEERDALKRINLMVFPGTILLMKTLYESTKPNTVAGACLCEDRNNPSIKEADLEPGLDKAEFPMSTQVESCDQMVPSGSDEEPVPGLPVPLGAEQTEPTSETENPTQDSNADDRPKTTTVSMGEEECLQEEISKFSEEGKEVTRASSSSASLEEVSGVGVVVVNNVSDEMLLCVDEQLDSDSSQDSE >fgenesh2_kg.3__1625__AT3G14990.1 pep chromosome:v.1.0:3:6266064:6268659:1 gene:fgenesh2_kg.3__1625__AT3G14990.1 transcript:fgenesh2_kg.3__1625__AT3G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKTVLIPIAHGTEPLEAVAMITVLRRGGADVTVASVETQVGVDACHGIKMVADTLLSDITDSVFDLIVLPGGLPGGETLKNCKPLENMVKKQDSDGRLNAAICCAPALALGTWGLLEGKKATGYPVFMEKLAATCATAVESRVQIDGRIVTSRGPGTTIEFSVTLIEQLFGKEKADEVSSILLLRPNPGEEFTFTELNQTTWSFEDTPQILVPIAEESEEIEAIALVDILRRAKANVVIAAVGNSLEVVGSRKAKLVAEVLLDEVAEKSFDLIVLPGGLNGAPRFASCEKLVNMLKKQAEANKPYGGICASPAYVFEPNGLLKGKKATTHPVVSDKLSDKSHIEHRVVVDGNVITSRAPGTAMEFSLAIVEKFYGREKALQLAKATLV >fgenesh2_kg.3__1627__AT3G15000.1 pep chromosome:v.1.0:3:6269035:6271151:1 gene:fgenesh2_kg.3__1627__AT3G15000.1 transcript:fgenesh2_kg.3__1627__AT3G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTISRSILCRPAKSLSLLFTRSFASSAPLVKIPASSLLSRSRPLVAAFSSVFRGGLVSVKGLSTQATSSSLNDPNPNWSNRPPKETILLDGCDFEHWLVVVNPPEGDPTRDDIIDSYIKTLAQIVGSEDEARMKIYSVSTRCYYAFGALVSEDLSHKLKELPNVRWVLPDSYLDVRNKDYGGEPFIDGKAVPYDPKYHEEWIRNNARANERNRRNDRPRNFDRTRNFERRRENMAGGPPPQRPPMGGPPPPPHMGGSAPPPPHMGGSAPPPPHMGQNYGGPPPPNNMGGQRPPPNYGGPPQNNMGGQRPPPNYGGAPPPNYGGAPPANNMGGGPPPNYGGVPPPNYGAAPPPNYGGAPPQNNNYQQQSGGMQQPQYQNNYPPNRDGSGNPYQG >fgenesh2_kg.3__1628__AT3G15010.2 pep chromosome:v.1.0:3:6271495:6273680:1 gene:fgenesh2_kg.3__1628__AT3G15010.2 transcript:fgenesh2_kg.3__1628__AT3G15010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMKKRKLDENGGGLITNGGGIIGPTRLTPQDARKIIERFTTDQLLDLLQEAIVRHPDVLDSVRSTADSDISQRKLFIRGLAADTTTEGLLSLFSNYGDLEEAIVILDKVTGKSKGYGFVTFMHVDGALLALKEPSKKIDGRVTVTQLAASGNQGTGSQIADISMRKIYVANVPFDMPADRLLNHFMAYGDVEEGPLGFDKVTGKSRGFALFVYKTAEGAQTALADPVKVIDGKHLNCKLAVDGKKGGGKPGMPQAQDGGSGHGHVHGDVMGMVRPAGPYGAAGGMSAYGGYSGGPPPHHMNSTPSSMGVGTGGYGGHYGGYGGPGGTGGYGGLGSGYGGPGGGSGPYRMPPSSMPGGGYPESGHYGLSSSTGYPGQHHQAVGTSPVPRVPHGGMYPNGPPNY >fgenesh2_kg.3__162__AT3G02270.1 pep chromosome:v.1.0:3:544877:546319:-1 gene:fgenesh2_kg.3__162__AT3G02270.1 transcript:fgenesh2_kg.3__162__AT3G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KZF1] MAARKKRAAKSSEDSEEEQSRRQRFQAILLADNFTTKLLPLTLERPNVLLPLVNVPMIDYTLAWLESAGLEEVFVFCSTQVIDYLNNSGWYSHKDFTVKTIESPHKSTSAGDALRYIYEQQIDTSQIQDDDFVLVSGGIVSNMPLTQLIQEHRDRKKKDDKAIMTMVITDHQFFIGVNPLTKQLLYYNEDKIFLDTSLMDRNPSVLLCSDMQDCYIDICSLEMLSHFVDNCDYQHLRCDFVEGVLADDITGFKIFTHEISSCYAARIENFRSYDMVSKDIIQRRTFPYVPDMKLSGNCSLKLGRQGSYKASDAIRSPSVHVGDSSVIGHAKKIGTIFYSMMKLAADTPHSSDINLYKNTASIITRWKGLLGFYVKQIDEQIEVISRLEEMCQESAHELGPLFAHIYNILFELMNLTKKHLMMKMT >fgenesh2_kg.3__1630__AT3G15020.2 pep chromosome:v.1.0:3:6275034:6277028:1 gene:fgenesh2_kg.3__1630__AT3G15020.2 transcript:fgenesh2_kg.3__1630__AT3G15020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7L3Y1] MLVRSASPVKQGLLRRGFASESVPDRKVVILGAAGGIGQPLSLLMKLNPLVSSLSLYDIANTPGVAADVGHINTRSQVSGYMGDDNLGKALEGADLVIIPAGVPRKPGMTRDDLFNINAGIVKNLSIAIAKYCPQALVNMISNPVNSTVPIAAEIFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKSNLNVAEVNVPVVGGHAGITILPLFSQASPQANLSDDLIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGALFADGCLKGLNGVPDVVECSFVQSTITELPFFASKVRLGKNGVEEVLDLGPLSDFEKEGLEALKAELKSSIEKGIKFANQ >fgenesh2_kg.3__1635__AT3G15050.1 pep chromosome:v.1.0:3:6287260:6288306:-1 gene:fgenesh2_kg.3__1635__AT3G15050.1 transcript:fgenesh2_kg.3__1635__AT3G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 10 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L3Y4] MGSGWLLRSIICLNGTKKNKSNGGNVLSETSNRVKPVESSSASTKLTAEVAVIRIQKAFRAFKARKRLCSLKSARRFNALIQGHTVKNQTSTALNVIHSWCDIQSQVRARRLYMVTQGRLQHKRLENRLKLEIKLHELEVEWCGGSETMEEILAKIQQREEATVKRERAMAYAFSHQWRANATQYLGQASFNLGKESWGWSWKERWIAARPWEIRAQCYVSNKPIKPAKKPEKSSPNNVIIKTSAKPDEVGNSKKPGSG >fgenesh2_kg.3__1636__AT3G15060.1 pep chromosome:v.1.0:3:6290782:6291870:1 gene:fgenesh2_kg.3__1636__AT3G15060.1 transcript:fgenesh2_kg.3__1636__AT3G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRADDDYDFLYKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDEKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTEANIVIMLVGNKADLRHLRAVSTEDAKAFAERENTFFMETSALEALNVENAFTEVLSQIYRVASKKALDIGDDPATLPKGQSINVGSKDDVSEVKKVGCCSS >fgenesh2_kg.3__1637__AT3G15070.1 pep chromosome:v.1.0:3:6291850:6294740:-1 gene:fgenesh2_kg.3__1637__AT3G15070.1 transcript:fgenesh2_kg.3__1637__AT3G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L3Y6] MEQNSQSFVAHLEPCIGTNYLYPDIPPVNTVPHLEAHSLQEPYDNNSMFYGPPQYHHQHSSNLGSGMPTAPNFYVPYVNHEAPPSYLLSHGSHGAVVGVTSTEHERNAHFMDHGYKRKSSEVIPGNSQYPVAPCSFPRLNTPETSPISFPQFGTYPQPLDQRSVRNRAGAATMDPHLSHGHNNFSHGNYAAHPFPPPGSIWYDQQCNGNRSDGSSSLWFQAPAVPYMPGNVATGYIDSGNVCFPRYHETSSSRNPTPSGYQRNHYMSHHPVPPPPIVYPHMPSASYAETLHPASYSHVGQVQSTGFRVNQYPGEDFVPAAILRHRELNHLRAMPANSIALWEVGDFYDAVNYVDHHQDMRLDIEDMSYEELLALSDQIGTVKTGLSEEDVKDLLKKRTSLTTRINLEEGPSTDQETDSCTICQENYKNQDKIATLDCMHKYHAECLEKWLVIKNVCPICKSEALVMEKKKKLRLSS >fgenesh2_kg.3__1639__AT3G15090.1 pep chromosome:v.1.0:3:6298582:6300895:1 gene:fgenesh2_kg.3__1639__AT3G15090.1 transcript:fgenesh2_kg.3__1639__AT3G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7L3Y8] MRSLRGNSGAGLISRPARLSSLRSVFTGCRAVMLPRFGGPEVFELRENVPVPNLNPNEVLVRAKAVSVNPLDCRIRAGYGRSVFQPHLPIIVGRDISGEVAAIGTSVKSLKVGQEVFGALHPTALRGTYTDYGILSEDELTEKPSSVSHVVASAIPFAALTACRALKSNARITEGQRLLVFGGGGAVGFSAIQLAVASGCHVTASCVGQTKDRILAAGAEQAVDYTTEDIELAVKGKFDAVLDTIGGPETERIGINFLRKGGNYMTLQGEAASLTDKYGFVVGLPLATSLLMKKKIQYQYSHGIDYWWTYMRADPEGLAEIQRLVGAGKLKIPVEKTFSITDVVAAHEAKEKKQIPGKVVLEF >fgenesh2_kg.3__1641__AT3G15095.1 pep chromosome:v.1.0:3:6303989:6306288:1 gene:fgenesh2_kg.3__1641__AT3G15095.1 transcript:fgenesh2_kg.3__1641__AT3G15095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETERPHRSSSINSSSNNGSSTDLFICFTSRFSSSSSMRLSSKSIHSPARAACLTTSLSRRLRTSGSLKNASAGVLNSPMFGANGGRKRSGSGYENSSNNNNNIEPSSPKVTCIGQVRVKTRKHVKKKMRARSRRKGGDSSFRRSVDQNDGGGGGGGCRFDASENRWVHLPVTICESLRSFGSELNCFFPCRSSCTENIHGDGRRVESNNDGCGGGGGGSSCGAVFTRWFVAVEETSGGKRREIELVVGGEDEVEEDRRRSRRRHVFEGLDLSEIEMKTEKKERGGEEVGRMSICSPPKNALLLMRCRSDPVKVAALANRVRERQLSLNDGVYGGGTEEEDDERRRRFELEIEDRKRIDLCEKWISGETNVETEEVSVTVAEAEVEVPLPSNPATEEEERVKAVEDSIVEEEQEDEASKILDSFEEEIEATIMKNIEDEIRNAIEEDEKLAEMEDLAAVAVAETEEDEESKEVTVAACITQNEERSEQGNREPDPSPEVVMRRSLQEETTEKEKATPYKVLPDCLLLMMCEPKLSMEVSKETWVCSTDFVRCLPGRPPAKKIPPEATGDNHHHHHQPKKRIVTAVDSNASSRRRSIDKPPLHLQPPRSSCSYPAAPPIIMAAAAVGEQKVAGANKAYEPPVLPRCKSEPRKSASKLAPEACFWKNRKLEPHPPASVGVGGAGVGF >fgenesh2_kg.3__1643__AT3G15110.1 pep chromosome:v.1.0:3:6306492:6308150:-1 gene:fgenesh2_kg.3__1643__AT3G15110.1 transcript:fgenesh2_kg.3__1643__AT3G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLQSHQCRFTLPHRLRPTRLISPIHSLSSFTRIRPDRIRVSVVKEIADVAEVEEDGPIELPPSSTSPFSSTNSIFATSDDPTPLQLATSVLLTGAITVFLIRSVRRRAKRAKELRFRSTGAKKSLKEEAMDNLKALGSTPIEGGNSTPSAAQAFLGAIAAGVIALILYKFTVTVESGLNRQTISDNFSVRQITVTVRTIINGICYLATFVFGLNAFGLLLYAGQLAFNEDSDEDMKATTQPEDSSGDNSEVNKSNEDQS >fgenesh2_kg.3__1644__AT3G15115.1 pep chromosome:v.1.0:3:6308282:6309768:-1 gene:fgenesh2_kg.3__1644__AT3G15115.1 transcript:fgenesh2_kg.3__1644__AT3G15115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTWNDHQELEQFEAIDDLLEDFWFFDNLLDRRSRILRYCHSDPYPFSPSSSSSSSSTCPKPEIPKIGDSDSETKLLEASTGGGSVPPPCIEKKEGGGEPEKINKMMRRQFSEKVRVQERRTYLQKKEPVVREKGIKEGSRKNKTSSTSSCSNNNSSMGGGLQRTQTLPSYIGREGDVNEFQDQEIDDSRMGFLIREAIASSSSSSGLTPTKHNTPKISSIPRHRPPRNSRSEEAIQELVVKSQRSPNRKTLRKTLSSIETKDIQMLKDLDIELEKKQEEEQRSVPRATAKTRSTAVVGQPIPVWVPKDSRKDMKAQIKFWARTVASNVRQEC >fgenesh2_kg.3__1649__AT3G15160.1 pep chromosome:v.1.0:3:6334295:6338201:1 gene:fgenesh2_kg.3__1649__AT3G15160.1 transcript:fgenesh2_kg.3__1649__AT3G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQEGDWNFYLRILSNSARDSTDPASDPSILQSVKKLHGFCKLEKSDDLVARIYPQINKVFHRSVASLSQSESGTSKGLLLLAILQFFLDFGDMVLHDADPSLRTFFRSCLSREFSDSAVAEATCEFLVANQRKLLASFPNLLPQFFPLLLKLIAWNWEKLEKSFLKIFPGLISPGSFLPLFPSIVDLPMLVVALEKVERSSGSGSRVGGSIASIQKSAAPEMLLALMDEAYTGSTIGDGGDDSESEDNNTIDVADPLFLELLKDENDGLAERHRASPTLNAALQAAARGPQSERMKQTLKIAPRLLDVYFSVTLRDANDSLICALIPLLMTRNSTMFPDKNFSHEIRKRLLEFVLAAFQRSPNFIALLKKPIIDRLGEAYDDVAKRELALQLCWAIGEYGGGGESHKEAARELFESLELLLYENLSSSRLGLRQESGNGSRRTTQSRLLCFVVTAIAKLATYHRELLPRARVALGKVVRSWISDARVWRRAHDYLGLMNEPGICWSVLGPSRVSEKKFPGTVNWSEGGQKMVAHIPFHILSEQGGPPFHDFAFSDIIPKN >fgenesh2_kg.3__1650__AT3G15170.1 pep chromosome:v.1.0:3:6339707:6341136:1 gene:fgenesh2_kg.3__1650__AT3G15170.1 transcript:fgenesh2_kg.3__1650__AT3G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cup-shaped cotyledon1 [Source:UniProtKB/TrEMBL;Acc:D7L3Z7] MDVDVFNGWGRPRYEDESLMPPGFRFHPTDEELITYYLLKKVLDSNFSCAAISQVDLNKSEPWELPEKAKMGEKEWYFFTLRDRKYPTGLRTNRATEAGYWKATGKDREIKSSKTKSLLGMKKTLVFYKGRAPKGEKSCWVMHEYRLDGKFSYHYISSSAKDEWVLCKVCLKSGVVSRETNLISSSSSSSSAVAGEYSSAGSVIAPIINTFATEHVSCFSNNSAAHTDASFHTYLPAPPPSLPPRQPRHVGDDVVFGQFLDLGSSGQVNFDAAAAFFPNLPSLPPTVLPPPPSFAMFGGGSAVSVWPFAL >fgenesh2_kg.3__1651__AT3G15180.1 pep chromosome:v.1.0:3:6346489:6350586:1 gene:fgenesh2_kg.3__1651__AT3G15180.1 transcript:fgenesh2_kg.3__1651__AT3G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVNQLFDAAFEFAHYPGAQNEASVKEFLDRFPLPVIFNALQTDPDIPGFENTLVTCLERLFKTKYGASLIPQYMPVLQVGLKADSAIVKSLACKTVLCLLENCDTNDVSPVQLVVSNCIYPLLLDYIINSDDEVAHAASETIKSLARFPDAMSVIFPSETNDATHLRNLAARCSSLARVRVLSLIVKLFSISRLVASEIKKSGLLDLLEAEMKGTKDTLVILNVLELYYELMEVEHSSEFVPQTSLIQLLCSIISGTSTGPYEKLRAMMISGRLLSKENIYKVVEEASVKALISATDGSLESVEMNDTDAQEAAIDAIGQIGSTTKGADLVLSTSLPAARHVVASAFDRNAHGKQLAALHALANIAGETRPKSNRIVDGKAEESLRCLIYDVAAQSTKLTPSGLFLSVLQQSSEIRLAGYRTLTALVARPWCLVEILSKEEIINIVTDATTETAKIAMEARYNCCKAIHEAFLCSNFVDDPRRQKTGEKLQEAVRSGPYMSKKHRGARPEVMTGEGF >fgenesh2_kg.3__1656__AT3G15240.2 pep chromosome:v.1.0:3:6376499:6378696:1 gene:fgenesh2_kg.3__1656__AT3G15240.2 transcript:fgenesh2_kg.3__1656__AT3G15240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSEHHHHHHHQNHQQQQQRSKEALGMVALHEALRTVCLNTDWTYSVFWSIRPRPRVRGGGNGCKVGDDNGSLMLMWEDGYCRGRGGTEGCYGDMEGEDPVRKSFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEQTESESNASSYWQSSFDAIPSEWNDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSNRTTSTSNTSVMGSNHPILPPQTQPLQSTLPHYNWSGTSQRPMMVQTSLPTYQPHMPFPVMPHSNKEQDPDVKWPTGLSFFNALTNNVNAKLLFDSEGLGDKTDHQSHQNQSQEQSNSESQANPSEFLSLDSHHRNMSFLE >fgenesh2_kg.3__1657__AT3G15250.1 pep chromosome:v.1.0:3:6379480:6380332:-1 gene:fgenesh2_kg.3__1657__AT3G15250.1 transcript:fgenesh2_kg.3__1657__AT3G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHRETTNSDEPPPDFEFGSLTPTSPSQDSFTENSPADHLFFNGRLLPHSFPAASSIYTKRSISSTTSEYTSRSSSTNSRSSFGSNSSSTSQSPRTSSCNINKNNSPNNQEPLDLKPPISRCGKTVNTTNNSSYSSPQYKRRKATEMVTASLYRSYSSQRWQYVTPVPVKMSGVIRVGGRKKKAARVRKETEERRGSRVMKLWRKLLMAAIFACRECHALEGA >fgenesh2_kg.3__1659__AT3G15260.2 pep chromosome:v.1.0:3:6381211:6385125:1 gene:fgenesh2_kg.3__1659__AT3G15260.2 transcript:fgenesh2_kg.3__1659__AT3G15260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGREILHKMKESVKEKVGLGASASSADSGKGKSKMSKQITHGFHLVKGKAFHEMEDYVVAKFKEVDDNELGLFAIFDGHLSHEIPDYLCSHLFENILNEPNFWQEPEKAIKKAYYITDKTILDKATDLGKGGSTAVTAILINCQKLVVANVGDSRAVICQNGVAKPLSVDHEPNMEKDEIENRGGFVSNFPGDVPRVDGQLAVARAFGDKSLKMHLSSEPYVTVETIDDDAEFLILASDGLWKVMSNQEAVDSIKGIKDAKSAAKHLAEEAVARKSSDDISVVVAKFQ >fgenesh2_kg.3__165__AT3G02300.1 pep chromosome:v.1.0:3:556712:559564:1 gene:fgenesh2_kg.3__165__AT3G02300.1 transcript:fgenesh2_kg.3__165__AT3G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein [Source:UniProtKB/TrEMBL;Acc:D7KZF5] MDIGEIIGEVAPSVSIPTKSAIYVWGYNQSGQTGRNGQEKLLRIPKQLPPELFGCPAGANSRWLDISCGREHTAAVASDGSLFTWGANEYGQLGDGTEVGRKHPKKVKQLQSEFVKFVSCGAFCTAAIAEPRENDGTLSKSRLWVWGQNQGSNLPRLFSGAFPATTAIRQVSCGTAHVVALSEEGLLQAWGYNEQGQLGRGVTCEGLQAPRVITAYAKFLDEAPELVKIMQVSCGEYHTAAVSDTGEVYTWGLGSMGQLGHVSLQSGDKELIPRRVVGLDGVSMKEVACGGVHTCALSLEGALYAWGGGQAGQLGLGPQSGFLFSVSNGSEMLLRNVPVLVIPTDVRLVACGHSHTLVYMREGRICGWGYNSYGQAANEKSSYAWYPSPVDWCVGQVRKLAAGGGHSAVLTDAFSLKELCEFQLADSVNLSNASKIQDVAFRMGSEALARLCERLREQLLDGDFTNGEEVY >fgenesh2_kg.3__1661__AT3G15280.1 pep chromosome:v.1.0:3:6386883:6387656:-1 gene:fgenesh2_kg.3__1661__AT3G15280.1 transcript:fgenesh2_kg.3__1661__AT3G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFLVKRLTEVNVSRTPGGDLSGYVLRQATTGINRFSTGFKQTEGTSHDDKSTTNYVADKAKEGVKKATDAAVNAGDNVKDAMDGAWKAAKETGQNISDAVAGDDDDGRIQEDKVAVELKDVQQPVDTTEYRGVEDLHQQTGGEVKSP >fgenesh2_kg.3__1663__AT3G15300.1 pep chromosome:v.1.0:3:6390182:6390997:-1 gene:fgenesh2_kg.3__1663__AT3G15300.1 transcript:fgenesh2_kg.3__1663__AT3G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L410] MEISTNPPSSSSSSASSSIINGSLHHHHIITRSDHYPTTFVQADTSSFKQVVQMLTGSSSPRSPDSPRPPTTPSGKGNFVIPPIKTAQPKKHSGNKLYERRSHGGFNNNLKNSLMINTLMIGGGGGAGSPRFSPRNQEILSPSCLDFPKLALNSPVTPLKQGNNGNDGDPFDKMSPLSEEERAIADKGYYLHQSPISTPRDSEPQLLPLFPVTSPRVSP >fgenesh2_kg.3__1665__AT3G15340.1 pep chromosome:v.1.0:3:6403223:6406367:-1 gene:fgenesh2_kg.3__1665__AT3G15340.1 transcript:fgenesh2_kg.3__1665__AT3G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEIILCDGFEVVPPPINDLILFGRGQSVSSCDVSTITTEEDGTVFSGDSSPGGAAEEDWPEDKSLSFYFVKQPAYDDPEIQAKIDEAGHEIKHCNQLRIGVSSAQKSEKAEISSLFSHMVSLTPKSEGYRVVFEEKKREFDTLQEALRNLRCSTSDQLCFPKEELDHLMYIAHYQIEHGSIGLEEEDWVLKETEKPDGIVLSEDSLVEKEASINRVKSKAVELYQVKKEHDAITWKINLLAEKMGKSRNKIKILDVELAHILEKRDRSYERIRMLRIQRDKGKDAFFESLPVMRKAKELAASGNVRDLEVFANSEVERFMTHWNDDKAFRDDYVKRISLSLCERQLNQDGRIKDPEVQVVGEKQVPVKTMKGGEKVHKTNREDSSSNSSQDGSVIIDKPTQETRKKAMDFNRSSAEESDVIDLEFSVYEKPKKEEEEVDEETLKESKREEQLEKARLAMERKKKLQEKAAAKAAIRAQKEAEKKLKECEKKAKKKAANSSSPSESDQSQEVINELEKGRTLAVSVKEKHQKERSLFPKQRSFRYKHRGRGTEALPKAILNRRRAQKYWVWGLSSAALAVALFLVVLLLR >fgenesh2_kg.3__1666__AT3G15350.1 pep chromosome:v.1.0:3:6410285:6413318:1 gene:fgenesh2_kg.3__1666__AT3G15350.1 transcript:fgenesh2_kg.3__1666__AT3G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 14 protein [Source:UniProtKB/TrEMBL;Acc:D7L417] MGYVNVEKRWVFPLVITSLVCVFLLATSFNMGLVSSLRTINGIFSIIPSRLVKNQTRLDFAESKVARQTRVSPHEDKLPHFAYLVSGSKGDVEKLWRTLRAVYHPRNQYVVHLDLESPVDERLELASRINNDPMYSETGNVYMITKANLVTYKGPTMVANTLHACAVLLKRSANWDWFINLSASDYPLVTQDDLLHTFSTLDRNLNFIEHTSQLGWKEEKRAQPLMIDPGLYLLNKSDIYWVTPRRSLPTAFKLFTGSAWMALSRPFVEYCIWGWDNLPRTLLMYYTNFVSSPEGYFQTVICNVPEFAKTAVNHDLHYISWDNPPQQHPHVLSLNDTMQMISSGAAFARKFRRDDRVLDLIDKELLRRRNGKDSFTPGGWCSGKPKCSKVGDVAKINPSVGAQRLQGLVNRLVNEAITGVSQCK >fgenesh2_kg.3__1669__AT3G15356.1 pep chromosome:v.1.0:3:6418762:6419825:-1 gene:fgenesh2_kg.3__1669__AT3G15356.1 transcript:fgenesh2_kg.3__1669__AT3G15356.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Legume lectin family protein [Source:UniProtKB/TrEMBL;Acc:D7L419] MQIHKLCFLALFLANAVFAVKFNFNSFDGNNLLFLGDAELGPSSDGVERSGALSMTRDGTPFSHGQGLYINPIQFKSSNTSTPFSFKTSFTFSITPRTKPNSGQGLAFIIVPAADNSGASGGGYLGILNKTNDGKPENNLIFIELDTFQNKESNDISGNHVGININSMTSLVAEKAGYWVQTLVGKRKVWSFKDVNLSSGERFKVWIEFRSKDSRNTITIAPENVKKPKRPLIQGSRVLDDVLLQNMYAGFAGSMGRAGERHDIWSWSFEN >fgenesh2_kg.3__1671__AT3G15351.1 pep chromosome:v.1.0:3:6421662:6423175:1 gene:fgenesh2_kg.3__1671__AT3G15351.1 transcript:fgenesh2_kg.3__1671__AT3G15351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDPKKFAALLDKIEAEADEFLLARNQMVENDKERNANREALTALRKRARTTKTSVLSPFDSMMKDIHVSSTKPLVQEVCSTCGSHDSSEPNWMMLPGADLFAAIPFHAVHTMLEKDEEKMEFESKKLQSLVKEKALFISELGALADSTSPGVIRSLVALKDN >fgenesh2_kg.3__1672__AT3G15352.1 pep chromosome:v.1.0:3:6425312:6426587:1 gene:fgenesh2_kg.3__1672__AT3G15352.1 transcript:fgenesh2_kg.3__1672__AT3G15352.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCOX17 [Source:UniProtKB/TrEMBL;Acc:D7L423] MSDQTAQNGLIPSPTSEPSKAAASAETKPKKRICCACPDTKKLRDECIVEHGESACTKWIEAHKMCLRAEGFNV >fgenesh2_kg.3__1674__AT3G15355.1 pep chromosome:v.1.0:3:6429893:6433099:1 gene:fgenesh2_kg.3__1674__AT3G15355.1 transcript:fgenesh2_kg.3__1674__AT3G15355.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 23 [Source:UniProtKB/TrEMBL;Acc:D7L425] METNVVEIAPPVASCSRAKAETPEVIDVEEYEMQNGGVLNGNNVDNKNKGKAIDFDSVSYDEQDDEFVSGDDYDYPGSSPISNSLLDPDTLIYEDDENYSEQYDFEMEEETDNYSMYQDLFDGKDIPTGVEVSMDWFPNSADKESASGSSKSSNKSDVTGNSSKKATKGSGIQSQFSFPDMATPIAQPWNALPHKAGGVIPNSAYALPQNSKAFQPPFTLGSSTSKTYFSNHFQPQTPDTVLGEAPAPAAGSSGLLLQVPPNTPGFKGYGARYRVEEAILPPDASRVKRNMEDFLGLYLFFKRFDIVEDFSDHHYASKGNSSKQHSKEWAKRIQDEWKILEKDLPDMIFVRAYESRMDLLRAVIIGAQGTPYHDGLFFFDIFFPDTYPSVPPTVHYHSGGLRINPNLYNCGKVCLSLLGTWSGSQREKWIPNTSTMLQVLVSIQGLILNQKPYFNEPGYESSAGSAHGENSSKAYSENTLLLSLKTMVYTMRRPPKYFENFAYGHFFSCAHDVLKACNAYRNGGIPGFLVKGAQDVEENSENSSSKKFRTDVATFVETVLLKEFILLGVLGLEPEGEDKAPETNNVAESSHNTRSSAKRDRVSSS >fgenesh2_kg.3__1675__AT3G15357.1 pep chromosome:v.1.0:3:6433271:6433806:1 gene:fgenesh2_kg.3__1675__AT3G15357.1 transcript:fgenesh2_kg.3__1675__AT3G15357.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L426] MASASSSAARSLIRDGKSVANLLLRGRTTNLTETVQNNGPAIRSLLWFNQTAPAASQYPVFSETFPVMQSGLRVGFVQKEGFSERIGKMEAAHGKRVVDNEDADSEEETDFDEEEIDDVDIDDDEDFDDIDDDEEEEDDKYTRK >fgenesh2_kg.3__1676__AT3G15370.1 pep chromosome:v.1.0:3:6439930:6441692:1 gene:fgenesh2_kg.3__1676__AT3G15370.1 transcript:fgenesh2_kg.3__1676__AT3G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXPA12 [Source:UniProtKB/TrEMBL;Acc:D7L430] MDMKGKYLVTVILLVGTLSVGMCSNGWIRAHATYYGVNDSPASLGGACGYDNPYHAGFGAHTAALSGALFRSGESCGGCYQVRCDFPADPKWCLRGATVRVTATNFCPSNNNNGWCNLPRHHFDMSSPAFFRIARRGNEGIVPVFYRRVGCKRRGGVRFTMRGQGNFNMVMISNVGGGGSVRAVAVRGSKGKTWLQMTRNWGANWQSSGDLRGQRLSFKVTLTDSKTQTFLNVVPSSWWFGQTFSSRGRQFV >fgenesh2_kg.3__1677__AT3G15380.1 pep chromosome:v.1.0:3:6443060:6454136:1 gene:fgenesh2_kg.3__1677__AT3G15380.1 transcript:fgenesh2_kg.3__1677__AT3G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLGAVIGRYTSSDGSAPNDGIIKHNRKCRDITFLVIFIAFWVSMIVNSSFGFNQGNPLRLTYGLDYEGNVCGSKHRHRDLTQLEIRYWLNPNQVYESGLKDGELKLANARTICLLDCPAPTDDTLNWVCDYPDGEIRLKMNDWIDRNYDYFEFLTPEMRNSSLQLQGPCYPVIFPSVNVYWSCQYIARASNSSLRHWQQMGGVNIQEDMIIDKSIRRSMNSRASVLKRYVADIGKSWPVLIVCGGLVPLFLSIIWLLLIRHFVAAMPWITVVLFNMLLISVTMFYYLKAGWIGNDAVTPIIGEHDPYFHVYGRELTHVRGVAILMTFISVIAILTSIAIIRRILMATSVAAKVIGEVQALIIFPAIPFAMLAIFYMFWLSAALHLFSSGQVVQNNCNNTNCCAYDLVLKKVNCDRCCGYSIRYTPHITIAIFFHLFGCYWATQFFIASSATVIAGSVASYYWAQGEASPEIPFLPVFASMKRLARYNLGSVALGSLIVSFVESVRFILEAIRRRTKVSGTTPDHWFWRMAHYTSRGCLKSVEWTIKSVNRNAYIMIAITGKSFCKSSAIATELIISNILRIGKVNVIGDVILFLGKLCVSLFSALFGFLMLDSHRYRSSHNKVSSPLLPVLACWALGYIVATLFFAVVEMSIDTIILSFCQDSEENQGNAQHAPPLLLETLDSNQEEEVQRLTH >fgenesh2_kg.3__1678__AT3G15390.1 pep chromosome:v.1.0:3:6455589:6458426:-1 gene:fgenesh2_kg.3__1678__AT3G15390.1 transcript:fgenesh2_kg.3__1678__AT3G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIASSSNSDPDSRSLQVLLDAFGSRFSLDDIAAAYCQASQNVDVAGEILFAMTEKTPQCDHVEMKNETSKPSQVYVPKEVRRQEDSKAKVWRPKRNSISVGTVSSVIGKEYARTRPISNAPREATKPMKIDSRDIPETEIWSEEMPKSNEPKTNRAPTDVEEFIVKMLGEGFQASQDVIHQVLGVCGYDVKKSTEKLLDLSDTKKYADVGISNEVVSFCYDFKFGSEEGRNAKNGLEKEVLEALFSGTERYVGEPKVTRHFGERRPRVAGRPVFKPLEDPFQERVVAVKKSSNTSKEDEDENEFKAHRKAVREHLNQMKEYYGAAAEAFSKGETERAHRLVEKGHFFGQKAREADDKSIAKMIDVKKDDDSTYEEDEVVTVNVNEHETKEALRLLKRQLNYFSGISSFKYLRVALGDKKEDFKSKRKHIVKLLEGESIAWTEEDSGLVMMIRVDKIDPKKLSFAKK >fgenesh2_kg.3__1679__AT3G15395.4 pep chromosome:v.1.0:3:6459512:6460236:1 gene:fgenesh2_kg.3__1679__AT3G15395.4 transcript:fgenesh2_kg.3__1679__AT3G15395.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGIINDKWSMRILWGCAIGSAIGLYMVAVERQTQNRARAMAESLRAAESQGDGDSV >fgenesh2_kg.3__1683__AT3G15400.1 pep chromosome:v.1.0:3:6460624:6462044:1 gene:fgenesh2_kg.3__1683__AT3G15400.1 transcript:fgenesh2_kg.3__1683__AT3G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L434] HPDHEVHPEHMVKPDHKLQSMLGALEHPEHTVKPNHKLQSMLGESEHPEHKVKPDHKLQSMLGEHLEHKEKPDHKLQSMSGVSEHPEHKVKPDHKLQSLLGESEHPEHKVKPDHKLQSMSGESKHPAHKIKPDQIMQTMASELEEEDPDHTTKPMGYGVGRGYGSGGSGVGYGVGIGSSGGSGFGEGTGSSGGIGFGEGIGSSGGSGFGEGIGSSGGSGFGEGIGSSGGSGFGEGIGSGGGTGIGIGEGIGSGSGQPNCGPVTGAPGEGIGIGIGQGSSGGPGVVVPGATIPPIVVPGAQIPGFTIPGITVPGYGSGCQTGGCNPYPPHYYNPPSCPHCPPFTSGEDKHMSDKGAMTEALAPTTPEMHV >fgenesh2_kg.3__1684__AT3G15410.1 pep chromosome:v.1.0:3:6462488:6466831:1 gene:fgenesh2_kg.3__1684__AT3G15410.1 transcript:fgenesh2_kg.3__1684__AT3G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7L435] MDRILKAARTSGSLNLSNRSLKDVPIEVYQCLETTGEGENWWEAVDLQKLILAHNDIAVLREDLKNLACLVVLNVSHNNLSQLPAAIGELTAMKSLDVSFNSITELPEQIGSAISLVKLDCSSNRFKELPESIGRCLDLSDLKASNNQISSLPEDMVNCSKLSKLDVEGNRLTALSENHIASWTMLTELNASKNMLSGLPQNIGSLSRLIRLDLHQNKILSVPPSIGDCSSLVEFYLGINSLSTLPAEIGDLSRLGTLDLRSNQLKEYPVGACKLKLSYLDLSNNSLTGLHPELGNMTTLRKLVLVGNPLRTLRSSLVNGPTAALLKYLRSRLSNSEETSASTPTKENVIASAARMSISSKELSLEGLNLSAVPSEVWESGEITKVNLSKNSIEELPAQLSTSVSLQTLILSRNKIKDWPGAILKSLPNLVCLKLDNNPLTQIPLDGFQAVSGLRILDLSGNAVSFREHPKFCHLPQLQELYLSRIQLSEVPEDILNLSNLIILDLNQNSLQSIPKSIKNMTSLKHLDISNNNISSLPPELGLLEPTLEVLRLDGNPLRSIRRPILERGTKAVLNYLKDRLPDQ >fgenesh2_kg.3__1685__AT3G15420.1 pep chromosome:v.1.0:3:6466936:6467752:-1 gene:fgenesh2_kg.3__1685__AT3G15420.1 transcript:fgenesh2_kg.3__1685__AT3G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYVMIDLDEVSRHIEIPSDAPYTLSGLDTMNPVLTIDGKIKLVGEYIETIGTCLAFSEKEEVSASENKKKIVEPVAKLHKILKFRLAALENDDGEIKTNTL >fgenesh2_kg.3__1687__AT3G15430.2 pep chromosome:v.1.0:3:6468143:6471231:1 gene:fgenesh2_kg.3__1687__AT3G15430.2 transcript:fgenesh2_kg.3__1687__AT3G15430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein [Source:UniProtKB/TrEMBL;Acc:D7L437] MADRNWLISFEDLPSHLILEVLTSGKLSAVDLLSLELTSKVFGGSHGFYPLKFRSLADYAASQLCSMHPVYVGMGLTTQKELFANCEGNWKRLLSFLQSVEQSSDMVETSQGKMQIATGKYHTLLINNSKVYSCGVSLSGVLAHGPETTQCVAFTPIEFPFPAQVAQVSATQNHSAFVLQSGEVLTCGDNSSHCCGHLDTSRPIFRPKLVEVLKGTPCKQVAAGLHFTVFLSREGHAYTCGSNTHGQLGHGDTMDRPAPKVVEFLKNIGPVVQIAAGPSYVLAVTQDGSVYSFGSGSNFCLGHGEQQDELQPRVIQAFKRKGIHILRVSAGDEHAVALDSNGRVYTWGKGYCGALGHGDENDKITPQVLVNLKNCLAVQVCARKRKTFVLVEGGLLYGFGWMGFGSLGFPDRGVSDKVLRPRVLECLKPHRVSQVSTGLYHTIVVTQGGRIFGFGDNERAQLGHNSLRGCLEPTEIFLHCGRSRSRLC >fgenesh2_kg.3__168__AT3G02340.1 pep chromosome:v.1.0:3:572621:573969:1 gene:fgenesh2_kg.3__168__AT3G02340.1 transcript:fgenesh2_kg.3__168__AT3G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGSAINLEEEAEGDGVAVDDSIFIPEATNLLVRYSSFDDRDIDCNPYFPFSGPISGSYSDSEPDPYSCPIDFFDRESSDVDAAEYLESEGLTAGDFNIWGFYDPKEDEEEEEEIVLGSRCVSGSGSDQQPGDSEEQGLRVTGIDSDSDYEEGVFDFTSEDSSGNSANDSGRVQVGTDLPPVWDNLFGEGTVLADEEWEEVHNAINWTAFSGPEEEEEELSSLSRDDEEDHELDWQVLLTVNNVVNYIEQAEGIMLNPDDIDPNYYLYLSSLDEFDENHSGHYDADAILGQMFDNETGIRGNPPAAKSVIQDLPVVELTVEELNKGNIVCAVCKDEMVVEEKVRRLPCSHFYHGECIMPWLGIRNTCPVCRYELRTDDLEYERHKSSERSDTGLARNLPGRYS >fgenesh2_kg.3__1692__AT3G15450.1 pep chromosome:v.1.0:3:6473015:6474141:1 gene:fgenesh2_kg.3__1692__AT3G15450.1 transcript:fgenesh2_kg.3__1692__AT3G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKTFAHPPEELNSPASHVSGETPKLPGETLSDFLSRHQNTAFSMNFGDSAVLAYARQETSLRQRLFCGLDGIYCMFLGRLSNLCTLNRQYGLSGQNSNEAMFVIEAYRTLRDRGPYPADQVLRGLEGSFAFVVYDSQSSSVFSALSSDGGESLYWGISGDGSVVMSDDIQIIKQGCAKSFAPFPTGCMFHSEKGLKSFEHPTNKMKAMARIDSEGVLCGANFKVDACSKIISIPRRGSEANWALANSR >fgenesh2_kg.3__1697__AT3G15500.1 pep chromosome:v.1.0:3:6507331:6508774:1 gene:fgenesh2_kg.3__1697__AT3G15500.1 transcript:fgenesh2_kg.3__1697__AT3G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATNAC3 [Source:UniProtKB/TrEMBL;Acc:D7L4U3] MGLQELDPLAQLSLPPGFRFYPTDEELMVEYLCRKAAGHDFSLQLIAEIDLYKFDPWVLPSKALFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKVISTEGRRVGIKKALVFYIGKAPKGTKTNWIMHEYRLIEPSRRNGSTKLDDWVLCRIYKKQSSAQKQAYNNLMTSGREYSNNGSSTSSSSHQYDDVLESLHEIDNRSLGFAAGSSNSLPRCHRPVLTNQKTGFHGLAREPSFDWANLVGQNSVPELGLSQNVPSLRYGDGGTQQQAEGIPRFNYNSDVLAHQGFSVDPVNGFGYSGQQSSGFGFI >fgenesh2_kg.3__1698__AT3G15510.1 pep chromosome:v.1.0:3:6522981:6524852:1 gene:fgenesh2_kg.3__1698__AT3G15510.1 transcript:fgenesh2_kg.3__1698__AT3G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATNAC2 [Source:UniProtKB/TrEMBL;Acc:D7L4U4] MESTDSSGGPPPPQPNLPPGFRFHPTDEELVVHYLKRKAASAPLPVAIIAEVDLYKFDPWELPAKASFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLASDGNQKVGVKKALVFYSGKPPKGVKSDWIMHEYRLIENKPNNRPPGCDFGNKKNSLRLDDWVLCRIYKKNNASRHVDNDKDHDMIDYIFRKIPPPLSMAAAATGLHHHHHNVSRSMNFFPGKFSGGGYGIFSDGGNTSLYDGGGMINNIGTDSVDHDNNADVVGLNHASSSGPMMMASLKRALPVPYWPVAEEEQDASPSKRFHGGGGGGDCSNMSSSMMEETPPLMQQQGGVLGDGLFRTTSYQLPGLNWYSS >fgenesh2_kg.3__1699__AT3G15518.1 pep chromosome:v.1.0:3:6528615:6529210:1 gene:fgenesh2_kg.3__1699__AT3G15518.1 transcript:fgenesh2_kg.3__1699__AT3G15518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVARRIPSGEGVEMPGRSETNRVRRRSHGSGLRVRVACTCSGRPGSTKCARHGFMVPSDERLMQKASDGSREVLRRALTPPIRRMNLRWLNFRPTPSRLCKMSSV >fgenesh2_kg.3__169__AT3G02350.1 pep chromosome:v.1.0:3:574999:577379:1 gene:fgenesh2_kg.3__169__AT3G02350.1 transcript:fgenesh2_kg.3__169__AT3G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KZG1] MAVAFRGGRGGVGSGQSTGLRSFFSYRIFISALFSFLFLATFSVVLNSSRHQPHQDHTLPSMGNAYMQRTFLALQSDPLKTRLDLIHKQATDHLTLVNAYAAYARKLKLDASKQLKLFEDLAINFSDLQSKPGLKSAVSDNGNALEEDSFRQLEKEVKDKVKTARMMIVESKESYDTQLKIQKLKDTIFAVQEQLTKAKKNGAVASLISAKSVPKSLHCLAMRLVGERISNPDKYKDAPPDPAAEDPTLYHYAIFSDNVIAVSVVVRSVVMNAEEPWKHVFHVVTDRMNLAAMKVWFKMRPLDRGAHVEIKSVEDFKFLNSSYAPVLRQLESAKLQKFYFENQAENATKDSHNLKFKNPKYLSMLNHLRFYLPEMYPKLNKILFLDDDVVVQKDVTGLWKINLDGKVNGAVETCFGSFHRYGQYLNFSHPLIKESFNPNACAWAFGMNIFDLNAWRREKCTDQYHYWQNLNEDRTLWKLGTLPPGLITFYSKTKSLDKSWHVLGLGYNPGVSMDEIRNAGVIHYNGNMKPWLDIAMNQYKSLWTKYVDNEMEFVQMCNFGL >fgenesh2_kg.3__16__AT3G01980.1 pep chromosome:v.1.0:3:40029:41632:1 gene:fgenesh2_kg.3__16__AT3G01980.1 transcript:fgenesh2_kg.3__16__AT3G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7L9J1] MENPAKRVLMTSNGDLVSRNIAFHLAKHGCKLVMMGNESSLSSIVDKIRDSIEGAFPVDVIALDMESDSEDAFHAAVQKAWTCSGYFDAFLNSYSYQGKMQDILQVSQDEFNRISKINLTAPWFLLKAVATRMKDHGSGGSIVFMATIASGERVLYPGADAYATTSAAIHQLVRASAMSLGKHKIRVNMISRGLHLEDEYPASVGRDRAQKLVKDAAPLGQWLNPDTDLYSTVIYLISDGSRFMTGTTVLVDGAQSLTRPRLKSYM >fgenesh2_kg.3__1702__AT3G15530.1 pep chromosome:v.1.0:3:6532343:6533970:-1 gene:fgenesh2_kg.3__1702__AT3G15530.1 transcript:fgenesh2_kg.3__1702__AT3G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTAGNRDWTQIYAIYGIEQWQTLVFLLFHAFFFSLLSVLFLIYFDQICFFLDSFFLSGAARLAAGFTGAVTALSAVCLLFAAANFVYSDVPLQYEMAQRMVSSVGDWSCVKTALDLGCGRGILLNAVATQLKKTGSSGRVVGLDRSKRTTLSTLRTAKLEGVQEYVTCREGDVKTLPFGDNYFDVVVSSVFVHTVGKEHGQKSVEAAAERMRVLGEIVRVVKPGGLCVVWDLLHVPEYVRRLQELKMENIRVSERVTAFMAGSHIVSFRKPSELVAGPREVRLDWR >fgenesh2_kg.3__1704__AT3G15540.1 pep chromosome:v.1.0:3:6552139:6553724:1 gene:fgenesh2_kg.3__1704__AT3G15540.1 transcript:fgenesh2_kg.3__1704__AT3G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7L4U9] MEKEGLGLEITELRLGLPGRDVAEKMIKKRAFTEMNMTSSGSNSDQCESGVVSSGGDVEKVNDSPAAKSQVVGWPPVCSYRRKNSCKEAATTKVGLGYVKVSMDGVPYLRKMDLGSSQDYDDLAFALDKLFGFRGIGVALKDGDNCEYVTIYEDKDGDWMLAGDVPWGMFIESCKRLRIMRRSDASGFGLQPRGVDE >fgenesh2_kg.3__1708__AT3G15580.1 pep chromosome:v.1.0:3:6565007:6565900:-1 gene:fgenesh2_kg.3__1708__AT3G15580.1 transcript:fgenesh2_kg.3__1708__AT3G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:D7L4V3] MKSFKEQYTLGERLAESREIIAKYPTRIPVIAEKYCKTDLPAIEKKKFLVPRDMSVGQFIYILSARLHLSPGKALFVFVNNTLPQTAALMDSVYESYKDEDGFVYMCYSSEKTFG >fgenesh2_kg.3__1709__AT3G15590.1 pep chromosome:v.1.0:3:6566306:6568816:-1 gene:fgenesh2_kg.3__1709__AT3G15590.1 transcript:fgenesh2_kg.3__1709__AT3G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLSRILRRSRRYNFASSSFGAVSKLEVSSSGGDKERVFKSSGLIYNKSQGLIRLYSTRDVFSRFFGIHKLSSIADAKDKGDEVVREEELSESEEAFPVSGDVSEGVVDDDSLFESEVASDNDGLEIEGGKPTKKRAQSELYESIVAYKSVKHVLEKWVKEGKDLSQAEVSLAIFHLRKRKYYAMCLQLWEWLGANTQFEFTEANYASQLDLVAKVHSLQKAENFLKDIPESFRGEVVYRTLLANCVLKHHVNKAEDLFNKMKELKFPTSVFACNQLLLLYSMHDRKKISDVLLLMERENIKPSRGTYQFLINSKGLAGDITGMEKIVETMKEEGIEVDPELQATLAKYYIRAGLKERAQDLMKEIEGKGLQQTPWVCRSLLPLYADIGDSDNVRRLSTFVDQNLRYDNCISAIRAWGKLKEVEEAEAVFERLVEKYKIFPMLPYFALMEIYTENKMLAKGKDLVKRMGNAGVTIGPSTWHALVKLYIKAGEVGKAELILNRATKDNKMRPMFISYMAILEEYAKRGDVHNTEKVFMKMKRASYAAQLMQYETVLLAYIKAKTPAYGMFERMKADNVFPNKSLAAKLAQVNPFKKCPVSVLLDE >fgenesh2_kg.3__170__AT3G02360.1 pep chromosome:v.1.0:3:577786:579969:1 gene:fgenesh2_kg.3__170__AT3G02360.1 transcript:fgenesh2_kg.3__170__AT3G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, decarboxylating [Source:UniProtKB/TrEMBL;Acc:D7KZG2] MAVQPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKKEGNLPLYGFHDPESFVKSIQKPRVIIMLVKAGAPVDQTIKTLSAYLEKGDCIVDGGNEWYENTERREKAVAENGFLYLGMGVSGGEEGARNGPSMMPGGSYEAYKNIEDIVLKVAAQVRDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNEELHSVFSDWNKGELESFLVEITADIFGIKDDKGDGHLVDKVLDKTGMKGTGKWTVQQAAELSVPAPTIESSLDARFLSGLKDERVQAAKVFKAGGFGDILTDQKVDKKQLVDDVRKALYASKICSYAQGMNLIRAKSIEKGWGLKLGELARIWKGGCIIRAIFLDRIKQAYDRNAELANLLVDPEFAKEIIERQCAWRRVVCLAINSGISTPGMSASLAYFDSYRRERLPANLVQAQRDYFGAHTYERTDVEGSFHTEWFKIARQSKI >fgenesh2_kg.3__1713__AT3G15610.1 pep chromosome:v.1.0:3:6575304:6577443:-1 gene:fgenesh2_kg.3__1713__AT3G15610.1 transcript:fgenesh2_kg.3__1713__AT3G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7L4V7] MEKKKVATPLVCHGHSRPVVDLFYSPITPDGFFLISASKDSHPMLRNGETGDWIGTFEGHKGAVWSSCLDNNALRAASASADFSAKLWDALTGDVLHSFEHKHIVRACAFSQDTKSLITGGFEKILRVFNLNRLDAPPTEIDKSPGSIRTLAWLHGDQTILSSCTDIGGVRLWDMRSGKIVQTLETKSPVTSAEVSQDGRYITTADGSTVKFWDANHFGLVKSYDMPCNIESASLEPKSGNKFVAGGEDMWVRLFDFHTGEEIGCNKGHHGPVHCVRFAPTGESYASGSEDGTIRIWQTGPVNPEENNESKPKQSVDEVTRKIEGFHINKEGKTAEKPSDA >fgenesh2_kg.3__1714__AT3G15620.1 pep chromosome:v.1.0:3:6577734:6580844:-1 gene:fgenesh2_kg.3__1714__AT3G15620.1 transcript:fgenesh2_kg.3__1714__AT3G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-4 photolyase [Source:UniProtKB/TrEMBL;Acc:D7L4V8] MATRSGSLIWFRKGLRVHDNPALEFASKGSEFMFPVFVIDPHYMESDPSAFSPGSSRAGVNRIRFLLESLKDLDSSLKKLGSRLLVLKGEPGEVLFRCLQEWKVKRLCFEYDTDPYYKALDVKVKDYASSTGVEVFSPVSHTLFNPADVIEKNGGKPPLSYQSFLKIAGEPSCAKSELVMSYSSLPPVGDVGNLGISEVPSLEELGYRDDDEQADWTPFRGGESEALKRLTKSISDKAWVANFEKPKGDPSAFLKPATTVMSPYLKFGCLSSRYFYQCLQNIYKDVKKHTSPPVSLLGQLLWREFFYTTAFGTPNFDKMKGNRICKQIPWNEDHAMLAAWRDGKTGYPWIDAIMVQLLKWGWMHHLARHCVACFLTRGDLFIHWEQGRDVFERLLIDSDWAINNGNWMWLSCSSFFYQAIKATFVMLTLVSQCIVMVSKYDPDGKYIRHFLPVLKDMPKQYIYEPWTAPLSVQTKANCIVGKDYPKPMVLHDSASKECKRKMGEAYALNKKMDGKVDEENLRDLRRKLEKDEHEESKIRNQRPKLK >fgenesh2_kg.3__1716__AT3G15630.1 pep chromosome:v.1.0:3:6581475:6582141:-1 gene:fgenesh2_kg.3__1716__AT3G15630.1 transcript:fgenesh2_kg.3__1716__AT3G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIISCSALSSIRASSESDPSRKKPVSSVSWWAPLFGMSSEPDYVNKTVNLESDLDKTDKRSLRCCLTEEKAKQLRRKTAEASTFHDVMYHSAIASRLASDVRVKE >fgenesh2_kg.3__1717__AT3G15640.1 pep chromosome:v.1.0:3:6589819:6592516:1 gene:fgenesh2_kg.3__1717__AT3G15640.1 transcript:fgenesh2_kg.3__1717__AT3G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRIVSSQLKTLAADVVVAASPRRSLAATVRPVGFYLAANRSAISASSFVIPRHFSSESVETPAKKKVEDVMPIATGHEKEELEAELEGRRLDDIDFPEGPFGTKEAPAIVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKSFECPVCTQYFELEVVGPGGPPDGHGDEDDEHHH >fgenesh2_kg.3__1721__AT3G15660.2 pep chromosome:v.1.0:3:6599830:6601529:-1 gene:fgenesh2_kg.3__1721__AT3G15660.2 transcript:fgenesh2_kg.3__1721__AT3G15660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7L4W4] MAASLSTRLLKGIANLQAVRSSRLTSASVYQNGMMRFSSTVPSDSDTHDDFKPTQKAPSGSTDSLKDIVENDVKDNPVMIYMKGVPESPQCGFSSLAVRVLQQYNVPISSRNILEDQELKNAVKSFSHWPTFPQIFIKGEFIGGSDIILNMHKEGELEQKLKDVSGNQD >fgenesh2_kg.3__1722__AT3G15670.1 pep chromosome:v.1.0:3:6601921:6602974:-1 gene:fgenesh2_kg.3__1722__AT3G15670.1 transcript:fgenesh2_kg.3__1722__AT3G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQQSYKAGETRGKAQEKTGQAMGTMRDKAEEGREKTSQTAQTAQQKAHETAQSAKDKASQTAQTAQQKAHDTTQAAKDKASQTGDKAREAKDKTGSYMSETGEAIKNKAQDAAQYTKETAQGAAQYTKETAEAGRDKTGGFLSQTGEHVKQMAMGAADAVKHTFGMATDEEEDKEHFPGSTTTTTASTRTTDPTHQTYQRK >fgenesh2_kg.3__1723__AT3G15680.1 pep chromosome:v.1.0:3:6607623:6609169:1 gene:fgenesh2_kg.3__1723__AT3G15680.1 transcript:fgenesh2_kg.3__1723__AT3G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (Ran-binding) family protein [Source:UniProtKB/TrEMBL;Acc:D7L4W6] MNRPGDWNCRSCNHLNFQRRDSCQRCGDSRSGPGGVGGLDFGGFGGRAMSAFGFTTGSDVRPGDWYCTVGNCGTHNFASRSTCFKCGTFKDETGAGGGGGGIGGPAMFDADVMRSRVPSNGGRSSWKSGDWICTRIGCNEHNFASRIECFRCNAPRDFSNRTSF >fgenesh2_kg.3__1724__AT3G15690.2 pep chromosome:v.1.0:3:6609726:6612494:1 gene:fgenesh2_kg.3__1724__AT3G15690.2 transcript:fgenesh2_kg.3__1724__AT3G15690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSLGVPKIKISAVDLSRVRSASFLIPYNQKSLLGQRPVKYLSLRTTFGSVKAVQLSTVPAAETSATIEVKDSEETKSSRLNAQLVPKPSEVEALVTEICDSSSIAEFELKLGGFRLYVARNIADNSSPQPPPTPAVAASNATTESPDLNGSASSTSLAISKPASSAADQGLMILQSPKVGFFRRSKTIKGKRLPSSCKEKDLVKEGQILCYIEQLGGQFPIEADVTGEVVKILREDGEPVGYNDALISILPSFPGIKKLQ >fgenesh2_kg.3__1727__AT3G15710.1 pep chromosome:v.1.0:3:6616068:6617664:-1 gene:fgenesh2_kg.3__1727__AT3G15710.1 transcript:fgenesh2_kg.3__1727__AT3G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase I [Source:UniProtKB/TrEMBL;Acc:D7L4W9] MGFIGETVDSIKSIKIRQLLTQAITLGMIVTSALIIWKALICVTGSESPVVVVLSESMEPGFQRGDILFLRMTDEPIRAGEIVVFSVDGREIPIVHRAIKVHERGDTKEVDVLTKGDNNDIDDIGLYAEGQLWLHRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKD >fgenesh2_kg.3__1729__AT3G15720.1 pep chromosome:v.1.0:3:6618006:6620220:-1 gene:fgenesh2_kg.3__1729__AT3G15720.1 transcript:fgenesh2_kg.3__1729__AT3G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7L4X0] MKKKTWFLNFSLFILQIFTFSNALDVTQLGAIGDGVTDDSQAFLKAWEAVCSGTGDGQLVVPAGVTFMLQPLKFQGSCKSAPIFVQILGNLVASSKGNWKGDKDQWILFTDIEGLVIEGGGEINGQGSSWWEHKGSRPTALKFKSCNNLRLSGLTHVDSPMAHIHINDCNYVTISSLRINAPESSPNTDGIDVGSSSNVVIQDCVIGTGDDCIAINSGTSNIRISGIDCGPGHGISIGSLGKDGETATVENVCVQNCNFRGTTNGARIKTWQGGSGYARMITFNGITLDNVENPIIIDQLYNGGDSDKAKDHKSSAVEVSKVVYSNFIGTSKSEYGVDFRCSETVPCTEIFLRDVKIETASSGSGQVAQGQCLNVRGVSTLAVPGLECLALSPDMLSSTQLPEQTCMLAQSVQPRTTQPMQDPIWDFESRGKRLRVYNAVLVSFVSLVTYILGD >fgenesh2_kg.3__1730__AT3G15730.1 pep chromosome:v.1.0:3:6624693:6628251:1 gene:fgenesh2_kg.3__1730__AT3G15730.1 transcript:fgenesh2_kg.3__1730__AT3G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:D7L4X2] MAQHLLHGTLHATIYEVDALHGGGVRQGFLGKILANVEETIGVGKGETQLYATIDLQKARVGRTRKIKNEPKNPKWYESFHIYCAHLASDIIFTVKDDNPIGATLIGRAYIPVDEVINGEEVDRWVEILDNDRNPIHGGSKIHVKLQYFHVEEDRNWNKGIKSAKFPGVPYTFFSQRQGCKVSLYQDAHIPDNFVPRIPLAGGKNYEPQRCWEDIFDAISNAKHLIYITGWSVYAEIALVRDSRRPKPGGDVTIGELLKKKASEGVRVLLLVWDDRTSVDVLKKDGLMATHDEETENFFRGSDVHCILCPRNPDDGGSIVQSLQISTMFTHHQKIVVVDSEMPNRGGSEMRRIVSFVGGIDLCDGRYDTPFHSLFRTLDTVHHDDFHQPNFTGATITKGGPREPWHDIHSRLEGPIAWDVMYNFEQRWSKQGGKDILVKLRDLGDIIITPSPVMFQEDHDVWNVQLFRSIDGGAAAGFPESPEAAAEAGLVSGKDNIIDRSIQDAYIHAIRRAKDFIYVENQYFLGSSFAWAADGITPEDINALHLIPKELSLKIVSKIEKGEKFRVYVVVPMWPEGLPESGSVQAILDWQRRTMEMMYKDVIQALRAQGLEEDPRNYLTFFCLGNREVKKDGEYEPAEKPDPDTDYMRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPHHLSHRQPARGQIHGFRMSLWYEHLGMLDETFLDPSSLECIEKVNRISDKYWDFYSSESLEHDLPGHLLRYPIGVASEGDITELPGFEFFPDTKARILGTKSDYLPPILTT >fgenesh2_kg.3__1731__AT3G15770.1 pep chromosome:v.1.0:3:6633826:6634969:1 gene:fgenesh2_kg.3__1731__AT3G15770.1 transcript:fgenesh2_kg.3__1731__AT3G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCLACFDKSKATTSVDVDVPLNGSKDVLVEEDWSELRNPSVASEDFWTNTTLDMESNAQGSVSSISTTNLTVDSQGCGSSSNEPTEFVNHGLLLWNQTRQQWVGDKRSESREKIVREPVINENVTYESLLGSNKRFPRPIPLDEMVQFLVEVWEEEGLYG >fgenesh2_kg.3__173__AT3G02380.1 pep chromosome:v.1.0:3:583247:584579:-1 gene:fgenesh2_kg.3__173__AT3G02380.1 transcript:fgenesh2_kg.3__173__AT3G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEESNESGTWARACDTCRSAACTVYCEADSAYLCTTCDARVHAANRVASRHERVRVCQSCESAPAAFLCKADAASLCTACDAEIHSANPLARRHQRVPILPLSANSCSSMAASETDADNDEDDREVASWLLPNPGKNSGNQNNGFLFGVEYLDLVDYSSSMDNQFEDHQYSHYQRSFGGDGVVPLQVEESTSHLQQSQHNFQLGINYGFSSGANYNNNFLKDLNHSASVSSMDISVVPESTASDITVQHPRTTKETTDQLAGPPTQVVQQLTPMEREARVLRYREKKKTRKFDKTIRYASRKAYAEIRPRIKGRFAKRIEIEAEAEEIFSTSLMSETGYGIVPSF >fgenesh2_kg.3__1741__AT3G15850.1 pep chromosome:v.1.0:3:6659173:6661327:1 gene:fgenesh2_kg.3__1741__AT3G15850.1 transcript:fgenesh2_kg.3__1741__AT3G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTKPKPLFLCSPSLSPRTLNTSTPSLSFARISFTHHQKLAPFKPPSLVVAFSEKGLKRDVITAAAATEGDYRRIMLSDVLVKKKEKVVWWEREWKAMDFGAVAVVLSMHLLSLLAPFQFNWRAVSVAFGLYIVTGLLGITLSFHRNLSHKAFKLPKWLEYLFAYCGAQALQGNPIDWVSTHRYHHQFCDSDRDPHSPLDGFWFSHMNWMFDTNTITQRCGEPNNVGDLEKQPFYRFLRTTYILHPVALAVALYAMGGFPFIVWGMGVRIVWVYHITWLVNSACHVWGKQAWNTGDLSKNNWWVAALAFGEGWHNNHHAFEFSARHGLEWWQLDMTWYVVRFLQAIGLATDVKLPSEAQKQRMALTSD >fgenesh2_kg.3__1744__AT3G15880.2 pep chromosome:v.1.0:3:6666004:6675180:-1 gene:fgenesh2_kg.3__1744__AT3G15880.2 transcript:fgenesh2_kg.3__1744__AT3G15880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKDTVHRLEKESGFFFNMRYFEDSVTAGEWDDVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKKDHAKAVEILVKELKVFSTFNEELFKEITMLLTLTNFRENEQLSKYGDTKSARGIMLGELKKLIEANPLFRDKLQFPSLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHTCGHPNGAHTPPTTNHLMGSVPKVGGFPPLGAHGPFQPTPAPLTTSLAGWMPNPSVPHPTVSAGPIGLGAPNSAVSMLKRERPRSPPTNSLSMDYQTADSESVLKRPRPFGISDGVNNLPVNVLPVTYPGQSHSHATYSTDDLPKNVSRILSQGSAIKSMDFHPVQQTMLLVGTNLGDIAIWEVSSREKLVSRSFKVWDLATCTVNLQASLASEYTAAVNRVVWSPDGGLLGVAYSKHIVHIYSYHGGEDLRNHLEIDAHAGNVNDLAFSQPNQQLCVVTCGEDKTIKVWDAVTGNKLHTFEGHEAPVYSVCPHQKENIQFIFSTAVDGKIKAWLYDNMGSRVDYDAPGRSCTAMAYCADGTRLFSCGTSKEGESFIVEWNESEGAVKRTYLGLGKRSAGVVQFDTMKNKFLVAGDEFQVKFWDMDSVDLLSSTAAEGGLPSSPCLRINKEGTLLAVSTTDNGIKILANAEGSRILHSMANRRLDSSRAPPGSVAKGPIVGTFGTSSSSTGMSLSMAERSGPVASVTGLNGDNRSLPDVKPRIADEAEKSKTWKLTEISERSQLRTLRLPDTLLPARVVKLIYTNSGGAVLALAENAAHKLWKWQKSERNLLGKANSNVPPQLWQPSSGVLMTNDTREGNKEDVVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATSLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKSKLKGHQKRVTGLAFSNVLNVLVSSGADSQLCVWSMDGWEKQASKQIQIPSGHSPNPLAHTRVQFHQDQTHVLVVHASQLAIYEAPKLESMKQWIPKESSGSVTDAVYSCDSQSIYAAFDDGSVSILTATTLQLKCRIGPNSYLPSNPSSRVYPATIAAHPSEPNQFAVGLTDGGVHVIEPPGPEGKWGMSPPPENGAGPSVSSAPGSDQQQRKK >fgenesh2_kg.3__1746__AT3G15890.1 pep chromosome:v.1.0:3:6677506:6679451:1 gene:fgenesh2_kg.3__1746__AT3G15890.1 transcript:fgenesh2_kg.3__1746__AT3G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFHSCCGKGFDGKKKEKEEPSWRVFSLKELHAATNSFNYDNKLGEGRFGSVYWGQLWDGSQIAVKRLKAWSNREEIDFAVEVEILARIRHKNLLSVRGYCAEGQERLLVYEYMPNLSLVSHLHGQHSAECLLDWTKRMKIAISSAQAIAYLHDHATPHIVHGDVRASNVLLDSEFEARVTDFGYGKLMPDDTGDGATRAKSNNGYISPEYVTSGKESETSDVYSFGILLLVLVSGKRPLERLNPTTTRGITEWVLPLVYERKFGEIVDKRLSEEHVEEKLKKVVLVGLMCAQTDPDKRPTMSEVVEMLVNESKEKMSELEANPLFKNPYSNNENNREHVTEVSSDVISEEKDQQQHE >fgenesh2_kg.3__1747__AT3G15900.1 pep chromosome:v.1.0:3:6679499:6680412:-1 gene:fgenesh2_kg.3__1747__AT3G15900.1 transcript:fgenesh2_kg.3__1747__AT3G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVFVPGMAIGVPTLSRSSSAHRSLEPTKKMIMTNVKFVTIFRNPSSCSGTKRSFRVTASNDRSEQADNGQGVQEDLNYLLKIGVGSVAGAAIIKYGSVLFPQITRPNLTLALFIIMAPVVISVILLFLSSSSSKKHN >fgenesh2_kg.3__1748__AT3G15920.1 pep chromosome:v.1.0:3:6680905:6684225:-1 gene:fgenesh2_kg.3__1748__AT3G15920.1 transcript:fgenesh2_kg.3__1748__AT3G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L4Y9] MNLYAHDLSLLDFNYNVSGPFGESLSHRFLSPGPFFQGEDDDYRRYTTSYSHDGANGREADTDSRVSPPHRHDGRSPLPLGMDWSAPPRHLEGRNTVWPHDSRTGWSYCVTVPSWVDLPKSSVSDPAVFYRVQVAIQSPEGITSARLILRRFNDFFELYSSIKKEFVKKSLPQAPPKKILRMKNQTLLEERRCSLEDWMNRLLSDIDISRSALIATFLELEAAVRSYFNDEYQETEGTSGDIPPLLPTTSSDVPGSSSVTVDHTNDSADETSDASTVKHDDASLKNLVSRNSTSVDNVTDWHELISEYGLLDKSSFQEKVERLSSTNGAAATGTVTGEGISSGVGIQSLDGSDRKFQEKTIESNKTHVSDFEASTHREPDLVNQGSLDIHGEAHGNIYGAVGGYTETHKDLAIVFQSEERHKLKRVIDTLKQRLETAKADTEDLISRLNQELAVRQFLSTKVRDLEVELETTRESCKQGMEKTVLDEKERFTQIQWDMEELRKQCMEMESLLNSIKDEKTNIETANESLVQENQMLLQQINDIRENFENFHKEHEELEVKSKAELKVLVKEVKSLRTTQSELRQELSRTMKEKLEMERIVQREKDREETAKNADKKLLHECDVLQNRLQECNVKFHIEEECKLIMDSSSLCEAIELLATSDNRIGLLIAETQLLSEEVEKLKLSSGGHRGTDDVVRKMLTEVLIDNARLRKQVNSVLRCSLSGHGVSVREAGTEEEVEEQEGSIDLARTVMSKILEK >fgenesh2_kg.3__174__AT3G02400.1 pep chromosome:v.1.0:3:587568:589509:1 gene:fgenesh2_kg.3__174__AT3G02400.1 transcript:fgenesh2_kg.3__174__AT3G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KZG5] MVPPLLKLDFTQGPRAGDSLGFKPGSTIRIGRFVRGNEIAIKDAGISTKHLRIVSDSENWIIHDLGSSNGTILNSETIDPDTPINLSHGDEIKLGEYTSILVNFVSDVVQAPQEHKLPPRPRRNNKRLAVSDPDPIESVQEKPKRTRGSSKQEENELPKKTRASRKKTLDDIADKEEELEVEIEKKVKSRVGRPRKNAGSAVTKEEEVVEEKKGNSRARRGKNSESVEKSIKLEVEDTPRAVEISEVKSRKRVARSKQIENACFGLEVKNEMRTTRSTRSKKTELGGDSFLELEMVLNQARKSRAKRKKVDQEPSKETINDDAGEEVLVNCHVEEDNDNEGREGCSERSDDKCDKEDEREGDGSKRVEQVEIELRKKSTLVEDGLNCTVREDGETENLQDIEEENDNEKENGHEEGCSERCDKEDERVGDGSKRVEQVEIELRKKSTLGEDDLNCTVREDGETDNLQEIEEECHKKESECKVEEAGIATLDEEKVEQGWSNKNVERVEVDLGKMKLRDWFEAIEVQLPKQTIEETEKMIEPMRSKSMRVHKHIAEQKEKG >fgenesh2_kg.3__1752__AT3G15970.1 pep chromosome:v.1.0:3:6701795:6704101:-1 gene:fgenesh2_kg.3__1752__AT3G15970.1 transcript:fgenesh2_kg.3__1752__AT3G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran-binding protein 1 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L4Z5] MGDSDNAIPFSKKRAALKELSRDNPGLDDEEDTSALESGTFKTASEEVLASRRIIRVKRRDPSAAAAPAPTSNPFAGIRLVPLTVLAAETTKPLCGSYSTPSQGKQETLDDGRSDATKETDGDKKEKSDAVDAVAKQETQDDGISDKTNDAVDGGEKEKTEAVNTGEGGGAGNKNEEEIKTTTVIEAAAGEETEKSKDENDNGNTVEGTDCVVKDSGGNQTEEEGKEGDGNEDTEKNGDSGALSSFHQHSSSKNAFTGLASTGFSASSFSFGLVPQEGSTGSLTEQSSFSFGLPNNGNSSLFGASVSTSITTKSTETTTAFPSKQDVSVETGEENEIAAFTADSVMFEYLEGGWKERGKGELKVNITTTENRKARLVMRSKGNYRLILNASLYPEMKLANMDKKGITFACVNSGSEAKDGLSTFALKFKDPAVVEEFRAVIEAHKDSKPAVAEAAAPLKTPENSPSAEDA >fgenesh2_kg.3__1753__AT3G15980.3 pep chromosome:v.1.0:3:6704425:6711530:-1 gene:fgenesh2_kg.3__1753__AT3G15980.3 transcript:fgenesh2_kg.3__1753__AT3G15980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta' [Source:UniProtKB/TrEMBL;Acc:D7L4Z6] MPLRLDIKRKFAQRSERVKSVDLHPTEPWILASLYAGTVCIWNYQTQTITKSFEVTDLPVRSAKFIPRKQWVVAGADDMHIRVYNYNTMDKVRVFEAHSDYIRCVAVHPTLPYVLSSSDDMLIKLWDWENGWACTQIFEGHSHYVMQVVFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLDGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYIKSSRRVVIGYDEGTIMVKLGREIPVASMDSSGKIIWAKHNEIQTANIKSIGAGYEVTDGERLPLSVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSGLEFVWSSEGECAVRESSSKIKIFSKNFQERKSIRPTFSAEKIFGGTLLAMCSNDFICFYDWAECRLIQQIDVTVKNLYWAESGDLVAIASDTSFYILKYNRDLVSSHFDSGRPTDEEGVEDAFEVLHENDERVRTGIWVGDCFIYNNSSWKLNYCVGGEVTTMYHLDRPMYLLGYIANQSRVYLVDKEFNVIGYTLLLSLIEYKTLVMRGDLDRANQILPTIPKEQHNNVAHFLESREMIEDALEIATDLDYKFDLAIQLGRLEIAKEIAEEVQSESKWKQLGELAMSSGKLQLAEDCMKYAMDLSGLLLLYSSLGDAEGVSKLACLAKEQGKNNVAFLCLFTLGRLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVALWREDLSKVNSKAAESLADPEEYPNLFEDWQVALSVEANTAETRGVYTAAENYPSHADKSSITLVEAFRNLQVEAEESLENGVIDHEVVEENGHVENEGDDEEQQEEEVNEEEGVVDADSTDGAVLVNGSEGEEEWGTNNKGNPSA >fgenesh2_kg.3__1756__AT3G15990.1 pep chromosome:v.1.0:3:6721299:6725305:1 gene:fgenesh2_kg.3__1756__AT3G15990.1 transcript:fgenesh2_kg.3__1756__AT3G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SULTR3_4 [Source:UniProtKB/TrEMBL;Acc:D7L4Z7] MGHGTNRVEDMSSPNNGTTGAGETVVEIHSVCLPPKKTAFQKLKKRVADVFFPDDPLQRFRNQTWRNRVILGLQSLFPIFTWVSQYDLKLFRSDVVSGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLIYAVLGSSRHLAVGPVSIASLVMGSMLSESVSPTQDSILYLKLAFTSTFFAGVFQASLGLLRLGFMIDFLSKATLVGFTAGAAVIVSLQQLKGLLGIVHFTGKMQFVPVMSSVFNHISEWSWETIVMGVGFLSILLTTRHISMRKPKLFWISAASPLASVIISTLLVYLIRSKTQAISFIGHLPKGLNPPSLNMLYFSGAHLALAIKTGIITGILSLTEGIAVGRTFASLKNYQVNGNKEMMAIGFMNMAGSCTSCYVTTGSFSRSAVNYNAGAKTAVSNIVMASAVLVTLLFLMPLFYYTPNVILAAIILTAVIGLIDYQAAYKLWKVDKFDFFTCLCSFFGVLFVSVPLGLAIAVGVSVIKILLHVTRPNTSEFGNIPGTQIYQSLGRYREASRIPGFLILAIESPIYFANSTYLQDRILRWTREEETRIKEINGTTLKCIILDMTAVSAIDTSGLEAVFELRRRLEKQSLQLVLVNPVGTVMEKLHKSKIIESLGLSGLYLTVGEAVADLSSTWKAHGQP >fgenesh2_kg.3__1758__AT3G16010.1 pep chromosome:v.1.0:3:6731251:6733467:1 gene:fgenesh2_kg.3__1758__AT3G16010.1 transcript:fgenesh2_kg.3__1758__AT3G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L4Z8] MVYISARSILAKRSVSTLPYLSQRFKQTENEIVQMFSIPNHEESEKPQEKWKLSRKDPSVRMLDERFIRIVKIFKWGPDAEKALEVLKLKVDHRLVRSILEIDVEINVKIQFFKWAGKRRNFQHDCSTYMALIRCLEEARLYGEMYRTIQEVVRNTYVSVGPVVLSELVKALGRAKMVSKALSVFYQAKGRKCKPTSSTYNSVILMLMQEGQHEKVHEVYTEMCNEGDCFPDTITYSALISSYEKLGRNDSAIRLFDEMKDNCMQPTEKIYTTLLGIYFKVGKVEKALDLFEEMKRAGCSPTVYTYTELIKGLGKAGRVEEAYDLYKNMLTDGLTPDVVFLNNLMNILGKVGRLEELTNVFNEMGTWRCTPTVVSYNTVIKALFESKAPVSEVSSWFDKMKADGVSPSEFTYSILIDGYCKTNRVEKALLLLEEMDEKGFPPCPAAYCSLINALGKAKRYEAANELFKELKENFGNVSSRVYAVMIKHFGKCGKLSEAVDLFNEMKNQGSGPDVYAYNALMSGMVKAGMVNEANSLLRKMEENGCTADINSHNIILNGFARTGVPRRAIEMFETMKHCGIKPDGVTYNTLLGCFAHAGMFEEAARLMREMKDKGFEYDAITYSSILDAVGNMDHEKDDVSSL >fgenesh2_kg.3__1760__AT3G16030.1 pep chromosome:v.1.0:3:6737346:6740379:1 gene:fgenesh2_kg.3__1760__AT3G16030.1 transcript:fgenesh2_kg.3__1760__AT3G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CES101 [Source:UniProtKB/TrEMBL;Acc:D7L500] MWSNGIFLIFFTLSLLLGQSCCETDTLLQGQYLKDGQELVSAFNIFKLKFFNLENSSNWYLGIWYNNFYLSGNKKYGDIQDKAVWIANRNNPILGRSGSLTVDSLGRLRILRGASSLLEISSTETTGNTTLKLLDSGNLQLQEMDSDGSMRQILWQSFDYPTDTLLPGMKLGFNVKNGKRWELTSWLGDTLPASGSLVFGMDANITNRLTILWRGNMYWASGLWFKGGFSLEVLNEYGFLFSFISTESEHYFMYSDDHKFAGTFFPAIMIDQQGILHIYRLDRERLHTSLLYGLFARWYSFRETVSAFSSNGFILNETGGRFSSADCHAICMQNSSCIAYASTNLDGTGCEIWNIDPTDKKSSSQQIYVKPRARKGGNLASCCGITIPNYTCDLVKICIRITQMLPSQLCSLTNKFTTFCVFLIQRLPTLRVGSTIDQEMLLPSSDANKLGEGGFGPVYKGSLIDGEEVAIKRLSLASGQGLVEFKNEAMLIAKLQHTNLVQLLGCCIEKDEKMLVYEYMPNKSLDYFLFDPLRKNILDWTLRFRIMEGIIQGLLYLHKYSRLKVIHRDIKASNILLDEDMNPKISDFGMARIFGAQESRANTKRVAGTFGYMSPEYFREGLFSAKSDVFSFGVLMLEIICGRKNNSFHHDSEGPLNLIVHVWSLFKENRVHEVIDPSLGDSAVENPQVLRCVQVALLCVQQNAEDRPSMLEVVSMIYGDGNNALSLPNEPAFYDGPRRSSPEMEVEPPELENVSANRVTITVMEAR >fgenesh2_kg.3__1761__AT3G16050.1 pep chromosome:v.1.0:3:6741552:6742819:-1 gene:fgenesh2_kg.3__1761__AT3G16050.1 transcript:fgenesh2_kg.3__1761__AT3G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQEQGAVTLYSGAAITDAKKNHPFSVKVGLAQVLRGGAIVEVSSVNQAKIAESAGACSVIVSDPVRSRGGVRRMPDPVLIKEVKRAVSVPVMGRARVGHFVEAQILESLAVDYIDESEIISVADEDHFINKHNFRSPFICGCRDTGEALRRIREGAAMIRIQGDLTATGNIAETVKNVRSLMGEVRVLNNMDDDEVFTFAKKISAPYDLVAQTKQMGRVPVVQFASGGITTPADAALMMQLGCDGVFVGSEVFDGPDPFKKLRSIVQAVQHYNDPHVLAEMSSGLENAMESLNVRGDRIQDFSQGSV >fgenesh2_kg.3__1765__AT3G16100.1 pep chromosome:v.1.0:3:6756891:6758384:1 gene:fgenesh2_kg.3__1765__AT3G16100.1 transcript:fgenesh2_kg.3__1765__AT3G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRVLLKVIILGDSGVGKTSLMNQFVNRKFSNQYKATIGADFLTKEVQIDDRIFTLQIWDTAGQERFQSLGVAFYRGADCCVLVNDVNVMKSFENLNNWREEFLIQASPSDPENFPFVVLGNKTDVDGGKSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVDATFECIAKNALKNEPEEQVYLPDTIDVAGARQQRSTGCEC >fgenesh2_kg.3__1766__AT3G16110.1 pep chromosome:v.1.0:3:6758578:6761403:-1 gene:fgenesh2_kg.3__1766__AT3G16110.1 transcript:fgenesh2_kg.3__1766__AT3G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKPKPSSKFSILFTFLILLSFLIVVVRSSDVSVEGKESGSEEELDDLEQLLAVDEQLQEERPEQQSEAETVSKAQRIVVELNGDNTKRLIDGNEYVMVLGYAPWCARSAELMPRFAEAATDLKEIGSSVLMAKIDGERYSKVASQLGIKGFPTLLLFVNGTSQSYTGGFSSEEIVIWVQKKTGVPTIKLDTVDKASGFLKKHHTYIVGLFEKSEASSGYDEFVKAASLDNEIQFVETSSSDVAKLLFPNLKTNNVFVGLVKTEAEKYTAYGKLLDDGSLQAEKILEFLNSNKFPLVTKLTESNTVRVYASPVKLQVMVFSKSDDFGSLAQPLEDIARKFISKLMLIYIDISNENLAMPFLTLFGIEDAKKTVVAAFDNNLNSKFLLESDPSPSNIEEFCFGLAHGTVSPYYKSQPIPDNQNASVVAVVGRTFDEVVLKSSENVLLEVHTPWCINCEALSKQVEKLSKHFQGFENLVFARIDASANEHPKLTVDDYPTILLYKAGEKENPLKLSTKSSAKEMAVLINKELKSKDPSAKDEL >fgenesh2_kg.3__1767__AT3G16120.1 pep chromosome:v.1.0:3:6766343:6767126:1 gene:fgenesh2_kg.3__1767__AT3G16120.1 transcript:fgenesh2_kg.3__1767__AT3G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAKVEETDMPVKMQIQAMRIASQSLDLFDVFDSISIAAHIKKEFDERYGSGWQCVVGTNFGCFFTHSKGTFIYFHLGTLNFLIFKGATL >fgenesh2_kg.3__1768__AT3G16130.1 pep chromosome:v.1.0:3:6767903:6770336:1 gene:fgenesh2_kg.3__1768__AT3G16130.1 transcript:fgenesh2_kg.3__1768__AT3G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAGEREQESYKSKLLDLETVKKKNSSSRHFKRWNSDSALRIEDPDIDDGTVFRKTATSSIQPILPLRPPLVKDEAPQPREATDEELQQDSAKTVMSYCIIGLRFRGLQANSCYFAYVTEKEQMKDKFAKLLLGEDMSGGSKGVSSALALSNAITNLSASAFGELRRLEPISEDRKERWRREIGWLLSVTDHIVEFSPTHHTNEDGSSMEVMTTKQRTDLVSNIPALKKLDEMLLDCLDKYKEQDEFYYVTPGSPESENSNSTRNDDKWWLPIVKVPPKGLSETLKRFLLSQKECVSQVLNSAMAINSQVLTEMEIPESYIDSLPKKGRASLGDMIYRMITLEMFDAEQFLHEMDLSSEHKILDLKNKFEASVVIWQRKIVQIDNKSSSPWSTNLSMDKRQQLEERAATILQLIKQEFPGISQSTLDISKIQFNKDIGLAIVESYSRILESLAHTIMSRIEDVLEADQLTQNPELAVCKRHMVKETESPEKEEEPNFCLLEERPKKQKPTISLSEVMQWNIETNEPKKEKSDAPIKDSGKKLLTRVSSMIMANNKKPTSYLESLGTTRSPTAGRYS >fgenesh2_kg.3__1770__AT3G16150.1 pep chromosome:v.1.0:3:6773352:6774836:1 gene:fgenesh2_kg.3__1770__AT3G16150.1 transcript:fgenesh2_kg.3__1770__AT3G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWAIAVHGGAGIDPNLPAERQEEAKQLLTRCLNLGIVALRSNISAIDVVELVIRELETDPLFNSGRGSALTEKGTVEMEASIMDGTKRRCGAVSGITTVKNPISLARLVMDKSPHSYLAFSGAEDFARKQGVEIVDNDYFVTDDNVGMLKLAKEANSILFDYRIPPMGCAGAAATDCPLQMNGLPISIYAPETVGCVVVDGEGRCAAGTSTGGLMNKMMGRIGDSPLIGAGTYASEFCGVSCTGEGEAIIRATLARDVSAVMEYKGLNLQEAVDYVIKHRLDEGFAGLIAVSNKGEVVCGFNSNGMFRGCATEDGFMEVAIWE >fgenesh2_kg.3__1774__AT3G16200.1 pep chromosome:v.1.0:3:6796742:6798838:-1 gene:fgenesh2_kg.3__1774__AT3G16200.1 transcript:fgenesh2_kg.3__1774__AT3G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGMNNGQSLWHSQSPKTPTTMLDRALSSRRPHSDADLSASGESGTDESKTKRPHIYLLASNFLSRIGHQWWPCLILALLFLVLLFLISVAFHSHSFVCISRFDPAARIGFFGLDGLESDFGALGVPWCRSKHGKEVEWTSKDLLKGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFMARWLKPDLMIESGAFKGHSTWVLRQAMPDTPMISLTPRHPEKYLRKGPAYVDGNCTYFAGKDFVDFGSVDWKSVLRKHGITDLSRVIVFFDDHQNELKRLKQALKAGFRHLIFEDNYDTGTGDHYSLRQICDQSYIRGGGHSCFKDSDEARMRSKRKKFWEKAVDTEELCGPGETWWGVKGEMRDDFNHTNTPISYNQHFQNSRYVESILDVYWELPPVAGPSLTHQSRYDPARATPPIVADGKHRLFQRIGLGRLDKSVFNGYTQMVYLEISKPGS >fgenesh2_kg.3__1776__AT3G16220.1 pep chromosome:v.1.0:3:6816978:6818520:1 gene:fgenesh2_kg.3__1776__AT3G16220.1 transcript:fgenesh2_kg.3__1776__AT3G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLWNRCSSSHQTPLAFKGNQKQIGQAHREVFTHFVSLPLAIYPELKKNIEAFQNSVLGNNDKNPLTFQTTLAEMGIEKSIFVSPKTFHLTVVMLKLENNESVVKAQNILKSICSNVRQALKDRPVFIRLRGLDCMNGSLDKTRVLYVPVEEVGHEGRLLNACHVIIDAFENAGFAGKDAKSRLKLHATVMNASYRKDKSKKMDTFDAREIHKEFENKDWGTYLIREAHISQRYKYDPNGYFHCCASLPFPHK >fgenesh2_kg.3__1778__AT3G16240.1 pep chromosome:v.1.0:3:6825271:6826887:1 gene:fgenesh2_kg.3__1778__AT3G16240.1 transcript:fgenesh2_kg.3__1778__AT3G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta tonoplast intrinsic protein [Source:UniProtKB/TrEMBL;Acc:D7L5M0] MAGVAFGSFDDSFSLASLRAYLAEFISTLLFVFAGVGSAIAYGSKLTSDAALDTPGLVAIAVCHGFALFVAVAIGANISGGHVNPAVTFGLAVGGQITVITGVFYWIAQLLGSTAACFLLKYVTGGLAVPTHSVGAGLGALEGVVMEIIITFALVYTVYATAADPKKGSLGTIAPLAIGLIVGANILAAGPFSGGSMNPARSFGPAVAAGDFSGHWVYWVGPLIGGGLAGLIYGNVFMGSSEHAPLASADF >fgenesh2_kg.3__1782__AT3G16290.1 pep chromosome:v.1.0:3:6859109:6862965:-1 gene:fgenesh2_kg.3__1782__AT3G16290.1 transcript:fgenesh2_kg.3__1782__AT3G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2083 [Source:UniProtKB/TrEMBL;Acc:D7L5M9] MACRFPLHSSPPSQFLSPENRQRLPRNYPSISCQNNSADVHDDGDENEKVKTSQVNLLAIPITLTVISASLAQPSFAAAKVSERKRTQKKPQEALTIEQLKAWSKDLPVVSNRIPYTDILSLKAQGKLKHVIKPPNLSLRQKAEPVLVVLEDSRVLRTVLPSLEGNKRFWEEWDELGIDGQCVNAYTPPVKRPPVPSPYLGFLWKVPAYMLTWVKPKKESKRAAELKKMREDFKRQRKEEIERMKEERAMMEKTMKAQKKQQERKKRKAVRKKKYEESLREARRNYRDMADMWARMAQDPNVATALGLVFFYIFYRVVVLNYRKQKKDYEDRLKIEKAEADERKKMRELEREMEGIEEEDEELEEGTGEKNPYLQMAMQFMKSGARVRRASNKRLPEYLERGVDVKFTDVAGLGKIRLELEEIVKFFTHGEMYRRRGVKIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEARENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVSLDGFEGRGEVITIASTNRPDILDPALVRPGRFDRKIFIPKPGLIGRMEILQVHARKKPMAEDLDYMAVASMTDGMVGAELANIVEIAAINMMRDGRTELTTDDLLQAAQIEERGMLDRKDRSLKIWRQVAINEAAMAVVAVNFPDLKNIEFLTINPRAGRELGYVRVKMDHIKFKEGMLSRQSILDHITVQLAPRAADELWYGEDQLSTIWAETSDNARSAARSLVLGGLSDKHHGLNNFWVADRINDIDLEALRILNMCYERAKEILGRNRTLMDEVVEKLVQKKSLSKQEFFTLVELYGSIKPMPPSILELRKIKRLELEETVLKLDMTTAKNSS >fgenesh2_kg.3__1784__AT3G16310.1 pep chromosome:v.1.0:3:6866582:6868743:-1 gene:fgenesh2_kg.3__1784__AT3G16310.1 transcript:fgenesh2_kg.3__1784__AT3G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP35 [Source:UniProtKB/TrEMBL;Acc:D7L5N3] MSAAAHRTPKSGRQSLLFQDLASPVSARRGKFSSPGQAAAVSALWRENFGGSDLPPPPMYTLDDRSDFSPESGIADYSASPDAKSDRRMPFQSSGKNIVTPGKGKLEASPSFSLLNAQQSQQISGSPSWWSQSKAGSSTEQDDKGKGSPVEGVVQPGALVTLPPPREVARPEVQRQIIPTGNLDEEEWVTVYGFSPGDTNLVLREFEKCGMVLKHVPGPRNANWMHILYQNRSDAHKALNKAGMMINGVVIVGVKPVDPIQKQALNERLNNQGFVPLPPPSSTRDTARPLSRPQYLQNGSAFSPQPSGGAMASPSKSMVSKFFDLMFGV >fgenesh2_kg.3__1785__AT3G16320.1 pep chromosome:v.1.0:3:6870322:6875425:1 gene:fgenesh2_kg.3__1785__AT3G16320.1 transcript:fgenesh2_kg.3__1785__AT3G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7L5N4] MENLLANCVQKNLNHFMFTNAIFLCERLLAQFPSEVNLQLLARCYLSNSQAYSAYYILKGSKMPQSRYLFAFSCFKLDLLGEAEAALLPSEDYVEEVPGGAAGHYLLGLIYRHSGRKNSSIQQFRMALSFDPLCWEAYGELCSLGAAEEASTVFGNVASQRLQITCVEQRINFSEGATVNQLTDSDKASKDTSLWQTEHFPGENQEDLKIKQQPGADIPPDTDRQLNTNGWDLNTPSPVLSQVMDAPSPLLHKNMRRPAVEGSLMSVHGVRARRRNFFSEELSAEALEESGRRRSARIAARKKIPMSQSFGKDSHWLHLSPSETNCAPSLSSVIGKCRTQSSKEATTSGQSVSDIGSSVDDEEKSTPSESSPDRFSLISGISEVLNLLKILGDGHRHLHMYNCQEALLAYQKLSQKQYDTHWVLLQVGKAYFELQDYFNADSVFTLAHQKYPYALEGMDTYSTVLYHLKEEMRLGYLAQELISVDRLSPESWCAVGNCYSLRKDHDTALKMFQRAIQLNERFTYAHTLCGHEFAALEEFEDAERCYRKALGIDTRHYNAWYGLGMTYLHREKFEFAQHQFQLALQINPRSSVIMCYYGIALHESKRNDEALMMMEKAVLTDVKNPLPKYYKATILNSLGDYHKAVKVLEELKECAPQESSVHALLGKIYNQLKQYDKAVLHFGIALDLSPSPSDAVKIKTYMERLILPDELATEENL >fgenesh2_kg.3__1786__AT3G16330.1 pep chromosome:v.1.0:3:6875987:6876864:1 gene:fgenesh2_kg.3__1786__AT3G16330.1 transcript:fgenesh2_kg.3__1786__AT3G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNVSISKKLGNIVRFVLYMIHKGISKQKLLADFNATLKRGKNLMLHNRRRFPATASAVASHPQKEYEFSCSDTPNYIFPFNMAAFKKKSHHNSLFSCGHAPPTLDDDTSVSRAVLELLNSGGDHDQGSNTPALSVEALTALSPYLPVFGRSTPSVRPLRVTDSPFPLREDGDVSNGYVDKAADEFIKKFYKNLNQQKKMIESSPN >fgenesh2_kg.3__1788__AT3G16350.1 pep chromosome:v.1.0:3:6892255:6894210:1 gene:fgenesh2_kg.3__1788__AT3G16350.1 transcript:fgenesh2_kg.3__1788__AT3G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPTRGGGTCGGSGGGGGGSCSSSTVKLFGVRLTDGSIIKKSASMGNLSALAVAAAAATHHRLSPSSPLATSNLNDSPLSDHARYSNLHHNEGYLSDDPAHGSGSSHRRGERKRGVPWTEEEHRLFLVGLQKLGKGDWRGISRNYVTSRTPTQVASHAQKYFIRHTSSSRRKRRSSLFDMVTDEMVTDSSPTEDQSHQTLNRFSPSKEPENKIYLPSLELSLNNTTESEVVVATAPPQEKTEETIEPSNGVSPMVVPGGFFPPCFPITYTIWLPATSTSLHGTEHALEAETSSQQHQVLKPKPGFAKERVNMDELVGMSQLSIGMATRHESETSPSPLSLKLEPSRPSAFHSNGSVTGADLSKGNSAIQAI >fgenesh2_kg.3__1789__AT3G16360.1 pep chromosome:v.1.0:3:6900368:6901306:1 gene:fgenesh2_kg.3__1789__AT3G16360.1 transcript:fgenesh2_kg.3__1789__AT3G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMGKCMQGYLDEQFMELEELQDDANPNFVEEVSALYFKDSARLINNIDQALERGSFDFNRLDSYMHQFKGSSTSIGASKVKAECTTFREYCRAGNAEGCLRTFQQLKKEHSTLRKKLEHYFQARQ >fgenesh2_kg.3__1790__AT3G16370.1 pep chromosome:v.1.0:3:6902626:6904248:1 gene:fgenesh2_kg.3__1790__AT3G16370.1 transcript:fgenesh2_kg.3__1790__AT3G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7L5P2] MDRCTSSFLLLTLVSALSILQISFAQLVPAIMTFGDSVVDVGNNNYLPTLFRADYPPYGRDFANHKATGRFCNGKLATDITAETLGFTKYPPAYLSPEASGKNLLIGANFASAASGYDDKAALINHAIPLYQQVEYFKEYKSKLIKIAGSKKADSIIKGAICLLSAGSSDFVQNYYVNPLLYKVYTVDAYGSFLIDNFSTFIKQVYGIGARKIGVTSLPPTGCLPAARTLFGFHEKGCVSRLNTDAQNFNKKLNAAASKLQKQYSGLKIVVFDIFTPLYELVQNPSKSGFTEATKGCCGTGTVETTSLLCNPKSLGTCSNATQYVFWDSVHPSEAANEILATALIGQGFSLLG >fgenesh2_kg.3__1795__AT3G16410.1 pep chromosome:v.1.0:3:6909851:6916394:1 gene:fgenesh2_kg.3__1795__AT3G16410.1 transcript:fgenesh2_kg.3__1795__AT3G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKLEAKGGETGDVWDDGAYENVRKVYVGQGQYGIAFVKFEYFNGSQVVVGDEHGKKTELGVEEFEIDADDYIVYVEGYREKVNDMTSEIITFLSIKTFKGKTSHPIEKRPGVKFVLHGGKIVGFHGRSTVYTLSGPMFLCRPLPNYLEIILFLLPPQITKSRTVEQKGEGPGLRCSHGIAQVGNKIYSFGGEFTPNQPIDKHLYVFDLETRTWSISPATGDVPHLSCLGVRMVSVGSTLYVFGGRDASRQYNGFYSFDTTTHVWKLLTPVEEGPTPRSFHSMAADEENVYVFGGVSATARLKTLDSYNIVDKKWFHCSTPLESLTARGGAGLEVVQGKVWVVYGFNGCEVDDVYYYDPVQDKWAQVETFGVRPSERSVFASAAVGKHIVLFGGEIAMDPLAHVGPGQLTDGTFALDTETLQWERLDKLGEEEETPSSRGWTASTTGTIDGKKGLVMHGGKAPTNDRFDDLFFYGIDSV >fgenesh2_kg.3__1798__AT3G16420.1 pep chromosome:v.1.0:3:6932456:6936318:1 gene:fgenesh2_kg.3__1798__AT3G16420.1 transcript:fgenesh2_kg.3__1798__AT3G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyk10-binding protein 1 [Source:UniProtKB/TrEMBL;Acc:D7L5P8] MAQKVEAQGGKGGNQWDDGSVHDAVTKIQVGAGGLGIQYVQFDYVKNGQTEQAPLRGIKGRVMPADPFVINHPEEHLVSVEGWYSPEGVIQGLKFISNKKTSDVIGYEDGTPFTLKVQDKKIIGFHGSAGDNLNSLGAYFAPLTSTTPLTPAKQLPALGSDDGAAWDDGAYVGVKKVYVGQAQDGISAVKFVYDKSPEEVVGEEHGKSTLLGFEEFVLDYPSEYITAVEGTYDKIFGSDGSVITMLRFKTNKQTSPPFGLEAGTAFELKEEGHKIVGFHGRASDLLHKFGVHVRPVSN >fgenesh2_kg.3__179__AT3G02440.1 pep chromosome:v.1.0:3:601555:603146:-1 gene:fgenesh2_kg.3__179__AT3G02440.1 transcript:fgenesh2_kg.3__179__AT3G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPATKLRIGLVIFPLILLTIAPILYLFFGYPIYYSTSSIYKHLSNSSSSAISYPSRYNHSSSSSSSDYYKTSSSEDSEPSLYDNGYDDTYHDPKSSSLHNNDRLSISSLNVDHQETPKKERRRKKRKRNCDIFSGEWIPNPEAPYYTNTTCRAIHEHQNCMKFGRPDLGFMKWRWKPKECELPLFDPYEFLEIVRGKRMAFVGDSVSRNHVQSLICLLSRVEHPEDDSQQDFNFQRWKYKTYNFTIATFWTTHLVRAEETETGPAGPNSFYNLYLDEPDPTWASQIVEFDYIIISSGQWFFRPLFLFDKQKRIGCLYCYIPGVRNVGAHFAYRRALRTTFKTILGLENFKGEVFLRTFAPSHFEDGEWDKGAMRQS >fgenesh2_kg.3__1802__AT3G16440.1 pep chromosome:v.1.0:3:6940051:6941990:1 gene:fgenesh2_kg.3__1802__AT3G16440.1 transcript:fgenesh2_kg.3__1802__AT3G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKVEAQGGMGGNVWDDGVHDGVRKVHVGQGQDGVSFINVVYENGSEEVVGGEHGKKSLIGIETFEVDADDYIVAVQVTYDKIFGFDSEVITSITFSTFKGKTSPPFGLDTENKFVLKEKNGGKLVGFHGRAGEILYALGAYFTTTTTPLNPAKKLPAVGGDEGTAWDDGAYDGVKKVYIGQAQDGISAVKFVYDKGAADIVGDEHGNDTLLGFEEFQLDYPSEYITAVEGTYDKIFGSETEVINMLRFKTNKQTSPPFGIEAGTAFELKEEGSKIVGFHGKVSSLLHQFGVHVLPVTN >fgenesh2_kg.3__1803__AT3G16450.3 pep chromosome:v.1.0:3:6942726:6944196:1 gene:fgenesh2_kg.3__1803__AT3G16450.3 transcript:fgenesh2_kg.3__1803__AT3G16450.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin lectin family protein [Source:UniProtKB/TrEMBL;Acc:D7L5Q1] MAQKVEAAGGTGGASWDDGVHDGVRKVHVGQGQDGVSSIKVVYAKDSQDVEGGEYGKKTLLGFETFEVDADDYIVAVKVTYDNVFGQDSDIITSITFTTFKGKTSPPYGLETEKKFVLKDKNGGKPVGFHGRAGEALHALGAYFATTTTPGTPAKKLSAIGGDEGTAWDDGAYDGVKKVYVGQGQDGISAVKFEYNKGAEDILGGEHGKRTLLGFEEFELDYPSEYITAVEGTYDRIFGSDGVVITMLRFKTNKQMSAPFGLEAGTAFELKEEGHKIIGFHGKASELLHQFGVHVMPITN >fgenesh2_kg.3__1806__AT3G16460.1 pep chromosome:v.1.0:3:6947161:6950166:1 gene:fgenesh2_kg.3__1806__AT3G16460.1 transcript:fgenesh2_kg.3__1806__AT3G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin lectin family protein [Source:UniProtKB/TrEMBL;Acc:D7L5Q2] MSWDDGSHAKVKKVELTFDDIIYSIKVTYDGATALQSQLRGSVGPKSAEFTLAPDEYITAVSAYGKSLATQEVITALTFTTNKGSYGPYGNKSGFQISAPEATGKQIAGFLGTSGNVLNTIDVHYAPIPTGTGSGGTGTGGTGTGGSGTGGTGTGGSGTGGTGTGGSGTGGTDGTGGTGTGGTGGTGGTGGSGGTGGTGGTGTGGTGSTGGTGTGGSGTGGTGGTGGTGTGGSGTGGTGTGSGAQKLDAQGSTGGTAWDDGSNYDGLTKIYVRSGGEGIQYVKFDYVKDGQKKESALHGQQSRGSTTEILINHPDEYLVSVEGWYDSVILGIQFKTNLKTSEFIGYEFDGSGTKFTLQVPDKKISGFHGFASTHLNSIGAYFVPKSSTTTPTVPAKKLTAEGGETGAVWDDGAHDDVKKVYVGQGQDGVGAVKFEYKNGSQVVFGDEHGKKTLLGYEEFELESDEYITSVEGTYDKIFGTDSAVVTMLIFKTSKNKTAGPFGLEGSTRFVFKEEGYKITGFHGRAGDYINAIGAYLAPLGTTPLTPATQAQKLEGAGSEGGTLWDDGAFDGVRKVSVGQAQDGIGAVTFVYDKAAQVVVGKEHGKTTLLGFEELELDYPSEYITAVDGTYDTIFGSEKSVVTMLRFTTNKRTSMPFGLEAGTPFAFKKEGYKIVGFHGRAGDLLHKFGVHVVPITN >fgenesh2_kg.3__1808__AT3G16470.2 pep chromosome:v.1.0:3:6950528:6952665:-1 gene:fgenesh2_kg.3__1808__AT3G16470.2 transcript:fgenesh2_kg.3__1808__AT3G16470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLEAQGGRGGEEWDDGGAYENVKKVYVGQGDSGVVYVKFDYEKDGKIVSREHGKQTLLGTEEFVLDPEDYLTSVKVYYEKLFGSPIEIVTALIFKTFKGKTSQPFGLTSGEEAELGGGKIVGFHGSSSDLIHSVGVYIIPSTTPVTPPVSGGPTKLEAQGGRGGDVWDDGGAYDNVKKVYVGQGDSGVVYVKFDYEKDGKIVSLEHGKQTLLGTEEFEIDPEDFITYVKVYYEKLFGSPIEIVTALIFKTFKGKTSQPFGLTSGEEAELGGGKIVGFHGTSSDLIHSLGAYIVPSSTPLTPSSNTIPAQGGDGGVAWDDGVHDGVKKIYVGQGDSCVTYFKADYEKASKPVLGSDHGKMSLLGAEEFVLGPDEYITAVSGYYDKIFSVDAPAIVSLKFKTNKRTSIPYGLEGGTEFVLEKKDHKIVGFNGQAGDYLYKLGVNVAPIAN >fgenesh2_kg.3__180__AT3G02460.1 pep chromosome:v.1.0:3:609342:614407:1 gene:fgenesh2_kg.3__180__AT3G02460.1 transcript:fgenesh2_kg.3__180__AT3G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRTENGSESAPVTSHVPVDRFGFLKQEHGNSSPERFSKSRITSSTDHDREERKVRKWRKMIGVGGSDWKHYVRRKPNVVRRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQKRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFIAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYHAGLPLVQQYLFQLESLVKELIPKLGEHFTQEMITPSMYASQWFITVFSYSFPFPLALRIWDVFLSEGVKIVFKVSLALLKYCQDELVKLPFEKLIHALKTFPEDAMNPDTLLPLAYPIKVSKRLEELKLEYEKNNAKPVQP >fgenesh2_kg.3__1810__AT3G16480.1 pep chromosome:v.1.0:3:6954768:6957983:1 gene:fgenesh2_kg.3__1810__AT3G16480.1 transcript:fgenesh2_kg.3__1810__AT3G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mppalpha [Source:UniProtKB/TrEMBL;Acc:D7L5Q4] MYRTAASRAKALKGILNRNLRASRYASSSAVATSSSSASGSSSWLSGGYSSALPSMDIPLTGVSLPPSLADHVEPSKLKITTLPNGLKIASEMSLNPAASIGLYVDCGSIYETPQFRGATHLLERMAFKSTLNRSHFRLVREIEAMGGNTSASASREQMGYTIDALKTYVPEMVEVLIDSVRNPAFLDWEVNEELRKVKVEIGEFATNPMGFLLEAVHSAGYSGALANPLYAPQSAITGLTGDVLEKFVSENYTAARMVLAASGVDHEELLKVVEPLLSDLPNVTRPAEPKSQYVGGDFRQHTGGEATHFALAFEVPGWNNETEAIIATVLQMLMGGGGSFSAGGPGKGMHSRLCKSLNVFSFYLHLNILNQHQQFQSCTAFTSVFNNTGLFGIYGCTSPDFASQGIELVATEMYGVAGGAVNQKHLDRAKAATKSAILMNLESRMIAAEDIGRQILTYGERKPVDHFLKTVDQLTLKDIADFTSKVITKPLTMASFGDVLNVPSYDSVSKRFR >fgenesh2_kg.3__1811__AT3G16490.1 pep chromosome:v.1.0:3:6958877:6960657:-1 gene:fgenesh2_kg.3__1811__AT3G16490.1 transcript:fgenesh2_kg.3__1811__AT3G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 26 [Source:UniProtKB/TrEMBL;Acc:D7L5Q5] MGRAARWFKGIFGMKKSKEKENRVSGDCGGEAGGSNIHRKVLQADSVWLRSYLAETDKEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSNGRTGGYSGTTMERWAAVKIQSVFKGYLARKALRALKGLVKLQALVRGYLVRKRAAETLHSMQALIRAQTSVRSQRINRNNLFNPRHSLERFDDSRSEIHSKRISISVEKQSNNNNAYDETSPKIVEIDTYKTKSRSKRMNVAVSECGDDFIYQAKDFEWSFPGEKCKFPTAQNTPRFSSSAANNHYYYTPPSPAKSVCRDVCFRPSYPGLMTPSYMANTQSFKAKVRSHSAPRQRPDRKRLSLDEIMAARSSVSGVRMAQPQPQTETQMQMQQQKRSPCSYDNQFRQNESDFRFYN >fgenesh2_kg.3__1813__AT3G16510.1 pep chromosome:v.1.0:3:6974959:6976231:-1 gene:fgenesh2_kg.3__1813__AT3G16510.1 transcript:fgenesh2_kg.3__1813__AT3G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTLELNIYSAKDLENVNLITKMDVYAVVWITGDDSQKNHKEKTPIDRTGESEPTWNHTVKFSVDQRLAHEGRLTLVVKLVCDRIFGDKDLGEVQVPVLGLLHGSSSPSTNGNGQGMMRFVTYQVKTPFGKGQGSLTFSYRFDTPSFKPDLPASSPPVYSIPMDIPSDYSSTTTNYPPPSSEASFYPPISSIGYPPSSPPQDYSAPPYPYPNPNPYQYDSQYPEQPATVYPPPSPSASNLYPPPYYSTSPTHHQSYPPPPGHSYHQTQPSQSFHGFAPSSPQNHHGYVYPPTTSPGYGYGCPTTQAPPKKNNKTGLGMGAGLLGGALGGLLIGDIVSDIGFDF >fgenesh2_kg.3__1816__AT3G16520.3 pep chromosome:v.1.0:3:6980145:6981895:-1 gene:fgenesh2_kg.3__1816__AT3G16520.3 transcript:fgenesh2_kg.3__1816__AT3G16520.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L5Q9] MGEEAIVLYPAPPIGHLVSMVELGKIILSKNPSLSIHIILVPPPYQPESTATYISSVSSTFPSITFHHLPAVTPYSSSSTSRHHHESLLLEILCFSNPNVHRTLFSLSRNFNIRAMIIDFFCTAVFDITTDFTFPVYYFFTSGAACLAFSFYLPIIHETTQGKNLRDIPILHIPGVPPMKGSDMPKAVLERDDEVYDVFIMFGKQLSKSSGIIVNTFDALENKAIKAITEELCFPNIYPIGPLIVNGRTEDKNDNEAVSCLNWLDSQPEKSVVFLCFGSLGLFSKEQLKEIAVGLEKSGQRFLWVVRNPPELENTELDLKSLLPEGFLSRTENRGMVVKSWAPQVPVLNHKAVGGFVTHCGWNSILEAVCAGVPMVAWPLYAEQRFNKVMIVEEIKIAISMNESETGFVSSTEVEKRVQEIIGESPVRERTMAMKNAAELALTETGSSHTALTTLLQSWSPK >fgenesh2_kg.3__1817__AT3G16530.1 pep chromosome:v.1.0:3:6983219:6984290:-1 gene:fgenesh2_kg.3__1817__AT3G16530.1 transcript:fgenesh2_kg.3__1817__AT3G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Legume lectin family protein [Source:UniProtKB/TrEMBL;Acc:D7L5R0] MQIHKLCFLVLFLANAAFAVKFNFKSFDGNNLLFLGDAELGPSSDGVSRSGALSMTRDENPFSHGQGLYINQIPFKPSNTSSPYSFETSFTFSITPRTKPNSGQGLAFIIVAEADNSGASGGGYLGILNKTNDGKPENHILAIEFDTFQNKEFLDISGNHVGVNINSMTSLVAEKAGYWVQTRVGKRKVWSFKDVNLSSGERFKAWVEFRNKDSTITVTLAPENVKKPKRALIEAPRVLNEVLLQNMYAGFAGSMGRAVERHDIWSWSFENAAKNN >fgenesh2_kg.3__1818__AT3G16540.1 pep chromosome:v.1.0:3:6985101:6992748:-1 gene:fgenesh2_kg.3__1818__AT3G16540.1 transcript:fgenesh2_kg.3__1818__AT3G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRPCVDMVGWYTRARVPGLLSSLFFYRSCNNVLTNSLPTVTTAGRVSRYDYLCRRSTSAAERGVVLPFALTGRRKIHSIHEDAKKLERWKKIEESRPVDELVLDSVVEVFSDSTGYRKSKPWQTCYKKERDKLERKGTGFAIAGKKILTNAHVVMAMNDHTFVDVKRHGSQIKYKAKVQKISHECDLAILEIDSDEFWKGMNPLELGDIPPLGDYGKQSRWCNNQFAYICIKRWQSLENVQIRNHYKMSHEMTGILINKINSSSGAYKILRKDDIILAIDGVPIGNDEKVPFQNKRRIDFSYLVSMKKPGEKALVKVLRNGKEYETSNINATISRMISKINSVKPNFTVQQFYNLPSYYIFGGFVFVPLTKTYLDSEHHQILADDINEGYQSLDGAQVEKVNGVEVKNLKHLCELIEECSTEDLRLDFKNHKVLVLNYETAKKATLQILERHKIKSFISKDICLPMLLDDPFKDNTINLLPWSVLPLMFDFS >fgenesh2_kg.3__1819__AT3G16550.1 pep chromosome:v.1.0:3:6993436:6995960:-1 gene:fgenesh2_kg.3__1819__AT3G16550.1 transcript:fgenesh2_kg.3__1819__AT3G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEGP12 [Source:UniProtKB/TrEMBL;Acc:D7L5R2] MVSRYSRALLPTVTISSRIANIVLPFALTRGRKIHTMSKDEEWWKKIEKSPPVDELMLESVVEVFTDSTEYSKVKPWQTLNQESYGGSGFAIAGKKILTNAHVVEVMNDHTFVHVKRHGSQVKYKAKVQKIAHECDLAILEIDSDEFWKGMNPLEFGDIPPLNEIVYVVGYPEAGETICVTKGVVTGVKTGNYLQSSTKLLTIQIDATTNDGNSGGPVITGNKVVGVVFQDLGDEKSTGVVIPTPIIRHFITGAEESSHNAVFGSLVLSCQSMENAQIRNHFKMSPETTGILINKINSSSGAHKILRKDDIILAIDGVPIGNDETCPFRNEERISFNHFISMKKPDEKILVKVLRKGKEHEYNISLKPVSETTHASATILYNLPSYYIFGGFVFVPLTKSYIDDLSLECVLNDEYKITDEQQVIISQVMPDDINKGYSNFKDLQVEKVNGVKVKNLKHLRELIEGCCGKDLRLDLENDKVMVLNYESAKKANLRSWNVTI >fgenesh2_kg.3__1820__AT3G16560.1 pep chromosome:v.1.0:3:7000324:7006374:-1 gene:fgenesh2_kg.3__1820__AT3G16560.1 transcript:fgenesh2_kg.3__1820__AT3G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGTDPYGEIEISFGYQCNNKKKIGIPEDNIADGREVLGGFRLQKTSSFSCLSGAALSGNPTLANTNICNGVIGSEILPSLDSPKSFRKVPSSPALSKLDILSPSLHGSMVSLSCSSSTSPSPPEPESCYLTSMSSPSSVNEGFLLSAMEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLACTLYESIVFHLQLLDRQMKQTKSDDDGEKLELLSNISNIDYSSTDLFRQGVLDCLNRALYQAEIDFLRMVEQEMEERPDLVSVGSCVLVTLLVGKDLYVLNLGDSRAVLATYKGNKKLQAIQLTEDHTVDNEVEEARLLSEHLDDPKIVIGGKIKGKLKVTRALGVGYLKKEKLNDALMGILRVRNLLSPPYVSVEPSMRVHKITESDHFVIVASDGLFDFFSNEEAIELVHSFISSNPSGDPAKFLLERLVAKAAARAGFTLEELTNVPAGRRRRYHDDVTIMVITLGTDQRTSKASTFV >fgenesh2_kg.3__1821__AT3G16565.2 pep chromosome:v.1.0:3:7008451:7011298:-1 gene:fgenesh2_kg.3__1821__AT3G16565.2 transcript:fgenesh2_kg.3__1821__AT3G16565.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7L643] MDFPPTKLDYHVDMFNLQSQSRFISVFKAQDGRIALILDSTLFHPQGGGQPADTGLIVFSGSDFKFSVQDVRSKDGIVLHYGVFEGSNPESGMDIEKGKEVYVTVDESRRKLNSRLHSAGHLLDLCMQKVGLGHLEPGKGYHFPDGPFVEYKGSVPQGQMAVKQKELEAEANDLITKGGKVYAAILPYEEASVLCGGNLPDYISKGSTPRIIKLGDSPGCPCGGTHVSDLSDIIAMKVTQMRTKKGITKVFYSIAS >fgenesh2_kg.3__1824__AT3G16580.1 pep chromosome:v.1.0:3:7021338:7022675:-1 gene:fgenesh2_kg.3__1824__AT3G16580.1 transcript:fgenesh2_kg.3__1824__AT3G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L647] MAHEETLPWELIEEILSRVPPESLLRFKTVSKQWNALFDDKTFINNHKTTFRFILATKSKIYSVSIDPKIAVCELTLDIPGLESQILKSLVDGNELLLCDMEKGAVVWNPWLRHSRWIDQDSNHTKRESYGLGYNNKGSYKIFAACDRKENPNQRLLTIRDFASDAWKDRESGDNSQQVKPVKLHRKTGVSLNGNLYLVTYYEKTDLVYHLTKINSSSESIVIFCDLPCGTTNFDKDALVLRVFEGDRFSLLKQCHATKKIELWVSKYKINNNLDREDVEWIKFMELSSPNLPDLVDGSNSQPSYFIGDKRLVVCSCDETGRVWIYVLGGNKLISKTQIDSVVDLWPSHCTFIPSLVPVPLAQREEPAELQV >fgenesh2_kg.3__1828__AT3G16620.1 pep chromosome:v.1.0:3:7047066:7050462:-1 gene:fgenesh2_kg.3__1828__AT3G16620.1 transcript:fgenesh2_kg.3__1828__AT3G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGAETVDMLDGEEKKLVDDRTSDGEVEKNELVGSDEEEVFEEAIGSQEGAKPESFEADGLREDKILEETAENSRDEDDEVGDLEETSSNERGVETFKVNYSVVGESHGEVNLQHTTTKEAESDLVTPNMNDDQGEVADAVISESSLDVVENSEKATSNFAAEDVNLENGITHSSSGNGIVSPENKQLVAEVVSVSAWSEETGNDGIENEILEEKVDVSAGMGTEKKEIEGHSSGGFPGVTFREQETVQNSNGGHDIQQSPLSNKEIEKQQDSRVNIGPEIKESQHVERESEVLSSVSPTESRINTAALPPARPAGLGRAAPLLEPAPRVPQQPRVNGNVSHNQPQQAEDSTTGETDEHDETREKLQFIRVKFLRLSHRLGQTPHNVVVAQVLYRLGLAEQLRGRNGSRVGAFSFDRASAMAEQLEAAGQDPLDFSCTIMVLGKSGVGKSATINSIFDELKISTDAFQMGTKRVQDIEGFVQGIKVRVIDTPGLLPSWSDQHKNEKILKSVRAFIKKNPPDIVLYLDRLDMQSRDSGDMPLLRTITDVFGPSIWFNAIVGLTHAASAPPDGPNGTASSYDMFVTQRSHVIQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANALLKLQDNIPGEQFAARSKAPPLPLLLSSLLQSRPQAKLPEQQYDDEEDEDDLDESSDSDEESEYDELPPFKRLTKAEMAKLSKSQKKEYLDEMEYREKLFMKRQMKEERKRRKLLKKFAAEIKDMPNGYSENVEEERSEPAAVPVPMPDLSLPASFDSDNPTHRYRYLDSSNQWLVRPVLETHGWDHDIGYEGVNAERLFVVKDKIPVSFSGQVTKDKKDANVQLELASSVKHGDGRSTSLGFDMQNAGKELAYTVRSETKFNSFRKNKAAAGLSVTLLGDSVSAGLKVEDKLIANKRFRMVMSGGAMTSRGDVAYGGTLEAQFRDKDYPLGRFLSTLGLSVMDWHGDLAIGGNIQSQVPIGRSSNLIARANLNNRGAGQVTIRVNSSEQLQLAVVALVPLFKKLLSYYYSPEQMQYGH >fgenesh2_kg.3__1829__AT3G16630.2 pep chromosome:v.1.0:3:7051182:7056629:-1 gene:fgenesh2_kg.3__1829__AT3G16630.2 transcript:fgenesh2_kg.3__1829__AT3G16630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7L654] MQQSNAAAATALYDGALPTNDAGDAVMARWLQSAGLQHLASPVASTGNDQRHLPNLLMQGYGAQTAEEKQRLFKLMRNLNFNGESTSESYTPTAQTSAAMPSSEGFFSPDFRGDFGAGLLDLHAMDDTELLSEHMITEPFEPSPFMPSVNKEFEDDYNLPANRQQRQQTEAELVGLLPKSEKENNSVAKIKVVVRKRPLNKKETARKEEDVVTVSDNSLTVHEPKVKVDLTAYVEKHEFCFDAVLDEDVSNDEVYRATIEPIIPIIFQRTKATCFAYGQTGSGKTFTMKPLPIRAVEDLMRLLRQPVYSNQRFKLWLSYFEIYGGKLFDLLSERKKLCMREDGRQQVCIVGLQEYEVSDVQLVKDFIEKGNAERSTGSTGANEESSRSHAILQLVVKKHVEVKDTRRRNNDGNELPGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQLHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNSKKDQTANSMPPVNKDALLGPIDVEDVFEPPQEVNVQETRRKVVEKDSNTTTSGIDFRQPTNYREESGIPSFSMDKGRSEMNTSFGGSTSQRNHMSSYPQETSDREEKVKKVSPPRGKGLREEKPDRPQNWSKRDVSSSDIPTLTNFRQNKSETASRQYDTDPSLDENLDALLEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSMIENYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >fgenesh2_kg.3__182__AT3G02468.1 pep chromosome:v.1.0:3:615252:617532:1 gene:fgenesh2_kg.3__182__AT3G02468.1 transcript:fgenesh2_kg.3__182__AT3G02468.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme [Source:UniProtKB/TrEMBL;Acc:D7KZH4] MALSAIGFEGYEKRLEVTFFEPSIFQDSKGLGLRALTKSQLDEILTPAACTIVSSLSNDKLDSYVLSESSFFVYPYKVIIKTCGTTKLLLSIPPLLKLAGELSLSVKSVKYTRGSFLCPGGQPFPHRSFSEEVSVLDGHFTQLGLNSVAYLMGNDDETKKWHVYAASAQDSSNCNNNVYTLEMCMTGLDREKASVFYKNEADKTGSMTDNSGIRKILPQSQICDFEFEPCGYSMNSIEGDAISTIHVTPEDGFSYASFEAVGYDFNTIDLSQLVTRVLSCFEPKQFSVAVHSSVGVNAYKPEISVDLEDYGCRERTFESLGEESGTVMYQTFEKLGKYCGSPRSTLKCEWSSNNSCSSEDEKDEGI >fgenesh2_kg.3__1831__AT3G16640.1 pep chromosome:v.1.0:3:7063299:7064934:-1 gene:fgenesh2_kg.3__1831__AT3G16640.1 transcript:fgenesh2_kg.3__1831__AT3G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYTDLLTGDELLSDSFPYKEIENGILWEVEGKWVTLGAVDVNIGANPSAEEGGEDEGVDDSAQKVVDIVDTFRLQEQPTYDKKGFVAYIKKYIKSLTPKLNEEQQESFKKGIEGATKYLLPKLKDLQFFVGESMHDDSSLVFAYYKDGATNPTFLYFAHGLKEVKC >fgenesh2_kg.3__1832__AT3G16650.1 pep chromosome:v.1.0:3:7065403:7069579:1 gene:fgenesh2_kg.3__1832__AT3G16650.1 transcript:fgenesh2_kg.3__1832__AT3G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PP1/PP2A phosphatases pleiotropic regulator 2 [Source:UniProtKB/TrEMBL;Acc:D7L656] MTMLSLSTEVDTQSLKKLSLKSVTRARELFSPVHGQFPPPDPESKRIRLCHKIQVSFGGVEPASKPTRSAEHNSEKTAPSNALALPGPEGSKELRKGTTEKALVVGPTLPSRDLNNTGNPGKSTAILPASGSFSERNLSTAALMERMPSRWPRPEWHAPWKNYRVIQGHLGWVRSVAFDPSNEWFCTGSADRTIKIWDVATGVLKLTLTGHIGQVRGLAVSNRHTYMFSAGDDKQVKCWDLEQNKVIRSYHGHLHGVYCLALHPTLDVILTGGRDSVCRVWDIRTKMQIFVLPHNADVFSVLARPTDPQVITGSHDSTIKFWDLRFGKSMLNITNHKKTVRAMALHPKENAFVSASADNIKKFSLPKGEFCHNMLSLQRDTINAVAANEDGVMATEGDKGGLWFWDWKSGHNFQQAETIVQPGSLESEAGIYAACYDRTGSRLVTCEADKTIKMWKEDENATPETHPLNFKPPKEIRRF >fgenesh2_kg.3__1836__AT3G16690.1 pep chromosome:v.1.0:3:7086396:7088488:-1 gene:fgenesh2_kg.3__1836__AT3G16690.1 transcript:fgenesh2_kg.3__1836__AT3G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:D7L661] MVDLSFYVGVIGNVISVLVFLSPVETFWRIVQRRSTEEYECLPYICTLMSSSLWTYYGIVTPGEYLVSTVNGFGALAESIYVLIFLFFVPKPRFLKTIVVVLALNVCFPVLAIVGTRTAFEDENKRSSSMGFICATLNIAMYGSPLSAIKTVVTTRSVQFMPFWLSFFLFLNGAIWGVYAFLLHDVFLLVPNGMGFLLGTMQLLIYAYYRNAQPNVEDEEGLIPSQPLLS >fgenesh2_kg.3__1838__AT3G16700.1 pep chromosome:v.1.0:3:7090232:7092248:1 gene:fgenesh2_kg.3__1838__AT3G16700.1 transcript:fgenesh2_kg.3__1838__AT3G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fumarylacetoacetate hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7L662] MATSMIQRLFKQGTKIVGVGLNYASHAKELGNALPKDPIVFLKPTSSYLENGGTIEIPHPLDSLHHEVELAVVIGHKARDVPERLAMDYIGGYALALDMTARELHVSAMASGLPCTLAKGQDTFTPISSVLPKAMVVVVDPNNLELWLKVDDETRQKGWTKDMIFKVPYLISYISSVMTLFKGDVILTGTPEGIGPVKIGQKITAGITGLSEVQFDVGRRIKPLLR >fgenesh2_kg.3__1839__AT3G16710.1 pep chromosome:v.1.0:3:7092622:7094134:1 gene:fgenesh2_kg.3__1839__AT3G16710.1 transcript:fgenesh2_kg.3__1839__AT3G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L663] MRRSITTGFASIVKKGFHLHRHRLQISNHGASPTLSLCGFCFWIRAFSNYRKILRNGLHNLQFNEALDLFTRMVHSRPLPSIVDFTRLLSVIAKMKRFDVVISLFEQMQILGISPVLYTCNIVMNCVCRSSQPCRASCFLGKMMKLGFEPDLVTFTSLLHGFCHWNRIEDALALFDQIVGMGFRPNVVTYTTLIHCLCKNRHLNHAVEIFNQMGDNGIRPNVVTYNSLVSGLCEIGRWSDAAWLLRDMMKRGIQPNVITFTALIDAFVKVGKIMEAKELYKVMIQMSVYPDVFTYTALINGLCTYGRLDEARQMFYLMESNGYYPNEVTYTTLIHGFCKSKRVEDGTKIFYEMSQKGLVANTITYTVLIQGYCLVGRPDVAQEVFNQMGSRRAPPDIRTYNVLLDGLCYNGYVEKALMIFKYMRKREMDINIVTYTIIIQGMCKVGKVEDAFDLFCSLFSKGMKPNVITYTTMISGFCRRGFIHEADALFKKMKEDGFLPNESV >fgenesh2_kg.3__1840__AT3G16712.1 pep chromosome:v.1.0:3:7094395:7094601:-1 gene:fgenesh2_kg.3__1840__AT3G16712.1 transcript:fgenesh2_kg.3__1840__AT3G16712.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L664] TRAASVNSRTYLLTNHYRSSVYTFNNFLKNTIYTSMERTFNNKQIPVKMTSPCK >fgenesh2_kg.3__1841__AT3G16720.1 pep chromosome:v.1.0:3:7098458:7099801:1 gene:fgenesh2_kg.3__1841__AT3G16720.1 transcript:fgenesh2_kg.3__1841__AT3G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNDPDPIPFNRPEDNISGSKTYAMSGKIMLSAIVILFFVVILMVFLHLYARWYLLRARRRHLRRRSRNRRATMVFFTADPSTAATSVVASRGLDPNVIKSLPVFTFSDETHKDPIECAVCLSEFEESESGRVLPNCKHTFHVDCIDMWFHSHSTCPLCRSLVEPLAGIELMEATTEGEVVIAIGSDPVSAIEPGSSSGLRDEPHGSGSSPMPTEDSGRKPAAIEVPRRNFSEFEDELTRRDSPASQSFRSPMSRMLSFTRMLSRDRRSASSPIAGAPPVSPSLSCRIQMTESDIEQGGEESR >fgenesh2_kg.3__1843__AT3G16740.1 pep chromosome:v.1.0:3:7105490:7107147:1 gene:fgenesh2_kg.3__1843__AT3G16740.1 transcript:fgenesh2_kg.3__1843__AT3G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L667] MTKISDLPRDLTEEVLSRLPVTSMRAVRFTCKNWNSLSKDRSFRKKHLRGAISAAKKKQTKEFQVIMMIQYRVYLFSVNLLNPSIERIGKLISLDVEDRVDISKIFHCHGLLLCITKDISRLVVWNPYSGQTRWIQPRNSYHRLDRYALGYEEKKNSCRSYKILRFMDNYEGDNPPYLIREFEIYDLNSDSWKVVDVPPDWEIEYYHRGLSLKGNTYWFAQEKLPIFPLGRVVSDMADFLLCFDFTRERFGPRLPLPFHSFVEDTVTLSSVRDKQLAVLFQPCYASTVKIWISSKIEPNAVSWRKVFLAVDMKPLTGFQFDINAGSFFVDQKKKVAMVLDKDRFRSKLTRNIAYIIGKKGYFKKVDLGESTVPSCASLVCSYVPSSTQI >fgenesh2_kg.3__1844__AT3G16780.1 pep chromosome:v.1.0:3:7117796:7119318:1 gene:fgenesh2_kg.3__1844__AT3G16780.1 transcript:fgenesh2_kg.3__1844__AT3G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L670] MVSLKLQKRLAASVMKCGKGKVWLDPNESGDISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARALNEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRFLSKYRESKKIDRHMYHDMYMKVKGNVFKNKRVLMESIHKMKAEKAREKTLSDQFEAKRIKNKASRERKFARREERLAQGPGGGETTTPAAAPVPQQAEVTKKKS >fgenesh2_kg.3__1847__AT3G16800.2 pep chromosome:v.1.0:3:7130953:7133048:1 gene:fgenesh2_kg.3__1847__AT3G16800.2 transcript:fgenesh2_kg.3__1847__AT3G16800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPAFLDGFARTVSTKKGKNLSEDEDGGREIAKSMIKDSKKNSTLIGTSGFVSSESSKRFTSICSNRGEKGINQDRAIVWEGFGCQEDITFCGMFDGHGPWGHVIAKRVKKSFPASLLCQWQQTLASLSSSPECSSPFDLWKQACLKTFSVIDLDLKISPSIDSYCSGCTALTAVLQGDHLVIANAGDSRAVIATTSDDGNGLMPVQLSVDFKPNIPEEAERIKQSDGRLFCLDDEPGVYRVGMPNGGSLGLAVSRAFGDYCLKDFGLVSEPEVTYRKITDKDQFLILATDGMWDVMTNNEAVEIVRGVKERRKSAKRLVERAVTLWRRKRRSIAMDDISVLCLFFRSS >fgenesh2_kg.3__1850__AT3G16830.1 pep chromosome:v.1.0:3:7169839:7176040:1 gene:fgenesh2_kg.3__1850__AT3G16830.1 transcript:fgenesh2_kg.3__1850__AT3G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKESVHKLEQESGFFFNIKYFEEKALAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRNDRAKAVEILAKDLKVFATFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMYTELKKLIEANPLFREKLAFPSFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFLDHSCSPSNGARALTPVNLPVAAVARPSNFVPLGVHGGPFQPSPAPAPNANALAGWMANPNPSSSVPSGVVAASPFPMQPSQVNVLKHPRAPSNSLGLMDYQNADHEQLMKRLRSAQTSNEVTYPAHSHPTASLDDLPRNVVSTIRQGSVVISMDFHPSHHTLLAVGCSSGEVTLWEVGSREKVVTEPFKIWNMAACSVIFQGSIVKEPSISVTRVAWSPDGNLLGVSFTKHLIHVYAYQGSDLRQHLEIDAHVGCVNDLAFAHPNKQMCVVTCGDDKLIKVWDLSGKKLFTFEGHEAPVYSICPHQKENIQFIFSTALDGKIKAWLYDNVGSRVDYDAPGQWCTTMLYSADGSRLFSCGTSKEGDSFLVEWNESEGALKRTYLGFRKKSPGVVQFDTTRNRFLAVGEDNQVKFWDMDNTNLLTTVEAEGGLPNLPRLRFNKDGNLLAVTTADNGFKILANTDGLRTLRAYEARSFEASKASIDMKVSPSAMATSISPAVGKIEHMDTDSPARPTPIPNGIEAMSRTMEKPRNLDPVDKSKTLELTEIVDPTQCRQVTMPDSKDSVSKVARLLYTNSGVGVLALGSNGVQRLWKWSRNEQNPTGKATASVTPHHWQPNSGLLMANDVPENPEGAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSSIHIYNVRVDEVKTKLKGHQKHITGLAFSTALNILVSSGADAQLFFWTADSWEKKKSSVIQLPPGKAPVGDTRVQFHNDQIQLLVSHETQLAIYDASKMECIHKWVPQDALSSPITSASYSCNSQLVYASFADGNIAVFDAESLRLRCRIAPSAYMPQPTPNSALIIPHVITTHPQEPNQLAVGLSDGSVKVLEPSELSRRWGVGVAASSDKAGTENGRPSSSSAANNSSSDQIQR >fgenesh2_kg.3__1853__AT3G16857.2 pep chromosome:v.1.0:3:7198179:7201834:1 gene:fgenesh2_kg.3__1853__AT3G16857.2 transcript:fgenesh2_kg.3__1853__AT3G16857.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:D7L683] MNPSHGRGLGSAGGSSSGRSQGGGETVVEMFPSGLRVLVVDDDPTCLMILERMLRTCLYEVTKCNRAEMALSLLRKNKHGFDIVISDVHMPDMDGFKLLEHVGLEMDLPVIMMSADDSKSVVLKGVTHGAVDYLIKPVRMEALKNIWQHVVRKRRSEWSVPEHSGSIEETGERQQQQHRGGGGGAAVSGGEDAVDDNSSSVNEGNNWRSSSRKRKDEEGEEQGDDKDEDASNLKKPRVVWSVELHQQFVAAVNQLGAEAVPKKILELMNVPGLTRENVASHLQKYRIYLRRLGGVSQHQGNLNNSFMTGQDASFGPLSTLNGFDLQALAVTGQLPAQSLAQLQVAGLGRPAMVSKSGLPVSSIVDERSIFSFDNTKTRFGEGLGHHGQQPQQQPQMNLLHGVPTGLQQQLPMGNRMSIQQQIAAVRAGHSVQNNGMLMPIAGQQSLPRGPPPMLTSSQSSIRQPMLSNRISERSSFSGRNNIPESSRVLPTGYTNLTTQHSSSAMAYNNYQPELPVNSFPLASAPGLSVPVRKATSYQEEVNSSEAGFTTPSYDMFTTRQNDWDLRNIGVAFDSHQDAESAAFSASEAYSSSSMSRNNTTVAATEHGRNHQQQPPGMVPHHQGYADGNSGSVRVKSERVAADTAAMAFHEQYSNQEDLMSALLKQEGIAPVDGEFDFDAYSIDNIPV >fgenesh2_kg.3__1856__AT3G16870.1 pep chromosome:v.1.0:3:7207258:7208370:-1 gene:fgenesh2_kg.3__1856__AT3G16870.1 transcript:fgenesh2_kg.3__1856__AT3G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGSEETKTKVDSAGELSDVDNENCSSSGSGGGSSGDTKRTCVDCGTIRTPLWRGGPAGPKSLCNACGIKSRKKRQAALGMRSEEKKKNRKSSGNDLNLDHRNAKNDKINKDDDAKNDKINKDDDAKNDKINKDDDLKTCNSKTVEKKRLWRKLGEEERAAVLLMALSCSSVYA >fgenesh2_kg.3__1857__AT3G16890.1 pep chromosome:v.1.0:3:7212037:7213998:-1 gene:fgenesh2_kg.3__1857__AT3G16890.1 transcript:fgenesh2_kg.3__1857__AT3G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L687] MRGFASSASRIATAAAASKALNPKLSKTLNSSGKPTNPLNQRYISQVIERKDWFLILNQEFTTHRIGLNIRFVISVLQNQDNPLHSLRFYLWVSNTDPVYAKDQSLKSVLGNALFRKGPLLLSMELLKEIRESGYRITDELMCVLIGSWGRLGLAKYCNDVFAQISFLGMKPSTRLYNAVIDALVKSNSLNLAYVKFQQMRSDDCKPDRFTYNILIHGVCKKGVVDEAIRLVKQMEREGNRPNVFTYTILIDGFLIAGRIEEALKQLEMMQVRKLNPNEATIRTLVHGIFRCLPPCEAFEVLLGFMEKNSILQRVAYDTVLYCLSNNSMAKETALFLRKTGERGYIPDSSTFNAAMNCLLKGHHLVETCGIFDCFVSRGAKPGFNGYLVLVQALLNAQRFSAGDRYLKQMGGDGLLSSVYTYNAVIDCLCKARRTEHAAMFLTEMQDRGISPNLITFNTFLSGYSAGGDVKKVHGVVEKLLEHGCNPDVITFSLIINCLCRAKEIKDAFDCFKEMLEWGIKPNEITYNILIRSSCSTGDTGRSVKLFANMKESGLSPNLYAYNAIIQSFCKMKKVKKAEELLKTMLRIGLKPDNFTYSTLIKALSESGRESEAREMFSSMERHGCVPDSYTKRLVEELDLRQSGLSRETVSAS >fgenesh2_kg.3__1859__AT3G16910.1 pep chromosome:v.1.0:3:7216714:7219121:-1 gene:fgenesh2_kg.3__1859__AT3G16910.1 transcript:fgenesh2_kg.3__1859__AT3G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-activating enzyme 7 [Source:UniProtKB/TrEMBL;Acc:D7L689] MAATPATKWRDIDDLPKIPANYTALTPLWFLDRAAMVHPTRKSVIHGSREYTWRQTYDRCRRLASALADRSIGPGSTVAIIAPNIPAMYEAHFGVPMCGAVLNCVNIRLNAQTVAFLLSHSQSSVIMVDQELFTLAEESLRLMEEKAGSSFKRPLLIVIGDQTCPPESLNIALSKGAVEYEDFLATGDPNYPWQPPADEWQSIALGYTSGTTASPKGVVLHHRGAYVMALSNPLIWGMQEGCVYLWTLPMFHCNGWCFPWSLAVLSGTSICLRQVSAKEVYSKIVKYKVTHFCAAPVVLNTIVNAPKEDTILPLPHTVHVMTAGAAPPPSVLFSMNQKGFRVAHTYGLSETYGPSTVCAWKPEWDSLPPEAQAKLNARQGVRYTGMEQLDVIDTQTGKPVPADGKTAGEIVFRGNMVMKGYLKNPEANKETFAGGWFHSGDIAVKHPDNYIEIKDRSKDVIISGGENISSVEVENVVYHHPAVLEASVVARPDERWQESPCAFVTLKSGYEKQDHNKLAQDIMRFCREKLPAYWVPKSVVFGPLPKTATGKIQKHILRTKAKEMGPVPRSRL >fgenesh2_kg.3__185__AT3G02480.1 pep chromosome:v.1.0:3:618481:619015:1 gene:fgenesh2_kg.3__185__AT3G02480.1 transcript:fgenesh2_kg.3__185__AT3G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKQNMSYQAGQATGQTKEKAGGMMDKAKDAAASAQDSLQQTGQQMTEKAQGAADVVKDKTGMNKNH >fgenesh2_kg.3__1864__AT3G16950.2 pep chromosome:v.1.0:3:7241784:7245922:-1 gene:fgenesh2_kg.3__1864__AT3G16950.2 transcript:fgenesh2_kg.3__1864__AT3G16950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7L694] MALSFSQTSFTRPNHVLGSTGSVFSTPTSLRFCGLRREAFGFSPSNQLALNSDRIQFPSRKSFQVSASASSNGNGAPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQNEHHMKSFGLQVSAAGYDRQGVADHANNLATKIRNNLTNSMKALGVDILTGFGSVLGPQKVKYGKDNIITAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLESVPEWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEISKLAQRVLINPRKIDYHTGVFATKITPAKDGKPVLIELIDAKTKEPKDTLEVDAALIATGRAPFTNGLGLENVNVVTQRGFIPVDERMRVIDGNGTLVPNLYCIGDANGKLMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQAKEKGEKEGFKVSVVKTSFKANTKALAENEGEGIAKMIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKLAVHAHPTLSEVLDELFKAAKVESHATTRTGDAKIKLNTNQEDQRGGDDGKQPSVSEDLKDISTKPSSFFENIYVGVLSLLSRIFV >fgenesh2_kg.3__1868__AT3G16990.1 pep chromosome:v.1.0:3:7249543:7250536:-1 gene:fgenesh2_kg.3__1868__AT3G16990.1 transcript:fgenesh2_kg.3__1868__AT3G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TENA/THI-4 family protein [Source:UniProtKB/TrEMBL;Acc:D7L698] MEKRGMIDTWIDKHRSIYTAATRHAFVVSIRDGSVDLSSFRTWLGQDYLFVRGFVPFVASVLIKACKDSGESSDMEVVLGGLASLNDEIEWFKKEGTKWDVDFSTVVPQNANQEYRRFLEALMSSEVKYPVIMTAFWAIEAVYQESFAHCLEDGNKTPVELTGACHRWGNDGFKQYCLSVKNIAERCLENASGEVLVEAEDVLVRVLEHEVAFWEMSRGGQ >fgenesh2_kg.3__1869__AT3G17000.1 pep chromosome:v.1.0:3:7250857:7253429:1 gene:fgenesh2_kg.3__1869__AT3G17000.1 transcript:fgenesh2_kg.3__1869__AT3G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 31 [Source:UniProtKB/TrEMBL;Acc:D7L699] MADERYNRKNPAVKRILQEVKEMQANPSDDFMSLPLEENIFEWQFAIRGPGDTEFEGGIYHGRIQLPADYPFKPPSFMLLTPNGRFETNTKICLSISNYHPEHWQPSWSVRTALVALIAFMPTSPNGALGSVDYPKEERRTLAIKSRETPPKYGSPERQKIIDEIHHYILSKATIVPNPLPLECNQTSSTISEAHSQAEPQESITVVEEQSISTTETIVDDQIIEETAEAVNTVANVVPAAAALTAVEVAAKASVSGEQTMARRTAQKPVDDRLFTWAAVGLIIAIVVLLLKKFIRSTGYGAGFMDQS >fgenesh2_kg.3__1872__AT3G17030.1 pep chromosome:v.1.0:3:7258522:7262476:-1 gene:fgenesh2_kg.3__1872__AT3G17030.1 transcript:fgenesh2_kg.3__1872__AT3G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSNGASLIEIDDQEEVEDPFLAFIDYARTIISPEEDEDEKDESKRDPSEAMTEAGGPGWGWVASRILKTCTAYSSGVTAAILLSDLSQAWHEQNKPGMSKKKPELIDQLKKSHRRRRLANTVTIDSIYEKNFLSMNSVLEAVIINADVLPGTNIFMLTLGDFWSSNTIDLYLHRRYYELVETPNGILRKGREVLITGCYLRTAREGFGTPRLLPTEYLVVLLDEDQDDDAILIAAQFFSDTFSSVSLDSFNDGTSYSLYARIESIGPLESELTFSTAHRRQISLVDGDGDRLKFILWGEQVIVANLLSVGSFLGLERPYISSLEESAMEGKDEFCLEYGSATHLYLVPSTLQEERVCVALSQHQCQGSKLLGSVGVSQVTLPRDADGSIDFSNYPFRTIITDIRDKTTGISLYGVVTDISCDPNATGVVYSLKIEDTTGAIWAKLHFTNYWSVGRLGLGHVVYVSGLSCKITKENYLININGILVFELYVLFHLYTSLIHLDKIDQCHNINTRLAHSLCGHFIDEESSSSSSSSSLHCSFCRVSCNNNTGSEVVRTFHITITLADEETRLYAWCTGQSAAAILQISPDEFYDLPEDDRLMYPSLENEWFLVTLANSGSRNSGPGHETEATCWEITRALKI >fgenesh2_kg.3__1873__AT3G17040.1 pep chromosome:v.1.0:3:7263620:7266990:-1 gene:fgenesh2_kg.3__1873__AT3G17040.1 transcript:fgenesh2_kg.3__1873__AT3G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCF107 [Source:UniProtKB/TrEMBL;Acc:D7L6A3] MHFFIVPNSSSSSPSPANTSSFSLLFLAPSQIPENLCKLPAKIHIGTHGISGKSFLTHPTFSSKNTTLYAVVDRSSSGVFSSQNESANGEGGESNTEGVLVVRRPSLENSDKESSEEEGKKYPARIDAGLSNIAKKMPMFEPERSESSSSTSAAAAASAQERPLAVNLDLSLYKAKVLARNFRYKDAEKILEKCIAYWPEDGRPYVALGKILIKQSKLAEARIVYEKGCQSTQGENAYIWQCWAVLENRLGNVRRARELFDAATVADKKHVAAWHGWANLEIKQGNISKARNLLAKGLKFCGRNEYIYQTLALLEAKAARYEQARYLFKQATICNSKSCASWLAWAQLEIQQERYPAARKLFEKAVQASPKNRFAWHVWGVFEAGVGNVERGRKLLKIGHALNPRDPVLLQSLGLLEYKHSSANLARALLRRASEVDPRHQPVWIAWGWMEWKEGNTTTARELYLRALSIDANTESAARCLQAWGVLEQSAGNLSAARRLFRSSLNINSQSYVTWMTWAQLEEDQGDSERAEEIRNLYFQQRTEVVDDASWVTGFLDIIDPALDTVKRLLNFGQNNDNNRLTTTLRNMNGTKDSQSNQQPESSVGREDTETGSGFNLDAFLREKLSLDPTKLDVNLDSKKLDRFTRGRINGA >fgenesh2_kg.3__1874__AT3G17060.1 pep chromosome:v.1.0:3:7270163:7271944:-1 gene:fgenesh2_kg.3__1874__AT3G17060.1 transcript:fgenesh2_kg.3__1874__AT3G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7L6A5] MDHITRMILVLTLVVMSVWGSDASAMQKTKFDAPLLTEKIATNRSIIVDIEGKGDYTSVQKAIDAVPVGNSNWIIVHVRKGIYKERVHIPENKPFIFMRGNGKGKTVIESSQSSVDNVASATFKVEANHFVAFGISIRNDAPIGMAFTSENQSVAAFVAADKVAFYHCAFYSLHNTLFDNKGRHYYHECYIQGSIDFIFGRATSIFNNCEIFVISDKRVKPYGSITAHHRENAEENTGYVFIRGKVYGIDEVYLGRAKGPYSRVIFAKTYLSKTVVPDGWTNWSYHGSTENLYHGEYKCHGPGAERQKRSDWAKELTKQEVESFLSIDFIDGTSWLPVWLQQKS >fgenesh2_kg.3__1879__AT3G17090.1 pep chromosome:v.1.0:3:7280461:7282952:1 gene:fgenesh2_kg.3__1879__AT3G17090.1 transcript:fgenesh2_kg.3__1879__AT3G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein [Source:UniProtKB/TrEMBL;Acc:D7L6A8] MSGSLMNLFSLCFKPFGHICDNSEAGSGGGGGVSGGTGGEGKDGLLWFRDLGKYCGGDFSMAVIQANQVLEDQSQIESGNFGTFVGVYDGHGGPEAARYVCDHLFNHFREISAETQGVVTRETIQRAFHATEEGFASIVSELWQEIPNLATVGTCCLVGVIYQNTLFVASLGDSRVVLGKKGNCGGLSAIQLSTEHNANNEDIRWELKDSHPDDPQIVVFRHGVWRVKGIIQVSRSIGDMYMKRPEFNKEPISQKFRLAEPMKRPLMSATPTILSHPLHPNDSFLIFASDGLWEHLTNEKAVEIVHNHPRAGSAKRLIKAALHEAARKREMRYSDLRKIDKKVRRHFHDDITVIVVFLNHDLISRGHINTTQDTTVSIRSALEH >fgenesh2_kg.3__187__AT3G02520.1 pep chromosome:v.1.0:3:647799:649583:-1 gene:fgenesh2_kg.3__187__AT3G02520.1 transcript:fgenesh2_kg.3__187__AT3G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSREENVYLAKLAEQAERYEEMVEFMEKVAKTVDTDELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVSIIKDYRGKIETELSKICDGILNLLDSHLVPTASLAESKVFYLKMKGDYHRYLAEFKTGAERKESAESTLVAYKSAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWNSDINDEAGGDEIKGASKHEPEEGKPAETGQ >fgenesh2_kg.3__1880__AT3G17100.2 pep chromosome:v.1.0:3:7284990:7286163:1 gene:fgenesh2_kg.3__1880__AT3G17100.2 transcript:fgenesh2_kg.3__1880__AT3G17100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L6A9] MDQLLHPTTSSSSDRSRRKRKKKSSPTSLDSSPSPSTSLEKWRSEKQQQIYSTKLVRALKELRISQQPSSSSSSSVPRGGRAVREVADRALAVAARGKTLWSRAILSKAVKLKFRKQKRQRISNPVTTTTLTTGSIRSKKQRATVLRLKAKGLPAVQRKVKLLSRLVPGCRKQTLPVVLEETTDYIAAMEMQIRTMTAILSAVSSSPPPPPPGHDGGQT >fgenesh2_kg.3__1882__AT3G17110.1 pep chromosome:v.1.0:3:7293633:7294348:1 gene:fgenesh2_kg.3__1882__AT3G17110.1 transcript:fgenesh2_kg.3__1882__AT3G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKFLGAAFLVLVVFDFCLAARSPKALGRGSGSGSGYGSGSGGGNGGGGGGGGGGGGGGGGGGGGEGSGSGYGSGYGSGSGYGGGNGGGGGGGGGGGRGGGGGGGKGGSGYGSGYGSGSGYGSGGGGGGGGGGGGGGGGSRGNGSGYGSGYGEGYGSGYGGGDNNGDSP >fgenesh2_kg.3__1883__AT3G17120.1 pep chromosome:v.1.0:3:7296388:7298800:1 gene:fgenesh2_kg.3__1883__AT3G17120.1 transcript:fgenesh2_kg.3__1883__AT3G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSLDFDVDLEKGIIDCDNVYTKSPEKPMSPDNKPVITGEESVGSLENDATPVQCGINKPGWGRSDRKEKRKKSASKPPRPPRGPSLDAADQKLIREIAELAMLKRARVERMRALKKSRAAKAASAASSLGNVLATLFTAIFFFVLVFQGLSPRAAGSSGKSHVVVAGKANGGFVPVQYAGNPSASEPDGGYTGPVLAQRPNLLKPVSGLENEKKSSQ >fgenesh2_kg.3__1887__AT3G17150.1 pep chromosome:v.1.0:3:7305165:7305928:1 gene:fgenesh2_kg.3__1887__AT3G17150.1 transcript:fgenesh2_kg.3__1887__AT3G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L6N9] LSQPIRSTTGSNKLIQVLCKSNIYPSLCDSTLNLDPRSKNSNLRGLAPISIDATSKKVNELLNYLIFVSKNIKDREDLKKYKTCIDEYGTRARRFLPAALADLKAANVVSIPDHCEAQFAGISPLTGRNKAVHDIAYMTADIIKYLFVNN >fgenesh2_kg.3__1888__AT3G17152.1 pep chromosome:v.1.0:3:7306685:7307489:1 gene:fgenesh2_kg.3__1888__AT3G17152.1 transcript:fgenesh2_kg.3__1888__AT3G17152.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enzyme inhibitor/ pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7L6P0] MNNFMNLFAVFVMFIQIQIALSQAIQSPPGSNLVQQLCKRNRYQALCISTLNLDPRSKTSNLQGLASISLDATTKKFNVTLTYLISVLKNVTRREEFETYGTCIEEYGAAVDRFLPAVVADLKAKKYSEAMSEMKDVVAKPGYCEDQFAGQSPLTARNKAVHDIADMTAGIIKTL >fgenesh2_kg.3__1889__AT3G17160.1 pep chromosome:v.1.0:3:7309744:7310860:1 gene:fgenesh2_kg.3__1889__AT3G17160.1 transcript:fgenesh2_kg.3__1889__AT3G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L6P2] MTHVEDDKAFKEEPLVQVLSDDDGEVKETKDGGEEITGGDGEGEANVEEEDDDADDDDDDDDDDDDDDEDDEEEKNVQEEDLGTEYLVRPVGEVEDEDDASDFDPEENGVDEEDGDEDIEEDDVDEDISLSAGKSEPLSKRKRVAKDHNEQGDVTGDDV >fgenesh2_kg.3__188__AT3G02530.1 pep chromosome:v.1.0:3:649933:653697:-1 gene:fgenesh2_kg.3__188__AT3G02530.1 transcript:fgenesh2_kg.3__188__AT3G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRVLNPNAEVLNKSAALHMTINAAKGLQDVLKSNLGPKGTIKMLVGGSGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVIFIGELMKQSERCIDEGMHPRVLVDGFEIAKRATLQFLDTFKTPVVMGDEPDKEILKMVARTTLRTKLYEGLADQLTDIVVNSVLCIRKPEEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCHILTCNVSLEYEKSEINAGFFYSNAEQREAMVTAERRSVDERVQKIIELKNKVCAGNDNSFVVLNQKGIDPPSLDLLAREGIIALRRAKRRNMERLVLACGGEAVNSVDDLTPDSLGWAGLVYEHVLGEEKYTFVEQVKNPHSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTLEDECVVLGAGAFEVAARQHLINEVKKTVQGRAQLGVEAFANALLVVPKTLAENAGLDTQDVIISLTSEHDKGNVVGLNLQDGEPVDPQLAGIFDNYSVKRQLINSGPVIASQLLLVDEVIRAGRNMRKPT >fgenesh2_kg.3__1891__AT3G17180.1 pep chromosome:v.1.0:3:7314803:7318579:-1 gene:fgenesh2_kg.3__1891__AT3G17180.1 transcript:fgenesh2_kg.3__1891__AT3G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7L6P5] MNLTLPMKKQNFLLIISLLILLSLLHQDYHIDAQNSDKVVNLPQQPLNPKISHFSGYINVNQENTRSLFFWFFEALSESPSTRPLVLWLNGGPGCSSIGYGAASELGPFRVVENGTSLSFNQYSWVQEANILFLESPVGVGFSYTNSSSDLDNLNDAFVAEDAYNFMVAWFARYPQYKSRDFFIAGESYAGHYAPQLAELIYDRNKVKPKDSFINLKGFIVGNPLTDDEYDNKGILEYAWSHAVISDDLYDSAKRNCDFKSSNWSEPCNVAMNTVFTKYKEIDIYNIYAPKCIANSSSGASYLDSGVNHKSPAVKDWFKRVRWFEGYDPCYSNYAEEYFNRVDVRSSLHATTRNVARWKVCNDSILQTYHFTVSSMLPTYSKLIKAGLKIWVYSGDADGRVPVIGSRYCVEALGLSVKSEWRSWFHNHQVGGRITEYEGGLTFVTVRGAGHLVPLNKPEEALALFRSFLNDQELQSRP >fgenesh2_kg.3__1892__AT3G17205.1 pep chromosome:v.1.0:3:7335172:7343119:1 gene:fgenesh2_kg.3__1892__AT3G17205.1 transcript:fgenesh2_kg.3__1892__AT3G17205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGDPSTRKRVDLGGRSTKERDARKLLEQTRMERNRRLLQKQQNSAALKIQKFFRGRRSMAIERSKVRHDFCETYGNNCQNVDRHCFEPGSSFLRQFLFFFKAQNSGDFVILVETCRLLQSFVDSSGDIVSLFSGLDYSSKHNLVDFRVKKLAFTCIEAIHQNRNRLRDQLLVTPEEASISTAILMEAVSLLLDPKLPWVCKIVSYLHKENVFKLVREMVTTAKESSRGQTMTGSILSLERVLTLIVPHIGREPCCCPVVDPRCSFSSMILTIPLIWKLFPNLKVVFANPSLSQHYIHQMASCIQKDTCVLPMETSPEFPGYACLLGNTLDTANVVLSQPECSLDMAIDIALVATFLLETLPPVKSSEKESRQSSSDEDDMLIDDVPELVLNRALEHQITNAIDSRFLLQLTNVLFHQVSLGTQSYDEDKEALAIGTASSFLYAAFNTLPLERIMTILAYRTELVAVLWNYMKRCHENQKWSSMPKLLAYLPGDAPGWLLPLVVFCPVYKHMLMIVDNEEFYEREKPLSLQDIRLLIIILKQALWQLLWVNPLTQPNTGKSVSNDLSKKNPVELIQNRVGVVVSELLSQLQDWNNRQQFTSSSDFQADTVNEYFISQAIVEGTRANYILMQAPFLIPFTSRVKIFTTQLATARQSHGSQGIFARNRFRIRRDHILEDAYNQMSALSEDDLRGSIRVTFVNELGVEEAGIDGGGIFKDFMEKITRAAFDVQYGLFKETADHMLYPNPGSGMIHDQHLQFFHFLGSLLAKAMFEGILVDIPFATFFLSKLKQKYNYLNDLPSLDPELYRHLIFLKRYKGDISDLELYFVILNNEYGERTEEELLPGGQDMRVTNENVITFIHLVSNHRLNFQIRQQSSHFLRGFQQLIPKEWIDMFNEHELQVLISGSVDSLDIDDLRNNTNYAGGYHAGHYVIDMFWEVMKSFSTENQKKFLKFVTGCSRGPLLGFKYLEPAFCIQRAAGSASNESVDRLPTSATCMNLLKLPPYQSKELLETKLMYAISAEAGFDLS >fgenesh2_kg.3__1894__AT3G17210.1 pep chromosome:v.1.0:3:7343476:7344201:1 gene:fgenesh2_kg.3__1894__AT3G17210.1 transcript:fgenesh2_kg.3__1894__AT3G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKGAVVKHVLLAKFKDGVSPETIEELIKGYANLVNLIEPMKAFHWGEDVSIENLHQGYTHIFESTFETKEAVAEYIAHPAHVEFATIFLGSLDKALVIDYKPTSVSL >fgenesh2_kg.3__1895__AT3G17220.1 pep chromosome:v.1.0:3:7344414:7344880:-1 gene:fgenesh2_kg.3__1895__AT3G17220.1 transcript:fgenesh2_kg.3__1895__AT3G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L6Q2] MIGALNAQVADIKAICGKAKNQSFCTSYMKSNPKTSGADLQTLAKITFGSAQTSASEGFKKIQSLVKTATNPTMKKAYTSCVQHYKSAISSLNDAKQSLASGDGKGLNIKVSAAMEGPSTCEQDMANFKVDPSAVKNSGDFQNICGIVLVISNMM >fgenesh2_kg.3__189__AT3G02540.1 pep chromosome:v.1.0:3:654090:657415:-1 gene:fgenesh2_kg.3__189__AT3G02540.1 transcript:fgenesh2_kg.3__189__AT3G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVKTLKGTHFEIEVKLEDSVADVKKNIETVQGADVYPAAKQMLIHQGKVLKDETTIEENKVAENSFIVVMLNKSKPASTGASSASAGTSQAKSTPPSTSQPSISPQTPASVAAPVAPAPTRAPPPAPTPAPVAATETVTTPIPEPVPATIPSSTPAPDSAPAGSQGDVYGQAASNLAAGSNLESTIQQILDMGGGTWDRETVVRALRAAFNNPERAVEYLYTGIPEQAEVPPVARAPASFGQPANPSAQTQQPAAAPASGPNANPLDLFPQGLPNVGGNPGAGTLDFLRNSQQFQALRAMVQANPQVLQPMLQELGKQNPNLMRLIQDHQADFLRLINEPVEGGGESGNLLGQMAAGMPQPQAIQVTPEEREAIERLEAMGFDRALVLEVFFACNKNEELAANYLLDHMHEFEE >fgenesh2_kg.3__18__AT3G01950.1 pep chromosome:v.1.0:3:46464:46778:-1 gene:fgenesh2_kg.3__18__AT3G01950.1 transcript:fgenesh2_kg.3__18__AT3G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L9J4] MGLDWGPVLMSVIFFILLSPGVLFQLPGKSKAVEFGRFQTSGPSIVIHTLLFFAFITISLIALHI >fgenesh2_kg.3__1901__AT3G17250.1 pep chromosome:v.1.0:3:7351869:7353861:-1 gene:fgenesh2_kg.3__1901__AT3G17250.1 transcript:fgenesh2_kg.3__1901__AT3G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSKASVTQTWLLYRQLCLWKDLLISFVRQFIRRAKSMLLSHNMVADSAEISVIDVKSHLSVGKDPSNFQIAEIRIHDSICIEIPGSEETPLLGSVKSCSAAATIVEEPFTEFVPNISSGSYADKGDYREYMEDEHICIDDLSDHLGSSFYRFPVPVAFYGVFDGHGGSEASQYIKENAMRLFFEDAVFRESPSVVDSLFLKELEKSHREAYRVADLAMDDERIVSSSCGTTALTALVIGRHLMVANAGDCRAVLCRKGKAVDMSFDHKFTFEPERRRVEDLGGYFEGEYLYGDLAVTRALGDWSVKRFSPLGGSFSPLISDPDIQQMILAEQDEFLIMGCDGIWDVMTSQYAVTFVRQGLRRHGDPRRCAMELGREALRLDSSDNVTVVVICFSSSPAPQRRRIRFCVSDEARARLQTMLEG >fgenesh2_kg.3__1903__AT3G17270.1 pep chromosome:v.1.0:3:7369623:7371260:-1 gene:fgenesh2_kg.3__1903__AT3G17270.1 transcript:fgenesh2_kg.3__1903__AT3G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIPNLPDDLESEILCRVPAKSLTKLKTTCKRWHALFRDPRFVKQNLGKSAREVMLLMNHRVHSISVNRHGIHDGVDASMEFSGKLIRLSDSKDVKKLRVAVFEIYDFGSDSWRVLDDDMIWGIFSAGVSLNGDTFWIGGDKETGFFLMYFDFTTERFGRFPLPYQSFDYEDIAVLSLVREEKLSLLNQNLHRNSSEMKIWVTNKFDEAKDLSWSQFLVVDFDKFTLPYVNSVISFFLDEESKVAVCCYTDMEDEERTKIYIVGVDLYKEVYKEVTKGSILNWPCFVSYAPNLVHIQKTSPKGKKKMREKEVTQMNHLSTDGRQTWQEALTQSDAKLKSNAYPNYVVE >fgenesh2_kg.3__1914__AT3G17370.1 pep chromosome:v.1.0:3:7423189:7423845:-1 gene:fgenesh2_kg.3__1914__AT3G17370.1 transcript:fgenesh2_kg.3__1914__AT3G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L6S0] MFKVMRESNMDMDTAVYNIIIHGMCKAGKLEEAWNLFTNLLLSGLQPDVKTYNMMIRFSALGRAEKLYAEMLRRGIVPDTITYNSMIHGLCKQNKLAEARKVFDSMDNKSCSTFNTLINGYCKARRVDDGIDLFCEMYRRGIVANVITYTTLIHGFRQVGDFKTALDIFQEMVSNGVRSSSITFRDILPELCSKKELRKAVAMLVDLQKSVVVCPQRM >fgenesh2_kg.3__1915__AT3G17380.1 pep chromosome:v.1.0:3:7426031:7427990:1 gene:fgenesh2_kg.3__1915__AT3G17380.1 transcript:fgenesh2_kg.3__1915__AT3G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDHDEIIKSISDAPPTHYMVKIESFSLLTKHAIERYETESFEAGGYKWKLVLYPNGNKSKNTKDHVSVYLALADSSSLSPGWEVYAVFRLYLLDQNKDNYLILQGNERRFHAVKREWGFDKFIPTGTFSDASNGYLMEDTCMFGADVFVSKERRSGRGECLSMIKDATSSKHVWKIENFSKLDKESYDSNAFFAGDRKWKVRFYPTGTKQGTGTHLSIYLTLVDPETISDGTKIFVEFTIRIFDQLQGRHIAGKVTKWFSRSSSEHGWVKYVSMVYFTQPNSGLLLKDVCLVEADVCVHGITSAI >fgenesh2_kg.3__1916__AT3G17390.1 pep chromosome:v.1.0:3:7428088:7429924:-1 gene:fgenesh2_kg.3__1916__AT3G17390.1 transcript:fgenesh2_kg.3__1916__AT3G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase [Source:UniProtKB/TrEMBL;Acc:D7L6S2] MESFLFTSESVNEGHPDKLCDQISDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKANVDYEQIVRKTCREIGFVSADVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKKPEEVGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNGTCPWLRPDGKTQVTIEYINESGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRVIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILEIVKESFDFRPGMISINLDLKRGGNGRFLKTAAYGHFGRDDADFTWEVVKPLKSNKVQA >fgenesh2_kg.3__1917__AT3G17400.1 pep chromosome:v.1.0:3:7431185:7434404:1 gene:fgenesh2_kg.3__1917__AT3G17400.1 transcript:fgenesh2_kg.3__1917__AT3G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSDLPSDLAEEVLSRLPVTSLRGFRAACKKWNTLSKDRSFTRKHLAQAKAAAAREFMVVMVMDSQVYLMGINLCKGVDETINRQGKLISLDDSNQVDISRVYHCDGLVLCIPKDCSRLVVWNPYWGQTLWFKPSSLRHKRHFKSCCSYKILRFVEVSSESIVEYEIYELNSNSWRVLDVTSDWHILFFAHGVTLKRNTYWFALEKYRERRSTVEIPDFLICFDFTKERFGPRLRLPFRSYDEDTVTLSSVREEQLAVLFQREDNLHLEIWVTTKIEPEVVSWSKLFLAVDMEPLTDFQFGVTGGSFFIDEEKKLAVVFDKDKDRDNIEVQTPRRNFAYIIGEDGYFRDVDLKETSVYRRPNLKNTIDHL >fgenesh2_kg.3__1918__AT3G17410.1 pep chromosome:v.1.0:3:7434954:7438219:1 gene:fgenesh2_kg.3__1918__AT3G17410.1 transcript:fgenesh2_kg.3__1918__AT3G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGCCGGEDFRRVAETGPKPVHNTGGYNGGHHQRADPPKNPPVIQMQPISVAPIPADELRDITDNYGGKSLIGEGSYGRVFYGILKSGKAAAIKKLDSSKQPDQEFLAQVSMVSRLRQDNVVALLGYCVDGPLRVLAYEYAPNGSLHDILHGRKGVKGAQPGPVLSWHQRVKIAVGAARGLEYLHEKANPHVIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGTLSTKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKQCVDARLNGEYPPKAVAKLAAVAALCVQYEADFRPNMSIVVKALQPLLNPPRSAPQTPHRNPY >fgenesh2_kg.3__1919__AT3G17420.1 pep chromosome:v.1.0:3:7438546:7441472:-1 gene:fgenesh2_kg.3__1919__AT3G17420.1 transcript:fgenesh2_kg.3__1919__AT3G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLKRTLTKRYGVLELWEIIVIALFAVFIVILVISVWLSFRKKSKRSNATTLPVTQSPRFTEEIKEISVDHVSSNNNGTSYPTLDEKFVEDIENGDKFSGSLEKKPAVGSHLPPSTPLTTAPSPLLGLPEVSHIGWGHWFTLRDLQLATNHFSKENIIGDGGYGVVYHGTLTNKTPVAVKKLLNNPGQADKDFRVEVEAIGHVRHKNLVRLLGYCVEGTHRMLVYEYMNNGNLEQWLHGDMNHKGHLTWEARIKVLVGTAKALAYLHEAIEPKVVHRDIKSSNILMDDNFDAKLSDFGLAKLLGADSSYVSTRVMGTFGYVAPEYANSGLLNEKSDVYSYGVVLLEAITGRYPVDYARPKEEVHMVEWLKLMVQQKQFEEVVDKELEIKPSTSELKRALLTALRCVDPDADKRPKMSQVARMLESDEYPVMPREERRRRRNQNAETHRESTDTNKDNDIITDAKI >fgenesh2_kg.3__191__AT3G02550.1 pep chromosome:v.1.0:3:657598:659127:-1 gene:fgenesh2_kg.3__191__AT3G02550.1 transcript:fgenesh2_kg.3__191__AT3G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSEDCSIRPCLAWIKSPEAQANATVFLAKFYGRAGLMNLINAGPNHLRPGIFRSLLHEACGRIVNPIYGSVGLLWSGNWQLCQDAVEAVMKGEPIKEIATDAATIGQGPPLKIYDIRHISKDDNSAAAAATGSTDLKRAKTRRAKRVSTVEKQAESEGKSGEASHESSLSHQSEIVAAHEGESKESESNVSEVLAFSPPTVQGSGEIKLDLTLGLEPVSRAYHVVPVKKRRMGVFGTCQKESTCKTELML >fgenesh2_kg.3__1920__AT3G17430.1 pep chromosome:v.1.0:3:7445792:7449023:1 gene:fgenesh2_kg.3__1920__AT3G17430.1 transcript:fgenesh2_kg.3__1920__AT3G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMINKTLVLTYIYLLIYIILSSGVILYNKWVLSPKYFNFPLPITLTMIHMGFAGFVAFLLIRVFKVVAPVKMTFEIYATCVVPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFIMAVVCGTDKPRCDVFSNMLLVSVGVVISSYGEIHFNIVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLALPWYVLEKPTMEVSQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESTITGLNITGYAIALCGVVMYNYIKVRDVKASQPTADGLPDRINKEYKMEKKSSDKFNPNDSVEIPRVGGEVNDEEAPLITSRLSHIGRTQLGNHAA >fgenesh2_kg.3__1921__AT3G17440.1 pep chromosome:v.1.0:3:7449371:7452213:-1 gene:fgenesh2_kg.3__1921__AT3G17440.1 transcript:fgenesh2_kg.3__1921__AT3G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPSN13 [Source:UniProtKB/TrEMBL;Acc:D7L787] MASNLPMSPQLEQIHGEIRDHFRALANGFQRLDKIKDSTRQSKQLEELTEKMRECKRLVKEFDRELKDEEARNSPEVNKQLNDEKQSMIKELNSYVALRKTYMSTLGNKKVELFDMGAGVSGEPTAEENVQVASSMSNQELVDAGMKRMDETDQAIERSKQVVEQTLEVGTQTAANLKGQTDQMGRVVNHLDTIQFSIKKASQLVKEIGRQVATDKCIMGFLFLIVCGVVAIIIVKIVNPNNKDIRDIPGLAPPAQSRKLLYLRNQDYM >fgenesh2_kg.3__1925__AT3G17465.1 pep chromosome:v.1.0:3:7457939:7459788:-1 gene:fgenesh2_kg.3__1925__AT3G17465.1 transcript:fgenesh2_kg.3__1925__AT3G17465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPRGLISRINQFLSIRSITPSSSESLPHCSFFLLRRFSSDTGSVDVGGSDIIGAPNRIIEAKQGEMSQSSRRTGIIAVKCGMTALWDKWGARVPISILWVDDNIVSQVKTVEKEGIFALQIGCGQKKPKHLSKAVVGHFRAQGVPLKRKLREFPVTEDALLPVGTSLGVRHFVPGQYVDVTGITRGKGFQGCMKRHGFSGMPGSHGASLSHRSGGSTGQRDAPGKVFKGKKMAGRMGADQRTVKNVWVYKIDPARNLMWVRGQVPGAEGNFVFIKDAWCKKPDISKLPFPTYLAPEDEDPSELEPLVADLGEVDPFMLAE >fgenesh2_kg.3__1926__AT3G17470.1 pep chromosome:v.1.0:3:7459970:7462079:1 gene:fgenesh2_kg.3__1926__AT3G17470.1 transcript:fgenesh2_kg.3__1926__AT3G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RelA/SpoT domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L790] MSVIRPSPIPIPRCRSQLLHRRLYSIQLIQRRRRRWNPRSEVEDTAVESTARSPEAAGGKMVVELVGAFNEVTERMNSVWLSTSSSRLLFKALKLSIPILQSLPLASDGRSPLSKALSLSIILADLQMDAEVISASILSEVVEAKAISIYEVRDQIGTGTAHLLHEIFRVKNIPFKVDVLDDETAASLRKFYLTYYDIRAVIMDLVSKLDEMRHLDHLPRYRQQILSLEVLKIYSPLAHAVGANHLSLELEDISFRYLFPCSYLYLDSWLRGHENGSKPLIDMYKEQLYLSLKADLVLAEMVDDVYIKGRYKSRYSMMKKLLRDGRKPEEVNDVLGLRVILIPNSVTNDVEVGEKACYRTSEIIRSLWKEIPHRTKDYIARPKANGYKSLHMAVDVSDSDQTRPLMEIQIRTMDMDGSANAGTASHSLYKGGLTDPKEAKRLKAIMMAAADLAAIRLKDLSSNKHQSFKTTTNQRDRVFCLLDKNGDGMISIEELMEVMEELGAPGEDAEEMMQLLDSNSDGSLSSDEFYTFQKQVEFMRKWEDRDNEYKSLLDEKLHDLPHQDTTGLIQLYKKELEDRLSTH >fgenesh2_kg.3__1928__AT3G17510.1 pep chromosome:v.1.0:3:7463776:7467613:-1 gene:fgenesh2_kg.3__1928__AT3G17510.1 transcript:fgenesh2_kg.3__1928__AT3G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7L792] MVRRQEEKKVEKGMRLGKYELGRTLGEGNFGKVKFAKDTVSGQSVAVKIIDKSRIADLNFSLQVCIKREIRTLKMLKHPHIVRLHEVLASKTKINMVMELVTGGELFDRIVSNGKLTETDGRKMFQQLIDGISYCHSKGVFHRDLKLENVLLDAKGHIKITDFGLSALPQHFRDDGLLHTTCGSPNYVAPEVLANRGYDGAASDIWSCGVILYVILTGCLPFDDRNLAVLYQKICKGDPPIPRWLSPGARTMIKRMLDPNPVTRITVVGIKASEWFKHEYVPSSPDDDDEEEVDTDDDAFSIQELGSEEGKGSDSPTIINAFQLIGMSSFLDLSGFFEQENVSERRIRFTSNSSAKDLLEKIETAVTEMGFSVQKKHAKLKVKQEERNQKGQVGLSVTAEVFEIKPSLNVVELRKSYGDSCLYRQLYERLLKDVGTSSPEQEIVS >fgenesh2_kg.3__192__AT3G02555.1 pep chromosome:v.1.0:3:660447:662161:-1 gene:fgenesh2_kg.3__192__AT3G02555.1 transcript:fgenesh2_kg.3__192__AT3G02555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCSLQQNAFMSRDESRGFVPIYCYPMDYVVCPKPRRANNVIRPLRLHFSQSGADDVSDSKAGEDLLDIFRRKESVSSTSPPFFLGSPPSRAANPLAQDARFGDEKLITVSPSLSPRVKAGGGGCGRMKFGVKPATVRVEGFDCLNRDRQNSSIPAVA >fgenesh2_kg.3__1930__AT3G17530.1 pep chromosome:v.1.0:3:7483719:7485270:1 gene:fgenesh2_kg.3__1930__AT3G17530.1 transcript:fgenesh2_kg.3__1930__AT3G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISDLPHDLESEILYRVPAKSLAKWKTTCKRWYALFRDPRFVKKNFGKAATREMIVLMNLRLYSNSVNLQGINHRVDPAMEVTGKLIKLNDSEAVDISNIFYCDDLWFGIPVPVKLDVSNPRKCYQNNDRYALGYGSSTSCHSYKILRSCIYYNDQNLMDAEFEIYDFSTNSWRDLGYITRDLVVFSISGNKDNGFFLQYFDFKTERFGRLPLPYQSFNAEDTAALSVVGDEKLVVLHQSILAFSDEMRIWVTNKIDEAKDLSWRERLPLNSGLCFLLEEENEVAVCSDVDMKDGRRSRIYIVGKDIYIYKEVYKESTKGSDINWPLLLSYVPSLVNIQKNISKKAEENEIKRMLVE >fgenesh2_kg.3__1931__AT3G17540.1 pep chromosome:v.1.0:3:7485818:7487000:1 gene:fgenesh2_kg.3__1931__AT3G17540.1 transcript:fgenesh2_kg.3__1931__AT3G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L795] MMISDLPHELESEILSRVPTKSLAKLQTTCKRWYALFRDPRFVKKNFVISAFIQLPTISMEFTTAISEDLKITKIFHCDGLILCSTKENTRLVVWNPRTGQTRWIKRSDCYRSEDLYCLGYVNSKSSYHSHKILRYCFYYNDQNVCVGEFEIYDFSSDSWRVLDDCTHNWGLFCNGMSLKGNTYFVAGEQETGFFMLYFDFKTERFERLPLPYQSFDSEETAVLSVVREEKLAVLQQNIQALSNEMRIWVTNKIDEAKDLTWSNFVLTVDYDRFSLPSVNNVSSFLLDEENKVALCCDRDMDDEDKTRVYIVGETTYKEVYKETIKGSHFNWPLLLSYLPSLVHIHENTPKRQKKGKQEEVS >fgenesh2_kg.3__1933__AT3G17560.1 pep chromosome:v.1.0:3:7490912:7492124:1 gene:fgenesh2_kg.3__1933__AT3G17560.1 transcript:fgenesh2_kg.3__1933__AT3G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L797] MRSEIVRETKLIFDLPQDVIEEIFSKVPVTSLRRLRSTCKRLYALLKDQGFIKKHFAKSARHLASEAIISQAFHCDGLLLCTTKENRLVVWNPFSGQTRWLQLQNRGRIDEAYVLGYDNRDVCHSYKILSFPDLYEQELETIKNAWKDLDVTPEGDLELKINDSSSNSWRRNLGVTPLGDLELKLYDFSSNSWKHLDVITPEGCLKSYGVSLKGNAYWVYVSNRRGVNDYSILSFDFSTERFQHLCVPFHQEADCFDTMALSVFREEHLSLLYQSSETLKVEIWMTKEIDTTFVSWRKFLIVDLEPHLPMFSCRMSFFIYEEKKVAVCCDRDNKVYIIGEDEYKVSSGFYFVDFEGITCCLTVFGYVPRLV >fgenesh2_kg.3__1934__AT3G17570.1 pep chromosome:v.1.0:3:7493805:7494966:1 gene:fgenesh2_kg.3__1934__AT3G17570.1 transcript:fgenesh2_kg.3__1934__AT3G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L798] MVFTNLPRDLESEILSRVPARSLQKLKPTCKRWYTLFKDPEFLKKHVGRAEREVLSLIGFRVYSVSVNLSGIHSSVDPPIEMTGMFNSLKDSANVKILEICECNGLLLCTTNDSRLVVWNPYTGETRWIPYKSSTRFAMYHKFVLGYDNSKSCYGYKILRCYHFYIDFGFEYEIYDFNSDSWRRFYDNSPNCSFISKGVTLKGNIYWFASDTKGRQFILRFDFTTEKFGRLSLPYQSGGYVDDVVETGVLSAVREEKLALLYERFDELTDTSVMKIWVTNTKIDEAKDLSWSDFLVVDSCKFMVPRMTNVMSFLVDEEKKMVVFCDTDSDQNMTRFYIVGEDIHKEVYNAITEGSFSYWPRLVSYAPSLVQIQQGKVNPGGKRKR >fgenesh2_kg.3__1936__AT3G17590.1 pep chromosome:v.1.0:3:7504937:7507383:-1 gene:fgenesh2_kg.3__1936__AT3G17590.1 transcript:fgenesh2_kg.3__1936__AT3G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLVSTGWKGPVKFRMPTAENLVPIRLDIQFEGQRYKDAFTWNPSDPDNEVVVFAKRTVKDLKLPSAFITQIAQSIQSQLSDFRAYEGQDMYTGEKIIPIKLDLRVNHTLIKDQFLWDLNNFESDPEEFARTLCKDLGVEDPEVGPAVAFAIREQLYEIAIQSVASARESRLSKKGRRGSDHGSASKASGLSMDLMKLFSFKSSVVRKRKDLDVYEPVVDLLTSEEVDALEARAERHAR >fgenesh2_kg.3__1938__AT3G17609.2 pep chromosome:v.1.0:3:7511740:7512584:1 gene:fgenesh2_kg.3__1938__AT3G17609.2 transcript:fgenesh2_kg.3__1938__AT3G17609.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQRANGHSSSSSSHKKHKTEESDEELLMVPDMEAAGSTCVLSSSADDGVNNPELDTTQNGVSTAKRRRGRNPVDKEYRSLKRLLRNRVSAQQARERKKVYVSDLESRANELQNNNDQLEEKISTLTNENTMLRKMLINTRPKTDDNH >fgenesh2_kg.3__193__AT3G02560.1 pep chromosome:v.1.0:3:670717:672261:1 gene:fgenesh2_kg.3__193__AT3G02560.1 transcript:fgenesh2_kg.3__193__AT3G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S7 [Source:UniProtKB/TrEMBL;Acc:D7KZI9] MYSGQNKIHKDKGVEPTEFEEQVTQALFDLENTNQELKSELKDLYINQAVHMDISSNRKAVVIYVPFRLRKAFRKIHLRLVRELEKKFSGKDVIFVATRRIMRPPKKGSAVQRPRNRTLTSVHEAMLEDVAYPAEIVGKRTRYRLDGTKITKVYLDTKQKNDTEYKLETMMGVYRKLTGRDVVFEYPVIEA >fgenesh2_kg.3__1946__AT3G17640.1 pep chromosome:v.1.0:3:7523963:7525143:1 gene:fgenesh2_kg.3__1946__AT3G17640.1 transcript:fgenesh2_kg.3__1946__AT3G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTFFLLLLTLVHSTFSSLAPTDRAALQSIRDSLTDMPGSAFFSSWDFTVPDPCSSFSGLTCSSLGRVTGLTLGPNLSGSLSPSISILTHLNQLILYPGSVTGPLPPRFDSLPLLRVISLTRNRLTGPIPVSFSSLSNLHTLDLSYNQLSGSLPPFLTTLPRLKVLVLASNHFSNNLKPVSSPLFHLDLKMNQISGQLPPAFPITLRHNSISGELPPALVGAEALFLNNNRLTGDIPEEYVKSLVNGTTKQLFLQHNYFTRFPWNSGLQLPDSVSLCLSYNCMETDPVVGLSTCPIEVAPLLSRPASQCSRFYNHSSTG >fgenesh2_kg.3__1947__AT3G17650.1 pep chromosome:v.1.0:3:7525783:7528795:1 gene:fgenesh2_kg.3__1947__AT3G17650.1 transcript:fgenesh2_kg.3__1947__AT3G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGVLTPDRDRQIMEHELQETGFSPESEKVKNKNFEEDEEEEDESVEKIFESREVPSWTKQLTLRAFVVSFMLSILFSFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKMLHRSGLLKQPFTRQENTVIQTCVVASSGIAFSGGFGTYLFGMSERIATQSGDVSRGVKDPSLGWIIGFLFVVSFLGLFSVVPLRKIMVIDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVRVLGKFFSFSFFWSFFQWFFTGGENCGFSNFPTFGLKAYQYKFYFDFSATYVGVGMICPYIINISVLLGGILSWGIMWPLIETKKGDWFPDNVPSSSMHGLQAYKVFIAVAIILGDGLYNFCKVLSRTLSGLFVQLRGPTTSISRTSFTLEEDPHASPLSPKQSYDDQRRTRFFLKDQIPTWFAVGGYITIAATSTAILPHMFHQLRWYYILVIYICAPVLAFCNAYGAGLTDWSLASTYGKLAIFTIGAWAGSEHGGMLAGLAACGVMMNIVSTASDLTQDFKTGYLTLSSPKSMFVSQVIGTAMGCVVSPCVFWLFYKAFDDLGLPNTEYPAPFATVYRSMAKLGVEGVASLPRECLVLCYAFFGVAILVNIVKDSLQSQWGRFIPLPMAMAIPFFLGPYFAIDMCVGSLILFIWERVDAAKAEAFGTAVASGLICGDGIWSLPSSVLAIAGVNPPVCMKFLSAATNSKVDNFLQGST >fgenesh2_kg.3__1950__AT3G17668.1 pep chromosome:v.1.0:3:7533783:7534645:1 gene:fgenesh2_kg.3__1950__AT3G17668.1 transcript:fgenesh2_kg.3__1950__AT3G17668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANACLPPPALMAVRAEEGVPNSKSTQGKTRLYLTKPSWIVRTQSGAKTCMKRKAKGRCVICHGTGRVDCFNCCGKGRTNCVDVEMLPKGEWPKWCKSCGGSGLSDCSRCLGTGEYRYIMGFRFLNQNDDGDPQL >fgenesh2_kg.3__1951__AT3G17670.1 pep chromosome:v.1.0:3:7535253:7536505:1 gene:fgenesh2_kg.3__1951__AT3G17670.1 transcript:fgenesh2_kg.3__1951__AT3G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7L7B4] MSSVALPAMSCRSSGEQVNEIRVCTNRTCRRQGSFQILETLTALAPPELRVTPCACLGRCGSGPNLVALPQGLILRHCATPSRAAEILFSLCGDGREASSSSAVTDALTALALTNNALYQIDAGNFSEAEALLTQALELKPYGGLHRIFKHRSVAKLGMLDYSGALEDISRALALAPNYYEPYICQGDVYVAKGQYDLAEKSYLKCLEIDPSLRRSKPFKARIANLQKKVVEVDVT >fgenesh2_kg.3__1953__AT3G17680.1 pep chromosome:v.1.0:3:7537290:7538810:-1 gene:fgenesh2_kg.3__1953__AT3G17680.1 transcript:fgenesh2_kg.3__1953__AT3G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKASMDATHPPPQLPSLSDLEARMQILRESGFEESQGDDDTFGQRAEWFYQRRPLLLKLCLDLYNGYITLLGRSSHQTRLKPTTSIPNQLLQDDDDCISDLDSVSEISSEVESTLSFQQMQDSAAVSEKVEELVSQLVTASLDKEILKHELLHKEQQFHEASKTIELLKKFVMLLEMEKEVAVEENANLGYKLTSLLEENRELATEALFMKNEAVGLARCVLKMRDDHFHKVCILQNRIYSLQASRNSEPVSDKVSSGCFGLNKHKTKKKKENKTEEKTGFKWLKKLNNINLFTKRSLNPSAAAPSCCTFDMPN >fgenesh2_kg.3__1956__AT3G17740.1 pep chromosome:v.1.0:3:7570037:7576318:-1 gene:fgenesh2_kg.3__1956__AT3G17740.1 transcript:fgenesh2_kg.3__1956__AT3G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSEDGDGASVTTGGLFPVFPTSANSVSAFSGAPQWLRNASFTTDLSVINAAASTAPSLSEVEAGDEEDEKGDADGNIGEANQPRVYNLVEEEESLESDGDKVMRKRGKKKKRKSGNSSDESRSRKSSVKASDEYYSKPVKDYYLDTRPDPDNLAYGSIYRMNVPRYKLDNSQRVPGSGSLRYYLRNRRSSMLDTEIDIDSLEGRAKSDTRYWYAKHAALERNKNFKRIRLSASTEAVDSSFDNFIPLEEDETVQESDEEDVLSKDSKMGASWEDEVLNKTREFNKVTRERPHDEKAWLAFADFQDKVSSMQSQKGVRLQTLEKKISILEKAFELNPDSEELLLALLKAYRRRDNADVLISRWEKALMQNSASYKLWREFLCVVQGEFSRFKVSEVRKLYSYAIQALSSACSKRHRQVDTTSEPLDSAAIQQELVLVDMLVSLCRFEWQAGYQELATALLQAEVEFSIFSPSLLLTEQSKLRLFEHFWSSNGARVGEEGAFGWLLWLEKEEENRQKILKEEFSDDNEVGGWTGWTEQVSGRNGDIASANTGEVDVDRESLDEEMEDENSKPEDDTEAMLKLLGIDVNAAASDEVKDTSTWVKWFEEEVSRDHSQWMPTRKAGKFSSVDGIGEGEDEEQLSSVVLYEDINGYLFSLCSNEARLSLVYQFIDFFGAHISPWTSSNSLSWSEKISSLETLSDSMLENLRSVHECLSKSDSANCFSLVSLLGGSYDISMRAEMMKFLRNAILLCLNVFPRNYILEEAVLVAEELYVTNMKTCEVATTPCQALAKRLLKSDRQDLLLCGVYAQREAASGNMKHARRVFDMALTSICGLPQELQCNAPLLCLWYAESEVANSNGSGRDTESSSRAIHILCYLGSGLAYIPYTSQSSSMQILRARQGFREKLKKIQSTWSHGVTDDQSAALVCSAALFEELTNDLPGAVEILEHMFSSVLPGRKSQSRQLELLFNYYVRMLQRHQDDLTLSQLWKPISEGLQLYPLNPMLYRALVDICNHRMTSHRLRMMFDDYSRKNSSVVVWLFALCYELSKGGSLHRIRGLFERALAQDTQNNSVILWRCYIAYEIDIAHNPSAARRIYFRAINACPWSKKLWLDGYGKLSSVLTAKELSDLQEVMRDKELNIRTDIYEILLMQG >fgenesh2_kg.3__1957__AT3G17750.1 pep chromosome:v.1.0:3:7579010:7583979:1 gene:fgenesh2_kg.3__1957__AT3G17750.1 transcript:fgenesh2_kg.3__1957__AT3G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L7C6] MEDSSSIDSILEFLRKNRFMRAEAALISELSNNPSSNGCLQKLNFEDNGVSKLLDKTKQRGSSQALGFQKDSHISDELVVKEIQCGAANNLRESNLMNDVSVQTQSGNADFWEERFMFSEGFEDTGLDLPPWNHTSTDIVADSEVYSINPSKRGFVNPQSSKKSSHEKVPETGKSNEVVVEDIFSPFEKIRTGSSSQVSQYDSGKACQSLEVDNKVGNSAIQEGFVTTSWSRSEENIGASPDHWKDCSVTTVFPLSKGSTSTEDNSVAILDKRQGKKKVGTSDSRILNKEQEDDVATALYLGKSQSGYEHNNLSSLAFSLPHDGPREDLPRLPHVKIKSEDKPMNFTWEEKHERDILDEKLINTENYFLIGSYLDVPIGQEINSSGGKMAGGGNWLSVSHGIADDASDLIFGFGDGLGALNEHSNEYWDSDEYDDDDDVGYIRQPIEDEAWFLGHEVDYPCDNEKGTERGSVPDTQDKSQTKNDDDHSFAEEDSYFSGEQYVLAKGIEPVTASNDPMGLSMTETYSRTKEPDLLARYEGQLMDAEELSLMHTEPVWKGFVSHENDLILLNKGKVEDNCNKLCPKDIRAEDDRNAAVRSIGVGMSDDVDDNGSIITEYFPGEGSEWDLELLPYRGVGVAGVKPPPGKGATMQLKNFASGGFSFPSPVPDRQMSHEDSANPEWSNHCNAVLRNETDESKGLIESDSMVVSLTKRRSGSSSERNITDMDDEKVASSRNSSPSALSHSSDTGREHKEEDEEETGHGPEEDPGTSFEDEDAIVVQEQVRQIQAQEQDFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEHLGSAAFSKAIQAHDLHTGIDVCVKIIKNNKDFFDQSLDEIKLLKYVNQHDPADKYHLLRLYDYFYFREHLLIVCELLKANLYEFQKFNRESGGEVYFTMPRLQSITIQCLEALNFLHGLGLIHCDLKPENILIKSYSRCEIKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGSIDQEMLAKGRDTCKYFTKNHLLYERNQESNNLEYLIPKKSSLKRRLPMGDQGFIDFVAYLLQVDPKKRPSASEALKHPWLTYPYEPISP >fgenesh2_kg.3__1959__AT3G19470.1 pep chromosome:v.1.0:3:7587286:7588654:-1 gene:fgenesh2_kg.3__1959__AT3G19470.1 transcript:fgenesh2_kg.3__1959__AT3G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L7W2] MSNLPRDLSEEVLCRIPLTSLRPVRSTCKKWSTLSKCGSFAKKHLAQAKVAEAKEFMVVMMMNFRVYLMRVNLQNTVESSCIKREAKLIGLGDDELDISQVFHCDGLLLCISITKDKTRLVVWNPYWGHTRPIEPTHQFNKLDSYSYALGYDKSSESHKILRGITCLKPFKIYDFNSDSWRVLDVTPDWHLRTIHHGVSLKGNAYWFAEEKYSETREIDDFFLVCFDFTRETFGPPLPLPFEFAVSEDAMSVSSVREEQLAVLYQPWDTLKMEIWVTSKIEPNAVSWNSKVFLSVSLKQLIGPQFHFYLGSFFIDEEKKVAVVFDKDYKDKRNMAYIIGVDGSFKEVDLGESDVRCYPLVCSYVPSLVKLN >fgenesh2_kg.3__195__AT3G02570.1 pep chromosome:v.1.0:3:672404:674740:-1 gene:fgenesh2_kg.3__195__AT3G02570.1 transcript:fgenesh2_kg.3__195__AT3G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATVVKANGGCEADRRRLRRLRCSVKDYDWGKIGSDSLVYRVYAANSDDQIDPTRPYAELWMGTHESGPSYLEDADGSNGVTLRSWITENPKSLGDRVLEKWGCDLPFLFKVLSVARPLSIQAHPDKKLAKKMHKAHPNLYKDDNHKPEMALAYTQFEALCGFIPLQELKSVIQAIPEIEELVGSEEANQVFCISEHDEEKVKSVVRTIFTLLMSADPDTTKKIVSKLKRRLHMDSQERQLTDKERLVLKLEKQYPNDIGVISAFFFNYVKLNPGEALYLGANEPHAYLFGECLEVMATSDNVVRAGLTSKPLDIQTLCSMLSYKLGYPEILKGSRIRPYITRYLPPFEEFEVDLCDLPSAASTVFPSVPGPSLLLVLQGEGRMSTEASADGISMGDVLFVPADTEIHLRSSSDLKLYRAGINSRFLYPL >fgenesh2_kg.3__1962__AT3G17780.1 pep chromosome:v.1.0:3:7595294:7596653:1 gene:fgenesh2_kg.3__1962__AT3G17780.1 transcript:fgenesh2_kg.3__1962__AT3G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWLILSYVVAAEVAIAVILTLPYPMLMKKRIVSLVSLILNPAASIVAFAGFQLLDIYWKNEHRLLCSSEVCTTTERDRYEKSIYKAQRNGVLCAAGILLYWCIFRICKYHKDLERLEELEKRYKDE >fgenesh2_kg.3__1966__AT3G17810.1 pep chromosome:v.1.0:3:7602189:7604629:1 gene:fgenesh2_kg.3__1966__AT3G17810.1 transcript:fgenesh2_kg.3__1966__AT3G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroorotate dehydrogenase family protein [Source:UniProtKB/TrEMBL;Acc:D7L7W7] MASMSFALNRFSGLSSKTTLSADFDPSTRRSFLPPTRVGLKISSATESEPDLSVTVNGLKMPNPFVIGSGPPGTNYTVMKRAFDEGWGAVIAKTVSLDAAKVINVTPRYARLRTGSNGSAKTDVIGWQNIELISDRPLETMLKEFERLKKEYPDRILIASIMEEYNKTAWEELIDRVEQTGVDALEINFSCPHGMPERRMGAAVGQDCVLLDEVCGWINAKATVPVWAKMTPNITDITEPARVSLKSGCEGISAINTIMSVMGVDMKTLRPEPCVEGYSTPGGYSYKAVRPIALAKVMNIAKMMKSEFSENHSLSGIGGVETGYDAAEFILLGSNTVQVCTGVMMHGYGHVKTLCAELQDFMKQHNFSTIEEFRGHSLQYFTTHTDLVKRQKEAVEQRKAEKRGLKSDKDWTGDGFVKETESMVSN >fgenesh2_kg.3__1967__AT3G17820.1 pep chromosome:v.1.0:3:7605571:7607739:1 gene:fgenesh2_kg.3__1967__AT3G17820.1 transcript:fgenesh2_kg.3__1967__AT3G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:D7L7W8] MSLLSDLVNLNLSGATDKIIAEYIWIGGSGMDIRSKARTLPGPVTDPSKLPKWNYDGSSTGQAAGEDSEVILYPQAIFKDPFRKGNNILVMCDAYTPAGDPIPTNKRHNAAKIFSHPNVASEEPWYGIEQEYTLMQKDVNWPIGWPVGGFPGPQGPYYCGVGADKAIGRDIVDAHYKACIYAGIGISGVNGEVMPGQWEFQVGPVEGISAGDQVWVARYLLERITEISGVNVSFDPKPVPGDWNGAGAHCNYSTKTMRNDGGLEVIKKAIGKLQLKHKEHIAAYGEGNERRLTGKHETADINTFSWGVANRGASVRIGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTILG >fgenesh2_kg.3__1968__AT3G17830.1 pep chromosome:v.1.0:3:7608949:7611671:1 gene:fgenesh2_kg.3__1968__AT3G17830.1 transcript:fgenesh2_kg.3__1968__AT3G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:UniProtKB/TrEMBL;Acc:D7L7W9] MAAMARCALIPSINPAHSFRHQFPQTNASFFLAPTLPNFLRVRRCGISGGYRRRVIIMAAGKDHYSTLNVNRNATLQEIKSSYRKLARKYHPDMNKNPGAEDKFKQISAAYEVLSDEEKRSVYDRFGEAGLERDFNGSQDTSPGVDPFDLYSAFFGGSDGFFGEMGESGGMGFDFMNKRSLDLDIRCDLRLSFEEAVFGVKREIEVSYLETCDGCGGTGAKSSNAIKQCSSCDGKGRVMNSQRTPFGIMSQVSTCSKCGGEGKIITDKCRKCIGNGRLRSRKKMDVVVPPGVSDRATMRIQGEGNVDKRSGRAGDLFIVLQVDEKRGIRREGLNLYSNINIDFTDAILGVTTKVETVEGTMDLRIPPGTQPGDTVKLPRKGVPDTDRPSIRGDHCFVVKVSIPKKLSERERKLVEEFSSLRRSSSSTGPNETRQEEHSFGSEPRKEPPSLWHKMKNFIRPEDSRTKFGTMSLNPSLPLRGMKVSETSIVISVLALCVITSAVALVQKKGNRSKQKKET >fgenesh2_kg.3__1969__AT3G17840.1 pep chromosome:v.1.0:3:7618395:7621081:1 gene:fgenesh2_kg.3__1969__AT3G17840.1 transcript:fgenesh2_kg.3__1969__AT3G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFVFLSIFLLSLPLPSIGDLAADKSALLSLRSSVGGRTLLWDVKQTSPCNWTGVVCDGGRVTALRLPGEKLSGHIPEGIFGNLTQLRTLSLRLNGLTGTLPLDLGSCSDLRRLYLQGNRFSGEIPEVLFSLSNLVRLNLAENEFTGEISSGFKNLTRLKTLYLENNKLSGSLLDMDLPLDQFNVSNNLLNGSIPKSLQKFDSDSFVGTSLCGKPLVVCSNEGTVPSQPISVGNIPGTLEGSKGEKKKKKLSGGAIAGIVIGCVVGLSLIVMILMVLFRKKGNERTRGIDIATIKQHEVEIPGEKAAVEAQENRSYGNEYSPAAMKVVEVNSSGMKKLVFFGNATKVFDLEDLLRASAEVLGKGTFGTAYKAVLDAVTLVAVKRLKDVTMADREFKEKIEVVGAMDHENLVPLRAYYYSGDEKLLVYDFMPMGSLSALLHGNKGAGRPPLNWEVRSGIALGAARGLDYLHSQDPLSSHGNVKSSNILLTNSHDARVSDFGLAQLVSASSTTPNRATGYRAPEVTDPRRVSQKADVYSFGVVLLELLTGKAPSNSVMNEEGMDLARWVHSVPREEWRNEVFDSELMSIETVVSVEEEMAEMLQLGIDCTEQHPDKRPVMVEVVRRIQELRQSGSDWVG >fgenesh2_kg.3__196__AT3G02580.1 pep chromosome:v.1.0:3:676379:678241:1 gene:fgenesh2_kg.3__196__AT3G02580.1 transcript:fgenesh2_kg.3__196__AT3G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADNAYLMQFVDETSFYNRIVLSHLLPANLWEPLPHFLQTWLRNYLAGTLLYFISGFLWCFYIYYLKINVYLPKDAIPTIKAMRLQMFVAMKAMPWYTLLPTVSESMIERGWTKCFASIGEFGWILYFVYIAIYLVFVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPVDGILQAVPHVIALFIVPIHFTTHIGLLFMEAIWTANIHDCIHGNIWPVMGAGYHTIHHTTYKHNYGHYTIWMDWMFGSLKDPLLEEDGNKDSSKKAE >fgenesh2_kg.3__1971__AT3G17860.1 pep chromosome:v.1.0:3:7632985:7636018:1 gene:fgenesh2_kg.3__1971__AT3G17860.1 transcript:fgenesh2_kg.3__1971__AT3G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGLGSKNSPITVKEETSESSRDSAPSRGMNWSFSNKVSAASSQFLSFRPSQEDRHRKSGNYHLPHSGSFMPSSVADVYDSNRKAPYSTVQGVRMFPNSNQHEDTNAVSMSMPGFQSHHYAPGGRSFINNNNNSQPLVGVPIMAPPISILPPPGSIVGTTDIRSSSKPIGSPAQLTIFYAGSVCVYDDISPDKAKAIMLLAGNGSSMPRAFSPPQTHQQVVHHARASVDSSAMPPSFMPTISYLSPEAGSSTNGLRATRGLTSTPVAVPCSTNVIAPTVALPLARKASLARFLEKRKERVTSVSPYCLDKKSSTDCRRSISECISSSLSSAT >fgenesh2_kg.3__1974__AT3G17880.1 pep chromosome:v.1.0:3:7636715:7639520:1 gene:fgenesh2_kg.3__1974__AT3G17880.1 transcript:fgenesh2_kg.3__1974__AT3G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraticopeptide domain-containing thioredoxin [Source:UniProtKB/TrEMBL;Acc:D7L7X4] MVDANQVAELRRFIEQLKSNPSILHDPCLIFFKEYLRSLGAQVPKIEQTERDYEERAETKPSFSPKHADDDDDIMESDVELDNADVVEPDNEPPQPMGDPTAEVTDENRDEAQLEKSKAMEEISDGKFDEAIEHLTKAVMLNPTSAILYATRATVFLKVKKPNAAIRDANVALQFNPDSAKGYKSRGMARAMLGQWEDAAADLHVASKLDYDEEIGTMLKKVEPNAKRIEEHRRKYQRLRKEKELQRAERERRQQQEAQEREAQAALNDGQVISIHSTSELEAKTKAAKKASRLLILYFTATWCGPCRYMSPLYSNLATQHPRVVFLKVDIDEANDVAASWNISSVPTFCFIRDGKEVDKVVGADKGSLEQKIAQHSSSK >fgenesh2_kg.3__1978__AT3G17910.1 pep chromosome:v.1.0:3:7651500:7654171:1 gene:fgenesh2_kg.3__1978__AT3G17910.1 transcript:fgenesh2_kg.3__1978__AT3G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SURF1-like protein [Source:UniProtKB/TrEMBL;Acc:D7L7X8] MATSFSKILTKSNAQRHWCSTTTSISASPSLPKQFWSRHFSAVADSSSSTSAALGSQSSSSAPPQENKRGSKWSQLLLFLPGAITFGLGSWQIVRREEKFKTLEYQQRRLNMEPMKLNIDHPPDKNLDALEFRRVSCKGVFDEQRSIYLGPRSRSISGVTENGFYLITPLMPIPGDLDSMQSPILVNRGWVPRSWREKSPESTEADFAANQSTKAESPSNEPKSWWKFWSKTPVITKEHVSVVKPVEVVGVIRGGENPSIFVPSNDPSSGQWFYVDVPAMARAVGLPENTIYVEDVHEHVDRSRPYPVPKDINTLIRSKVMPQDHLNYSITWYSLSAAVTFMAYKRLKAKPTRR >fgenesh2_kg.3__197__AT3G02590.1 pep chromosome:v.1.0:3:680711:682666:1 gene:fgenesh2_kg.3__197__AT3G02590.1 transcript:fgenesh2_kg.3__197__AT3G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSADYNQFVSETSFYNRMVLSHLLPANLWEPLPHFLQTWLRNYLAGNILYFISGFLWCFYIYYLKLNVYVPKDSIPTRKAMLLQIYMAMKAMPWYTLLPAVSEYMIEHGWTKCYSTLDHFNWFVCLLYIALYLVLVEFMIYWVHKELHDFNFLYKHLHATHHMYNKQNTLSPFAGLAFHPLDGILQAVPHVIAPVPMISLFIVPIHHITHLSLLFFEGIWTASIHDCIHGNIWPIMGAGYHTIHHTTYKHNYGHYTIWMDWMFGSLRVPLAEEDSFKEKAK >fgenesh2_kg.3__1982__AT3G17940.1 pep chromosome:v.1.0:3:7662759:7664579:-1 gene:fgenesh2_kg.3__1982__AT3G17940.1 transcript:fgenesh2_kg.3__1982__AT3G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase [Source:UniProtKB/TrEMBL;Acc:D7L7Y1] MADQSKNTPQIFELNNGTMQVKISNYGTTITSLSVPDKNGKLADVVLGFDSVDPYVKGLAPYFGCIVGRVANRIKEGKFSLNGVNYTLPINKPPNSLHGGNKGFDKKIWEVAGHKKDGEKPFITFKYHSADGEEGYPGAVSVTATYTLTSATTMRLDMEAVPENKDTPISLAQHTYWNLAGHDSGNILDHKIQIWGSHITPVDEYTVPTGEILPVKGTPFDFTEEKRIGESIGEVGIGYDHNYVLDCPDQEKDGLKHAAKLSNGASSRVLNLWTNVPGMQFYTGNYVNGVVGKGNAVYGKHAGVCLETQGFPNAINQSNFPSVVVKAGEKYQHTMLFEFSA >fgenesh2_kg.3__1986__AT3G17980.1 pep chromosome:v.1.0:3:7676397:7677650:1 gene:fgenesh2_kg.3__1986__AT3G17980.1 transcript:fgenesh2_kg.3__1986__AT3G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L7Y3] MTTACPARTSSLMDDLLGLLRIRIKRGVNLAVRDISSSDPYVVVKMGKQKLKTRVINKDVNPEWNEDLTLSVTDSNLTVLLTVYDHDMFSKDDKMGDAEFEIKPYIEALRMQLDGLPSGTIVTTVKPSRRNCLAEESRVTWVDGKLVQDLVLRLRHVECGEVEAQLQWIDLPGSKGL >fgenesh2_kg.3__1987__AT3G18000.1 pep chromosome:v.1.0:3:7681653:7684890:1 gene:fgenesh2_kg.3__1987__AT3G18000.1 transcript:fgenesh2_kg.3__1987__AT3G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-methyltransferase 1 [Source:UniProtKB/TrEMBL;Acc:D7L7Y4] MAASYEEERDIQKNYWIEHSADLTVEAMMLDSRASDLDKEERPEVLSLLPSYEGKSVLELGAGIGRFTGELAQKAGELIALDFIDSVIKKNESVNGHYKNVKFMCADVTSPDLKITDGSLDLIFSNWLLMYLSDKEVELLAERMVGWIKVGGYIFFRESCFHQSGDSKRKSNPTHYREPRFYSKVFQECQTRDAAGNSFELSMIGCKCIGAYVKNKKNQNQICWIWQKVSSENDRGFQRFLDNVQYKSSGILRYERVFGQGFVSTGGLETTKEFVEKMNLKPGQKVLDVGCGIGGGDFYMAEKFDVHVVGIDLSVNMISFALERAIGLNCSVEFEVADCTTKHYPDNSFDVIYSRDTILHIQDKPALFRTFFKWLKPGGKVLISDYCRSPKTPSAEFSEYIKQRGYDLHDVQAYGQMLKDAGFTDVIAEDRTDQFMQVLKRELDRVEKEKEEFISDFSKEDYDDIVGGWKAKLERCASDEQKWGLFIANKN >fgenesh2_kg.3__1989__AT3G18010.1 pep chromosome:v.1.0:3:7688354:7690473:-1 gene:fgenesh2_kg.3__1989__AT3G18010.1 transcript:fgenesh2_kg.3__1989__AT3G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WOX1 protein [Source:UniProtKB/TrEMBL;Acc:D7L7Y5] MWTMGYNEGGGDSFNGGRKLRPLIPRLSSCPTAAVNTNSDHRFNMAVVTMTAEQNKRELMMLNSEPQHPPVMVSSRWNPTPDQLRVLEELYRQGTRTPSADHIQQITAQLRRYGKIEGKNVFYWFQNHKARERQKRRRQMEAGHEESVFSTTSLVSNHGFDKKDPSGYKVEQSKNWICSIGCDTQPEKPSHDHHLEEPANIRVERNGRCGGDERRSVLGINATWQMMQLPPGFYPSPHHHHQRNLILNSTTVSSNMSNSNITVSVAKDTVTISPVFLRTREAANTETCRRNCDDNKDQERDCSNGEPDHQEQTLELFPLRKEGFCSDGEKEKEINSIHCFYEFLPMKN >fgenesh2_kg.3__198__AT3G02600.1 pep chromosome:v.1.0:3:683530:686901:1 gene:fgenesh2_kg.3__198__AT3G02600.1 transcript:fgenesh2_kg.3__198__AT3G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSFPCFPNFGGFNQAVTNRGPEISETADNWVSPSDIPLIEPNSKEHRMREAQLGGHTVRSHGMTVARTHMHDWIILVLLIILECVLLIIHPFYRFVGKDMMTDLSYPLKSNTVPIWSVPVYAMLLPLVIFIFIYFRRRDVYDLHHAVLGLLYSVLVTAVLTDAIKNAVGRPRPDFFWRCFPDGKALYDSLGDVICHGDKSVIREGHKSFPSGHTSWSFAGLGFLSLYLSGKIQAFDGKGHVAKLCIVILPLLFAALVGISRVDDYWHHWQDVFAGGLLGLFSLSTQKGLVICYLQFFPPPYHTEGWGPYAYFQVLEAARAQGTANGAVQQPPQVDNGEEEDGGFMGLHLVDNPTVRREEDVETGRG >fgenesh2_kg.3__1990__AT3G18020.1 pep chromosome:v.1.0:3:7692593:7694662:1 gene:fgenesh2_kg.3__1990__AT3G18020.1 transcript:fgenesh2_kg.3__1990__AT3G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L7Y6] MFFVTRLRLRARENGFLLSKSLSFSSASILKSDDVEGEDDAVEAEDRRRSVTNRAYWRRRIHSICAVRRNPDEALRVLDGLCLRGYRPDSLNLSSVIHSLCDAGRFDEAHRRFLLFVASGFIPDERTCNVIIARLLDLRSPVSTFGVIQRLIGFKKEFVPSLTNYNRLINQLCLIYRVIDAHKLVFDMRNRGHLPNVVTFTTLIGGYCEIRELEVAHKVFDEMRGCGIRPNSLTMSVLIGGFFKMRDVETGRKLMKELWEYMKDEADTSMKTAAFANLVDSMCREGYFNDIFEIAENMPQCESVNVEFSYGHMIDSLCRYRRNHGAARIVYIMKSKGLKPRRTSYNAIIHGLCKDGGCMRAYQLLEEGSEFGFFPSEYTYKLLVESLCRELDTGKTRNVLELMLRKEGTDRTRIYNIYLRALCVMDNPTEILNVLVSMLQGDCSPDEYTLNTVVNGFCKMGRVDDAMKVLGDMMTGKFCAPDAVTLTTVMCGLLSQGRAEEALDVLNRVMPEKKIKPGVVTYNAVIRGLFKLNKGDEAMCVFDQLEKASVTADSTTYAIIIDGLCVTSQVDMAKKFWDDVIWPSGRHDVFVYAAFLKGLCRSGYLSDACHFLYDLADSGAIPNVVCYNTVIDECSRSGLKREAYQILEEMRKNGQAPDAVTWRILDKLHDSSMPLAVEGELNSNPATSG >fgenesh2_kg.3__1991__AT3G18030.1 pep chromosome:v.1.0:3:7694830:7695869:-1 gene:fgenesh2_kg.3__1991__AT3G18030.1 transcript:fgenesh2_kg.3__1991__AT3G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGKRDRQEMEVNTTPRKPRVLLAASGSVAAIKFGNLCHCFTEWAEVRAVVSKSSLHFLDKLSLPQEVTLYTDEDEWSSWNKIGDPVLHIELRRWADVLVIAPLSANTLGKIAGGLCDNLLTCIIRAWDYSKPLFVAPAMNTLMWNNPFTERHLLSLDELGITLIPPIKKRLACGDYGNGAMAEPSLIYSTVRLFWESQAHQQSGGTS >fgenesh2_kg.3__1992__AT3G18035.1 pep chromosome:v.1.0:3:7696745:7699346:-1 gene:fgenesh2_kg.3__1992__AT3G18035.1 transcript:fgenesh2_kg.3__1992__AT3G18035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSVGDPHHPPQFTPFPPFPTSNHFVSGAVPALNHHPFNNNNHVFQPQPQTQIPQPSMIQVPPHPINHPPYSEMICAAIAALNEPDGSSKMAISRYIERCHPGLTSAHAALLTHHLKTLKNSGVLTMVKKSYKIASSSTPPASVAVAAAAAAQGLDVPRSVILPSTNSTVNDPMAVSASQPLKRGRGRPPKPKPEGQQQQPINAQPIQVQSNGQPIWQLQQVQLPVTVPVPVTESAKRGPGRPRKNGAAAVAPIVQASVMAGIMKRRGRPPGRRAAGRQRKPKSVSATASVYPYVANGARRRGRPRRVVDPSSIVTVAPVGGENVAAVAPGMKRGRGRPPKIGGVISRLMKPKRGRGRPVGRPRKFATSVTTGAQDSGELKKKFDIFQEKVKEIVKVLKDGVTSENQAVVQAIKDLEALTVTETVVEPQVEEVPPEETAEPLTEAEAAETQGGQEEGQEREGGEAQTQTEAEAMQEALF >fgenesh2_kg.3__1996__AT3G18070.1 pep chromosome:v.1.0:3:7721803:7724440:1 gene:fgenesh2_kg.3__1996__AT3G18070.1 transcript:fgenesh2_kg.3__1996__AT3G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase [Source:UniProtKB/TrEMBL;Acc:D7L7Z3] MFLFLLLLSASRSGDSSPSGDAVPLDTGDLNRQSFPKGFLFGTATSAYQVEGETHQDGRGPSIWDAFVKIPGKIANNATAEITVDQYHRYKEDVDLMENLNFDAYRFSISWSRIFPEGSGKINWNGVAYYNRLIDYLIQKGITPYANLYHYDLPLVLERKYQGLLSKQVVDDFADYAEFCFKTFGDRVKNWMTFNEPRVVAALGYDNGIFAPGRCSKAFGNCTDGNSATEPYIVAHHLILAHAAAVQRYRQNYKEKQKGRIGILLDFVWFEPLTSSKADNDAAQRARDFHVGWFIHPIVYGEYPYTMQNIVKERLPKFAEEEVKMVKGSIDFVGINQYTTYFMSDPKISTIPKDLGYQQDWNVTFNFAKNGTPIGPRAHSEWLYNVPWGMYKALMYIKERYGNPTMILSENGMDDPGNITLTQGQNDTTRIKYYRDYLAQLKKAVDDGANLTGYFAWSLLDNFEWLSGYTSRFGIVYVDYKDLKRYPKMSALWFKQLLKRDQK >fgenesh2_kg.3__1998__AT3G18080.1 pep chromosome:v.1.0:3:7728779:7731614:1 gene:fgenesh2_kg.3__1998__AT3G18080.1 transcript:fgenesh2_kg.3__1998__AT3G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7L7Z4] MRYIISPPWPLLLLLLLLSSFTSGESSPSVEKIKFDTGGLSRQSFPKGFLFGTATSAYQVEGETHQDGRGPSIWDAFVKIPGTIAKNATAEITVDQYHRYKEDVDLMKKLNFDAYRFSISWSRIFPEGSGKVNWKGVAYYNRLIDYLVQKGISPYANLYHYDLPLALEKKYKGLLGRQVVNDFADYAEFCFKTFGDRVKNWMTFNEPRVVAALGYDNGIFAPGRCSKAFGNCTEGNSATEPYIVSHHLILAHAAAVQRYRKYYQAKQKGRIGILLDFVWYEPLTRSKADNLAAQRARDFHIGWFIHPIVYGEYPKTMQNIVKERLPKFTKEEVKMVKGSIDFVGINQYTTYYMSEPHPTTKPKALGYQQDWNVDFGFAKLGKPIGPRAYSYWLYNVPWGMYKALMYMKERYGNPTMILSENGMDDPGNVTLAQGLHDTTRIKYYKDYLTNLKKARDDGANVVGYFAWSLLDNFEWLSGYTSRFGIVYVDYKTLKRYPKMSAQWFKQLLKRNNK >fgenesh2_kg.3__19__AT3G01940.1 pep chromosome:v.1.0:3:48327:48539:1 gene:fgenesh2_kg.3__19__AT3G01940.1 transcript:fgenesh2_kg.3__19__AT3G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGADWGPVAVAVVLFVVLSPGLLFQLPARRRVLECGNMTTSGISILVHAILFFAIITILVIAIQIHIHI >fgenesh2_kg.3__2002__AT3G18100.1 pep chromosome:v.1.0:3:7739487:7743445:1 gene:fgenesh2_kg.3__2002__AT3G18100.1 transcript:fgenesh2_kg.3__2002__AT3G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB4R1 [Source:UniProtKB/TrEMBL;Acc:D7L7Z6] MNRNSLYEADDDDDDDEEDDIGEDLEDLRRACMVSDVNSDKFAAKTGSIEPDGGGGGEMPSDSENEDDFEMLRSIKSQLASSKDADLSSGPPIGLSLLSDSESEDDFELIRSIKSQLALSMDVSLPPIGLSDDDEDDAFETLRAIRRRFSAYKNFDSEGNFMNDSPGKKKQVHDLDNEPSSELLSRSNTCESFPDHGKSVVTVPDSEDVHEKTSIEPPDHLETCQVSAASSSFPKAALAFVDAIRRNRAYQKFLRRKLVEIEATIEQNEKHKKNVKIVKDFQASCKRITKLALSQKKDPRVELISTRKSEPCDSSEGNDKKISPLMLGPAENPCVANYRMVLEKYPISVERRKWSTEENKKLAKGLKQEVQKILLSEAIEQSSDLEGSIYDIDTINESIGNLEITPEMIRQFLPKINWDLLDIKDRSAAECEARWMSSEDPLINHGPWTAAEDKNLLRIIEKKSLTDWVDIAVSLGTNRTPFQCLTRYQRSLNPSILKKEWTAEEDDQLRAAVELFGDKDWQSVANVLKGRTGPQCSNRWKKSLRPTRKGTWSLEEDKRVKVAVTLFGSQNWHKISQFVPGRTQTQCRERWLNCLDPKVNRGKWTEEEDRKLREAIAEHGYSWSKVASKLSCRTDSQCLRRWKRLYPHQVALLQEARRLQKEGSVGNFVDRESERPSLVTGAILALPEISLEPEPDSVTVKKKRKAKEKKSDAERQPKRRRKALKKCSGDVCRQENETVCENEPNNEGEERLLELECHNEILGNAKEKQRRKRKSVAETSNNNTGLKKLTPRRKKVSAVVPKKNQDAPN >fgenesh2_kg.3__2005__AT3G18140.1 pep chromosome:v.1.0:3:7750699:7752826:-1 gene:fgenesh2_kg.3__2005__AT3G18140.1 transcript:fgenesh2_kg.3__2005__AT3G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7L7Z9] MSQPSVILATASYDHTIRFWEAETGRCYRTIQYPDSHVNRLEITPDKRYLAAACNPHIRLFDVNSNSPQPVMTYDSHTNNVMAVGFQCDGKWMYSGSEDGTVKIWDLRAPGCQKEYESVAAVNTVVLHPNQTELISGDQNGNIRVWDLRANSCSCELVPEVDTAVRSLTVMWDGTMVVAANNRGTCYVWRLLRGKQTMTEFEPLHKLQAHNGHILKCLLSPANKYLATASSDKTVKIWNVDGFKLEKVLTGHQRWVWDCVFSVDGEFLVTASSDMTARLWSMPAGKEVKVYQGHHKATVCCALHD >fgenesh2_kg.3__2009__AT3G18160.1 pep chromosome:v.1.0:3:7762416:7764951:1 gene:fgenesh2_kg.3__2009__AT3G18160.1 transcript:fgenesh2_kg.3__2009__AT3G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin-3 family protein [Source:UniProtKB/TrEMBL;Acc:D7L803] MDLVRGFWRKHRRKILVTTTCLGSGYLLYKLYNAHTRKLADLERELAYERENDEIIKTQMKAHFDNIQMIADTTTLPHAMHHLSSRLVEEIDVSSIMDKLSKGKGILIPSEKLHLWNELKILSFTRMVLSLWSVTMLSLYIRVQVNILGRHLYIDTARGLGSSHLLEELDLIDRDDEQKFLASADFLATSGIPSLISNMQGAVKEVLKGKQLKDVFTTRVLQETVMRILDVFMSTGSPHHWVDYLMMSQDATSDVSSSDATVTKFHQLITETREVVTSNDFTNVAEISLKCCAVALVEEMETQTALAKGMQLAKLLPQIEKTMPEISAEPSKNRFLQLIRDLPEVKLFFTLLYANMPQ >fgenesh2_kg.3__2010__AT3G18165.1 pep chromosome:v.1.0:3:7765217:7767332:1 gene:fgenesh2_kg.3__2010__AT3G18165.1 transcript:fgenesh2_kg.3__2010__AT3G18165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNGDVLMLEAAPEAARPWASAANAEVIDALPYIDDDYGNPLIKSEVDRLVEEEMRRSSKKPADFLKDLPPLPKFDFENCPVLGKEYERVRAGKPPVRIDFESRYKLELPPANKSNDAAAWKQYLQKNQRSLQQKLIELENLELMSKLGPELWRQNNHRLEVFLTRMQRLAQEQNKEIEKVNRERKYHQQTTSYELNALSQEWRQLCVKNMEIQSACAMLETQMDSFKKEAAERGWNLEEKLENVEPLQMQ >fgenesh2_kg.3__2013__AT3G18180.1 pep chromosome:v.1.0:3:7775796:7777536:1 gene:fgenesh2_kg.3__2013__AT3G18180.1 transcript:fgenesh2_kg.3__2013__AT3G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKDLLYDSILARSFSKTDQMRLGFGAFIASLLFVFTLCTVVKPYLSPLPIGKSLNTWSYKYQLAQDAENNRTASNHLDSFNCTTNTTISKEEVISECNKMEKPICHCNKLGSKEFCDLSGDVTVLAAITPYARKDQGAAMKRVREWTVKLIQNASLSRCVKNHSVPAILFSLGGFSLNNFHDFTDIVIPLYTTARRFDGEVQFLVTNKNPWWISKFKGLVRKFSNYEVIYIDEEDETHCFGSVIVGLNRHRDYDKELTIDLSNSECSMSDFRKYLRDAYSLRNAAVSTWRRPRLLILSRSISRAFVNADEIARAARQMGFNVVVVEANTGIASFAQTVNSCDVMLGVHGAGLTNMVFLPENAVVIQILPIGGFEWLANTDFEDPSKGMNLRYLEYKIAAEESTLAKQYGRDHEVVRDPSAVAKRGWGTFKSVYLVQQNVTVDINRFKPVLVKALELLHNQSV >fgenesh2_kg.3__2016__AT3G18200.1 pep chromosome:v.1.0:3:7781483:7783258:-1 gene:fgenesh2_kg.3__2016__AT3G18200.1 transcript:fgenesh2_kg.3__2016__AT3G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7L809] MGKGVVSEKVKLVVALITLQFCFAGFHIVSRVALNIGVSKVVYPVYRNLLALLLIGPFAYFFEKKERPPLTISLLAQFFFLALIGITANQGFYLLGLYYATPTFASAMQNSVPAITFIMACTLRLEHIDLVRKHGVAKVLGTLVSIGGATVITLYRGFPIFDQGLNMQKEEVIGSDKSSHNWKLGWLYLMGHCLSWAGWMVLQAPVLKQYPAKLTLTSFTCFFGLIQFLVIALFVETDLNNWIIVSWEELFTILYAGIIASGLVVYLQTWCIYKSGPVFVAVFQPLQTLLVAAMAFLILGDQLYSGRIVGAVFIMLGLYLVLWGKNEERKLVLEESQQDPESLTKNLLEAQHQKSISESEV >fgenesh2_kg.3__2017__AT3G18210.1 pep chromosome:v.1.0:3:7785312:7787978:-1 gene:fgenesh2_kg.3__2017__AT3G18210.1 transcript:fgenesh2_kg.3__2017__AT3G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7L810] MSSEQREGSQETTTTTVEGNGTIGGNNSHSAVAATLRATSTMASCQRLRLNPNNEHRPDTYEDLQLDFPNSVYSSLEKYLPPNMLVSNRDEKIKFMTDIMLRHLPHGERSRAQRHRDYRQKIISNYQPLHRELYTLVPTVCFVPSFLKAINESTEESFRNIISEPSPGVFVFDMLQPSFCEMMLSEIDNFERWVGETKFRIMRPNTMNKYGAVLDDFGLDTMLDKLMEGFIRPISKLFFSDVGGASLDSHHGFVVEYGKDRDVDLGFHVDDSEVTLNVCLGNQFVGGELFFRGTRCEKHVNTATKADLTFDYDHIPGQAVLHRGRHRHGARATTSGHRVNMLLWCRSSVFRELKTHQKEFSSWCGECFCEKRDEKVRSIDALRKKLFKARHTQA >fgenesh2_kg.3__2023__AT3G18240.1 pep chromosome:v.1.0:3:7810451:7812442:1 gene:fgenesh2_kg.3__2023__AT3G18240.1 transcript:fgenesh2_kg.3__2023__AT3G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALFRNASLCARRFILSPRITHQISPNVPFLAPIASPAPLKFSFFSSESDSSGENSTTAPDSSPSESSDKKDLVVEDVSNKELKSRIEKYFNEGNEDALPGVIEALLQRRLADKHAETDDELIEKIETLPFKDDVKDEDFESDFEDAHSTDEELEDLYNSPEYVAEKMRKNEFFNMDDKKWDHMIREGIQHGCLTDTKECEEILEDMLKWDQLLPDDLKKKVEAKFNELGDMCERGELEAEAAYELFKEFEDEMVIQYGDQMEAEGPPQFGETDASDRKTDLDDPPGKGPILRWQSRIVFAPGGDAWHPKNRKVKMSVTVKELGLSKHQAKRLRELVGKRYHSGKDELTITSERFEHREENRKDCLRTLYGLIEEAGKANKIAEDIRTSYVKQRLRANPAFMQKLQAKIIRSKESDAINA >fgenesh2_kg.3__2024__AT3G18250.1 pep chromosome:v.1.0:3:7812538:7812817:-1 gene:fgenesh2_kg.3__2024__AT3G18250.1 transcript:fgenesh2_kg.3__2024__AT3G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLLEDVMSMATTTTHFDASYLFHVVFLAVIGSCILSALLFSCADGASDNRATSGNSTGGGGCGGAGCGGGCG >fgenesh2_kg.3__2025__AT3G18260.1 pep chromosome:v.1.0:3:7814856:7816150:-1 gene:fgenesh2_kg.3__2025__AT3G18260.1 transcript:fgenesh2_kg.3__2025__AT3G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L8G1] MPIFTGSSDSEDERTIHKTTKLFTRQRSIHSIFGGGKVADILLWREPKTAATLVIGVSLLWFLMEVVGYNFITLICHASMTSMLLFFIWSTASDFLNWERPIIPEVVLDESSFKQLARSFHVRFNQILAKLLDIACGRDPPLFFLTLGTISLYILSIIGTYFNFVNFLFLGFVSMHTLPVMYEMYEDDVDRVAGKWMRKMKKLYKKVDSNVLSKIPRGTVKNKKF >fgenesh2_kg.3__2026__AT3G18270.1 pep chromosome:v.1.0:3:7816687:7818997:1 gene:fgenesh2_kg.3__2026__AT3G18270.1 transcript:fgenesh2_kg.3__2026__AT3G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 77, subfamily A, polypeptide 5 pseudogene [Source:TAIR;Acc:AT3G18270](projected from arabidopsis_thaliana,AT3G18270) MFSIVSSSSSLVNSTLNIQNSPKSPISVMSATSKFKTLTENFTVRVLKAENRELNVPLLSPFTIASSRLDSVNNVAIRIELSDGCVGWGEAPILPSVTAEDQITAMVKARETGEFLRELPDMKLGNALKEIERFLPGHQFASVRAGMEMAMIDAAAKSVGMPLWKLFGGASSIITTDITIPIVSPEEASTLASKYRKRGFETLKLKVGKNLKADLEVLQAIRAVHPTCSFILDANEGYQTEEAVRVLETLHEMKVTPVLFEQPVHRDNWEGLSHVTRTAKNRFGVSVAADESCRGLTDLKKIIEGGFVDVVNIKLAKTGILEALEVIELAKSSGIELMMGGMVETRLAMGFSGHLAAGLGCFRFIDLDTPLLLSDDPVQGGYKAWGAVYEFNDEGGHGGYLQWNDVA >fgenesh2_kg.3__2028__AT3G18290.1 pep chromosome:v.1.0:3:7831466:7838060:1 gene:fgenesh2_kg.3__2028__AT3G18290.1 transcript:fgenesh2_kg.3__2028__AT3G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2454 [Source:UniProtKB/TrEMBL;Acc:D7L8G3] MATPLPDFETARGGGGVASSSTTVLTSSVSSSSSSRPLPVANSFSEDAEEISPILIFLFFHKAVCSELETLHRLALEFATGHHVDLRLLRERYRFLRSIYKHHCNAEDEVIFSALDIRVKNVAQTYSLEHKGESNLFDHLFELLNSATETDESYRRELARSTGALQTSVSQHLAKEQKQVFPLLIEKFKYEEQAYIVWRFLCSIPVNMLAVFLPWISSSISVDESKEMQTCLKKIVPGEKLLQQVIFTWLGGKSNTVASCRIEDSMFQCCLDSSSSMLPCKASREQCACEGSKIGKRKYPELTKFGSSDTQMHPVDEIKLWHKSINKEMKEIADEARKIQLSGDFSDLSAFDERLQYIAEVCIFHSLAEDKIIFPAVDGEFSFSEEHDEEENQFNEFRCLIENIKSAGASSTSAAEFYTKLCSHADQIMETIQRHFHNEEIQVLPLARKNFSLKRQQELLYQSLCIMPLRLIERVLPWLTASLTEDEAKNFLKNLQAGAPKSDVALVTLFSGWACKGRKAGECLSPNGNGLCPVKTLNNIKEVNLQSCNTCASVPCTSRSTESCCQHQDKRPAKRTAVLSCEKKTTTHSSEVANGCKPSGNGRSCCVPDLGVNNNCLELGSLPAAKAMRSSSLNSAAPALNSSLFIWEMDSNSFGTGHAERPVATIFKFHKAISKDLEFLDVESGKLIDCDGTFIRQFIGRFHLLWGFYKAHSNAEDDILFPALESKETLHNVSHSYTLDHKQEEKLFGDIYSVLTELSILHEKLQSDSVMGDIAQTDTVQTDIDNSDCKKKYNELATKLQGMCKSIKITLDQHIFLEELELWPLFDKHFSIQEQDKIVGRIIGTTGAEVLQSMLPWVTSALSEDEQNRMMDTWKQATKNTMFDEWLNECWKGSPDSSSTETSKPSPQKDNDHQEILDQTGELFKPGWKDIFRMNQNELEAEIRKVYQDSTLDPRRKDYLVQNWRTSRWIAAQQKLPKETETALNGEVELGCSPSFRDPEKQIYGCEHYKRNCKLRAACCDQLFTCRFCHDKVSDHSMDRKLVTEMLCMRCLKVQPVGPICTTPSCDGFPMAKHYCSICKLFDDERAVYHCPFCNLCRVGEGLGIDFFHCMTCNCCLGMKLVNHKCLEKSLETNCPICCEFLFTSSEAVRALPCGHYMHSACFQAYTCSHYTCPICGKSLGDMAVYFGMLDALLAAEELPEEYKNRCQDILCNDCECKGTTRFHWLYHKCGSCGSYNTRVIKSETTPPDCSTSS >fgenesh2_kg.3__2031__AT3G18310.1 pep chromosome:v.1.0:3:7841947:7844664:-1 gene:fgenesh2_kg.3__2031__AT3G18310.1 transcript:fgenesh2_kg.3__2031__AT3G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITDEWGRKLCISSSPEESIGPFFSNPSDSQLLFSSPSLSPPILSTIPHFSPARFLSVSGVPPSDSSAIHSSFNILNPHDDTARVLSYNRLQFLPFPSKNSVLVFFPTGTNLDQIGFLLLSTGDSGGLQVTGSDEGDVFVATERLFYRILKILVQPVSDFGAYKCSSSGELGYVLVYCLYSIHWYCVKYDESQGKPVLRNLGSKQFKRFMIVSASWSPHVTGECLLLLDNGEVFVFDLNQRHCRLRGCKLKVSWESQGKSVNKSWLGCEFGWRVGIYIVARSDAVFAITRSSENCSVRCLLEVETLNMAGTEVFVGFAKAGSDGFRFILASQSYVFLCDPRSGVPLLKWQHDVEKPCFMDVYSLSELGVRTVESNTSCVIIGSFWNAQSQMFCYGPSPSVVKDPSSLYVWELPHNLLLPVGKCLCGDCVFREVMMKESLPEWIDWQKKSVLVLGFGVLNKYLPLGSSDQSSGFTLIRLTSSGKLEAVKFRASRLKSLEVVAHKDSACKSDEVNLLYLPDDEEYKFPSRYEYLELNYLSSHAKGMLAGFLDTKMRTKSSDLQKSKSFSLIWHEELCKKLKICGFGRDRSSSSITAVFENIDSPTSVFDIALRETWSSLPIEILLLAFSNYSEFADVLVDKKKPSLEFLVVPEFPQLPPFVLRKPSSRSNKWSKKEQPGVELVGPVLPLPVLITLHEFRNGCLNSEQEFSPEAELSNRCNQISKVTRELANSGRDETTISLDDDLDDEMWLNSDSQKEKKTFIAYRPITKTADSDRLQQEVTTFVSRMRRCKEGDDNVGGRTGLELFGELSPVEICFENREVNFDTKALLTSKTFLSQWQHRSSSYQEFLSQYHLTK >fgenesh2_kg.3__2035__AT2G07130.1 pep chromosome:v.1.0:3:7867896:7871259:-1 gene:fgenesh2_kg.3__2035__AT2G07130.1 transcript:fgenesh2_kg.3__2035__AT2G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLSLLKYDFSTEKYEPVTLPFPSHAHCFREAHCLSVVRGEKLSLSNDNILLRATCCRFLRRPTMTIPNLPKDLVEEVLSFVPATYLKRLSSTCKPWNRLIHNDKRFARKHFDNAAKEFLVFMLRKNFRICRLSVNLHGTDPSAEVKGELTIPDPYFKNSADQFKIDKVFHCDGLLLCTSKLERRMVVWNPLTGETKWIQIREERGTFVLGYSQDKNKSCNKSYKIMGFYRSGGQVWEYDLNSDSWRVLDGIRPKWYSGFDYRCVSLKGNAYMLAKDVTDVGLSLSLLKYDFSTEKYVPVTLPFPSQARSFNNANHKRSKAEIWVTNKIDDTTKGAVSWSKVLALDLSRELQISFKTNFLVDEEKKVFMCCVRLREDGDENKSKYKVYIVGEDNEVKEIDFGVNATSGSWPTILSLYVPSLVQIQQAGGKMERGD >fgenesh2_kg.3__2037__AT3G18360.1 pep chromosome:v.1.0:3:7876817:7877647:-1 gene:fgenesh2_kg.3__2037__AT3G18360.1 transcript:fgenesh2_kg.3__2037__AT3G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFNDYHHHPKREPNENNSGILYTPSPSPSPSPPTLKVNKDSHVIKKPPSPSSSSSAAKPRHPVIIYTHTPRIIHTNPKDFMALVQKLTGMSRSDEDPGGNATTDPGGKSINRTVSDLTVDRKNNRNRCGGGGGNNNYNRSFSGTGAGTGNGKGIFFNNTMISEDSESSSVITTDENIGEHGQVNSSLPYSAVAIPPQPPPHPPPPPSMYDAAGINYGAYLPIFPPANPTDNFLCGNQPFANFDDPLFFAPNMRSSFSSSSSSGFDGLTEFRDF >fgenesh2_kg.3__2039__AT3G18380.2 pep chromosome:v.1.0:3:7886056:7888533:-1 gene:fgenesh2_kg.3__2039__AT3G18380.2 transcript:fgenesh2_kg.3__2039__AT3G18380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7L8H5] MGRPPSNGGPAFRFILPEVTEMEAILLQHNTAMPGRHILEALADKFSESPERKGKIVVQFKQIWNWFQNRRYALRARGNKAPGKLNVSSMPRMDLPNQMRNVVQPLAVPKTTHMTGNLPGMTPAPSGILVPGVMRSGSDNSYLEFEAKSARDGAWYDVQAFLAHRNLEIGDPEVQVRFAGFEVEEDEWINVKKHVRQRSLPCEASECVAVLAGDLVLCFQEGKDQALYFDAIVLDAQRRRHDVRGCRCRFLVRYSHDQSEQEIVPLRKICRRPETDYRLQQLHNAVNDLANSNQHQIPALDAAAKTPLSQSGATVPIVASKPKDPSLSATPATLVQPSSNAATVPAGSA >fgenesh2_kg.3__2043__AT3G18410.1 pep chromosome:v.1.0:3:7899591:7900729:1 gene:fgenesh2_kg.3__2043__AT3G18410.1 transcript:fgenesh2_kg.3__2043__AT3G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKGLPEFEESAPDGFDPANPYKDPVAMVEMREHIVREKWIQIEKAKILREKVKWCYRVEGVNHYQKCRHLVQQYLDSTRGVGWGKDHRPISLHGPKPEAVEAE >fgenesh2_kg.3__2045__AT3G18420.1 pep chromosome:v.1.0:3:7900807:7901886:-1 gene:fgenesh2_kg.3__2045__AT3G18420.1 transcript:fgenesh2_kg.3__2045__AT3G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L8H9] MFSSLSVSSSLSSSLVTSFVAVKAVPVTGPLIPRRDLLSIRIRASSNQNGSGYCFPEKLKYFAKSAILIGAAVSMTGKFSTLPVKAESPVTTTEEAYQEVKEEKLSEIAPLSELLDSTPEAVETLRSLLQQKLEKGEDEEALKLLERLVTAQPDETEWKFLMARLLGEMGRPENARQMFEEILQRNPLSFEALFENALLMDRSGEGAAVLQRLEDALAVAEAEYLVKEARDVRLIIAQIHFLQKNVDEALKSYEQLTKEDPKDFRPYFCRGMIYSLLDKNVEAKEQFAKYRELSPKKFEVEGYLRTPLSKMKLFGGNEEN >fgenesh2_kg.3__2046__AT3G18430.1 pep chromosome:v.1.0:3:7902048:7903707:1 gene:fgenesh2_kg.3__2046__AT3G18430.1 transcript:fgenesh2_kg.3__2046__AT3G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7L8I0] MGNTSSMLTQYDIEEVQSHCHDLFEQQEILSLYQRFCQLDRNAKGFISSDEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSAKASLRQKVQLIFKVYDSDCNGKVSFKDIMEVLRDLSGSFMSDEQREQVLSQVLKESGYTSDSFLTLEDFIKIFGSSRPEMDVEIPVD >fgenesh2_kg.3__2048__AT3G18450.1 pep chromosome:v.1.0:3:7907245:7908447:-1 gene:fgenesh2_kg.3__2048__AT3G18450.1 transcript:fgenesh2_kg.3__2048__AT3G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDGQKNQAPPVQHTASPNNKVHSHNGAPGKPTNIPTGIRVNYQQTQNRWSSELFDCMNDSENAVITLIAPCVTFGQIAEVVDEGATPCATAGLLYGAIFFSGACFVYSYMFRAKIRNKYGLPDAPAPDWITHLVCMQCALCQEYRELKHHGFDPILGWAGNVQQAQQQEMMTPPTGQRMMG >fgenesh2_kg.3__2050__AT3G18470.1 pep chromosome:v.1.0:3:7911488:7912464:-1 gene:fgenesh2_kg.3__2050__AT3G18470.1 transcript:fgenesh2_kg.3__2050__AT3G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQWTSGLFSCMEDSENACLTCFCPCVTFGRIANIADEGRTGCGSCGVFYGLICFVVGLPCLFSCTYRTKIRSKFGLPESPASDCLTHCFCECCALCQEYRELKTRGLDPSLGWNGNMQRTMAPPMSQQMMG >fgenesh2_kg.3__2052__AT3G18490.1 pep chromosome:v.1.0:3:7925175:7927072:-1 gene:fgenesh2_kg.3__2052__AT3G18490.1 transcript:fgenesh2_kg.3__2052__AT3G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7L8I7] MAFPRFLSLLTTVTLSLFLTATDASSRSLSTSTKTTVLDVVSSLQQTQTILSLDPTRSSLTATKPESISDPVFFNSSSPLSLELHSRDTLVASQHKDYKSLVLSRLERDSSRVAGIAAKIRFAVEGIDRSDLKPVNNEDTRYQPEALTTPVVSGVSQGSGEYFSRIGVGTPAKEMYLVLDTGSDVNWIQCEPCSDCYQQSDPVFNPTSSSTYKSLTCSAPQCSLLETSACRSNKCLYQVSYGDGSFTVGELATDTVTFGNSGKINDVALGCGHDNEGLFTGAAGLLGLGGGALSITNQMKATSFSYCLVDRDSGKSSSLDFNSVQLGSGDATAPLLRNQKIDTFYYVGLSGFSVGGQKVMMPDAIFDVDASGSGGVILDCGTAVTRLQTQAYNSLRDAFLKLTTNLKKGTSSISLFDTCYDFSSLSSVKVPTVAFHFTGGKSLDLPAKNYLIPVDDNGTFCFAFAPTSSSLSIIGNVQQQGTRITYDLANKIIGLSGNKC >fgenesh2_kg.3__2055__AT3G18510.1 pep chromosome:v.1.0:3:7933144:7933649:1 gene:fgenesh2_kg.3__2055__AT3G18510.1 transcript:fgenesh2_kg.3__2055__AT3G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L8I9] LSSMTWGYARIIAGTLLGGTLGFYVMHRIEVSYKMRMEEALNQYEKDMLKRQEEENLSQIN >fgenesh2_kg.3__2057__AT3G18520.1 pep chromosome:v.1.0:3:7945019:7949003:1 gene:fgenesh2_kg.3__2057__AT3G18520.1 transcript:fgenesh2_kg.3__2057__AT3G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:D7L8J2] MVVETLERSCEGSKRRHVNGGDVAVPCSGDEFSNGDINAAPVVSAKRARVSREMTFQDIYGADALLNDDDDEEDDCDWEPVQAPVEFVNWCCVNCTMSNPGDMVHCYICGEHKESGILRHGYLASPFFKDTGLIEVEEKYGGSSSATSSTAVGFDERMLLHSEFEVKPHPHPERPDRLRAIAASLATAGVFPGRCLPINAREITKQELQMVHTSEHVDAVNTTSQLLYSYFTSDTYANEYSARAARLAAGLCADLATEIFTGRVKNGFALVRPPGHHAGIRHAMGFCLHNNAAVAALVAQAAGAKKVLIVDWDVHHGNGTQEIFEQNKSVLYISLHRHEGGHFYPGTGAADEVGTNGGEGYCVNVPWSCGGVGDKDYIFAFQHVVLPIASAFSPDFVIISAGFDAARGDPLGCCDVTPAGYSRMTQMLGDLCGGKMLVILEGGYNLRSISSSATAVIKVLLGEIPENNLPIAATPSREGLQTVLDVMNIQMKFWPSLAISYSKLLSEWEARLIENKKNQMKRKLVRVPTWWKWGRKKLLYKFLSGRMISRSK >fgenesh2_kg.3__2059__AT3G18524.1 pep chromosome:v.1.0:3:7951081:7955567:-1 gene:fgenesh2_kg.3__2059__AT3G18524.1 transcript:fgenesh2_kg.3__2059__AT3G18524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNFEEQNKLPELKLDAKQAQGFLSFYKTLPNATRAVRFFDRKDYYTAHGENSVFIAKTYYHTTTALRQLGSGSNALSSVSISKNMFETIARDLLLERNDHTAELYEGSGSNWRLVKTGSPGNIGSFEDVLFANNEMQDTPVVVSIFPSFQDGRCVIGMAYVDLTRRILGLAEFLDDSRFTNLESSLIALGAKECIFPAESGKSNECKSLYDSLERCAVMITERKKHEFKGRDLDSDLKRLVKGNIEPVRDLVSGFDLATPALGALLSFSELLSNEDNYGNFTIRRYDIGGFMRLDSAAMRALNVMESKTDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLVDLNEIKTRLDIVQCFVEEAGLRQDLRQHLKRISDVERLLRSLERRRGGLQHIIKLYQSTIRLPFIKTAMQQYTGEFSPLIRERYLKKLEALSDQDHLGKFIDLVEYSVDLDQLENGEYMISSSYDTTLASLKDQKELLEQQIHELHKKTAIELDLQVDKALKLDKAAQFGHVFRITKKEEPKIRKKLTTQFIVLETRKDGVKFTNTKLKKLGDQYQSVVDDYKSCQKELVDRVVQTVTSFSEVFEELAGLLSEMDVLLSFADLAASCPTPYCRPEVTSSDAGDIVLEGSRHPCVEAQDWVNFIPNDCRLMRGKSWFQIVTGPNMGGKSTFIRQVGVIVLMAQVGSFVPCDKASISIRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATDKSLIIIDELGRGTSTYDGFGLAWAICEHLVQVKKAPTLFATHFHELTALAQSNSEVAGDTVGVANFHVSAHIDTESRKLTMLYKVEPGACDQSFGIHVAEFANFPESVVALAREKAAELEDFSPSSMIVNNEESGKRKSREDDPDEVSRGAARAHKFLKEFAAMPLDKMELKDSLQRVRAMKDELEKDAADCHWLRQFL >fgenesh2_kg.3__205__AT3G02630.1 pep chromosome:v.1.0:3:689956:692688:1 gene:fgenesh2_kg.3__205__AT3G02630.1 transcript:fgenesh2_kg.3__205__AT3G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMDRIVFSPSSYVYRPCQVRGSRSSRVSMASTIRSATTEVTNGRKLYIPPREVHVQVKHSMPPQKLEIFKSLEGWADETLLTYLKPVEKSWQPTDFLPEPESEGFYDQVKELRERCKELPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASPTPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPKTENNPYLGFIYTSFQERATFISHGNTARLAKDRGDLKLAQICGTIAADERRHETAYTKIVEKLFEIDPDGTILGLADMMKKKISMPAHLMYDGQDDNLFEHFSTVAQRLGVYTAKDYADILEFLVERWNVETLSGLSSEGHRAQDFVCGLPARIRKIEERAQGRAKEAAKNIPFSWIFGRNIRA >fgenesh2_kg.3__2063__AT3G18570.1 pep chromosome:v.1.0:3:7977258:7977919:-1 gene:fgenesh2_kg.3__2063__AT3G18570.1 transcript:fgenesh2_kg.3__2063__AT3G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERFSSGEAQYWPIYGSSTTTPTVSNSPIASVFHQLRSHSPTSSQLFGFLALFISSGILLFLLGVSVTAAVLGFIVFLPLIIISSPIWIPVFVLVGGFLTVSGFLVGTVTVLSWTYRYFRGMHPVGSNQMDYARSRIYDTASHVKDYAREYGGYFHGRAKDAA >fgenesh2_kg.3__2064__AT3G18580.1 pep chromosome:v.1.0:3:7978240:7979512:-1 gene:fgenesh2_kg.3__2064__AT3G18580.1 transcript:fgenesh2_kg.3__2064__AT3G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-strand-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7L8J7] MANSVATLSRRLYRSLLSNPRISQSSMSFCTNNISSREDSDFDELSANSDTESPIEPKASDPVSRFSGEERVMEERPLENGLDSGIFKAILVGQVGQLPLQKKLKSGRTVTLFSVGTGGIRNNRRPLINEDPREYASRSAVQWHRVSVYPERLAELVLKNVEPGTVIYLEGNLETKIFTDPVTGLVRRIREVAIRRNGRVVFLGKAGDMQQPSSAELRGVGYY >fgenesh2_kg.3__2065__AT3G18590.1 pep chromosome:v.1.0:3:7980060:7980737:1 gene:fgenesh2_kg.3__2065__AT3G18590.1 transcript:fgenesh2_kg.3__2065__AT3G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKKIILVTFIVSFYMFSCVSSTEFEVGGEDGWIVPKSKTLGDAFNQWASDNRFKVGDTLRFKYTKDSVLVVSEEEYKKCKATKPQLYSNNEDTVFKLDRPGLFYFISGVSGHCEKGQKMIVKVMETESSTESPPPSSSSSSSSSSSLPASTPKAKKSNAYKTAVQFSSSGFVVFAVLVVSVFGLV >fgenesh2_kg.3__2066__AT3G18600.1 pep chromosome:v.1.0:3:7981049:7984836:-1 gene:fgenesh2_kg.3__2066__AT3G18600.1 transcript:fgenesh2_kg.3__2066__AT3G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase [Source:UniProtKB/TrEMBL;Acc:D7L928] MVESDKTSVEELKKRVRKRSRGKKNEQQKPEEDSHSVEENADEKQKKSEKKVKNVKLQGRGKTEEEEEEEVEAMEDGEEEKNPVIVGKGIMTDQTFDSLDLSEQTSIAIKEMGFQYMTQIQAGSIRPLLEGKDVLGAARTGSGKTLAFLIPAVELLLKHHFSPRNGTGVIVICPTRELAIQTKNVAEELLKHHSQTVGMVIGGNNRRSEAQRIANGSNLLIATPGRLLDHLHNTKAFIYKHLKCLVIDEADRILEDNFEEDMNKILKILPKTRQTALFSATQTSKVKDLARVSLTSPVHVDVDDGRRKVTNEGLEQGYCVVPSKNRLILLITFLKKNPNKKIMVFFSTCKSVQFHAEIMKISNVDFCDIHGGLDQNRRTKTFFDFMKAKKGILLCTDVAARGLDIPSVDWIIQYDPPDKPTEYIHRVGRTARGEGAKGKALLVLIPEELQFIRYLKAAKVPVKELEFNERKLMNVRSELEKCVAEDYNLNKLAKDAYRAYISAYNSHSLKDIFNVHRLDLQEVAASFCFSAPPKVNLNIESRAGKVRKAKQQGRNGFSPYSPYGKSTPK >fgenesh2_kg.3__2067__AT3G18610.1 pep chromosome:v.1.0:3:7985279:7989565:-1 gene:fgenesh2_kg.3__2067__AT3G18610.1 transcript:fgenesh2_kg.3__2067__AT3G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSATKVESPASMTKPLKKGKRDAEQDLDIQVPKKQKKELIDAVQKEKSEKTVPKKVESSSSDSSASSDSEDDQKTKTVLAKEPPSKQKGDSSSDDSSSDEEPAPVKKQPETIKKDKEESSSSDDDSSSDEETAPVKKQPAAVLEKQPEPIKKDKVESSSSDDDSSSDEESAPAKKQPAALKNTKADSSSSEEESSSDEELTPAIKPTVVKNIKPAAKDSSSSEEDSDEEESDDEKPPTKKAKVSLTKTSKKESSSEESSDESDKEESKEEKVTPKKKDSDIEMVDAEQKSNAKQPKTPTTETQGGSKTLFAGNLSFQIKRSDIENFFKEAGEVVDVRFSSYDDGTFKGYGHVEFASPEEAQKALELNGKMLLGRDVRLDLANERGQRNSNPGRKGEGSQSRTIFVRGFNSSLGEDEIKKELRSLFSNCGEVTRVHVPTDRETGACRGLAYIDLTSGFDEALQLRGSEIGGWNIHVEESRPRDSDEGRSSNRAPGRAPRGRYSDRGAPRGRSSDRGAPRGRSSDRGAPRGRFSTRGRGPSKPSVIESALGKKTVFKDED >fgenesh2_kg.3__2068__AT3G18620.1 pep chromosome:v.1.0:3:7990560:7993352:1 gene:fgenesh2_kg.3__2068__AT3G18620.1 transcript:fgenesh2_kg.3__2068__AT3G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L930] MEESSQGSFVTTINEDYEAICWGCGLNLVLPSYAPVFKCGWCGAITNQNPVRPETKSFGLRRIRDRCFVVILAVFMLFVICGGIWAAYPVLFSISLACGIFHSVTTACLAISTLSTFILVAFKCAGKPTNILYGTHPGVGNGGLNNYTFCNYCSKPKSPRTHHCRTCGMCVLDMDHHCPFIGNCVGAGNHKHFIAFLISAVTSTIYAAVMCVYSLIHILPPMENGAAYASDVAHVAHGNSFSILRVVKNIFLTYIANAVFISVRSLVLVYLFVASVSVAIGLSVLLWQQLSYIYEGKTYLSHLSSQGTEEDGEKSCRNLLTFFGCPHSIERHLPTIRNLRKRHKT >fgenesh2_kg.3__2069__AT3G18630.1 pep chromosome:v.1.0:3:7993319:7995336:-1 gene:fgenesh2_kg.3__2069__AT3G18630.1 transcript:fgenesh2_kg.3__2069__AT3G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil-DNA glycosylase [Source:UniProtKB/TrEMBL;Acc:D7L931] MASSSKTLMDFFQPAKRLKASPSSSSFPAVSVAGGSRGLVSAANSPPRVTVTTSVADDSSGLTPEQVARAEFNKFVAKSKRNLAVCSEKVTKAKAEGGCYVPLSELLVEESWLKALPGELHKPYAKTLSDFLEREIIADSKSPPIYPPQHLIFNALNTTPFDRVKTVIIGQDPYHGPGQAMGLSFSVPEGEKLPSSLLNIFKELHKDVGCSIPRHGNLQKWAVQGVLLLNAVLTVRSKQPNSHAKKGWEQFTDAVIQSISQQKEGVVFLLWGRYAQEKSKLIDATKHHILTAAHPSGLSANRGFFNCRHFSRANQLLEQMGIPPIDWQL >fgenesh2_kg.3__2072__AT3G18650.1 pep chromosome:v.1.0:3:7999944:8001032:-1 gene:fgenesh2_kg.3__2072__AT3G18650.1 transcript:fgenesh2_kg.3__2072__AT3G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L933] MASSSSSSLSSSSSKKKKNLFKKPNSASSSSRATSLIKRQATVFKKAEELSILCDIDVCVICYMSDGKLKTWPDDREKVKAIARRYGELSETKRRKGSVDLHEFLERINKDDSKEEEEKKKKIKVRRVSRVKYPVWDPRFDNYSVEQLTGLVQSLERNLTRIQHRTHAVGEAQGQRRMQYTNMASQELMMAHTMNQLQQHSNQVSMYLWNHDNGSFSQIPVSALASNQAQSLAPIPSELMIYPNSDVGSYSGSLGVQGTGINGLQTMNMLTYNNINSVNDFAKQIDQNSRVESYSSLLGVHEYGNNEFENTNMSSRNNFNVQDYAGLLGVEGTVTGTNGLQSMNMHDYNNNNNSNANGLSHQL >fgenesh2_kg.3__2073__AT3G18660.2 pep chromosome:v.1.0:3:8005824:8009055:-1 gene:fgenesh2_kg.3__2073__AT3G18660.2 transcript:fgenesh2_kg.3__2073__AT3G18660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L934] MANSPAAPAATATTGGDSRRRLSASIEAICKRRFRRNSKGSGRSDMLKPFSIINFPTQDKNTSCCCCSKFQIVKLLLFILLSATLFTIIYSPEAYHHSLSHSSSRWIWRRQDPRYVSDLDINWDDVTKTLESIEEGRTIGVLNFDSNEIQRWREVSKSNDNKDEENVVVLNLDYADKNVTWDALYPEWIDEEQETEVPVCPNLPKIKVPTRRLDLIVVKLPCRKEGNWSRDVGRLHLQLAAATVAASAKGLFRGHVLFVSRCFPIPNLFRCTDLVSRRGDVWLYKPNLDTLRDKLQLPVGSCELSLPLGIKERPSLGNPKREAYATILHSAHVYVCGAIAAAQSIRQSGSTRDLVILVDDNISGYHRSGLEAAGWQIRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFSMPEISATGNNGTLFNSGVMVIEPCNCTFQLLMEHINEIESYNGGDQGYLNEVFTWWHRIPKHMNFLKHFWVGDEDDVRRKKTELFGAEPPVLYVLHYLGMKPWLCYRDYDCNFNSDIFVEFATDIAHRKWWMVHDAMPEELHQFCYLRSKQKAQLEYDRRQAEAANYADGHWKIRIKDPRFKICIDKLCNWKSMLRHWGESNWTDYESFVPTPPAITAARRSSLPGHNL >fgenesh2_kg.3__2078__AT3G18710.1 pep chromosome:v.1.0:3:8033120:8034489:-1 gene:fgenesh2_kg.3__2078__AT3G18710.1 transcript:fgenesh2_kg.3__2078__AT3G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7L940] MGRDETETYITVPSFFKCPISLDVMRSPVSLCTGVTYDRASIQRWLDGGNNTCPATMQLLKTKDFVPNLTLQRLIKIWSDSIGRYNTAGSPPPSGREVPTVEEVNVLLKRLMSLEKNDETRIEILSRIVRFVKDSDSNREFLSAMKEFVPMLVDIIRTKTKKIELVLMAIRILDTVKGDRERLSNLMLANDDGDCLTAILLAIQRGNLESKIESVRVLDWISFDAKSKLLIAERDGIITEMIKSISITESDPSLIEASLSFLITISKSKRVRSKLIAAKTITKIKDILMTETLTNVAVTEKSLKLLETLSSKREGRLEICGEDNGRCVEGVVKKLLKVSTTATEHAVTILWCLCYVFREDKTAEETVERSNGVTKLLVVIQSNCSAMVRQMAKDLIKVLKINSSALSAYETKTTHIMPF >fgenesh2_kg.3__2081__AT3G18740.1 pep chromosome:v.1.0:3:8055685:8056221:1 gene:fgenesh2_kg.3__2081__AT3G18740.1 transcript:fgenesh2_kg.3__2081__AT3G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L30 [Source:UniProtKB/TrEMBL;Acc:D7KM11] MVAAKKTKKSHEGINSRLALVMKSGKYTLGYKSVLKSLRSSKGKLILISSNCPPLRRSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIVDPGDSDIIKALPGDQ >fgenesh2_kg.3__2088__AT3G18777.1 pep chromosome:v.1.0:3:8074087:8075268:1 gene:fgenesh2_kg.3__2088__AT3G18777.1 transcript:fgenesh2_kg.3__2088__AT3G18777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATSAPITKPTELFQDIRGDFYSRRLLLHTAYQPPTTASSPFADAHETSHTYASHMSFDANVVMVLSVLLCALVCSLGLHSIIRCALRYSNLLSSEASDELSVRLANTGVKQKALKSFQTVSYTAELKLPGLDTECAICLSEFVSGERVKLLPKCHHGFHVRCIDKWLSSHSSCPTCRHCLIQTCKKIAGCSETVSSPNQPQENVHIAPLGPESLIRGFS >fgenesh2_kg.3__208__AT3G02660.1 pep chromosome:v.1.0:3:698180:699807:-1 gene:fgenesh2_kg.3__208__AT3G02660.1 transcript:fgenesh2_kg.3__208__AT3G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine--tRNA ligase [Source:UniProtKB/TrEMBL;Acc:D7KZJ8] MAYATGMTLASRSILPMCSRTFLSPLRVASLLVFPEKLSATFFRRVQVPHLFSTSTTPLFSSVKCSIQSTSSLETENQAVSRPNVVDILEERGLLESITSENLRSACSDPKVAPLRVYCGFDPTAESLHLGNLLGIIVLSWFQRCGHQAVGLIGGATGRVGDPSGKSLERPELDADTLEKNIAGIKRIIIKILGGNPSSGGSYVIFNNYDWWKDMTMLDFLNKVGRFARVGTMMAKESVKKRLESEQGMSYTEFTYQLLQAYDFLHLFKNEGINVQIGGSDQWGNITAGTDLIRKILQAEEAAYGLTFPLLLKNDGTKFGKSEDGAIWLSPSMLSPYKFYQYFFSVPDVDVIRFLKTLTFLSLDEIKILEDQMSKPGYVPNTAQIKLAEEVTRFVHGEEGLKEAIKATEALRPGAETKLDWNLIERIAEDIPSCSLPIDRVSGLSIVDLSVSAGLFESKSAARRMLKQGGFYMNNERVDDENKRVEEEDIVEGKGLVLSAGKKNKVVVRIS >fgenesh2_kg.3__2091__AT3G18790.1 pep chromosome:v.1.0:3:8081215:8083054:1 gene:fgenesh2_kg.3__2091__AT3G18790.1 transcript:fgenesh2_kg.3__2091__AT3G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNEEKAQSMLNRFITQKEAEKKKPKERRPFLASECRDLSEADKWRQQILREIGSKVAEIQNEGLGEHRLRDLNDEINKLLRERYHWERRIVELGGPNYSKHSAKMTDLEGNIIDVPNPSGRGPGYRYFGAAKKLPGVRELFEKPPELRKRKTRYDIYKRIDASYYGYRDDEDGILEKLERNAEGVMRKRSVEEWRRLDEVRKEARKGASEVVSVGAAAAAAREVLFEEEEDVVEEERMEREREEEKEREFVVHVPLPDEKEIEKMVLEKKKMDLLSKYASEDLVEQQTEAKSMLNIHR >fgenesh2_kg.3__2092__AT3G18800.1 pep chromosome:v.1.0:3:8083201:8083867:1 gene:fgenesh2_kg.3__2092__AT3G18800.1 transcript:fgenesh2_kg.3__2092__AT3G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYDDEIDHNNQPFIPKETALQALNSIIQLHFEKTLEKKRAIDHQKKKLHKLFQLFFIFLAVIFFSLSQPSGRLHCRHCWAPICLLSFSHLFFYVSVAQTLRCINGFKYQRRCHKLTLGLATHKLRFIKSRIAAGDFLGGGDGDEASAAAWDLEVPYQEPPDSYFGKFKRNWALYFGFLILLYTFMISFSVVILCF >fgenesh2_kg.3__2096__AT3G18840.2 pep chromosome:v.1.0:3:8101737:8103578:1 gene:fgenesh2_kg.3__2096__AT3G18840.2 transcript:fgenesh2_kg.3__2096__AT3G18840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L957] MKCLKDGFLHHIRSIKTGSTLTTISSNQLVNLYSKNGLLREARNVFDEMPERNVYSWNAVIAAYVKFNNVKEARELFKRDNCERDLITYNTLLSGFAKTDGCESEAIKMFGEMHRKEKDEIWIDDFSVTTMVKLSAKLTNVFYGEQLHGVMVKTGNDATKFSVSSLIHMYSKCGKFKEVCNVFNGSCVEFVDSVARNAMIAAYCREGDIDKALSIFWRNPELNDTISWNTLISGYAQNGYEEEALKIAVSMEESGLKWDEHTFAAVLNVLSSLKSLKIGKEVHARLLKNGSYSNKFVSSGIVDVYCKCGNMKYAESAHLLYGFGNLYSTSSMIVGYSSQGKMGEAKRLFDSLSEKNLVVWTAMFLGYLNLRQPDSVLELARDFIANETNVPDSLVMVSVLGACSLQAYMEPGKEIHGHSLRTGILMDKKLVTAFVDMYSKCGNVEYAERIFDISFERDTVMYNTMIAGYAHHGHEAKSFQLFEDMTEGGFKPDEITFMALLSACRHRGLVLAGEKYFKSMIEAYNISPEAGHYTCMIDLYGKANRLDKAIELMEGIDQVEKDAVILGAFLNACSWNKNTELVKEVEEKLLAIEGSNGSRYIQLANAYASSGRWD >fgenesh2_kg.3__209__AT3G02680.1 pep chromosome:v.1.0:3:708518:711482:1 gene:fgenesh2_kg.3__209__AT3G02680.1 transcript:fgenesh2_kg.3__209__AT3G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGLFPVDPLSGEDKYYIFSKGIYKIGRKGCDIIINKDKGVSRIHAELTFDSTSRRDKSSDTSSFVIRVKDCSKYGTFIKTDLGAKYKVHELPNKEKILQDGDVIAFGTGSATYRLSLIPLVFYFCPSSETFRVDQSVQDAVSSIGARISPTLSEECTHVLLEPRMQVNEALVNAILAKKPIILTNWVKLLAEKSICSEFPGYSQYRPSVMVEETFVDVLEVNVREKCLEGFTFVLEPPDMYRFGCSFPSLLKVCGSETVTIADVSSISQDSQYGEINRMICVIPKSSGDKFGRLKHLSLLSRVNEMDLVCAVFSGNLPSTSLIPPSVVISSSCSTDETVVADSDAEEEEITSSVHMIDATEKAETPEKPAAIVIEDSPVTILEETMDLNEFKSVNLLADTEDRGHIDEKNSGDSVTIRRDRNDEAETGNSEIIYTQDLIVRDLRSTRNVQSTGGEGVVDFKRFRKGNVTCGNSFSSLIPFAKDPYKEYDCGDVTDFMKEEKKRKQMEAIAEDLFNTEKARKRGTAGSIRGFLTRS >fgenesh2_kg.3__2103__AT3G18860.1 pep chromosome:v.1.0:3:8108182:8114855:1 gene:fgenesh2_kg.3__2103__AT3G18860.1 transcript:fgenesh2_kg.3__2103__AT3G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7L959] MDIDFNEYKLRCELHGHDDDVRGICVCSDDNIATSSRDRTIRVWSLDPSDKRKYTSSKILLGHTSFVGPLAWIPPTDEYPEGRLVSGSMDTFVFVWNLINGENIQTLKGHQMQVTGVAIDKEDIVSSSVDQTLKRWRNGQLVESWEAHKSPVQAVIKLPSGQLVSGSSDASLKLWKGKTSLQTLSGHTDTVRGLAVMPDLGFLSASHDGSIRLWALSGEVLLEMVGHTSLVYSVDAHSSGLIVSGSEDRHAKIWKDGVCVQSLEHPGCVWDAKFLESGDIVTACSDGVVRVWTVRHDAIADQMEIDAYDSQISQYKLSRKKVGGLKLDELPGLDSLTSPGTSDGQTKVVREGDNGVAYAWNMKEQRWDKIGEVVDGPDGVADRPIYEGVQYDFVFDVDIGDGEPIRKLPYNRSDNPYDAADKWLLKENLPFAYRQQIVEFILQNSGQKDFNFNPSFRDPFTGANAYVPGQASHTAATPAKPLYKHIPKKGVLVFDVAQYDGILKKMTEFNTTLRSDSVNNDKSLTELEVSRVGAIVNILKDTSHYHSTNFADMDIALLLKVLQAWPPAMMFPATDIVRMLVLHPHGASLLIKHVENNNDLLLDLIKKVTEDSALPANLLTTVRVLVNLFKNSSFHYWLQTHHSQILDAFSNCYSSPNKNLQLAYSTLLLNYAVLLTEKKDQEGQAQVLSAALQVAEEEAADVDSKFRSLVAIGSLMLEGLVKKIAIDFDVESIAKSAKASKEGKIAEVGADIDLVIRQ >fgenesh2_kg.3__2105__AT3G18880.1 pep chromosome:v.1.0:3:8115979:8116888:1 gene:fgenesh2_kg.3__2105__AT3G18880.1 transcript:fgenesh2_kg.3__2105__AT3G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S17 family protein [Source:UniProtKB/TrEMBL;Acc:D7L961] MKAVIGTVVSNKMQKSVVVAVDRLFHNKLYNRYVKRTSKFMAHDEKDSCNIGDRVKLDPSRPLSKHKHWIVSEIIKKARIYSPLAAAAALNSSSSIAEQSPVSSS >fgenesh2_kg.3__2106__AT3G18890.1 pep chromosome:v.1.0:3:8117340:8121368:1 gene:fgenesh2_kg.3__2106__AT3G18890.1 transcript:fgenesh2_kg.3__2106__AT3G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic/ coenzyme binding protein [Source:UniProtKB/TrEMBL;Acc:D7L962] MEGTCFLRGQPLTTIPSLPSRKGFLLQRWKTNRIVRFSGLKNHSVSGKSRSFDLSIRASGPIRASSAVTEANPANLNSKEDDLVFVAGATGKVGSRTVRELLKLGFRVRAGVRSAQRAGSLVQSVKEMKLQNTDEGAQPVEKLEIVECDLEKKDSIQPALGNASVIICCIGASEKEISDITGPYRIDYLATKNLVDAATSAKVNNFILVTSLGTNKFGLPAAILNLFWGVLCWKRKAEEALIASGLNYAIVRPGGMERPTDAYKETHNLTLSLDDTLFGGQVSNLQVAELLACMAKNPQLSFSKIVEVVAETTAPLTSIEKLLEKIPSKRPYVPPPKASVAAKEVKPVPTKPVTQEPTAPKEDEAPPKEKDVKPRPLSPYAAYEDLKPPTSPIPSSTTSVGPAKSKEVDATQVLVEANVVPVPDSTSNVPVVEEEEVKQAVEEVEVMQAEEKKERPLSPYARYEDLKPPSLPSPTASGTKKNDSLSPGPTDSDTDKSSTVATSVTETAVETSATETAVETSVTETAVETRVPETAAASSFTETAAPATPRMRPLSPYAVYADLKPPTSPTPASTGPKEAASEITASAEDNSELRGGNNDVLKTVDGSVNTTPSSSIPEAVTVVSNAVDTSLASEGNIAQPKPRPLSPYTMYEDMKPPTSPLPSPVINH >fgenesh2_kg.3__2109__AT3G18930.1 pep chromosome:v.1.0:3:8132657:8134735:-1 gene:fgenesh2_kg.3__2109__AT3G18930.1 transcript:fgenesh2_kg.3__2109__AT3G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L964] MRFVAPPPRSGDISPSPSPSSGLTEEILSRSSDPPLEFSPPLIAMVVILAAAFLFVTYSRLISRRFLSPLFRRFRRWRCRRRRLLHLSSASSASTSSSDLRSFSPFPFDSFHYSSYSPYGLDDSVIKTLPLFLYSAAACTGKTAVGKTSAANCRDCAVCLLEFEEGDYVRTLPLCFHAFHLECIDEWLRSHPNCPLCRTAILGSAAAGVITPMSPFVPLMAPRIRPSLDDDAETNAIIIRGEITPSRSSWNTVAAAAVTTNDQEITASVEEQSSPAISRFRELKRSYSFECERESESERVTMEPATASPWRYRRSTWNKRQSPFGNLISKSRVFSFRYYRSTKSPFFRRRSSAGVFYPISERIPATGSSSRRTKSMTSPMFFRTAPHSSSRLRCGDPEALLSPERWRRRDTCRV >fgenesh2_kg.3__210__AT3G02690.1 pep chromosome:v.1.0:3:711646:713651:1 gene:fgenesh2_kg.3__210__AT3G02690.1 transcript:fgenesh2_kg.3__210__AT3G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane family protein [Source:UniProtKB/TrEMBL;Acc:D7KZK2] MEWPWSAIAAASSSSSSCFFASPNSCLSITRRANSSCANKYPSNILVKSLRHSRFDSKHILVSIFKRRINGDSVVRRSTTSNKSTEETESSSSSSVDCVGMGSDVECVYNGEDEENRSSGILNGGEGTLLEWTVLISPFFFWGTAMVAMKEVLPITGPFFVAAFRLIPAGLLLVAFAVYKARPLPKGINAWLSIALFALVDATCFQGFLAQGLQRTSAGLGSVIIDSQPLTVAVLASFLFGESIGIVRAGGLLLGVAGLLLLEVPSVTSDGNNFSLWGSGEWWMLLAAQSMAIGTVMVRWVSKYSDPIMATGWHMVIGGLPLLAISVINHDPVFNGSLQELSTNDVIALLYTSIFGSAVSYGVYFYSATKGSLTKLSSLTFLTPMFASIFGYLYLNETFSSLQLVGAAVTLVAIYLVNFPEGND >fgenesh2_kg.3__2111__AT3G18940.1 pep chromosome:v.1.0:3:8136205:8138375:-1 gene:fgenesh2_kg.3__2111__AT3G18940.1 transcript:fgenesh2_kg.3__2111__AT3G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome assembly chaperone 2 [Source:UniProtKB/TrEMBL;Acc:D7L965] MEFVAEEGKLVNEDCSTLVLPALSIGNVGQLAVDLLVSSTGTERVGYLDDPYLLPCVGNDAYGPLPCGDIALPLEVYESSSIATTLAQQRSPVAKGMMIKFAENIADFAASSGKKHVIVLSSLDFQRLHNLDMSRGPQVYYLSNAESDGRDDHCERLGFGRLREYDSEGRCWKYLSSVFEKNSEEELTFPSEDELEDIDYYPSLPFAALFSAYKARGLKVTCLLCYCSEGDNIPEAFLLAEAASKLTGLTPDKFHGEEGGKWRIPYSWKSMYGAPPDMSMF >fgenesh2_kg.3__2115__AT3G18970.1 pep chromosome:v.1.0:3:8162843:8164950:-1 gene:fgenesh2_kg.3__2115__AT3G18970.1 transcript:fgenesh2_kg.3__2115__AT3G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L966] MSSVFPGPRFLSLLYQNSKTLIQAKQIHAQLVINGCQDNSLFGKLIGHYCSKPSTESSKLAHLLVFPRFGHPDKFLFNTLLKCSKPEDSIRIFTNWASKSSLLYLNERTFVFVLGACARSASSSALRVGRIVHGMVKKLGFLYESELIGTTLLHCYAKNGDLRYARKVFDEMPERTSVTWNAMIGGYCSHKDKGNHNARKAMILFRRFSCCGSGVRPTDTTMVCVLPAISQTGLIEIGSLVHGYIEKLGFTPEIDVFIGTGLVDMYSKCGCLNSAFSVFELMKVKNVFTWTSMATGLALHGRGNETPNLLDRMAESGIKPNEVTFTSLLSAYRHIGLVQEGIELFKSMRTRFGVTPVIQHYGCIVDLLGKAGRIQEAYEFVLAMPIKPDTILLRSLCNACSIYGETAMGEEIGKALLEIEREEEKLSGSECEDYVALSNMLAHKGKWIEVEKLRNEMKERRIKTRPGFSFV >fgenesh2_kg.3__2116__AT3G18990.1 pep chromosome:v.1.0:3:8164868:8167730:-1 gene:fgenesh2_kg.3__2116__AT3G18990.1 transcript:fgenesh2_kg.3__2116__AT3G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPFFHKLIFSSTIQEKRLRVPDKFVSKFKDELSVAVALTVPDGHVWRVGLRKADNKIWFQDGWQEFVDRYSIRIGYLLIFRYEGNSAFSVYIFNLSHSEINYHSTGLMDSAHNHFKRARLFEDLEDEDAEFIFPSSVYPSPLPESTVPANKGYAGSAIQSLFTGSVKAEEATPTPKIPKKRGRKKKNADPEEINSSAPRDDDPENRSKFYESASARKRTVTAEERERAINAAKTFEPTNPFFRVVLRPSYLYRGCIMYLPSGFAEKYLSGISGFIKVQLAEKQWPVRCLYKAGRAKFSQGWYEFTLENNLGEGDVCVFELLRTRDFVLKVTAFRVNEYV >fgenesh2_kg.3__2118__AT3G19000.1 pep chromosome:v.1.0:3:8169582:8171001:-1 gene:fgenesh2_kg.3__2118__AT3G19000.1 transcript:fgenesh2_kg.3__2118__AT3G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7L968] MGELDEAFIQAPEHRPNTHLTNSNDFIYSDEIPTIDLSSLRDPDHDKTAIAAEIAEACKRWGFFQVINHGLPSDLRRRVEEACAEFFNLTTEEKRKVKRDEVNPMGYHDEEHTKNVRDWKEIFDFFLQDSTIVPATPEPEDTELRKLTNQWPQNPSHFREACQEYAREVEKLAFRLLELVSISLGLPGDRLTGFFNEQTSFLRFNHYPPCPNPELALGVGRHKDGGALTVLAQDSVGGLQVSRRSDGQWIPVKPISDALIINIGNCIQVWTNDKYWSAEHRVVVNTSKERFSIPFFFFPSHEANIAPLEELISEENPPCYKTYNWGKFFVSRNRSDFKKLEVENIQIDHFKA >fgenesh2_kg.3__2119__AT3G19010.1 pep chromosome:v.1.0:3:8172607:8174429:-1 gene:fgenesh2_kg.3__2119__AT3G19010.1 transcript:fgenesh2_kg.3__2119__AT3G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLDPTYIQAPEHRSDSNFIPNQPEEIPVIDLSRLDDPKDVQNVISEIGDACEKWGFFQVINHGVPSDARQRVEKTVKMFFDLPMEEKIKVKRDEVNPVGYHDGEHTKNVKDWKEVFDIYFKDPMVIPSSTAPEDEGLRLVYNKWPQSPSDFREACEVYARHAEKLAFKLLELISLSLGLPKERFHDYFKEQMSFFRINRYPPCPRPDLALGVGHHKDADVISLLAQDEVGGLQVSRRSDGVWFPIRPVPNALVINIGNCMEIWTNDKYWSAEHRVVVNTTRERYSIPFFLLPSHDVEVKPLEEIVSPENPPRYKGYKWGKFYVSRNRSDFRKLEIENIQIDDFKVNT >fgenesh2_kg.3__211__AT3G02700.1 pep chromosome:v.1.0:3:713596:714961:-1 gene:fgenesh2_kg.3__211__AT3G02700.1 transcript:fgenesh2_kg.3__211__AT3G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NC domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KZK3] MGFLSNKISRDDVKPGDHIYSWRQAYIYAHHGIYVGDGQVNHFTRGDGQETGTGTFLDNIIVSSSHNHGDNPCPNCGDRSNLGGVISSCLECFLAGGDLYVFEYSVSPAIFLAKPRGGVCTIASSDPPEEVIYRANFLLQNGFGVYNVFKNNCEDFAIYCKTGLLVANTDVGRSGQAASIVAAASVLLSSPLRFVAGFGGLAVAGYGMYCTSRLVSDIGMRWDVSKVPVERLVADVERLVADVADKSEMEAKSEEKKTTNPKLLTPVLP >fgenesh2_kg.3__2121__AT3G19020.1 pep chromosome:v.1.0:3:8178488:8181611:-1 gene:fgenesh2_kg.3__2121__AT3G19020.1 transcript:fgenesh2_kg.3__2121__AT3G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPFGCFLLLVFFTISIFFYSAAALTDEEASFLTRRQLLALSENGDLPDDMEYEVDLDLKFANNRLKRAYIALQAWKKAFYSDPFNTAANWVGPDVCSYKGVFCAPALDDPSVLVVAGIDLNHADIAGYLPPELGLLTDVALFHINSNRFCGVIPKSLSKLTLMYEFDVSNNRFVGPFPTVALSWPSLKFLDIRYNDFEGKLPPEIFDKDLDAIFLNNNRFESTIPETIGKSTASVVTFAHNKFSGCIPKTIGQMKNLNEIVFIGNNLSGCLPNEIGSLNNVTVFDASSNGFVGSLPSTLSGLANVEQMDFSYNKFTGFVTDNICKLPKLSNFTFSYNFFNGEAQSCVPGSSQEKQFDDMSNCLQNRPNQKSAKECLPVVSRPVDCSKDKCAGGDGGGSGSNPSPKPTPKAPEPKKEINPPKLEEPSKPKPEESPKPQQPSPKPETPSHEPSNPKEPTPESPKQESPKPEQPKPKPESPKQESPKQEQPKPEQPKPKPESPKQESPKQEPPKPKPESPKQESPKQEPPKPEESPKPEPPQPETPKPEMPKPEESPKPQPPKQEQPPKTEAPKMGSPPLESPVPNDPYDASPIKKRRPQPPSPSTEETKTPSPQSPSVHSPPPPVHSPPPPVFSPPPPVHSPPPPVYSPPPPSTLLLHRYTLLLHRFTRHHLLYTLRHHHQSTLRLHQSTLLLHRYTLLLHRSTLHHHHQFSLHHRLHQSTLLLHHQSTSRLHPCTLHHRHQSTHRLLLFTHRLLQFTLHHLQFILHHHQSTLHHLLFSPPPKPVTPLSPATTPTANAPTPSSESGEISSPVQAPTPDSEDIEAPSESNHSPVFKSSPAPSPNSEPNHSSAPVSEPEVEAPVPSSGAEVEAPEQSIATPSSPTPSSNPSPDVTAPPSEDNDGGDNFILPPNIGHQYASPPPPMFPGY >fgenesh2_kg.3__2122__AT3G19030.1 pep chromosome:v.1.0:3:8184110:8184563:-1 gene:fgenesh2_kg.3__2122__AT3G19030.1 transcript:fgenesh2_kg.3__2122__AT3G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQKLEICIELVKIAVGFVATVAESVEEAFRKPQPSLTAVHGGRRNTYAAVPIPLVGFM >fgenesh2_kg.3__2123__AT3G19040.1 pep chromosome:v.1.0:3:8187971:8196284:-1 gene:fgenesh2_kg.3__2123__AT3G19040.1 transcript:fgenesh2_kg.3__2123__AT3G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRVDYESNDEESDGPELQVVTEEDHLLPKREYFSAAFSLSSLNSRASVFDDEDYDEDEGQEEKHVPVEKSFDPGEREPVVLLEENHVGYEKEAGILGNKDQMDTGDVQKEELDDLSECNLDEKSVTPLPTLYLDDDGMVILQFSEIFAIQEPRQKRQKREIRCITYREKYISMDISELVEDDEEVLLKSHGRINTHEKEANQIQLAVPLPIRECSQLVKSGTVRDTSSESREFTTLGRDSCIMGELVKQDLKDDNSSLCQSQLTMEVFPLDQQEWEHLILWENSPEFSDNLVRASNLDLSRKVCWFSLNVMNSGGQAQADNNMLVPFCVNPLESFGSRGSQSTNESTNKSRHHPQLLRLESERDEDHHSENDDAGWENLKQLNSDALGRLSGFALQDRDMCDESWLDSIIWESDKDLSRSKLIFDLQDEQMIFEVPNNKERKYLQLHAGSRIVSRSSKSKDGSFQEGCGSNSGWQFNISNDKFYRKGKSSQKLQGNAKKSGVHSLRVFHSALAIKLQTMKIKLSNKEKANFHRPKALWYPHDNELAIKQQEKLTTQRSMKIVVKSLGGKGSLLHVGREESVSSLKAKASRKLDYKETEAMRMFYMGKELEDEKSLAEQNVQPNSLVHLLRTKVHLWPWAQKLPDENRSLRPPGAFKKKSDLSNQDGHVFLMEYCEERPLILSNAGMGANLCTYYQKSSPEDQHGNLLRNQSDTLGSVIILEHGDKSPFLGEIHGGCSQSSVETNMYKAPVFPHRLQSTDYLLVRSAKGKLSLRRIDKIVAVGQQEPRMEIMSPASKNLHTYLVNRLVAYVYQEFKLRDRIAADELSFLFSNVSDATVRKNMKVCADLERDENGKPCWSKKRNFDKILLGLNTLVAPEDVCSYESMLAGLFRLKQLGITWFTLPASISTALARLPDEAIAAASHVERELQITPWNLSSSFVTCTTQGRENIERLEITGVGDPSERGLGFSYIQVARKASAASEHMKKKVAACRGVPTVTGTDADPRRLSMEAAREVLLKFNVPDEIIAKQTRRHRTAMIRKLSSEQAASGGKVDPTRIGMFARGQRMSFLQLQQQARERCQEIWDRQRLSLSACDGDGNESENEANSDLDSFVGDLENLLDVEGAGEGEESYKSMNEKLDGVKGLKMRRWPSQVEKDEENEDEAAEYAELCRLLMQDENHKKKKKMKVVGEGMGSFPPPRPNVEPVIDKKSIATQPDASFLIVNESTVKDTTNADKATFKAHKDKQVTEIGTPIGQLKKLKILKENQKVFKEKKTARANFVCGACGQHGHMKTNKHCPKYRGNTESHSESIYMKKSTGKLSSSDLSGEVWLKPIENQKPAPKSATKISVNEATKVGDSTSKTPGSSDLAAVSDIDSGTKLTSRKLKISSKAKPRASMVESDSPSHSLMPAFSREREESESHNPSVSGQLLPSTETNQAASSRNTISVPHPSLSTDKDQAESCRPHRIIWPPTEKEHPQKKLVIKCLKEITDHDSGSLEETPQFESRTTKRMAELADFQRQQRLRLSDNCLNWGAKEDRIWRKEQDISTERHREGKVRRDYDDITVSKNRSEIAEIRRYKEVIWSERGEEERQKAKQKKNLQREIIEDYPPRRKERKLSERGQNINSLCVSDFERNGTEYARQPKRRKKGQVGLANILESIVDTLRAKEVSVSYLFLKPVSKKEAPNYLDIVKRPMDLSTIRDKVRRMEYSDREQFRHDVWQIKFNAHLYNDGRNPVIPPLADELLVKCDRLLLEYRDELTEAEKGIVDSSDSLR >fgenesh2_kg.3__2124__AT3G19050.1 pep chromosome:v.1.0:3:8198440:8210482:1 gene:fgenesh2_kg.3__2124__AT3G19050.1 transcript:fgenesh2_kg.3__2124__AT3G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKETKLSRRDSDNHDGEIENIPENLRASLLSQTSLKNPKHEKECGSKIDRTPSKPRAKNPDPALPLRTPDKYRSAAAAFSKNRFGWGDKCDSITNTTNAGLLNTTPKTGRVVGRAHSETNSTQNTPTKSVSKPPGSCYRGKLDGTGAVRVGGYASLYKGLSSSSGQVSAVVNSVEVPHFSLKEDPSFWMDHNVQILIRVRPLNSMEKSTNGYNRCLKQESSQCVAWIGPPETRFQFDHVACETIDQETLFRVAGLPMVENCLSGYNSCIFAYGQTGSGKTYTMLGEVSDLEFKPSPNRGMMPRIFEFLFARIQAEEESRRDERLKYSCKCSFLEIYNEQITDLLEPSSTNLQLREDIKSGVYVENLTECEVQSVQDILGLITQGSLNRRVGATNMNRESSRSHSVFTCVIESRWEKDSTANMRFARLNLVDLAGSERQKTSGAEGDRLKEAASINKSLSTLGHVIMVLVDVANGKPRHIPYRDSRLTFLLQDSLGGNSKTMIIANASPSVSCAAETLNTLKFAQRAKLIQNNAVVNEDSNEDVLELRRQIRLLKEELSLLKRQNISRALSFGSATANFAELQVDSPSSEMHETGHQQAGNLLVYESGGCVRMSRKQLKSLEITLAGSLRREHVADASIKKLEAEIEHLNRLVRQREEDTRSTKMMLRFREDKIQRLESLLGNHISTDSFLLEENNVLSEEIQLLQAKIDKNPELTRFALENIRLLDQLRRFQEFYEEGEREILLGEVSNLRNQLFQFLDENSDWQKHVDEGIEPQGASHMSKENCSLQEELRKTSYELEKCRSNLGSCLEENAKLSREIHDLQAMVSDIRACTPNEHSIGNKQKALLGTQNVEWHETLAGQQVNYVEEIIKLQLDLDVLKIILDEERTLRGDTEAQAVRLKFDIGELKDQLLLISKQQENVYSELGETKSVVEALKSQNLILIQEAVELRRIKENYIELLQMQELDIPAMKSKQRNEFKDNPAEDKAIDTKFKKMHASLEKAKRLNMLYKSDIASKACGDEEMDEVCKQAEAATAEVIVCLQNELEVLQKEVNDFQSKENVTEKQVQILETQMEELQDNLRDTTTDNEKLQEKLRGKDIELQIISNEMELLTSELEEILLNGNEGLTDACYQADLISGSLPDKRIWISEQVGGLIRILSERELMIEDLESCLEDANKKRCDIESMLKSLRGAAIVMNEAHQREFEEKETHVLLLKSQLCTKTETISRLQEKLKMAERLIYEASDCATASLIIVNRYSEVTESHAFELKQKDFQLAESAGTILSLKQQVQKLEATCKEFRSKLLDEEKNASAMEQKLEEIEETGISAMKEKISELRGGISGLRSCINMCQEHEKYPKEENSLGSPAHCSEGQEPGRNVVVSSCIEKTPNNNHTESMKLSSKVSSERGKVIILLKQEMESALVSLREVQVEMAKLQGEKEELKASEKRSLSNLNNLAAQFCNLETVMKDMEEQYEHRMEVTDHKLKTLEHELAKIKTEADQEYVEKLCVLKKFEEAQGVIKEADITVNELIIAHEKTKFDMEKQKKRETSLVGEKNALVDKLQELETINVKENEKLAYLEKLFESSLMGIGNLVGELESVVRKLQDESSVALTGMANDLSDFKAWVSETNSARLFLEDIWSEIIMKDCALSVLHLCHMGILLETVTGINTENGLLQRGLCVSNSSIAGLRDNNLRLRRELEMFANLKGKLLTDIKNGFERISRNEEATNLLTTKLSSFDQKISGLQYQEELMLQRSNSMGSQLDILLKEIDLSNWNLAATLLEQERHLNQKDDFFDTEVQLYLMDLCSKDVESLVLAQTVKEYSSCLAVVDRELLDHHAIVEDLKEKIFVSQVEGELKDRCLVDNKLETVSVIEELTEAKSKIKVLSSDLDRSVQKITEIDEVNKVFGERVIFLESRITGLQQELAMKASELYSLEHSQSVTAEELDIKERDIQVYSDVVSSLKKENIFLKNKFIHFGEDQLKALDVTRLSIAKCSHLAEDSKILEKLTRDGVAISDKMLQLICENVSKASGFENTVQSLQIDVQDLLSENLNLQDELLRKDDVLKGLSFDLSLLQESASNSRDKKDETKEIMVHVEALEKTLSLKTFELEDAVSHAQMLEVQLQESKEITQNLEVDTEKARKCQEKLSAENKDIRAEAEDLLAEKSSLEEELIQTKKVSESMEMELFNLRNALGQLNDTVAFTQRTLNEAIDDRDNLQDEIVNLKEEFGKMKSEAKEMEARYIEAQQIAESRKTYADEREEEVKLLEGSVEKLEYTINVLENKVNVVKGEAERQRLQREELEMELHTIRQQMESARNADGEMRRILDEKHMDLAQAKTHIEALERNKADQKTEFTQLSAHISELNLHAEAQAREYMHKFKELEAMAEQVKPEIHVSQAIDSSLSKGSGKPRGSGSPFRCIGLGIAQQMRSEQDEELAAARLRIEELETVVATRQKEIFLLNSKLAKVDSMTHDINRVLLGVKQNVTNCASFLDSQQVLKIAEMLQHNSSDSRETDLEVSHLKQQLNEYNEERQGWIQEIEGKQTDLVTTQIKLEEHRQYEQLLKKENELLKKENVSHKRKVMELEGEVNKLSSHQNPEWRTRDQARIKEENNVLKLQLDELNLKLRRADVSVSRAKEELAFYRASSGKNPHSNFDKTHQLSTKLKETKEDRMQLAQKLLGLCTSILKAAGVTGEDITDINPEVAEEALEQLKTKLGLLESELHHFRLKGKIKSRRSRNPERKMPSVPSPRRSWSQSPRRMSQVPFFSSLDR >fgenesh2_kg.3__2129__AT3G19130.1 pep chromosome:v.1.0:3:8233192:8236170:-1 gene:fgenesh2_kg.3__2129__AT3G19130.1 transcript:fgenesh2_kg.3__2129__AT3G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein 47B [Source:UniProtKB/TrEMBL;Acc:D7L979] MQTTNGSDSTLATSGATPPNQQTPPPPHQWQQQPPQQQQQWMAAAMQYPAAAMMMMQQQQMMMYPHQYVPYNQGPYQHHHPQLHQYGSYQQHQQQHKPLDRGSGDDVKTLWVGDLLHWMDETYLHSCFSHTGEVSSVKVIRNKLTSQSEGYGFIEFLSRAAAEEVLQNYSGSLMPNSDQPFRINWASFSTGEKRAVENGPDLSIFVGDLSPDVTDALLHETFFDRYPSVKSAKVVIDSNTGRSKGYGFVRFGDENERSRALTEMNGAYCSNRQMRVGVATPKRAIANQQQHSSQALILAGGHGANGSMAHGSQSDGESTNATIFVGGIDADVTDEDLRQPFSQFGEVVSVKIPVGKGCGFVQFAERKSAEDAIETLNGTVIGKNTVRLSWGRSPNKQWRGDSGQQWNGGYSRGQGYNNGGGYANHHDSNNYHGEN >fgenesh2_kg.3__212__AT3G02710.1 pep chromosome:v.1.0:3:715258:717933:1 gene:fgenesh2_kg.3__212__AT3G02710.1 transcript:fgenesh2_kg.3__212__AT3G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNQTRKRKAIDAGHGSPPPAYRGSDSVDLSLLEALEKSSHHAVEALDLKTLKKLVLSFERRLRDNIAARLKYVENPEKFADSEVDLHDDLQKLKVLAGAPELYPDLVASNSVPSIVNLLSHDNSDIANDVVQLLQDLTDEDALEDNDEPARVLVDALVENNVLELLVQNMNRLSEADPDEATAIYATLTVIENLVEVKPAVAELVCERTKLLRWLLTKIKVREFEGIKQYASEILAILLQNSTANQKRLGQMNGVDAVLEGVAMYKSKDPKTPDEEEMLENLFDCLCCLLMPLENKERFVNAEGVELMIIIMKQKKYAYGSAIRALDFAMTNYPPACERFVDVMGLKTAFAAFMGKIPLNKRIKRERYKEELEERVISLIASLFAGILRGSRRDRLLSKFVENEFEKIDRLMELYFRYSDRVRSEAERLDQLELDDLELDEDEKYNRKLESGLYSLQLVAVILGHIWCSEHSGMRGRIELLLKQQKLSKTDVKQILQEYHDNIGDLDGPEEKERGQARIQLFISAM >fgenesh2_kg.3__2131__AT3G19170.1 pep chromosome:v.1.0:3:8249988:8256694:-1 gene:fgenesh2_kg.3__2131__AT3G19170.1 transcript:fgenesh2_kg.3__2131__AT3G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPREP1 [Source:UniProtKB/TrEMBL;Acc:D7L983] MLRTVSCLASRSSAPLFFRFFRQFPRSYMSLTSSTAALRVPSRNLRRISSPSVAGRRLFLRRGLRIPSAAVRSVNGQFSRLSVRAVATQPAPLYPDVGQDEAEKLGFEKVSEEFISECKSKAILFKHKKTGCEVMSVSNEDENKVFGVVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVDDVHTFQQEGWHYELNDPSEDISYKGVVFNEMKGVYSQPDNILGRIAQQTLLIPENTYGVDSGGDPKDIPKLTFEEFKEFHRQYYHPSNARIWFYGDDDPVHRLRVLSEYLDMFEASPSPDSSKIKPQKLFSKPVRLVEKYPAGRDGDLKKKHMLCVNWLLSEKPLDLQTQLALGFLDHLMLGTPASPLRKILLESGLGEALVSSGLSDELLQPQFSIGLKGVSEDNVQKVEELIMDTLKKLAEEGFDNDAVEASMNTIEFSLRENNTGSFPRGLSLMLQSIAKWIYDMDPFEPLKYTEPLKALKTRIAEEGSKAVFSPLIEKFILNNSHRVTIEMQPDPEKATQEEVEEKNILEKVKAAMTEEDLAELARATEELKLKQETPDPPEALRCVPSLNLGDIPKEPTYVPTEVGDINGVKVLRHDLFTNDIIYAEVVFDIGSLKHELLPLVPLFCQSLLEMGTKDLTFVQLNQLIGRKTGGISVYPLTSSVRGKDEPCSKIIVRGKSMAGRADDLFNLMNCLLQEVQFTDQQRFKQFVSQSRARMENRLRGSGHGIAAARMDAMLNIAGWMSEQMGGLSYLEFLHTLEKKVDEDWEGISSSLEEIRRSLLARNGCIVNMTADGKSLTNVEKSVAKFLDLLPEKPSGGLVTWDGRLPLRNEAIVIPTQVNYVGKAGNIYSTGYELDGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDSHSGVFSYLSYRDPNLLKTLDIYDGTGDFLRGLDVDQETLTKAIIGTIGDVDSYQLPDAKGYSSLLRHLLGVTDEERQRKREEILTTSLKDFKDFAEAIDVVRDKGVAVAVASAEDIDAANNERSNFFEVKKAL >fgenesh2_kg.3__2134__AT3G19184.1 pep chromosome:v.1.0:3:8262663:8264465:1 gene:fgenesh2_kg.3__2134__AT3G19184.1 transcript:fgenesh2_kg.3__2134__AT3G19184.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7L985] MVESELSYEQIRLKRVEENKKRMGELNLNKLAQSLRVSSSSSKPSPAKPRTMRIPVDFSEVRRSSRAKGPPPSYKEFGLEPLERRPRRSSQRRDLLNRVYASDDARMYAFDRAEKLQSSLDPEFPSFTKPMLQSHVTGGFWLGLPLPFCKAHMPKRDEMMTLVDEEDEESMVKYLAEKNGLSGGWRGFAIDHQLVDGDAVVFQLITRTMFKVYIIRVNEDANKDSDGNEEDEDNDNELNKKQKENVSEARQLRSSGKRKRGGNNVSNLSLPLVIS >fgenesh2_kg.3__2137__AT3G19210.1 pep chromosome:v.1.0:3:8278535:8284632:-1 gene:fgenesh2_kg.3__2137__AT3G19210.1 transcript:fgenesh2_kg.3__2137__AT3G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDEEILSSSDCDDSSDSYKDDSQDSEGENENPDCEDLAVVSPSSDADRKSKNVNDLLRGNLVVQRQPLLPRVLSVSEGAAVCRKPFKPPCSHGYNSTGKLSRRLSARKRFVPWGSSTPVVVALPTKLEASTNIEKDEEEEVVCLPPDIEPLILWQSEEDGMSNVTTIMVHSLLVQFLRPHQREGVQFMFDCVSGLHGSENINGCILADDMGFGKTLQSITLLYTLLCQGFDGTPMVKKAIIVTPTSLVSNWEAEIKKWVGDRIQLIALCESTRDDVLSGIDSFTRPRSALQVLIISYETFRMHSSKFCQSESCDLLICDEAHRLKNDQTLTNRALASLTCKRRVLLSGTPMQNDLEEFFAMVNFTNPGSLGDAAHFRHYYEAPIICGREPTATEEEKNLAADRSAELSSKVNQFILRRTNALLSNHLPPKIIEVVCCKMTTLQSTLYNHFISSKNLKRALADNAKQTKVLAYITALKKLCNHPKLIYDTIKSGSPGTVGFENCLEFFPAEMFSGRSGAWTGGDGAWVELSGKMHVLSRLLANLRRKTNDRIVLVSNYTQTLDLFAQLCRERRYPFLRLDGSTTISKRQKLVNRLNDPTKDEFAFLLSSKAGGCGLNLIGANRLVLFDPDWNPANDKQAAARVWRDGQKKRVYVYRFLSTGTIEEKVYQRQMSKEGLQKVIQHEQTDNNTRQGNLLSTEDLRDLFSFHGDVRSEIHEKMSCNRCQNDSAGTENIEEGNENNVDDNACQIDQEDIGGFAKDAGCYNLLKNSEKQVGTPLEEDLGSWGHHFTSKSVPDAILQASTGDEVTFVFTNQVDGKLVPIESNVSPKMVESEEHNRNQTVNKRAFNKPQQRPREPLQPLSLNETTKRVKLSTYKRLHGTSNIDDAQIKMSLQRPNQVSVNHDDDFV >fgenesh2_kg.3__2140__AT3G19240.1 pep chromosome:v.1.0:3:8292713:8294928:1 gene:fgenesh2_kg.3__2140__AT3G19240.1 transcript:fgenesh2_kg.3__2140__AT3G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSQSREDRITESDTDSDSDYYDEEDEDQYDDAKKQGSSSVSGSGPSDSSSSGPSDSSSLDIEEKLKALKLKYPSSSSVTLKMKNAVKLYRHIGGNTPKAKWIITDKMTSYKFVKTSTADGEDIGDYDDCEESGEGGESFWFLGVGTKVKARVSTDMQLKMFGDQRRVDFVSNGVWALKFLTDEDYRKFVTRFQDYLFENVYKIRASEENRIKVYGKDFIGWANPEAADDSMWEDAEAPPEEEETQAKRNTDLTEEFEEVANGGVQSLTLGALDNSFLVNDYGVQVYRNMERGIHGKGVCVRFDSGTSKFGSGSSQTTPNKALLMRAETNMMLISPAKQGKPNSTGVKQLDIESGKIVTEWKFEKDGTEITMRDITNDTKGSQLDPSESTFLGLDDNRLCQWDMRDRRGIVQNIESPILEWTQGHQFSRGTNFQCFATTGDGSIVVGSLDGKIRLYSKTSMRMAKTAFPGLGSPITHLDVSYDGKWILGTTDTYLVLICTLFTDKDGRTKTGFSGRMGNKIPAPRLLKLTPLDSHLAGKDNKFHGGHFSWVSESGKQERHIVATVGKFSVIWDLERVKNSAHDCYRNQQGLKSCYCYKILLKDESIVESRFMHDNFSFSGNKSPEAPLVVATPLKVSSISLSGKRL >fgenesh2_kg.3__2143__AT3G19270.1 pep chromosome:v.1.0:3:8303552:8306489:-1 gene:fgenesh2_kg.3__2143__AT3G19270.1 transcript:fgenesh2_kg.3__2143__AT3G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP707A4 [Source:UniProtKB/TrEMBL;Acc:D7L9X4] MAEIWLLVVPSLIFCLLLVRVIVSKKNKNSRGKLPPGSMGWPYLGETLQLYSQNPNVFFTSKQKRYGEIFKTRILGYPCVMLASPEAARFVLVTHAHMFKPTYPRSKEKLIGPSALFFHQGDYHSHIRKLVQSSLYPETIRKLIPDIEHIALSSLQSWANMHIVSTYQEMKKFAFDVGILAIFGHLECSYKEILKHNYNIVDKGYNSFPMSIPGTSYHKALMARKRLKTIVSEIIGERREKRVLQTDFLGHLLNFKDEKGRVLTQEQIADNIIGVLFAAQDTTASCLTWILKYLHDDQKLLEAVKAEQRAIYEENSREKKPLTWGQTRNMPLTHKVIVESLRMASIISFTFREAVVDVEYKGYLIPKGWKVMPLFRNIHHNPKYFSNPEVFDPSRFEVNPKPNTFMPFGSGVHACPGNELAKLQILIFLHHLTSNFRWEVKGGEKGIQYSPFPIPQDGLPATFRRQSL >fgenesh2_kg.3__2144__AT3G19280.1 pep chromosome:v.1.0:3:8313962:8317192:-1 gene:fgenesh2_kg.3__2144__AT3G19280.1 transcript:fgenesh2_kg.3__2144__AT3G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSNLRGPKIGSTHEELPVANGSSSSSSTSSFKRKVSTFLPICVALVIIIEIGFLCRLDNASLVDTLTHFFTKSSSDLKVGSGMEKCQEWLERVDSVTYSRDFSKNPIFIAGGNKDFESCSVDCVMGFSSDRKPDAAFGLSHQPGTLSIIRSMESAQYYQENNLAQARRRGYDVVMTTSLSSDVPVGYFSWAEYDIMAPVHPKTEKALAAAFISNCAARNFRLQALEALMEANVKIDSYGGCHRNRDGSVEKVEALKHYKFSLAFENTNEEDYVTEKFFQSLVAGSVPVVVGAPNIEEFAPSPDSFLHIKQMDDVKAVAKKMKYLADNPDAYTQTLRWKHKGPSDSFKALIDMADVHSSCRLCIFVATRIREQEEKSPEFKRRPCKCTRGSQTVYHLYVRERGRFDMESIFLKDGNLTLEALKSAVLAKFKSLRHEPIWEKERPVTLRGDGELRVHGIYPLGLTQRQALYNFKFEGNSSLSTHIQRNPCPKFEVVFV >fgenesh2_kg.3__2145__AT3G19290.1 pep chromosome:v.1.0:3:8326117:8329139:1 gene:fgenesh2_kg.3__2145__AT3G19290.1 transcript:fgenesh2_kg.3__2145__AT3G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHINFNNLGGGGHPGGEGSSNQMKPTGSVVMPLARQSSVYSLTFDELQNTLGGPGKDFGSMNMDELLKSIWTAEEAQGLAMTSSSAATAVAQPGTGIPGGNLQRQGSLTLPRTISQKTVDEVWKCLITKDGNMGSSSGGGGESNALPGRQQTLGEMTLEEFLFRAGVVREDNCAQQMGQVNGNNNNNGFYGNSTAAGGFGFGFDQPNQNSISFNGNNDSIIMNQPPGLGLKVGGTMLQQQQQQQLLQQQQQQQLQQLNQPHPQQRLPQIIFPKQTNVAFSSPVNIVNKGFAGAANNSINNSNGLASFGGTGVTVAATSPGTSSAENNSLSPVPYVLNRGRRSNTGLEKVIERRQRRMIKNRESAARSRARKQAYTLELEAEIEKLKKTNQELQKKQAEMVEMQKNELKESSKQPWGSKRQCLRRTLTGPW >fgenesh2_kg.3__2146__AT3G19300.1 pep chromosome:v.1.0:3:8329166:8332349:-1 gene:fgenesh2_kg.3__2146__AT3G19300.1 transcript:fgenesh2_kg.3__2146__AT3G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L9X7] MVVVYKQALLLAFFAFLGFQYLPLLSEAGCPLDFTSSNFTLVASVCSNNTDRARCCRYMNAFVAVSVARYANYTADLGVTSDLTEICITTISRTMELYGIPRNATIFCGLGTKILVNYDCEGLATVPPMLHSTSFGDVSRNCKLPLPPGDQCRNCLNSSITYLRSLVGADNSIKLSTCRDATYAVLASRVDNSSALELASCFFNVPELSPASGSSPSSLSPEASPPVLADSPSSDNLVLSPLKSHHRYHLTMVPTIGIAVSAVALVMLVFLIVLIQRKKRELDDSKGMDCNLKRTSPSPHPRSMIHEGDSSGFRKFSYKEIRKATEDFNTVIGRGGFGTVYKAEFSNGLVAAVKRMNKSSEQAEDEFCREIELLARLHHRHLVALKGFCNKKNERFLVYEYMENGSLKDHLHSTEKPPLSWETRMKIAIDVANALEYLHFYCDPPLCHRDIKSGNILLDENFVAKLADFGLAHASRDGSICFEPVNTDIRGTPGYVDPEYVVTQELTEKSDVYSYGVVLLEIITGKRAVDEGRNLVELCQPLLVSESRRIDLVDPRIKDCIDGEQLETLVAVVRWCTEKEGVARPSIKQVLRLLYESCDPLHLGLAMAVEENKGRSLRGDSGFQSGDIRGLASSSSTTSRSHCSRSFLLETGSPHSPPNGLSF >fgenesh2_kg.3__2147__AT3G19310.1 pep chromosome:v.1.0:3:8333211:8335205:1 gene:fgenesh2_kg.3__2147__AT3G19310.1 transcript:fgenesh2_kg.3__2147__AT3G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase C [Source:UniProtKB/TrEMBL;Acc:D7L9X8] MFQSFTFFLTALLIPCFLILSPSCALKEGETCIVSKNCDRGLHCESCLASDSFRPRCSRMQPINPTSKVKGLPYNKYSWLTTHNSFARIGAKSGTGSMILAPSNQQDSITSQLLNGVRGFMLDMYDFQNDIWLCHSYGGNCFNYTAFQPAVNILKEFQVFLDKNKDVVVTLILEDYVKSPNGLTKVFDASGLRNFMFPVTRMPKNGEDWPTIDDMISQNQRLLVFTSNPHKEASEGIAFIWRYMIENQYGDGGMKAGVCTNRPESVAMGDRSRSLILVNYFPDTADVIGSCKQNSAPLLDTIKNCQEASGQRWPNFIAVDFYKRSDGGGAPKAVDVANGHSVCGCEDIAACKENMPYGTCEKQEEKKPESNLMMIAKLTAEATKGYGHPSTKPTQLGLSVFVVTFVLLLSTF >fgenesh2_kg.3__2148__AT3G19320.1 pep chromosome:v.1.0:3:8335400:8337116:-1 gene:fgenesh2_kg.3__2148__AT3G19320.1 transcript:fgenesh2_kg.3__2148__AT3G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7L9X9] MAPNYNPSIFLILSLHFLSILATGNNHITDRKSLEIIFGGGSESFNYNSPAPSPEPEDYLPPPPPRPQIPSPLPPPQPLPPLFPSPEPENCRPPPYHHYLTPSPPPPRPLAPPLPPPLLFSSPLIKNVYSVIKNFQTLIENDPKKILRTWVGTDICAQDKYIGLECAKFPGTNDLALASIQFNNFNLGGKKLRLDNFLNKLEEVTIFHANSNSFVGSVPKFSNLKYLFELDLSNNKLSGEFPSSVLKATNLTFLDLRFNSFSGSVPPQVFNLDLDVLFINNNNLVQRLPENLGSITALYLTFANNRFTGPIPGSIGDIKSLQEVLFLNNKLTGCLPYQIGNLNRATVFDVESNQLTGLIPYSFGCLNKMEQLNLARNNFYGTIPEIVCELSALKNLSLSSNYFTQVGPKCRTLIKRKILDVGMNCILDLANQRAPWECAKFFLRKQSCPNYKSFFYVPCGKDPHRIKPDQEGLDGQASPPVSYGALNPDRIRNL >fgenesh2_kg.3__214__AT3G02730.1 pep chromosome:v.1.0:3:723807:725077:-1 gene:fgenesh2_kg.3__214__AT3G02730.1 transcript:fgenesh2_kg.3__214__AT3G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin F-type 1 [Source:UniProtKB/TrEMBL;Acc:D7KZK6] MPLSLRLSPSPTALAPTTGGFGPSRKQCRIPYSGVATTKIGFCSLDNGKRGDSSVVRCSLETVNVSVGQVTEVDKDTFWPIVKAAGEKIVVLDMYTQWCGPCKVIAPKYKALSEKYEDVVFLKLDCNPDNRPLAKELGIRVVPTFKILKDNKVVKEVTGAKYDDLVAAIETARSVGSG >fgenesh2_kg.3__2150__AT3G19330.2 pep chromosome:v.1.0:3:8339556:8341012:1 gene:fgenesh2_kg.3__2150__AT3G19330.2 transcript:fgenesh2_kg.3__2150__AT3G19330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCLSFNPASPEVSLGDDPLPHPSIESGEASTSRNDASIQSPTFNLSRELAHAFQTPSYHDVRSRVHVVVDPTQHHHQYIQPDIELLISQVLQPNKECVQEAIRHFKQTTLTHLVSTYFQHSENATRLCLNLYQNVHSARHHLYTPLLDLFNSFPGDTHAAIDESLCNLAFDVFLKLDTFENPFSSPESHSFQDTQLCFSQLKNNLDRRLRKSRSRVRLIHHATAGPLCSPYLPHSFKRKELTNICQLNAASKGTFVLNKDLDTIDRLVSRLHSGIEYDKHLIRLGLERGRDLHSIQEIVKQLRKNNLNLTHQLKDLEDHICLWFTNVNKARSLLLKEIHLPRTHVNL >fgenesh2_kg.3__2153__AT3G19360.1 pep chromosome:v.1.0:3:8348536:8350454:1 gene:fgenesh2_kg.3__2153__AT3G19360.1 transcript:fgenesh2_kg.3__2153__AT3G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7L9Y4] MDSSYSDSRPMFVQSPYGGWNQTQMIDSMANPTNNEQGDLHSLSESQSQSQSQPPQQLQPALKRPRLVDDNVFNPASSFPQPSSSNPWMVPSLNPPPVNKGTANIFYKTRMCAKFRAGTCRNGELCNFAHGIEDLRQPPSNWQEIVGPPPGQDREKERERERERERERPSLAPVANNNWEDDQKIILRMKLCRKFCFGEECPYGDRCNFIHEDLSKFREDSGKLRESSVISVGTSAADPPSDTASNHIEVNRQGSIPVPAPMNNGGVVKTVYWKTRLCMKFDITGQCPFGDKCHFAHGQTELHNSVGRVDGEAVNATASVSKQTVVPANEAFAMKPTTQVTADSSGLNEEGRRKKCLLKWSDSKKINRIYGDWIDDLPVGQKSSKPVES >fgenesh2_kg.3__2157__AT3G19380.1 pep chromosome:v.1.0:3:8356121:8357799:-1 gene:fgenesh2_kg.3__2157__AT3G19380.1 transcript:fgenesh2_kg.3__2157__AT3G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7L9Y6] MQDPVTVCTGQTYDRASIESWVSIGNNTTCPVTRAPLSDFTLIPNHTLRRLIQEWCVANRSNGVERIPTPKQPADPTSVRALLSQASAITGTHVSVRSRAAALRRLRGFARDSDKNRVLIAAHNATEVLIRILFSETTSSELVSESLALLVMLPITEPNQCVSISSDPGRVEFLTRLLFDSSIDTRVNAAALIEIVSTGTKSADLKGMFSISNSESVFEGVLDLLRNPISSRRALKIGIKTLFALCLVKSTRHIAITAGAPEILIDRLAADFDRCDTERALATVELLCRSPEGCAAFGEHALTVPLLVKTILRVSDRSTEYAAGALLALCTAEERWREEAAAAGVVVQLLLMVQSECTERAKKKAQKLLKLLRDSWPDYNSFANSDDFGCSSQVVPF >fgenesh2_kg.3__2158__AT3G19390.1 pep chromosome:v.1.0:3:8378172:8379957:1 gene:fgenesh2_kg.3__2158__AT3G19390.1 transcript:fgenesh2_kg.3__2158__AT3G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIKSITLALLIFSMLLISLSLGSVTAADTTRNEAEARRMYEQWLVENRKNYNGLGEKETRFEIFTDNLKYIEEHNSVPNQTFEVGLTRFADLTNDEFRAIYLRSKMERTRVPVKGERYLYKVGDTLPDQIDWRAKGAVNPVKDQGNCGSCWAFSAIGAVEGINQIKTGELISLSEQELVDCDTSYNGGCGGGLMDYAFKFIIENGGIDTEEDYPYTATDDNICNSDKKNSRVVTIDGYEDVPQNDEKSLKKALANQPISVAIEAGGRAFQLYKSGVFTGTCGTSLDHGVVAVGYGSEGGQDYWIVRNSWGSNWGESGYFKLERNIKESSGKCGVAMMASYPTKSSGSNPPKPPPPSPVVCDKSNTCPAKSTCCCLYEYNGKCYSWGCCPYESATCCDDGSSCCPQSYPVCDLKANTCRMKGSSPLSIKALTRGPAIATTKSTNVLVSSA >fgenesh2_kg.3__2159__AT3G19420.1 pep chromosome:v.1.0:3:8384352:8388670:1 gene:fgenesh2_kg.3__2159__AT3G19420.1 transcript:fgenesh2_kg.3__2159__AT3G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSPNLPAPPPPVTAVQAGTDDSPKGVASKLSAAGISTWAKNLKVPQPFASTQNDSGVENTEKSAFAKFTSGLGIRLSPKSPQPNETTEGTSSGTESSFIGTITKGLVDTSKNAVKAVQVKARHAVSQNKRRYQEGGFDLDLTYITENIIAMGFPAGDMSSGFFGYVEGFYRNQMEEVINFLETQHKGKYKVYNLCSERLYDVSLFEGKVASFPFDDHNCPPIHLVTSFCQSAYSWLKEDIENVVVVHCKAGMARTGLMICSLLLYLKFFPTAEECMDFYNQKRCVDGKGLVLPSQIRYVKYFERILTYFNGENQPGRRCMLRGFRLHRCPYWIRPSITISDHNGVLFTTKKHPRTKDLSPEDFWFSAPKKGVMVFALPGEPGLTELAGDFKIHFHDRQGDFYCWLNTTMMENRVILKTSELDGFEKRKLPSPGFMVEVVLADINATIPTNPSSETASKTPEETSAANSSPVDGSASVPGPNKETEDPDKDDVFSDNEGDATGPTKTTSSASSQTPEAKQSADETSALTKATEKVSISGNKGSSQPVQGGTVSKGEATEKPSGAVVNASSSSESEFKVMAADASVFSFGDEDDFESD >fgenesh2_kg.3__215__AT3G02740.1 pep chromosome:v.1.0:3:725812:728520:1 gene:fgenesh2_kg.3__215__AT3G02740.1 transcript:fgenesh2_kg.3__215__AT3G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7KZK7] MDLRRRQWFLLPILLSAALLIELQLSTAATAPDNLVFQVRSKFAGKREKDLGALRAHDVHRHSRLLSAIDLPLGGDSQPESIGLYFAKIGLGTPSRDFHVQVDTGSDILWVNCAGCIRCPRKSDLVELTPYDADASSTAKSVSCSDNFCSYVNQRSECHSGSTCQYVILYGDGSSTNGYLVRDVVHLDLVTGNRQTGSTNGTIIFGCGSKQSGQLGESQAAVDGIMGFGQSNSSFISQLASQGKVKRSFAHCLDNNNGGGIFAIGEVVSPKVKTTPMLSKSAHYSVNLNAIEVGNSVLQLSSDAFDSGDDKGVIIDSGTTLVYLPDAVYNPLMNQILASHQELNLHTVQDSFTCFHYIDRLDRFPTVTFQFDKSVSLAVYPQEYLFQVREDTWCFGWQNGGLQTKGGASLTILGDMALSNKLVVYDIENQVIGWTNHNCSGGIQVKDEETGAIYTVGAHNLSWSSSLAITKLLTLVSFVIPFFCNIAL >fgenesh2_kg.3__2162__AT3G19450.1 pep chromosome:v.1.0:3:8400326:8402562:1 gene:fgenesh2_kg.3__2162__AT3G19450.1 transcript:fgenesh2_kg.3__2162__AT3G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGEKKALGWAARDPSGVLAPYSYTLRSTGADDVYIKVICCGICHTDIHQIKNDLGMSNYPMVPGHEVVGEVVEVGSDVSKFTVGDVVGVGVIVGCCGSCKPCSSELEQYCNKRIWSYNDVYTDGKPTQGGFADTMIVNQKFVVKIPEGMAVEQAAPLLCAGVTVYSPLSHFGLMASGLKGGILGLGGVGHMGVKIAKAMGHHVTVISSSDKKKEEAMEHLGADDYAVSSDPAEMQRLADSLDYIIDTVPVFHPLEPYLACLKLDGKLILMGVINTPLQFLTPVVILGRKVISGSFIGSIKETEEVLAFCKEKGLTSTIETVKIDELNTAFERLSKNDVRYRFVVDVAGSNLVEEAATTTS >fgenesh2_kg.3__2163__AT3G19480.1 pep chromosome:v.1.0:3:8417183:8419428:1 gene:fgenesh2_kg.3__2163__AT3G19480.1 transcript:fgenesh2_kg.3__2163__AT3G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7L9Z5] MATSLNLSSIFSSSRLVTTPSSVFPIRQRRRIILVTSSSSGGKPTILVTEKLGQAGIDLLKKYANVDCSYDLSLEELCTKISLCDALIVRSGTKVGRDVFESSRGRLKVVGRAGVGIDNVDLAAATEYGCLVVNAPTANTVAAAEHGIALLTAMARNIAQADASIKAGKWTRNKYVGVSLVGKTLAVLGFGKVGSEVARRARGLGMHVITHDPYAPADRARAIGVELVSFEVAISTADFISLHLPLTAATSKMMNDVTFSMMKKGVRIVNVARGGVIDEEALLRALDSGIVAQAALDVFTVEPPVKDNKLVLHESVTATPHLGASTMEAQEGVAIEVAEAVIGALRGELAATAVNAPMVPLEVLRELKPYVVLAEKLGRLAVQLVTGGSGVNAVKVTYASSRAPDDLDTRLLRAMVIKGLIEPISSVFINLVNSDYIAKQRGVKISEERMVLDGSPENPIEYITVRIANVESRFASALSESGEIKVEGRVKQGIPSLTKVGLFGVDVSLEGSVILCRQVDQPGMIGKVASILGDENVNVSFMSVGRIAPGKQAVMAIGVDEQPSKETLKKIGDIPAIEEFVFLKL >fgenesh2_kg.3__2167__AT3G19510.1 pep chromosome:v.1.0:3:8428061:8431803:-1 gene:fgenesh2_kg.3__2167__AT3G19510.1 transcript:fgenesh2_kg.3__2167__AT3G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAASKRVTRSSGSGLKQTNVEINPTVDKVSEQGQNSEAGSHMPNDANENGHLHHDIMENGKGNEQLKPTPQTGKKRSSTNTKISGSHRELVIGLPCRGQFEIHRSRSSTSSKRLGGGGERNVLFSSHKGAQRSKEDAGSSSVAANSTPVGRPKKRNKTMKKGEVREDDEYTRIKKKLRYFLNRISYEQNLIDAYSLEGWKGSSLEKIRPEKELERATKEILRRKLKIRDLFQHLDTLCAEGSLPESLFDSDGEISSEDIFCAKCGSKDLSVDNDIILCDGFCDRGFHQYCLEPPLRKEDIPPDDESWLCPGCDCKDDSLDLLNDSLGTKLLVSDSWEKIFPEAAAALAGGGQNLDCDLPSDDSDDEEYDPDGLNDNENDEDGSDDNEESENEDDSSDESESTSASDKMIESFKEGKDIMKDIMALPSDDSEDDDYDPDAPTCDEDKESSNSDCTSDYEDLETSFKGDESNQQAEDTPFEDPGRQTSQLPCDAILESDVGLDDGPAGVSRRRNVERLDYKKLYNEEYDNVPTSSSDDDDWDKTARMGKEDSESEDEGDIVPLKQSSNAEDHTSKKPRRKSKRTDKKDTLEVPQECPGENGGSGEIEKSSSSANKQTDPKTQRLYISFQENRYPDKATKESLAKELQMTVTQVNNWFKNRRSSINSKPLVSEENVEKLKTGKEGECETSVAGSSVQTMETESVAENKSRASESTNTGSRKRRRK >fgenesh2_kg.3__2169__AT3G19550.1 pep chromosome:v.1.0:3:8450555:8451615:-1 gene:fgenesh2_kg.3__2169__AT3G19550.1 transcript:fgenesh2_kg.3__2169__AT3G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTEIAKAPKLLLRSWKQFQGRAGISSKAAKPNPMMVNYFEDISDHNLNCGGKEESWVPHPRTGIFFPPGQESVMEDVPNGAASFNMTFWLRNVDGVDKPDPDLHHLPY >fgenesh2_kg.3__2170__AT3G19553.1 pep chromosome:v.1.0:3:8454329:8456512:-1 gene:fgenesh2_kg.3__2170__AT3G19553.1 transcript:fgenesh2_kg.3__2170__AT3G19553.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease family protein [Source:UniProtKB/TrEMBL;Acc:D7LA04] MGEETIVNDENSSETTKPSPKLTLLPLVFLIFYEVSGGPFGVEDSVKSGGGPLLALLGFLIFPLIWSIPEALVTAELATSFPENGGYVVWISSAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSFPVLNHVAARVPALLGITFSLTYLNYRGLHIVGFSAVVLAVFSLCPFVVMAFLAVPRIRPKRWLFVDTQKINWRGYFNTMFWNLNYWDKASTLAGEVDRPGKTFPKALFGAVLLVMGSYLIPLMAGTGALSSSSSGEWSDGYFAEVGMLIGGVWLKGWIQAAAAMSNLGLFEAEMSSDAFQLLGMSEIGMLPAFFAQRSKYGTPTISILCSATGVIFLSWMSFQEIIEFLNFLYALGMLLEFAAFVKLRIKKPDLHRPYRVPLNTFGVAMLCLPPSLLVILVMVLAAPKTFLISGVIIVLGFCLYPFLTLVKEKQWATFIPEETRPVSGVPSESQLDEEHGDESAASLLP >fgenesh2_kg.3__2171__AT3G19570.2 pep chromosome:v.1.0:3:8471651:8475678:1 gene:fgenesh2_kg.3__2171__AT3G19570.2 transcript:fgenesh2_kg.3__2171__AT3G19570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIPQAAAISSTDSKNPPRDRQDKPQLTANNGGLQRRPRAAKNVPSRYLSPSPSHPSTTTTATSTSSSSSSAVLRTSKRYPSPLLSRSTNSASNLVKTPSSLPKRSQSVDRRRPSAVSDTRTEMSAATKMLITSTRSLSVSFQGEAFSLPISKKKETTTPVSHRKSTPERRRATPVRDQRENSKPVDQQLWPGASRRGSSDSLVPNSLSRSVNCDSDGSGKLGSGFVGSSMLQHSQSSRVSVDGRLNLDLGGGDGILEMRDESKTRPSTYPKLGSSVSCDFTASDTDSVSSGSTNGAQECCSGVNGEVSKTRGLPRNNAVASAKFWQETNSRLRRFQDPGSPRSSSPSSRIRSISSSKFSQSKRFSSDSPLTSSPRGMTSPIRGATRPASPSKLWATATSTPARALSSPSRVRNGVSEQMNAYNRTLPSILCFSADIRRGKIGEDRVMDAHLLRLLYNRYLQWRFANARADSTFMVHRLSAEKNLWNAWVSISELRHSVTLKRIKLLLLRQKLKLASILKEQIGYLEEWSFMDRDHSNSLSGATEALKASTLRLPISGKALVDIQDLKHAVSSAVDVMHAMVSSIFSLTSKVEEMNSVMAEMVNITAKENVLLEQCQGFLSRVAAMQVTDCSMKTHIIQLSRI >fgenesh2_kg.3__2173__AT3G19580.2 pep chromosome:v.1.0:3:8477820:8478817:-1 gene:fgenesh2_kg.3__2173__AT3G19580.2 transcript:fgenesh2_kg.3__2173__AT3G19580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAMKSPTSFTRIETKEDLMNDAVFIEPWLKRKRSKRQRSHSPSSSSSSPPRSRPKSQNQDLTEEEYLALCLLMLAKDQPSQTRFHQQSQSLTPPPESKNLPYKCSVCGKAFPSYQALGGHKASHRIKPPTVISTADDSTAPTISVVGEKHPIAASGKIHECSICHKVFPTGQALGGHKRCHYEGNLGGGGGSKSISHSGSVSSTVSEERSHRGFIDLNLPALPELSLHNNPIVDEEILSPLTGKKPLLLTDHDQVIKKEDFSLRI >fgenesh2_kg.3__2178__AT3G19610.1 pep chromosome:v.1.0:3:8487252:8489466:-1 gene:fgenesh2_kg.3__2178__AT3G19610.1 transcript:fgenesh2_kg.3__2178__AT3G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRSGVLFKLLEEMGVGKVRRDVDHRPVLLQIRSIIPVLAAGGLWPNKGFFLRITDSTHSMYVSLPREENDLVLYDKLQIGQLIFIEKLEFAYPVPMIKGIRPTPGRRACTGDPIDLIPKERIEKFCVGLSDMEENYDHQVKKPRRTRWNNSSVSELNFTDLGLSKNLSSVMEEKDDTESMISSCSSSFSSVARRRSWIGSGDNRKRRESLDPSMIKNHDHDTKHASIARSSSATSPSLSIRSYGGIEEKSSSRTRRRDGVVSPSPRWSKSLSYGSGSNKSKNLLPPKSNTLESSDSISRKRSWTETEILWDSLPPKVVNLGKEILRQRDTAIRAASQALLEASAAERLLKCLRSYSELSDRRNQHQENQQPPIQDFLSFQAELSKSRLIIQSLSTEKTEHSNSNIVKTGDERREKATQWIKSALATDLQLVSSTASKPTQSPGRKGLTLIAQEIDNREDNTSESDSGLGERKERLSRASSELRNWLKEEGRSWYLSRVEKYLDEISNGTKWREMSSQQVGETMYQIKRVSDWLDAIVKGEENEEEEEMVMMMMSEFETEACGRVRNKIYRILLKHVETTSLLSHQRSTNLMSNQQQQQQQQHLFQKTMSL >fgenesh2_kg.3__2182__AT3G19640.1 pep chromosome:v.1.0:3:8495867:8498084:1 gene:fgenesh2_kg.3__2182__AT3G19640.1 transcript:fgenesh2_kg.3__2182__AT3G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGARADEFNFNTNPSTPNTGQPTPMYPAGVVGGGGRKKGVGVRSWLVLDSSGKSDLKEEGKHSIMRRTGLPARDLRILDPLLSYPSTVLGRERAIVINLEHIKAIITAQEVLLLNSKDPSVSPFIDELQRRILCHHHATKPQEEQKSEGELHSRTDPAQGEAGTPQSSGDQGSEAKKDAKQSLENQDGSKVLPFEFVALEACLEAASSSLEHEALRLELEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKLAQKLEDSSNSSMNESDTFEVDLPQGDEDDRLPPEFASLANRDERYLQGTDAHHLLMSAHSALSRNSRGTHTSSTRSAMTNKLDVEELEMLLEAYFVQIDGILNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVMSAFIAVAGVFGMNITIELFKDNEAGPRRFIWTVIGGSVGSIFLYVGAIGWCKYKRLLE >fgenesh2_kg.3__2183__AT3G19650.1 pep chromosome:v.1.0:3:8498527:8500278:1 gene:fgenesh2_kg.3__2183__AT3G19650.1 transcript:fgenesh2_kg.3__2183__AT3G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRESRDSDPKRQRSRIDREPSPKRSRRDGKPEAERVLIKKDLDVREGTDAEKKPRQSLRDAAPLEPDAHGSKKDSGKKHSGHHETTKQASHLSQVPRSRPYSQHDDLPSDGKGDRRPTSERGSWRSSRDQSNRRGGDDDKSQHRKDEDKSSWRHDSDTQGALSRKRPAFREKKIAEGNNTDRTRTEEGKDTNLNNRRQNERNWRRNMHSERHERPAMGRDRVWNRDDERGAGSRQSYRVDRDRFNGNGRSGFSGSWARNEKKWDHDLFEEANKSPAKATEEEQIAKVEALLAS >fgenesh2_kg.3__2184__AT3G19660.1 pep chromosome:v.1.0:3:8500627:8501065:-1 gene:fgenesh2_kg.3__2184__AT3G19660.1 transcript:fgenesh2_kg.3__2184__AT3G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LA18] MGLQLESLMETIKSKVSLLRKKKKPYIKMDKSSSVRVAIRRKKTRDLIDKTLKVADRPGKR >fgenesh2_kg.3__2186__AT3G19680.1 pep chromosome:v.1.0:3:8525542:8527557:1 gene:fgenesh2_kg.3__2186__AT3G19680.1 transcript:fgenesh2_kg.3__2186__AT3G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCSFVRIIVGNLAVRFQSSSSSSSGPSVSGINPSAPNCYCKIRFKNFPREIVSIPVMFRTESESETRSSSGNVSTVAACFSLSKAQIEASLKKPKLSVLSVEAFSRGNCDGDDGVSGASCGLATAGEKLLGRFEVSLDLKSAETKSCLAHNGWVALPSKKSKSKSGSDPELHVSVRVEPDPRFVFQFDGEPECSPQVFQVQGNTKQAVFTCKFGSRNSNSGDRNLLHSSSMMSESSSTRSCISSMNSEKEQPSKERKGWSITVHDLSGSPVAMASMVTPFVPSPGSNRVTRSSPGAWLILRPDGCTWKPWGRLEAWREAGYSDTLGYRFELFQDGIATAVSASSSISLKNGGSFVIDVTGGTTTTASTPTTSPQGSWDLGSGSSADSRPASRPGSGSGSDFGYLLPQHPSSAAAQNRGFVMSATVEGVGKRSKPEVEVGVTHVTCTEDAAAHVALAAAVDLSLDACRLFSHKLRKELRQQSQLGVV >fgenesh2_kg.3__2188__AT3G19700.1 pep chromosome:v.1.0:3:8528967:8532602:1 gene:fgenesh2_kg.3__2188__AT3G19700.1 transcript:fgenesh2_kg.3__2188__AT3G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRRLFIVRLLFLIPLASSRSNHSQEVDTLLKLKSTFGETISGDVFKTWTHRNSACEFSGIVCNSDGNVTEINLGSQSLINCDGDGKITDLPFDLICDLKFLEKLVLGNNSLSGRISKNLRECNHLRYLDLGTNNFSGEFPAIDSLRLLKFLSLNGSGISGIFPWSSLKNLKRLSFLSVGDNRFDLHPFPKEILNLTALKRVFLSNSSITGKIPEGIKNLVHLRNLELSDNQISGEIPKGIVHLRNLRQLEIYNNYLTGKLPFGFRNLTNLWNFDASNNSLEGDLSELRFLKNLVSLGLFENLLTGEIPKEFGDFKSLAALSLYRNQLTGKLPNRLGSWTGFRYIDVSENFLEGQIPPDMCKKGAMTHLLMLQNRFIGQFPESYAKCKTLIRLRVSNNFLSGVIPSGIWGLPNLQFLDLASNRFEGNLTDDIGNAKSLGSLDLSNNRFSGSLPFQISGANSLVSVNLRMNKFSGIVSDSFGKLKELSSLYLDQNNLSGAIPKSLGLCTFLVFLNLAGNSLSEEIPESLGSLQLLNSLNLSGNKLSGMIPVGLSALKLSLLDLSNNQLTGSVPESLESGNFEGNSGLCSSKIAYLHPCPLGKPRSQGKRKSFSKFNICLIVAAVLALFLLFSYVIFKIRRDRSNQTAQKKNNWQVSSFRLLNFNEMEIIDEIKSENLIGRGGQGNVYKVTLRSGETLAVKHIWCQCQDSPCESFRSSTAMLSDGNNRSKSREFEAEVGTLSNLKHINVVKLFCSITCEDSMLLVYEYMPNGSLWEQLHERRGEQEIGWRVRQALALGVAKGLEYLHHGLDRPVIHRDVKSSNILLDEEWRPRIADFGLAKIIQPDWVQRDSSAPLVEGTLGYIAPEYAYTTKVNEKSDVYSFGVVLMELVTGKKPVETEFSENSDIVMWVWSISKEMNREMMMELVDPSIEDEYKEDALKVLTIALLCTDKSPQARPFMKSVVSMLEKIEPSYKNNGEASYDESANDEIAKVV >fgenesh2_kg.3__218__AT3G02750.2 pep chromosome:v.1.0:3:728563:732046:-1 gene:fgenesh2_kg.3__218__AT3G02750.2 transcript:fgenesh2_kg.3__218__AT3G02750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein [Source:UniProtKB/TrEMBL;Acc:D7KZK8] MGSCLSAESRSPRPGSPCSPAFSVRKRKNSKKRPGSRNSSFDYRREEPLNQVPGRMFLNGSSEVACIFTQQGKKGPNQDAMVVWEDFGSRTDTIFCGVFDGHGPYGHLVAKRVRDNLPLKLSAYWEAKVPVEGVLKPITTDTVNNATNINNPEDAAAAAFVSAEEEPRTSADMEEENTESQPELFQTLKESFLKAFKVMDRELKFHGSVDCFCSGTTAVTLIKQGQYLVVGNVGDSRAVMGTRDSENALVAVQLTVDLKPNLPAEAERIRKCRGRVFALRDEPEVCRVWLPNCDSPGLAMARAFGDFCLKDFGLISVPDVSFRRLTEKDEFIVLATDGIWDVLSNEDVVAIVASAPSRSSAARSLVESAVRAWRYKYPTSKVDDCAAVCLYLDSNNTNAISTASSISKLEDEEEELKAATEDDDASGPSGLGRSSTVRTGKEIALDESEAEKLIKEADNLDSEPGTEYSALEGVARVNTLLNLPRFVPGK >fgenesh2_kg.3__2191__AT3G19720.1 pep chromosome:v.1.0:3:8535698:8540629:-1 gene:fgenesh2_kg.3__2191__AT3G19720.1 transcript:fgenesh2_kg.3__2191__AT3G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVAVTVEKMSEEEDDAAIEERWSLYEAYNELHALAQELETPFEAPAVLVVGQQTDGKSALVEALMGFQFNHVGGGTKTRRPITLHMKYDPQCQFPLCHLGSDDDPSVSLPKSLSQIQAYIEAENMRLEQEPCPFSSKEIIVKVQYKYCPNLTIIDTPGLIAPAPGLKNRALQVQARAVEALVRAKMQHKEFIILCLEDSSDWSIATTRRIVMQVDPELSRTIVVSTKLDTKIPQFSCSSDVEVFLSPPASALDSSLLGDSPFFTSVPSGRVGYGQDSVYKSNDEFKKAVSLREVEDIASLEKKLGRFLTKQEKSRIGISKLRLFLEELLWKRYKESVPLIIPLLGKEYRSTVRKLDTVSKELSSLDEAKLKERGRTFHDLFLTKLSLLLKGTVVAPPDKFGETLQDERTQGGAFVGTDGLQFPHKLIPNAGMRLYGGAQYHRAMAEFRFLVGAIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKARETFEPFLHQLGARLLHILKRLLPISVYLLQKEGEYLSGHEVFLKRVASAFNSFVESTEKSCRDKCMEDLASTTRYVTWSLHNKNRAGLRQFLDSFGGTEQLTTSGNAIGFSLPQDAPGGTTDTKVRSDVKLSHLASNIDSGSSIQTTEMRLADLLDSTLWNRKLAPSSERIVYALVQQIFQGIREYFLASAELKFNCFLLMPIVDKLPALLREELENAFEDDLDSIFDITNLRQSLDQKKRSTEIELRRIKRIKEKFRVMNEKLNSHEFAQNLKAPSVQQ >fgenesh2_kg.3__2192__AT3G19740.1 pep chromosome:v.1.0:3:8541232:8549039:-1 gene:fgenesh2_kg.3__2192__AT3G19740.1 transcript:fgenesh2_kg.3__2192__AT3G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRALKRNQRWGLVLQQAKYLVRPAVRDYTVSRSCGFTNHLTNSANLTRKSLLGSFSPCGGTIASGNCLSILKNSHLRSFSSEGDGRNASEDKHISLNKGNGVDDAKTGKEKSNSGVGHLDSHAQLGEQDQIEWLNSEKLASECKKKESPFLNRRERFKNEFLRRIQPWEKIQLSWETFPYYIHDHTKNILVECVTSHIRQKNAASIYGARLDSSSGRILLQSVPGTELYRERLVRALARDVQVPLLVLDSSVLAPYDFADDYNEDSESDGENAEAEADESTTESEAEEESGAHSEEDSEAKTDGSDNEEACLEVSEEAIKKIVPKLEEFEKLVAEELHGSGEACEAAAVEHSEKARRPAKKGDRVKYVGPSKKADAKHRPLSSGQRGEVYEVNGNRVAVIFDNVGETSSEGNEKKSTEHSHKLHMHWIDANLHIFCAVGNLKHDLDMQAEDGYIAMEALSEVLQSTQPLIVYFPDSSQWLSRAVPKSKQNEFVNKVQEMFDKLSGPVVMICGRNKTETGSKEREKFTMILPNFGRLGKLPLPLKHLTEGLTGRKTSEDNEIYKLFTNVMNLLPPKEEDNLVVFNKQLGEDRRIVVSRSNLNELLKALEENELLCTDLYQVNTDGVILTKQRAEKVIGWARNHYLSSCPSPSIKEGRLILPRESIEISVKRLKAQEDISRKPTHNLKNIAKDEYETNFVSAVVAPGEIGVKFDDIGALEHVKKALNELVILPMRRPELFTRGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRIYVNLPDAENRLKILKIFLTPENLETGFEFEKLAKETEGYSGSDLKNLCIAAAYRPVQELLQEENKDSVANASPDLRPLSLDDFIQSKAKVSPSVAYDATTMNELRKWNEQYGEGGTRTKSPFGF >fgenesh2_kg.3__2193__AT3G19760.1 pep chromosome:v.1.0:3:8549258:8552076:1 gene:fgenesh2_kg.3__2193__AT3G19760.1 transcript:fgenesh2_kg.3__2193__AT3G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANPGRGGGRRGGGPMDDDKLVFETTEGIEPITSFNDMGIKEDVLRGVYEYGFEKPSAIQQRAVMPILQGRDVIAQAQSGTGKTSMIALSVCQVVDTSSREVQALILSPTRELATQTEKTIQAIGLHANIQAHACIGGKSVGEDIRKLEHGVHVVSGTPGRVCDMIKRRSLRTRAIKLLILDESDEMLSRGFKDQIYDVYRYLPPDLQVCLVSATLPHEILEMTSKFMTEPVKILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDYLSEKMRSNNFTVSSMHGDMPQKERDEIMNQFRSGESRVLITTDVWARGIDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >fgenesh2_kg.3__2194__AT3G19770.1 pep chromosome:v.1.0:3:8552333:8555138:1 gene:fgenesh2_kg.3__2194__AT3G19770.1 transcript:fgenesh2_kg.3__2194__AT3G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 9 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LA30] MENTDVFLGLHDFLERMRKPSAGDFVKSIKSFIVSFSNNAPDPEKDCATVQEFFSKMEAAFRAHPLWSGCSEEELDSAGDGLEKYVMTKLFTRVFASNTEEVIADEKLFQKMSLVQQFISPENLDIQPTFQNESSWLLAQKELQKINMYKAPRDKLVCILNCCKVINNLLLNASIASNENAPGADEFLPVLIYVTIKANPPQLHSNLLYIQRYRRESKLVGEAAYFFTNILSAESFISNIDAKSLSLDEAEFEKNMESARARISGLGSQSYQTGHGTAPPPRDESTLQKTQSLNPKRENTLFQSKSSDSLSGTNEILNINSETPMKKAESISDLENKGATLLKDTEPSKVFQEYPYLFASAGDLRIGDVEDLLNNYKQLVFKYVCLTKGLGDATSLAPSSSPLQALSGFDTYKESEDHTTSSSDVQMTRETDSSVDDLIRALQGEGEDVNNLSDVKHEEYGAMLVERKDEERDPKMLGEADAKDTDLIKHIPKRESENSSSRPAEDEDVGSKHPVAEASE >fgenesh2_kg.3__2196__AT3G19780.1 pep chromosome:v.1.0:3:8555814:8560430:1 gene:fgenesh2_kg.3__2196__AT3G19780.1 transcript:fgenesh2_kg.3__2196__AT3G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPTLLLLFLSFLSVILPSSSGQGEWEILTEQNFSSQIRLHPHVLLFVTTPWCGESRSLKNEIAQMVQSREEFGLLKLTVVYRNSEKVLAQAIGAANGITILYYHNSVPYNYLGKLRGSNILSSIHPYLTSTPEELPLKHLKSPKSLKDFLESSDKALLLFEFCGWTTTLLSELKKNVTEDNLWQEWNNMCGLQSGFGKVPWLEDFSYANDTAALQEHGRVNLGFGQTCNHEDFKQFSSFLSKLIATTKEFSLPPERQKFGLITEESLASSFNIGKSDSWAAVLQLAGCPHCSKIFKAGDDIQRFLKMENPVVTELEDDWQDHESSLPASKPSVILFVDRSSGSLEERRRSMKALDTFREVAAQHKLSDIKQWENDIKYEKSVSQADKKSGSVSLPKTVQKFKKIKLENKVSFMILDGDKHVALDTAAPGMEGSSLQEILTNLFHRRKESKLSSLAKDVGFRLLSDDVHIKVLDALPSQAEVVSSQDTTSSSAEGSSEISLHPKDAEVQNRVSMSSEEKDEMKSSETESSSPSDEEQVTTNRSEQLVMAETDKTEVYLKKNINGEIKVSLHSEPKEDLVHKFTGSFFFSDANYVLLRALTGDVKIPSAVIIDPALQQHYVLQDKFSYSSLVDFLDGYLNGSLSPYTQSESSIQTPKKATVPPFVNLDFHEVDSIPRVTVSTFSHMVHAWDQSSAEKAPCPLCQDVLVLFSNNWCGFCQRMELVLHEVYRSLKEYKAIIQGGSTNNQRFKSAERNKVTPYEGETSVTDITEFLARHANNSRGFFRLLPTLSRNGRRNSNKLDQSSSAVDYKVTDGDKLVEVVLRNREPAEREVNHYQVNSESPPTHSLTTAPQVKTGTILVATEKLAASQPFAKSKILIIKAGPEFGFLGLIFNKRIRWKSFPDLGETAELLEETPLLFGGPVVDPGIPLLALTREKDSSTDHDHPEISPGVYFLDHQSVARRIQELKSRELNPSEYWFFLGYSSWSYEQLFDEIGLGVWDVDNSDIDFAWP >fgenesh2_kg.3__2198__AT3G19800.1 pep chromosome:v.1.0:3:8561619:8562998:1 gene:fgenesh2_kg.3__2198__AT3G19800.1 transcript:fgenesh2_kg.3__2198__AT3G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRCLFSPNLLNSKNKVSGNNHHLPFSSLSKKHQASSAAINGGGSSKTVKRLITLSPSEGKWNGNWNTQYDVSLRDLHLQDLVEDGPPNSRVSVDLSVQRHASMGLSVDGRIMTSIARKCSICSSLYPRLIDTSFTVWILPSSRENRASTLPEIGGDDPSVIYVRPGYEANLDSLVQDTIRLTTYAKDICSDSCEKSEPTLHYVGETNTASVHKRWSRLLELKKK >fgenesh2_kg.3__2199__AT3G19810.1 pep chromosome:v.1.0:3:8563147:8564941:-1 gene:fgenesh2_kg.3__2199__AT3G19810.1 transcript:fgenesh2_kg.3__2199__AT3G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVCSSSCVAPLSQTRQSRPSFLKLETCTLSLCSPAGYPNFTRKHISYLFTEPLKLRWLAKSRILASQESFTETSTIDMDWEDQEEIEDTGSPWEGSVMYRRNASATHVEYCTTLERLGLGRLSTEVSKKRASAMGLRVTKDVKDYPDGTPVQVSVDVIRKKKKLRLDGIVRTVITLGCNRCGESTGESIFSNFSLLLTEDPVEEPDVIDLGFTFGGDKEEGEDDDDDDSWIDWEDTLHFPPEVKEIDISKHIRDLVHLEITITAICDSACKGMCLKCGANLNKRKCDCGREEKDKGYGPLGNLREQMQQKEGLRN >fgenesh2_kg.3__219__AT3G02760.1 pep chromosome:v.1.0:3:733111:735093:-1 gene:fgenesh2_kg.3__219__AT3G02760.1 transcript:fgenesh2_kg.3__219__AT3G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLKQIKQWVEQILNLFNPEGRGFDSFLDKVKEIVESNENRRLPKLPKGTRDFAKEQMAVREKAFSIIQNVFKRHGATALDTPVFELRETLMGKYGEDSKLVYDIADQGGELCSLRYDLTVPFARYVAMNGITSFKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGLFEPMGPDFEIVKILTELLDELEIGDYEVKLNHRKLLDGMLEICGVPPEKFRTICSSIDKLDKQSFEQVKKEMVEEKGLSSEIADRIGNFVKEKGAPLELLSKLRQEGSEFLDNQSSREALDELSIMFEALERSKCSHRIVFDLSLARGLDYYTGVIFEAVCIGAEVGSIGAGGRYDNLIGMFGTKQVPAVGMSLGIERVFNIMEELNEKQKQVIRPTETQVLVSIMVDNKLAEAAGLVSQLWDAKINAEYLVSKRKEKHFNRAKESGIPWMVMVGEKELSGGFVTLKKLEKGSEEKEDQTCSRDSFVGELLKLLSC >fgenesh2_kg.3__21__AT3G01920.1 pep chromosome:v.1.0:3:72938:74626:-1 gene:fgenesh2_kg.3__21__AT3G01920.1 transcript:fgenesh2_kg.3__21__AT3G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YrdC family protein [Source:UniProtKB/TrEMBL;Acc:D7L9K0] MAVAKLHGGGAMPMPMRLLPSPMTHRTLPSIASFAPRRHTIVALAAKRSPKRLKYSTPRFTKEGELVYIEVDPFGADSWKLQPVIELLKQGAVGVIPTDTVYALVCDCKNHSAVERLRRIKKIESSKPLSILCRSLRDIDTFTMGFPRGDAHGHANVFRAVKQCLPGPYTFILTASKELPKQCVGYGTTSVKYASRKYVGVRISDDPLCQAILHEMDAPLISTSVKGPKENEWMIDPTAIGDIYGPEGLDFLVDGGVRVAEPSTIVDMTGPYPKVIRQGKGPILPWMVVEDNDFPLRQDFMASGT >fgenesh2_kg.3__2201__AT3G19820.2 pep chromosome:v.1.0:3:8583165:8585833:-1 gene:fgenesh2_kg.3__2201__AT3G19820.2 transcript:fgenesh2_kg.3__2201__AT3G19820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLQTPLVRPKRKKTWVDYFVKFRWIIVIFIVLPFSATFYFLIYLGDMWSESKSYEKRQKEHDENVKKVIKRLKNRDAAKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLGEFRNILEINKEKMIARVEPLVNMGQISRATVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHIHGLFADTVEAYEIVLAGGELVRATKDNEYSDLFYAIPWSQGTLGLLVAAEIKLIKIKEYMRLTYIPVKGDLQALAQGYIDSFAPKDGDKSKIPDFVEGMVYNPTEGVMMVGTYASKEEAKKKGNKINNVGWWFKPWFYQHAQTALKKGQFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRYLLGWLMPPKVSLLKATQGEAIRNYYHDMHVIQDMLVPLYKVGDALEWVHREMEVYPIWLCPHKLYKQPIKGQIYPEPGFEYENRQGDTEDGQMYTDVGVYYAPGPVLRGEEFDGSEAVRKMEKWLIENHGFQPQYAVSELDEKSFWRMFNGELYEECRKKYRAVGTFMSVYYKSKKGRKTEKEVREAEQAHLETAYAEAD >fgenesh2_kg.3__2202__AT3G19830.1 pep chromosome:v.1.0:3:8589576:8593934:-1 gene:fgenesh2_kg.3__2202__AT3G19830.1 transcript:fgenesh2_kg.3__2202__AT3G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane single C2 domain protein [Source:UniProtKB/TrEMBL;Acc:D7LA43] MILQSSSCSTFDFPSFVPRRLLCPCSNEHALIVFCDGFAKRRRILRRVQAANANSRFVSSGSRTDSMNIGLARRAARSLVVTRFSNEFEDEEESSSSQESAIQGDRNSFTNFREDPIVDKLRTHLGVIHPIPSPPISRNAIGLFAFFFFVGVICDKLWTWRKRRRQTSGDEGGQRGARPWAQVPTSFSLSLEKDLQRKESVEWVNMVLVKLWKVYRGGIENWLVGLLQPVIDDLKKPDYVQRVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLMLSLKFGIIPVVVPVGIRDFDIDGELWVKLRLIPSAPWVGAASWAFVSLPKIKFELAPFRLFNLMGIPVLSMFLTKLLTEDLPRLFVRPKKIVLDFQKGKAVGPVSEDLKSGEMQEGNKDFVGELSVTLVNAQKLPYMFSGRTDPYVILRIGDQVIRSKKNSQTTVFGAPGQPIWNQDFQFLVSNPREQVLQIEVNDCLGFADMAIGIGEVDLESLPDTVPTDRFVSLQGGWSLFGKGSTGEILLRLTYKAYVEDEEDDKRNAKAIYADASDDEMSDSEEPSSFVQNDKIPSDDIGQESFMNVLSALILSEEFQGIVSSEAGNNKVDDRESSVSPVPSKAGADSKSRPKDAGNGDISGLEVRSANSDRGSIDDGGLALLWFGVITSVLALVAINMGGSSFFNP >fgenesh2_kg.3__2204__AT3G19860.1 pep chromosome:v.1.0:3:8609071:8611223:-1 gene:fgenesh2_kg.3__2204__AT3G19860.1 transcript:fgenesh2_kg.3__2204__AT3G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7LA47] MDVSARKSQKAGREKLRREKLNEHFVELGNVLDPERPKNDKATILTDTVQLLKELTSEVNKLKSEYTALTDESRELTQEKNDLREEKTSLKSEIENLNLQYQQRLRSMSPWGAAMDHTVMMAPPPSFPYPMPMAMPPGSIPMHPSMPSYTYFGTQNPSMIPAPCPTYMPFMPPNTVVEQQSVHIPQNPGNRSREPRAKVSRESRSEKAEDSNEVATQLELKTPGSTSDKDTLQRPEKTKRCKRNNNNNNNNSVEESSHSSKCSSSPSVRDHSSSSSVAGGQKPDDAK >fgenesh2_kg.3__2207__AT3G19890.1 pep chromosome:v.1.0:3:8640125:8641127:-1 gene:fgenesh2_kg.3__2207__AT3G19890.1 transcript:fgenesh2_kg.3__2207__AT3G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMSDLSKDLVEEILSKALITSLGAVRSTRKQWNASSKDRLLCKAEPREQFLRFMVMDHRFLSMTFNLHGILKGDGEVFVRPSIKEVGDIVNQIDISKVFHCDGLVLCVTNDNSSLVVWNPYLGQTKWIETREPHDESDMFALGYDKDKNHKILRLYDHEMAIWVTTKIESNEVLWSNLFKVDMEPLIRFGFQYCRDEAGSFFIDEEKKLAVVFNLDRKSDKRTKKKRCYHTAYIVGVKGYLRKVVLGEAVEVREGVYRSALVCSSSYVPSLEKINQIEEEEEEEDMCKSTKMVDAKGKDKKRKRKSKT >fgenesh2_kg.3__2209__AT3G19900.1 pep chromosome:v.1.0:3:8649757:8652203:-1 gene:fgenesh2_kg.3__2209__AT3G19900.1 transcript:fgenesh2_kg.3__2209__AT3G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIVSSHHHCQPSVIHERCSIGTISKVSCSRYCGPCFSGRLAFSHSLCLKTTTCGKTARRRFSRICSIVDDEMNPDNSDDEAKESLDDKTKRQANDMNRANLERMVGSDDSAFNGLDLATLIRQKYGKSYDVQLIKKEFMGRNLLAMNVMWKYREQRSFPLTEEEYILRLDDVANMLKCWGAVSHIRNSLAKSKERPRIGKAVSIFIDMDSTGGRANEWIYK >fgenesh2_kg.3__220__AT3G02770.1 pep chromosome:v.1.0:3:736609:738022:-1 gene:fgenesh2_kg.3__220__AT3G02770.1 transcript:fgenesh2_kg.3__220__AT3G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-4-methyl-2-oxoglutarate aldolase [Source:UniProtKB/TrEMBL;Acc:D7KZL0] MAFVTTAEVCDANQELIRSGQLRALQPNFQIYGRRQIFSGPVVTVKVFEDNGLIRHFLEEKGNGRVLVVDGGGSLRCAILGGNPVVQAQNNGWAGIIVNGCIRDVDEINGCDIGVRALASHPIKASKKGLGEQRVPLNIAGTRICDGEWLYADTDGILVSQIELSV >fgenesh2_kg.3__2210__AT3G19910.1 pep chromosome:v.1.0:3:8653489:8656720:1 gene:fgenesh2_kg.3__2210__AT3G19910.1 transcript:fgenesh2_kg.3__2210__AT3G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LAT4] MENNNGHVGNVVVTAEQATKINETDGRLPENRQTGVVSDTGSGSERGEQGDGETPVPVPVTVEESGSILVGDLPAPRSSSARVPFTNLSQIDADLALARTLQEQERAYMMLTMNSEISDYGSWETGSYVYDEDEFDDPENEDEDDDEDEYETDDDPQEDAPVVDVHANEDDQEDDGNSDIEEVAYSDDEAYARALQEAEERDMAARLSALSGLANRVVEDLEDDSHTSQDAWDEMDPDELSYEELLALGDIVGTESRGLSADTIASLPSKRYKEGDNQNGTNESCVICRLDYEDDEDLILLPCKHSYHSECINNWLKINKVCPVCSAEVSTSTSGQG >fgenesh2_kg.3__2211__AT3G19920.1 pep chromosome:v.1.0:3:8656890:8659006:-1 gene:fgenesh2_kg.3__2211__AT3G19920.1 transcript:fgenesh2_kg.3__2211__AT3G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRELQYLEYTYRNNRPTTGLLNSIFMTTVNTAARSLVSVASTASTPEIPSRRWSASDHLSFASGLLTTAAENALVPAKASPSSFTSSTALVKYSGSSDLGMMICDGVDEPSVNSLGRALCHALALMNEIPVTSRKYQFAMGMAEKIMEDNAQSGHVDLLDVNRAALASSFARTTARLQDCLKRSRTADEPFGGLPLRVVSALPLGGYVASYVRGLTACINTVRSLADMTGNLLSQSRRRESAVVRAGGILENEAELAVEKLAEELLWMTEKLRRYGAVAEGIKRWSYASGLASLSLTAAPRVQGLMVKISALLIGELARDSTQVPGQVTFRLLANWLPLFSHARNGLAFPVLTGYERVEVERAIDKAISTLPALDQEILLTNWLQDFSVSASEWPNLQPAYDRWCHSTRQLFM >fgenesh2_kg.3__2214__AT3G19950.1 pep chromosome:v.1.0:3:8671818:8674293:1 gene:fgenesh2_kg.3__2214__AT3G19950.1 transcript:fgenesh2_kg.3__2214__AT3G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LAT9] MSSGVNSTGSAATEPEVDKMFFCYQCNRTVTISISSSADPFCPICNQGFLEEYEDPNPNPSLNFNPNSVDSLFPMADPFSTLLPLLFGSSAASPSGIDLMSSSFFAPSMQPQARSTQQNPQSDAFDPFTFLQNHLQNLRSSGTHVQFVIENHPSDLGNRMPGNFGDYFFGPGLEQLIQQLAENDPNRYGTPPASKSAIDGLPTVKVTKDMLKSEMNQCAVCMDEFEDGSDVKQMPCKHVFHQDCLLPWLQLHNSCPVCRFELPTDDPDYENRTQGIQASGDGQGSVEGQQTPRFSIQLPWPFRRQDGSGSGSGAPGASGGNLETRGEDLD >fgenesh2_kg.3__2215__AT3G19960.1 pep chromosome:v.1.0:3:8705903:8714191:1 gene:fgenesh2_kg.3__2215__AT3G19960.1 transcript:fgenesh2_kg.3__2215__AT3G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKLTPSMQSLKSLPADYRFDGSPVSDRLENSSGASVRLTNSHVPRKGGLRNGVSRTDTAVGDSEDSPYSGHGVFVEEQSLADDVDSGAATMPLPQSDERRWSDTSAYARKKILQSWIQLPNGNWELGKILSNSGEESVISLPEGKVIKVISETLVPANPDILDGVDDLMQLSYLNEPSVLYNLNYRYNQDMIYTKAGPVLVAVNPFKEVPLYGNRYIEAYRKRSNESPHVYAIADTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSESGKISGAQIQTFLLEKSRVVQCAEGERSYHIFYQLCAGASPALKEKLNLTSAHEYKYLGQSNCYSINGVDDAERFHTVKEALDIVHVSKEDQESVFAMLAAVLWLGNVSFTVIDNENHVEPVADESLSTVANLIGCTINELTLTLSKRNMRVRNDTIVQKLTLPQAIDARDALAKSIYSCLFDWLVEQINKSLAVGKRRTGRSISILDIYGFESFDKNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWTRVDFEDNQDCLSLFEKKPLGLLSLLDEESTFPNGTDLTLANKLKQHLHSNSCFRGDKGKLFTVVHYAGEVTYETTGFLEKNRDLLHSDSIQLLSSCSCLLPQAFASSMLIQSEKPVVGPLYKAGGADSQRLSVATKFKSQLFQLMQRLGNTTPHFIRCIKPNNIQSPGVYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFSRRYGFLLVENIADKDTLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSSFRGYQARCRLKELKMGISILQSFVRGEKIRKEFAELRRRHRAAATIQSQVKSKIARKQYKGIADASVLIQSVIRGWLVRRCSGDIGWLKSGGTKTNESGEVLVKASVLSELQRRVLKAEAALREKEEENDILQQRLQQYENRWSEYETKMKSMEEIWQKQMRSLQSSLSIAKKSLAVEDSARNSDASVNASDATDWDSSSNQFRSQTSNGGGPRLQPMSAGLSVIGRLAEEFEQRAQVFGDDAKFLVEVKSGQVEANLNPDRELRRLKQMFETWKKDYGGRLRETKLILSKLGSEESSGSMEKVKRKWWGRRNSTRY >fgenesh2_kg.3__2216__AT3G19970.1 pep chromosome:v.1.0:3:8715945:8717997:1 gene:fgenesh2_kg.3__2216__AT3G19970.1 transcript:fgenesh2_kg.3__2216__AT3G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSGILQRPLVAAAAVIAASVSADVSERFSSLRSLVRGSDSEQIAPSVPGSVQDERSLWVSQVSASKLSDLSFVSRIRVPVPSVDLLASNPSCSLATSVTSLSALRCVYQSAELAKASKPSAFTIRASLVVPDISYRWHLPEPNAIDLSGSSSCVSEKNRTVVVLLGWLGSKQKHLKKYADWYTSRGYHVITFTLPMNEIMSYQVGGKAEKNIESLVNHLADWLDEEQKKNLVFHTFSNTGWLTYGAILEKFQKQDSSLMGRVKGCIVDSAPVADADPTVWASGFSAAFLKKNSVATKGSASSSNESNGINFSQPKPGATETALLLVLEKFFAVILNLPKVNRRLADVLDTLSSAQPRCPQLYIYSSADRVIPAEQVESFIVEQRKAGHEVRACNFISSPHVDHFRSNPELYTAELNHFMDNFVLSCCNRSS >fgenesh2_kg.3__2217__AT3G19980.1 pep chromosome:v.1.0:3:8718071:8721604:1 gene:fgenesh2_kg.3__2217__AT3G19980.1 transcript:fgenesh2_kg.3__2217__AT3G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LAU8] MDLDQWISKVKDGQHLSEDELQLLCEYVKELLIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPDTNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDVRKIDQIRLIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTTEFNHINKLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNDNMEREVKFFTETEENNQMRGPRTGVPYFL >fgenesh2_kg.3__2218__AT3G19990.1 pep chromosome:v.1.0:3:8723204:8724732:1 gene:fgenesh2_kg.3__2218__AT3G19990.1 transcript:fgenesh2_kg.3__2218__AT3G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LAU9] MGSSSSPSPNDAVLESARPFLRGELEKIDPKLPSLIAVLKSVGAGECWHKHGSFLDHLIDIYKILKLWKAPESVCLCGLFHSAYSNSYVNLAIFDPSTGREVVRDHVGEAAESLIHLFCVVPRQTLIHDDLLFKYSDCELVEHLDCSEVSLRNAKEKGVFDGDEEWRKKINGLVPENGAVVKHIKTGEEIVVSRRVVGVFLFMTMADFSDQLFGFQDELFCNHDGRLEFRGNNVTALWPGNGKPGLWLNSNSRMGAIYSLIVREEEILMEERRRAFGSGFEVRKERDEDIELVVPPVFSFCTKVLDAKEQIEAREMYWEVVSSDTSKEGYLERAEERLLGCIEKNPFVGEPHVLLSQVYLGKKRFNEAEREAEKGLLLLLQWGSPWDKRMSWEGWIAWVRVLLMKSQDQSWPDVSWGILNLGLVR >fgenesh2_kg.3__2219__AT3G20000.1 pep chromosome:v.1.0:3:8725468:8728758:1 gene:fgenesh2_kg.3__2219__AT3G20000.1 transcript:fgenesh2_kg.3__2219__AT3G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIFPPLTAAQVDAKIKVDEKVDYSNLPCPVPYEELHREALMSLRSDNFEGLRFDFTRALNQKFSLSHSVMMGPTEIPSQSSETIKIPTAHYEFGANYYDPKLLLIGRVMTDGRLSARVKADLTEKLVVKANAQITNEQHQSQAMFNFDYMGSDYRAQLQLGQSALIGATYIQSVTNHLSLGGEVFWAGVPRKSGIGYAARYETDKMVASAQVASTGAVVMNYVQKISDKVSLATDFMYNYFSRDVTASVGYDYILRQARVRGKIDSNGVASALLEERLSMGLNFLLSAELDHKKKDYKFGFGLTVG >fgenesh2_kg.3__221__AT3G02780.1 pep chromosome:v.1.0:3:738363:740376:-1 gene:fgenesh2_kg.3__221__AT3G02780.1 transcript:fgenesh2_kg.3__221__AT3G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSLFNLPLIRLRSLALSFSSSFRFSHRPLSSISPRKLPTFRAFSGTAMTDTKDAGMDAVQRRLMFEDECILVDETDRVLGHDSKYNCHLMENIEAKNLLHRAFSVFLFNSKYELLLQQRSNTKVTFPLVWTNTCCSHPLYRESELIQENALGVRNAAQRKLLDELGIVAEDVPVDEFTALGRMLYKAPSDGKWGEHELDYLLFIVRDVKVQPNPDEVADIKYVSREELKELVKKADAGEEGLKLSPWFRLVVDNFLMKWWDHVEKGTLGEAIDMKTIHKL >fgenesh2_kg.3__2220__AT3G20010.1 pep chromosome:v.1.0:3:8729580:8734813:1 gene:fgenesh2_kg.3__2220__AT3G20010.1 transcript:fgenesh2_kg.3__2220__AT3G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LAV1] MDSAIEISSGSDSDDELPQPIRPQIRTRTDPIWLGIEIVPTVDSHARAEHTNQASRNSASNDTSRPGVSKPFTGNGNTVNSRISSGSGADYERLSSEQAPKRTLPPSFSSPPFPSRSGANNISNASGSRVGADHERLSSQQAPKRTLPPSFNPPPLPSRSGTNNISNASGSRFGADYSRPAVSAVGNKSTFGDHYSGAHAEIGIQRGMNGVRILPPSLTHGTSASVLHHAGSSDPMHRLGTGEDRNPDNDERLVYQAALQDLNQPITESDLPPGVLSVPLMRHQKIALAWMFQKETRSFNCAGGILADDQGLGKTVSTIALILKQKIVSQLKSANSCKQETEALVLDADDESDNAKHENGSHVKPELKVSSNSETSVLSASGNDENDSSDMEKAKDEEANSSTRAFKWKRPAAGTLIVCPASVVRQWARELDEKVSEEWKLSVLVYHGSNRTKDPNELAEYDVVVTTYAIVTNEAPKKFLVDEDENDEKSTDEYGLASGFSNNKKRKVAVGASKKSKKRSRKSTDNSSSEPDCGALGKVGWFRIVLDEAQTIKNHRTQVARSCSTLRAKRRWCLSGTPIQNTIDDLYSYFRFLRYDPYAAYKSFYSTIKVPISRNSCQGYKKLQAVLRAIMLRRTKGTLLDGKPIINLPPKKVNLSTVDFSVEERSFYRKLEADSRSQFKAYADAGTLSQNYANILLMLLRLRQACDHPQLVKVYNSDPVGKESEAAVRRLPREARSRLINRLESSSAICYECNEPPEKPVVTLCGHVFCYECVLEYITGDENMCPVPRCKQQLARDVVFSESSLRNCISDDLGCSSSHDKGLDRSVFEKREFCSSKIKAVLDILQSLSKQDTPNSAQHGQMPSSSGPYDDDDVTIVEPMRLHSSSPSQGAVKTIIFSQWTGMLDLVELRILESGIEFRRLDGTMSLAARDRAVKEFSKNPDVKVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRITIKDTVEDRILTLQEDKRTMVASAFGEEHGGSSATRLTVDDLKYLFML >fgenesh2_kg.3__2222__AT3G20020.1 pep chromosome:v.1.0:3:8743088:8747711:-1 gene:fgenesh2_kg.3__2222__AT3G20020.1 transcript:fgenesh2_kg.3__2222__AT3G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine N-methyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LAV3] MQSGGDFSNGFHGDQHRELELEDKQVPGLSSFGRAKRRSHAGARDPRGGLANVLRVSDQLGEQKSLETSESSPPPCTDFDVAYFHSYAHVGIHEEMIKDRARTETYREAIMQHQSLIQGKVVVDVGCGTGILSIFCAQAGAKRVYAVDASDIAVQAKEVVKANGLSEKVIVLHGRVEDVEIDEEVDVIISEWMGYMLLYESMLGSVITARDRWLKPGGLILPSHATLYMAPVSHPDRYSHSIDFWRNVYGIDMSAMMQLAKQCAFEEPSVESISGENVLTWPEVVKHIDCQTIKIQELDSVTARYKFNSMMRAPMHGFAFWFDVEFSGPTSLPAKNTSETSVASGSSSISPSGEVNQKKRTNPSDALVLSTSPEAPPTHWQQTIVYFYDPIDVEQDQVIEGSVTLSQSKENRRFMNIHLEYSSAGRSFVKESVMR >fgenesh2_kg.3__2224__AT3G20040.1 pep chromosome:v.1.0:3:8763585:8766889:1 gene:fgenesh2_kg.3__2224__AT3G20040.1 transcript:fgenesh2_kg.3__2224__AT3G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:D7LAV7] MGRVLVMLTAAAAVVACSMATVMVRRRMKGRRKWRRVVGILKDLEEACETPLGRLRQMVDAIAVEMQAGLVSEGGSKLKMLLTFVDDLPNGSERGTYYALHLGGSYFRIIKVHLGGQRSSLEVQDVERHSIPTSLMNSTSEVLFDFLASSLQRFIEKEGNDFNLSQPVKRELAFTFSFPVKQTSISSGVLIKWTKGFAISEMAGEDIAECLQGALNKRGLDIRVAALVNDTVGALSFGHFHDPDTIAAVVFGTGSNACYLERTDAIIKCQNPRTTSGSMVVNMEWGNFWSSRLPRTSYDLELDAESMNSNDMGFEKMIGGMYLGDIVRRVILRMSQESDIFGPISSILSTPFVLRTNSVSAMHEDDTSELQEVARILKDLGVSEVPLKVRKLVVKICDVVARRAARLAAAGIAGILKKVGRDGSGGGRRSDKQIMRRTVVAVEGGLYLNYRMFREYMDEALRDILGEDVAQHVVVKAMEDGSTIGSALLLASSQSVQTIPSV >fgenesh2_kg.3__2225__AT3G20050.1 pep chromosome:v.1.0:3:8767196:8771147:-1 gene:fgenesh2_kg.3__2225__AT3G20050.1 transcript:fgenesh2_kg.3__2225__AT3G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein alpha subunit of chaperonin [Source:UniProtKB/TrEMBL;Acc:D7LAV8] MSISAQNPDISGDRQSGQDVRTQNVMACQAVSNIVKTSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIVAAELLKRANDLVRNKIHPTSIISGYRLAMRESCKYIEEKLVTKVEKLGKVPLINCAKTSMSSKLISGDSDVFANLVVEAVLSVKMTNQRGEIKYPIKGINILKAHGQSARDSYLLNGYALNTGRAAQGMPLRVSPAKIACLDFNLQKTKMQLGVQVVVNDPRELEKIRQREADMTKERIEKLLKAGANVILTTKGIDDMALKYFVEAGAIAVRRVRKEDMRHVAKATGATLVTTFADMEGEETFDPAHLGSADEVVEERIADDDVILIKGTKTSSAVSLILRGANDYMLDEMERALHDALCIVKRTLESNTVVAGGGAVESALSVYLEHLATTLGSREQLAIAEFADALLIIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKKHYSSMGLDLVNGTIRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDDMIKLVKDESQGEE >fgenesh2_kg.3__2226__AT3G20060.1 pep chromosome:v.1.0:3:8771654:8773325:-1 gene:fgenesh2_kg.3__2226__AT3G20060.1 transcript:fgenesh2_kg.3__2226__AT3G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 19 [Source:UniProtKB/TrEMBL;Acc:D7LAV9] MATVNGYTGNTPAATTPVATGSKQPAPPTKTVDSQSVLKRLQSELMGLMMGGDPGISAFPEEDNIFCWKGTITGSKDTVFEGTEYRLSLTFSNDYPFKSPKVKFETCCFHPNVDLYGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNNQAAQLWSNQEEYRKMVEKLYKPLNA >fgenesh2_kg.3__222__AT3G02790.1 pep chromosome:v.1.0:3:740553:741152:1 gene:fgenesh2_kg.3__222__AT3G02790.1 transcript:fgenesh2_kg.3__222__AT3G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KZL2] MTGKAKPKKHTAKEIQAKIDAALTNRGGGKAGIADRTGKEKGGHAKYECPHCKITAPDLKTMQIHHESKHPKIIYEESKLVNLHAVLAPVAELKPKPGIRGSLKK >fgenesh2_kg.3__2232__AT3G20080.1 pep chromosome:v.1.0:3:8791643:8793409:1 gene:fgenesh2_kg.3__2232__AT3G20080.1 transcript:fgenesh2_kg.3__2232__AT3G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVDFQNCFIFILLSLFSLLCYSLFFRKPKEPRLHFDLPPSPPSLPIIGHLHLLISVLLHRSLLKLSIKYGSILYLRVFSFPVALISSASIAYEIFREHDVNISSRGFPSTDDSLFAGSFSFTSAPYGDYWKFMKKLLVTNLLGAQALERSRRVRADELDRFYKNLLDKAMNKESVEICAEALKLSNNIICKLIIGRSCSEENGEAEKVRALATELDGLTKKILLANMLRPGFKKLVVSLFRKEMMDVSSRFDELLERILVEHEDKLDMHHQGTDLMDALLAAYRGKIAEYKISRNHIKSFFADLLFAGTDTLVQTTQWAMAEIMNNPNVLERLRGEIDSVVGKKRLIQETDLPNLPYLQAVVKEGLRLHPPGPLFGRFSQEECRMGGFYVPGKTIVMVNAYAVMRDSDSWEFPDDFKPERFLDLSRSEQEEDRREQAIKYIAFGSGRRSCPGENLAYIFIGTAIGVMVQGFEWRIKEEKINMEEAVVGLSLTMAHPLKIIPIARTLIPLT >fgenesh2_kg.3__2233__AT3G20140.1 pep chromosome:v.1.0:3:8795092:8810324:1 gene:fgenesh2_kg.3__2233__AT3G20140.1 transcript:fgenesh2_kg.3__2233__AT3G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP705A23 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LAW4] AAMMTVDFQNYFIFVLLCFFSLLFYPLFFKKPKDSQGGFDLPPSPPSLPIIGHLHLLLSTLTHKSLQKLSSKYGPFLHLRIFNVPVIFVSSASVAYEIFRAHDVNISFRGNPPVKESLLVGSSGFFTAPYGNYWKFMKKLMVTKLLGPQALQRSRGIRADELERFYKHLLDKARKNESIEIGKEAMKLINNSICKMFMGRSCSEENGEAERVRGLVTESTALTKKIFMANMLHRHLKKLGISLFKKEIMGVSCRFNEVLERILEEYEEKLGAAYRDKNAECKITRNHIKSLFVDLVVAGTDTSRHATQWTMAEIINKPAILDKLREEIDSVVGRTRLVQETDLPSLPYLQAIVKEGLRLHPPGPLFARTAREGCRVGGFYVPQNTPLVVNAYAMMRDPDTWEEPNEFKPERFLGSGKEEEREHGLKYIPFGSGRRGCPGVNLAYILVGTAIGVMVQCFDWKIKGDKVNMEEARGALVLTMAHPLKCIPVARTQIPS >fgenesh2_kg.3__2237__AT3G20155.1 pep chromosome:v.1.0:3:8816711:8817965:1 gene:fgenesh2_kg.3__2237__AT3G20155.1 transcript:fgenesh2_kg.3__2237__AT3G20155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPPVTCVFGVNIGLKGYQKMLNKIYEGIEGLKLDVSNWLVYLRGEIDPIIFIKKLYKARSYIELFRIDYGYEENPEGTRRPSSHFMRCCFELNTSDISWYKRIIGALKTIQGVSFTIDAQPVMGYPMAYVCGNIEIGVLMRMLVKTGIELSAMEYGVEYKDANLNPPPPKKPEAPSNVTETQPVKDTVPPPLEVVSTLAKHQVIYKKPRGFRRFCC >fgenesh2_kg.3__2239__AT3G20180.1 pep chromosome:v.1.0:3:8822204:8822669:-1 gene:fgenesh2_kg.3__2239__AT3G20180.1 transcript:fgenesh2_kg.3__2239__AT3G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal ion binding protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LAW9] MRIKLSVNSEKCRKKAMQVAVVANGVTSVAMEGEFQDELVVVGDGVDAASLIMALRKKACHVTLETLEEVKKPQVEEKSVTPHCCIAQCPVVSNEQPRPEVYRIVHDSYGPTTGCLVM >fgenesh2_kg.3__2240__AT3G20190.1 pep chromosome:v.1.0:3:8823836:8826278:1 gene:fgenesh2_kg.3__2240__AT3G20190.1 transcript:fgenesh2_kg.3__2240__AT3G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWETPVMLASNTASTKKLAFITTFLIIVLFPDTMVMSQPQADVVPLPGSDADCLLKFKDTLVNASFISSWDPSISPCKRNSENWFGVLCVTGNVWGLQLEGMGLTGKLDLEPLAPIKNLRTLSFMNNKFNGSMPSVKNLGALKSLYLSNNRFTGEIPADAFDGMHHLKKLLLANNAFRGNIPSSLASLPMLLELRVNGNQFHGQIPDFKQKDLKLASFENNDLEGPIPGSLSNMDPGSFSGNKNLCGPPLSPCSSDSGSSPDLPSSPTEKNKNQSFFTIAIVLIVIGIILMIISLVVCILDTRKRKSLSAYPSAGQDRTEKYNYDQSTDKDKAADSVTSYTSRRGAVPDQNKLLFLQDDIQRFDLQDLLRASAEVLGSGSFGASYKTGINSGQTLVVKRYKHMNNVGREEFHDHMRRLGRLNHPNLLPIVAYYYRREEKLLIAEFMPNRSLASHLHANHSVDQPGLDWPTRVKIIQGVAKGLGYLFNELTTLTIPHGHLKSSNVVLDESFEPLLTDYALRPVMNSEQSHNLMISYKSPEYSLKGHLTKKTDVWCLGVLILELLTGRFPENYLSQGYDANMSLVTWVSNMVKEKKTGDVFDKEMTGKKNCKAEMLNLLKIGLSCCEEDEERRMEMRDAVEKIERLKEGEFDNDFASTTNNVFASRLIDDDDFGFAMNR >fgenesh2_kg.3__2241__AT3G20200.1 pep chromosome:v.1.0:3:8826493:8830286:1 gene:fgenesh2_kg.3__2241__AT3G20200.1 transcript:fgenesh2_kg.3__2241__AT3G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LAX1] MKAQKGSSKKKSANSGLVAVAVDNNKGSQHALKWAADHLVSKGQTIILLHVILRSPSDSGEITAEKHKQAENLFVTFHCYCSRKEIQCLDVTLEDDNIVKSLAEYVSSGVIENLVLGAPSRHGFMRKFKISDTPSNVAKAAPDFCTVYVISKGKISSVRYASRAAPYRSPLMGQIENHSEIINYEKFKNTMSFRDRAPARSSTASSIEDYGKSPMARTSNYANSFFELEDSENDISFVCSGRPSTTSSGRPSTSTGRSDISFVSSGRPSTSTTGSPSFIYDFPDSGLTPRKSTSSGHSMRLGIRFNDTNIQHDFSFVSQDSGRSSCSCSPQNLEEVEAEMRRLKQELKHAIDMYGSACREALAAKQEAKELQRQKIEEEGWVQEGQLSEKSTKSIVEKERAHKAAMEASETAGKIADLETQRRAIEAAGSFSDSSLRYRRYVIGEIEEATNSFDKANKIGEGGYGPVYKGYLDHTPVAIKVLRADAVQGRSQFQREVEVLSCIRHPHMVLLIGACPEYGVLVYEYMAKGSLADRLYKYGNTPPLSWELRFRIAAEVATGLLFLHQTKPEPIVHRDLKPGNILIDQNYVSKIGDVGLAKLVPAVAENVTQCHVSSTAGTFCYIDPEYQQTGMLGVKSDVYSFGILLLELLTAKRPTGLAYTVEQAMEQGKFKDMLDPAVPNWPVEEALSLAKIALKCAQLRRKDRPDLGKEVLPELHRLRARADTNMEWMMFNLSRGRLTPNHSQVSLPPVDELSVCSDSSYTHSSTLSDTEKNSDQNEED >fgenesh2_kg.3__2242__AT3G20220.1 pep chromosome:v.1.0:3:8833736:8834344:1 gene:fgenesh2_kg.3__2242__AT3G20220.1 transcript:fgenesh2_kg.3__2242__AT3G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSFIVRLANQENRRNRRYETNIKDRLISGELSDGSRPARDQNDVPRGHLAVYVGREERQRFVIPTKYLQYPEFRTLMDEVADEFGYDHEGGIHIPCEESVFEEILIRYMSCDKKK >fgenesh2_kg.3__2244__AT3G20240.1 pep chromosome:v.1.0:3:8835879:8837709:1 gene:fgenesh2_kg.3__2244__AT3G20240.1 transcript:fgenesh2_kg.3__2244__AT3G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7LAX5] MAMVVPKEGLVVMEKESLSSASSDSHLRLQPHFPDFTIPVKDFFKSREAREFLSGALAGAMTKAVLAPLETIRTRMIVGVGSRSIPGSFLEVVQKQGWQGLWAGNEINMIRIIPTQAIELGTFEWVKRAMTSAQVKLKKIEDAKIEIGDFSFSPSISWISPVAVAGASAGIASTLVCHPLEVLKDRLTVSPEIYPSLTLAIPRIFRDDGIRGFYAGLGPTLVGMLPYSTCYYFMYDKMKTSYCKSKNKKALSRPEMLVLGALAGLTASTISFPLEVARKRLMVGALKGQCPPNMAAAIAEVVKKEGVMGLYRGWGASCLKVMPSSGITWVFYEAWKDILLAANTKPLI >fgenesh2_kg.3__2246__AT3G20260.1 pep chromosome:v.1.0:3:8842953:8845444:-1 gene:fgenesh2_kg.3__2246__AT3G20260.1 transcript:fgenesh2_kg.3__2246__AT3G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLRVRKFRKSRKPNLEKEKEDKILAQQEQARSDNTEAGVIDSAKTDEIEDDDDDFITNEVKRRLKELRRNSFMVLIPEEEEEEEEEESYLDEDDDDGEDKCSSEWRDVVAEGLQWWGGFDAVYEKYCERMLFFDRLSSQQLKETGIGIAPSPSTPSPRSASKKLSSPFRCLSLKKLDVPEEDIEHLQPTEIDPYQDLETAYVAQLCLTWEALHCQYTQLSHLISCQPETPTCYNHTAQLFQQFLVLLQRYIENEPFEQGSRSELYARARNAMPKLLQAPKIQGSDKKEMEKDTGFMVLADDLIQIIESSILTFNVFLKMDKKKPNGGIHLFGNHNNNHLNSITPLLLVQSSIDKKRVKAKELSKKTKGLRKKSWPQTWEGVQLLFAAIDIKLATRVLRMSRISKEQLLWCEEKMKKLNFSAGKLQRHPSPILFPC >fgenesh2_kg.3__2248__AT3G20270.1 pep chromosome:v.1.0:3:8846646:8849810:1 gene:fgenesh2_kg.3__2248__AT3G20270.1 transcript:fgenesh2_kg.3__2248__AT3G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid-binding serum glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7LAX8] MNRSKENIAPTLKDDSPFGKLTEDLLIEIFIRWANLFRGECLWLAALNRAYPLASKTKSWIGPIRQGLSKRRYVALYISRNILAVDTDIDEMLGHIYLFLKDQLQLSTMPASGVLHGTLIDQLSVCGKSKEEADELATKIWLALEDTKHTFIGFLPYPYSRPIKIQWKVFEKLFVDFRDLLDHSEYCDLIGIAKKKFQTIPYVCFKAKVKLLLSTLFFFFLEMGLMKVMTILVLFVSVSSTLAQSNNGGHISILVSEMGLDFAKDYLIKKVITVTLPLQLPDIENKVKIPLIGKVRMGLSNIQIDAVHVQSSKIETRKDGIVLSVLGATANFSMDWSYTYRASFFEISDHGDASVEVKGMDVRITATLVNDNGSLKIVSRENDCTVENIDIHINGGASWLYQGVVDAFQKMIISTVEKTVSTKIVENMKKLDSFLQSLPKQRNIDDSAAVNLTFTGNPVLGNSSVEVDINGLFLPKGNDVKVSGSRSSSVFGGVKRMVTISVEEEVFNSATLVYFNAQVMHLVIGETKNGSILSTSDWKLILPELYKHYPDNKMVLNMSVTSPPAVKITENGIDATIQLEIAIDVQDSGEVLSVARISSVLNVACSEEITKNNLTGSLRLNDFNATMKMSKIGEFQSNYVQAATSRILEALFLPYVNTRLRRGFPLPIPGDFTINNIKIVYVRSGILVCTDIGTSTNH >fgenesh2_kg.3__2249__AT3G20400.1 pep chromosome:v.1.0:3:8849963:8850280:-1 gene:fgenesh2_kg.3__2249__AT3G20400.1 transcript:fgenesh2_kg.3__2249__AT3G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2743 [Source:UniProtKB/TrEMBL;Acc:D7LAX9] MEIWVTTKIEPNTLSWGSKFFLSVDMRVLTGNGFMFSFSGASFFIDEEKKIAVVFNKGKDMMGMRNAAFIIGEDGSFKEVDFGESRNRNLEPLVCSYVPSSMQLE >fgenesh2_kg.3__224__AT3G02810.1 pep chromosome:v.1.0:3:745408:747878:-1 gene:fgenesh2_kg.3__224__AT3G02810.1 transcript:fgenesh2_kg.3__224__AT3G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KZL4] MHCFPCFSSPKNKKSSTTNETNDNNEPRPPDRRRTEETEETEQSEGTSLKIFTFRELATATKNFRQECLLGEGGFGRVYKGTLKSTGQVVAVKQLDKHGLHGNKEFQAEVLSLGQLDHPNLVKLIGYCADGDQRLLVYDYISGGSLQDHLHEPKPDCEPMDWTTRMQIAYGAAQGLYYLHDKANPPVIYRDLKASNILLDYDFSPKLSDFGLHKLGPGTGDKMMALSSRVMGTYGYSAPEYTRGGNLTLKSDVYSFGVVLLELITGRRALDTTRPNDEQNLVSWAQPIFRDPKKYPDMADPVLNNKFSERGLNQAVAIASMCVQEEAAARPLISDVMVALSFLSMPTEDGIPTAVPILSFKDKSMSIALSRHDSNLVSPPPELATEDDKSSTSSGEESSLDSENENVSKKKYKKHEEEDSSMESDDGSDSNSEHEKDQDSPPPKPIDEKNQAQSLKIKYRYSWEDIDVGDERLSSKSSQKSDDESTSSRYDSDRDQEEKEKEEEEEEEHTHIEHIDSSKTDDDQSVYFDNDDDSGDDNGASLHRIKSEVEIDSIKE >fgenesh2_kg.3__2253__AT3G20290.1 pep chromosome:v.1.0:3:8858723:8862767:-1 gene:fgenesh2_kg.3__2253__AT3G20290.1 transcript:fgenesh2_kg.3__2253__AT3G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7LAY1] MEIESVAAGSCSKENQLIYKEWFEFADSDGDGRITGNDAIKFFTMSNLPRPELKQIWAIADSKRQGYLAFKDFIVAMQLVSLAQTGHEISHEILNSDVDFKNINPPTMEGLGVLMAKKKHSSKSSDPNMNGSPAADTSLTAHWFSSKSSKKISLSSVTSIVDGLKRLYIQKLKPLEVAYRFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKSSYPGNMQTGAHIGPEPTTDRFVVVMSGPDERSIPGNTVAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHVTFVDTPGVLSGEKQRTQRAYDFTGVTSWFASKCDLILLLFDPHKLDVSDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFSDKPINEAATGPIGRELFEKEQDDLLADLKDIPKKACDRRINEFVKRARAAKIHAYIISHLKKEMPAIMGKAKAQQKLIDNLEDEFGKVQREHHLPKGDFPNVDHFREVLSGYNIDKFEKLKPKMLQTVDDMLGYDIPELLKNFRNPYD >fgenesh2_kg.3__2254__AT3G20300.1 pep chromosome:v.1.0:3:8863866:8866093:-1 gene:fgenesh2_kg.3__2254__AT3G20300.1 transcript:fgenesh2_kg.3__2254__AT3G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-gated ion channel [Source:UniProtKB/TrEMBL;Acc:D7LAY2] MADIDIGTAATTGGNDGRGTRERLINRETKFTRSVSHAQDELQSFRKYLRWMCVDQSSPWTAVLSWSMFVVFTLVVPATSHFMLACADCDSHHSRPYDSVVQLSLSSFAALSFLCLSRFVSKYGLRRFLFFDKLWDESETVRRGYTNQLNRSLKILSYFVTPCFLAMSSYKIWWYASGASQIPFLGNVILSDTVACLMELCSWLYRTTVIFLVCVLFRLICHLQILRLQDFAQVFQMDSDVGSILSEHLRIRRHLRIISHRYRTFILLSLILVTGSQFYSLLITTKAYAELNIYRAGELALCSMTLVTALLILLRSASKITHKAQAVTCLAAKWHVCATIESFETVDGETPRLVDRASGHGYYPTDDDNGESDSEDYGDEEDDFDNNNLIPAYAYSTISFQKRQALVNYFENNRAGITVFGFTLDRSTLHTIFGIEMSLVLWLLGKTIGIS >fgenesh2_kg.3__2255__AT3G20310.1 pep chromosome:v.1.0:3:8869940:8871880:-1 gene:fgenesh2_kg.3__2255__AT3G20310.1 transcript:fgenesh2_kg.3__2255__AT3G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LAY3] VGPALPVTAGGSVKEPRYRGVRKRPWGRFAAEIRDPLKKSRVWLGTFDSAIEAARAYDTAARNLRGPKAKTNFPIDCSPSSPLQPLNYLQNQNLCSPAANQNQIDPFMDHRLYGGGNFQEQQQIISRPASSSMSSTVKSCSGPRPMEAAAASSSVAKPLHAIKRYPRTPPVAPEDCHSDCDSSSSVIDDGDDIASSSSRRKPPFQFDLNFPPLDGVDLFAGGTDDLHCTDLRL >fgenesh2_kg.3__2260__AT3G20350.1 pep chromosome:v.1.0:3:8881482:8884749:1 gene:fgenesh2_kg.3__2260__AT3G20350.1 transcript:fgenesh2_kg.3__2260__AT3G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTGKSNSTATFPATVPNLRASHIRRARKPSFTRQRRSGVSVRRLSRPETPILKSKVEDHNSERCVAVEDDDYEDDDCNKMRCQERRRSVPPDTVRKLAAGVWRLRVPDDVSSGRDKRSKDRLGFQETAGPAGHLGPLFYYHYDDKHSSGFQSNNSRNKHSGFLCKHEPSIPSPHCAMEGATKWDPICLDTRDEVHQIYCNVKLNNQQVNSVSLASSIELKLQEARACIKNLESEKRSQKKKLEQFLKKVSEERAAWRSREHEKVRAIIDDMKADMNQEKKTRQRLEIVNLKLVNELADSKLAVKRYMHDYQQERKARELVEEVCDELAKEIEEDKAEIEALKSESMNLREEVDDERRMLQMAEVWREERVQMKLIDAKVTLEDKYSQMNKLVGDLEAFLDSRNTTGVKEVRVAEFLRETAASVDNIQQIKEFTYEPAKPDDVLMLFEEMNLGEAQDREIEQYVAYSPVSHASKAHTVSPDVNLINKERHSNAFTDQNGEFEEDDSGWETVSRSEEHGSSYSPDESIPGISNTHHRNSNVSMTETEYQKTLLREIKEVCSVPRRQSKKLSSMAKLWSSLEGMNGRVSNGRKSTVGMVSPETGSNKGGFSTLDLVGQWSSSPDSANANVNRGLKGCIEWPRGAHKNSLKTKLIEAQIESQKVQLKHVLEHKI >fgenesh2_kg.3__2261__AT3G20360.1 pep chromosome:v.1.0:3:8884809:8886746:-1 gene:fgenesh2_kg.3__2261__AT3G20360.1 transcript:fgenesh2_kg.3__2261__AT3G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNYIDTLCMVSLLSCLFITSCFAGPVPNQENGSQKLIPTQISSRDRSKRLSSIVLYYLKMESFNTLMKSVYTERYESRPFRVGRYNWTLVVYPKGNKNDNGTGYISLYVVLDISTLTSPHEEVHVDLRFYVFNKKEKKYFTIQDTDVWRFSAIKTMWGFSKVLPLTTFNNLKNGYLYDIDHCEFGGVDVIIPAFYEKSELFSVTKSFPNERFTWFIQGFSTLPTDYLSEEFIIGRKSWIRTCCPIVGSTSKCLTTKPYDKVYVRAKLRVPNQFPSQSNTVLERPLDNWFSPQTIGWGYADFMPLSDLRNSSKGFVVNDMLVVQVAMEEISSTKYLPN >fgenesh2_kg.3__2262__AT3G20370.1 pep chromosome:v.1.0:3:8893707:8897589:1 gene:fgenesh2_kg.3__2262__AT3G20370.1 transcript:fgenesh2_kg.3__2262__AT3G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHSRNTSSIAYLLLCFFITSATAHSFIRQFTDGDLNTNLQQTEEVGAEPIRNLDVGHYLQENKEISSRDYKVSASNAVKGLRDRPPSSYSLKMESFNILLKSTYTEKYASRPFSVGGYNWTLVVFPNGNKKDGGSGYLSLYVAIDNSTLVAAQQEIYADLRFYIFNKNERKYFTIQDTDVWKFSVFKTMWGFSQVLSIDTFKDPINGYLYDGDHCEFGVDVTIPSLYEKSELFTVTENFQNPRFTWTIRGFSTLLKDTYLSDVFTIGGRSWNIQVNPSGRATGEGKALSMYLNLNVNEIFRPYEKIYVRAKFRVLNQRNLNNVERPLDIWYNGPGYGAEYSWGYPEFISLSDLRDVSKGFVVNDMLMVQVEMEAISSTKYFPS >fgenesh2_kg.3__2267__AT3G20420.1 pep chromosome:v.1.0:3:8909088:8910696:-1 gene:fgenesh2_kg.3__2267__AT3G20420.1 transcript:fgenesh2_kg.3__2267__AT3G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSISPEYNFPAITRCYLSNSLPHLPPSAPPPPADIQRFYSSFSPSAPSVPVTPEMESMEALEKILNYKFKNKSLLKEAITHTSCTDFPSYERLEFVGDSAIGLAISNYLYLTYPSLEPHQLSLLRAANVSTEKLARVTLNHGLYQFLRRNAPSLDEKVKEFSDAVGKEDDFSVAYGGLVKAPKVLADLFESVAGAVYVDVNFDLQRLWVIFRGLLEPIVTLDDLQKQPQPVSMLFKLCHKHKKRIDIKNWKDGNISIADIYLDDEFLASGRAENKDIARLIAAKEALRKLSEVFPVEMVIDEDSVEIELKHAKTKLNEICLKKKWPKPIYSIEEDRTSVHGKRFVCSAKIKIPTEETLYMKGDEQSKIKKAESSSAYHMIRALRKSHYL >fgenesh2_kg.3__2268__AT3G20430.1 pep chromosome:v.1.0:3:8911203:8912789:1 gene:fgenesh2_kg.3__2268__AT3G20430.1 transcript:fgenesh2_kg.3__2268__AT3G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEESLLDALNEEDGFENMEDVDMVDVEEGEIVVDHGLDSGERQNVDGDGDGVKDQEGILGEKNGQQQTNKKKKKKKKKKRKGPVMDKPMSVDWFVRDTCRRLKEKKSYMVYTAVGCLGIAALSDLVNEVVAIETCGGQLTADGNRKRSSGGVLWNIIKARQPAAHKEIMKKTKEFEKQFRQPNTRPKSGPSGNASADEALVAEQTESKLEKERKSVHERIRAPVSYDDLIRD >fgenesh2_kg.3__226__AT3G02830.1 pep chromosome:v.1.0:3:750618:752911:1 gene:fgenesh2_kg.3__226__AT3G02830.1 transcript:fgenesh2_kg.3__226__AT3G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNAGVPMSSLSPLMNQDAMWQMNLSSDEIMETGSYPERPGEPDCSYYIRTGLCRFGSTCRFNHPRDRELVIATARMRGEYPERIGQPECEYYLKTGTCKFGVTCKFHHPRNKAGIAGRVSLNMLGYPLRSNEVDCAYFLRTGHCKFGGTCKFNHPQPQPTNMMVPTSGQQSYPWSRASFIASPRWQDPSSYASLIMPQGVVPVQGWNPYSGQLGSVSPSGTGNDQNYRNLQQNETIESGSQSQGSFSGFNPGSSVPIGGYYALPRENVFPERPGQPECQFYMKTGDCKFGTVCKFHHPRDRQAPPPDCLLSSIGLPLRPGEPLCVFYTRYGICKFGPSCKFDHPMRVFAYENTASETDEAVETSTGQSRRHSVSETRLAATTSSGKDTTIDNTQQ >fgenesh2_kg.3__2270__AT3G20450.1 pep chromosome:v.1.0:3:8920959:8921513:-1 gene:fgenesh2_kg.3__2270__AT3G20450.1 transcript:fgenesh2_kg.3__2270__AT3G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEMFQLLFTIVSIEAVLILTLGFGTPARRVVVKLLDLSKQGRAPLVAKTVAATMLVLFGSVMFSTIQIHTRVSDSGGVANSTDQVMFANCLLEAFLMGTVLFLAMVIDRMHYYTRELQITRRNLEIAVNKGKTGA >fgenesh2_kg.3__2272__AT3G20470.1 pep chromosome:v.1.0:3:8929066:8929696:-1 gene:fgenesh2_kg.3__2272__AT3G20470.1 transcript:fgenesh2_kg.3__2272__AT3G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-rich protein 5 [Source:Projected from Arabidopsis thaliana (AT3G20470) TAIR;Acc:AT3G20470] MASKSLFLVALLVGSFAFTSFASVANRKLKSGLEDQKTFFHHPGGGLGGGGGLGGGGGLGGGGGLGGGGGLGGGAGGGGGLGGGAGGGAGGGFGGGAGGGGGLGGGGGAGGGFGGGAGGGSGGGFGGGAGAGGGLGGGGGAGGGGGFGGGGGSGIGGGFGGGAGAGDGFGGGHH >fgenesh2_kg.3__2273__AT3G20475.1 pep chromosome:v.1.0:3:8931568:8938962:1 gene:fgenesh2_kg.3__2273__AT3G20475.1 transcript:fgenesh2_kg.3__2273__AT3G20475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMEDAETEPQVYMACIQHGRRVGVSYYDCSVRQLHVLEVWEEDCSDFTLINMVKYQAKPSIIYTSTKSEESFVAALQQNDGTDETTMVKLVKSSTFSYEQAWHRLVYLRVTGMDDGLNIKERICYLSSMMDVGSEVQVRVSGGLLAILESERIVETLEQNESGSASIAIDSVMEVPLNKFLKLDAAAHEALQIFQTDKHPSHMGIGRAKEGFSVFGMMNKCATPMGRRLLRSWFMRPILDLEVLDRRLNAISFFISSVELMASLRETLKSVKDISHLLKKFNSPTSLCTSNDWTAFLKSISALLHVNKIFEVGVSESLREHMRRFNLDIIEKAGLCISTELDYVYELVIGVIDVTRSKERGYQTLVKEGFCAELDELRQIYEELPEFLQEVSAMELEHFPHLHKEKLPPCIVYIQQIGYLMCIFGEKLDETTLNRLTEFEFAFSDMDGETQRFFYHTPKTRELDNLLGDIYHKILDMERAIIRDLLSHTLLFSAHLLKAVNFVAELDCILSLACVAHQNNYVRPVLTIESLLDIRNGRHVLQEMAVDTFIPNDTEINDNGRIHIITGPNYSGKSIYVKQVALIVFLSHIGSFVPADAATVGLTDRIFCAMGSKFMTAEQSTFMIDLHQVGMMLRQATSRSLCLLDEFGKGTLTEDGIGLLGGTISHFVTCTEPPRVLVCTHLTELLNESCLPVSEKIKFYTMSVLRPDTESAKMEEIVFLYRLIPGQTLLSYGEHLCVCVGVPEEVVKRAAIVLDAFESNNNVDKLSLDKISSQDQAFKDAVDKFMEFDIGKGDIRGFFQDIFTS >fgenesh2_kg.3__2274__AT3G20480.1 pep chromosome:v.1.0:3:8970121:8972129:-1 gene:fgenesh2_kg.3__2274__AT3G20480.1 transcript:fgenesh2_kg.3__2274__AT3G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraacyldisaccharide 4'-kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LB04] MEKLRKVVNEIAYTRVHTTSPALHRSLVPILTLASSLYSGALQIRRSLYRFSLLQKDRLPVPVISVGNLSWGGNGKTPMVEYISQLLVDSGISPLILTRGYAGGDEAKMLERHLRGGPVKIGVGANRAATAALFLEKYGCVDPSTLRTFFDLHERAEARTISQKIGSIILDDGMQHLSLSRDLEIVMLNGLNPWGNGHLVPLGPLREPLVALERADIAVVHHVDLITKQSLRDIENMVQGFKKSIPIFYSKMVPNYLFEVKNARSHVALEALRCASVLCVSAIGSADAFVKSIEMTGAHYVDRLDFSDHHLFEAQDVETMRRRANGLEHKSNSKPIIVVTEKDYDRDPEILKCLDSYTVLVLCSELQITPIRGTDVDSFNSTLMRALAAKFYVYS >fgenesh2_kg.3__2276__AT3G20500.1 pep chromosome:v.1.0:3:8976803:8979350:1 gene:fgenesh2_kg.3__2276__AT3G20500.1 transcript:fgenesh2_kg.3__2276__AT3G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LB06] MEKWGRLLLVTVSALIFASVAADDYVRPKPRETLQFPWKQKSSSLPEQVHISLAGDKHMRVTWVTSDKSSPSFVEYGTSPGKYSYLGQGESTSYSYIMYRSGKIHHTVIGPLEADTVYYYRCSGEGPEFHLKTPPAQFPITFAVAGDLGQTGWTKSTLDHIDQCIYAVHLLPGDLSYADYMQHKWDTFGELVQPLASVRPWMADLAKVDRERTPWLIVLFHVPWYNSNNAHQHEGDEMMAEMEPLLYASGVDIVFTGHVHAYERTKRVNNGKSDPCGPVHITIGDGGNREGLARKYKDPSPEWSVFREASFGHGELQMVNSTHALWTWHRNDDDEPTRSDEVWLNSLVNSGCLKKRPQELRKMLLEP >fgenesh2_kg.3__2277__AT3G20510.1 pep chromosome:v.1.0:3:8979638:8981151:1 gene:fgenesh2_kg.3__2277__AT3G20510.1 transcript:fgenesh2_kg.3__2277__AT3G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFSIPYGMLLIGGGFIGYMKKGSITSFAGGAGTGLLLILAGYISLKAFEKKKNSSIAMVLQTVIAAALTLVMGQRYLLTGKIMPAGLVAGISALMTCFYVYKIATGGNKFPAKAE >fgenesh2_kg.3__2278__AT3G20520.1 pep chromosome:v.1.0:3:9015954:9019030:1 gene:fgenesh2_kg.3__2278__AT3G20520.1 transcript:fgenesh2_kg.3__2278__AT3G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7LB13] MACPRLIFLILIKFFILQTALSSSWQTLSGKPPAVIARGGFSGMFPDSSSQAYQLVNITTSPDVMLWCDLQLTKDGVGICFPNLNLDNGSDVMTIYPNYKERFSVDFTWKELSDVKLARGVLSRPNIFDDLSSILTIEEVAKLTASGLWLNIQDSAFYAQHNLSMRNSVLSLSRRLKVNFISSPEISFLKSMKNSVKPTVTKLIFKFLKQDHIEPFTNQSYGSLAKNLSYIRTFSSGILVPKSYIWPIDSALYLQPHTSLVTDAHKEGLQVFASEFANDFVIAYNYSYDPTAEYLSFIDNGNFSVDGFLSDFPVTPYRAINCFSHVDTKGAKKQAKITILSKNGASGDFPGCTDLAYERAASDGADILDCNVQMSKDKIPFCMSSIDLINSTNVIETSFRNLSSVVSEIQPRSGIYSFSLTMSQIQTLKRKSTISNPERDWGLFRNPRNNKAGKFLTLSEFLFLPNHYSSLLGILIEVENAAYLVEHQGISVVDAVLDELKRANNNQQNKTSARTILIQSTDKSVLMKFKEKNKMNHDELVYRVDKDIRDVEDSAVRDIKNFAGSIVIRKNSVFPYKGFFIFKKGPNVVSKLKSSGLRVYVETFSNEFVTQEYDFYEDPTLEIDCFVRDIQVDGIITDFPATTARYRKNQCYGKFDLPDTGELRTLGNPMILAPAEAPYPLLRISDVTEPPLPEVRSQTPASSPSKAEEKAIEVPFAFIAMAILVSFFISV >fgenesh2_kg.3__2279__AT3G20530.1 pep chromosome:v.1.0:3:9019237:9020983:1 gene:fgenesh2_kg.3__2279__AT3G20530.1 transcript:fgenesh2_kg.3__2279__AT3G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LB14] MKINCLFCCMSERRFTRRSSSRQSIKDCIDAKNNITTFDNISFKTDSSRRRYISEEIAKLGKGNISAHIFTFRELCVATKNFNPDNQLGEGGFGRVYKGHIETPEQVVAVKQLDRNGYQGNREFLVEVMMLSLLHHQNLVNLVGYCADGDQRILVYEYMQNGSLEDHLLELARNKKKPLDWDTRMKVAAGAARGLEYLHETADPPVIYRDFKASNILLDEEFNPKLSDFGLAKVGPTGGETHVSTRVMGTYGYCAPEYALTGQLTVKSDVYSFGVVFLEMITGRRVIDTTKPTQEQNLVTWASPLFKDRRKFTLMADPLLEGKYPIKGLYQALAVAAMCLQEEAATRPMMSDVVTALEYLAMTKTEEDGQTVEEEEEDERSKL >fgenesh2_kg.3__227__AT3G02840.1 pep chromosome:v.1.0:3:757679:758947:1 gene:fgenesh2_kg.3__227__AT3G02840.1 transcript:fgenesh2_kg.3__227__AT3G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immediate-early fungal elicitor family protein [Source:UniProtKB/TrEMBL;Acc:D7KZL7] MVLPWRSRGGVAKRRNQLIAGDISVVETKIPVQLRSSRMERIESPRVLLAPYDAVEISRRLENAAAREEYGECFEIVTKIKNLGREGGDTNKKCLVQNGGVLALSSCFERFAAAREGHDRLLEEILFVLSSWLPLNRSEGFNKMGSTASLNCLVRFLNGKDAKTRQNAAFCIREVIAVDKRYVYALKDMEGACEGLVKIIRDSVSTSSAKASLMVIYRAISCNDQIAARFVRLGLVEVITEMMVNNAEQSVCERSLVVLNAICDNEQGKEDVLRNALIVPLLVKKVLRVSDLATQCSVSILWKLWKNNGKSDDCLLVEALQVGAFEKLLVLLQVGCEDKTKEKASELLRNLNRCRNEIEKTNCVDSSMHLKNVKKSF >fgenesh2_kg.3__2280__AT3G20550.1 pep chromosome:v.1.0:3:9033905:9037301:-1 gene:fgenesh2_kg.3__2280__AT3G20550.1 transcript:fgenesh2_kg.3__2280__AT3G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRSPSPRTKRLRRAQGEKKETGRSREREDDGRGREKRNSRERDGDIGRDWDRERKRDWEVGDKRRRSGREDTEERGRAGRDDERYSRGRHERSTSPPDKSRRSSRRSPERAIASRQDEGSNARGGGEEPNVEEDSVARMRAVEEALAAKKKEEPSFELSGKLAEETNRYRGITLLFNEPPEARKPSERWRLYVFKDGEPLNEPLCLHRQSCYLFGRERRIADIPTDHPSCSKQHAVIQYREMEKEKPDGMMGKQVKPYIMDLGSTNKTYINESPIEPQRYYELFEKDTIKFGNSSREYVLLHENSAE >fgenesh2_kg.3__2283__AT3G20560.1 pep chromosome:v.1.0:3:9044510:9049070:1 gene:fgenesh2_kg.3__2283__AT3G20560.1 transcript:fgenesh2_kg.3__2283__AT3G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTKLKSVDFYRKIPRDLTEASLSGAGLSIVAALFMMFLFGMELSSYLEVNTTTAVIVDKSSDGDFLRIDFNISFPALSCEFASVDVSDVLGTNRLNITKTIRKFPIDPHLRSTGAEFHSGLALHNINHGEETKEEFPDGAIPLTSASFEALSHHFPILVVNFNAPWCYWSNRLKPAWEKAANIIKQRYDPEADGRVLLGNVDCTEEPALCKRNHIQGYPSIRIFRKGSDLREDHGHHEHESYYGDRDTDSIVKMVEGLVAPIHPETHKVASDGKSNDTVKNLKKAPVTGGCRVEGYVRVKKVPGNLVISAHSGAHSFDSSQMNMSHVVSHLSFGRMISPRLLTDMKRLLPYLGLSHDRLDGKAFINQHEFGANVTIEHYLQIVKTEVITRRSGQEHSLIEEYEYTAHSSVAQTYYLPVAKFHFELSPMQILITENPKSFSHFITNLCAIIGGVFTVAGILDSIFHNTVRLIKKVELGKNI >fgenesh2_kg.3__2284__AT3G20570.1 pep chromosome:v.1.0:3:9049052:9049989:-1 gene:fgenesh2_kg.3__2284__AT3G20570.1 transcript:fgenesh2_kg.3__2284__AT3G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLKNMMLCGFGLVCFLMIVDRAYARDFTVGGATGWTVPSGAQVYSQWAEQSRFQIGDSLLFVYQSNQDSVLQVTRDAYDSCNTDSPTAKFADGKTSVTLNHSGPYYFISGNKDNCKKNEKLVVIVMADRSGNKNTTSSPPSPAPAPSGESSPSPPVSGTFEMTPAPTPTTSQETPNNAASSSSSFVAALLGAALASTLFLH >fgenesh2_kg.3__2285__AT3G20580.1 pep chromosome:v.1.0:3:9050407:9053113:-1 gene:fgenesh2_kg.3__2285__AT3G20580.1 transcript:fgenesh2_kg.3__2285__AT3G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIDVKTGMKIPWDVRYSLSLFILLSSILFVCNGQDYGTPGEDGGGAEPPPEMAHCNGIFMSYNFGSREREYPHVKNVTAQSWAFKSTAMIVNAGREELKGWQMFIGFRHKELIVSATGAAPMDGDYPLDASNGTTFVGSPNTDLKTSIETAGDFTQISANIEITGTLFGVSKAVTPMPKTIKLTNDGWECPAAKRKGGSMHVCCKRNPKFKNKTGLKTKFAPRRNGDLNIVYDVLQSFDSNYLAQVTIDNDNPLGRLDRWNLTFEWMRGEFINTMRGAYTHKKDPSECLYSKAGQYYKDLDFSQVMNCQRKPAISDLPPEKKEDNVTGKLPFCCKNGTLLPPIMDPSKSRSMFQLQVFKLPPDLNRTALYPPQHWKIDGVLNPQYKCGPPVRVDPSQFPDPSGLLAVTYAISSWQVVCNITKPKAQASRCCVSFSAFYNNSAVPCNTCACGCNDIDTDTCNANSNPLLLPPDALLVPFDNRTLKARAWAKQNHMPVPKKLPCPDNCGVSINWHVSTDYKNGWTARLTVFNWRDFAFEDWFVAIDMGKAGPGYENVYSFNGTRVPPSNRTVIFQGLPGMNYLVGQVNGTNPLRDPPVPGKQQSVISFTKKNIKALNIPEGDGFPTKLFFNGEECALPKHFPKKSSGHRHGISVLMSFVFATMAAFALMMD >fgenesh2_kg.3__2286__AT3G20590.1 pep chromosome:v.1.0:3:9053959:9054714:1 gene:fgenesh2_kg.3__2286__AT3G20590.1 transcript:fgenesh2_kg.3__2286__AT3G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREEELGRKCCTCCLKFIFTAGLGALILWLSLRAGKPKCSIQNFYIPALSKNLSSRDNTTLNFMVRCDNPNRDKGIYYNDVHLIFSTINTTKTNSSALVLVANYTVPKFYQGHKKKAKKWGQVKPLNNQTVLRAVLPNGSAFFRLDLKTQVRFKIVFWKTKWYRRVEVGADVEVNGDGVKANEKEIMMKKSDFWKTQGYWSDFGADDVDLTGDGAQNKGSKMKKSDSSLPLRSSFPICVLMNLLVFFAIR >fgenesh2_kg.3__2288__AT3G20610.1 pep chromosome:v.1.0:3:9060739:9061399:1 gene:fgenesh2_kg.3__2288__AT3G20610.1 transcript:fgenesh2_kg.3__2288__AT3G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KZU0] LFGVVYVSFLLAFFLWITTLVHDIPRCSIHYFYIPVLNKSLNSSDNTTLNFMESITTTFTYLSPQVSTTPLFHVANYTVPRFYQGHEKKAKKWGQALPLNNQTVFQAVLPNGSAIFRVELKMQVKYKVIVNLEVNEDGATKVKNKEDGIKMKISDSSPRRLTFFQLCFSIICVLMNWLVFLAIC >fgenesh2_kg.3__2289__AT3G20620.1 pep chromosome:v.1.0:3:9064400:9068088:-1 gene:fgenesh2_kg.3__2289__AT3G20620.1 transcript:fgenesh2_kg.3__2289__AT3G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTRAQSIKRMSVVDALCIRSQISVKSTRYCKTVSKHLAKLQLAESGKNSSLVVCPAMGKTMKLYSMDARRCKLSLLSTIDPSQRSPEEFMYMISSYNGIICCVNMIYDEDVENRFCDLQIWICNPCTRETLLLPQGTPSFEFEPSIGVAYGSDVSDYRVFRIFCTGKIIPEERGPAEGYYFQEGRYFTKYSHVLAYECEVYSSSTGSWKNIGPVPCVPMSCGLRPFRRTGHIFVNGKVYWLVSLDGPGKILSVDLEGRFEVINLPAYPDGLREEEKITEASHLVNLKGSLGLLVIHPDNMDLWVWKHDGQKYSWDIELSDDVPIKNDELIIAVTAFDNKIICVNDTHWRVYDMETKKWKRRRGPRTGFCNPAMFPFTESVLPCNGGVKQ >fgenesh2_kg.3__2290__AT3G20630.1 pep chromosome:v.1.0:3:9073977:9080279:-1 gene:fgenesh2_kg.3__2290__AT3G20630.1 transcript:fgenesh2_kg.3__2290__AT3G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:D7KZU5] MELLRSNLSRVQIPEPTHRIYKHECCISFDTPRSEGGLFVDMNSFLAFGKDYVSWNYEKTGNPVYLHIKQTTKSIPEDRPLKKPTLLAIGVDGGFDNNEPEYEESYSIVILPDFVSLPFPSVELPEKVRIAVDTVVNAVGAERKEQVAAWTAEKKLVSEHALTLQQIKSGIVIPPSGWKCAKCDKTENLWLNLTDGMILCGRKNWDGTGGNNHAVEHYKETAYPLAVKLGTITADLEAADVYSYPEDDSVLDPLLAEHLAHFGIDFSSMQKTEMTTAERELDQNTNFDWNRIQESGKELVPVFGPGYTGLVNLGNSCYLAATMQIVFSNHSFISRYFSHQSLKMAFEMAPADPTLDLNMQLTKLGHGLLSGKYSIPATQKDATTGDARQEGIPPRMFKNVIAASHAEFSSMRQQDALEFFLHLVGKVERASNTTPDLDPSRSFKFGIEEKILCPSGKVGYNKREDCILSLNIPLHEATNKDELEAFNKQKAGKGLEENDIRSSDEIVRPRVPLEACLANFASSEAIDDYYSSALKGRTTAIKTTGLTSFPDYLVLHMRKFVMEAGWVPKKLDVYIDVPDVIDISHMRSKGLQPGEELLPDGVPEEVIESAQPVANEEIVAQLVSMGFSQLHCQKAAINTSNAGVEEAMNWLLSHMDDPDIDAPISHQTSDVDQSSLDALLSFGFAEDVARKALKASGGDIEKATDWIFNNPNASVSDMDVSSSNSAQTPAQSGLPDGGGKYKLFGIVSHMGTSVHCGHYVAHILKEGRWVIFNDDKVGISTDPPKDMGYVYFFQRLD >fgenesh2_kg.3__2293__AT3G20650.2 pep chromosome:v.1.0:3:9095380:9098572:-1 gene:fgenesh2_kg.3__2293__AT3G20650.2 transcript:fgenesh2_kg.3__2293__AT3G20650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA cap guanine-N7 methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KZU7] MKRGFSESPSSSGPPPSSRFKSNPEGDSQFLEDETTKNFARKVADHYSRRTNQTLEEREASPIIHLKKLNNWIKSVLIQLYARPDDAVLDLACGKGGDLIKWDKARIGYYVGIDIAEGSIEDCRTRYNGDADHHQRRKKFSFPSRLLCGDCFEVELDKILEEDAPFDICSCQFAMHYSWTTEARARRALANVSALLRPGGVFIGTMPDANVIIKKLREAEGLEIGNSVYWIRFGEEYSQKKFKSSSPFGIEYVFHLEDAVDCPEWIVPFNVFKSLAEEYDLELVFVKNSHEFVHEYMKKPEFVELMRRLGALGDGNNDQSTLSADEWEAAYLYLSFVLRKRGEPDGARRSGRRKNGKMNLSKDDVLYIDS >fgenesh2_kg.3__2295__AT3G20680.1 pep chromosome:v.1.0:3:9110264:9111322:-1 gene:fgenesh2_kg.3__2295__AT3G20680.1 transcript:fgenesh2_kg.3__2295__AT3G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLFKLNSQTLKALNPSPPSLSPPNSRIFHLHFSKSLSQQQHSKLNHKSTTTRFFVPLCFSLPSPSSPPISKEEAILQAKTCLLSCLIKPLNNPKLASPKLRKLKQPRFRLEIPILDDDSPSSLSQLAFSIFHDLPISRRGSNVKILFLWPDPSFIDSAVKAFRSDSVNHIAMSPVSDSVNKALRSADVAVFMAPEKTQVEDVKTASEAFSSKPVVMINPRWLFEEEKGFGDGFIGSFEVIYAFTGLEVRGVLSKRKGVIFKCVRDGVVSGERWNVLVEEEEGNGILKVVSQFNSRPSMEEVELVLYNLMAMNSPITKSAKFLKDLVSNITGKK >fgenesh2_kg.3__2296__AT3G20700.1 pep chromosome:v.1.0:3:9115387:9116261:-1 gene:fgenesh2_kg.3__2296__AT3G20700.1 transcript:fgenesh2_kg.3__2296__AT3G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KZV4] MRSTCKKWNVLTKDQRFAKKRGKEFLMIMNHRVYLIGVNLHDNIDLSIKCKGKLISRDKTGEELYVSRVFHCNGLLLCVSGKTSHDTRLVVCNPYLGKPKWVKPKNNYGILDKFALGYDKLCGSYKILRIYDFDNKLEIYELSSNSWMIPSDTFGRDKECMQHGVSSKGNTYWCARDKESQYCNLFCFDFTRERFGPRLPLPFNGTYVSLSTVKEEQLTVLLQPSDKSEIEIWVTNKIEPDEVSWSIFLKVEMNKFAVVFDKDKGKGFKTIYNIAYVIGEN >fgenesh2_kg.3__2298__AT3G20710.1 pep chromosome:v.1.0:3:9119161:9120288:-1 gene:fgenesh2_kg.3__2298__AT3G20710.1 transcript:fgenesh2_kg.3__2298__AT3G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVLNPYWGQTKWIMPRPPFGCSDRYALGYDKSSGSHKILRLFGVNQKNLDVYDLSSSSWMVPDDVTLERDIYMQQGVSLKGDTYWYAKDEDSIDGYLLCFDFTRERFGPCLPLPQPLNEGYALYKGYATLSVVTEEKLAVLLQRWDTTVIWVTNKIEPDAVSWSIFLKLDMIMEPKIHHYQNFFIDEEKKVAVVFDKDKDRSTWPPWMFKTIYNRAYIAGENGYFRSVDLLKSPNTRQLGRLVCSYVPSSMKVK >fgenesh2_kg.3__2300__AT3G20730.1 pep chromosome:v.1.0:3:9136412:9138459:1 gene:fgenesh2_kg.3__2300__AT3G20730.1 transcript:fgenesh2_kg.3__2300__AT3G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KZV9] MLVVSESYLLSHPLYLKALKLCSYQNVKKQLLLIHGNSVTNGFGSNLQLNDMLIDLYLKQGDVKHARKLFDRIPKRDVVSWTAMISRFSRCGYHRDALLLFKQMHRQDVRANQFTYGSVLKSCKDLGCLKEGMQIQGCLEKGKCAGNLIVRSALLSLYARCGKMEDARLQFDSMKERDLVSWNSMIDGYTTNACVDTSFSLFQLMFAEGKKPDCFTFGSLLRASIVVKCLEPVGQLHGLAIKLGFGRSSALIRSLVDAYVKCGSIANAWKLHEDTTKRDLISCTALITGFAQQNICTSDAFDIFNEMILMKTKMDEVVVSSMLKICTTIASVTVGRQIHGFALKSSQIRFDIALGNSLIDMYAKSGDIEDAVLAFEEMKEKDVRSWTSLIAGYGRHGNFEKAIDLYNRMEHEGIKPNDVTFLSLLSACSHTGQTELGWKIFNKMINKHGIKAREEHFSCIIDMLARSGYLEEAYELIRSKDGIISLSSSTWGAFLDACRRHGNVQLSKVAASQLLSVEPKKPVNYINLASVHAANGAWDSALKTRQLMKESGSCNKTPGYSLVY >fgenesh2_kg.3__2304__AT3G20770.1 pep chromosome:v.1.0:3:9152744:9155547:-1 gene:fgenesh2_kg.3__2304__AT3G20770.1 transcript:fgenesh2_kg.3__2304__AT3G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-insensitive3 [Source:UniProtKB/TrEMBL;Acc:D7KZW3] MDFFSSGSLGEVDFCTAPQVEPDSIVEDDYTDDEIDVDELERRMWRDKMRLKRLKEQDKSKEGVDAAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPENGKPVTGASDNLREWWKDKVRFDRNGPAAITKYQAENNIPGIHEGNNPIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPSGNEDWWPQLGLPKDQGAAPYKKPHDLKKAWKVGVLTAVIKHMFPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEESLARELYPESCPPLSLSGGSCSLLMNDCSQYDVEGFEKESHYEVEELKPEKVMNSSNFGMTAKMHDFPVKEEVPTGNSEFMRKRKTNRDLNTIMDRTVFTCDNLGCAHSEISRGFLDRNSRDNHQLACSHRDNCLPYGAAASRFHVNEVKPVVGFSQPRPVNSVAQPIDLTGIVPEDGQKMISELMSMYDRNVQSNQTSMVMENQSVSLLQPTVQNHQEHLQFPGNMVEGSFFEDLNIPNRANNINSSNQTYFQGNNNNNNNGFKFDTAHHNNFEAAHNNTNNSSSNRFQLVFDSTPFDMASFDYRDDMSMPGVVGTMDGMQQKQQDVSIWF >fgenesh2_kg.3__2306__AT3G20790.1 pep chromosome:v.1.0:3:9161097:9163651:1 gene:fgenesh2_kg.3__2306__AT3G20790.1 transcript:fgenesh2_kg.3__2306__AT3G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase family protein [Source:UniProtKB/TrEMBL;Acc:D7KZW5] MANPSPGIAILGAGIFVKTQYIPRLAEISDLVVLKAIWSRTEESAKGAVEIARKHFPEVKCKWGDDGLNEIIQDSSIVGVAVVVAAETMVEMSLKMLKAGKHVLQEKPAAASISEIETAMSSYRNISADSPCRPIWAVAENYRFEPAFVELKKLIAETGDMMNVQLIIEGSMNSSNPYFSSSWRRNLSGGFILDMGVHYIAGLRMLVGCEVASVSATTSHVDKTLPGPDNITSNFQLENGCSGVFVMVVSSRSPKACSKTWILWRVVGLKGTVQLERGVEGGRHGYMATIYGEGGTSRTIFYPFSGVTEELKAFFNDISETSKEQEPRLSYVEGARDVAVLEAMLESGAKNGAVVPVTKF >fgenesh2_kg.3__2307__AT3G20800.1 pep chromosome:v.1.0:3:9163531:9166264:-1 gene:fgenesh2_kg.3__2307__AT3G20800.1 transcript:fgenesh2_kg.3__2307__AT3G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPSSLSMGTPFGGPSTSAQNPSGAPANKDRNLASAEQLVLDLSNPELRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSIYSVLAPPNLTPAQSNRVCNSLALLQCVASHSDTRMLFLKAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGMDYICTTAERFFAVGRVLGNMVQSLVEQPSPRLLKHIIRCYLRLSDNPRACAALASCLPDSLRDGTFSNCLREDPTARRWLQQLVHNVGVGRVPTHQGGGFEHML >fgenesh2_kg.3__2308__AT3G20810.2 pep chromosome:v.1.0:3:9169858:9172585:1 gene:fgenesh2_kg.3__2308__AT3G20810.2 transcript:fgenesh2_kg.3__2308__AT3G20810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KZW7] MSGASTASSGDHNNLRLPTPTLDAEFQSLLQSISAQGGYAYARMAALAVAGDQSAAEAARDMAWEQLHSGPWHSVLPVWRDAYSMACLHVAKIHFADGEFGEALGALDMGLIMGGMLLRKDLHDSVLLVSSEARKKTKSLEEASGEFKGEKLVPEVPVDLNEVRHGFANLQVLVLKILPCRSLTCRRVEKRSGLSLEGFLRDYFLSGTPVVITNSMAHWPARTKWNHLDYLNAVAGNRTVPVEVGKNYLCSDWKQELVTFSKFLERIRTNKSSPMEPTYLAQHPLFDQINELRDDICIPDYCFVGGGELQSLNAWFGPAGTVTPLHHDPHHNILAQVVGKKYIRLYPSSLQDELYPYSETMLCNSSQVDLDNIDKTEFPKATELEFMDCILEEGEMLYIPPKWWHYVRSLTMSFSVSFWWSNETDPSSS >fgenesh2_kg.3__230__AT3G02860.2 pep chromosome:v.1.0:3:764873:767155:-1 gene:fgenesh2_kg.3__230__AT3G02860.2 transcript:fgenesh2_kg.3__230__AT3G02860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQAKKKAMFRSKLNAKKKDTRIDSPLVRYNESDQPVCRVCNVVLKSESLWDVHQASRKHHEAIDNLKASAAGVQRGTKPAETRPAKTEALAKSSKSQTSSGLPPNFFENHEPARAEVEPAISKNIEQSKQTIGSETNNSKGPLPAGFFDNQKTDSSNTKTTSEPKQSQTQTTGSETKSVVKGNLPTGFFDNKEADLLARGIKLVKPDIKDEYKEFEKLIQDDLQVVDSRMEEEEVDAAETIEEEEQREQRSYKEKVEILKRRKRELKAARLAKRSKTSEGSVKKPKKTEEESLSDEEDDEDSAVDWRAQHL >fgenesh2_kg.3__2312__AT3G20830.1 pep chromosome:v.1.0:3:9185124:9186402:-1 gene:fgenesh2_kg.3__2312__AT3G20830.1 transcript:fgenesh2_kg.3__2312__AT3G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KZX1] METLPSSPPSSPPEILDLDSIKALKILGKGATGTVFLAHDVVSTSSSSSPFAVKLVHKSSASSLRRARWEIEVLRRLSIDSNQNPFLPRLLASFESPEYFAWAVPYCSGGDLNVLLHRQNEGVFSSSVIRFYVAEIVCALEHLHTMGIAYRDLKPENILIQQSGHVTLTDFDLSRSLKKPTRPQFYQPDPELITDRKKSRSFSRLISPAVEKNKTGLKKTRSARVNPINRRKTSFSSGERSNSFVGTDEYVSPEVIRGDGHDFAVDWWALGVLTYEMMYGETPFKGKSKKETFRNVLMKEPEFAGKPNDLTDLIRRLLVKDPNRRLGCHRGAAEIKEHAFFRGVRWDLLTEVVRPPFIPLRDDGELTVGGFDIREHFEKLRTTPSSAPPSPLQSPPHVCRKNDPFIDF >fgenesh2_kg.3__2314__AT3G20850.1 pep chromosome:v.1.0:3:9206212:9206539:1 gene:fgenesh2_kg.3__2314__AT3G20850.1 transcript:fgenesh2_kg.3__2314__AT3G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT3G20850) TAIR;Acc:AT3G20850] ITLGEASNNRKLLQTYTNYQQQHSPIPSPVYSPPADLPPPPTPVYSPPADLSPPTPIYPPPVVFPPPQAYQAYYYRKSPPPPPSRYGKVYPPPPAKPWWWLL >fgenesh2_kg.3__2316__AT3G20870.1 pep chromosome:v.1.0:3:9213498:9216853:-1 gene:fgenesh2_kg.3__2316__AT3G20870.1 transcript:fgenesh2_kg.3__2316__AT3G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7KZX6] MDSQMLVALGLSLVGGLSTSLGALFVVLSETPNMKMLGLLQGFAAGLMLSISFLDLAHNAINSIGFLKANLWFFGGVIFFACIAKFIPEPTVGPSTDVKRRKKNGDEGGKDMMKKHRKQVLYSGLITAIGISLHNFPEGMAVFLGSIKGMRVGVNLALAIALHNIPEGVAVALPIYFATESKWQAFKLATLSGLAEPLGVIIVAYLFPRSLSPEILEGLLGAVGGIMAFLTLHEMLPLAFDYAGQKQAVKAVFFGMACMSASLYFLELSLPDTMSL >fgenesh2_kg.3__2318__AT3G20900.1 pep chromosome:v.1.0:3:9229838:9230671:-1 gene:fgenesh2_kg.3__2318__AT3G20900.1 transcript:fgenesh2_kg.3__2318__AT3G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLARKNNTLKASAVISNLTHSTWKKRAKKTICLRRNTQFFVTLCFFGAGGHVSISGILDFLAFGVQQPPPPPPPGDDVSTAGEGEGTE >fgenesh2_kg.3__2320__AT3G20920.1 pep chromosome:v.1.0:3:9235836:9238168:-1 gene:fgenesh2_kg.3__2320__AT3G20920.1 transcript:fgenesh2_kg.3__2320__AT3G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPVGAEKKRFKRSSGSASTSVRDSGSDAQPRKQAVKKDSFQLFAEKVRDHKGLESRWAVMEQARVEYFRGKDFVSFMKNNPEFKEILEEDKDLDTDDIANVLLGKNLLVRCDRVTKTLRPGKKKLSTWPAHLEIFRDDQSFSENDAFFAWTFEKRHPLWQTLLSFFWPVLTLAICLFPVYPHRCKLIVLYSCAGILLMILSLLFVRAVAFGAMWILLGKRVWFFPNILAEEATLKELFRFWPKKDEEEPPKWTSRLFYTVVAIVVVMLLRRHAPDEAARARYQRRMSNIIDDVLEWSPKLALSGLMENQQPVNITDVANNSSDSAGSDQTEEVVLDETQGEDLEETQGEEEAEGWTDSDLKT >fgenesh2_kg.3__2322__AT3G20930.1 pep chromosome:v.1.0:3:9240751:9242799:1 gene:fgenesh2_kg.3__2322__AT3G20930.1 transcript:fgenesh2_kg.3__2322__AT3G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KZY3] MEALIAPTSFFLPISNSSSHIINNRFFPSFSSPNLNLGTFRKTYTSLSSSHIVYSSSAISAPPSSTASPTRNSYWMVLLDKPPQWVSSKSDIVDYYVEILAKVLGNEKDAQVSIYDASFDTHFGFCCYIDEDASRQLACLPGVVSVRPEAGYSSEKKNYGFGNQTCVSLFDHGTVKHWMVRIDKPGVGIVTKAQMVDHCVQLLSKVLCNEKDAQMCLYHVSWQSDFGFCCDLDENSAVELAGVPGVLAVVPDNSFESLNKDYEGDSTQASRDQDDSESPPIKTKKLFITGLSFYTSEKTLRAAFEGFGELVEVKIIMDKISKRSKGYAFLEYTTEEAASAALKEMNGKIINGWMIVVDVAKTKPFRQNRSQPSSGF >fgenesh2_kg.3__2329__AT3G21090.1 pep chromosome:v.1.0:3:9309167:9312804:-1 gene:fgenesh2_kg.3__2329__AT3G21090.1 transcript:fgenesh2_kg.3__2329__AT3G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7KZZ4] MELEGSSSGRRQLPSKVEMSRGAYLAWEDLTVVIPNFSDGPTRRLLQRLNGYAEPGRIMAIMGPSGSGKSTLLDSLAGRLARNVVMTGNLLLNGKKARLDYGLVAYVTQEDILLGTLTVRETITYSAHLRLPSDMSKEEVSDIVEGTIMELGLQDCSDRVIGNWHARGVSGGERKRVSIALEILTRPQILFLDEPTSGLDSASAFFVIQALRNIARDGRTVISSVHQPSSEVFALFDDLFLLSSGESVYFGEAKSAVEFFAESGFPCPKKRNPSDHFLRCINSDFDTVTATLKGSQRIQETPATSDPLMNLATSVIKARLVENYKRSKYAKSAKSRIRELSNIEGLEMEIRKGSEASWWKQLRTLTARSFINMCRDVGYYWTRIISYIVVSISVGTIFYDVGYSYTSILARVSCGGFITGFMTFMSIGGFPSFLEEMKVFYKERLSGYYGVSVYILSNYISSFPFLVAISVITGTITYNLVKFRPGFSHYAFFCLNIFFSVSVIESLMMVVASVVPNFLMGLITGAGLIGIIMMTSGFFRLLPDLPKIFWRYPVSYISYGSWAIQGGYKNDFLGLEFEPMFPGEPKMTGEEVIEKVFGVKVTYSKWWDLAAVVAILVCYRLLFFVVLKLRERAGPALKAIQAKRTMRNLDRRPSFKRMPSLSLSLSSMSSRRHQPLRSLSSQEGLNSPIHY >fgenesh2_kg.3__232__AT3G02870.1 pep chromosome:v.1.0:3:772132:774126:-1 gene:fgenesh2_kg.3__232__AT3G02870.1 transcript:fgenesh2_kg.3__232__AT3G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-1-monophosphatase [Source:UniProtKB/TrEMBL;Acc:D7KZL9] MADNDSLDQFLAAAIDAAKNAGQVIRKGFYETKHVEHKGQVDLVTETDKGCEELVFNHLKQLFPNHKFIGEETTAAYGVTELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKVPLVGVVYNPIMDELFTGVQGKGAFLNGKPIKVSTQSELVTALLVTEAGTKRDQATLNDTTNRINSLLTKVRSLRMSGSCALDLCGVACGRVDIFYELGFGGPWDIAAGIVIVKEAGGLIFDPSGKDLDITSQRIAASNSSLKELFAEALRLTGA >fgenesh2_kg.3__2330__AT3G21100.2 pep chromosome:v.1.0:3:9317466:9320715:1 gene:fgenesh2_kg.3__2330__AT3G21100.2 transcript:fgenesh2_kg.3__2330__AT3G21100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KZZ5] MDPGDPTSILFTKIRTLEPDFASKIIGYLLLQDLGTRDLMRLALGPDTLLQSVCLKAKSALGLSSNGSSSASSPLNPISRPINIHRHSLSQSSPGNGFMEFSRNNPLSPSLTTPGSLGSNPNMISSPFQASSSLFASDGGAAGDSTGNGDFLDEQQLGNYLSFLNESSSKNNDESLDPFGFSADNGDAHLHKRSFSASDACFGSEEPGFGGGGYNRFPHGGLGDDFDSPGGFGSPDYVSRQQEEMVRMKMAQRQRMAAAQYLAATGSPMSYEKGLNFLLHQRNVHRSGAGQYGEEGYWFGSPGRHERDEFMGMGDKSNSASKQIYLTFPADSSFTDEDVSNYFGTFGPVQDVRIPYQQKRMFGFVTFVHSETVRIILARGNPHFICDSRVLVKPYKEKGRILEKRQQQQLLQQMERGNFSPGSSPSGMDSRDLFESLLSPRMFSNTQEMMRRKAEQADLQQAIEFQRRRFLNLQLPDMDSESFLHHQRSLSIGSPVHFSPRVNQSMLFRSENTSDEVFEGNGDSGHFQSEATRAFLSDTGHNSSQERVYNNHLNKGQETTLENALPDSFFSSPSKTGETQHPEFEKENCATLAVTTENKAASSIQSA >fgenesh2_kg.3__2332__AT3G21140.1 pep chromosome:v.1.0:3:9330609:9333351:-1 gene:fgenesh2_kg.3__2332__AT3G21140.1 transcript:fgenesh2_kg.3__2332__AT3G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN binding protein [Source:UniProtKB/TrEMBL;Acc:D7L000] MEALYTSTHIPNLQTKPLLKPSLPTSSQSSCWFCNSLPKKQFPKLRISNGSSHGLRIQALLHNETPSEGEDNNGFGFFPGDIFSLSQEKLGSNSNGETSHSVIDVEASLAHPQGSGGNRAGLFRTPISGGVQNATSAHALPRPALAVRNLLEQARFAHLCTVMSKMHHRREGYPFGSLVDFAPDRMGHPIFLFSPLAIHTRNLLAEPRCSLVVQIPGWSGLSNARVTLFGDVYPLSEDEQEWAHKQYIAKHPHRPSEQWGNFHYFRMQNISDIYFIGGFGTVAWVDVKEYEALQPDKIAVDGGERNLKELNAIFSKPLRELLSTESEVDDAALISIDSKGIDVRVRQGAQFNIQRLAFEEGHGVETLEEAKSALWKVMEKVKLNLQK >fgenesh2_kg.3__2334__AT3G21160.1 pep chromosome:v.1.0:3:9338605:9343284:-1 gene:fgenesh2_kg.3__2334__AT3G21160.1 transcript:fgenesh2_kg.3__2334__AT3G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:D7L002] MARNKLVNGHGIWKYFNPAFYLRRPRRLALLIILFVSVSMVVWDRQSLSRDYQFEVSKLNEEVLRLQQMLEEIKSVTEDVSVNSLKDVQEDPVNAQRMQRVKEAMIHAWSSYEKYAWGQDELQPQTKDGVDSFGGLGATMIDALDTLYIMGLDEQFQKAREWVASSLDFDKDYAASMFETTIRVVGGLLSAYDLSGDKIFLEKATDIADRLLPAWDTQSGIPYNIINLKHGNAHNPTWAGGDSILADSGTEQLEFIALSQRTGDPKYQQKVEKVISVLNKNFPADGLLPIYINPDTAIPSYSTITFGAMGDSFYEYLLKVWVFGNKTSAVKHYRDMWEKSMNGLLSLVRKSTPSSFTYICEKNGNLIDNQMDELACFAPGMLALGASGYSDPAEGKKFLSLAEELAWTCYNFYQSTPTKLAGENYFFNSGSDMSVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFEAFEKNSRIESGYVGLKDVNTGVKDNKMQSFFLAETLKYLYLLFSPTTVIPLDEWVFNTEAHPLKIKPRNDQVNLKQSNKVLLRKPAFRIRQRHYGRITKK >fgenesh2_kg.3__2335__AT3G21170.1 pep chromosome:v.1.0:3:9348003:9352301:1 gene:fgenesh2_kg.3__2335__AT3G21170.1 transcript:fgenesh2_kg.3__2335__AT3G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L006] MMFNYLPEDMVVEILSRVPAVSLARLRSTSKIWNALIKDGRLAKKHSANAPRHSMALVLIDHRVYLVRSNLREIHNNVAPFVSVTCHFSLKERLSKHFSTEVDIRDVFHCDGLLLCTTKDYRLVVWNPCSGETRWIQPRDSYKKDDCYALGRSSCNKYKILRQELLINPSQESYVYNIVRGDFLINNNQERYEYEIYDFTSNSWRGVGVSTGLFNPNITTGMSVNGIIYWFDITEQFLLSFDFSTERFGSESLPEDDSHLALSVTRQGKQLCMLSTHSSYVTETNVNLWIATKSSENTEAMSWSKFPILRGGVGLRYRYHFREGVSFFAYQVKEKIVVVSCHRPNRHSKNIIHIAGHGKFIELDHHGAKPKHEFSPTPLLLVYVPSLVQIQHGI >fgenesh2_kg.3__2338__AT3G21175.1 pep chromosome:v.1.0:3:9353306:9356272:1 gene:fgenesh2_kg.3__2338__AT3G21175.1 transcript:fgenesh2_kg.3__2338__AT3G21175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELHGNNGRMHIGVAQDPMHVQYDHHGLHHIDNGNGMMDEHTDGGMDEGVETDIPSHPGNSADNRGEVVDRGIENGDQLTLSFQGQVYVFDRVSPEKVQAVLLLLGGREVPQTLPTSLGSPHQINRVLGLSGTPQRLSVPQRLASLLRFREKRKGRNFDKTIRYTVRKEVALRMQRKKGQFTSAKSSNDDSGSTGSDWGSNQNWAIEGTETQKPEVLCRHCGISEKSTPMMRRGPDGPRTLCNACGLMWANKGTLRDLSKVPPPQTPQHLPLNKNEDPNLEADQMNGVADDISNSQ >fgenesh2_kg.3__2339__AT3G21180.1 pep chromosome:v.1.0:3:9357193:9363884:1 gene:fgenesh2_kg.3__2339__AT3G21180.1 transcript:fgenesh2_kg.3__2339__AT3G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7L008] MSTSSSNGLLLTSMSGRHDDMEAGSAKTEEHSDHEELQHDPDDPFDIDNTKNASVESLRRWRQAALVLNASRRFRYTLDLNKEEHYDNRRRMIRAHAQVIRAALLFKLAGEQQLAFGSSSTPAASTGNFDIDLEKLVSMTRNQNMSNLQQYGGVKGVAEKLKSNMEQGINEDEKEVIDRKNAFGSNTYPKKKGKNFFMFLWEAWQDLTLIILIIAAVTSLALGIKTEGLKEGWLDGGSIAFAVLLVIIVTAVSDYRQSLQFQNLNDEKRNIQLEVMRGGRTVKISIYDVVVGDVIPLRIGDQVPADGVLISGHSLAIDESSMTGESKIVHKDQKSPFLMSGCKVADGVGNMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGLATFIGIVGLSVALVVLVALLVRYFTGTTQDTNGATQFIKGTTSISDIVDDCVKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVETYAGGSKMDVADNPSGLHPKLVALISEGVAQNTTGNVFHPKDGGEVEISGSPTEKAILSWAYKLGMKFDTIRSESAIIHAFPFNSEKKRGGVAVLRGDSEVFIHWKGAAEIVLACCTQYMDSNGTLQSIDSQKEFFRVAIDSMAKNSLRCVAIACRTQELNQVPKEQEDLDKWALPEDELILLAIVGIKDPCRPGVREAVRICTSAGVKVRMVTGDNLQTAKAIALECGILSSDTEAVEPTIIEGKVFRELSEKEREQVAKKITVMGRSSPNDKLLLVQALRKNGDVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAMSSGDVPLKAVQLLWVNLIMDTLGALALATEPPTDHLMHRTPVGRREPLITNIMWRNLLVQSFYQVAVLLVLNFAGLSILGLNHENHAHAVEVKNTMIFNAFVMCQIFNEFNARKPDEMNVFRGVSKNPLFVAIVGVTFILQILIVTFLGKFAHTVRLGWQLWLASILIGLVSWPLAIVGKLIPVPKTPMSVYFKKPFRKYKASRNA >fgenesh2_kg.3__2340__AT3G21190.1 pep chromosome:v.1.0:3:9363990:9366422:-1 gene:fgenesh2_kg.3__2340__AT3G21190.1 transcript:fgenesh2_kg.3__2340__AT3G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLRQVVAGILTITMFVMLGQMLHRDYFDSLQEKAQGDAQDIEFEGSKVSVKDGLVGTVEGSKGLWMEDNTDLNPCWPTLLSDEAVSSKGYVTFSLTNGPEYHISQISDAVMVAKHLGATLVLPDIRGSKPGDERNFEDIYDADKLIKSLENVVKVVKQLPEEVSLRNMAIVKVPTRVTEDYIKEHIDPIFKSKGNIRVASYFPSVNLRKSSQDGETDPVACLAMFGSLELQPEVNAVAESMVERLRTHSRKSGGRFIAVDLRIDILEKKNCHTTGVVGSKTCYNAQEIALFLRKLGFASDTTIYLTQPRWDSSLNILKDIFPKTFTKEAIMPASKRSKYLESESSEYENVIDFYISSRSDVFVPAISGLFYANTVGKRIALGKPQVLVPAEISETSGRATDFISPYISKKNHLAYSCFC >fgenesh2_kg.3__2342__AT3G21215.1 pep chromosome:v.1.0:3:9375209:9378394:-1 gene:fgenesh2_kg.3__2342__AT3G21215.1 transcript:fgenesh2_kg.3__2342__AT3G21215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGIHPYHQQWPPAGAPPPPAAVSSAAPPHPPPIHHHHPPPPVLVDNHNRPPYDELRTIFIAGLPDDVKERELLNLLRWLPGYEASQVNFKGEKPMGFALFSTAQYAMAAKDNLQHMVFDAESKSVIHTEMAKKNLFVKRGIVGDSNAYDQSKRLRTGGDCTHSVYSPSPFHPPPPPVWGPPHGYMAPAPPPYDPYAGYHAPPVPMPAPPPIAAPSSYVPVQNIKDNPPCNTLFIGNLGENINEEELRSLLSAQPGFKQMKILRQERHTVCFIEFEDVNSATNVHHNLQGAVIPSSGSIGMRIQYSKNPYGKRKEGGGYSFFPSPSANGAQGALTYQ >fgenesh2_kg.3__2343__AT3G21220.1 pep chromosome:v.1.0:3:9382678:9384153:1 gene:fgenesh2_kg.3__2343__AT3G21220.1 transcript:fgenesh2_kg.3__2343__AT3G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMKK5 [Source:UniProtKB/TrEMBL;Acc:D7L0Q4] MKPIQSPPGGVASPTKNRSRKRPDLSLPLPHRDVALAVPLPLPPPSSSSSAPASSSAISTNISAAKSLSELERVNRIGSGAGGTVYKVIHTPTSRPFALKVIYGNHEDTVRRQICREIEILRSVDHPNVVKCHEMFDHNGEIQVLLEFMDQGSLEGAHVWQEQELADLSRQILSGLAYLHRRHIVHRDIKPSNLLINSAKNVKIADFGVSRILAQTMDPCNSSVGTIAYMSPERINTDLNHGRYDGYAGDVWSLGVSILEFYLGRFPFNVSRQGDWASLMCAICMSQPPEAPVTASQEFRHFVSCCLQSDPPKRWSAQQLLQHPFILKASGGPNLRQMLPPPRPLPSAS >fgenesh2_kg.3__2344__AT3G21230.1 pep chromosome:v.1.0:3:9385107:9389392:-1 gene:fgenesh2_kg.3__2344__AT3G21230.1 transcript:fgenesh2_kg.3__2344__AT3G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQQQTHFLTKKTDQEEEEEEPSHDFIFRSKLPDIFIPNHLPLTDYVFHKFSADGDGDSSTTCIIDGATGRILTYADVQINMQRIAAGIHLFGIRHGDVVMLLLPNSPEFALSFLAVAYLGAVSTTANPFYTQPEIAKQAKASAAKMIITKKCLVDKLTTLKNDGVLIVCVDDDGDGVVSSTDDGCVSFTELTQADETELPKPEISPDDTVAMPYSSGTTGLPKGVMITHKGLVTSIAQKVDGENPNLHFTANDVILCFLPMFHIYALDALMLSAMRTGAALLIVPRFELNLVMELIQRYKVTVVPVAPPVVLALVKSPDTERYDLSSVRMMLSGAATLKKELEDAVRLKFPNAIFGQGYGMTESGTVAKSLAFAKNPFKTKSGACGTVIRNAEMKVVDTITGSSLPRNKSGEICVRGDQLMKGYLNDPEATARTIDKDGWLHTGDIGFVDDDDEIFIVDRLKELIKFKGYQVAPAELEALLISHPSIDDAAVVAMKDEVADEVPVAFVVRSEGSQLTEDDVKSYVNKQVVHYKRIKMVLFIEAIPKAVSGKILRKDLRAKLETEFSK >fgenesh2_kg.3__2348__AT3G21280.1 pep chromosome:v.1.0:3:9421903:9425836:-1 gene:fgenesh2_kg.3__2348__AT3G21280.1 transcript:fgenesh2_kg.3__2348__AT3G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 7 [Source:UniProtKB/TrEMBL;Acc:D7L0R1] MLTVSVKWQKKVFESIEVDTLQPPYVFKAQLYDLSGVPPERQKIMVKGGLLKDDADWSTLGLKNGQKLMMMGTADEILKAPENGPVFMEDLPEEEQAANLGYSAGLVNLGNTCYMNSTMQCLKSVPELKSELSNYQSARTKDVDQTSHMLTVATRELFSELDKSVKAVAPMPFWMVLQKKYPQFAQLHNGNHMQQDAEECWTQMLYTLSQSLKPPSPTEDPDAVKALFGLNLRSRLHCQESSEESSETESVFSLKCHISHEVNHLHEGLKHGLKGELEKTSPALGRTAVYIKESLIDSLPRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYDLCSEDLRKKLKAPRQKLRDIEGQKLGLQASAKSSSKGDDVKMTDAEGSSNGSGELSSGDQPGGASSHMTGIYDLVSVLTHKGRSADSGHYVSWVKQESGKWVQYDDANTSLQREEDITKLSGGGDWHMAYIVMYKARLISM >fgenesh2_kg.3__2349__AT3G21290.1 pep chromosome:v.1.0:3:9425904:9432464:1 gene:fgenesh2_kg.3__2349__AT3G21290.1 transcript:fgenesh2_kg.3__2349__AT3G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGSSKRGGRGGNGGGSGGPSRNRNSFPPPTNRHPSPVGRMSSGGGGGSAAAPRQRSNSTNGKATAATTVSSRTVEETFNLVPRESSSAFGMIIKLAPDLVEEIKRVEAQGGAAKIKFDAYPNNSTGNIINVGGKEFRFNWSRERGDLCDIYEEHQSGEDGNGLLIEAGCAWRKLNVQRTLDESTTSHMKMRSVEAEQRTKSRKTIVLDPGNPSLTKQLAHAEGSPWRMSNKQKKEPPPKKRKVDPPPVPVGGPKPSFRPGASTPTVKNRLSASPGPSPSNQFNTPPFGIGNIAKTHTANENVTPVQTKGREKMLEREPSTWKNNALRDTSGREATNVSKEIDLQSLLVDILKEAPMSLKALEKAVGDRIPNAAKKIEPILKKIANFQAPRYFLKPEAELESYKKHSPDNGSSPEHQQLLPITECSRDQLPVPGGNNMEKISLCEQNGEGSLDCLAVHLTEQLSTQENVDIEHHSPGLFHEEKRSENSEGQARSSSDSDSDSDNSDSGSDSKSAAGSDSGSSSDSEASSNSKDGSDEDVDIMSDGDREPLLTIQSAEQGAIDLPGHGSSAVEIEGHDSDAVDIDGHDSDAVDIDGHGSDAVDVEGNSSDEGHGSEADRKKLSGNSWKTETATGTSPPANEEVGISGQEHFASGHDNLRERQNFIGQLFDDTENTTKDNFKNDKRDISERLAKDQNQKTLDFEHYSQKSAREKNRKSQSCNQLPAISKDSQRSELKYDAELRNASASQTIDPLRGLQKSSIEKSNRHGKSNKHSDALGNVRKPDEGDHFPHEMLSSRSGKAFRDNQRDDVHLKNKFPRNKKDGESAIRPSLPTETSDRKHGELDGSDKDPKNVSGLSIGSSPLDSQRTYLAKSPKENGSVLQKQVSELELGELPEPLGEDTALKPLEDKTSFRQSNLKPSTSEKSGIDTDKRRSKKSDSKKSAPPHAVNCIKELPEHIVEDSERSQKWALQSHGQNLTGTDTEIGSQNKNLEDAAYKSRQKDSRARVGNSVEGYGETNKKTPVIKHGSKRASTSRSSRESKRHASVSNSINGHKDATSIPGDSVVREKRMTSFGEEDSSYLKYEKASPELKGPISDHLQYKAYMQEYIDKYDSYCSINKILESHRNDFQKLGQDLGFAKGRDVERYNKIVEQIKESYCKYGEWHKRLKKVFVVLHEELKELKQRMRDYASSHGKD >fgenesh2_kg.3__234__AT3G02875.1 pep chromosome:v.1.0:3:780846:783069:1 gene:fgenesh2_kg.3__234__AT3G02875.1 transcript:fgenesh2_kg.3__234__AT3G02875.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA amidohydrolase [Source:UniProtKB/TrEMBL;Acc:D7KZM0] MNFSESFFLFCVTFFFLPLLSLAGSYDSGSGLESLARGMLDSAKDPEFFEWMRGIRRKIHENPETGFQEFKTSQLVRDELGSLGVKYKYPVAKTGVVAWIGSGSMPVFGLRADMDALPLQELVEWESKSKVDGKMHACGHDTHVAMLLGAAKLLQNRKHLIKGTVKLVFQPGEEGYAGAYEMLKDEILDDLDGILSVHVFPSIPSGGIGSRPGTVLAGAGLFTVTVHGQGSHAATPHFSKDPVLAASSTVVALQQIVSREMDPLEAGVVTVGYIEGGHAQNVIPQSAKFGGTFRSLSNDGLLFIKRRIKEISEAQASVYRCKSEVNFEEKKPSLHPVMNNDEGLYEHGKKVAEAMIGKNNFHDFPVTMGGEDFSFFTQKTKAAIFVLGIKNETLGAGKPLHSPYFFVDEEALPVGAALHAAMAVSYLDKHGHGHDDEVKSEL >fgenesh2_kg.3__2352__AT3G21310.1 pep chromosome:v.1.0:3:9441927:9443755:1 gene:fgenesh2_kg.3__2352__AT3G21310.1 transcript:fgenesh2_kg.3__2352__AT3G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEEGIKDNAASAPNSRYTNQFKALLPMRVLQVFLLFFVLVLGISVISMHMIKYLNIQTLAPSTLISPYDERITLESFIKPPSSVWHSMNDSELLWRASMEPRILEYPYKRVPKMAFMFLTKGPLPFAPLWERFFNGHEGFYSIYVHALPDYRSDFPSSSVFYRRQIPSQPVAWGEMSMCDAERRLLANALLDISNEWFVLLSEACIPIRGFNFVYHYVSRSRYSFMGSVDEDGPYGRGRYSYAMGPEVSLSEWRKGSQWFEINRALAVEIVEDMVYYKKFKEFCRPPCYVDEHYFPTMLSIGYSDLLANRTLTWTDWSRGGAHPATFGKTDITERFLKKLSRGQACFYNDKPSQVCYLFARKFSPSALEPLLKLAPKVLGF >fgenesh2_kg.3__2354__AT3G21330.1 pep chromosome:v.1.0:3:9452253:9454194:1 gene:fgenesh2_kg.3__2354__AT3G21330.1 transcript:fgenesh2_kg.3__2354__AT3G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7L0R6] MEGLESVYAQAMYGMTRESKIMEHHQGSDLIWGGNELMARELCSSSSFHHQLINPNLSSCFMSDLGVIGEIQQQQHVGNRASSIDPSSLDCLLSATSNSNNTSTEDDEGISVLFSDCQTLWSFGGVSSAESENREVTNETTTTIKPKSLKRNRGGDGGTTETTTTTTTKPKYVKRNRGDETGSHFSLLHPQDDSEKGGFKLIYDENQSKSKKPRTEKERGGSSNISFQHSTCLSDNVEPDAEAIAQMKEMIYRAAAFRPVNFGLEIVEKPKRKNVKISTDPQTVAARQRRERISEKIRVLQTLVPGGTKMDTASMLDEAANYLKFLRAQVKALENLRPKLDQTNLSFSSAPSFPLFHPSFLPLQNPNQIHHPGC >fgenesh2_kg.3__2356__AT3G21350.1 pep chromosome:v.1.0:3:9462154:9465624:1 gene:fgenesh2_kg.3__2356__AT3G21350.1 transcript:fgenesh2_kg.3__2356__AT3G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLLSAATADTFNGNATDQIPPPSQPPGTDMTGISFRDQLWINSYPLDRNYIFDYFALSPFYDTTCNNEILRRRSIHPLDLSHLSKMTGLEYMLTDATEPNLFVFRKQKRDGPEKVTPMLTYYILDGSIYQAPQLCSVFAARVSRTIYNISKAFSVAASKLETIRQVDTENQNEPSESKPASETVDLKEMKRVDVILTSLYRKLAPAPPPPPFPEGYVSQEALGEKEELGTQGGESQPPQIDPIIDQGPAKRMKL >fgenesh2_kg.3__2359__AT3G21371.1 pep chromosome:v.1.0:3:9474823:9475024:1 gene:fgenesh2_kg.3__2359__AT3G21371.1 transcript:fgenesh2_kg.3__2359__AT3G21371.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L0S1] MHVRSTDRVMKFKAKIVFGGRQVYLHYYMQIDEFLKSKCLFVTLTIACTLSFLCNSLLFLRRNIRMC >fgenesh2_kg.3__2360__AT3G21380.1 pep chromosome:v.1.0:3:9475310:9477724:1 gene:fgenesh2_kg.3__2360__AT3G21380.1 transcript:fgenesh2_kg.3__2360__AT3G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMSWDDGKHMKVKRVQITYEDVINSIEAEYDGDTHNPHRHGTPGKKSDGVSLSPDEYITDVTGYYKTTGAEDAIAALAFKTNKTEYGPYGNKTRNQFSIHAPKDNQIAGFQGISSNVLNSIDVHFAPLPSPSSTSSSGLSQANKVDAQGGKGGTSWDDGAHDHVRRVYTGQGDSGVTYVKFEYEKDGKKESREHGKKTLLGAEVFEVDPDDYITSVEVQSDKIFGQDTEVITSLIFKTSKGKISPPFGLEGSQKYELKDKNGGKLVGFHGRVGELLHALGAYFAPSSGGSRTPSATQPAGSAQSAGSAGAKKLEAKGGNVGNPWDDGPHDGVRKVYIGQGDSGVSYVKFVYDKDSKEVPGNDHGKRTLLAPEEFVLEYPNEHITSVEINYDNIFGNEGEIITMLRFTTNKRTSPPFGLEGAKSVLLKEDGHKVVGFHGKAGADIIHQVGVHVKPISK >fgenesh2_kg.3__2364__AT3G21420.1 pep chromosome:v.1.0:3:9494226:9496168:1 gene:fgenesh2_kg.3__2364__AT3G21420.1 transcript:fgenesh2_kg.3__2364__AT3G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7L0S6] MAPLPISSIRVGQIDDVQELIKSKPNKVPERFIREEYERGVVVSSLKTHHLHHQIPVIDLSKLSKPHNDDFFFEILKLSQACEDWGFFQVINHGIEVEVVEDVEKVAKEFFDMPLEEKKKYPMEPGTVQGYGQAFIFSEDQKLDWCNMFALGVHPPQIRNPKLWPSKPARFSESLEGYSKEIRELCKRLLKYIALSLGLKEERFEEMFGEAVQAVRMNYYPPCSSPDLVLGLSPHSDGSALTVLQQSKNSCVGLQILKDNTWVPVKPLPNALVINIGDTIEVLSNGKYKSVEHRAVTNREKERLTIVTFYAPNYEVKIEPMSELVNDETNPCKYRSYNHGDYSYHYVSNKLQGKKSLDFAKILN >fgenesh2_kg.3__2365__AT3G21430.2 pep chromosome:v.1.0:3:9497366:9506329:-1 gene:fgenesh2_kg.3__2365__AT3G21430.2 transcript:fgenesh2_kg.3__2365__AT3G21430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Always early protein 3 [Source:UniProtKB/TrEMBL;Acc:D7L0S7] MAPSRSKKSKYKKKPRAKAISPHKDEDSMSKTKQRKRKLSDMLGPQWSKEELERFYEGYRKFGKEWKKVAAFVHSRSAEMVEALYTMNKAYLSLPEGTASVVGLNAMMTDHYSVLHGGSDSEQENNEGIETPRSAPKRSRVKSSDNPSIGLEGLSDRLQFRSSSGFMPSLKKRRTETVPRAVGKRTPRIPISYTLEKDTRERYLSPVKRGLNQKGDDTDDDMEHEIALALAEASQRGGSTKNSHTPNRKAKMYPPDKKGERMRADIDLAIAKLHATDMEDVRCEPSLGSTEADNGDYSGGRNDLMHGEGSSAVEKQQKGRTYYRRRLGIKEEDAKEACSGTDEAPSLGAPDEKFEPERDGKSLKFTYKVSRRKSKKSLFTADEDTACDALQTLADLSLMMPETATDTESSVQAEEKRAGKAYVSDFKGTDPASMSKSSSLRNSKQRRSGTNDLCDTEPERKSPSSSLIRKRRQKVLPAKVREDVLKDELAASSKVIEPCNNKGIGEENKPVGRGKRSASIRNSHEKKSVKPHDRTSSSNNIVEEDESAPSNAVIKKQVNLPTKVRSRRKIVTEKPLTIDDGKISETLEKFSHYTSSFRARRWCLFEWFYSAIDYPWFARQEFVEYLDHVGLGHVPRLTRVEWGVIRSSLGKPRRFSEQFLKEEKEKLYLYRDSVRKHYDELNTGMREGLPMDLARPLNVSQRVISLHPKSREIHDGSVLTVDHCRYRIQFDHPELGVEFVKDTECMPLNPLENMPASLARHYAFSNYNIQNPVEEKMHERAKESMLEGYSKLSCETGRLLPSPNYNVSNSLKQEKVDISSSNPQAQDGVDEALDLQLFNSQPSSIGHIQAREADVQALSELTRALDKKELVLRELKCMNDEVVESQKDGHNALKDSESFKKQYAAVLFQLSEINEQVSLALLGLRQRNTYQENVPYASTKRMNKSGEPDGQLTYVDNYVSDTNGFHVSEIVESSRIKARKMVYRAVQALALLRKDENNNVNMEEAIDFVNNQLSIDQTEGSSVQQTQGAQDQRLPSTPNPPSSTPANDSHLNPPDQNDLQIPSELVSRCMATLLMIQKCTERQFPPSEVAQVLDSAVASLQPCCSQNLPIYTEIQKCMGIIRNQILALVPS >fgenesh2_kg.3__2366__AT3G21460.1 pep chromosome:v.1.0:3:9513606:9514152:-1 gene:fgenesh2_kg.3__2366__AT3G21460.1 transcript:fgenesh2_kg.3__2366__AT3G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron carrier/ protein disulfide oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7L0S9] MDVVARLASQRAVVIFSKSTCCMSHAIKRLFYEQGVSPAIVEIDQDMYGKDIEWALARLGCSPTVPAVFVGGKFVGTANTVMTLHLNGSLKMLLKEAGALWL >fgenesh2_kg.3__2369__AT3G21500.2 pep chromosome:v.1.0:3:9533823:9537230:-1 gene:fgenesh2_kg.3__2369__AT3G21500.2 transcript:fgenesh2_kg.3__2369__AT3G21500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxyxylulose-5-phosphate synthase [Source:UniProtKB/TrEMBL;Acc:D7L0T6] MALSVFAFPSYIYRNPSIKYCKPTSMSSTKTSKVRATIAEKGEYYSSRPPTPLLDTINHPMHMKNLSIKELKVLSDELRSDVIFNVSKTGGHLGSNLGVVELTVALHYIFNTPQDKILWDVGHQSYPHKILTGRRGKMKTIRQTNGLSGYTNRRESEHDSFGTGHSSTTISAGLGMAVGRDLKGMNNSVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTANLDGPTPPVGALSCALSSGTGSTLFEELGFHYVGPVDGHNIDDLVSILEKVKSTKPIGPVLIHVVTEKGRGYPYAERADDKYHGVLKFDPATGKQFKNISKTQSYTSCFVEALTAEAEADKNIVAIHAAMGGGTMLNLFESRFPTRCFDVGIAEQHAVTFAAGLACEGLKPFCTIYSSFMQRAYDQVVHDVDLQKLPVRFAIDRAGLMGADGPTHCGAFDVTFMACLPNMIVMAPSDEAELFNMVATAAAIDDRPSCFRYHRGNGIGVSLPPGNKGVPLQIGKGRILREGERVALLGYGSAVQRCLEAASMLSERGLKITVADARFCKPLDVALIRSLVKSHEVLITVEEGSIGGFGSHVVQFLALDGLLDGKLKWRPMVLPDRYIEHGSPMDQLAEAGLTASHIAATALNLMGTPREALFWE >fgenesh2_kg.3__236__AT3G02890.1 pep chromosome:v.1.0:3:791083:795410:1 gene:fgenesh2_kg.3__236__AT3G02890.1 transcript:fgenesh2_kg.3__236__AT3G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSRPKMQSGTCNVCSAPCSSCMHRNAEFTSSKSDESPDENSHGVLASQCSFNGDNLLRSSGVNAPGSSHNTASEASHLVNSNRDTSSENAESMEIIRSSDISHGPILDRSRKEQDSIMVDSCSDHQARSTLGQGKVKEKSGANNIEDKKNTLTGSSKHSGRRVGKSGENVLLNNADESNTSAMSESESETDPEMLDVKVCDTCGDAGREDLLAICSRCSDGAEHTYCMRVMLKKVPKGYWLCEECKFAEEAEKQKLETKGKRESEVNRNTQSSSKRHIDKFETAPDSKRLAVEAPTGSPKRSVLPRVSALSRETSFKGLEKPARKLAHHSSFNSYSSDDTESTRSTDSQLRSPKGSFYKSNSFNSSSSRPKVRPVDDVMLPRQKTGKGNSSFDVKEGFSKNVGKSMSSRCIDVGSSSCNGSEVKGSKQLKDRSTVANPSVSISRGNSSIPYAKSPRDLKDLQSDGKQVGDSSKNEKCSSSEQISSETKCKDELAHVDGLPRSREFREAGEKSKDAVGNHQKQNISEDINKGNRLRAAVDAALRKKPSFSKNRGLEQSDLPSVSNVDSGCNKALKSLPSKVPVIRDWPVGFQGLPGGHPNLRTDKQTNAVNGNQSTLAGTDAMAAFQSVELEVNFPSVKPVMRDLPVAAPNVLSTTSAIPEHEYIWQGDLEVQKSRNLSAMHSGIQAYLSTSASPKVVEVVKQFPEKVTLNEVPRLSSWPAQFQDTGAKEQHVALFFFAKDIESYEKNYKPLVDNMIQKDLALKGSLEGVELLIFASNQLPQDCQRWNMLFFLWGVFRGKKESCSNPPKNTRLPASCVLPNMKKAFSTRETFHHENPSNRESLTDRTSNRMQSCMKEEKAKEGKACGAIEKENAFSVSYGERGVAEEIEEGEIGVSPHLKDEKTGPGTVKSSDMNQKVSVDDLNKEGLCEGPANKKLKTVTGVETGCSIVRRDTSVHKFASKRTSDI >fgenesh2_kg.3__2373__AT3G21530.1 pep chromosome:v.1.0:3:9544066:9545926:-1 gene:fgenesh2_kg.3__2373__AT3G21530.1 transcript:fgenesh2_kg.3__2373__AT3G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7L0T9] MLCVFRRKLGCFFSRIRWVIKKRVRARVIVRRFRKARWRARRKESPESEVSSIHLSSNSRRHIRVATFNVAMFSLAPVVQNIEETTFLGHIDSNNITCPSPKGILKQSPLHSSAVRKPKVCINLPDNEISLAQSYSFLSMVENDSDGKENRGSISMRSPVCLPSCWWDQESFNGYSSRRSIAELLRELDADILALQDVKAEEETLMKPLSDLASALGMKYVFAESWAPEYGNAILSKWPIKKWRVQRIADVDDFRNVLKVTVEVPWAGDVNVYCTQLDHLDENWRMKQIDAITRGDESPHILLGGLNSLDGSDYSIARWNHILKVKYYEDSGKPTPRVEVMRFLKGKGYLDSKEFAGECEPVVIIAKGQNVQGTCKYGTRVDYILASPESPYEFVPGSYSVVSSKGTSDHHIVKVDLVITKERSRGSFKHSRKKAKQKIFQIKANLMSGDTWKLGNLMSS >fgenesh2_kg.3__2374__AT3G21540.1 pep chromosome:v.1.0:3:9546844:9551683:-1 gene:fgenesh2_kg.3__2374__AT3G21540.1 transcript:fgenesh2_kg.3__2374__AT3G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7L0U0] MVKAYLRYEAASSFGVISSVDSNITYDSTGKHVLAPALEKVGIWHVRQGVCTKTLNPSSSRGGPSLAVTSIASSASSLVAVGYADGSIRIWDCEKGTCEVNFNSHKGAVTALRYNKVGSMLASGSKDNDVILWDVVGESGLFRLRGHRDQVTDLVFLDGGKKLVSSSKDKFLRVWDLETQHCMQIVSGHHSEVWSVDADPEERFVVTGSADQELRFYAVKEYSSHGSLVSDSNANEIKTGEEHSTENKWEILKPFGEIQRQTKDRVARVRFNVSGKLLACQMAGKTVEIFRVLDEAEAKKKAKRRLHRKEKKTSKVGDENLTANGEASTKIEQADTVSSPTVPDVFKLLQVIRAGRKISSFSFCPVTPKESLGTVALSLNNNSLEFYSLKSSENEKTVTIEHQGHRSDVRSVTLSEDNTLLMSTSHSEVKIWNPSTGSCLRTIDSGYGLCSLIVPQNKYGIVGTKSGVLEIIDIGSATKVEEVEAHGGTIWSITAIPNDNGFVTVSADHEVKFWDYQVKQKSGKATKQLTVSNVKSMKMNDDVLAVAISPDAKHIAVALLDSTVKVFYMDSLKFYLSLYGHKLPVMCIDISSDGELIVTGSQDKNLKIWGLDFGDCHKSIFAHGDSVMGVKFVRNTHYLFSIGKDRLVKYWDADKFELLLTLEGHHAEIWCLAVSNRGDFLVTGSHDRSMRRWDRSEEPFFLEVEKEKRLEELFESEIDNEDRHGPMEEIPEEGVAAALAGKKTIDILSAADSIIDALEVAEDEKNRHAAYEEEKTKGKVPEYLPNAVMFGLSPTDYVLRAISNVRTNDLEQTLLALPFSESLKFLCYMKDWSLIPEKVELVSRIATIILQTHHNQLVTTPSARPVLSVLRDILYSKIKACKDTIGFNLAAMDHVKQMMDSRSDAPFKDAKAKLMEIRSQQAKRMASRADTKMEKKRKKKQKKLEEGQHGHALF >fgenesh2_kg.3__2376__AT3G21560.1 pep chromosome:v.1.0:3:9557522:9559241:1 gene:fgenesh2_kg.3__2376__AT3G21560.1 transcript:fgenesh2_kg.3__2376__AT3G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L0U2] MELESSPPLPPLPPHVMLVSFPGQGHVNPLLRLGKLLASKGLLITFVTTESWGKKMRISNKIQDRVLKPVGKGYLRYDFFDDGLPEDDEASRTDLTILRPQLELVGKREIKNLVKRYKEVTKQPVTCLINNPFVSWVCDVAEDLQIPCAVLWVQSCACLAAYYYYHHNLVDFPTKTEPEIDVQIPGMPLLKPDEIPSFIHPSSPYSALREVIIDQIKRLHKTFSIFIDTFNSLEKNIIDHMSTLSLPGVIRPLGPLYKMAKTVAYDDVKGNISEPTDPCMEWLDSQPVSSVVYISFGTVAYLKQEQIDEIAYGVLNADVTFLWVIRQQALGFNKERHVLPEEVKGKGKIVEWCSQEKVLSHPSVACFVTHCGWNSTMEAVSSGVPTVCFPQWGDQVTDAVYMVDVWKTGVRLGRGEAEERLVPREEVAERLREVTKGEKAIELKKNALKWKEEAEAAVARGGSSDKNLEKFVEKLGVKPVGKVQNGSHNHVLAGSIKSF >fgenesh2_kg.3__237__AT3G02900.1 pep chromosome:v.1.0:3:796182:797826:1 gene:fgenesh2_kg.3__237__AT3G02900.1 transcript:fgenesh2_kg.3__237__AT3G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVAAPISFSGDSHVKEHRNFNAIRKSSTLTLKTKSNRSHKLSVSAGYRAGSKGGGSSDFVTGFLLGSAVFGTLAYIFAPQIRRSVLNENEYGFKKPEQPMYYDEGLEERREILNEKIGQLNSAIDNVSSRLKGGRSGSSKNSSSPSVPVETDAEAEA >fgenesh2_kg.3__2385__AT3G21630.1 pep chromosome:v.1.0:3:9582137:9585321:-1 gene:fgenesh2_kg.3__2385__AT3G21630.1 transcript:fgenesh2_kg.3__2385__AT3G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L0V0] MKLNSLIAPLLLLLFSSSFLFFAVESKCRNSCDLALASYYLANGTTLSAINQNLNSSIAPYDQVNFDPILRYNPNIRDKDRIQMGSRVLVPFPCECQPGDFLGHNFSYSVRQEDTYERVAIRNYANLTTVESLQARNPFPATNIPLSATLNVLVNCSCGDESVSKDFGLFVTYPLRPEDSLSSIARSSGVSADILQRYNPGVNFNSGNGIVYVPGRDPSGAFPAFKSSKQGGIGAGVIAGIVVGVIVALLLILFIIYYAYRKSKSKGDSYSSSIPLSTKADLASSTSLQSGGLGGTGVSPGIAAISVDKSVEFTLEELAKATDNFNLSFKIGQGGFGAVYYAELRGEKAAIKKMDMEASKQFLAELKVLTRVHHVNLVRLIGYCVEGSLFLVYEYVENGNLGQHLHGSGQEPLPWTKRVQIALDSARGLEYIHEHTVPVYVHRDIKSANILIDQNFRAKVADFGLTKLTEVGGSATRGAMGTFGYMAPETVYGEVSAKVDVYAFGVVLYELISAKGAVVKMTEAVGEFRGLVGVFEEAFKETDKEEALRKIIDPRLGDSYPFDSVYKMAELGKACTQENAQLRPSMRYIVVALSTLFSSTGNWDVANFQNDDLVSLMSGR >fgenesh2_kg.3__238__AT3G02910.1 pep chromosome:v.1.0:3:801687:802732:1 gene:fgenesh2_kg.3__238__AT3G02910.1 transcript:fgenesh2_kg.3__238__AT3G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0131 protein At3g02910 [Source:UniProtKB/TrEMBL;Acc:D7L0A7] MMPAKTTTTLVFTYGTLKRGFSNHVLMQDLIRSGDASFKGVYQTLDKYPLVCGPYRVPFLLNKPGSGHHVTGELYAVSPRGLSRLDELEGISRGHYVRQQIRLAAAEDLETETSSSSCVVEAYYAHKSYEEELWKRNRGRSFGAYTENEARGYVKRNDRPQHLSFLDHIRIFVSSPCD >fgenesh2_kg.3__2391__AT3G21690.1 pep chromosome:v.1.0:3:9611169:9615105:1 gene:fgenesh2_kg.3__2391__AT3G21690.1 transcript:fgenesh2_kg.3__2391__AT3G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7L0V6] MDSSQNDGVYQPLLHPQPSPPTTESSNGELETVLSDVETPLFLRLRKATTIESKLLFNLAAPAVIVYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGGRKYDMLGVYLQRSTVLLTLTGLLLTLIYVFSEPILLFLGESPAIASAASLFVYGLIPQIFAYAANFPIQKFLQSQSIVAPSAYISTATLFVHLLLSWLAVYKLGMGLLGASLVLSLSWWIIVVAQFVYIVTSERCRETWRGFSVQAFSGLWSFFKLSAASAVMLCLETWYFQILVLLAGLLENPELALDSLSICMTISGWVFMISVGFNAAISVRVSNELGAGNPKSAAFSVIIVNIYSLITSVILAIVILACRDVLSYAFTEGKEVSDAVSDLCPLLAVTLVLNGIQPVLSGVAVGCGWQTFVAKVNVGCYYIIGIPLGALFGFYFNFGAKGIWTGMIGGTVIQTFILAWVTFRTDWTKEVEEASKRLDKWSNKKQEVVPE >fgenesh2_kg.3__2392__AT3G21700.3 pep chromosome:v.1.0:3:9617322:9619351:1 gene:fgenesh2_kg.3__2392__AT3G21700.3 transcript:fgenesh2_kg.3__2392__AT3G21700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSCLKIVRINNLRNRVNRRILILRRFTRLLWSRIVACTPGKSRRYLLLSRAVPSPTVSRPSPPPPIPAVDVDVVVVGGGGEFVRRSSVVYDNDNSHRRSDSDLVSLKISLLGDPEIGKTSFLAKYVGEEKGVEMRELEKGINCTDKTLYMGGARISYSIWDLEGAERSRDQIPVACKDSVAILFMFDLTSRCTLNSVISWYQQARKSNQTAIPVMVGTKFDEFIQLPIDLQWTIASQARTYAKALNATLFFSSASYNINVNKIFKFVTAKLFDLPWTVERNLTIGEPIIDF >fgenesh2_kg.3__2396__AT3G21710.2 pep chromosome:v.1.0:3:9622150:9623580:1 gene:fgenesh2_kg.3__2396__AT3G21710.2 transcript:fgenesh2_kg.3__2396__AT3G21710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFSCNSYEQNHPHDDDIDIDAHDHDSHGGDHQEESGWTTYLDDFSNQYRTTHHEESDHQEKSSCSLLGASTSLVSDAATHAFSGKSFPVNFPANLKFGRGRTKKICEDDSLEDTASSPVNSPKVSQFEHIQTPPRKIEDYVSSSFVMGNIRGMRDHQIQIQEGDEQKMTLMRNLIEENNNNNNNNNNNNMDLRSRGLCVVPISMLANFNGRC >fgenesh2_kg.3__2397__AT3G21720.1 pep chromosome:v.1.0:3:9627526:9630960:-1 gene:fgenesh2_kg.3__2397__AT3G21720.1 transcript:fgenesh2_kg.3__2397__AT3G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate lyase [Source:UniProtKB/TrEMBL;Acc:D7L0V9] MAASFSVPSMIMEEEGRFEAEVAEVQTWWASERFKLTRRPYTARDVVALRGHLKQGYASNEMAKKLWRTLKSHQANGTASRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMSMSREERTKTPFVDYLKPIIADGDTGFGGTTATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAVSEHINRLVAARLQFDVMGTETVLVARTDAVAATLIQSNIDARDHQFILGVTNPSLRGKSLSSLLAEGMTVGKNGPALQSIEDQWLTSAGLMTFSEAVVQAIKRMNLNENEKNQRLNEWLTHARYENCLSNEQGRELAAKLGVTDLFWDWDLPRTREGFYRFQGSVAAAVVRGWAFAQIADIIWMETASPDLNECTQFSEGIKSKTPEVMLAYNLSPSFNWDASGMTDQQMVEFIPRIARLGYCWQFITLAGFHADALVVDTFAKDYARRGMLAYVERIQREERTHGVDTLAHQKWSGANYYDRYLKTVQGGISSTAAMGKGVTEEQFKESWTRPGADGMGEGTSLVVAKSRM >fgenesh2_kg.3__239__AT3G02920.1 pep chromosome:v.1.0:3:803495:806985:-1 gene:fgenesh2_kg.3__239__AT3G02920.1 transcript:fgenesh2_kg.3__239__AT3G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGEFDGNAAFAGGGFMPSQATTQAHDSSSSLKNRDVRTLLPLTLKQLSSASTTGESNFSIDGVDINTVAIVGRISRMENRITQVEFVVDDGTGWVDCVRWCQERQETEEMEAVKLGMYVRLHGHLKIFQGKRSVNVFSIRPVTDFNEIVHHFTECMYVHMYNTKLRGGSITQATTTPRPQMPYSTMPTPAKPYQTGPSNQFPNQFNDPMHGVKQTVLNYLNQPMHLVSEAGVHCDIIARDLRIPLPQVKDALEQLSNDGCIYSTMDETCFKSTANA >fgenesh2_kg.3__2402__AT3G21760.1 pep chromosome:v.1.0:3:9645084:9646610:1 gene:fgenesh2_kg.3__2402__AT3G21760.1 transcript:fgenesh2_kg.3__2402__AT3G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L0W4] MKMELVFIPSPGDGHLRPLVEVAKLLVDRDDHLSITIIIIPQMHGFSSGNSSSYIASLSSASEERLRYNVLSVADKPASDDSKPHFFDYIDGFKPQVKATVEKLTDPAQPESPPRIAGFVVDMFCMMMIDVANEFGVPSYMFYTSNATFLGLQVHVQYLYDVKNYDVSDLKDSDTTELEVPCLTRPLPVKCFPSVLLTKEWLPVMFSQTRRFRETKGILVNTFAELEPQAMKFFSGVDSPLPTVYTVGPVMNLKINGPKSSDDKQSEILRWLDEQPRTSVVFLCFGSMGGFREDQAKEIAIALERSGHRFLWSLRRAQPKGTMGPPGEFTNLEEILPEGFLERTAEIGKIIGWAPQSAILANPAIGGFVSHCGWNSTLESLWFGVPIATWPLYAEQQVNAFEMVEELGLAVEIRNSFRGDFMAADSELMTAEEIERGIRCLMEQDSDVRSRVKEMSDKSHVALMDGGSSHVALLKFIQDVTKNLS >fgenesh2_kg.3__2404__AT3G21800.1 pep chromosome:v.1.0:3:9670109:9671554:-1 gene:fgenesh2_kg.3__2404__AT3G21800.1 transcript:fgenesh2_kg.3__2404__AT3G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L0W9] MNKFELVFVPFPVIGHLRSTVEMAKLLVERENRLSISVIILPLLSGDDISSSAYIAALSAESNDRLRYVVIPGEDQPTVELHVENHIPKVKRAVAKLVDDYSKVPDSPRLAGLVVDMFCTSVIDVANEFSVPCYLFYTSNVGVLALGLHIQMLYDKKEYNATETDFEDSEVVLDVPSLTCPYPVKCLPYGLATKEWLPMFVHQARRFREMKGILVNTFADLEPYALESLHSSGDTPRAYPVGPLLHLENHVDGSKDEKGLEILRWLDDQPPKSVVFLCFGSVGGFREEQAREIAIALERSGHRFLWSLRRASQDLDKELPGEFTNLEEILPEGFFDRTKDKGKVIGWAPQMAVLAKPAVGGFVTHGGWNSILESLWFGVPIAPWPLYAEQKFNAFMMAEELGLAVKIRKCWRGDQLVGAASVTVMAEEIERGIRCLMEQDSDVRKRVKKMSEKCHVALKDGGSSQSALKIFIQDVTKNIA >fgenesh2_kg.3__2407__AT3G21865.1 pep chromosome:v.1.0:3:9696571:9698990:-1 gene:fgenesh2_kg.3__2407__AT3G21865.1 transcript:fgenesh2_kg.3__2407__AT3G21865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPSPSPTEEIVRLIKRLSAYVAFKMSSLFSTSSIRNLDSRSIGAIAGLAIAVIFTWRAIRTPGEHRQRRQPKRRINNAETSSAAAAAAQSNVASVLPEFSSPREDNAVQDVVDQFFQPVKPTLGQIVRQKLSEGRKVTCRLLGVILEETSPEELQKQATVRSSVLEVLLEITKYSDLYLMERVLDDESEAKVLQALENAGVFTSGGLVKDKVLFCSTEIGRTSFVRQLEPDWHIDTNPEISTQLARFIKYQLHVSTVKPERTAPNVFTSQSIEQFFGCV >fgenesh2_kg.3__2410__AT3G21890.1 pep chromosome:v.1.0:3:9715663:9716241:-1 gene:fgenesh2_kg.3__2410__AT3G21890.1 transcript:fgenesh2_kg.3__2410__AT3G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (B-box type) family protein [Source:UniProtKB/TrEMBL;Acc:D7L0X9] MCRGLIFNEEESRRSHGDGCRSLCTRPSVPVRCELCGGDASVFCEADSAFLCRKCDRWVHGANFLAWRHVRRVLCTSCQKLTRRCLVGDHDFHVVLPSVTTVGEATVESRSEQDNHEVPFVFL >fgenesh2_kg.3__2411__AT3G21900.1 pep chromosome:v.1.0:3:9723553:9724585:1 gene:fgenesh2_kg.3__2411__AT3G21900.1 transcript:fgenesh2_kg.3__2411__AT3G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSPVSTCFFLIPILAMVATQLVFMRTVSSLNMTNAYLNHKCLAKQGRYKPGSWYEKQLQTIIVSIGSGDGFTHGYDMMGLGDDSDYVSVTNQCRGDSYGSKCRSCFATAIAGGAIIWYDQCTVEISSFDPQGKFDKDNDFCMSNAKKMNVDSFGEKWMTFLDNLVGIALKDYLYAAGDTRFGTKKLYGMVQCRTDIYNNSCRKCVGHLAVKFQDCWHGKQGARVMGSGCNFRYELYPFVSSAKPNLK >fgenesh2_kg.3__2412__AT3G21910.1 pep chromosome:v.1.0:3:9725745:9726704:1 gene:fgenesh2_kg.3__2412__AT3G21910.1 transcript:fgenesh2_kg.3__2412__AT3G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSYLNHKCLVSQGKYKPGSVHEKSLEAIIHSISVGENVNSGYDMMSFGDGPDLVCVILQCRGDSYGSKCRSCFASAIAGLRRRCPRYKGGIIWFDQCLLEISSIDTVGQLNYDDSFCMSNAKNVGDNPYLFILKWDTLFDNISHANKPALYGAGEKRIGTKRKMYGMVQCTDDLSVKACQELVRKRGARVLGRSCTANETLGMNFTLLLMPKPVLILI >fgenesh2_kg.3__2413__AT3G21920.1 pep chromosome:v.1.0:3:9738810:9740534:1 gene:fgenesh2_kg.3__2413__AT3G21920.1 transcript:fgenesh2_kg.3__2413__AT3G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSSVSKGFVLYAIVVVVTTQLMLVRSVSSLNLTNSYLQHKCLVSLGKYKPGSDYEKSLDDIIQSFSNEDKNSYGYRAGFSMSAYGKEPDMVAITYQCRIDSRGPKCQSCVVTAGYELLRKRCPRDKGAIIWYDQCLVEFSSSDTSGQINYDDNFCMPSAKNLIGNSIPLEERLHLLNNLTKMAVTKIDKNIEGIKKPVLYAAGEKRLGTKNLYGMVQCSADLSVQGCNECMLYYIVHFQKCWKSKQGVRVLSRNCNFRYELYPFINPKGPYYTKF >fgenesh2_kg.3__2416__AT3G21950.1 pep chromosome:v.1.0:3:9747121:9748672:1 gene:fgenesh2_kg.3__2416__AT3G21950.1 transcript:fgenesh2_kg.3__2416__AT3G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine:carboxyl methyltransferase family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L1J0] MDFPGCIKVADLGCSSGENTFLVMSEIVNTIITTYQQKGQNPPEIDCCLNDLPDNDFNTTFKLIPSFHEKLKMNIKGKCFVSGSPGSFYTRLFPSKSLHFVHSSFCLHWLSKVPDGLEENKKNVYLRSPCPPSLYESYLNQFKNDFSTFLRMRAEETMPSGRMALTLVGRKTLDPLSKDCFKDWSLVSDSLLDLVSEGVVKESDLESFNLPYYNPDESEVKEVIDNEGSFEINNFETIFGLLFSYKTGRSEVKDDCDDDVDHSRRFEVVKKRANLARSIIEPMLVAHFGDAIIDRLFEKYIYHAGRRYDTLRNKPTVNFFVSL >fgenesh2_kg.3__2417__AT3G21970.1 pep chromosome:v.1.0:3:9763913:9765112:1 gene:fgenesh2_kg.3__2417__AT3G21970.1 transcript:fgenesh2_kg.3__2417__AT3G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNIFGSVPILVVVAIQLLLLHNVSSQNVTNEYLNHQCNNTQGKYTHGSTFEKNLNQVLHNISDLDLRYGYAYISNVVAYKVSKDPNIVFVLLQCRGDSFGSKCHSCLRTAVSGLRERCPGNRGATIWYDQCLLEISSVDSEGRIHYKSMFYMQNPKNVTNDPKRFEDKRRDLLHKLMLEATKDSKENAAKGLLYAVGEMRIGRNKMYAVVQCTQDLWQTGCHVCLEWITQMKYGEYFYRKPGGRVYGRSCSFRYELYPFLSR >fgenesh2_kg.3__241__AT3G02940.1 pep chromosome:v.1.0:3:819129:821242:1 gene:fgenesh2_kg.3__241__AT3G02940.1 transcript:fgenesh2_kg.3__241__AT3G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB107 [Source:UniProtKB/TrEMBL;Acc:D7L0B1] MGRSPCCDESGLKKGPWTPEEDQKLINHIRKHGHGSWRALPKQAGLNRCGKSCRLRWTNYLRPDIKRGNFTAEEEQTIINLHSLLGNKWSSIAGHLPGRTDNEIKNYWNTHIRKKLIQMGIDPVTHRPRTDHLNVLAALPQLLAAANFNNLLNLNQNIQLDATSVAKAQLLHSMIQVLSSNNTSSSFDIHHTNNNLFGQSSFLENLPNISENPYDQTQSLSHIDNQSLDPFSSPIRVVAHQLDQNLIPPLISASPDESKETQMMVKNKEIMKHNDHTSNPSSTSTFTQDHQPWCDIIDDEASDSYWKEIIEQTCSEPWPFRE >fgenesh2_kg.3__2421__AT3G22060.1 pep chromosome:v.1.0:3:9776111:9782928:1 gene:fgenesh2_kg.3__2421__AT3G22060.1 transcript:fgenesh2_kg.3__2421__AT3G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKRIVWFPILIVAIQILSIHTVLSQSQNNAFLFHKCSDIEGSFTSKSPYESNLNNLFPQLSYKVPSTGFATSSAGITPDNVNGLALCRGDASSSDCSSCLATAIPEIRQRCPSNKAGIIWYDNCLVKYSSTNFFGKIDFENRFYLYNVNNVSDPSTFNTQTKALLTKLTKKATTGDNQKLFATGEKNIGMKKLYGLVQCTRDLKSEACKACLNGIIGELPNCCDGKEGGRVVGGSCNFRYEIYPFVNAA >fgenesh2_kg.3__2423__AT3G22070.1 pep chromosome:v.1.0:3:9790789:9791420:-1 gene:fgenesh2_kg.3__2423__AT3G22070.1 transcript:fgenesh2_kg.3__2423__AT3G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L1K0] MLCYVGKATKIFIFIVTVAVVIGLVVVFGVVRRHSHHCSGDNCSSSTDPSSSTSPFITPFPNPNPNPNPNPNSPVLGSSPPAPPDSSSSPNPSTPISPNPPSPIINPNPPPPSTPNPNPNPPPQFSPPPPDSETTTAPPPPSTDIPIPPPPPAPVSASPPLTPPSSVVTSPAPVHAKLVND >fgenesh2_kg.3__2425__AT3G22090.1 pep chromosome:v.1.0:3:9797067:9797730:1 gene:fgenesh2_kg.3__2425__AT3G22090.1 transcript:fgenesh2_kg.3__2425__AT3G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPIHFLINSPHESSDEEYNGFEISSSEDESMEDESSELENTNGGSHSSPLSNLVNEESESDGTEEEFWVKYPYLKELVEIIVAQGLISEEVAFERVKLIGDDKAKAKELNDGWKALCLKEQDLGNQMLELLETLKI >fgenesh2_kg.3__2426__AT3G22104.1 pep chromosome:v.1.0:3:9806059:9808819:1 gene:fgenesh2_kg.3__2426__AT3G22104.1 transcript:fgenesh2_kg.3__2426__AT3G22104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACCSDLEVDINGEETIFLNKQIICAYSGTLRKLLGKSTCSNGNLKVIFNDFPGGGESFELVSRFCYNNGRLAVMPSNVVFLHCAAKFMEVTKVLEQTEKCMEEIRYWAWPEVLLCLKQCQEVETSPVADSLAAKLMDALVEKLCLTIEMSPSTCFEFSCDSKTTLDNLLVTSPSKSSHLYYVNLVLRFTKAFLDGERGGLQLKKVSSLIDQYIAEVAPDPCLKPSKFLSLLTLVPDSARESHEEIYRAIDMYLEAHTGLTDGEKLNLIRTLSYEKLSGESRAHISRNTKFQAIETLDEQQQQPKQLILRMEKVEISGENEKLKEHIEGIQWRVMELERACLKMQNQMEVIKKKSKSSSKGSNRSLPKLCS >fgenesh2_kg.3__2427__AT3G22110.1 pep chromosome:v.1.0:3:9809139:9810828:-1 gene:fgenesh2_kg.3__2427__AT3G22110.1 transcript:fgenesh2_kg.3__2427__AT3G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome endopeptidase complex [Source:UniProtKB/TrEMBL;Acc:D7L1K6] MLLVGLLPPFCFMRKLQFFFCFCSRLYQVEYAMEAIGNAGSAIGILSTDGVVLIGEKKVTSKLLQTSTSAEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYTFMYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYGGWKAAAVGANNQAAQSILKQDYKDDATREEAVELALKVLTKTMDSTSLTSEKLELAEVYLTPSGNVKYHVHSPESLTKLLVKHGVTQPAAETS >fgenesh2_kg.3__2428__AT3G22150.1 pep chromosome:v.1.0:3:9835615:9838164:1 gene:fgenesh2_kg.3__2428__AT3G22150.1 transcript:fgenesh2_kg.3__2428__AT3G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L1K9] MAGSALPLPPPPPLSLQSPSQNQTRHSSTFSPPTLTPQTPSIRSRLSKICQEGNPQLARQLFDAIPKPTTVLWNTIIIGFICNNLPHEALLFYSRMKKTAPFTKCDAYTYSSTLKACAETKNLKAGKAVHCHLIRCLQNSSRVVHNSLMNMYVSCLNAPGSELDCFEYDVVRKVFDNMRRKNVVAWNTLISWYVKTGRNAEACRQFAIMMRMEIKPSPVSFVNVFPAVATSRSIKKANVFYGLMLKLGDEYVKDLFVVSSAISMYAELGDLESSRRVFDSCVERNIEVWNTMIGVYVQNDCLVESIELFLEAIGSKEIVSDEVTFLLAASAVSGLQQVELGRQFHGFVSKNFRELPIVIINSLMVMYSRCGFVQKSFGVFHSMRERDVVSWNTMISAFVQNGLDDEGLMLVYEMQKQGFKIDYITVTALLSAASNLRNKEIGKQTHGFLIRQGIQFEGMNSYLIDMYAKSGLIRISQKLFEGSGYAERDQATWNSMISGYTQNGHTEETFLVFRKMLEQNIRPNAVTVASILPACSQVGSVDLGKQLHGFSIRQYLDQNVFVASALVDMYSKAGAIKYAENMFSQTKERNSVTYTTMILGYGQHGMGERAISLFLSMQELGIKPDAIAFVAVLSACSYSGLVDEGLKIFEDMREVYNIQPSSEHYCCITDMLGRVGRVNEAYEFVKGLGEEGNIAELWGSLLGSCRLHGELELAETVSERLAKLDKGKNFSGYEVLLSNMYAEEQNWKSVDRVRKGMREKGLKKEVGRSGIEVAGHVNCFVSRDQEHPHSGEIYDAIDGLAKNMRGDSFLTTLPIVTPSLELDE >fgenesh2_kg.3__2433__AT3G22180.1 pep chromosome:v.1.0:3:9859732:9863708:1 gene:fgenesh2_kg.3__2433__AT3G22180.1 transcript:fgenesh2_kg.3__2433__AT3G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L1L3] MVRKHGWQLPAHTLQVIAITVFCLLVVAFYAFFAPFVGGRIWEYVLIGVYSPVAILVLVLYVRCTAINPADPRIMSIFDTGVNRDDMVGALSRNFDEAGSQLQASPSVVSRSSTVAGNSSVKGSVGDSQRVESVRRRSCYNPLAIFCYVFVLEDCRKQEGAAEQEGNSEEALFCTLCNSEVRKFSKHCRSCDKCVDCFDHHCKWLNNCVGRKNYVTFVSLMSASLLWLVIEAAVGIAVIVRVFVNKQSMETEIVNRLGNSFSRAPLAAVVGLCTAVAILACFPLGELLFFHMLLIKKGITTYEYVVAMRAMSEAPDGASVDEEIQNVLYSPTGSATTGFSGGSSLGLPYKGVWCTPPRVFDNQDEVIPHLDPRMVPSTVDPDAPGSEKGTKALKRPVKRNAWKLAKLDPNEAARAAARARASSSVLRPIDNRHLPDSELSSIGTVSIISSVSTDANVAANKEIRNNDLRSSLSRNSFAPSQGSRDEYDTGSHGMSNLSSPSHVHESVTLAPLPQNPTIVGNRFTATNHHMHSTFDDKVLHRGNDADPLFLSAPATSHLRDVRKTSVVWDPEAGRYVSAPVTTTSEVCNRLLNPSSQTANSQNPRPILPAHDSSSGSSTLRDPLPMHQAERRLTYTGDSIFYGGPLINIPTRDTPRSGRGLVREVQDRLASTVHRDARFRKDSTSNQLPVFAPGGLGTNSGSNIK >fgenesh2_kg.3__2435__AT3G22200.1 pep chromosome:v.1.0:3:9870373:9874166:1 gene:fgenesh2_kg.3__2435__AT3G22200.1 transcript:fgenesh2_kg.3__2435__AT3G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-aminobutyrate transaminase subunit [Source:UniProtKB/TrEMBL;Acc:D7L1L5] MVVINSLRRLARTTQVNLQSRYATCMSGNSTSRRIFTTEASPEKLNSAGSKGHDMLAPFTAGWQSTDLDPLVIAKSEGSYVYDANGKKYLDSLAGLWCTALGGNEPRLVSAAVKQLNTLPFYHSFWNRTTKPSLDLAKDLLEMFTANKMAKAFFTSGGSDANDTQVKLVWYYNNALGRPEKKKFIARNKSYHGSTLISASLSGLPPLHHNFDLPAPFVLHTDCPHYWRFHLPGETEEEFSTRLAKNLEDLIIKEGPETIGAFIAEPVMGAGGVIPPPATYFEKVQAVVKKYDILFIADEVICAFGRLGTMFGCDKYNIKPDLVSLAKALSSAYMPIGAILMSQEVADVIYSQSNKLGIFSHGFTYSGHPVSCAVAIEALKIYKERNIPEYVAKVAPRFQDGLKAFASSSPIIGEIRGTGLILGTEFVDNKSPNEPFPPEWGVGAYFGAECQKRGMLVRVAGDSIMMSPPLIISPEEIDELISIYGKALKATEEKVKELKTQHKK >fgenesh2_kg.3__2437__AT3G22230.1 pep chromosome:v.1.0:3:9881255:9881872:-1 gene:fgenesh2_kg.3__2437__AT3G22230.1 transcript:fgenesh2_kg.3__2437__AT3G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L27 [Source:UniProtKB/TrEMBL;Acc:D7L1L7] MVKFLKQNKAVILLQGRYAGKKAVIIKSFDDGTSDRRYGHCLVAGLKKYPSKVIRKDSAKKTAKKSRVKCFIKLVNYQHLMPTRYTLDVDLKEVATLDALKSKDKKVTALKEAKAKLEERFKTGKNRWFFTKLRF >fgenesh2_kg.3__2439__AT3G22260.1 pep chromosome:v.1.0:3:9930679:9933335:1 gene:fgenesh2_kg.3__2439__AT3G22260.1 transcript:fgenesh2_kg.3__2439__AT3G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENNRNPFASASTSARASGSTSASSNSSFSSSVADTDDDQTIARILAEDESLRREGKLGKRLSHLDSIPHTPRVNREIPDINDATLDHELLSGRLATYGLAELQMEGDGNCQFRALADQLFRNADYHKHVRKHVVKQLKQQRKLYEEYVPMKYRHYTRKMKKHGEWGDHVTLQAAADRFEAKICLVTSFRDQSYIEILPHNKNPLREAWLSFWSEVHYNSLYANGDVPTRKPRRKHWLF >fgenesh2_kg.3__243__AT3G02960.1 pep chromosome:v.1.0:3:824424:825774:-1 gene:fgenesh2_kg.3__243__AT3G02960.1 transcript:fgenesh2_kg.3__243__AT3G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKQNGESDNKSEKKNQKNGDSSVDKSDKKNQCKQIVLKVYMHCEGCASQVSHCLRGYDGVEQIKTEIGDNKVVVSGKFDDPLKILRRVQKKFSKNAELISPKPNPKQDHQKEPQQKKESAPQIKTAILKMNMHCEGCVHEIKRGIEKIKGIQSVEPDRSKSTVVVRGVMDPPKLVEKIKKKLGKHAELLSQTREKGKDNNNNNHKNEDSDGNKIFSYPPQYSSQHAYPSQIFSDENVHSCSIM >fgenesh2_kg.3__2441__AT3G22270.1 pep chromosome:v.1.0:3:9934088:9938519:1 gene:fgenesh2_kg.3__2441__AT3G22270.1 transcript:fgenesh2_kg.3__2441__AT3G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSDSRDLYNFVRASSLDKNSTLFDASQYEFFGQNLDDMEIGGLDDDGVIAPVLGHADDDEYHLFDKGEGAGLGSLSDMDDLATTFAKLNRVVTGPKHPGVIGDRGSGSFSRESSSATDWTHDAELTSWLDEQDQEAKRWSSQPQSFAHSKPLYRTSSYPQQQPQLQHYNSEPIILPESNFTSFPPSGSRSPQASPGNLHRAPSLPGGSQLTYSAPSPLSNSGFHLSGLSQGPHYGGNLARYASCGPTLGNMVQPHWVTDPGLLHGDHSGLLHNLVQQQHQQLPPRNAIMSQHLLALQQRQSYAQLAALQSQLYSSYPSPSRKVPFGVGEVREHKHKSSHRSRKNRGLSQQASDAASQKSESGLQFRSKHMTSEEIEGILKMQHSNSHSNDPYVNDYYHQAKLAKKSAGSKAISHFYPAQLKDHQPRSRNSSEQHPQVHVDALGKITLPSVRRPHALLEVDSSPGFNDGSGDHKGSGKHLEQEPLVAARVTIEDALGVLIDIVDIDRTLQNTRPQDGGAQLKRKRQILLEGLATALQLADPFSKTGQKSGMTAKDDIVFLRIATLPKGRKLVTKYLQLIVPGTEIARVVCMAIFRHLRFLFGGLPSDTLAAETISNLAKAVTVCVQAMDLRALSACLAAVVCSSEQPPLRPIGSSAGDGASVVLISLLERAAEVVVVPRVMHGNSNDGLWRASFDEFFNLLTKYCRSKYETIRGQNQGSAADVLELAIKREMPAELLRASLRHTNDDQRNYLLNFGHKPSAISESASHARGGQINSESVRG >fgenesh2_kg.3__2442__AT3G22275.1 pep chromosome:v.1.0:3:9939252:9939901:-1 gene:fgenesh2_kg.3__2442__AT3G22275.1 transcript:fgenesh2_kg.3__2442__AT3G22275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGCSLDLHLSPLASTLQSCRQDSTVNDHSSAIRSKEINAFHSGRLSEYDLVEIQIRAIIEMASKERDVTALELAPVRLESPLGCSVKRSVKRFLEKRKKRSKSFTLTPNTSTSSTSSSPHNF >fgenesh2_kg.3__2443__AT3G22290.1 pep chromosome:v.1.0:3:9943662:9947656:1 gene:fgenesh2_kg.3__2443__AT3G22290.1 transcript:fgenesh2_kg.3__2443__AT3G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQALRSIDAFPRAEDHLLQKTQSGAVVSIVGLLIMATLFLHELSYYLNTLTVHQMSVDLKRGETLPIHVNMTFPSLPCDVLSVDAIDMSGKHEVDLDTNIWKLRLNSHGHIIGTEYISDLVEKGHEHGHSPHKHDGKEEHKNETETEALNILGFDQAAETMIKKVKQALADGEGCRVYGVLDVQRVAGNFHISVHGLNIYVAQMIFGGSKNVNVSHMIHDLSFGPKYPGIHNPLDDTNRILHDTSGTFKYYIKIVPTEYRYLSKDVLSTNQYSVTEYYTPMTEFDRTWPAVYFLYDLSPITVTIKEERRSFLHLITRLCAVLGGTFALTGMLDRWMFRLIESFNKKSSTRA >fgenesh2_kg.3__2444__AT3G22300.1 pep chromosome:v.1.0:3:9947792:9948892:1 gene:fgenesh2_kg.3__2444__AT3G22300.1 transcript:fgenesh2_kg.3__2444__AT3G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGVLRRSSLPSRQTLSAALTSFDSCLSHHFTSATTGVSVSSRFTLASSPNSFGIGAREFHIRSEPSMIFPAGIAPQGYATVTRDRKNEIKKAKLKISPDNVRPLSRKEIALQKEAAEESTSKIKGTKICIAIRSFDNPEKQAWCLPPHTRKVAMPDTRTLYTVLRSPHVDKKSREQFEMRFKKRFLVIKAQSHELSKKLFWLKRYRILGAQYELQFHCKTRLDMTQVLGNINGSSNTQ >fgenesh2_kg.3__2445__AT3G22310.1 pep chromosome:v.1.0:3:9949423:9952174:1 gene:fgenesh2_kg.3__2445__AT3G22310.1 transcript:fgenesh2_kg.3__2445__AT3G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTVLHRSFLGTSRRTLAASVTSINAALFHNLAPAAAATATVSDLANGATNVKSLPLNSNPFGVKGRDFHVKSVPSEFRSSIVSPAGFAAQEYAPSYENSDGGMGDSESVGSSSGGDGLAISELGISPEIVKALKGRGIEKLFPIQKAVLEPAMQGRDMIGRARTGTGKTLAFGIPVIDKIIKFNAKHGRGKNPQCLVLAPTRELARQVEKEFRESAPSLDTICLYGGTPIGQQMRELNYGIDVAVGTPGRIIDLMKRGALNLSEVQFVVLDEADQMLQVGFAEDVEIILQKLPEKRQSMMFSATMPSWIRSLTKKYLNNPLTIDLVGDSDQKLADGITMYSIAADSYGRASIIGPLVNEHGKGGKCIVFTQTKRDADRLAFGLAKSFKCEALHGDISQAQRERTLAGFRDGNFNILVATDVAARGLDVPNVDLVIHYELPNNTETFVHRTGRTGRAGKKGSAILIHGQEQSRAVKMIEKEVGSRFNELPSIAVERGSASMFEGVGARSGGSFGGGRSGGGYGGYGSSSGRSGGGGGSYGGYGGSSGRSGGGGGSYGSSGGPSSRYSGGSDRSSGFGGFGSGGSDRSSGGFGSSQSSGRSSFGGFGSNDGKRSY >fgenesh2_kg.3__2446__AT3G22320.1 pep chromosome:v.1.0:3:9955132:9956644:-1 gene:fgenesh2_kg.3__2446__AT3G22320.1 transcript:fgenesh2_kg.3__2446__AT3G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRPABC24.3 [Source:UniProtKB/TrEMBL;Acc:D7L1N3] MLTEEEIKRLYRIQKTLMQMLKDRGYFIADSELTMTKQQFIRKHGDNMKREDLVTLKVKRNDNSDQLYIFFPDEAKVGVKTMKMYTNRMKSENVYRAILVVQQNLTPFARTCISEISSKFHLEVFQEAEMLVNIKEHVLVPEHQVLTTEEKKTLLERYTVKETQLPRIQVTDPIARYFGLKRGQVVKIIRPSETAGRYVTYRYVV >fgenesh2_kg.3__2447__AT3G22330.1 pep chromosome:v.1.0:3:9956832:9959603:1 gene:fgenesh2_kg.3__2447__AT3G22330.1 transcript:fgenesh2_kg.3__2447__AT3G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTVLRRSLLDASKRNLSASLTSINAVLFHHLAPAAARVSDLAVIGSSDVKPGFLSGVKAREFHFESGPLEFRASMVSSAGFAISESSERRVGDSESDGLAISELGISPEIVKALSSKGIEKLFPIQKAVLEPAMEGRDMIGRARTGTGKTLAFGIPIIDKIIKYNAKHGRGRNPLCLVLAPTRELARQVEKEFRESAPSLDTICLYGGTPIGQQMRQLDYGVDVAVGTPGRVIDLMKRGALNLSEVQFVVLDEADQMLQVGFAEDVEIILEKLPEKRQSMMFSATMPSWIRSLTKKYLNNPLTIDLVGDSDQKLADGITTYSILADSYGRASIIGPLVTEHAKGGKCIVFTQTKRDADRLSYALARSFKCEALHGDISQSQRERTLAGFRDGHFNILVATDVAARGLDVPNVDLIIHYELPNNTETFVHRTGRTGRAGKKGSAILIYSQDQSRAVKIIEREVGSRFTELPSIAVERGSASMFEGIGSRSGGSFGGGMRDRGSSFGGRSGGGYGGSSGGYGGGRSGGSSNRYSGDSDRSGFGSFGMRSPEGYGSDRSSQSGGRSSFGGGRSGGSSNNRSSGFGDFGSDRSSQSGGRSSFGGFGSNDGKRSY >fgenesh2_kg.3__2448__AT3G22345.1 pep chromosome:v.1.0:3:9964404:9965682:1 gene:fgenesh2_kg.3__2448__AT3G22345.1 transcript:fgenesh2_kg.3__2448__AT3G22345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPKKKNLISSDNDTEWSPVLAPDLIRSILERLNFVEFHRARSISSDWYSTAELCYSQNPTPWLILFSNYSHISCKLFDPLHDKTYVIRDLGFDFHRSRCLATSGSWFLMLDHRTDFYLLNLFTRERIRLPTLEAMDGRQMKFERVGESDFLKTFTYQGGYGSYSSGESTKVIIENAVLWVDERSRDYLVVWSLECFFAYHKKGDNNNSWKVFQLKKNQGCSDMVFRESKLYVLSPSLNITVLDFSSCDSPNECASFTTPDGLTLFPLSCFGHLVITLSGEVLMIKPSRFGIPFFDVYKMDPKSSKWREIYSLGNEALLLDLGTTIAAKDSS >fgenesh2_kg.3__244__AT3G02970.1 pep chromosome:v.1.0:3:826267:827692:-1 gene:fgenesh2_kg.3__244__AT3G02970.1 transcript:fgenesh2_kg.3__244__AT3G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLSVTIFLLLAPLCLSRESPSQIPNGTLDLSLLWYGQFTPIQKERVQDFIESLNFDAKEGLDPKVSAWWKVVESYQERFEVKDIYRQKKSNRTVAPRIKVKVVRSYVDEKMKYGKELTIGNAEKLVETAIGNMSKVVPVVLLSAQVRAIGVGFCNGTCQHNALAKIKGQNEPRPYIMVSNPEDECPGECAWPFHTADKGPRGMTYQPPSGEVGADALVIQLATGLADLATNPTLTEFLFKSETTPYNDDGKRNHVSSIYIADPATKCTRVFGSGAFPGFTGRIRVDPVTGGAFNSHGINHLKFLIPSIWDPKTKSCWTPM >fgenesh2_kg.3__2450__AT3G22370.1 pep chromosome:v.1.0:3:9973116:9974861:1 gene:fgenesh2_kg.3__2450__AT3G22370.1 transcript:fgenesh2_kg.3__2450__AT3G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:D7L1N9] MMMTRGGAKAAKSLLTAAKPRLFSTIRTISSHEALSASHLLKPDVASTWIWTRAPTIGGMRFASTITLGEKTPTKEEDANQKKTEKESTGGDAAGGNNKGEKGIASYWGVEPNKITKEDGSEWKWNCFRPWETYNADLTIDLKKHHVPTTFLDRLAYWTVKSLRWPTDLFFQRRYGCRAMMLETVAAVPGMVGGMLLHCKSLRRFEQSGGWIKALLEEAENERMHLMTFMEVAKPKWYERALVITVQGVFFNAYFLGYLISPKFAHRMVGYLEEEAIHSYTEFLKELDKGNIENVPAPAIAIDYWRLPADATLRDVVIVVRADEAHHRDVNHFASDIHYQGRELKEAPAPIGYH >fgenesh2_kg.3__2451__AT3G22380.1 pep chromosome:v.1.0:3:9980955:9987478:1 gene:fgenesh2_kg.3__2451__AT3G22380.1 transcript:fgenesh2_kg.3__2451__AT3G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Time for coffee [Source:UniProtKB/TrEMBL;Acc:D7L1P0] MAAAGNGLSRRRHRAGSFRDSPEEEGPVELPEAARLRDRGGSNKKDRDRERDRDRERERERDRERDRLNSRSKRRRGERLMMVHGNLDDGGDDSSEESVNDDEEYDDGGAVGPPSSLKMLPPTSNNISAASFSSSLSNHHNGGSGNLHHHHNHHNNHQRKNFPPTKVFRSSPSPAPVSPLVSTWKAADEMIGVSVPRKARSACTKRPHESWSSSTTGGGVFASGEQIHRQISSTSPANRVSPASILASPSPPAPTSPSSSSISVRKKLSSGTKQKTLPPKSSSCKLSSSVAVQDEIEIEIAEVLYGMMRMPLATSKQESAGNDLTEAAKPTVEVKSRVSSPISNPHTLPQSSITLATNSSSSNVSAIAPKRKKPRHVKYEDDNSSRITTIKSEAEAPSKSQVPFGDQLKSSGSGEGNSSVLDSIIPQTRESNASLDSRSAEKKENKLSKDETILPKVESSSGFRSDGDGTKSSSPEKAKFEIDLMAPPPVRSSSERGGEMMECVAAEAKPKVTEVETEAKPLLKEDRGDAAFHDPQEKKRPRTVVEAEHHKYERNCELKLDLDQSDHVGLVNKLHVQKQPPQQQLSVPDKTTQGSHLPLHMSMPGWPGGLPTMGYMAPTQGVVPTDASSLSAAAMQPPPHLLFNQPRPKRCATHCYIARNIQSHQQFTKMNPFWPAAAGSAPLYGTKACNLSLTPPTELQGSVLGRSSNPVQDKNSQSTSKSSDTAQRNQLLLQQALPPGAANNILHGPTFIFPLGQQPHTAATIAAASVRPPNSGITSSGPTATSNSMNGSVSATPAGAPTMSFSYPAMPGNETQYLAILQNNGYPFPVPAHVGAPPAYRGAPGQPMPFFNGSFYSSQMIQPPHHQPQKQQQQQQTGQMLQSHAPNNQNGSVSTGSSAAQKHLQNQQLRPPINHGNSQGFPTHKVQSQPLSFQQRQQPRDNATQHSETVGEDSPSTADSRGSRSNVAYGQNYGMQMQPTNLGLMSSPAPGGGVVGSSSSHGEKKPQQQVSKAGAESFQSQGYAMTFATFNGANTATALNMSSVAQNQAMFHSMPEAARQGYQMMAVAQAAQQKMNYSASQEDGKSGSIGAATANTPEEQRKSGGGTTGKASGGNGGQSIAFSNKHDLADASVSAVTSGSIVDSSSRLLNLGSALPQSSGSMPPSHHHQQLLQQQQQQQQQHMQRSQSQQPYTTMYLQKQQRYATSVAASAARTKGPVASNGSGFPDHNITTSPAATTKFANANTGFPQNLVQSSSNQVQSPQWKNNSPRTTNSTQAQSPSILSPSSSVAAASSLRNVSHKQQSRPQQSQISFAANSKPMASGSPMQQVQGGTNHQAPSPPMLVGSPSTSSVSKNASGSPRTTASASSAANKAGQASSTTHSSSQPSKNLQSASVASSAGGRNNGPSVLGNPTTSSGSKSQQQHQLPKHGMQPQAQLFFSNPYMQAQHQHQQQQITISPSGGYYIQRHQQQSGSAPAAVATCPPVTGAVSATSDPAKALAAAAAANNMKGGSGMGKTQQHQLGPPGFTNVHAVPSAVQVKPVDQKQQAGE >fgenesh2_kg.3__2453__AT3G22400.1 pep chromosome:v.1.0:3:9995986:10000404:1 gene:fgenesh2_kg.3__2453__AT3G22400.1 transcript:fgenesh2_kg.3__2453__AT3G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:D7L1P1] MEEDVKKTTMKIEGEVVVMKKNLLDFKDVMASLLDRVHELFGRRVSLHLISSHQPDPANEKRGRLGKAAHLEKWVTKLKTSVTAEETAFRVTFDWDESMGPPAAFVIKNHHHSQFYLKSLTLRGFPGGEGGPIHFVCNSWIYPSHRYRSDRVFFSNKAYLPSETPELIKELREEELQNLRGNEKEGEFKEWDRVYDYAYYNDLGAPDKGPDSARPVLGGSPELPYPRRGKTGRKPTKSDPKSESRLALLNLNIYVPRDERFSHVKFSDFLAYALKSVTQVLVPEIASMFFTSMTVVLSSPNGHTISKLRDVIPWEMFRELVRNDGERFLNRSAWRTDEEFAREMLAGLNPVVISRLQEFPPKSNLDSAKYGNQHSSIREEHIEPNMNGLNVLEALEQNKLYILDHHDALMPYLTRINSTNTKTYATRTLLLLQEDGTLKPLAIELSLPHAQGESHGSVSKVFTPAEKGVEGSVWQLAKAYAAVNDSGYHQLISHWLQTHAVIEPFIIASNRQLSVVHPIHKLLHPHFRDTMNINALARHILINSDGVLERTVFPSRYAMEMSSSIYKNWVFTDQALPKDLLKRGVAVEDPKSDNGVKLLIDDYPFAVDGLEIWSAIKTWVTEYCTFYYKNDKTVQTDTEIQSWWTELRTKGHGDKQHESWWPSMQTRDDLIETCTIIIWIASALHAAVNFGQYPYAGFLPNRPTVSRRFMPEPGTDEYAELAEDADVAFLKTITPQLQTLLGISIIEILSMHSTDEIYLGQRDSPNWTADDEPLEAFKRFGKELELIENNIIRRNNDKRFKNRTGPVNIPYTLLYPNTSDYTREGGLTGKGIPNSVSI >fgenesh2_kg.3__2454__AT3G22410.1 pep chromosome:v.1.0:3:10008615:10020318:-1 gene:fgenesh2_kg.3__2454__AT3G22410.1 transcript:fgenesh2_kg.3__2454__AT3G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKDEKVEAVLRLVKKQSPLTFKQEKFCNRECVERFLKVKGDNVKKAAKQLISCLSWRQSFDIERLGAEEFSTELSDGVAYISGHDGESRPVIIFRFKHDYQKLRTQKQFTRLVAFTIETAISSMSRNAEQSFVLLFDASFFRSSSAFVNLLLATLKIIADNYPCRLDKAFIIDPPSYFSYLWKGVRPFVELSTVTMIVSSLDYDERLDISHVSSNPRSASLRLDASSIKSTATIGSASSRFAFTVSQNSLKPWYLSFTDTSPYNPAVSSSTAAQVSPLSARSLYFASPAARGFKEAKPAACRKSLFPSTPLPEKTKTVSYRKTPRPSFFQSPAMLFRRENNVGGGGEKSRESFVPYLKFYRRPYDETAYRSKLRGTRGFVSVVSSHRRSRHVSLSQRF >fgenesh2_kg.3__2455__AT3G22415.1 pep chromosome:v.1.0:3:10024837:10025452:1 gene:fgenesh2_kg.3__2455__AT3G22415.1 transcript:fgenesh2_kg.3__2455__AT3G22415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSFFSPLKKLWFSVNSAQKKRRGIYILYEDVKSCPYEDVQVLWSILVESHPHTLQLKQ >fgenesh2_kg.3__2458__AT3G22421.1 pep chromosome:v.1.0:3:10033025:10034260:-1 gene:fgenesh2_kg.3__2458__AT3G22421.1 transcript:fgenesh2_kg.3__2458__AT3G22421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTAIISHLPMELLDEIISKLSSSSTRAVRLTCKNWDSLFKNRGLMKEEAAAKEGESRMIVLMDNNLCSVSIFFNGIDIDPSAEQKGKLTCLYDDSEQVKISQVFHCEGLLLCVIKDDKGSLVVWNPYLGQTRWIEPRYFFRIPDRYDRYMYALGYNNKSRSHKILRFIDGRQFDCRYEIYDFDFNLWTTLDVTPHWCLNYCRGFRNYGVTLKGNTYWCAIRRNSNALRVDHIICFDFTSERFGPLMYLPFIRDRCASLVTLSCVREEKLAVLFCYYDTVEVWITTKIEAEKMSWSKFLTVKMYVDLLDSHAAGSFFIDELKKVAMIFDRPMHHRDTVHIVGEAGYVKEVDLGEPDDKYFFPLVCSYVPSLVQIKKPAGGQRKQQSSLEKRRCDQNISRLIAHEELVKER >fgenesh2_kg.3__245__AT3G02980.1 pep chromosome:v.1.0:3:828014:829393:-1 gene:fgenesh2_kg.3__245__AT3G02980.1 transcript:fgenesh2_kg.3__245__AT3G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPRISLFPSIYYRPIKPNDLDRLEQIHRDIFPIKYESEFFQCVVNGVDIISWAAVDRSRPDHHCDELIGFVTASSYCLWFLIDDLIHYDSSKGEETLIYILTLGVVETYRNPMSLISEVINYASGLSVCQRSLPSCDCTQQCCDLLHGFYLIKRQHFDAFLFVYFINGSRTPCSPLEVAMFVVNYMKSGIKLVASKLVNREEKGLKWLICKDTDCVLPSQTKPNLGSSSVYEFI >fgenesh2_kg.3__2460__AT3G22425.2 pep chromosome:v.1.0:3:10038838:10040873:1 gene:fgenesh2_kg.3__2460__AT3G22425.2 transcript:fgenesh2_kg.3__2460__AT3G22425.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazoleglycerol-phosphate dehydratase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L1P8] MELSSASAIFGHSSSAVQLLRPKLGFIDLLPRRAIIVSSASIPRFLRMESQSPIKNVSQATSASSSSIELARIGEVKRVTKETNVSVKINLDGTGVADSCTGIPFLDHMLDQLASHGLFDVHVRATGDVHIDDHHTNEDIALAIGTALLKALGERKGINRFGDFTAPLDEALIHVSLDLSGRPYLGYNLEIPTQRVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGENSHHIIEATFKAFARALRQATEDDPRRGGTIPSSKGVLS >fgenesh2_kg.3__2461__AT3G22430.1 pep chromosome:v.1.0:3:10041103:10042279:1 gene:fgenesh2_kg.3__2461__AT3G22430.1 transcript:fgenesh2_kg.3__2461__AT3G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNNNNNAKSSTNKPPATSAPTAAVSSSQNHKPRLNSTNNDGGTSNNNKKESKPTTGGQKIADKKLPKPNFSPKLAPIPKQSNQNHPNPSAPSSSRPMAPFPFPDSSAALGPPPPPTYGFHMLERRTIVLADGSVRSYFALPPNYQDFPPQSRLAGPEFGRFPPFYPEEFRDQRMKRKYPGEEEIDRRDERAEMLRQRQHFMQYANPNDQSLMAGTSSSPFLRDVGEDGRAAKHMRIGSSRHDNGGLLVDQIALKKSFLSFVKRVFEDPTEKKNYLENGRKGRLQCLVCGRFDGFQSDFCVCFLIQFSLLVLETLVALFLMVSLIGLICLRTLNEHEESKREH >fgenesh2_kg.3__2463__AT3G22440.1 pep chromosome:v.1.0:3:10047497:10049887:1 gene:fgenesh2_kg.3__2463__AT3G22440.1 transcript:fgenesh2_kg.3__2463__AT3G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:D7L1Q1] MGSVPDPGELTELAQPSFEEFQKQTSLMTSCTLLWQELSDHFTSLEQNLMKKSEALKQMIETLDNQTQTSLESLKRREVTIDHSVEIVAGKVGERARAALESLEKARDGGDGSNDDSGEVDDEEGLLSALKSLCLKMDARGFWNFVTARKKELENLRSKIPAALVDCVDPAMLVLEAISEVFPVDKRGDKVSNDYGWACVVILESLTPVMVDPVIGKSRLLVTPSVKEKAKEIAETWKASLEERGRIENVKTPDVHTFLQHLVTFGIVKSEDLALYRKLVVGSAWRKQMPKLAVSVGLGDQMPDMIEELISRGQQLDAVHFTYEVGLVDKFPPVPLLKAYLRDAKKSAASIMEESSNTGRPTHLVARKEQSALKAVLKCIEEYKLEEEFPPENLKKRLDQLEKTKTEKRKPAAVPANKRTRASYNGPMPPAKAGRITNAYVSSFPFIRSPSHSPQYASPAAYPSPPTTVYSNRSPPYPYSPEIIPGSYQGSPIGYPAYNGYCNGPVPAPAPPVYHPHHHQHHQFHPQQHYY >fgenesh2_kg.3__2464__AT3G22450.1 pep chromosome:v.1.0:3:10050145:10051092:1 gene:fgenesh2_kg.3__2464__AT3G22450.1 transcript:fgenesh2_kg.3__2464__AT3G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of ribosome [Source:UniProtKB/TrEMBL;Acc:D7L1Q2] MALMVLRRKCIRMPDITSVFRPARNCFSSRFSNSESGKDTVAGLSGCGIETVDDDAWHVSSSLSQAWRQFQADTAKNSPVTIQGVRETMLDDEDPDHDEIDNMRIRGDLFYKLDRGSKEFEEYNYDFHRKNQHKNVKREQNQEEETTMKKNNKKEVREEYKKRNDSFTGETDHPNAAVRKRERTFTFNQLTAPFHYPFCLDIYISKESVRACVIHRVTSKVVTVAHSISKDMKFDLGSTRNAAACAAVGAVLAQRCLEDDIHDVIYTPRKGDKIEGKLQVVLQALIDNGVYVKVKLKQRKLKKKTSHLMMA >fgenesh2_kg.3__2466__AT3G22470.1 pep chromosome:v.1.0:3:10056762:10058701:-1 gene:fgenesh2_kg.3__2466__AT3G22470.1 transcript:fgenesh2_kg.3__2466__AT3G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L1Q4] MMIQRLKASNFTQIIDKGTLRISLLHYSCISEAKVSYKERLRNGIVDIKVNEAIDLFESMIQSRPLPSLIDFSKLFSAVARRKEYDLVLGFCKEMDLNGIEHNMYTMNIMINCFCRKRKLLFAFSVVGRALKFGFEPNTITFSTLINGFCLEGRVSEAVALVDRMVEMKYRPNVVTVNTLINGLCLKGRVTEALVLIDRMVKYGCEANEITYGPVLNRMCKSGNTALALDLFRKMEERSIKASVVQYSIVIDSLCKDGNLDDALSLFNEMEMKGIKADVVAYSSIIGGLCNDGRWDDGAKMLREMIGRNIIPNVVTFSALIDVFVKEGKLLEAKELYNEMVARGIAPDTITYSSLIDGFCKENRLGEANQMLDLMVSKGCEPNIVTYSILINSYCKAKRVDNGMRLFCEISSKGLVADTVTYNTLVQGFCQSGKLNVAKELFQEMVSRGVPPSVVTYGILLDGLCDNGELQKALEIFEKMQKSRMILGIGIYNIIIHGMCNASKVDDAWSLFCSLSVKGVKPDVLTYNVMIGGLCKKGSLSEADMLFRKMKEDGCAPSDCTYNILIRAHLGGSGVISSVELIEEMKMRGFAADASTIKMVVVMLSDGRLDKTFLDMLS >fgenesh2_kg.3__2468__AT3G22480.2 pep chromosome:v.1.0:3:10058975:10060759:1 gene:fgenesh2_kg.3__2468__AT3G22480.2 transcript:fgenesh2_kg.3__2468__AT3G22480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSGSGGLREPPNEQAVLNMYEAKRSELSQIYSNITELEMQVSEHSLVINAIQPLDQSRKCFRMIGGVLVERTIKEVLPAVQRNKEGLEEVVRKLYETLEKKKKDLTDFEAMYKIRIRKQDDNKEEGNKKEGNAQGVLVGAASSSQ >fgenesh2_kg.3__246__AT3G02990.1 pep chromosome:v.1.0:3:831304:833893:1 gene:fgenesh2_kg.3__246__AT3G02990.1 transcript:fgenesh2_kg.3__246__AT3G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHSFA1E [Source:UniProtKB/TrEMBL;Acc:D7L0B6] MGESVATAVMSSIPPFLSKTYDMVDDPLTDDVVSWSSGNNSFVVWNVPEFAKQFLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKQILKSIVRRKPSQVQPPQQPQVQHSSVGACVEVGKFGLEEEVERLQRDKNVLMQELVRLRQQQQVTEHHLQNVGQKVHVMEQRQQQMMSFLAKAVQSPGFLNQFSQQSNDANQHISESNKKRRLPVEDQMNSGSHGVSGLSRQIVRYQSSMNDATNTMLQQIQQMSNSPSHESLSSNHGSFLLGDVPNSNLSDNGSSSNGSSGVTLADVSSIPAGLYPAMKYHDPCETNQVLETNLPFSQGDLLPPTQGVAASGSSSSDLVGCETDNGECLDPIMAVLDGAIELEADALNELLPEVQDCFWEQFIGESPVIGETDELISGSVENELLMEQLELQSGLGSVWSKNQQMNYLTEQMGLLTSDTLRK >fgenesh2_kg.3__2471__AT3G22510.1 pep chromosome:v.1.0:3:10069892:10070718:1 gene:fgenesh2_kg.3__2471__AT3G22510.1 transcript:fgenesh2_kg.3__2471__AT3G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGITFNAHVVSDTWHHKHVPSVADDSVLQRDIRELLSRWGGLQMAVKNQWGGHDSLKKSQELAHHLFHLFSQSNVITVEEIENLLHESLLLSFNTEIEDGSIEEVAEQLMILHEEHLRGSH >fgenesh2_kg.3__2473__AT3G22530.1 pep chromosome:v.1.0:3:10074163:10075208:-1 gene:fgenesh2_kg.3__2473__AT3G22530.1 transcript:fgenesh2_kg.3__2473__AT3G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPIPRNLNNTLIHHHHNPTREPGKNLRRLPHIFNRVLELPLRSEADVTVEERHDCFRFVAETVGLCDGDGEMRAYMVEIHPGITKIVVRTNGSSSLGLSLDELELDVWRFRLPESTRPELVTVACVDGDLIVTVPKNAEEEDDDDGGGDFGQGMGSGRLVLVQ >fgenesh2_kg.3__2476__AT3G22560.1 pep chromosome:v.1.0:3:10096783:10097386:-1 gene:fgenesh2_kg.3__2476__AT3G22560.1 transcript:fgenesh2_kg.3__2476__AT3G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPIIFLRPFNVSDAEDVLKWAGDDDVTRYLRWDSVQTIEEAKQHILNKAIPHQWRRSISLVQHGRSLGYVSVKPDSGDGRCRADLAYAIAKEFWGRGIATAAVRMAVEQAFEDFPELVRLQAVVEVENKASQRELEKAGFRKEGLLEKYGFSKGVIKDMFLYSFVKDDCFV >fgenesh2_kg.3__2479__AT3G22620.1 pep chromosome:v.1.0:3:10103746:10104778:1 gene:fgenesh2_kg.3__2479__AT3G22620.1 transcript:fgenesh2_kg.3__2479__AT3G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7L1R7] MSKILVVVVAMIAVLAFPIRSQQQPLSQCTPSMMTTVSPCMNFITNSSSNGTSPSSDCCNSLRSLTTGGMGCLCLIVTGTVPFNIPINRTTAVSLPRACNMPRVPLQCQANIAPAAAPGPAGTFGPSMSPGPATNPIVPEPTASAQTPQSDTTRPFTPSADGGAPTSDDGGSTSRPSETPSSAYALSPSLFLFSIALVALKFY >fgenesh2_kg.3__247__AT3G03000.1 pep chromosome:v.1.0:3:834574:835355:1 gene:fgenesh2_kg.3__247__AT3G03000.1 transcript:fgenesh2_kg.3__247__AT3G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDGDKPAPAKLGDEQLAELREIFRSFDQNKDGSLTELELGSLLRSLGLKPSQDQLDTLIQKADRNSNGLVEFSEFVALVEPDLVKCPYTDDQLKAIFKMFDRDGNGYITAAELAHSMAKLEADRDGDGCIDFQEFVQAITSAAFDNAWG >fgenesh2_kg.3__2481__AT3G22660.1 pep chromosome:v.1.0:3:10127596:10129029:-1 gene:fgenesh2_kg.3__2481__AT3G22660.1 transcript:fgenesh2_kg.3__2481__AT3G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEEDIVSDDEMNMIDEDSATDSEAESLSDSDTENEITEKLAEPTKTAVYNRDGLLDKLQDISWPEDVDWTHKLTVEIDQGQAVDVNDDLAREMAFYTQALEGTREAFGKLNEMGVNFLRPANYYAEMVKSDIHMEKVKSRLLHEKKQIEESEERRKARDNKRMAKEVQSQKMKERAKQKKDDIESVKKWRKQRQQSGFSDEAGAPELDFESGKSFQRGGGKKRPGVSPGDRSGGKGRPTSRMSNKKREFRDSKFGHGGRKGLSKQNTAETTNDFRGGFRGGRASGNNKRQKR >fgenesh2_kg.3__2484__AT3G22690.1 pep chromosome:v.1.0:3:10132982:10135819:-1 gene:fgenesh2_kg.3__2484__AT3G22690.1 transcript:fgenesh2_kg.3__2484__AT3G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L2E6] MAMLGNFLHFSPMVLAISTSKPSLPNQSKRTKATPSSLKNCKTIDELKMFHLSLTKQGLDDDVSAITKLVARSCELGTRESLSFAKEVFENGESYGTCFMYNSLIRGYASSGLCKEAILLFIRMMNSGISPDKYTFPFGLSVCAKSRDKGNGIQIHGLIIKMDYAKDLFVQNSLVHFYAECGELDCARKVFDEMSERNVVSWTSMICGYARREFAKDAVDLFFRMVRDEDVIPNSVTMVCVISACAKLEDLETGEKVYDFIRDSGIEVNDLMISALVDMYMKCNAIDIAKRLFDEYGASNLDLCNAMASNYVRQGLTKEALGVLNLMMDSGIRPDRISMLSAISSCSQLRNILWGKSCHGYVLRNGFESWDNICNALIDMYMKCHRQDTAFRIFDRMSNKTVVTWNSIVAGYIENGEVDAAWETFNTMPEKNIVSWNTIISALVQENMYEEAIEVFHYMQSQECVNVDGVTMMSIASACGHLGALDLAKWIYYYIEKNRIQLDVRLGTTLVDMFSRCGDPESAMSIFNSLTNRDVSAWTAAIGAMAMAGNVERAIELFNEMIEQGLKPDGVVFIGALTACCHGGLVQQGKEIFNSMEKLHGVSPEDVHYGCMVDLLGRAGLLEEALQLIKDMPTEPNDVIWNSLLAACRVQGNVEMAAFAAEKIQVLAPERTGSYVLLSNVYASAGRWNDMAKVRLSMKEKGLRKPPGTSVIQIRGKTHEFTSGDESHPEMRKIEAMLDELSQRASDLGHVPDLSNVLMDVDEQEKIFMLSRHSEKLAMAFGLISSNKGTTIRIVKNLRVCSYCHSFAKFASKVYNREIILRDNNRFHFIRQGKCSCSDFCLTDDDLEDLKGCLDLGFGFSYDEIPELCNTLPALELCYSMSQKFLDDKHNCISQLPIGRSLVP >fgenesh2_kg.3__2487__AT3G22740.1 pep chromosome:v.1.0:3:10152896:10155387:-1 gene:fgenesh2_kg.3__2487__AT3G22740.1 transcript:fgenesh2_kg.3__2487__AT3G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 3 [Source:UniProtKB/TrEMBL;Acc:D7L2F0] MGSFVKEETSSLMTDFLENCGGYAVVDGGFATELQRHGADINDPLWSAKCLITSPHLVTKVHLDYLESGANIIITASYQATIQGFVAKGLSVEEAENLLRRSVEITYEAREIFYNRCTKGSWDFAYAGKASRRPILVAASVGSYGAYLADGSEYSGIYGDSVSKETLKDFHRRRVQILANSGADFIAFETIPNKLEAEAYADLLEEEDINIPAWFSFTSKDGVTVPRGDSVVECAKVADSCKKVVAIGINCTAPRYIHDLIISLRQVTRKPIVVYPNSGEIYDGLNKKWIRSEGESEEDFVSYVSKWRDAGASLFGGCCRTTPNTIRAIAKVLSDESPATAKPKFRQ >fgenesh2_kg.3__2490__AT3G22780.1 pep chromosome:v.1.0:3:10185206:10188617:1 gene:fgenesh2_kg.3__2490__AT3G22780.1 transcript:fgenesh2_kg.3__2490__AT3G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPQKNHTSQIGTSTPKSKFEDSPVFNYISNLSPIESVKSISTAQTFSSLSFTSPPPVFTSPHVNSHRESRFFRCHNSVDRAKHLESLDGSAIKEEVVVPVIEDLNKEASLEDEEETSVETSYELPQILKFGSQTPEDSDSPCTEDVVIEASSDPPRGDSGSSSEDVKMGLQKMLDVREEKDTPDCGRLISDATELLVFRSPNDSEAFRCLVDKISSSERRFCAGVKSTKRPKITEDIPANGSSNENEPLAVLPNESVFNLHRGGMRRRCLDFEMPGKRKKDIVDDQQSVCDNNVTGESSSRCVVPGIGLHLNAVAMSAKDSNINLIHDYSISGEIQKSFSGSITPIHSQDTVQETSDQAENEPVEEIPKALAFPERKSEQAGEGESCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCIDCFNKPIHEETVLATRKQIESRNPLAFAPKVIRSADSIMEAGDDASKTPASARHKRGCNCKKSNCLKKYCECYQGGVGCSVNCRCEGCKNVFGRKEGSLLVIMESKLEEDQEAYEKRLAKIQHNIEVSKEVEQNPSSDQPSTPLPPYRHLVVHQPFLSKNRLPPTQFFLGTGSSSFRKPDSGLTQSQNEKKPLETVAEDKTEIMPEILLNSPIANIKAISPNSKRVSPPQPGSSESGSILRRRGNGRKLILRSIPAFPSLNPNQ >fgenesh2_kg.3__2494__AT3G22820.1 pep chromosome:v.1.0:3:10218534:10219348:-1 gene:fgenesh2_kg.3__2494__AT3G22820.1 transcript:fgenesh2_kg.3__2494__AT3G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVFPTLIVYAFLLLFSLSSAASLQRLSGGLGQGKKETVRSGLPGQIVDQKRLGGGPGSVPPMCRLKCGKCEPCKAVHVPVQPDLIAPLEYYPEAWRCKCGNKLFMP >fgenesh2_kg.3__2495__AT3G22830.1 pep chromosome:v.1.0:3:10238466:10240765:1 gene:fgenesh2_kg.3__2495__AT3G22830.1 transcript:fgenesh2_kg.3__2495__AT3G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-HSFA6B [Source:UniProtKB/TrEMBL;Acc:D7L2F7] MDPSFRFIKEEFPTGFSDSPSPPSSSSSSYLYSSSMAEAALYDPTTLSYPQPIEGLHESGPPPFLTKTYDLVEDSRTNHVVSWSKSNNSFIVWDPQAFSITLLPRFFKHNNFSSFVRQLNTYGFRKVNPDRWEFANEGFLRGQKHLLKNIRRRKTSNNSNQLQQPQSSEQQSLDNFCIEVGRYGLDGEMDSLRRDKQVLMMELVRLRQQQQSTKMYLTLIEEKLKKTESKQQQMMGFLARAMQNPDFIQQLVEQKEKRKEIEEAISKKRQRPIDQGKRNVVNVGDYDDASGYVNDAAASTSASASAFLDMSQETYGNMSEFEMSDLDKLAMHIQGLGDHSSTREEVLNVEKGNDEEEEEDQQQGYQKENKEIYGEGFWEDLLNEGQNFDFEGDQENVDVLIEQLGYLGSSSHTS >fgenesh2_kg.3__2496__AT3G22845.1 pep chromosome:v.1.0:3:10259697:10261206:1 gene:fgenesh2_kg.3__2496__AT3G22845.1 transcript:fgenesh2_kg.3__2496__AT3G22845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQAKIHVFVLIGLILLNSINQISSLSVTVNDEECVQEYVLYEGDTVSGNFVVVDHDIFWGSDHPGLDFTVTSPAGNIVQTLKGTSGDKFEFKAPRSGMYKFCFHNPYSTPETVSFYIHVGHIPNEHDLAKDEHLDPVNVKIAELREALESVVAEQKYLKARDTRHRHTNESTRKRVILYTVGEYIFLAAASGLQVLYIRKLFSKSVAYNRV >fgenesh2_kg.3__249__AT3G03010.1 pep chromosome:v.1.0:3:852604:854631:-1 gene:fgenesh2_kg.3__249__AT3G03010.1 transcript:fgenesh2_kg.3__249__AT3G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVWLISVLLLGAALGFYISTLRQTRQIFALSKSVAINAGSSGNKKTKSKEPLEIEKLADLRKNFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLLQRAPRALNRWEYCAQPKVVVKIESEEEMLVLQERAKTLKLPTHITIDAGKTQIAPNSRTVMAILGPVDNVDEVTGGLKLM >fgenesh2_kg.3__2500__AT3G22890.1 pep chromosome:v.1.0:3:10283407:10285762:1 gene:fgenesh2_kg.3__2500__AT3G22890.1 transcript:fgenesh2_kg.3__2500__AT3G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAILSKTPFLSQPLTKPSPTSDIPFAAVSFPSKSLRRRNGSIRAGLIAPDGGKLVELIVEEPKRREKKHEAAELPRVELTTIDLQWMHVLSEGWASPLGGFMRESEFLQTLHFNSLRLDDGSVVNMSVPIVLAIDDEQKARIGESKRVALFDSDGNPVAILSDIEIYKHPKEERIARTWGTTAPGLPYVDEAITNAGNWLIGGDLEVLEPVKYNDGLDRFRLSPAELRKELEKRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPILLLHPLGGFTKADDVPLDWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPVEKRDLYDADHGKKVLSMAPGLERLNILPFRVAAYDKTQGKMAFFDPSRPQDFLFISGTKMRTLAKNNENPPDGFMCPGGWKVLVDYYESLTPAGNGRLPEVVPV >fgenesh2_kg.3__2503__AT3G22930.1 pep chromosome:v.1.0:3:10298314:10300102:-1 gene:fgenesh2_kg.3__2503__AT3G22930.1 transcript:fgenesh2_kg.3__2503__AT3G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETQQQQQQQQQQELTQEQILEFKEAFCLFDKDGDGCITADELATVIRSLDQNPTEQELQDMITEIDSDGNGTIEFSEFLTLMANQIQETDADEELKEAFKVFDKDQNGYISASELRHVMINLGEKLTDEEVDQMIKEADLDGDGQVNYDEFVRMMMTNG >fgenesh2_kg.3__2505__AT3G22960.1 pep chromosome:v.1.0:3:10325734:10328616:1 gene:fgenesh2_kg.3__2505__AT3G22960.1 transcript:fgenesh2_kg.3__2505__AT3G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:D7L2H3] MSQSIQFSTPSRTPHLLNLPHSQFNRPLSSISFRRFPLTTIKYTSIRASSSSPSPSPDLDSSSSSSSSQVLLSPNGTGAVKSDERSVVATAVTTDTAGIEVDTVTEAELKENGFRSTRRTKLICTIGPATCGFDQLEALAVGGMNVARLNMCHGTRDWHRGVIRSVRRLNEEKGFAVAIMMDTEGSEIHMGDLGGESSAKAEDGEIWTFTVRAFDSSRPERTISVSYDGFAEDVRVGDELLVDGGMVRFEVIEKIGPDVKCLCTDPGLLLPRANLTFWRDGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVINHLKSYLAARSRGGEIGVIAKIESIDSLTNLEEIILASDGAMVARGDLGAQIPLEQVPAAQQRIVQVCRGLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRSDALMLSGESAMGQFPDKALTVLRTVSLRIERWWREEKRHESVPLQAIGSTFSDKISEEICNSAAKMANNLGVDAVFVYTTSGHMASLVSRCRPDCPIFAFTTTTSVRRRLNLQWGLIPFRLSFSDDMESNLNKTFSLLKSRGMIKSGDLVIAVSDMLQSIQVMNVP >fgenesh2_kg.3__2506__AT3G22970.1 pep chromosome:v.1.0:3:10341025:10343085:1 gene:fgenesh2_kg.3__2506__AT3G22970.1 transcript:fgenesh2_kg.3__2506__AT3G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTMKIQPIDIDSSPAVARAESGNKPVLKSRLKRLFDRPFTRNATTTTEKPFVTGGEVQCSGGGGVVTEFEPSSVCLAKMVQNFIEENNEKQAKCGRNRCNCFNGNNDSYSDDESDLFGGSIDGCDASDHLKSLVPCATVDERNLLADAAKIVDKNKSVKRKDDMKKIVNEGLLSLNYNSSICKSKWDKSPSFPAGEYEYIDVIIGEERLIIDVDFRSEFDIARQTSGYKALLQSLPFIFVGKSDRLSQIVFLISEAAKQSLKKKGMHFPPWRKAEYMRSKWLSSYTRASVVVGDVDEKPETETDVAVVTADTAQREVDSVVEIELVFEEKCLSPRVNIINSSSSPNDGDDDVAVEREVKALTGLASLFKEKP >fgenesh2_kg.3__2510__AT3G22990.1 pep chromosome:v.1.0:3:10355141:10357150:1 gene:fgenesh2_kg.3__2510__AT3G22990.1 transcript:fgenesh2_kg.3__2510__AT3G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L2H8] EQNNRRIVLALQSGLKSEVTWALNTLTLLSFKEKDDIRRDVTPLAKISGLLDALLLIIDDWRDIALPKDLTRGTRVRTLGTNASVTGFGNEYDALASIQLPGSGIGSSAAEGLGKKSGGKHQSSQWWMEEDGLFNLDDEGRSEKQMCAIAASNVIRNFSFMPDNEVVMAQHRHCLETVFQCIHDHMTEDEELVTNSLETIVNLAHLMDLRIFSSLKQSYININEKKAVQAVVGILDSSVKAWNCAAAELLGRLIINPDNEPFISPLIPQIHKRLVDLLSIQAVDAQAAAVGALYNLVEVNMDCRLKLASERWAVDRLLKVIKTPHPVPEVCRKAAMILENLVSEPQNRGLLLAYENAFAELLFQDGKYSDSFARILYELTARSNSRVASARGIWG >fgenesh2_kg.3__2511__AT3G23000.1 pep chromosome:v.1.0:3:10363517:10364873:1 gene:fgenesh2_kg.3__2511__AT3G23000.1 transcript:fgenesh2_kg.3__2511__AT3G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7L2H9] MESLPPPQNQTSSATTPAKTLLGKYELGRRLGSGSFAKVHLARSIESDELVAIKIIEKKKTIESGMEPRIIREIDAMRRLRHHPNILKIHEVMATKSKIYLVMELASGGELFSKVLRRGRLPESTARRYFQQLASALRFSHQDGVAHRDVKPQNLLLDQQGNLKVSDFGLSALPEHLQNGLLHTACGTPAYTAPEVISRRGYDGAKADAWSCGVILFVLLVGNVPFDDSNIAAMYRKIHRRDYRFPSWISKQAKSIIYQMLDPNPVTRMSIETVMKTNWFKKSLETSEFHRNIFDSEPEMKSSVNSITAFDLISLSSGLDLSGLFEVKKKKERRFTAKVSAVEVEEKAKMIGEKLGYVVKKKMKKEGEAKVVGLGRGRTVIVVEAVELTVDVVVVEVKVVEGEEDDSRWFDLITELEDIVLSWHNDIM >fgenesh2_kg.3__2512__AT3G23020.1 pep chromosome:v.1.0:3:10398682:10401207:-1 gene:fgenesh2_kg.3__2512__AT3G23020.1 transcript:fgenesh2_kg.3__2512__AT3G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L2I5] MLLNLRLDGSSLHVLCSTKTLPISPLDKFPSVKKVKQNYVPGTHESDKGPQRSTRNGDRGCGTVAHEVVAGKNLLFVNPGNGSVGKSGFSYGFVDRRSKDGGFGGNGMVGKVHTKCSTKRLSYGGCIPAILEALDCIEDVEDALSPWSEKLSNKERTIILKEQTRWERAVEIFEWFKSKGCYELNVIHYNIMLRILGKACKWRYVQSLWDEMIRKGIKPINSTYGTLIDVYSKGGLKVHALCWLGKMSKIGMQPDEVTTGIVLQMYKKAREFQKAEEFFKKWSCDENKADSHVCLSSYTYNTMIDTYGKSGQIKEASETFKRMLEEGIVPTTVTFNTMIHVYGNNGQFGEVTSLMKTMKFHCAPDTRTYNILISLHTKNNDIERAGTYFKEMKGAGLKPDPVSYRTLLYAFSIRHMVKEAEELIAEMDDNDVEIDEYTQSALTRMYIEAEMLEKSWSWFKRFHVAGNMSSEGYSANIDAYGERGYLSEAERVFICCQEVNKRTVLEYNVMIKAYGISKSCEKACELFESMMSYGVTPDKCTYNTLVQILASADMPDKAKCYLEKMRETGYVSDCIPYCAVISSFVKLGQLNMAEEVYKEMVEYNIEPDVVVYGVLINAFADTGNVQQAMSYVEAMKEAGIPENSVIYNSLIKLYTKVGYLDEAEAIYRKLLRSCNETQYPDVYTSNCMINLYSQRSMVRKAEAIFESMKQRREANEFTFAMMLCMYKKNGRFEEATQIAKQMREMRILTDPLSYNSVLGLYALDGRFKEAVETFKEMVSSGIQPDDSTFKSLGTILIKLGMSKKAVRKIEEIRKKEIKRGLELWISTLSSLVGIEDCEDEL >fgenesh2_kg.3__2515__AT3G23060.1 pep chromosome:v.1.0:3:10435054:10437738:1 gene:fgenesh2_kg.3__2515__AT3G23060.1 transcript:fgenesh2_kg.3__2515__AT3G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKVETKVVEPKFACPLCNNRFKDATTISECLHTFCRSCIRNKFINERVKACPVCNVNLGVFPLHKLRSDYSWQSLKLKVDRAKTERVKAASVKSSKKKGKSLTSPVVSSSRVSSSPDTPLKPPIVVVEPPIVVVEEKHRETVQALQSSRKPIITFKKRGRKSSLPKKFDSKPEPELPPKEPEIKNFFDLNNEPEDNGLDEAEGSTCQEFIPKENDLCKSLDAKEVAPLNINDTPPVIVEPVLSSDGDTEESVEPIHNKCVVNRETEEVPIQVNKKTVLISSDRDTEDNSGQKLKSNGATSRSRRKKGKKPVEKSYSLRPRKDGRTINSAANNTTPEVAVTVEEEKKVEGRNTNAVWFSLMPSKTQNIEMLLPPINARCIRVKDRNMTVSYLKKYLMVKLGLESEDQVEVWLRNEPLCSSLTLHSLVDWWVQTTPLPERRSAMVGSSAADFLMNLHYSFKSDASGSGSGSGSGFGSGSDSE >fgenesh2_kg.3__2519__AT3G23100.1 pep chromosome:v.1.0:3:10459172:10460563:1 gene:fgenesh2_kg.3__2519__AT3G23100.1 transcript:fgenesh2_kg.3__2519__AT3G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESEKTKHTCLRLEISGADPIFVKGTWHNSHFDISVTDGSSSWICNATEEEVAERAAQWDQPVSEYLELAERYLGFQQPNSVYGFSDALEGSKRLSWTFEKEGTKLEWRWKCKPSHDSKKITVGILDFLLEANIRLSEEVVNKTRSFEKMKCEAERCLAQGEKLCEEKTEFENATYAKFLSVLNAKKAKLRALRDKGDSVRAVEEEESTDKTESFDSGRSDNEQSEEEASKKASSSKARGRKRAVRS >fgenesh2_kg.3__2522__AT3G23110.1 pep chromosome:v.1.0:3:10465415:10467140:-1 gene:fgenesh2_kg.3__2522__AT3G23110.1 transcript:fgenesh2_kg.3__2522__AT3G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L2J7] ENQFEGPIDFGNTSSSSKLTELDVSYNNLDGLIPESISTLVSLENLDLSHNNFGGRVPSSISKLVNLDHLDLSHNNLGGQVPSYISKLRNLLSLDLSHNNFGGRVPSSISKLVNLSSLDLSYNKLEGQVPQCIWRSSKLYSVDLSYNSFSSFGIILEPTKDQLEGDWDLSSNSLQGPIPQWICNFRYFSFLDFSNNHLNGSIPQCLKNSTDFNMLNLRNNSLSGFMPDLCIDGSQLRSLDVSLNNFVGKLPKSLINCEWMEFLNVRGNKIKDTFPFWLGSLQYLKVLVLRSNTFYGSWTYPINNFVGSLPQDYFVNWTEMSLVWRRPMRTLDYKRNLTIPGSSYMGDGSNKHQDSIDLVYKGVDTDFVLIFQAFKAIDFSGNRFSGYIPESIGLLSELRLLNLSGNTFTGNIPPSLANITKLETLDLSRNNLSGEIPRGLGKLSFLSNINFSHNHLEGLMPQSTQFGSQNCSSFVGNPRLYGLEQICGEIHVPVPTSLQPKVALLEPEEPVLNWIAAAIAFGPGVFCGLVIGHIFTSYKHKWLITKICRNKPKTTIIAS >fgenesh2_kg.3__2523__AT5G28141.1 pep chromosome:v.1.0:3:10480638:10482115:-1 gene:fgenesh2_kg.3__2523__AT5G28141.1 transcript:fgenesh2_kg.3__2523__AT5G28141.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L2J9] MIAKDVFSSPVSTVSVERAFSMGGQILDETRSRMSPDSLEAQACLDDWTRAEYRQQEFLRENEEDV >fgenesh2_kg.3__2524__AT3G23130.1 pep chromosome:v.1.0:3:10525478:10526553:1 gene:fgenesh2_kg.3__2524__AT3G23130.1 transcript:fgenesh2_kg.3__2524__AT3G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSNNIELRNSFYGRARTSPWSYGDYDNYQQDHEYLLGFSWPPRSYTCSFCKREFRSAQALGGHMNVHRRDRARLRLQQSSSSSSTPSPPYPNPNYSYSSMATSPPPHHSPLTLFPTLSPPSSPRYRPGLVRSLSPKSKHTPENACETEKSSLLVEAGEAKRFTSKDACKILRNDDIISLELEIGLIKESEQDLDLELRLGFA >fgenesh2_kg.3__2527__AT3G23160.1 pep chromosome:v.1.0:3:10573300:10575465:-1 gene:fgenesh2_kg.3__2527__AT3G23160.1 transcript:fgenesh2_kg.3__2527__AT3G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEAWIVKMRNQVSANLKHALLLESSTKKTPKSSSLPKQTIGILSFEVANIMSKTIHLHRSLSDTEISKLKSEVFRSEGVRNLVSSDENHLLDLAVSEKLDDLSRVASVVSRLGKKCNEPALQGFEHVYEDIVNGVIDFRKLGFLVKDMESMVKKMERFVNATCSLYCEMEVMNELEQAIVKLQRSQQHQESVKAFEQKLMWQRQDVRGLRDGSLWNQTYDKVVEMLARTVCTIYGRIETVFGGLGLRGKKDVTLKRDRSKNEASKVVNSRSVAGFKDSRRSEAEEFTRAGDFNFPCGTNPGRMFMECLAMNRTIGDDDDDEDDARITFPLNTARMIRTSKFGFKSRLTQHASASTIGGSALSLHYANVVIVVEKLLKYPHLIGEEARDDLYQMLPTSLKTTLKANLRSYLKNISIYDAPLAHDWKETIDGILSWLAPLAHNMIRWQSERNFEQNNQIVKRTNVLLLQTLYFAGREKTEAAICKLLVGLNYICHYEQQQNALLDCASSFDYEDCFEWQSQCRAAYLD >fgenesh2_kg.3__252__AT3G03050.1 pep chromosome:v.1.0:3:862316:867168:1 gene:fgenesh2_kg.3__252__AT3G03050.1 transcript:fgenesh2_kg.3__252__AT3G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNHYTNSRSNLSTNSDAAEAGRHQQPVSNTVTFARRTASGRYVNYSRDDLDSELGSVDLTSYTVHIPPTPDNQPMDPSISQKVEEQYVSNSLFTGGFNSVTRAHLMDKVIDTETSHPQMAGAKGSSCAVPGCDVKVMSDERGQDLLPCECDFKICRDCFVDAVKTGGMCPGCKEPYRNTDLADFADNKQQQRPMLPPPSGGPKMDRRLSLMKSTKSGLMRSQTGDFDHNRWLFETSGTYGFGNAFWTKDGNFGSDKDGNGHGMGPQDLMSRPWRPLTRKLQIPAGVISPYRLLIVIRIVVLALFLMWRIKHKNQDAIWLWGMSVVCELWFALSWLLDQLPKLCPINRATDLNVLKEKFETPTPSNPTGKSDLPGLDMFVSTADPEKEPPLVTSNTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANMWVPFCRKHNIEPRNPDSYFSLKRDPYKNKVKADFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKLQRQNRDDEVVEPVKIPKATWMADGTHWPGTWINSSPDHSRSDHAGIIQVMLKPPSDEPLHGVSEGFLDLTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQALREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRAKEHHPGFCSCCFSRKKKKSRVPEENRSLRMGGDSDDDEEMNLSLVPKKFGNSTFLIDSIPVAEFQGRPLADHPAVQNGRPPGALTIPRELLDASTVAEAIAVISCWYEDKTEWGSRIGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNAFFASPRMKILQRIAYLNVGIYPFTSFFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLIISITLCLLALLEIKWSGISLEEWWRNEQFWLIGGTSAHLAAVIQGLLKVVAGIEISFTLTSKSGGEDVDDEFADLYIVKWTSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSKLIGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWSGLVAITISLLWVAINPPAGSTQIGGSFTFP >fgenesh2_kg.3__2530__AT3G23170.1 pep chromosome:v.1.0:3:10582938:10583702:-1 gene:fgenesh2_kg.3__2530__AT3G23170.1 transcript:fgenesh2_kg.3__2530__AT3G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQGCSSDSGDLRRQPSRLQKRPPALKLVPATPAANNWKTAIPLLSPLALSPESSPVEQPPVENQTTAKAVEKTPVFKKWQHPAAPFYYESSTFVPPFVPV >fgenesh2_kg.3__2531__AT3G23175.1 pep chromosome:v.1.0:3:10591433:10592907:-1 gene:fgenesh2_kg.3__2531__AT3G23175.1 transcript:fgenesh2_kg.3__2531__AT3G23175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSINKVKSMICTTLTKSMPAIAFFGRMTFSFVFLITAIQDYADHYGDGGPIEKTMGPAVNVLSKYGSKALTFYFGMQVVAFDVRLIEFALISAKATGALWFIFGQSIPAYFLLATQIFSTVIPFPTNLNDFTQNLTLIGALLYYIGLKHTIDNLDEEQKNKENEAEG >fgenesh2_kg.3__2533__AT3G23190.1 pep chromosome:v.1.0:3:10596721:10599223:1 gene:fgenesh2_kg.3__2533__AT3G23190.1 transcript:fgenesh2_kg.3__2533__AT3G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L2L9] MEKTQESVVRYGRKIEKMPFLMPAGRVVFATAFIVSAWREYYGFGVAADELRPKLGFFENQAKYIVSLGIMMKFIGGILFIFNTYLGASPFLVYQAILSPILYDFYNRDFDRDHFTIFYTKFKEFVNETVSADDGVAMSLYTSMVNEESRQKFCDQLNEIARLAISNPLFTPSEFNTLFIRFIKGVGIVAALACFMAMKHRHGVLIKKTSKKQKT >fgenesh2_kg.3__2536__AT3G23210.1 pep chromosome:v.1.0:3:10607336:10609322:-1 gene:fgenesh2_kg.3__2536__AT3G23210.1 transcript:fgenesh2_kg.3__2536__AT3G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7L392] MYQSIDDDDDLLAALCFDQSNGVVDPYAYIQTNEDNIFQDFGSCGLNLQPQQEQFDSFSGNLEQVCSFRGENNGVVYSSSIGSAQLDWAASFSGVLQQETHQVCGFRGQNDDSAANHLQQEQGQVCNGVVEINSSSSVGGVKEELEHGLSINRGRNGSCNKPGTKACREKLRREKLNDKFMDLSSVLEPGRTPKTDKSAILNDAIRVVNQLRGEAHELKETNQKLLEEIKNLKAEKNELREEKLVLKADKEKMVQQLKSMAFPSPGFMPSQHPVAFHPNNMPVYSGYGYYPPNMPMWSPLPPADRDTSRDHKNLPPVA >fgenesh2_kg.3__2537__AT3G23220.1 pep chromosome:v.1.0:3:10612073:10612456:1 gene:fgenesh2_kg.3__2537__AT3G23220.1 transcript:fgenesh2_kg.3__2537__AT3G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L393] VKYRGVRKRPWGKYAAEIRDSARHGARVWLGTFDTAEDAARAYDRAAFAMRGQRAILNFPHEYQMMKDGPNGSRENVVASSSSEYRGGGGGDRKEVIEFEYLDDSLLEELLDYGENYNQDTWNDANR >fgenesh2_kg.3__2538__AT3G23230.1 pep chromosome:v.1.0:3:10614343:10614763:-1 gene:fgenesh2_kg.3__2538__AT3G23230.1 transcript:fgenesh2_kg.3__2538__AT3G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L394] MESSNRRSNNQSQDDKQARFRGVRRRPWGKFAAEIRDPSRNGARLWLGTFETAEEAARAYDRAAYNLRGHLAILNFPNEYYSRMDDYSLRPPYASSSSSSSSSGSTSTNASRQNQREVFEFEYLDDRVLEELLDSEERKR >fgenesh2_kg.3__2539__AT3G23250.1 pep chromosome:v.1.0:3:10648821:10650444:1 gene:fgenesh2_kg.3__2539__AT3G23250.1 transcript:fgenesh2_kg.3__2539__AT3G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB15/AtY19/MYB15 [Source:UniProtKB/TrEMBL;Acc:D7L396] MGRAPCCEKMGLKRGPWTPEEDQILVSFILKHGHSNWRALPKQAGLLRCGKSCRLRWMNYLKPDIKRGNFTKEEEDAIISLHQILGNRWSAIAAKLPGRTDNEIKNVWHTHLKKRLKDYQPAKPKTSNKKKGTKPKSESVITSSNSTRSESELANSSNPSGESLFLASPSTSEVSSMTLISHEGHSNEINMDYKPGDIGTIDQDCVSLETFGADIDESFWNETLYSQSEHNYASNDLEVAGLDEIQQEFQHLGSVNYEMIFDSEMDFWFDVLARTGGEQDLLAGL >fgenesh2_kg.3__2541__AT3G23255.1 pep chromosome:v.1.0:3:10651755:10653033:1 gene:fgenesh2_kg.3__2541__AT3G23255.1 transcript:fgenesh2_kg.3__2541__AT3G23255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERFFPTKRSSGDGNRRRDKLTLLSGPISSGKTSLLFQFALNVASASTNNRVVFICHRKRIESNPPFLSQGIDPSSDVFNRIQMKYVDDDEGIRKYFAAFHLHRVDDFPNAVIIDDFGDYFSQLNSMMNSRARDMAMVRTLALCHNAIADAKQAFCELVLSETNHGDSPRSLFIYKRWIPKIFTIKGHGDGSFLLTSNGTSEKSAKYSIALQYLILEQMIDSY >fgenesh2_kg.3__2546__AT3G23300.1 pep chromosome:v.1.0:3:10675721:10678754:1 gene:fgenesh2_kg.3__2546__AT3G23300.1 transcript:fgenesh2_kg.3__2546__AT3G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSDGGKKKPVIVLVCVASVVLVFVYLFFGSSNHKASAIEYGRKLGLSGDDDDDSIKKDDTSSNSFYVEDLGNGFTPRSFPVCDDRHSELIPCLDRNLIYQMRLKLDLSLMEHYERHCPPPERRFNCLIPPPPGYKIPIKWPKSRDEVWKVNIPHTHLAHEKSDQNWMVVKGEKINFPGGGTHFHYGADKYIASMANMLNFPNNVLNNGGRLRTFLDVGCGVASFGGYLLASEIMTMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWREMSALVGRMCWTIAAKRNQTVIWQKPLTNDCYLERAPGTQPPLCNSDSDPDAVYGVNMEACITQYSDHDHKTKGSGLAPWPARLTSPPPRLADFGYSTDMFEKDTETWRQRVDTYWDLLSPKIQSDTVRNIMDMKANMGSFAAALKEKDVWVMNVVPEDGPNTLKLIYDRGLMGAVHSWCEAFSTYPRTYDLLHAWDIISDIKKRGCSAEDLLLEMDRILRPSGFILIRDKQSVVDLVKKYLKALHWEAVETKTASESDQDSDNVILIVQKKLWLTSESLRDLE >fgenesh2_kg.3__2548__AT3G23325.1 pep chromosome:v.1.0:3:10691356:10692965:1 gene:fgenesh2_kg.3__2548__AT3G23325.1 transcript:fgenesh2_kg.3__2548__AT3G23325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQTKYVGTGHADLSRFEWAVNIQRDSYASYIGHYPMLSYFAIAENESIGRERYNFMQKMLLPCGLPPEREDE >fgenesh2_kg.3__2549__AT3G23330.1 pep chromosome:v.1.0:3:10693084:10695232:1 gene:fgenesh2_kg.3__2549__AT3G23330.1 transcript:fgenesh2_kg.3__2549__AT3G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L3A6] MSSSKALIKTLIKNPTRIKSKSQAKQLHAQFIRTQSLSHTSASIVISIYTNLKLLHEALLVFKTLESPPVLAWKSVIRCFTDQSLFSRALASFVEMRASGRCPDHNVFPSVLKSCTMMMDLRFGESVHGFIVRLGMDCDLYTGNALMNMYSKLLGIDSVRKVFELMPRKDVVSYNTVIAGYAQSGMYEDALRMVREMGTSDLKPDAFTLSSVLPIFSEYVDVLKGKEIHGYVIRKGIDSDVYIGSSLVDMYAKSARIEDSERVFSHLYRRDSISWNSLVAGYVQNGRYNEALRLFRQMVSAKVRPGAVAFSSVIPACAHLATLHLGKQLHGYVLRGGFGRNIFIASALVDMYSKCGNIQAARKIFDRMNLHDEVSWTAIIMGHALHGHGHEAVSLFEEMKRQGVKPNQVAFVAVLTACSHVGLVDEAWGYFNSMTKVYGLNQELEHYAAVADLLGRAGKLEEAYDFISKMRVEPTGSVWSTLLSSCSVHKNLELAEKVAEKIFTIDSENMGAYVLMCNMYASNGRWKEMAKLRLRVRKKGLRKKPACSWIEMKNKTHGFVSGDRSHPSMDRINEFLKAVMEQMEKEGYVADTSGVLHDVDEEHKRELLFGHSERLAVAFGIINTEPGTTIRVTKNIRICTDCHVAIKFISKITEREIIVRDNSRFHHFNRGSCSCGDYW >fgenesh2_kg.3__2550__AT3G23340.1 pep chromosome:v.1.0:3:10697510:10700715:1 gene:fgenesh2_kg.3__2550__AT3G23340.1 transcript:fgenesh2_kg.3__2550__AT3G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVFGGKFKLGRKIGSGSFGELYIGINVQTGEEVALKLEPVKIKHPQLHYESKVYMLLQGGTGVPHLKWFGVEGYYNCMAIDLLGPSLEDLFNYCTRNFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKMLTPVEVLCKSFPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQSGSISKPRPNPKPALDPPGPSAERNEKPLVGQDLRERFSGAVEAFTRRNVSSHGIRPKHIFSDDASKEVQVSEKTRNEIATKMAVISSSQPGSSGELSENRSSKLFTSGAQKIQPGQETKSSTRLGRDDGLRSFDLLTIGSGKRK >fgenesh2_kg.3__2553__AT3G23370.1 pep chromosome:v.1.0:3:10706169:10709402:1 gene:fgenesh2_kg.3__2553__AT3G23370.1 transcript:fgenesh2_kg.3__2553__AT3G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7L3B1] MAIARLLSPKLTPHFNNRGFVPLTRRWLSSSIEDGRKYERLPDSEASTNKDIGQKGVRWYSLGGLLTNLKQKIMGNVILMDKSSGEDSVSPKSPVVSSSDVTATKVVTLQNVAESEKCVSSSIKEESPVSEMGSEDASQSVAAENKNCITSRVKEESPVSEMGSQDVSQSVAGIETPEKRESIFVSNERLDNINRLDSLEFGQEKVLRSIRTERADPSEEQSSKDVVLGFLTEEVSESLTSSRKNIGKFQPEKGLFDKANPPQNSLSKLFVNSDPFKEVKLPLKFEALSNSDSSMSTGESCIDAGDQHGLFGKMLSDPGQKIKSISKENDKHRLSDVPQNLDSLTSVLLRDWIDDQKSGDLAAIRERKSIFLLESSRNTVVPMAVGSMKKLMDSLNLPTDNGMDAQANSLNASSSEEKSISKSNSAFQKSDGFCATEEEESKGETLVMENQSLCSQATLAATTANPKVTKKSLFALSAGEHSPNKVLLRFLQESCQKKHIVEVFSQFGAVLHVQEIPSFEGCIYKDALLTFETNTAVKKALEKGRVTVMNNNAVVEATSQEDMVERICIPDLIGDPDVPVALVKEPSRTVKIHPLTHDFSSNQIKEALKFCRSNISKFILGSSRTDAFVEFETEDGKERALAEHSISICNTQLFISRIDIPRTTVARISNLSKSAMKDVRALCVPYGQIKQVYIRGNGVVDVLFDVSEWPNMLNILNSLNGMGIDGKKLVVRPATTVIPPEILRVLWKDPQEKRYVKSVIQNLVREIEQPLDATRCHTLMTDLLV >fgenesh2_kg.3__2555__AT3G23390.1 pep chromosome:v.1.0:3:10732072:10733077:1 gene:fgenesh2_kg.3__2555__AT3G23390.1 transcript:fgenesh2_kg.3__2555__AT3G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L36a/L44 [Source:UniProtKB/TrEMBL;Acc:D7L3B4] MVNIPKTKNTYCKNKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQSCKHFSQRPIKRCKHFEIGGDKKGKGTSLF >fgenesh2_kg.3__2556__AT3G23410.1 pep chromosome:v.1.0:3:10744116:10747900:1 gene:fgenesh2_kg.3__2556__AT3G23410.1 transcript:fgenesh2_kg.3__2556__AT3G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-alcohol oxidase [Source:UniProtKB/TrEMBL;Acc:D7L3B6] MGKYKVAGKFGLRDITEAEMESLASFCEAVLPPVLPPEEVSSEGDNHRNKEALRSFYSTSGSQTPVLRQSIELITKRGTIEAYLATRLILFLLATRLGTLLICGTECLVSRWPFVEKFSELSLEKRERVLQKQFRNWFLTPIRAAFVYIKVAFLFCFFSRVTPDGENPAWEAIGYHVNLDENKPSETRKERPLEKGIVETMEETEQTLLELLAQKGLEADLDTVHDAIRIKCDAVVVGSGSGGGVAASVLAKSGLKVVVLEKGSYFTPSDYRPFEGPGLDKLYENGGILPSVDGNLMVLAGATVGGGSAVNWSACIKTPKSVLQEWSEDQNIPLFATKEYVSAMEVVWERMGVTDKCEMESFQNQVLRKGCQNLGVNVENVPRNSSESHYCGSCGYGCIQGDKKGSDRTWLVDAVSQGAVILTGCKAERFILEKNSGNEGGKKMKCLGVMAKSLNGNIAKTLKIEAKVTVSAGGALLTPPLMISSGLRNRHIGKNLHLHPVLMAWGYFPMKESSNFKGKSYEGGIITSVSKVLSEDSEVRAIIETPALGPGSFSVLCPWTSGLDMKKRMARYSRTASLITIVRDRGSGEVKTEGRISYAVDKTDRDNLKAGLRQSLKILIAAGAEEVGTHRSDGQRLICKGSDENSIEEFLDSVSTEEGPKGMTEKWNVYSSAHQMGSCRIGENEKEGAIDLNGESWEAEKLFVCDASALPSAVGVNPMITVMSTAYCISTRIAKSMTAGLSH >fgenesh2_kg.3__2558__AT3G23440.1 pep chromosome:v.1.0:3:10778316:10778587:-1 gene:fgenesh2_kg.3__2558__AT3G23440.1 transcript:fgenesh2_kg.3__2558__AT3G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L3B8] GASRKRKETESDPRATAPNWLLAGYMAHEYLTCGTMLGRKLYPGWAEVGPHIEAKKAHQSYSEVATVFKTDGNHVPGVVNPTQLAKWIQM >fgenesh2_kg.3__2560__AT3G23490.1 pep chromosome:v.1.0:3:10799357:10801179:-1 gene:fgenesh2_kg.3__2560__AT3G23490.1 transcript:fgenesh2_kg.3__2560__AT3G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyanate hydratase [Source:UniProtKB/TrEMBL;Acc:D7L3C1] MEAAKKQSVTNQLLAVKTASGKTFSQLAAETGLTNVYVAQLLRRQAQLKPDTVPKLKEALPALTDELIGDMMSPPWRSYDPNLIQEPTIYRLNEAVMHFGESIKEIINEDFGDGIMSAIDFYCSVDKIKGVDGNNRVVVTLDGKYLSHSEQRTENMVSRLNLKGSTSE >fgenesh2_kg.3__2565__AT3G23550.1 pep chromosome:v.1.0:3:10819282:10821792:-1 gene:fgenesh2_kg.3__2565__AT3G23550.1 transcript:fgenesh2_kg.3__2565__AT3G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7L3C4] MAAPTSKDDHEGEGGREKSSTYVQQLIDVEEAKTQIIYSLPMIFTNLFYYCIPLTSVMFASHLGQLELAGATLANSWATVTGFAFMTGLSGALETLCGQGFGAKSYRMLGIHLQSSCIVSLVFSILTTILWFFTESIFGLLRQDPSISKQAALYMKYLAPGLFAYGFLQNILRFCQTQSIVTPLVVFSFVPLVINIGTTYALVHLAGLGFIGAPIATSISMWIAFVSLGIYVIWSDKFKETWTGFSMESFRYVVLNLTLSIPSAAMVCLEYWAFEILVFLAGLMPNPEITTSLVAICVNTESISYMLTCGLSAATSTRVSNELGAGNVKGAKKATSVSVKLSLVLALGVVIAILVGHDAWVGLFSNSHVIKEGFASLRFFLAASITLDSIQGVLSGVARGCGWQRFATVINLGTFYLIGMPISVLCGFKLKLHAKGLWIGLICGMFCQSSSLLLMTIFRKWIKLNAATV >fgenesh2_kg.3__2566__AT3G23560.1 pep chromosome:v.1.0:3:10825200:10827633:-1 gene:fgenesh2_kg.3__2566__AT3G23560.1 transcript:fgenesh2_kg.3__2566__AT3G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7L3C5] MADPATSSPLLDNHEGGEDERGRRGSSTLVQKLIDVEEAKAQMIYSLPMILTNVFYYCIPITSVMFASHLGQLELAAATLANSWATVSGFAFMVGLSGALETLCGQGFGAKNYRMLGVHLQSSCIVSLVFSILITIFWFFTESIFGLLRQDPSISKQAALYMKYQAPGLLAYGFLQNILRFCQTQSIITPLVFFSLVPLVINIGTAYVLVYLSGLGFIGAPIATSISLWIAFLSLGTYVICSEKFKETWTGFSLESFRYIVINLTLSIPSAAMVCLEYWAFEILVFLAGMMPNPEINTSLVAICVNTEAISYMLTYGLSAAASTRVSNELGAGNVKGAKKATSVSVKLSLVLAFGVVIVLLVGHDGWVGLFSNSHVIKEEFASLRFFLAASITLDSIQGVLSGVARGCGWQRLVTVINLATFYLIGMPIAAFCGFKLKFYAKGLWIGLICGIFCQSSSLLLMTIFRKWTKLNAATV >fgenesh2_kg.3__2567__AT3G23570.1 pep chromosome:v.1.0:3:10830468:10832994:-1 gene:fgenesh2_kg.3__2567__AT3G23570.1 transcript:fgenesh2_kg.3__2567__AT3G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dienelactone hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7L3C7] MSGHQCTENPPHLDPNSGSGHVEKLGNLDTYVSGSTHSKLAVLLVSHVFGYETPNLRKLADKVAEAGFYAVVPDFFYGDPYNPENKDRPLLTWAKDHGQEKGFEDSKPIVEALKNKGITSIGAAGFCWGAKVAVELAKQKLVDATVLLHPSRVTVDDIKDVNIPIAVLGAELDQVSPPELVRQFEDILASKPEVKSFVKIFPRVKHGWTVRYNENDPSEVEAAEEAHMDMLAWLIDYVK >fgenesh2_kg.3__2568__AT3G23580.1 pep chromosome:v.1.0:3:10833709:10836585:1 gene:fgenesh2_kg.3__2568__AT3G23580.1 transcript:fgenesh2_kg.3__2568__AT3G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNR2/RNR2A [Source:UniProtKB/TrEMBL;Acc:D7L3C8] MGSLKESQRRDMDEDESKEPLLMAQNQRFTMFPIRYKSIWEMYKKAEASFWTAEEVDLSTDVQQWEALTDSEKHFISHVLAFFAASDGIVLENLAARFLNDVQVPEARAFYGFQIAMENIHSEMYSLLLETFLKDSKEKDRLFNAIETIPCISKKAKWCLDWIQSPMSFAVRLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLQKQLPLEKVYQIVHEAVEIETEFVCKALPCDLIGMNSNLMSQYIQFVADRLLVTLGCERRYKAENPFDWMEFISLQGKTNFFEKRVGEYQKASVMSNLQNGSKNYEFTTEEDF >fgenesh2_kg.3__2569__AT3G23590.1 pep chromosome:v.1.0:3:10844080:10850295:1 gene:fgenesh2_kg.3__2569__AT3G23590.1 transcript:fgenesh2_kg.3__2569__AT3G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPGRRTVWDCVIELTKMAQENCIDPRLWASQLSSNLKFFAVELPSTELAEVIVSYICWDNNVPILWKFLERAMALKLVSPLVVLALLSHRVVPSRCTQVAAYRIYLELLKRNMFTIKVHISGPHYQKVMISVANVLRLSKLFDLETSKPGVLLVEFVFKMVLQLLDATLSDEGLLELSQDSSSQWLVKSQEMEIDAPERYNEKTGSLEMLQSLNTIMAIELIAEFLRNTVISRLLYLVSSNRASNWHEFVQKVQLLGENSSALKNSKVLNSGDLLQLISSRRFGYSCDIKVTSSRKSNAIVDFGSLSSFAGLCHGASLSSLWLPLDLVFEDAMDGYQVNPTSAIEIITGLAKTLKEINGSTWHDTFLGLWIAALRLVQRERDPIEGPIPRLDTRLCMSLCIVPLVVANLIEERKYVSVMEKLRNDLITSLQVLGDFPGLLAPPKCVVSAANKAATKAILFLSGGNVGKSCSDVINMKEMPINCSGNMRHLIVEACIARNILDTSAYSWSGYVNGRINQIPQSLPNEVPCWSSFVKGAQLNAAMVNALVSVPASSLAELEKLYEVAVKGSDDEKISAATVLCGASLTRGWNIQEHTVEFLTRLLSPPVPADYSSAENHLIGYACMLNVVIVGIGSVDCIQIFSLHGMVPQLACSLMPICEEFGSYSPSVSWTLPSGEQVSAYSVFSNAFTLLLKLWRFNHPPIEHGVGDVPTVGSQLTPEHLLSVRNSYLVSSETLDRDRNRKRLSEVARAASCQPVFVDSFPKLKIWYRQHQRCIAATLSGLTHGSPIHQTVEALLNMTFGKVRGSQTLNPVNSGTSSSSGAASEDSNTRPAFPAWDILKAVPYVVDAALTACTHGRLSPRQLATGLKDLADFLPASLATIVSYFSAEVSRGVWKPVFMNGVDWPSPATNLSTVEEYITKILATTGVDIPSLAPGGSSPATLPLPLAAFVSLTITYKIDKASERFLNLAGPALECLAAGCPWPCMPIVASLWTQKAKRWFDFLVFSASRTVFLHNQDAVIQLLRNCFSATLGLNAAPMSNDGGVGALLGHGFGSHFYGGISPVAPGILYLRMYRALRDTVSVSEEILSLLIHSVEDIAQNRLSKEKLERLKTVKNGTRYGQSSLATAMTQVKLAASLSASLVWLTGGLGVVHLLIKETIPSWFLSTDKSDREQRPSDLVAELRGHALAYFVVLCGAFAWGVDSRSTASKRRRQAILGSHLQFIASVLDGKISVGCETATWRAYISGLVSLMVSCLPLWVTEIDTEVLKSVSSGLRKWGKEELAIVLLSLGGLRTMDYAADFIIHLRS >fgenesh2_kg.3__256__AT3G03090.1 pep chromosome:v.1.0:3:902092:919272:-1 gene:fgenesh2_kg.3__256__AT3G03090.1 transcript:fgenesh2_kg.3__256__AT3G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7L0D4] MGFDPENQSISSVGQVGDSSSGEINAQKEPLIKENHSPENYSVLAAIPPFLFPALGALLFGYEIGATSCAIMSIKSPTLSGITWYDLSSVDVGILTSGSLYGALIGSIVAFSVADTIGRRKELILAAFLYLVGAIVTVVAPAFSILIIGRVTYGMGIGLTMHAAPMYIAETAPSQIRGRMISLKGIFHCSWDGCYGIGSLWVTVISGWRYMYATILPLPVIMGIGMCWLPASPRWLLLRALQRKGNVENLQQAAIRSLRRLRGSAIADSAAEQVNEILAELSFVGEDKEATFGELFRGKCLKALTIAGGLVLFQQITGQPSVLYYAPSILQTAGFSAAADATRISILLGLLKLVMTGLSVIVIDRVGRRPLLLGGVSGMVISLFLLGSYYMFYKTVPAVAVAALLLYVGCYQLSFGPIGWLMISEIFPLKLRGRGISLAVLVNFGANALVTFAFSPLKELLGAGILFCAFGVICVVSLFFIYYIVPETKGLTLEEIEAKCL >fgenesh2_kg.3__2570__AT3G23600.2 pep chromosome:v.1.0:3:10850521:10852542:1 gene:fgenesh2_kg.3__2570__AT3G23600.2 transcript:fgenesh2_kg.3__2570__AT3G23600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase [Source:UniProtKB/TrEMBL;Acc:D7L3D0] MSGPQCCENPPTLNPVSGSGHVEKLGGLDAYVSGSPDSKLCVLLISDVFGYEAPNLRALADKVAASGFYVVVPDYFGGDPFDPSNQDRPIAVWIKDHGWDKGFDNTKPVLEAIKNKGITAIGAAGMCWGVVELSKEELIQAAVLLHPSFVTVDDIKGGKAPIAILGAEIDQMSPPALLKQFEEILASKPEVNSYVKIYLKVSHGWTVRYSIDDPEAVKAAEEAHKEMLDWFATYVK >fgenesh2_kg.3__2575__AT3G23620.1 pep chromosome:v.1.0:3:10856539:10858647:1 gene:fgenesh2_kg.3__2575__AT3G23620.1 transcript:fgenesh2_kg.3__2575__AT3G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brix domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L3D3] MEIRTPKTGKAKRVLENRAPKLVETGKKTLILHGTKTSATLSSVLMELYRLKKGGAIRYSRRNENIRPFESGGETSLEFFSQKTDCSIFVYGSHTKKRPDNLVLGRMYDHQVYDLIEVGIENFKTLLAFSYDKKFAPHEGSKPFICFTGEGFENVPELKHLKEVLTDLFRGEVVDNLNLTGLDRAYVCSAISPTKVFLTHCALKLKKSGSIVPRMELVEVGPSMDLVIRRNRLPNESLMKEAMRTSKDKPKKKVKNVDQDAVLGKTGKIYMPDQKLGDMKLLDKSKGLKRERKEAKLKHKEETVSKKMKESSE >fgenesh2_kg.3__2578__AT3G23650.1 pep chromosome:v.1.0:3:10970169:10970650:-1 gene:fgenesh2_kg.3__2578__AT3G23650.1 transcript:fgenesh2_kg.3__2578__AT3G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L3F2] MRAKISDFGCMKLLPEGVDSVETATVVGTFGYIDPDYVGSKRVTKKADVYAFGVILMELITGRKANDETLSDDEQNVVRWLRPKIKDTTFLNLIDGTIATDEETIESIKKIAKLAEYCTSQEVESRPD >fgenesh2_kg.3__2579__AT3G23660.1 pep chromosome:v.1.0:3:10988906:10993344:-1 gene:fgenesh2_kg.3__2579__AT3G23660.1 transcript:fgenesh2_kg.3__2579__AT3G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETANTDLEGIDGVRMTWNVWPRSKVEASKCVIPLAACISPIRRHSDIPTLPYAPLRCRTCSAALNAYAQVDFTAKLWICPFCYQRNHFPPHYHVISETNLPGELYPQYTTVEYTLPPPVAGQFDPRNGEVPSQAVFVFVLDTCMIEEELDFAKSALKQAIGLLPENALVGFVSFGTQAHAHELGFSEMSKVFVFKGDKEISKDQILDQLGLEGSSRRYTKGAQNGVPSSGLNRFLLPASECEFTLNSLLDELQSDQWPVQPGHRSQRCTGVALSVAAGLLGACLPGTGARIVALIGGPCTEGPGTIVSKDLSDPVRSHKDLDKDAAPYYKKAVKFYDSIAKQLVTQGHVLDLFASALDQVGVAEMKVAIERTGGLVVLSESFGHSVFKDSFKRVFEDGDQALGLCFNGTLEICCSQDIKIQGAIGPCSSLEKKGASVADTVIGEGNTSAWRLCGLDKTTCLTVFFDISSSGSNTPGAANPQFYLQFLTSYQNPEGQTLLRVTTVCRQWIDSAVSSEELVQGFDQETAAVVMARLASLKMESEEGFDATRWLDRNLIRLCSKFGDYRKDDPASFTLNPYFSLFPQFIFNLRRSQFVQVFNNSPDETAYFCMLLNRENISNATVMIQPSLTTYSFNSPAEPALLDVASIAADRILLLDAYFSVVVFHGMTIAQWRNMGYHHQPEHQAFAELLQAPQEDSQMLVRERFPVPRLVVCDQHGSQARFLLAKLNPSATYNNANEMSTGSDVIFTDDVSLQVFFEHLQKLVVQS >fgenesh2_kg.3__2587__AT3G23700.1 pep chromosome:v.1.0:3:11010293:11013020:-1 gene:fgenesh2_kg.3__2587__AT3G23700.1 transcript:fgenesh2_kg.3__2587__AT3G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S1 RNA-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L3G2] MAVFGGATTLGSVSFSSHLFDQQSCFLSCPLRLLPSSSSSSSPSHRNPLLCIVKSFSSSATADTDRNSDQSASSSVLSASNSLLRDTSNDAAGPSDWKTAKAYCKSGDTFEGEVEGFNGGGLLIRFHSLVGFLPYPQLSPSRSCKEPQKSIHEIAKTLVGSKLPVKVVQADEENRKLILSEKLALWPKYSQNVSVGDVFTGRVGSVEDYGAFIHLRFDDGLYHLTGLVHVSEVSWDYVQDVRDVLRDGDEVRVIVTNIDKEKSRITLSIKQLEDDPLLETLDKVILKDSSSGSPSLSSNNGDTIEPLPGLETILEELLKEDGIEAVKINRQGFEKRVVSQDLQLWLSNTPPSDGKFVLLARAGRQVQEIHLTTFLEQGGIKKALQHVLERVP >fgenesh2_kg.3__2588__AT3G23710.1 pep chromosome:v.1.0:3:11014700:11017200:1 gene:fgenesh2_kg.3__2588__AT3G23710.1 transcript:fgenesh2_kg.3__2588__AT3G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNIFPPSKHQNQFNIQQSFSNLQSQCSNLLHNVSQTLNPLFNPNKNNNRNIFSALNSLRDQAKQALDSRISRFNSGKAPVWARISDDVGGGGGARTQVTAPVRGSGKGLTADAIEERLAGVPVYALSNSNEEFVLVSGTSSGKSLGLLFCKEEDAETLLKQMKSMDPRMRKEGSKVVALALSKVFQLKVNGVAFRLIPESTQVKNALKERKTAGIDDDDFHGVPVFQSKSLILRSENMSYRPVFFRKEDLEKSLIRASSQQNRLNPALKPGDIQVAVFEDIVKGMKESTTSNWDDIVFIPPGFEVSTEQTQE >fgenesh2_kg.3__2589__AT3G23730.1 pep chromosome:v.1.0:3:11042513:11043716:1 gene:fgenesh2_kg.3__2589__AT3G23730.1 transcript:fgenesh2_kg.3__2589__AT3G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7L3G9] MGQILNLTVLMTLLVVTMVGTAFSGSFNEEFDLTWGEHRGKIFSGGKMLSLSLDRVSGSGFKSKKEYLFGRIDMQLKLVAGNSAGTVTAYYLSSEGPTHDEIDFEFLGNETGKPYVLHTNVFAQGKGNREQQFYLWFDPTKNFHTYSLVWRPQHIIFMVDNVPIRVFNNAEKLGVPFPKNQPMKIYSSLWNADDWATRGGLVKTDWSKAPFTAYYRGFNAAACTVSSGSSFCDPKFKSSFTNGESQVANELNAYGRRRLRWVQKYFMIYDYCSDLKRFPQGFPPECRKSRV >fgenesh2_kg.3__2590__AT3G23740.1 pep chromosome:v.1.0:3:11044270:11047243:-1 gene:fgenesh2_kg.3__2590__AT3G23740.1 transcript:fgenesh2_kg.3__2590__AT3G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNQISRKRMRFSSPSATEILTLRISQNQRIATAARVHQSPSLYGKIDSKIVRTYPRVTIKDIRLRRIFSPTPISTDCECNGKGNVDGDNFRQTTPPDSELLSSVPIREDINGSAVKKSDTNLCSKSVLHPCSRPKIFKNTGSFSYKRLLPYLMQASDDGTSSSQCSKPLSQNPPSMSLSCNKETGETVEDLKEESDRVFDKDSAGSLCGNTSPLTRVNASLPNKRAACSKRKLFKTPGSVNYRRMLPYLKDIQEDNPFDHQKNTEEVTPSSMLISENEGTQEVVTSNVTRESDICSNKNEEPLSCERLSVFPEQSDPDKEQETQIKHVISDTENNLGSEIPLSSPLVGSRSSSEVASSALHNTFLDNLVGEGNMNGAEITEAKTSVEQLEANTSDATAELIDPSVILATPPSISPSKGILKRSMRGCRGICSCLNCSSFRLNAERAFEFSRNQLQDTEVMVLDLVGEISHLRDMLEKYKSEDHSESYKSQAGEAAKRACEAAELAKSRLHQMNDDYQVHCRIPNEQRARVKFAHYVHEKTMNLPN >fgenesh2_kg.3__2591__AT3G23750.1 pep chromosome:v.1.0:3:11051322:11054429:1 gene:fgenesh2_kg.3__2591__AT3G23750.1 transcript:fgenesh2_kg.3__2591__AT3G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7L3H1] MEAPTPLLLLFLLTTITFFTTSVADDGTAMLALAKSFNPPPSDWSTTTSTDFCKWSGVRCTGGRVNIISLADKSLTGFIAPEISTLSELKSVSIQRNKLSGKIPSFAKLSSLQEIYMDDNFFVGVETGAFAGLTSLQILSLSDNKNITAWSFPSELVDSTSLTKIYLDNTNIVGVLPDIFDSFASLQDLRLSYNNITGVLPPSLAKSSIQNLWINNQELGMSGTIEVLSSMTSLSQAWLHKNQFFGPIPDLSKSENLFDLQLRDNQLTGIVPPTLLSLGSLKNISLDNNKFQGPLPLFPSEVKVTIDHNDFCTTKAGQTCSPQVMTLLAVAGGLGYPSMLAESWQGDDACSGWAYVTCDSAGKNVVTLNLGKHGFAGFISPAIANLTSLKSIYLNDNNLTGVIPKELTFMTSLQLIDVSNNNLRGEIPKFPAAVKFNYKPGNALLGTNAGDGSTPGTGGASGGSGGSSGGGGSKVGVIVGVIVAVLVFLAILGFVVYKFVMKRKYGRFNRTDPEKAGKILVSDAVSNGGSGGGGYANGHGANNFNALNSPSSGDNSDRFLLEGGSVTIPMEVLRQVTNNFSEDNILGRGGFGVVYAGELHDGTKTAVKRMECAAMGNKGMSEFQAEIAVLTKVRHRHLVALLGYCVNGNERLLVYEYMPQGNLGQHLFEWRELGYSPLTWKQRVSIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMEILTGRKALDDTLPDERSHLVTWFRRILINKENIPKALDQTLEADEETMESIYRVAELAGHCTAREPQQRPDMGHAVNVLGPLVEKWKPSCQEEEESFGIDVNMSLPQALQRWQNEGTSSSTMFHGDFSYSQTQSSIPPKASGFPNTFDSADGR >fgenesh2_kg.3__2592__AT3G23760.1 pep chromosome:v.1.0:3:11058378:11060092:-1 gene:fgenesh2_kg.3__2592__AT3G23760.1 transcript:fgenesh2_kg.3__2592__AT3G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPLHSFIVILLVLSAGDKTAAVNEPELEMEPVPAVWPEQFHALMLMNKSGALEIVDLWYDWVNGRNFNIIQKQLGKLTYDLEWNNGTSFYYTLDASRTCRTVHFEVGILRPNWLDGAKYLGQRHVNGFLCNVWEKVEFLWYYEDIVTKRPVQWIFYTGREAHVMTFDVGAVLEDEKWQAPVYCFHNEKKEVQ >fgenesh2_kg.3__2593__AT3G23770.1 pep chromosome:v.1.0:3:11067393:11069173:1 gene:fgenesh2_kg.3__2593__AT3G23770.1 transcript:fgenesh2_kg.3__2593__AT3G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFALFLFTFLVLSSSCFSAIGSQNNRTVLALASRIGINYGKLGNNLPSPYQSINLIKTLKAGHVKLYDADPETLKLLSKTNLYVTIMVPNNQIISIGADQAAADNWVATNVLPYYPQTRIRFVLVGNEILSYNTDQDKQIWANLVPAMRKVVNSLRARGIHNIKVGTPLAMDALRSSFPPSSGTFREDIAVPVMLPLLKFLNGTNSFFFLDVYPYFPWSTDPVNNHLDFALFESNSTYTDPQTGLVYTNLLDQMLDSVIYAMTKLGYPNIPLAISETGWPNSGDIHEIGANILNAATYNRNLIKKMTANPPLGTPARRGSPIPTFLFSLFNENQKPGSGTERHWGILNPDGTPIYDIDFSGTRSVSSFGTLPKPSNNVPFKGNVWCVAVDGADEAELGLALNFACGRNNETCAALAPGGECYAPVTVTWHASYAFSSYWAQFRNQSSQCYFNGLARETTINPGNERCKFPSVTL >fgenesh2_kg.3__2594__AT3G23810.1 pep chromosome:v.1.0:3:11080983:11082915:1 gene:fgenesh2_kg.3__2594__AT3G23810.1 transcript:fgenesh2_kg.3__2594__AT3G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosylhomocysteinase [Source:UniProtKB/TrEMBL;Acc:D7L441] MALLVEKTSSGREYKVKDMSQADFGRLEIELAEVEMPGLVSCRTEFGPSQPLKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEIFEKTGTFPDPTSTDNPEFQIVLAIIRDGLQVDPKKYHKMKERLVGVSEETTTGVKRLYQMQETGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVICGYGDVGKGCAAAMKTAGARVIVTEIDPICALQALMEGLQVLTLEDVLPEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPDTKSGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNEKSSGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLSKDQSDYVSIPIEGPYKPVHYRY >fgenesh2_kg.3__2597__AT3G23840.1 pep chromosome:v.1.0:3:11159294:11160732:1 gene:fgenesh2_kg.3__2597__AT3G23840.1 transcript:fgenesh2_kg.3__2597__AT3G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVQEEGSGPVHGFRLSTVSASQPSETDTTHEPTGLDLAMKLHYLKAVYIYSAETARDLTVMHVKTPLFSVFDQIPCIIGRFRRHDSGRPYIKCNDCGTRFVESHCDLTVEEWLHVPDRSVDEALVYHQPVGPELAFSPLLYIQMTRFSCGGLALGLSWAHAMGDPFSLSHFFNLWAQAFAGEKIYSPKTSDTERVCQNPNSTVKKPNSIKRVDPVGDLWVAPNNSKMTTFSFNLTVNDLKSHFPANGDDEFKILAGIIWKCVATARGESAPVTITVIRLDPKELKPRAVRNGQMISSVHVDFSVAEASLEEIVKAIGEARDERFGIDDIVDDVSDFIVYGANLTFVDMSEVDFYEAKVMGKSPESVYCNVQGIGDDGAVVVLPGVVEEERVVTVTLPEDEIEKVKWEMKKCGLITPLV >fgenesh2_kg.3__2599__AT3G23880.1 pep chromosome:v.1.0:3:11184020:11185138:-1 gene:fgenesh2_kg.3__2599__AT3G23880.1 transcript:fgenesh2_kg.3__2599__AT3G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L456] MMEEILLRLPVKSLTRFKCVCSSWRSLISETLFSLKHALILEALKATTSKKSPYGVITTSRYHLKSCCVNSLYNESTVNVFEHDGELLGRDYYQVVGTCHGLVCFHVDYEKSLYLWNPTIKVQQRLPGSDLETSDDEFVVTYGFGYDESEDDYKVVALLQKRHQMKTEAKIYSTRQKLWSSNTCFPSGVVVADKSRSGVYINGTLNWAATSSSSPWTIISYDMSRDEFKQLPGPVYCSRGCFTMTLGDLRGCLSMVCYCKGANADIWVMKEFGEGESWSKLLSIPGLTDFVRPLWISDGLVVLLEFRSGLALYNCANGGFQYPVSDSLSGCRDAKVYVKTMVSPNDL >fgenesh2_kg.3__2604__AT3G24240.1 pep chromosome:v.1.0:3:11220316:11224290:-1 gene:fgenesh2_kg.3__2604__AT3G24240.1 transcript:fgenesh2_kg.3__2604__AT3G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSLIFFSSSSFLFSFFFFFIFCFSLSDAEQNPEASILYSWLHSSSPTPSSLPLFNWNSIDNTPCDNWTFITCSPQGFVTDIDIESVPLQLSLPKNLPALRSLQKLTISGANLTGTLPESLGDCLGLTVLDLSSNGLVGDIPWSLSKLRNLETLILNSNQLTGKIPPDISKCLKLKSLILFDNLLTGPIPLELGKLSGLEVIRIGGNKEISGQIPPEIGDCSNLTVLGLAETSVSGNLPSSLGKLKKLQTLSIYTTMISGEIPSDLGNCSELVDLFLYENSLSGSIPREIGKLSKLEQLFLWQNSLVGGIPEEIGNCSNLKMIDLSLNLLSGSIPTSIGRLSFLEEFMISDNKISGSIPTTISNCSSLVQLQLDKNQISGLIPSELGTLTKLTLFFAWSNQLEGSIPPGLAECTDLQALDLSRNSLTGTIPSGLFMLRNLTKLLLISNSLSGFIPQEIGNCSSLVRLRLGFNRITGEIPSGIGSLKKLNFLDFSSNRLHGKVPDEIGSCSELQMIDLSNNSLEGSLPNPVSSLSGLQVLDVSANQFSGKIPASLGRLVSLNKLILSKNLFSGSIPTSLGMCSGLQLLDLGSNELSGEIPSELGDIENLEIALNLSSNRLTGKIPSKIASLNKLSILDLSHNMLEGDLAPLANIENLVSLNISYNSFSGYLPDNKLFRQLPLQDLEGNKKLCSSSTQDSCFLTYGKGNGLGDDGDSSRTRKLRLALALLITLTVVLMILGAVAVIRARRNIENERDSELGETYKWQFTPFQKLNFSVDQIIRCLVEPNVIGKGCSGVVYRADVDNGEVIAVKKLWPAMVNGGHDEKTKNVRDSFSAEVKTLGTIRHKNIVRFLGCCWNRNTRLLMYDYMPNGSLGSLLHERRGSSLDWDLRYRILLGAAQGLAYLHHDCLPPIVHRDIKANNILIGLDFEPYIADFGLAKLVDEGDIGRCSNTVAGSYGYIAPEYGYSMKITEKSDVYSYGVVVLEVLTGKQPIDPTVPEGLHLVDWVRQNRGSLEVLDSTLRSRTEAEADEMMQVLGTALLCVNSSPDERPTMKDVAAMLKEIKQEREEYAKVDLLLKKSPPPTTTMQEESRKNEMTMISAAAASSSKEMRREERLVKSNNTSFSASFLLYSPSSSIE >fgenesh2_kg.3__2608__AT3G24210.1 pep chromosome:v.1.0:3:11284301:11286780:1 gene:fgenesh2_kg.3__2608__AT3G24210.1 transcript:fgenesh2_kg.3__2608__AT3G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7L473] MENVDVSKYRHSSVHRAVASKDYAGLKAILFSLPKPKDPCEIQTEAASLAEEAKSDDISAVIDRRDVPSRDTPLHLAVKLCDSTSVEMLMVAGADWTLQNEDGWNALQEAVCSRQEAIAMIIVRHYQPLAWAKWCRRLPRLIATMSKMKDFYLEMSFHFESSVVPFVSKVAPSDTYKVWKVGSNLRADMTMAGFDGFKIQRSDQSILFLGDGSEDGEVARGSLYMVNHKDKEVVNALDGACGVPSEEEVRKEVAAMCKSNIFRPGIDVTQAVLSPQTNWRRQEKSEMVGPWKAKVYEMNNVVVSIKSRKVPGSSAVEGGDDDLCDVLTDEEQKQLEAALKLDLPDFPNVNGENGFIDDDHHQQDHIEANKKSEKKGWLGGWRSKKETKQEKQIQYAPPRSSLCVNEKVSNLLGESNQIKPGRHSVDNEHYRKPKALVSDKTRHESEYKKGLRPVLWLSPNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPSGTFPVKVAIPVVPTIKVLVTFTKFEEIEPLDEFKTPLSSPTTSGYESPAAATTEFSNSSSSWFRRSRSNKDGASSKSKTLQDPFAIPTGYTWVTLESKKRKQKKGGKKAEQDS >fgenesh2_kg.3__2611__AT3G24190.1 pep chromosome:v.1.0:3:11300265:11304918:-1 gene:fgenesh2_kg.3__2611__AT3G24190.1 transcript:fgenesh2_kg.3__2611__AT3G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 family protein [Source:UniProtKB/TrEMBL;Acc:D7L475] MEAAVPRLVYCGPEPIRFSVSSRRSFVSGIPHRSKRSRRILAVATDPKPTQTSPSKSTTVNGSSSSPSSVSKGVNNNVSTRINDVSKEIKRVRAQMEEDEQLSVLMRGLRGQNLKDSVFADDNIQLRLVETGESSEFLPLVYDPETISAYWGKRPRAVASRVIQLLSVAGGFLSRIAGDVINKKVKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSYPDDVAMALIEEELGKPWHDIYSELSPSPIAAASLGQVYKGRLKENGDLVAVKVQRPFVLETVTVDLFVIRNLGLFLRKFPQVSVDVVGLVDEWAARFFEELDYVNEGENGTYFAEMMKKDLPQVIVPKTYQKYTSRKVLTTSWIDGEKLSQSIESDVGELVNVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPDGVNLAPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPEFAIVDEAYPYIAQRLLTDESPRLREALRYTIYGKTGVFDAERFIDVMQAFETFITAAKSGGGEDMNGGMAELALMQSKTSSLVPMFPASASQPDQPVQTRVALSFLLSEKGNFFREFLLDEIVKGIDAITREQLVQAMAIFGFRNATPVFGMLPPTLGPFKPAALLPSVTEEDKVILNNVQKVIEFLTARSSMSNNPDQVVDVSQVVRELLPVLPGISATVLPEIMSRLGSRVMARIVRDAFL >fgenesh2_kg.3__2612__AT3G24180.1 pep chromosome:v.1.0:3:11328045:11335031:1 gene:fgenesh2_kg.3__2612__AT3G24180.1 transcript:fgenesh2_kg.3__2612__AT3G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:D7L477] MVGATLFHRRKHSWPAEEFISRSTLQLLDFDCAAPPPHAWRRRLNCHANILKEFTITFREAIKMVRLGIRLWSYVREEASHGRKAPIDPFTKENCKPSASQGVPLGGMGSGSISRGFRGEFKQWQITPGTCDPSPMMSNQFSIFISRDGGHKKYASVLSPGQHGSLGKSRDKGLSSWGWNLNGQHSTYHALFPRAWTIYDGEPDPELKISCRQISPFIPNNYRDSSLPAAVFVYTLVNTGKERAKVSLLFTWANSMGGTSHMSGGHVNEPFIGEDGVSGVLLHHKTGKGNPPVTFAIAASETQNVNVTVLPCFGLSEDSSFTAKDMWDTVEKDGKFDQENFNSGPSTPSLAGDTICAAVSASAWVEAHGKCTVSFALSWSSPKVKFSKGSTYDRRYTKFYGTSPRAALDLVHDALTNYKRWEEDIEAWQNPILRDERLPEWYKFTLFNELYFLVAGGTVWIDSSSLNANGNSQHQQSGLGNSDGMAGGLDINDQRNDLANGNSVGVKSNDEVSAIHNRNGLFVDTRHVDDGDDVGRFLYLEGVEYVMWCTYDVHFYASYALLMLFPKIELNIQRDFAKAVLSEDGRKVKFLAEGNVGIRKVRGAVPHDLGMHDPWNEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDYQFGIDVWPAVRAAMEYMEQFDRDNDDLIENDGFPDQTYDTWTVHGVSAYCGCLWLAALQAAAAMALQIGDKFFAELCKNKFLNAKAALETKLWNGSYFNYDSGASSNSKSIQTDQLAGQWYAASSGLPPIFEESKIRSTMQKIFDFNVMKTKGGKMGAVNGMHPDGKVDDTCMQSREIWTGVTYAAAATMILSGMEEQGFTTAEGIFTAGWSEEGFGYWFQTPEGWTMDGHYRSLIYMRPLAIWGMQWALSLPKAILDAPQINMMDRVHLSPRSRRFSSNFKVVKHKAKCFGNSALSCSC >fgenesh2_kg.3__2618__AT3G24150.1 pep chromosome:v.1.0:3:11344857:11346626:1 gene:fgenesh2_kg.3__2618__AT3G24150.1 transcript:fgenesh2_kg.3__2618__AT3G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVKRAWHSDRHQPIRGSIIRQIFRLAMEAHRAATRKNKEWQEKLPVVVLKAEEIMYSKANSEEEYTDADTMWNRVNDAIDTVIKRDESTETGPLLPPCVEAALNLGCIAVRASRSQRHSSVRTYLGPKLQEPVSASTNEPLYHHEYHQQAQQSSIKPSQTAQAAIPVDVLDNSNKCVATPRGYPFLHESMQMHQKPLAIRQGTSTAPAPTTALSPAPVNLGSVYPLYYGGNNQTQQADMPFRVPEAPIIIGMPIGIKPPEEATERVCDLSLRLGISSEPSTRIDIGSSRAYPGRNQEELCLFSEVKKNDRFDWFSNSEGQNSDSRVKKHKTLCGDFH >fgenesh2_kg.3__261__AT3G03120.1 pep chromosome:v.1.0:3:931212:933405:1 gene:fgenesh2_kg.3__261__AT3G03120.1 transcript:fgenesh2_kg.3__261__AT3G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor B1C (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L0D7] MGQTFRKLFDTFFGNQEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKQEFQDIIRDPFMLNSVILVFANKQDMRGAMSPREVCEGLGLLDLKNRKWHIQGTCALQGDGLYEGLDWLSATLKEVKAA >fgenesh2_kg.3__2623__AT3G24110.1 pep chromosome:v.1.0:3:11393345:11394276:1 gene:fgenesh2_kg.3__2623__AT3G24110.1 transcript:fgenesh2_kg.3__2623__AT3G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7L485] MLCCCVNSENNRKYAELDAKLARKMVESRRIYPGHRSLKSMDSIIMKFPKLREGLRNIRSVFETYDSDKNGTIDIEELKKCLEELKLCLSEEEVKGLYGWCDVDGSKGIQFNEFIVLLCLIYLLSKPSSESNTESKEMGPKLVESIFDPIVEVFLFLDKDGKGKLNKADISFTSSHVTNMRFEEMDWGRKGKVGFREFLFAFMSWVGLDDAEDYMTS >fgenesh2_kg.3__2624__AT3G24090.1 pep chromosome:v.1.0:3:11422836:11425988:1 gene:fgenesh2_kg.3__2624__AT3G24090.1 transcript:fgenesh2_kg.3__2624__AT3G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transaminase [Source:UniProtKB/TrEMBL;Acc:D7L496] MCGIFAYLNFHANKERRYILEILFNGLRRLEYRGYDSAGIAIDDSSTISSPPLVFRQAGNIESLVNSVNEEITNTDLNLDEVFYFHAGIAHTRWATHGEPAPRNSHPQSSGPGDDFLVVHNGVITNYEVLKETLVRHGFTFDSDTDTEVIPKLAKFVFDKANEEGEQTVQFCEVVFEVMRHLEGAYALIFKSWHYPNELIACKLGSPLLLGVKVSNSLSLESRGTFHCSRHSLRLLAWNSVELLQIITLFFRLAFSLTGSKSFGFELDQGKSNSHVFQDAHFLSKNDHPKEFFLSSDPHALVEHTKKVLVIEDGEVVHLKDGGVTILKSENERGRRNGISRIASVERALSVLEMEVEQINKGKYDHYMQKEIHEQPESLTTTMRGRLIRGGSRKTKTVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALASRPILEELSGIPVSMEIASDLWDRQGPIYREDTAVFVSQSGETADTLLALDYARENGALCVGITNTVGSSIARKTHCGVHINAGAEIGVASTKAYTSQIVVMVMLALAIGSDTISSQKRREAIIDGLLDLPYKVKEVLKLDEEMKDLAQLLIDEQSLLVFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDENLPIAVIATRDACFSKQQSVIQQLHARKGRLIVMCSKGDAASVSSSGSCRAIEVPQVEDCLQPVVNIVPLQLLAYHLTVLRGHNVDQPRNLAKSVTTQ >fgenesh2_kg.3__2626__AT3G24080.1 pep chromosome:v.1.0:3:11437203:11439278:1 gene:fgenesh2_kg.3__2626__AT3G24080.1 transcript:fgenesh2_kg.3__2626__AT3G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKAIPDADDGDELPQFTGFELDGEYMKRLEHNKNREALQRHEERKKRGLIDDSEEDSEPESEPDSDLGNPESDLKFVDLLLKVKKKDPIIKNKDAKFFESDDESSEEEDGVDKKDKKKKTKKMYLKDVQAQHLLEEGPEYVEEDEKRKVRTYAEQQGEMRRAVTDALEAGGNESDGDDDFLRVVEKEGDDDVEVDEELAKKIDEYFGEEAEVVENQFLKDYLVKQLWKEKEENIVDKAELKELSDDERAVWDQEDFEAGRPLESSYRHEENAGDIVMGQSRVVEGSVRKKDNARKVQRKSKEERMKLAEMERKEDLKRLKNVKKKEMKEKMKKVLSVAGFKDEEECPLDAKDFDDEFDPEEYDKMMKAAFDDKYYGAEDSDLNSDEDDDGEKPDFDKEDELLGLPKDWDVTKGGDVFTAAREKGLKHKGNVLGDDEEEEEEEVDDAEVEEEEVDEEKEAEGKRKRKRKTSLVQRAKEALMEEYYKLDYEDTIGDLKTRFKYAKVQPNRFELDTGEILTLDDTDLNQYVPLKKMAPYVEKDWEVNKHKVKEQKLKIRELWEGKHNEKKNKKRKKTDVAETKPTPKADEEGEAEAEAKLSRKAKRRRRQAEKKLPASRMAAYGKA >fgenesh2_kg.3__2628__AT3G24068.1 pep chromosome:v.1.0:3:11443381:11443856:1 gene:fgenesh2_kg.3__2628__AT3G24068.1 transcript:fgenesh2_kg.3__2628__AT3G24068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTKAYRLFLVSLALALITTSSLSFAAQVFTIEISNTLAPGSNPISISCISPQRDTWSTVLSRGGSFDFHFDTNQSVKWSCDISSGARKSSFVIFDLNRDKSRCKTDGLCLWQINPDGFYLYVASIQKYQKQFNW >fgenesh2_kg.3__2629__AT3G24065.1 pep chromosome:v.1.0:3:11447824:11448223:1 gene:fgenesh2_kg.3__2629__AT3G24065.1 transcript:fgenesh2_kg.3__2629__AT3G24065.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L4A1] MISTKAYSLFIVSLALAFTSSLSFTAPVFTVKITNNLALGSKPIIISCISSKLDTSSQVLFRGEPFGLMFNTDQSVKWSCDIFSSSGAKKTSFVLFDLDRDKSRCRPDGLCLWQINPDGFYLYVASIQKYQKQ >fgenesh2_kg.3__262__AT3G03130.1 pep chromosome:v.1.0:3:933620:935869:-1 gene:fgenesh2_kg.3__262__AT3G03130.1 transcript:fgenesh2_kg.3__262__AT3G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHCLPRRDLQFFCKRNKIPANMTNIAMADALRDLEIVEGMDEFMDPSRDQSPTSVARNLPSAARTAARTTRRKTTKDETQSSELVTRSCYVVSKSLAGEMDQENKNMNMLQNPSVPQSLAVKLDVTDMMPEANVSKTPAARSTRRAQAAASSKKDESVQRVYSTRRSVRLLEESMADLSLKTNVPAKKHEDSPAGSKFQEKSDENSENTEKGGVMSVRDLNDSLEKEWDGSKNDPDIDILYGDLGDITFFDASTSKEQMNGTDSSTVSASDSFVLVNEQETSKEDGFVVVDHAASTTTTNTLACYKEAESEQMRIDSESESEETEYETDPWEGDDFGVAVDTNQEAFESEVRASDNVSKVDSVTTVLIADESKELDFSPSPLAEEELEVDSDEWSDYEIGEVELEENSCASEESIDIESEEAPGLDKKTPASSSSSSLAGNETKTSLSPFEAESILESDKDKEMAVNNNEEGKAEAEVKKTKKKKKTIDEELRDVSMRQLTKMVKELAIKSKQQHKGPE >fgenesh2_kg.3__2631__AT3G24050.1 pep chromosome:v.1.0:3:11456040:11457761:-1 gene:fgenesh2_kg.3__2631__AT3G24050.1 transcript:fgenesh2_kg.3__2631__AT3G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESFMDDLLNFSVPEEEEDDEENTQPPRNITRRKTGIRQTDSFGLFNTDDLGVVEEEDLEWISNKNAFPVIETFVGVLPLSPEREATEGKQLSPVSVLETSSHSSTTTTATTSNSSGGSNGSTAVATTATTTTTIMSCCVGFKAPAKARSKRRRTGRRDLGVLWTGNEQVGIQKRKTPSVAAAAAMIMGRKCQHCGAEKTPQWRAGPAGPKTLCNACGVRYKSGRLVPEYRPANSPTFTAELHSNSHRKIVEMRKQYQSGDADRNDCG >fgenesh2_kg.3__2632__AT3G24040.1 pep chromosome:v.1.0:3:11464829:11467267:1 gene:fgenesh2_kg.3__2632__AT3G24040.1 transcript:fgenesh2_kg.3__2632__AT3G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 14 protein [Source:UniProtKB/TrEMBL;Acc:D7L4A4] MQKGPEQPRVTLYIILTTAFLSLCFLLSLFSSSHSSSSYTGRPEDLRPDPRLFPSSSKIAADTAPPSIAYLISGSSGDSRRILRLLYATYHPRNRYLLHLDSLATQSERDRIAVAVQDVPIFRAARNVDVIGKPDFAYQRGSSPMASTLHGASILLRLSGAWDWFVNLSVDDYPLVTQDELLHIMSHLPKDLNFVNHTSYIGWKESRRLKPVIVDPGLYLVEKTDMFFASQKRELPKAFKLFSGPSFSILSRNFIEHCVLGTDNFPRTLLMYLSNTPDSLSNYFPTILCNSNIFKKTIINNNLLYLASNDTSKEKYHQLDHKEFTEMVESGAAFARGFRFDDTVLDRIDHELLGRRPGEAVPGGWCLGDSGKNRSSCSVWGDSGILRPGSGSDRLERRIVELLSNDWFRSHQCISE >fgenesh2_kg.3__2633__AT3G24030.1 pep chromosome:v.1.0:3:11467340:11468584:1 gene:fgenesh2_kg.3__2633__AT3G24030.1 transcript:fgenesh2_kg.3__2633__AT3G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyethylthiazole kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L4A5] MESKSEQNEWSSGVWANLTAVRKQSPLVQCITNFVSMDLVANTLLSAGASPAMVHSVVEIPDFTPHIHALCVNVGTLTPDWLPSMKAAAELASKLGKPWVLDPAAVSCSGFRLKACLELIELKPSVIKGNGSEIIALSSASRGQTKGADSSHESTDAIEAAKSLALSSGAVVAVSGAVDIVTDGKQVIGVHNGTKMMQKITATGCSLAGLVAAFLAIDSSRVLQATVSAMSVFGIAGELGEAMANGPGSLRMHLIDSLYGLDETTVLKRVNITRLS >fgenesh2_kg.3__2634__AT3G24020.1 pep chromosome:v.1.0:3:11468651:11469482:-1 gene:fgenesh2_kg.3__2634__AT3G24020.1 transcript:fgenesh2_kg.3__2634__AT3G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7L4A6] MMIKQSPFLLLTVILFTIAVFVAALDPAPEDPIFELYMHDLLGGSSPTARPITGLLGNIYNGQVPFAKQIGFTPPQNGIAIPNANGALPTVNGINGVPLGTGLSGTAYSGQNLNGIQTQLGPDGLSLGFGTITVIDDILTSGPDLGSQPLGKAQGVYVASSADGSTQMMAFTAMFEGGEYNDNLNFYGIYRIGSAMSHLSVTGGTGRFKNACGFAEVRPLIPSGQHVVDGAESLLRIIVHLKY >fgenesh2_kg.3__2635__AT3G24010.1 pep chromosome:v.1.0:3:11470361:11472432:1 gene:fgenesh2_kg.3__2635__AT3G24010.1 transcript:fgenesh2_kg.3__2635__AT3G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein ING [Source:UniProtKB/TrEMBL;Acc:D7L4A7] MSFAEEFEANLVSLAHVLQKKYALLRDLDKSLQENQRQNEQRCEKEIDDIRKGRAGNITPNISLTQFSEEALDEQKHSVRIADEKVALAMQAYDLVDMHVQQLDQYMKKSEERFLSEKEAAAAALELENNGKAGNAGEGGRGGRKKTRLATAASTAAASTGMTSSNMDLDLPVDPNEPTYCICNQVSYGEMVACDNNECKIEWFHFGCVGLKEQPKGKWYCPECATVKKSRKGR >fgenesh2_kg.3__2636__AT3G24000.1 pep chromosome:v.1.0:3:11473325:11475199:-1 gene:fgenesh2_kg.3__2636__AT3G24000.1 transcript:fgenesh2_kg.3__2636__AT3G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L4A8] MALRFPSRLLSQLKLSRRLHSLPAPLSEDSDDESLKFPSNDLEGSYIPVDRRFYNTLLKKCTVFKLLTQGRIVHGHLIQSIFRHDLVMNNTLLNMYAKCGSLEEARKVFDKMPERDFVTWTTLISGYSQHDRPFDALVLFNQMLRFGFSPNEFTLSSVIKAAAAERRGCCGHQLHGFCVKCGFDSNVHVGSALLDLYTRYGLMDDAQLVFDALESRNDVSWNALIAGHARRCGTEKALELFQGMLREGFRPSHFSYASLFGACSSTGFLEQGKWVHAYMIKSGEKLVAFAGNTLLDMYAKSGSIHDARKIFDRLAKRDVVSWNSLLTAYAQHGFGNEAVCWFEEMRRGGIRPNEISFLSVLTACSHSGLLDEGWHYYELMKKDGIVLEAWHYVTIVDLLGRAGDLNRALRFIEEMPIEPTAAIWKALLNACRMHKNTELGAYAAEHVFELDPDDPGPHVILYNIYASGGRWNDAARVRKKMKESGVKKEPACSWVEIENAIHMFVANDERHPQREEIARKWEEVLAKIKELGYVPDTSHVIVHVDQQEREVNLQYHSEKIALAFALLNTPPGSTIHIKKNIRVCGDCHSAIKLASKAVGREIIVRDTNRFHHFKDGACSCKDYW >fgenesh2_kg.3__2639__AT3G23950.1 pep chromosome:v.1.0:3:11593551:11594783:1 gene:fgenesh2_kg.3__2639__AT3G23950.1 transcript:fgenesh2_kg.3__2639__AT3G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L4C2] MIFEVLVRLPLKSLARFRSVCKEWKLLIDSEFFRDRFMSLNSSSVSWSIIQTRPHKLTLEILGHHGCKTWGLTRSPGSLVSFFAETTIRKLQVLACTDGLVLLYVESSHGTPMYYVGNPLFQEWFRIPLRPKYTSQFVERLRNYERFNDGGLVTKMQSGIVVSYKVVWLIAHAFARVDFGIYSSDTGEWEIRKVPCLHSAFWFSHHKPIALNGILHWLSNLTGSFVAYDFYGGHNDACSIMYFPDIAQDYELPRFRRTITTSEGSIVYFNVFGGNANRRLRVWKLVKYTDGPEAWQLMWEVSLASLIKLGVLYPVVMHPLKSEIIYLWSRIKKGMVLFNLRTHKFSLHKESEDERKCMDGCTLSFNWCNEYMETVHRDFSPSFQGGPNLLLASQYVLPRWLHRLPRPQPS >fgenesh2_kg.3__2640__AT3G23930.1 pep chromosome:v.1.0:3:11612868:11613824:-1 gene:fgenesh2_kg.3__2640__AT3G23930.1 transcript:fgenesh2_kg.3__2640__AT3G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCTSKQERRSMRSVKETSKDHSRGRRPLIKERDEKERVMFDQLREAEREWRKERKKLREEVRRLRKKLEEREEAKTTTSEEREYWKWVVEEMCVERAVRDEAIEKWKQLYLAIKNELDHLITHTTSSSGEAIMQRKLEEQEEEEKEAKRVEELRDEVRAKEKTIETLQEKIVLMDRQKYEKEREIDILRQSLRILGSNNKKKKTGSFASINLLILKTKCVECT >fgenesh2_kg.3__2641__AT3G23920.1 pep chromosome:v.1.0:3:11615359:11618040:-1 gene:fgenesh2_kg.3__2641__AT3G23920.1 transcript:fgenesh2_kg.3__2641__AT3G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:D7L509] MALNLSHQLGVLAGTPIKSGEMTDSSLSISPPSARMMTPKAMNRNYKAHGTDPSPPMSPILGGTRADLSVACKAFAVENGVETIEEQRTYKEGGIGGEGGGGVPVFVMMPLDSVTMGNTVNRRKAMKASLQALKSAGVEGIMIDVWWGLVEKESPGSYNWGGYNELLEMAKKLGLKVQAVMSFHQCGGNVGDSVTIPLPQWVIEEVDKDPDLAYTDQWGRRNCEYISLGADTLPVLKGRTPVQCYADFMRAFRDNFKHLLGETIVEIQVGMGPAGELRYPSYPEQEGTWKFPGIGAFQCYDKYSLSSLKAAAEAYGKPEWGSTGPTDAGHYNNWPEDTQFFKKEGGGWNTEYGDFFLSWYSQMLLDHGERILSSAKSIFENTGVKISVKIAGIHWHYGTRSHAPELTAGYYNTRFRDGYLPIAQMLARHNAIFNFTCIEMRDHEQPQDALCAPEKLVNQVALATLAAEVPLAGENALPRYDDYAHEQILKASVLNLDQNNQGEPREMCAFTYLRMNPELFQADNWGKFVAFVKKMVEGRDSHRCWEEVERETEHFVHVTQPLVQEVAVALTH >fgenesh2_kg.3__2643__AT3G24300.1 pep chromosome:v.1.0:3:11654025:11655650:-1 gene:fgenesh2_kg.3__2643__AT3G24300.1 transcript:fgenesh2_kg.3__2643__AT3G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:D7L516] MSGAITCSAADLATLLGPNATAAADYICSQLGTVNNKFTDAAFAIDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGYAFAFGGSSEGFIGRHNFALRDFPTPTADYSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWFWSPDGWASPFRSAEDRLFSTGAIDFAGSGVVHMVGGIAGLWGALIEGPRRGRFEKGGRAIALRGHSASLVVLGTFLLWFGWYGFNPGSFTKILVPYNSGSNYGQWSGIGRTAVNTTLSGCTAALTTLFGKRLLSGHWNVTDVCNGLLGGFAAITAGCSVVEPWAAIVCGFMASLVLIGCNKLAELVQYDDPLEAAQLHGGCGAWGLVFVGLFAKEKYLNEVYGATPGRPYGLFMGGGGKLLGAQLVQILVIAGWVSATMGTLFFILKKLNLLRISEKDEMQGMDMTRHGGFAYIYHDNNDDDSNRVGPGSPFPRSVTPPRV >fgenesh2_kg.3__2645__AT3G24310.1 pep chromosome:v.1.0:3:11678694:11679879:-1 gene:fgenesh2_kg.3__2645__AT3G24310.1 transcript:fgenesh2_kg.3__2645__AT3G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWGVMGGGWGMVEEGWRKGPWTAEEDRLLIDYVRLHGEGRWNSVARLAGLKRNGKSCRLRWVNYLRPDLKRGQITPHEETIILELHAKWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKTKSPTNNAEKTKNRILKRQQFQQQRQMELQQEQQLLQFNQIDMKKIMSLLDDDNNNNGDNNTFSSSSSGSSGEGGAFYVPHQITHSTTTSGCDPNSNGYYPVVPVTMPEANVNEDNAIWDNLWNVDFEGQGSFGGAACAPRKQYFQNMVIPFY >fgenesh2_kg.3__2646__AT3G24315.1 pep chromosome:v.1.0:3:11700124:11702074:-1 gene:fgenesh2_kg.3__2646__AT3G24315.1 transcript:fgenesh2_kg.3__2646__AT3G24315.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec20 family protein [Source:UniProtKB/TrEMBL;Acc:D7L520] MDEVVVEVEKTKREWEEAYEKTIEHIMAIQEYGKSRRGEEKISLQRLNGLAQDGLSLLNSLQFNLDLLAPQLPSDDQVQSTQSLLETWKNQYHSLRVNLRSANLQAKDNMRKAAQEERELLLGGGTESTVLRRKRQANAGVTSDAESITESLRRSRQLMVQEVERSTNTLVAFDESTGVLKKAESEYKGHRSLLSRTRNLLSTMQRQDVIDRIILIVGFSLFVFAVVYVVSKRIGILKLQRMATAAIKAQLAGKGANGVGDDVMPLGQQFDGNTVPTVNIPLQQRMHDEL >fgenesh2_kg.3__2647__AT3G24320.1 pep chromosome:v.1.0:3:11702469:11709582:-1 gene:fgenesh2_kg.3__2647__AT3G24320.1 transcript:fgenesh2_kg.3__2647__AT3G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWIATRNAVVSFPKWRFLFRSSYRTYSSLKPSSPILLNRRYSEGIYCLRDRKSLKGITTASKKVKTSSDVLTDKDLSHLVWWKERLQTCKKPSTLQLIERLMYTNLLGLDPSLRNGSLKDGNLNWEMLQFKSRFPREVLLCRVGDFYEAIGIDACILVEYAGLNPFGGLRSDSVPKAGCPVVNLRQTLDDLTRNGYSVCIVEEVQGPTQARSRKGRFISGHAHPGSPYVYGLVGVDHDLDFPEPMPVVGISRSARGYCMISIFETMKAYSLDDGLTEEALVTKLRTRRCHHLFLHASLRHNASGTCRWGEFGEGGLLWGECSGRNFEWFEGDTLSELLSRVKDVYGLDDEVSFRNVNVPSKNRPRPLHLGTATQIGSLGVPCLLKVLLPSTCSGLPSLYVRDLLLNPPAYDIALKIQETCKLMSIVTCSIPEFTCLSSAKLVKLLEQREANYIEFCRIKNVLDEVLHMYKHAELVEILKLLMDPTWVATGLKIDFETFVNECHWASDTIGEMISLDDNESHQNVSKCANVPNEFFYDMESSWRGRVKGIHIEKEITQVEKSAEALSLAVAEDFLPIISRIKATTASLGGPKGEIAYAREHESVWFKGKRFTPSIWAGTAGEDQIKQLKPAFDSKGKKVGEEWFTTPKVEAALVRYHEASENAKTRVLELLRELSVKLQTKINVLVFASMLLVISKALFAHACEGRRRKWVFPTLVRFSTDEGAKPLDGASRMKLTGLSPYWFDVSSGTAVHNTVDMQSLFLLTGPNGGGKSSLLRSICAAALLGISGLMVPAESASIPHFDSIMLHMKSYDSPVDGKSSFQVEMSEIRSIVSQATSRSLVLIDEICRGTETAKGTCIAGSVVESLDTSGCLGIVSTHLHGIFSLPLAAKNITYKAMGAENVEGQTKPTWKLTDGVCRESLAFETAKREGVPETIIQRAEALYLSVYAKDASSGVVKPDKIVTSSNNDQQIRKPVSSERSLEKDLAKAIIKICGKKMIEPEALECLSIGARELPPPSTVGSSCVYVMRRPDKRLYIGQTDDLEGRIRSHRAKEGLQGSSFLYLMVQGKSMACQLETLLINQLHEQGYSLANLADGKHRNFGTSSSLSASDVVSIS >fgenesh2_kg.3__2649__AT3G24330.1 pep chromosome:v.1.0:3:11712519:11714021:1 gene:fgenesh2_kg.3__2649__AT3G24330.1 transcript:fgenesh2_kg.3__2649__AT3G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7L523] MASHQLPPEKVVKMLQDNGFTKLKLFEADQNILDALIGSQIEVMIGIPNRFLKELAQDTSVAASWVEENVTAYSYNGGVNIKYIAVGNEPFLQTYNGTYVEFTLPALINIQRALEEADLKGVTVTVPFNADIYFSPEANPVPSAGDFRPELRDATIEIINFLYSHDSPFTVNIYPFLSLYGNAYFPLDFAFFDGTNKSLRDGNLVYTNVFDANLDTLICAMEKYSFLGMKIIVGEVGWPTDGDKNANLKSAKRFNQGMVKHAMSGNGTPARKGVIMDVYLFSLVDEDAKSIAPGTFERHWGIFGFDGRPKYELDLSGKGNDKPLVPVEDVNYLPKTWCVLDPNAYNLDDLPDNIDYACSLSDCTALGYGSSCNHLTAIGNASYAFNMYYQMHDQKTWDCDFLGLGLITDEDPSDELCEFPVMIDTGNSPRLQPGSSRVFTRVAAAVLVMLVLPIL >fgenesh2_kg.3__264__AT3G03160.1 pep chromosome:v.1.0:3:942248:942907:1 gene:fgenesh2_kg.3__264__AT3G03160.1 transcript:fgenesh2_kg.3__264__AT3G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAAAEAIMVVLLTMPGLDGLRKGLVAVTRNLLKPFLSIVPFCLFLLMDIYWKYETMPSCDGDSCTPSEHLRHQKSMMKSQRNALLIAAALVFYWILYSVTNLVVRIEHLNQRIERLKNKD >fgenesh2_kg.3__2653__AT3G24430.1 pep chromosome:v.1.0:3:11745420:11748696:-1 gene:fgenesh2_kg.3__2653__AT3G24430.1 transcript:fgenesh2_kg.3__2653__AT3G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-chlorophyll-fluorescence 101 [Source:UniProtKB/TrEMBL;Acc:D7L529] MPLLHPQSLRHACFEFQTQRSNSTKRLLLSHKFLQSQSSIISISRTRILKRVSQKLSIAKAASAQASSSIGESVDKTSEKDVLKALSQIIDPDFGTDIVSCGFVKDLGIDEALGEVSFRLELTTPACPVKDMFENKANEVVAALPWVKKVNVTMSAQPAKPIFAGQLPFGLSRISNIIAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVYGPSLPTMVNPESCILEMNPEKKTIIPTEYMGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWGELDYLVIDMPPGTGDIQLTLCQVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCHFDADGKRYYPFGKGSGSEVVKQFGIPHLFDLPIRPTLSASGDSGTPEVVSDPLSDVARTFQDLGVCVVQQCAKIRQQVSTAVTYDKYLKAIRVKVPNSDEEFLLHPATVRRNDRSAQSVDEWTGEQKVLYGDVAEDIEPEDIRPMGNYAVSITWPDGFSQIAPYDQLEEIERLVDVPPLSPVEV >fgenesh2_kg.3__2654__AT3G24440.1 pep chromosome:v.1.0:3:11754560:11756719:-1 gene:fgenesh2_kg.3__2654__AT3G24440.1 transcript:fgenesh2_kg.3__2654__AT3G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSTKSKISQSRKTNNKSNKKHDSNGKQQSNKKQQEDDGGGLRSSWICKNASCRANVPKDDSFCKRCSCCVCHGFDENKDPSLWLVCDPEKSDDVEFCSLSCHIECAFRENKVGVVGLGDLMKLDGCFCCYSCGKVSQILGCWKKQLVAAKEARRRDVLCYRIDLSYRLLNGTSRFSELHEIVRAAKSKLEDEVGPLDGPTARTDRGIVSRLPVAADVQELCTSAIKKAEEVSANAARDLVPAACRFHFEDIAPKQVTLRLIELPSAVEYDVKGYKLWYFKKGETPEDDLFVDCSRTERRMVISDLEPCTEYTFRVVSYTEAGIFGHSNAMCFTKSVEILKPVDGKEKRIIDLVGNGQPSDREEKSSISSRFQIGQLGKYVQLAEAQEEGLLEAFYNVDTEKSCEPPEEELPSRRPHEFDLNVVSVPDLNEEFTPLPDSSGGEDNGVPLNSLAEADGDEHDNYDDDVSNGSRKNNNDCLVISDGSGDDTEFDFLMTRKRKAISNSNDSENHECDSSPIDDTLEKCVKVIRWLEREGHIDKTFRVRFLTWFSMRSTAQEQSVVSTFVQTLEDDPSSLAGQLLDAFADVVSTKRPNNGVIVSH >fgenesh2_kg.3__2655__AT3G24450.1 pep chromosome:v.1.0:3:11761357:11763068:-1 gene:fgenesh2_kg.3__2655__AT3G24450.1 transcript:fgenesh2_kg.3__2655__AT3G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLQKIGRVWDCFFLPTNQCSCFCLNTLGDDEEEVFEKKPLIDSSAEKSGKVMRLKDVVAADHRQTLAFHLKPKIVELKVSMHCYGCAKKVEKHISKLDGVTWYKVELESKKVVVKGNIMPVDVLESICKVKNAQLWSSSS >fgenesh2_kg.3__2656__AT3G24460.1 pep chromosome:v.1.0:3:11774325:11778283:-1 gene:fgenesh2_kg.3__2656__AT3G24460.1 transcript:fgenesh2_kg.3__2656__AT3G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMS membrane family protein [Source:UniProtKB/TrEMBL;Acc:D7L533] METGTSVSNNSGSIRNDSYEAIKNGSWFNQFRNGCNPWMARYVYGLIFLIANLLAWAARDYGRGALRKVTKFKNCKGGENCLGTDGVLRVSLGCFLFYFVMFLSTLGTSKTHSSRDRWHSGWWFAKLILWPALTIIPFLLPSSIIHLYGEIAHFGAGVFLLIQLISVISFIQWLNECYQSQKDAERCRVYVMLLATTSYTVCIVGVILMYIWYAPDSSCLLNIFFITWTLFLIQLMTSIALHPKVNAGYLTPALMGLYVVFICWCAIRSEPVGESCNRKAAASNRTDWLTIISFVVALLAMVIATFSTGIDSQCFQFKKDENDQEEEEEDGVPYGYGFFHFVFATGAMYFAMLLIGWNTHHPMKKWTIDVGWTSTWVRVVNEWLAVCVYIWMLVAPLILKSRRQPTSGT >fgenesh2_kg.3__2659__AT3G24495.1 pep chromosome:v.1.0:3:11838369:11844028:-1 gene:fgenesh2_kg.3__2659__AT3G24495.1 transcript:fgenesh2_kg.3__2659__AT3G24495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQRSILSFFQKPSPATTQGLVSGDATSGGGGGVGGGLRLNVKDDASVRFAVSKSVDEVRGTDTPPEKVPRRVLPSGFKTAESAGGGASSLFSNIMHKFVKVDDRECSGQRSREDVVPLNDSSVCMKADDVIPEFRSNNGQTQERDHAFSFSGRVEDNIGVDDDVPGPETPGIRPSVSRLKRVLEDGMTVKEDKVPVLDSNKRLKMLQDPVCGEKKEVNEGTKFEWLEPSRIRDANRRRPDDPLYDRKTLYIPPDVFKKMSASQKQYWSVKSEYMDIVLFFKVGKFYELYEVDAELGHKELDWKMTMSGVGKCRQVGISESGIDEAVQKLLARGYKVGRIEQLETSDQAKARGANTIIPRKLVQVLTPSTASEGNIGPDAVHLLAIKEIKMELEKCSTVYGFAFVDCAALRFWVGSISDDASCAALGALLMQVSPKEVVYDSKGLSREAQKALRKYTLTGSMAVQLAPVSQVMGDTDAAGVRNIIESNGYFKGSSESWNCAVDGLNECDVALSALGELINHLSRLKLEDVLKHGDIFPYQVYRGCLRIDGQTMVNLEIFNNSCDGGPSGKHRRKYSTTWYGSLVRTLYKYLDNCVSPTGKRLLRNWICHPLKDVVSINKRLDVVEEFTANSEIMQTTGQYFHKLPDLERLLGRIKSSVQSSASVLPALLGKKVLKQRVKAFGQTVKGFRSGIDLLLALQKESNMMSLLCKLCKLPILVGNNGLELFLSQFEAAIDSDFPNYQNQDMTEENAETLTILIELFIERVTQWSEVIHTISCLDVLRSFAIAASLSAGSMARPVIFPESENTDQNQEIKGPILKIQGLWHPFAVAADGQLPVPNDILLGEDRNRSNSIHPRSLLLTGPNMGGKSTLLRATCLAVIFAQLGCYVPCESCEISLVDTIFTRLGASDRIMTGESTFLVECTETASVLQNATQDSLVILDELGRGTSTFDGYAIAYSVFRHLVEKVQCRMLFATHYHPLTKEFASHPRVTSKHMACAFKSKSDQAPRGCDQDLVFLYRLTEGACPESYGLQVALMAGIPNQVVETASDAAQAMKRSIGENFKSSELRSEFSSLHEDWLKSLVGISRAAHNNAAIGEDDYDTMVCLWHEIRSSYCVPK >fgenesh2_kg.3__2660__AT3G24500.1 pep chromosome:v.1.0:3:11844810:11845256:1 gene:fgenesh2_kg.3__2660__AT3G24500.1 transcript:fgenesh2_kg.3__2660__AT3G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMBF1C/MBF1C [Source:UniProtKB/TrEMBL;Acc:D7L539] MPSRYPGAVTQDWEPVILHKSKQKSQDLRDPKAVNAALRNGVAVQTVKKFDAGSNKKGKSTAVPVINTKKLEEETEPAAMDRVKAEVRLMIQKARLEKKMSQADLAKQINERTQVVQEYENGKAVPNQAVLAKMEKVLGVKLRGKIGK >fgenesh2_kg.3__2661__AT3G24503.1 pep chromosome:v.1.0:3:11846006:11849639:-1 gene:fgenesh2_kg.3__2661__AT3G24503.1 transcript:fgenesh2_kg.3__2661__AT3G24503.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALDH2C4 [Source:UniProtKB/TrEMBL;Acc:D7L540] MENGKCNGGTTVKLPEIKFTKLFINGQFLDAASGKTFETIDPRNGEVIAKIAEGDKEDVDLAVKAARHAFDHGPWPRMTGFERAKLINKFADLIEENIEELAKLDAVDAGKLFQLGKFADIPATAGHFRYNAGAADKIHGETLKMTRQSLFGYTLKEPIGVVGNIIPWNFPSIMFATKVAPAMAAGCTMVVKPAEQTSLSALFYAHLSKEAGIPDGVLNIVTGFGSTAGAAIASHMDIDKVSFTGSTDVGRKIMQAAATSNLKKVSLELGGKSPLLIFNDADIDKAADLALLGCFYNKGEICVASSRVFVQEGIYDKVVEKLVEKAKDWTVGDPFDSTARQGPQVDKRQFEKILSYIEHGKNEGATLLTGGKAIGDKGYFIEPTIFADVTEEMKIYQDEIFGPVMSLIKFKTVEEGIKWANNTKYGLAAGILSQDIDLINTVSRSIKAGIIWVNCYFGFDLDCPYGGYKMSGNCRESGMDALDNYLQTKSVVMPLHNSPWM >fgenesh2_kg.3__2663__AT3G24510.1 pep chromosome:v.1.0:3:11882118:11884919:1 gene:fgenesh2_kg.3__2663__AT3G24510.1 transcript:fgenesh2_kg.3__2663__AT3G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L543] MKNASLKLPLLIFILVITSNLGAEARKLTGVDDILIEGAAASPQYVDTDDDDTLNKAPSCKRDIDCSFQCPKGGFCNLRLGKC >fgenesh2_kg.3__2665__AT3G24520.1 pep chromosome:v.1.0:3:11918943:11920784:1 gene:fgenesh2_kg.3__2665__AT3G24520.1 transcript:fgenesh2_kg.3__2665__AT3G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L548] MEDDNGNNTNNNNNNNVIAPFIVKTYQMVNDPLTDWLITWGPAHNSFIVVDPLDFSQRILPAYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEHFLRGQKHLLKNIARRKHARGMYGQDLEDGEIVREIERLKDEQRELEAEIQRMNQRIEATEKRPEQMMAFLYKVVEDPDLLPRMMLEKERTKQQVSDKKKRRVTMSTVKAEEEEVEEDEGRVFRVISSSTPSPSSTENLHRNHSPDGWVVPMTQGQFGNYETGLVANSMLSNSTSSTSSSLTSTFSLPESINGGGGGGCGNIQGERRYKETATFGGVVESNPPTTPPYPFSLFR >fgenesh2_kg.3__2668__AT3G24550.1 pep chromosome:v.1.0:3:11945224:11948477:1 gene:fgenesh2_kg.3__2668__AT3G24550.1 transcript:fgenesh2_kg.3__2668__AT3G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPSPGTTPSPSPPTNSTTTTPPPSSSPPPTTTPSSPPPPPSTNSTSPPPSSPLPPSLPPPSPPGSSTPPLPQPSPSAPITPSPPSPTTPGSNPRSPPSPNQGPPNTPSGPTPRTPSNVNPSPPSDSSDGLSTGVVVGIAIGGVVALVVLTLICLLCKKKRRRRHDDEAAYYVPPPPPPPGPKAGGPYGGQQQYWQQQNASRPSDNHVVTSLPPPKPPSPPRKPPPPPPPPAFMSSSGGSDYSDLPVLPPPSPGLVLGFSKSTFTYEELSRATNGFSEANLLGQGGFGYVHKGILPSGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLIGYCMAGVQRLLVYEFVPNNNLEFHLHGKGRPTMEWSTRLKIALGSAKGLSYLHEDCNPKIIHRDIKAANILVDFKFEAKVADFGLAKIASDTNTHVSTRVMGTFGYLAPEYAASGKLTEKSDVFSFGVVLLELITGRRPVDANNVYVDDSLVDWARPLLNRASEEGDFEGLADPKMGNEYDREEMARMVACAAACVRHSARRRPRMSQIVRALEGNVSLSDLNEGMRPGHSNVYSSYGGSTDYDTSQYNDDMKKFRKMALGTQEYGTTGEYSNPTSDYGLYPSGSSSEGQATREMEMGKIKKTGQGYSGPSL >fgenesh2_kg.3__2669__AT3G24560.2 pep chromosome:v.1.0:3:11949712:11952672:1 gene:fgenesh2_kg.3__2669__AT3G24560.2 transcript:fgenesh2_kg.3__2669__AT3G24560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLSLCSNGRKSSTLLSNSILRVSFSFSPKTLFTSSYPHQSRHPKFSRLFCNHACVPETVDETKYKELFNKRMAMAGLKPHHRIALGVSGGPDSMALCVLTAKWKTEGLSCVNKSDGFIDGLVAIVVDHGLRQESKDEAELVCSRVSQMGIRCEIASCDWVDGRPKLGHLQEAAREMRYQMISNVCFRHQIEVLLIAHHADDQAELFILRLSRSSGVLGLAGTAFASEIFSRNLQLDAKHIKNRSILLVRPLLDLWKEDMYKICQWGRQDWVEDPTNRSQLFVRNRIRTSIGNLHSGNFKSELHAVISECRRTRSFVDKFCTDLISQTVTVTDKGYAILDLERLNPTEVKDICLSKYLAAVLQFISQRHRPVRGNTSKLLLNYIRAIPCRTSLTAAGCYLSPAPGSKGTKIIVSCSVDCPLPSKTELVNICVNEAQKKPTSDDLSKIISDATSISDHEAPSKLFEVHFLVVASESVLSKARELNLLSESTYTTIGLLQRNETNRFITTTEDKSVDESEHGTNIASSSDNVLLLPGQNLYFMNRFLIRWNLSDHQCDEAGCGNCPVRTATSMEVRHMVESDWLYLAELSKCSNSNHSMSSSQKALRSLKLIPAAARKSLPVLINHCGLLLSVPAISFNYCPCLEASAVFLPRVPLGGGHSSFL >fgenesh2_kg.3__266__AT3G03190.1 pep chromosome:v.1.0:3:948057:951276:1 gene:fgenesh2_kg.3__266__AT3G03190.1 transcript:fgenesh2_kg.3__266__AT3G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase F11 [Source:UniProtKB/TrEMBL;Acc:D7L0E3] MVVKVYGQIKAANPQRVLLCFLEKGIEFEVIHVDLDKLEQKKPEHLLRQPFGQVPAIEDGDLKLFESRAIARYYATKYADQGTDLLGKTLEKRAIVDQWVEVENNYFYAVALPLVINIVFKPMFGEPIDVALVEELKVKFDKVLDVYENRLATNRYLGGDEFTLADLTHMPGMRYIMNETSLSGLVTSRENLNRWWNEISARPAWKKLMELAAY >fgenesh2_kg.3__2672__AT3G24570.1 pep chromosome:v.1.0:3:11952578:11954691:-1 gene:fgenesh2_kg.3__2672__AT3G24570.1 transcript:fgenesh2_kg.3__2672__AT3G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLWRWYQRCLTVHPVKTQVISSGFLWGFGDVTAQYITHSTAKPRLLRLTETNKDADADAEFKVNWKRVAITSMFGFGFVGPVGHFWYEGLDKFIKLKLRYVPKSTRFVAAKVAMDGLIFGPIDLLVFFTYMGFATGKNTAEVKEGLKRDFLPALALEGGAWPLLQIANFRYVPVQYQLLYVNIFCLVDSAFLSWVEQQKDAAWKQWFTSSFQPLKERGGQGGV >fgenesh2_kg.3__2673__AT3G24590.1 pep chromosome:v.1.0:3:11978084:11979596:1 gene:fgenesh2_kg.3__2673__AT3G24590.1 transcript:fgenesh2_kg.3__2673__AT3G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase I family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L560] MVMISLHLSTPPLAFLKSASNSRFTKNPNPNFVQFTPKSLLFSCRRFNFNTGVTNLNRRSLSCYGVKDSSETTKSAPSLDSGGGGDGGDSGDDGEGEVEEKNRLFPEWLDFTSDDAKTVFVAIAVSLAFRYFIAEPRYIPSLSMYPTFDVGDRLVAEKVSYYFRKPCANDIVIFKSPPVLQEVGYTDADVFIKRIVAKEGDLVEVHNGKLMVNGVARNEKFILEPPGYEMTPVRVPENSVFVMGDNRNNSYDSHVWGPLPLKNIIGRSVFRYWPPNRVSGTVLEGGCAVD >fgenesh2_kg.3__2681__AT3G24690.1 pep chromosome:v.1.0:3:12073073:12074091:1 gene:fgenesh2_kg.3__2681__AT3G24690.1 transcript:fgenesh2_kg.3__2681__AT3G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEGPILALISKRLRTLRKKYNRITDMEESISQGKTLNKEQEETLRSKPIVTALIDELINLRIPPPSVAEEINLPAKDKKKQKARKEVAEEENVTAKTDIEDCKISDGLNSDEVSKDDTSPSSESSEGVTPSPLSRKARRKRNAKKPEVLAKKK >fgenesh2_kg.3__2682__AT5G58870.1 pep chromosome:v.1.0:3:12078443:12082412:1 gene:fgenesh2_kg.3__2682__AT5G58870.1 transcript:fgenesh2_kg.3__2682__AT5G58870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIELLCPLIHDKFRFSACCSTSSLLYLHASSFFRDRSFGFRQNPNRFVSNSIQLPQSVTGSINHERFNLWQGFSRKKSTSSRTIVNCQEGDQKASSSEGEGKTNSNSSKQKGGKQGKNGLWWSKGKKWQWEPIIQAQEIGVLLLQLGIVMFVVRLLRPGIPLPGSEPRTQTTFMSVPYSDFLSKVNNDEVQKVEVDGVHVLFKLKDDGNLQESETSGSKLSESSETMLRSVAPTKRVVYSTTRPRDIKTPYEKMLENNVEFGSPDKRSGGFFNSGLIVLFYIAVLAGLLHRFPVNFSQSTTGQLRTRKSGGPGGGKVSGEGETITFADVAGVDEAKEELEEIVEFLKNPDRYVRLGARPPRGVLLVGLPGTGKTLLAKAVAGESDVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRMVSNDEREQTLNQLLTEMDGFDSSSAVIVLGATNRADVLDPALRRPGRFDRVVTVESPDKVGRESILKVHVSKKELPLGNDVNLASSASMTTGFTGADLANLVNEAALLAGRKSKMTVEKIDFIQAVERSIAGIEKKTARLKGSEKAVLLEVLLPEQSRVEEGHWPLGFTYIPPTHEDRYLLFIDELHGLLVTLLGGRAAEEVVYSGRISTGALDDIRRATDMAYKAVAEYGLNQKIGPVSVATLSAGGIDDSGGSPWGRDQGHLVDLVQREVTNLLQSALDVALTVVRANPDVLEGLGAQLEDEEKVEDEELQKWLNRVVPSEELAVFINGKRAALLPAQASSS >fgenesh2_kg.3__2684__AT5G58850.1 pep chromosome:v.1.0:3:12090551:12099979:-1 gene:fgenesh2_kg.3__2684__AT5G58850.1 transcript:fgenesh2_kg.3__2684__AT5G58850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB119 [Source:UniProtKB/TrEMBL;Acc:D7L578] MEDRRLVHGAVPPLTAVERFLYGQKNDVLCSKKKECSRDRPIVKTKMSIETRSDNKENTTFGPTREKHLVLNGGNRSPIGELVARSAARDYQNSPKKRSYKNLIKGQWTAEEDRKLIRLVRQHGERKWALISEKLEGRAGKQCRERWHNHLRPNIKKDGWSEEEEKVLVESHMRIGNKWAEIAKLIPGRTENSIKNHWNATKRRQNSKRKHKRESNADNNDTDVSPSAKRPCILQDYIKSIGSNDINKNNDENTISVLSTPNLDQIYSDGDSASSMLGDPYDEELVYLQNIFANHPISLENIGLSQTSDEVTQSSATGFMTKNPKPNLHNNVGTHHQEAAITAPANTPHLASDIYLSYLLNGTTPSYSDTHFPSSSSSTSSTTVEHGGRNEFLEPQANSTSERREMDLIEMLSGSIQGSNICFPLF >fgenesh2_kg.3__2686__AT2G17460.1 pep chromosome:v.1.0:3:12111817:12113221:1 gene:fgenesh2_kg.3__2686__AT2G17460.1 transcript:fgenesh2_kg.3__2686__AT2G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L579] MLTRTSWSHRWCTVTRMRNVISARDIVYTINIGIGVTFAACILYTFCYVRTLVQTMLTRTSWSHRWCTVNRVISSTYTMTCVLRMTSSSTFPIPYSSIIKSFHLFRIEGTSPP >fgenesh2_kg.3__268__AT3G03210.1 pep chromosome:v.1.0:3:955700:957203:1 gene:fgenesh2_kg.3__268__AT3G03210.1 transcript:fgenesh2_kg.3__268__AT3G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAIHFGVLAACFVLFVPMAMAGWHLSRNKMLFFSGALFISLAVCVHLTPYFPSVSDIVASVSSVVVYDHRISCINEVNQIVWDVKPVTNPDSVRRNNGSTKLDYFDKNWDWIKSRKVLSCEFQKLDKFDVSDLLNGSWVVVAGDSQARFVALSLLNLVLGSDSKAMDSVRGDLFKRHSDYSIVVKEIGMKLDFVWAPYEKDLDDLVVSYTKMKKYPDVAIMGTGLWHMLHVNNASDFGFRLKELSSHVESLVPFTPKEQEGGGSVSGRSVHLFWIGMPVLINGMLNTDEKKEKMSDTVWHEYDRSLGESKILRQMGGPLILLDIQSFTWNCGPQCTLDGMHYDSAVYDAAVHVMLNALLIESHQTL >fgenesh2_kg.3__2690__AT5G58950.1 pep chromosome:v.1.0:3:12166866:12169637:1 gene:fgenesh2_kg.3__2690__AT5G58950.1 transcript:fgenesh2_kg.3__2690__AT5G58950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L5R4] MDEEATSWIRRAKFSQTVSYRLNSSKLASLPFMVNQDKIAGLRTIPQRSSSSSSASSSDPKLVSSNSQTTEDTSCLEADVYVVDSEIQTNPVTNKQRSVSPSPQMAVPDVFKEARSERKRFSTPHPRRVESEKGMKPKLSHKNSFEKRSFNLRSPSGPIRDLGTLRIQERVKSKKDTGWSKLFDNTGRRVSAVEASEEFRVDMSKLFFGLKFAHGLYSRLYHGKYEDKAVAVKLITVPDDDDNGCLGARLEKQFTKEVTLLSRLTHPNVIKVISSLSLWELLPEGSLRSFLHKPENRSLPLKKLIEFALDIARGMEYIHSRRIIHRDLKPENVLIDEDFHLKIADFGIACEEEYCDMLADDPGTYRWMAPEMIKRKPHGRKADVYSFGLVLWEMVAGAIPYEDMNPIQAAFAVVHKNIRPAIPGDCPVAMKALIEQCWSVAPDKRPEFWQIVKVLEQFAISLEREGNLNLSSHKICKDSRKGLKHWIQKLGPVHGGGGGGGSSSSGLGGSALPKPKFA >fgenesh2_kg.3__2693__AT3G24730.1 pep chromosome:v.1.0:3:12185425:12186278:-1 gene:fgenesh2_kg.3__2693__AT3G24730.1 transcript:fgenesh2_kg.3__2693__AT3G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLKTLTKKEEIDRIIRDTIDEVLVLRFGRFSDDVCLQHDEILAKSVRDVSKFAKVALVDVDSEEVQVYVKYFDITLFPSTIFFFNAHHMKLDSGTADHTKWIGAFHIKQDFINVVEAIYRGAMKGKMIVQCPIPPERIPKYQLLYKDV >fgenesh2_kg.3__2694__AT3G24740.1 pep chromosome:v.1.0:3:12202512:12205148:1 gene:fgenesh2_kg.3__2694__AT3G24740.1 transcript:fgenesh2_kg.3__2694__AT3G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKRKLSTESDVHALHKELDEVSCPVCMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKLHSESPNDPTPEGNLASRENNNESLNEHGTASRSSFHRESTNRGSAWDSESLRRRRRVDEEEQSEDITNLKCPLCRGTVLGWKVVEEVRTYLDLKNRSCSRESCSFTGNYQDLRRHARRTHPTTRPSDTDPSRERAWRHLENQREYGDIVSAIRSAMPGAVVVGDYVIENGDRFSGERETGNGGSDLWTTLVLFQMIGSLDNGGSSASGSGGGSRSHRSRAWRNHRRSSSDRRYLWGENLLGLQEEHNNNDDEELHMQNDAGGASTPVPRRRRRFGRPRSSGNHPR >fgenesh2_kg.3__2696__AT3G24760.1 pep chromosome:v.1.0:3:12215560:12217446:1 gene:fgenesh2_kg.3__2696__AT3G24760.1 transcript:fgenesh2_kg.3__2696__AT3G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L5S2] MSDNNPEIESSTFNTLNHDVTESILSHLPIPSLVRFTLVSKQWRSIITSLPPSPSPSSSSPPWLFLFGIHNTSSFHNQSFAFDPLSNTWLRLPPSSSSSDHLVGSNRFLFTTAPRFSFSPILKPNWRFTSPVLFPRINPLLSVFTTLSNSSKLILVGGSSRIGGLVDIEERLAVQVYDPVLDSWELCSPLPADFRSGQDHQTLTSALFKRRFYVFDNYSYFISSFCLDSYTWSDVQTLKPPGLSFAFLNSCNGMLVLGGMCGFSFNLWSIEEGSMEFSEIAVMPEGLLFGLVDNEDEEDKFRSLKCVGSGNLVYVFNDDCHKKFPACVCEIGGGENGKCSWRRVPCLPSPVNKFHKVVSFCSTVSISDVFHPEDAHIGG >fgenesh2_kg.3__2697__AT3G24780.1 pep chromosome:v.1.0:3:12274087:12276318:1 gene:fgenesh2_kg.3__2697__AT3G24780.1 transcript:fgenesh2_kg.3__2697__AT3G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQFPGPHEIQDSNAPLTASGPSNPVNTSPPMGQTEERPPTYLAALLKNINTCRPSVPKVSNVKKSTKVNVNPVRPVRPVRPVRPVRPVRPVRPVRPVRRRKVDLNISSPAMGYTENRSATYLSSGNPCLDFFFHVVPSTPKKSLEQRLKEAWDHDSLTTLKLICNLRGVRGTGKSDKEGFYTAALWLHSRHPKTLACNLESISKFGYFKDFQEILYRILQGSEIRSIQKSEWYKTIAAAILRRRSKFSRGGRGVGRGFGRGRGRGRGMVRRGLKRPAATRELRVANAERKNQEEKARASLKRKQKKVSLGKAASTRYSNDPNYRFLHERVSDLFANQLRRDLEFLTSGQPNKISLAAKWCPSLDSSFDKATLLCESIARKIFPQESFPEYEGVEDAHYAYRVRDRLRKQVLVPLRKTLQLPEVYMGARAWRSLPYNRVASVAMKSYKEIFLYRDAERFQQYLKDARMGKTKIAAGAVLPHEIIRELDGGDGGQVAELQWKRMVDDLKKKGSLTNCMAICDVSGSMEGEPMEVSVALGLLVSELSEEPWKGKLITFSKNPELHLVKGDDLRSKTSFVENMEWDMNTDFQKVFDLILKVAVEGKLKPEEMIKRVFVFSDMEFDQASTPYNGWGRPPPSNGWDTDYEVIVSKYKEKGYGEAVPQIVFWNLRDSRSTPVLGNKKGVALVSGFSKNLLKMFLDHDGEIDPITIMKAAISRDEFCEHCNIWLNL >fgenesh2_kg.3__2699__AT3G24800.1 pep chromosome:v.1.0:3:12287517:12289907:1 gene:fgenesh2_kg.3__2699__AT3G24800.1 transcript:fgenesh2_kg.3__2699__AT3G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPMNDITVKNNESHEEEIPDQFLCCVCLELLYKPIVLSCGHLSCFWCVHKSMNGFRESHCPICRDPYVHFPSVCQKLYFLLKKMYPLAHKKREEQVLKEEQELDCFSPQIDVVLEETKVKDVSVCSGDSLNVSDKQKVDECSNAANLLSSSSSRGGSPCIPSNQEPTDAQTLNVHENELPKNNKVSNQISKDDLLCSACKELLVRPVVLNCGHVYCEGCVVDMAEESEKIKCLECNVCDPRGFPKVCLILEQLLEENFPEEHKSRTSGIQKRLAHNSKGNFQSHLKEGPSLSNDNNDDLPWLANPGSNVHFEAGCDSCGVYPIIGDRYRCKDCKEEIGYDLCKDCYETPSKVPGRFNQQHTPDHRLELARAPQVLINFNSIGILLGPSDISTEAMDTDEGEEGPRGSSNESSSTE >fgenesh2_kg.3__269__AT3G03220.1 pep chromosome:v.1.0:3:957306:958940:-1 gene:fgenesh2_kg.3__269__AT3G03220.1 transcript:fgenesh2_kg.3__269__AT3G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXPA13 [Source:UniProtKB/TrEMBL;Acc:D7L0E6] MQRFLLPLLFLTLSPPAISHYSSSTSSPSSSSVSSDASEWRPARATYYAASNPRDAVGGACGYGDLVKSGYGMATVGLSETLFERGQICGACFELRCVDDLRWCIPGTSIIVTATNFCAPNYGFDPDGGGHCNPPNKHFVLPIEAFEKIAIWKAGNMPVQYRRINCRREGSMRFTVDGGGIFISVLITNVAGSGDVAAVKIKGSRTGWLPMGRNWGQNWHINADLKNQALSFEVTSSDRSTVTSYNVSPKNWNYGQTFEGKQFETP >fgenesh2_kg.3__2701__AT3G24820.1 pep chromosome:v.1.0:3:12295063:12297079:-1 gene:fgenesh2_kg.3__2701__AT3G24820.1 transcript:fgenesh2_kg.3__2701__AT3G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L5T3] MNIASRIRRSFFREKKEYSDGNILKNGSSVKEEGKDEILGVTDELIDHVRSFTIDTFKNFSLEDEEEEVSVSHLGDDDNGMSSSVNVKKDLSDWQEKHAVLVLSKSKELSQLRFKLCPRVLKEHQFWRIYFQLVRKLVAKYEVLAIQQAKIRRMAMEDSKTSETKGVYEVEMSKTKQRLSTGPATP >fgenesh2_kg.3__2702__AT3G24850.1 pep chromosome:v.1.0:3:12300462:12301362:1 gene:fgenesh2_kg.3__2702__AT3G24850.1 transcript:fgenesh2_kg.3__2702__AT3G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L5T5] EAESQKRIFCLFPRKIRSSLVKRQQNLNGLLHRLSILTSSLLILRETKTLQNPSSESCSSLVLFDYKMAESEKTETKDPLNPLSLGLSENTSRKRRAVKERKRTGGFKKAKVAPFPRTARETPEWLVKVMSDMKEAKDAKLIFEKTLFVTDVNPTQNRLSMPFNNLLRNDFLTSVESRIIDKDINNDKKIGVGAILVDQRSEKWGVMLKRWEMKKESGKGSWNYNLICGWNDIVEANGLKDGDNISLWSFRCCGILCFAMEQSSSSLALCLC >fgenesh2_kg.3__2703__AT3G24840.1 pep chromosome:v.1.0:3:12306050:12309255:1 gene:fgenesh2_kg.3__2703__AT3G24840.1 transcript:fgenesh2_kg.3__2703__AT3G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALGEILLVPETEKGKSKDIEVSDDEKITRTRSRSLKKKAIKASSKLTHSLRKRGKRVADKYAPIVIEDVRDEEEEKAVNVFRKALVSLDLLPPRHDDYHTMLRFLKARRFDLDKTVQMWEEMLKWRKENGVDTIMQDFVYDEFEEVQQYYPHGYHGVDREGRPVYIERLGKIDPGKLMKVTTLERFLRYHVQGFEKTFSEKFPACSIAAKRHINSSTTIIDVHGVSWMSFRKLAQDLVMRMQKIDGDNYPETLNQMYIINAGNGFKLVWNTVKGFLDPKTTSKIHVLGNKYRSHLLEIIDPSELPEFMGGNCTCANEGGCMRFNKGPWNDPEIMKLVRSRDAMYKTKAIGLLENGEVAKLFALPHVNTEMLSPDGGQVRERESHSEQDKRAQLSNQAEAVGVGRMEQSDSTNPLPNNLTVERSLKTSLQKVASLLARFIVQLLGNLFLMFRILGRLVNKQPENQLRPELRVSVSQQQVPPPQVQRESVHPCWLRLQNLETMVTVLCDKPSSIPQDKEDILRDSLDRIKSIEQDLQKTKTALFLTASKQIELAECLENLKESSSTGMRSCWPRHCRNFQAET >fgenesh2_kg.3__2704__AT3G24860.1 pep chromosome:v.1.0:3:12310711:12311732:1 gene:fgenesh2_kg.3__2704__AT3G24860.1 transcript:fgenesh2_kg.3__2704__AT3G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSPTSSPPSDSNPNSAATPPHHKQQPPSPPPLTNPSSPPPQITVVALAASTSAVARKTQPVLWTHDETLLLIESYKEKWYAIGRGPLKSTHWEEIAVAVSSRSGVERSSTQCRHKIEKMRKRFRSERQNMGPISIWPFYNQMEELDSNPAPISARPLTRLPPNSNNHYKDDDDEDDDNYEEEEDEDERQSKSRSINYILRRPGTVNRFAGVGGGLLSWGQKERSSKRKRNDGDGGERRRKGARAVAAEIRAFAERVMVMEKKKIEFVKETVRLRKEMEIRRINLIQSSQTQLLEFINNAFDSF >fgenesh2_kg.3__2706__AT3G24880.1 pep chromosome:v.1.0:3:12312114:12321415:-1 gene:fgenesh2_kg.3__2706__AT3G24880.1 transcript:fgenesh2_kg.3__2706__AT3G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSVSGFLLVNAQVDSMGGVIDSGGGIGVKTSPRRTAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGIATSHSVQSTSLTDQQAEHFINSEVKDSFALTASPHGDSVESSGRPGVPTISEPNTADNLLLFDSENKSVEGERNLRYPNRQNRTSDSERSSKANTNQNTKETEDSAIFRPYARRNRSKINRDPARSSSTDLVQNRGGLATSISIRRGSVEGKGCIPEAANQKDRHTTSVSCPIFANTNGNNVPKNRVPSNSLNTKVDGEPVVRESTAGSKTSLFKDEADITYRKRSAYLPVGESGLAGEKAQLVSSGTEIGSPKAATIAGQENNSTQLNGLRDSMGEEESLTNRGAAGTKGIKSESSHANNVEVDVDNERDLYKVEKLDSDEISMQKTLRVEGLLDQTVGEITKTKIEDETGQSTTIITECIPEREMQMKSVKIENQSHRSTAEMQNEEKGFETEKRLQDGLVVPKNDRKVGSILPENPSSSLCSGIPQASVDTSSCTVGNNLLSGTDIESLKHQPSSDAVVIDTVKEDSILEEARIIQAKRKRIAELSFGTAPVEVREKSQWDFVLEEMAWLANDFAQERLWKMTAAAQICHRVALTCQLRFEERNQHKKLKKIASVLSNAILEFWSSVEVPGELEETSLGIVKETCQESNCVNGRVCLAGGVKEYASRFLKYNNSSISYHSAAPSTPDNMCNPEILDISMVDQLTEASLFYSVPSGAMEVYHKSIESHLTRCEKSGSSMQEEVDTSAYDTAGGYNVTAFDEDEGETSTYYLPGAFESSRSFNISHKKRKNLMKSHSARSYDLGDDLPYVNYTGGSNSSSLMAKRSASNINTCSVPTRRVRTASRQRIVSPFGCATAGNLPVPSKTDASSGDTSSFQDEQSSLHGGSAVQKGTEVESSVNFEKMLPYDMAETSGKPKKKKKTHQGSAYDQTWHLDPSVHVEQKDHWKKRPENHFDMNGLYGPHSAKKQKTTKQLVDNNFDIAIPHAGSIPSLAASQMSNMSNPNKSIKFIGGRDRARKIKGLKISPGQHGSGNPWSLFEDQALVVLVHDMGPNWELISDAMNSTLKIKCIYRNPTECKERHKILMDKTAGDGADSAEDSGNSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKICLIGKKLHYRKTQHQGDEMMGDGSPNFKSVIRVSVVSFVHGIQFTSCTGAGISQSPDIPGLHVSKYSFKSWLTFAENDGRDPKQIVPVHNSQVMALSQVFPNNLNGGVLTPLDVCDASTSGQDVFSLENPGLPMLNQGTPVLPTSGAHPSTPGSSGVVLSNNLPTTSGLQSASVRDGRFNVPRGSLPLDEQHRLQQFNQMLSGRNLQQPSLSTPGAVSGSDRGHRMVPGGNAMGVTGMNRNTPMSRPGFQGMASSAMPNTGSMLSSGMVGIPNTGNVHSGGGASQGSSMHRPREAVQHMMRMQAAQGNSPGIPAFSNLSSGFTNQTTPVQAYPGQLSQQHQMSPQSHVLGNSHHPHLQSPSQATGAQQEAFAIRQRQIHQRYLQQQQQQFPASGTMMPHVQQPQGSSVSSSPQNSPQTQPPVSPQPLSMPPVSPSPNINALAQQNPKKSQLPLHGLGRSPQSGTSGVNNQAGKQRQRQLQQQLQQSARQHPHQRQPTQGQQQNKKGMGRGNMIYQNITVDQSHLNGLNMAPGNQATEKGESAVPVRPDQQSSAGTTTSTHMQSKPFVPPLSSNHSQQLPKSFPGASSPSQQQMQLHSDNNIQGQTSPAAPCNILSTSSPSITPAVPPSNHQHLLIHQKQRNQVQSAAQRVVHHNHLGNSELSKKSQAERMPRVPLSVTNTTQTASMGTTKGMHQASNDLKNIKAVDSTAVPALEPPSCVASVQSTASKVVNSSNTDSAGNDPVTTPNQGLAQEHLSGGLPSHGIKGVTQRQQQSLPSEEKRPKLPEKLTVLNQKHLASEQQQQPQLEEALEVSSSKPPDTKVE >fgenesh2_kg.3__2709__AT3G24900.1 pep chromosome:v.1.0:3:12333618:12357074:-1 gene:fgenesh2_kg.3__2709__AT3G24900.1 transcript:fgenesh2_kg.3__2709__AT3G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L5T9] MSEPLLRLNFLSLLLLSCVSLPSSSFTFNNPVVGQGACGLHQIQAFTQFKNEFDTRACNHSDSLNGVWCDNSTGAITKLRLRACLSGTLKSNSSLFQFHQLRYLYLSFNNFTPSSIPSKFGMLNKLEVLFISSGGFLGQVPSSFSNLSMLSALLLHNNELTGSLSFVRNLRKLTVLGVSHNHFSGTLDPNSSLFELHHLTFLDLGFNNFTSSSLPYEFGNLNKLEALFLTSNSFYGQVPPTISNLTQLTELKLLSNDFTGSLPLVQNLTKLSILELSDNHFSGTIPSSFFTMPFLSDLGLNGNNLNGSFEAPNSSSSSRLEHLHLGKNQFEGKILEPISKLINLKELELSFLNTSYPIDLSLFSSLKSLLLLDLSGDWISQASLSSDSYIPSTLEALVLRDCNISDFPNILKTLQNLEFIALSNNRISGKIPEWLWSLPRLSSVFIGDNMLTGFEGSSEVLVNSSVQILDLDSNSLEGALPHLPLSISYFSAKNNSFTSDIPLSICYRSSLDILDLSYNNFTGLISPCPSNFLILNLRKNNLEGSIPDKYYADAPLRTLDVGYNRLTGKLPRSLLNCSALQFISVDHNGIKDTFPFFLKALLKLQVLILSSNKFYGPLSPPNEGPLGFPELRILEIAGNKLTGSLHPNFFVNWKASSRTMNEDLGLYMVYDKVVYGIYYLSYLEAIDLQYKGLSMKQERVLTSSATINFSGNRLEGEIPESIGLLKALIALNLSNNAFTGHIPLSLANLVKLESLDLSSNKLLGTIPNGLRTLSFLAYMNVSHNQLTGEIPQGTQITGQPKSSFEGNAGLCGFPLEESCFGTNAPLAQQTKEEEDEEEEQVLNWKGVALGYGVGVLLGLAIAQLIASYKPEWLVCLFKSRN >fgenesh2_kg.3__270__AT3G03230.1 pep chromosome:v.1.0:3:959229:967259:-1 gene:fgenesh2_kg.3__270__AT3G03230.1 transcript:fgenesh2_kg.3__270__AT3G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L0E7] MVLLLVIVGLISYYVYKSIIPPPPIPLPENVSEISPRIKLNDGRHLAYKELGFPKDKAKNKIIIVHGNGNSKDVDLYITQEMIDEFKIYFLFFDRAGYGESDPNPTRTLRTDTYDIEELADKLQIGPKFHVIGMSLGAYPVYGCLKYIPHRLSGASLVVPLINFWWSRVPQNLLNAAMKKLPIGFQLTLRVAHYSPWLLYWWMTQKWFPNSRNPKDTMTERDLELAEKHTKHSYIKESALRQGDYVSTQRDIIAGYGNWEFDPTELSNPFLDSKKGSVHMWCALEDKQISRDVLIYICDKLPWIKLHEVPDGGHYIIHEKRHFEAIIKAACS >fgenesh2_kg.3__2711__AT3G25030.1 pep chromosome:v.1.0:3:12383068:12384254:1 gene:fgenesh2_kg.3__2711__AT3G25030.1 transcript:fgenesh2_kg.3__2711__AT3G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDRLRVTLLDRMSTVETSRSCLTLEAILMADKNRTSPQILSPPPSRNQSNRSLLEVMQREHRHSRDKTAWKSLREKLRLKRNATVWISSNSIPTLDTPIPNRDNVSHQLGFLLSNSNITEEASSTEGRIRLGAVLAEERALSAREEETPAERGVEPARMSLMELLEENEGQMSLVNVDGEAEEEVAVTVTAAEISCCVCMVRSKGAAFIPCGHTFCRLCSRELWVQRGNCPLCNTTILEVLDLF >fgenesh2_kg.3__2713__AT3G25040.1 pep chromosome:v.1.0:3:12385143:12387412:1 gene:fgenesh2_kg.3__2713__AT3G25040.1 transcript:fgenesh2_kg.3__2713__AT3G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor [Source:UniProtKB/TrEMBL;Acc:D7L5U4] MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGVSLKTQELYAIVFATRYLDIFTSFVSLYNTSMKLVFLGSSFSIVWYMRYHKAVHRTYDREQDTFRHWFLVLPCLLLALLIHEKFTFLEVLWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYIFLLGGYRGLYILNWIYRYFTEPHFVHWITWIAGFVQTLLYADFFYYYFLSWKNNKKLQLPA >fgenesh2_kg.3__2715__AT3G25070.1 pep chromosome:v.1.0:3:12397982:12399738:1 gene:fgenesh2_kg.3__2715__AT3G25070.1 transcript:fgenesh2_kg.3__2715__AT3G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1-interacting protein 4 [Source:UniProtKB/TrEMBL;Acc:D7L5U7] MARSNVPKFGNWEAEENVPYTAYFDKARKTRAPGGKIINPNDPEYNSDSQSQAPPPPSRTKAEQVEPVRRSREHMRSREESELKQFGDAGGSSNEAANKRQGRASQNNSYDKSPLHKNSYDGTGKSRPKPANLRADESPEKVTVVPKFGDWDENNPSSADGYTHIFNKVREERSSGANVSGSSRTPTHPNSSKPNNTSTCCCFGFGGK >fgenesh2_kg.3__2716__AT3G25100.1 pep chromosome:v.1.0:3:12413194:12415214:1 gene:fgenesh2_kg.3__2716__AT3G25100.1 transcript:fgenesh2_kg.3__2716__AT3G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIQKVESFYAKLRESATSLSSQNPLLIFPSTSDVDSLCALKVITHILESDSIQYSCFPVSSFLEIHKYAGPAGLCSTSSESPPVTILLINWGCHRDLKLVLKLGPAARVFVVDSHRPIHLHNLSDYNEQVVVLHTDDDERQADLAYDFDVLKLANESFQLHVEEGGESDEEEEEEEDEEEEDEDDDDDDGDGDRPSKRRKMGDGVKVFKKLKRDYYKMGTFHGKPSGCLLFELSHMLRKNTNELLWLACVALTDQFVHERLTDERYQAAVMELEQHINSSGNIDKITSVTLKDGTKVRAPDCSRISYEEEPRLMLLREWTLFDSMLCSSYIATKLKTWSDNGIKKLKLLLARMGFALIECQQKFPYMSHEVKRKMKQEFDRFLPEYGLNDFYYRSFLRLHGYSSRVSAADVVYGITALLESFLGSGGSSASKQFGEAYDALSLNNLDKLRSGMQQAIKVQRAILRQGSAAITKTGCIRSGRKFRWVKIEDSMDAKYLGYPQALTKFCYFLMDALREKGARMKPMLCACASQQPGKLLVVGVCGKPRLGAVRGNAFGNAFRKAAQESRADYFHELFESSWIVLDASAVNSFMIRLTEKL >fgenesh2_kg.3__2717__AT3G25110.1 pep chromosome:v.1.0:3:12415325:12417500:-1 gene:fgenesh2_kg.3__2717__AT3G25110.1 transcript:fgenesh2_kg.3__2717__AT3G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:D7L5U9] MLKLSCNVTDSKLQRSLLFFSHSHRSDPVNFIRRRIVSCSQTKKTGLVPLRAVVSTDQGTVIQGLGTLADQLRLGSLTEDGLSYKEKFVVRSYEVGSNKTATIETIANLLQEVGCNHAQSVGFSTDGFATTPTMRKLHLIWVTARMHIEIYKYPAWGDVVEIETWCQSEGRIGTRRDWILKDCVTGEVTGRATSKWVMMNQDTRRLQKVSDDVRDEYLVFCPQELRLAFPEENNRSLKKIPKLEDPAQYSMIGLKPRRADLDMNQHVNNVTYIGWVLESIPQEIVDTHELQVITLDYRRECQQDDVVDSLTTSEIGGTNGSATSGKQGHNDSQFLHLLRLSGDGQEINRGTTLWRKKPSR >fgenesh2_kg.3__2718__AT3G25120.1 pep chromosome:v.1.0:3:12418562:12420138:-1 gene:fgenesh2_kg.3__2718__AT3G25120.1 transcript:fgenesh2_kg.3__2718__AT3G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:UniProtKB/TrEMBL;Acc:D7L5V0] MASGDRKSPEQTNQPLSPPTPIVQETGTPTKRVLITSLLAGVIGGGAGLVSKHRIAHPNIPTVYATNCAIVAGCYCGARESVRITRRSEHDDLMNSAIGGLFSGALLGRLQGGPQGAIRYSLVFAAVGTAFDYASLKAKPMLESYRNMESFKLPEWSPIKVLDEEALAKKKAQEEKIFPERVLGKLNKE >fgenesh2_kg.3__2719__AT3G25130.1 pep chromosome:v.1.0:3:12428478:12429756:1 gene:fgenesh2_kg.3__2719__AT3G25130.1 transcript:fgenesh2_kg.3__2719__AT3G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVALSSQEESTPSRKKIGLSSLLLSDFHLFCSFIFSHPFYFSYLLFFSPYIFKILSFLSPLFVTTTLLILALLSTLHVHETCLDSESLETQPSFLFSFCSKLGSVLEHKFDINDEGFKSLEDLEAYKMVVEACSIQCASDNEICSDELTFVDKFCSHESTVSEALTDETREEQVEIQPLKLEDVIVLEKEEETKKCEKEEEEEQKVKPESDVVLDNEEEPTKEESKAQKVDLVGDFNNESYDLPKLSKFLGEGKRNEATKKEEEDNVSLQSFGSMRKEKEWRRTLACKLFEERHNADVGQGMDQLWETYETQTEKKHQTEEEKKKLKKKTKSMLKTKSIEKEVIVEEEDHDDGIDHQQLCCLQALKFSTGKMHLGIARPNLLKLSKAFKGIGRFYNANKHSKKA >fgenesh2_kg.3__271__AT3G03240.1 pep chromosome:v.1.0:3:968072:969842:-1 gene:fgenesh2_kg.3__271__AT3G03240.1 transcript:fgenesh2_kg.3__271__AT3G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7L0E8] MLFLVAAVGLIAYYVYKSIKPPPPIPLPENVSEISPRIKLNDGRYLAYRELGFPKDKAKNKIIILHGFGSSKLVDLKITQEMVDEFEIYFLLFDRAGYGESDPHPSRTLKTDTYDIEELADKLQIGPKFHVLGMSLGAYPVYGCLKYIPHRLSGATLVVPLLNFWWSRLPLNLSISAFKKLPIQNQWTLGVAHYFPWLLYWWMTQKWFSPFSQNPRETMTERDIELADKHTKHSYIKESALRQGEYVSMQRDIIAGYQNWEFDPTELSNPFSDDNKGSVHIWCALEDKQISHEVLLYLCDKLPWITLHEVPEAGHLIIHEKQHFEDIIKAACS >fgenesh2_kg.3__2729__AT3G25190.1 pep chromosome:v.1.0:3:12656428:12658243:1 gene:fgenesh2_kg.3__2729__AT3G25190.1 transcript:fgenesh2_kg.3__2729__AT3G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVQLSETSSPRSQKNCPRVEKEEVDYMQRAQWLRAALLGANDGLVTVASLMMGVGSIKEDVKAMLLVGFAGLVAGACSMAIGEFVSVCTQRDIETAQMKRAIENKTSLSEIDEQEEEEKKERLPNPGQAAIASALAFSVGAAMPLLAAVFIENHKVRMVVVAIVATIALVVFGVTGAVLGKTSVAKSSVRVVIGGWMAMALTFGLTKFIGSAAMQI >fgenesh2_kg.3__272__AT3G03250.1 pep chromosome:v.1.0:3:970343:975517:-1 gene:fgenesh2_kg.3__272__AT3G03250.1 transcript:fgenesh2_kg.3__272__AT3G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose pyrophosphorylase [Source:UniProtKB/TrEMBL;Acc:D7L0E9] MAATTENLPQLKSAVDGLSEMSENEKSGFIGLVSRYLSGEAQHIEWSKIQTPTDEIVVPYEKMASVSQDVSETKNLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRDGLTFLDLIVIQIENLNNKYGCKVPLVLMNSFNTHDDTQKIVEKYTHSNVDIHTFNQSKYPRIVADEFVPWPSKGKTDKEGWYPPGHGDVFPALMNSGKLDTFLSQGKEYVFVANSDNLGAIVDLTILKHLIQNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKKLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDNAIGVNVPRSRFLPVKASSDLLLVQSDLYTLVDGFVTRNKARTNPSNPSIELGPEFKKVANFLSRFKSIPSIVELDSLKVSGDVWFGSSVVLKGKVTVTAKSGVKLEIPDRAVVDNKNINGPEDL >fgenesh2_kg.3__2734__AT3G25230.1 pep chromosome:v.1.0:3:12747747:12750919:1 gene:fgenesh2_kg.3__2734__AT3G25230.1 transcript:fgenesh2_kg.3__2734__AT3G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7L6T0] MDNDFEMPPVGDDDEMDFGDAASFLKVGEEKEIQQGLKKKLVKEGEGYETPENGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFTLGQGQVIKGWDIGIKTMKKGENAVFTIPSELAYGETGSPPTIPANATLQFDVELLTWVSVKDICKDGGVFKKILAVGEKWENPKDLDEVLVKFEAKLEDGTVVGKSDGVEFTVKDGHFCPALTKAVKTMKKGEKVLLTVKPQYGFGEKGKPASAGDGAVPPNATLEINLELVSWKTVSEVTDDNKVMKKILKEGEGYERPNEGAAVKVKLIGKLQDGTVFLKKGHGENEEPFEFKTDEEQVVDGLDRAVMKMKKGEVALVTIDPEYAFGSNESQQELAVVPPNSTVTYEVDLLTFDKERESWDMSTEEKIEAASKKKEEGNSKFKAGKYALASKRYEKAVKFIEYDTSFSEEEKKQAKALKVACNLNDAACKLKLKDYKQAEKLCTKVLELESTNVKALYRRAQAYMEMADLDLAEFDVKKALEIDPNNREVKLEQRRLKEKMKEFNKKEAKFYGNMFAKLTVSIYL >fgenesh2_kg.3__2736__AT3G25260.1 pep chromosome:v.1.0:3:12766554:12769017:1 gene:fgenesh2_kg.3__2736__AT3G25260.1 transcript:fgenesh2_kg.3__2736__AT3G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7L6T3] MQVEIEEKFEDWRGREAISGKHGGIKAAFIACVVETMENMVFLAYSTNFMMYFTKSMNYSSPKAATMVTNFIGTSFLLTIFGGFVADSFLTRFASFVLFGFIELLGLILLTLQAHITKLQPQGGKKPSTLQSTVLFTGLYAIAIGVGGVKGSLPAHGGDQLGTRNQRLISGFFNWYFFSVCLGGFLAVTLMVWIEENKGWSSSFNISTAVLASAIFIFVVGCPMYRFKRPAGSPLTRIVNVFASATRNRNRFVTDAEVTQNHTSTDKSIHHNKFKFLNKAKLNNKISATQVEETRTFLALLPIFASTIVMNCCVAQMGTFSVQQGMVTNRKLSRSFEIPVASLNAIPLLCMLSSLALFELFGKRIISNSERSSSFNLKRIGSGLALTSVSMAVASMVEVKRKHEAVHNNIKISVFWLELQFVLLSFSDMLTVGGMLEFFFRESPASMRSMSTALGWCSTAMGFFLSSVLVEVVNGITGWLRDDLNESRLELFYVVLCVLNTLNLFNYIFWAKRY >fgenesh2_kg.3__2740__AT3G25400.1 pep chromosome:v.1.0:3:12833242:12834339:1 gene:fgenesh2_kg.3__2740__AT3G25400.1 transcript:fgenesh2_kg.3__2740__AT3G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGEEGGEEEVSLQTLSKKMDDFAKARDWEKYHSPRNLLLAMVGEVGELSEIFQWKGEVARGCPDWKEEEKVHLGEELSDVLLYLVRLSDACGVDLGKAALRKIELNAIKYPAPKQTDDHCVGDGEHSSGNIKLNEEH >fgenesh2_kg.3__2741__AT3G25410.1 pep chromosome:v.1.0:3:12834409:12836434:-1 gene:fgenesh2_kg.3__2741__AT3G25410.1 transcript:fgenesh2_kg.3__2741__AT3G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bile acid:sodium symporter family protein [Source:UniProtKB/TrEMBL;Acc:D7L6U2] MTLIASLSLPPPAIQRQSLSKSQCFNCQLRSSSSSLSSLHSSSLSKFLDFRPRRRNGGLVPVVACSTTPFMGRVGLHWRDGNMSLLSFCGGTDVTERADSSQFWSALLPFVVALTAVAALCYPPSFTWVSKELYAPALGGIMLSIGIQLSIDDFALAFKRPVPLSVGFVAQYVLKPLLGVLIANAFGMPRTFYAGFVLTCCVAGAQLSSYASSLSKADVAMSILLTSSTTIASVIFTPLLSGLLIGSVVPVDAVAMSKSILQVVLVPVSLGLVLNTYAKPVVTLLQPVMPFVAMVCTSLCIGSPLSINRSQILSAEGLGLIVPIVTFHAVAFVLGYWFSKIPGLRQEEEVSRTISLCTGMQSSTLAGLLASQFLGSSQAVPAACSVVVMAIMGLCLASFWGNGFRIRDFLSLSTPQSSGYSAES >fgenesh2_kg.3__2742__AT3G25420.1 pep chromosome:v.1.0:3:12839485:12842962:1 gene:fgenesh2_kg.3__2742__AT3G25420.1 transcript:fgenesh2_kg.3__2742__AT3G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7L6U3] MGWLVEAIVASILLSLCFAITESAPKSALITELPGFNGTFPSKHYAGYVAIDKHRNKNLWYYFVESERNASVDPVVLWLNGGPGCSSMDGFVYEHGPFNFEPKKRNSHLLHLNPYSWSKVSNIIYLDSPVGVGFSYSNDNADYTTNDTKTAFDSHRFLLEWFKMFPEFRSNPFFISGESYAGIYVPTLAAQVVKGHKNVTTKPLINFKGYLVGNGVTDEVFDGNALVPFTHGMGLISDELYEETKLVCNGTYYTGGHSGVSKECADKLKKVSDTVSLLNLYNILEPCYHGTSLSALDIEFLPKSLLTLGKTEKPMAVRKRMFGRAWPLGAVVRPGIVPSWSQLLEGSGVPCIDDTVATKWLNDPAVRKAVHAKEVSTLSTHFIIFFLISLSIGNWKLCSSQLEYRHDTGSMIEYHRNLTLSGFRALVFSGDHDMCVPYTGSEAWTKAMGYKVVDEWRPWISNNQAAGFTQGYANNLTFLTIKGAGHTVPEYKPRESLDFYSRFLAGEKI >fgenesh2_kg.3__2744__AT5G60830.1 pep chromosome:v.1.0:3:12895054:12895677:-1 gene:fgenesh2_kg.3__2744__AT5G60830.1 transcript:fgenesh2_kg.3__2744__AT5G60830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7L6V5] MESSSVHRSHCFDILDGVPLQDDHFSSAFLPNTDFNVQLNSISTRSNNQSHLDPNAENIFHNEGLAPEERRARRMVSNRESARRSRMRKKKQIEELQQQVEQLMMLNHHLHEKVINLLESNHQILHENSQLKEKASSFHLLMADVLLPMRNAESNINDRNVNYLRGETSNRPTNSPFVCSTMIDAYM >fgenesh2_kg.3__2745__AT1G76800.1 pep chromosome:v.1.0:3:12923506:12924274:1 gene:fgenesh2_kg.3__2745__AT1G76800.1 transcript:fgenesh2_kg.3__2745__AT1G76800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLGSNTNMDIEKESTTFDYSKRSQWLRAAVLGANDGLVSTASLMMGVGAVKHDVKAMILSGFAGMVAGACSMAIGEFVSVYSQYDIEVAQMERDSVEIEKEKLPSPIQAAAASALAFSAGAIVPLLAAAFVKEYKVRIIAVVVAVTVALMVSGWLGAALGKAPAVRSSARVSFGGWLAMAVTFGLTKLIGLYGL >fgenesh2_kg.3__2746__AT1G27190.1 pep chromosome:v.1.0:3:12961773:12964059:1 gene:fgenesh2_kg.3__2746__AT1G27190.1 transcript:fgenesh2_kg.3__2746__AT1G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFITLLWLLFISSFLCSSSSAEDDVLCLQGLKNSLIDPLSRLSSWSFPNSSASSICKLTGVSCWNEKENRIISLQLQSMQLAGEIPESLKLCRRMWPNLTQKLAQELRIATSFIYYPKILIPSNVGHSNRPPRDVGGKRSNGKQPKPNISEIQQDMPLIWIPSQICSWLPYLVTLDLSGNKLGGSIPTQIVESFCFDVFLLLGMISPVRFLPSWRGGFGGDDFSGNNGLCGKPLSRCGALNGRNLSIIIAAGVIGVVGSLCVGLVIFWWFFIREGSRKKKGYGAGKSKDDSDWIGLLRSHKLVQVTLFQKPIVKIKLGDLMAATNNFSSGNIDVSSRTGVSYKADLPDGSALAVKRLSACGFGEKQFRSEMNRLGELRHPNFGAAFSICLMARCFLSCTMVDCVMLLDWPTRLAIGVGAAKGLAWLHHGCQLPYLHQFISSNVILLDDDFDARITDYGLTRLVGSRDSSDSSFNNGDLGELGYVAPEYSSTMVASLKGDVYGFGIVLLEFVTGQKPLSVINGVEGFKGSLVDWVSQYLGTGRSKDAIDRSICVKGHDEEILQFLKIACSCVVSRPKERPTMIQVYEYLKNMADKHGVSEHYDEFPLVFNKQEP >fgenesh2_kg.3__2748__AT3G25480.1 pep chromosome:v.1.0:3:13013191:13014516:-1 gene:fgenesh2_kg.3__2748__AT3G25480.1 transcript:fgenesh2_kg.3__2748__AT3G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPIILASSPLRNLTKPSSTSQTLKPNPNSPKQPPIHLLTKTHLSVTISQLIITSPVLASESFDSISSDPSPGRIDLESILVTIDNFFNKYPFFVAGCTFIYLVVYPSVIFYLRKYKPISAMNAFRKLKMEADSQLLDIRDDKTLATLASPNLKFLGKSSVQVPFSENDEDGFLMKVKGRFSDPENTVVCVLDNFDGNSSKVAELLIKNGFKEAYYIRGGARGKNGWLAIQEELLPPPVHMYTAKNVKSSNNNEASIVGTEN >fgenesh2_kg.3__2755__AT3G25520.1 pep chromosome:v.1.0:3:13059484:13061450:-1 gene:fgenesh2_kg.3__2755__AT3G25520.1 transcript:fgenesh2_kg.3__2755__AT3G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKSSKSNAYFKRYQVKFRRRRDGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIVAQIVSASIAGDIVKASAYAHELPQYGLTVGLTNYAAAYCTGLLLARRVLKMLEMDDEYEGNVVATGEDFSIEPTESRRPFRALLDVGLIRTTTGNRVFGALKVLKGALDGGLDIPHSDKRFAGFNKENKQLDAEIHRNYIYGGHVSNYMKLLGEDEPEKLQTHFSAYIKKGVEAESIEELYKKVHAAIRADPNPKKTEKPAPKQHKRYNLKKLTYEERKNKLIERVKALNGAGGDDDDEDDEE >fgenesh2_kg.3__2757__AT3G25530.1 pep chromosome:v.1.0:3:13061809:13063605:-1 gene:fgenesh2_kg.3__2757__AT3G25530.1 transcript:fgenesh2_kg.3__2757__AT3G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase NAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L7E3] MEVGFLGLGIMGKAMSMNLLKHGFKVTVWNRTLSKCDELVEHGASVCESPAEVIKKCKYTIAMLSDPCAALSVVFDKGGVLEQICEGKGYIDMSTVDAETSLKINEAITGKGGWFVEGPVSGSKKPAEDGQLIILAAGDKALFEESIPVFDVLGKRSFFLGQVGNGAKMKLIVNMIMGSMMNAFSEGLVLADKSGLSSDTLLDILDLGAMTNPMFKGKGPSMNKSSYPPAFPLKHQQKDMRLALALGDENAVSMPVAAAANEAFKKARSLGLGDLDFSAVIEAVKFSRE >fgenesh2_kg.3__2759__AT2G04039.1 pep chromosome:v.1.0:3:13064549:13065679:1 gene:fgenesh2_kg.3__2759__AT2G04039.1 transcript:fgenesh2_kg.3__2759__AT2G04039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAGGSFGVPSSRISIATPTLSSSSLLPPLTLQSVTRKDNLLRCAVQESSTSAVTTEKKDKEDKNESTVAVPAKKPKPAAAVAKPLRQMMEEDVIPPLKAILESQDDISEIDLSYQDDKLEGFFLKKSIPYSFWAFFPTGNLTGAKGFSISSHGSGPSTVEPFLVDERKPTANHVVFWVEKRLAAQGIIPVWNQ >fgenesh2_kg.3__2761__AT2G04040.1 pep chromosome:v.1.0:3:13065810:13067725:-1 gene:fgenesh2_kg.3__2761__AT2G04040.1 transcript:fgenesh2_kg.3__2761__AT2G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7L7E5] MEEPFLPRDELLVPSPVTWQTNQLTVELKKLSRLAAPMATVTIAQYLLPVISVMVAGHNGELQLSGVALATSFTNVTGFSIMCGLVGALETLCGQAYGAKQYDKIGTYAYSAIASNITICFLISIIWLYIEKILIALGQDPEISRIAGSYAFWLIPTLFGQAIVIPLSRFLLTQGLVLPLLFTAVTTLLFHVFVCWTLVFLFGLGSNGPAMATSVSFWFYAVILSCYVKFSSSCEKTRGFVSENFVSSIKQFFQYGIPSAAMICLEWWLFEILILCSGLLPNPKLETSVLSICLTIETLHYVIAAGVAAAVSTRVSNNLGAGNPQVARVSVLAGLCLWIVESAFFSILLFAFRNIIGYAFSNSKEVLDYVADLTPLLCLSFILDGFTAVLNGVARGSGWQHIGAWNNTVSYYLVGAPVGIYLAFSRGLNGKGLWCGVVVGSTVQATILAIVTTSINWKEQAEKARKRIDSTENRLA >fgenesh2_kg.3__2766__AT2G04100.1 pep chromosome:v.1.0:3:13141098:13143269:-1 gene:fgenesh2_kg.3__2766__AT2G04100.1 transcript:fgenesh2_kg.3__2766__AT2G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7L7F3] MEDPLLLEDDQIITGSLKPTPTWRMNFTAELKNVSRMALPMATVTVAQYLLPVISVMVAGHRSELQLSGVALATSFANVSGFSLMFGLVGALETLCGQAYGAKQYAKIGTYTYSAIVSNIPIVVLISILWFYMDKLLISIGQDPDISKVAGSYAVCLIPALLAQAVQQPLNRFLQTQGLVLPLLYCAITTLLFHIFVCLVLVYAFGLGSNGAALAIGLSYWFNVLILALYVRFSSACEKTRGFVSDDFVLSVKQFFQYGIPSAAMTTIEWSLFELLILSSGLLPNPKLETSVLSICLTTSSLHYVIPMGIGAAGSVRVSNELGAGNPEVARLAVLTGIFLWFLEATICSTLLFICRNIFGYAFSNSKEVVDYVTELSPLLCISFMVDGFSAVLGGVARGSGWQHIGAWANVVAYYLLGAPVGLFLGFWCHMNGKGLWIGVVVGSTAQGIILAIVTACMSWNEQAAKARKRIVVRTSSFGNGLA >fgenesh2_kg.3__276__AT3G03272.1 pep chromosome:v.1.0:3:985873:986931:-1 gene:fgenesh2_kg.3__276__AT3G03272.1 transcript:fgenesh2_kg.3__276__AT3G03272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPISLVLALLLSSSFAPLAATAFSLFPFPFPLPLPFSLPSIFGGIIGNSDNSELARMCFPDLGDGEACVAEIFGSFFNRQITIGPECCKAIVEIDEDCTQAIFKPLSNSFFSSSVKQYCTYINN >fgenesh2_kg.3__2772__AT2G04220.1 pep chromosome:v.1.0:3:13247702:13248616:1 gene:fgenesh2_kg.3__2772__AT2G04220.1 transcript:fgenesh2_kg.3__2772__AT2G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSHSTGSSQFAEKITEDPVTYKTAQSTVTCIYQAHISGFWRNVTVLWSKNVMNHSLMVMVTNVEGDMNYCCKVDLKPWHFWNKKGYKSFEVEGNPVEVYWDFRSAKFTSSPEPSSDFYVALVSEEEVVLLVGDYKKKAFKRTKSRPALVEAALFYKKENVFGKKCFTTRAKFYDRKKEHEIIVESSTSGPKEPEMWISIDGIVLIQVKNLQWKFRGNQTVLVDKQPVQVFWDVYDWLFSTPGTGHGLFIFKPGTTEDSDMEGSGHGGGGSDTSTGSRYYSTKSSNPWPPEFCLFLHAWKLE >fgenesh2_kg.3__2773__AT2G04235.1 pep chromosome:v.1.0:3:13259202:13265060:1 gene:fgenesh2_kg.3__2773__AT2G04235.1 transcript:fgenesh2_kg.3__2773__AT2G04235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPEDPMNNTAGIGTDEESIAQRRKRLRRVSFADREITSVHIFNRDEDYETPPNTSAAKPQNGDTAEHEDKVIRFFGELSDREDTDGDGDAEYEAILDKSFLRPKYSPSSGGSTVGSATRFVLTPKIISLALLSDTTFSEEHHEMTMDSTAFSMHFRSLARSESGDVRTPTSSHLPVEEKTPTEVTSRSDTGSAMVLTEPKKLFPKSPVPVDKGSGGRDSNDMSIVGENSRKYDYGYISPTLAALMGDGSKELLPEDNTVEARSPIDDFFSSPQNGYMPIGHGIDSSDACHPQIVLQESGSLRYTKEASLSSSAIRRQSAFLVGMLPQSLSCVTPSPKQGGSFMSRETRALVESLSTIQKSKSRLGLIPPSPGSALSQRIEKSKLQLSGHRSMVTPATGREEIGVLREKHADIPITNLEDLLSKHDNRTPISEKKSIPDKCVSGAFNPAVDTSDDNRTQVSEKKGIPDRCTSGALSPAVDTSVDRTPVLEKKGIPDRCTSGALSPAVDTSDDRTPVSEKKGLSPAVDTSDDRTPVSEKKGMPDQHCCGALIPAVDISDVFARRSPEGNTNSEIEGSLCKQQQRNQTASTPEKCVSSLTNSSNAKPSALKKFATRPDQEQHSKAIETGEGNVTKECASNCSMNTLSDKVDSLLAESSVLLSETGFLNGSAQQKEKDSMLNKNQNRTNISASQSLLKDNNHFKVHCETEVISAEDFPALVTKNLPSTSGSPSMDRYENEASHAKGPSRLKRKAEDVDCAGRSCSPKVGRSTQYISNSVMDIPNGNIDANDCRRVHEQVNWVEIPGKVSEKINQMLAPLEDKLNSRLKVCDDLRGAKTKRRAESRSLLCKLAYEKAKLELLHLKKEIMMKKFQAVSTGVQTSETLRLNCANFLRQHGFHSTSLLNPDQAQEVVTSKGAEITQEIKELDSKIKNLIQCFTTSDTVTGEPAYADTIMIAEDTLKKRMSCRSIRQDILVWQVDSLGEWNDCQSIVLNYSDVFNQRLTLKPGHPSCVLVSNSLSDKFVKHFPEMNVSIAFNSLFNAEDSRKYIGGSNLLLEITQKTSLLLHNLLDVAEEFHLAQMNIPNLVQGNFDSPSAELLHLQINFLDCTNLRKLSVILDVTSLIHGKYPSDVVPCELREVSGTKRDGVASKQLKNEIDSAMNDVGVGYPRILRLCLCISKALQSQKR >fgenesh2_kg.3__2774__AT3G24982.1 pep chromosome:v.1.0:3:13265815:13268563:1 gene:fgenesh2_kg.3__2774__AT3G24982.1 transcript:fgenesh2_kg.3__2774__AT3G24982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPLLRLNFLLLLLLSCVSPSSLFTFNNPVVGLGACGPHQIQAFTQFKNEFDTRACNHSDPWNGVWCDNSTGTVTKLQLGACLSGTLKSNSSLFQFHQLRHLSLSNNKFTPSSILSKFGMLNKLEVLSLSSNSFLGQIPFSFSNLSMLSALVLRDNELTGSLSLVWSLRKLTYLDVSHNHFSGTMNPNSSLFELHHLTYLNLGFNNFTSSSLPYELGNLNKLESLDVSSSSLFGQVPPTISNLTHASFVQNLTKLSILELSENHFFGTIPSSIFNMPFLSYLLLSGNNLNGSFEAPNSSSTSMLEGLYLGKNHFEGKILEPISKLINLKELDLSFLKRSYPIDLSLFSSLKSLLLLDLSGDWISQASLSSDSYIPSTLEALRLKYCNIIKTLHNLEYIALSNNRISGKIPEWLWSLPRLSSMYIGDNLLTGFEGSSEVLVNSSVQILVLDSNSLEGALPHLPLSINYFSTKNNRFGGNIPLSICNRSSLDVLNLSYNNFTGPIPPCLSNLLILILRKNNLEGSIPDKYYVDTPLRSLDVGYNRLTGKLPRSLLNCSALQFLNVEHNRIKDIFPFSLKALPKLQVLILSSNKLYGPISPPNQGPLGFPELRILEIAGNKLTGSLPPDFFVNWKASSLTMNEVWDLYMVYEKILYGQYFLTYHEAIDLRYKGLSMEQESVLTSYATIDFSGNRLEGEIPESIGLLKALIALNLSNNAFTGHIPLSLANLVKLESLDLSSNQLSGTIPNGLGTLSFLEYINVSHNQLNGEIPQGTQITGQPKSSFEGNAGLCGLPLQESCFGTNTPPTQPTKEEEEEEQVLNWKGVAIGYGVGVLLGYKPEWLACLFKRRNH >fgenesh2_kg.3__2775__AT2G04240.2 pep chromosome:v.1.0:3:13276468:13280447:-1 gene:fgenesh2_kg.3__2775__AT2G04240.2 transcript:fgenesh2_kg.3__2775__AT2G04240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSLPGPSEGMLCVILVNTALSISIVKGIVRSVLGIVGISLSPSSSSPSSVTASSENPTSEPFDFRVCQPESFLEEFRNRTPTLRFESLCRCKKQEDNECSVCLSKFEGDSEINKLKCGHLFHKTCLEKWIDYWNITCPLCRTPLVVVAEDHQLSSNVW >fgenesh2_kg.3__2777__AT2G04260.1 pep chromosome:v.1.0:3:13289808:13290509:1 gene:fgenesh2_kg.3__2777__AT2G04260.1 transcript:fgenesh2_kg.3__2777__AT2G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L7H1] MNLLSCGQISLSGLLNFVDGLWSSCGEERIIIFTTNHKEKLDPALLRPGRMDVHILMDYCTPFVLKKLVAMYLKTDDHVLFDPIEKLVIDVSVTPAEIAQQLMASKNADIALKGLLEFLENKKMKKEEDAKVEEEGEIEDA >fgenesh2_kg.3__2778__AT2G04270.1 pep chromosome:v.1.0:3:13301379:13306647:1 gene:fgenesh2_kg.3__2778__AT2G04270.1 transcript:fgenesh2_kg.3__2778__AT2G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase starch-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L7H2] MDVTEVPWRRLPQISVSSRASWLVTSGFPVSSYMFSHVERGKSFRLTLCFGVSRARSAIVSAQQEQPASRSKGLCEVVWIVEADLAPNEHLYVTGDPSALGSWEPDCAISMYPTENDNEWEAKVKIASGVNFRYNYFLKAGYGSSSDVIWRPGPQFSLSVPSSVNRERKVVIRDSWMSVSSRSQESYVWGSWIDDAYLFPNSVTSAQSEGNISTSDSAIEVPRTLLNDKQVGDESFFCDELAAFSSENSNLSALFSDNYQPIEEPWLIQDSITLQHARNMQTDSEQDVESCDENENSLLTVEQNHQLTETLLPDGGFFQPESISTTILINSSICTVQRIAVLEGEKLVELLLEPVKTNVQCDSVYLGVITKFVPHMGGAFVNIGSARHSFMDIKSNREPFIFPPFCDGSKKQAADGSPILSINDIPAPHEIEHASYDFEASSLLDIDSNDPGESFHDDDDEHENDEYHVSDALVGLVNGTVVNHGAVEVGSENGLIPLEREHSVDSLVSNPSVSKTSKAMPSKDNKWIQVRKGTKIIVQVVKEGLGTKGPTLTAYPKLRSRFWVLLTRCKRIGVSKKISGVERTRLKVIAKTLQPQGFGLTVRTVAAGHSLEELQKDLEGLLLTWKNITDEAKSSALAADEGVEGAIPALLHRAMGQTLSVVQDYFNDKVEKMVVDSPRTYHEVTHYLQDMAPDLCNRVELHDKGIPLFDLYDIEEEIEGILSKRVPLSNGGSLVIEQTEALVSIDVNGGHGMFGQGNSQEKAILEVNLAAGRQIAREIRLRDIGGIIVVDFIDMADESNKRLVYEEVKKAVERDRSLVKVSELSRHGLMEITRKRVRPSVTFMISEPCSCCHATGRVEALETSFSKIEQEICRQLAKMEKRGDLENPKSWPRFILRVDSHMSSFLTTGKRTRLAILSSSLKVWILLKVARHFTRGTFEVKPFMDEKTVNERQHQVAISLLKKADAIADSSGKKKLTLIPKKEKTSGKHRR >fgenesh2_kg.3__2787__AT2G04400.1 pep chromosome:v.1.0:3:13348981:13351531:1 gene:fgenesh2_kg.3__2787__AT2G04400.1 transcript:fgenesh2_kg.3__2787__AT2G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVPVQRLPVRVASPSLYRCNNSISIRRSISGFAMDRKINFRAPPQFSIRAQQSDLKESLAVSSSSVEDKGNALRIKEWEVEMYQEELAISQGIRIRRKPPSKAPLGYSGPFELRLHNDDADSPRNILEEITWYKDEEVSRMKELNSLDALKKAVEDAPPTRDFVGALRMAHKRTGFPGLIAEVKKASPSRGILKENFDPVEIAQAYEKGGAACLSVLTDQKYFQGGFENLEAIRSAGVKCPLLCKEFVVDPWQIYYARTKGADAVLLIAAVLTDLEITYLLKICKKLSLAALVEVHDEREMGRVLGIEGIELVGINNRSLETFEVDISNTKQLLEGEHGRQIRERDMIVVGESGLFTPDDIAYVQAAGVKAVLVGESIVKQNDPEKGIAGLFGRNISHT >fgenesh2_kg.3__2789__AT2G04430.1 pep chromosome:v.1.0:3:13357738:13360254:1 gene:fgenesh2_kg.3__2789__AT2G04430.1 transcript:fgenesh2_kg.3__2789__AT2G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGQQISLLDGVEDRFGGIVVNLMEVESMTVDDFDAKLDASLKAWKDQGKKGIWIKLPRELSSLVDTAIKKGFTYHHAENEYVMLTSWLPQPPSTLPCNASHRIGIGAFVLNKNGEMLVVQENSGYFREKNVWKVPTGTIKEGESIWAGAVREVKEETDIDAEFVEVLAFMESHQAVWQRKSDIFFVCELEASTFEIKKQDSEIYAAKWMLVEEYVNQPFHNKEGNEMFKLIANICLKKSRDKYTGFVLTKDSPKKSLYCRVDHANLLNETADQASTSLSD >fgenesh2_kg.3__2790__AT2G04480.1 pep chromosome:v.1.0:3:13414237:13415431:-1 gene:fgenesh2_kg.3__2790__AT2G04480.1 transcript:fgenesh2_kg.3__2790__AT2G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDGGTWLTNSLCLGECTKFKAQGFWPLKSPRESISDAQIINCFDLMHYFSITMHLGAVVAPQISKQIKTNNGLVSVEMNLEKKIEEEYFLMSLASILLKRPFLWVSLHAPPLLHIFGLRFLHLLLTSAALFFSSFFFPISRTHSSLQPSKQDQDQERDYIATEDITGKSEDNLETTNEEDDDGAIPDDESLIELSLPSGHYVGHHYTSNKNNLCLHNKVQDFPLFDLLTELNDFIEEDNLIEIDISIGSIKYSRFEIKA >fgenesh2_kg.3__2791__AT2G04515.1 pep chromosome:v.1.0:3:13426746:13427604:1 gene:fgenesh2_kg.3__2791__AT2G04515.1 transcript:fgenesh2_kg.3__2791__AT2G04515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKQERSVKEMKVVTKIIALASIFSFIVLSYSSLISSLQQRLHLLSMYSNLVDKKYMFLLCNGIVCFIVGSFRGNSESFSHGKAFNIVEQTKEVRVKDMRETKVKKVVALLEEENVSKEEEGRVVLFRGEEVALVVKEDEGILVQDLAIVRMDSYHDDDETNDSLLSSEDLNKKCEDFIRKMKAEIRFGKTSI >fgenesh2_kg.3__2793__AT2G04530.1 pep chromosome:v.1.0:3:13432700:13434821:1 gene:fgenesh2_kg.3__2793__AT2G04530.1 transcript:fgenesh2_kg.3__2793__AT2G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSSFPISPSKIFPFTKYHAPPVIIHQLAAQIQSHRSNFVSPVKVSGYFSSISRAIEEEEEYRKARAAVNRKGVELESYAIEGISVGGHETCVIVPELKCVFDIGRCPSRAIQQKFLFITHAHLDHIGGLPMYVASRGLYNLEPPKIFVPPSIKEDVEKLLEIHRTMGQVELNVELIPLDVGETYELRNDIVVRPFATHHVIPSQGYVIYSVRKKLQKQYAHLKGKQIEKIKKSGVEITDTILSPEIAFTGDTTAEYMLDPRNADALRSKVLITEATFLDESFSTEHAQALGHTHISQIIENAKWIRSKTVLLTHFSSRYHVEEIREAVLKLQSKVSAKVIPLTEGFRSRYS >fgenesh2_kg.3__2794__AT2G04540.1 pep chromosome:v.1.0:3:13434784:13438629:-1 gene:fgenesh2_kg.3__2794__AT2G04540.1 transcript:fgenesh2_kg.3__2794__AT2G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:UniProtKB/TrEMBL;Acc:D7L823] MATSYLRRHLSSNRLRLTRFITTSSHPSHRRVVVTGLGMVTPLGRGVETTWRRLIDGECGIRGLTLDDLKMKSFDEETKLYTFDQLSSKVAAFVPYGSNPGEFDEALWLNSKAVANFIGYALCAADEALRDAEWLPTEEEEKERTGVSIGGGIGSISDIVEAAQLICEKRLRRLSPFFIPKILVNMASGHVSMKYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALSVAGFSRSRALSTKFNSSPQEASRPFDCDRDGFVIGEGSGVIVLEEYEHAKRRGAKIYAELCGYGMSGDAHHITQPPEDGKGAVLAMTRALRQSGLCPNQVDYINAHATSTPIGDAVEARAIKTVFSEHATSGTLAFSSTKGATGHLLGAAGAVEAIFSILAIHHGVAPLTLNVKNPDPIFDQSFMPLTTLKKMLVRTAMSNSFGFGGTNASLLFASI >fgenesh2_kg.3__2797__AT2G04560.1 pep chromosome:v.1.0:3:13442502:13444859:-1 gene:fgenesh2_kg.3__2797__AT2G04560.1 transcript:fgenesh2_kg.3__2797__AT2G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQIPKTKLCFPLSTFTKRYSSFQATKSVIDKAAIDGELRVFIVSGEVSGDNIGSRLMSSLKKLSPLPLRFNGVGGSLMCKQGLTSLFPMEDLAVMGLWELLPHLYKFRVKLKETIDAAVKFKPHIVVTVDSKGFSFRLLKELRARYNQQRLENCPVHFHYVAPSFWAWKGGESRLGGLSEFVDHLFCILPNEERVCREHGVEATFVGHPALEDASEFNLPQELKLEGLSFSEHSIPSDSTIISVLPGSRLQEAERMLPIFCKAMKLLKDPFPKLVTLIHVASNSQVDHYIGESLGGWPVPAILVPGGSTQLKYDAFGVSQAALCTSGTVAVELQLARLPSLVAYRAHFLTELLIRYKAKIPYISLPNILLDSPIIPEALFQACNPSNLASILERLLLDEKMRERQVVGAEKLIQLLHPSESRMGSSIHCTGLESHRYTPSILAASTILSYVKH >fgenesh2_kg.3__2800__AT2G04650.1 pep chromosome:v.1.0:3:13501548:13504438:-1 gene:fgenesh2_kg.3__2800__AT2G04650.1 transcript:fgenesh2_kg.3__2800__AT2G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase family protein [Source:UniProtKB/TrEMBL;Acc:D7L836] MSEEKVVAVIMVGGPTKGTRFRPLSFNTPKPLIPLAGQPMIHHPISACKKISNLAQIFLIGFYEEREFALYVSSISNELKIPVRYLKEDKPHGSAGALYYFRDRIMEEKPSNVFLLNCDVCCSFPLQGILDAHRRYGGIGTMLVIKVSAEAASQFGELIADPDTKELLHYTEKPETFVSDLINCGVYVFTSDIFNAIEEVYSQIRDTSSNYQSATRSVPADFVRLDQDILSPLAGKKQLYTYENKDFWEQIKTPGKSLKCSALYLSQFRQTSPHILASGDGTNRKPNIIGDVYIHPSVKLHPTAKIGPNVSISANVRVGPGVRLISCIILDDVEIKDNAVVINSIIGWKSSIGRWSRVQASGDYNERLGITILGEAVTVEDEVAVIGSIVLQNKTLNVSVQDDIIL >fgenesh2_kg.3__2801__AT2G04660.1 pep chromosome:v.1.0:3:13504761:13509308:1 gene:fgenesh2_kg.3__2801__AT2G04660.1 transcript:fgenesh2_kg.3__2801__AT2G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex/cyclosome 2 [Source:UniProtKB/TrEMBL;Acc:D7L837] MEVLGSSDCNLEILETLSDDAIQEITESYDGFFSSVESLIAGTGDSFVEDEFVSHVYCLCKYGLDSLVRDHFLRSLEQAFEKGGASSFWQHFDAYSEKKLHNYGEEIQAVLCKALEEISVEKQYHEKCLSIVVHALQSYKEQSSVDRQTSDTERVHLFSRFQSMLSSTLMTTLPQYFPEILHWYFKERLEELSAIMDGDGIGEQEDDCMDLDEKLRYKNGEMDVDEGYSQGKRLGHDKLVKNIGKVVRDLRSIGFTSMAENAYASAIFLLLKAKVHDLAGDDYRTSVLESIKEWIQTVPLQFLNALLSYLGDSVSYGTTSSDLKSPLACCPSPSFSKVVTPSEGIVRWKLRLEYFAYETLQDLRIAKLFEIIVDYPESSPAIEDLKQCLEYTGQHSKLVESFITSLKYRLLTAGASTNDILHQYVSTIKALRAIDPAGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGNANGSGNPGDSLLEELMRDEESQENVGFDDDFHTDDKQAWLNASRWEPDPVEADPLKGSLRQRKVDILGMLVDIIGSKEQLVNEYRVMLAEKLLNKTDYDIDTEIRTVELLKIHFGEASMQRCEIMLNDLIDSKRVNTNIKKASQTGAGLRENELSVDTLTSTILSTNFWPPIQDEPLELPGPIDKLLSDYANRYHEIKTPRKLLWKKNLGTVKLELQFEDRALQFTVSPTHAAIIMQFQEKKSWTYKDLAAVIGIPIDALNRRVNFWISKGVLKESTGANSDSNVLTLVESITDSGKNEGEEELLTGEEESETSIASVEDQLRKEMTIYEKFIMGMLTNFGSMALERIHNTLKMFCVADPSYDKSLQQLQSFLSGLVSEEKLEFRDGMYLLKK >fgenesh2_kg.3__2803__AT2G04690.1 pep chromosome:v.1.0:3:13510197:13512189:1 gene:fgenesh2_kg.3__2803__AT2G04690.1 transcript:fgenesh2_kg.3__2803__AT2G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellular repressor of E1A-stimulated genes family [Source:UniProtKB/TrEMBL;Acc:D7L838] MELQVLVRPIFFFFLLTSLQQSSSARILIISKPDRHDYAASARWLVSQNSWGVLSTLSVDHEGAPFGNVVSFSDGLPEKGSGIPYFYLTTLDPTARNALKDQRASLAISESPVGTCKRDPMNPTCSKLTLTGKLLILDEASEEAEVAKKALFTKHPEMIDWPEDHDFRFFKLDIIDIFLINWYGGAKPITVDEYLHAKLIKVASFL >fgenesh2_kg.3__2805__AT2G04740.1 pep chromosome:v.1.0:3:13535225:13537810:1 gene:fgenesh2_kg.3__2805__AT2G04740.1 transcript:fgenesh2_kg.3__2805__AT2G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7L848] MSPIENSSSWTLESDLEDLDLDLQDYKPSVPLKKVPNGDIFEASRAGDVDRLRYLLETGVNVNARDRWDSVALYYACLAGHIDSARLLLENGAICSEHTFDGDRCHYASLNLRIRKLLKAFEARPPPLGPLQASLRETFLGCCHNRDYLKQEAFDATNLDVSDNPSEFGSSNYFPPDVMFFVQGRPIEAHRVILSARSPFFKQKFENEWKDRREVRFSKEKLSYPALCSLIHFFYSDRLEISVDDMEDLVRICKVCKCESLQKIIEKELIHQKYAEYKTHRDLDNSMKRFILQGISLPEEDRLPASLHRILRVSLAKSFLGDVIDSSVGDRRVGDSVDSLADVCVRVDKRNFYCHQVILASRSEYFRARLSRVNDFHEGKNGLLGDTLPYLEEHDLSAEAFEKMIEYMYTDGLKEINPSQAEEIFDVSSRYLLFPLKRAVADALLPHLESATPAELCQWLVLSDMYGVLKIREYCLDLVACNFEAFVETREFRAMLLTLPPPSGDSSLRTTVPSAPGAMMTTDQGNLLDDLREKWLEAEALELDMRDESALIFDKRLAMLVEIAEREKSESEAEDYKHNSA >fgenesh2_kg.3__2808__AT2G04780.2 pep chromosome:v.1.0:3:13548678:13550131:1 gene:fgenesh2_kg.3__2808__AT2G04780.2 transcript:fgenesh2_kg.3__2808__AT2G04780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKQLSIFIAVVALLVCSASAKTASPPAPVLPPTPAPAPAPENVNLTALLSVAGPFHTFLDYLLSTGVIETFQNQANNTEEGITIFVPKDDAFKAQKNPPLSNLTKDQLKQLVLFHALPHYYSLSEFKNLSQSGPVSTFAGGQYSLKFTDVSGTVRIDSLWTRTKVSSSVFSTDPVAVYQVNRVLLPEAIFGTDVPPMPAPAPAPVVTAPSDSPSADSEANSTSPKSSHKNSGQKLPLAPTAMVLSGLVALFL >fgenesh2_kg.3__2809__AT2G04790.2 pep chromosome:v.1.0:3:13552318:13553175:1 gene:fgenesh2_kg.3__2809__AT2G04790.2 transcript:fgenesh2_kg.3__2809__AT2G04790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFTPSPTTRSGGGDAVYVAAVPLKAAADPPQLIMSMAYSLNLSNLQHFMVLIKPSSLTHQEVIVFDFQPRNPESIEAAISVLSGNLIPGVVLERRLKKVPRQRCWLVGSSKGNAMEMATEFNGSWETDLRVGFHDCRNYTNELVQHLTGEMQILERLPRS >fgenesh2_kg.3__2813__AT2G04795.1 pep chromosome:v.1.0:3:13555954:13556473:1 gene:fgenesh2_kg.3__2813__AT2G04795.1 transcript:fgenesh2_kg.3__2813__AT2G04795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMLSILRRNLQNLRKSPRVADESALPSTTVNGDQGRGNGSNGGMMKFPLSIMSCFAVPRVSRADGVWVSGDYGRVSDVNHLMVCDGMRYALLM >fgenesh2_kg.3__2814__AT2G04840.1 pep chromosome:v.1.0:3:13565077:13566315:1 gene:fgenesh2_kg.3__2814__AT2G04840.1 transcript:fgenesh2_kg.3__2814__AT2G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L855] MGNGLMRKKKKKNKYKKKRPKVSRNRDCDWSNLPPDVLRKIFETLKSPIDSHRAKTVCSNWYSVWKTCANKPPCPWRFIHQGLSKTTYCMASSGNCLLMVDRRLKFSILNILTGGRINLPSMELHIRGRQVTFKPEREWSDDSGCFFGSYRNDNVSYEFDSVEWKNSVAVLWINETTGDYVVAWTFIQHYLFSYKKGDSSWCNLNHNGKRLVSFDMACENNKLYLYAANHHIRIFDFSGVFPIEKKTENPYSKYPFNAVEEPCEYVWKRKIAIQKSSGEVLIILSLKQVFCEEEKLLFYIFKMNLESCKWERVYSIGDEMLVFGQGVTIATALKDLGHGIKSDSVSFIDTDVWPDHQDHDHRVSSCGVFHITTSKIEWPKKIYCSINETQWYVRGFAY >fgenesh2_kg.3__2816__AT2G04845.1 pep chromosome:v.1.0:3:13570534:13572182:-1 gene:fgenesh2_kg.3__2816__AT2G04845.1 transcript:fgenesh2_kg.3__2816__AT2G04845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVTLEGKRVVLVPYMAEHVPKYHQWMQDSALLEATGSEPLSLEQEFEMQLSWTQDPNKRTFIVLDKDFIKGELIHGEPHVEAMTGDVNIYMNDVDDPKVAEVEIMIAEPRSRGKGLGKESVLMMMAYGVKNLEIHKFTAKIGESNTASLSLFRKLGFEDSSYSGIFKEVTLEYMVTNLRRAELLKLLDEVITHTHSSNNPSDSLLSGEAIA >fgenesh2_kg.3__2818__AT2G04850.1 pep chromosome:v.1.0:3:13572500:13574093:1 gene:fgenesh2_kg.3__2818__AT2G04850.1 transcript:fgenesh2_kg.3__2818__AT2G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLILTFLFLLATKLPSSLAGHCTTTTATKSFEKCISLPTQQASIAWTYHPHNATLDLCFFGTFISPSGWVGWGINPDSPAQMTGSRVFIAFPDPNSGQLILLPYVLDSSVKLQKGPLLSRPLDLVRLSSSSASLYGGKMATIRNGASVQIYASVKLSSNNTKIHHVWNRGLYVQGYSPTIHPTTSIDLSSFSTFDVASGFATVNRNSGSRALKVTHGVINAVAWGFLLPAGAVTARYLRQMQSIGPTWFYIHAAIQLTGFLLGTIGFSIGIVLGHNSPGVTYGLHRSLGIATFTAAALQTLALLFRPKTTNKFRRYWKSYHHFVGYACVVMGVVNVFQGFEVLREGRSYAKLGYCLCLSTLVGVCVAMEVNSWVVFCRKAKEEKMKRDGLTGDDRCSGSHS >fgenesh2_kg.3__2827__AT2G04920.1 pep chromosome:v.1.0:3:13620530:13621645:1 gene:fgenesh2_kg.3__2827__AT2G04920.1 transcript:fgenesh2_kg.3__2827__AT2G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L8K3] MSDLPPDLVEEILSRIPATSLKRLRSTCKQWNSLFKNRRFTEKHFREAPKQSHALLWNDRRVCPMSINLNVAPPSIEFKSVLSIKDPEPVYISNVSHCDGLLLCTTDDGRLVVWNPCLGQTRWINFENDYKTYYRFALGYKNNKSCRSYKLLRFWTSYFTPNHIGLVYNIYEFTSDSWRVLLDKVSLNYFLIESENGVSIKGNTYWLALDVETNLLLGFDFTMERFKRLCLPSNKYGDTMVLSVVREEKLLVSHQNFRSSKMDIWMTNIIDSETALSWKKYFSVEFIILSTCHSCPFSITFLIDEEKKVVVSIVMDNENMRQKNKIKLVLK >fgenesh2_kg.3__2829__AT2G05060.1 pep chromosome:v.1.0:3:13758595:13759547:1 gene:fgenesh2_kg.3__2829__AT2G05060.1 transcript:fgenesh2_kg.3__2829__AT2G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L8M2] MRIDLSVPKLRAELELNKVLGKGSSGSVSLIKYNGRRDGETLYAAVKTSNIIHADTLYKEFQILSEFKGCSRIVQCYGTKVEERITDDGDVEFKIHMEYASGGSLRNFMSRFKEMKLPDPLIRRFTRMILEGLAVIHGHGYVHCDLKPENILVFPSFELKISDFGLSKREGDNNWWLPSHPFAGTPIYMSPESISTGETRRGLDLWSLGCRPWWDKNYDLEDLKKGYMPLIPKDIPCDAKLFVMSCFAAETDKRKNAFTLLRHCFLRGDVNKIIEPLVMKNENSNDIALELEKIELRFSQLRCISGE >fgenesh2_kg.3__282__AT3G03320.1 pep chromosome:v.1.0:3:1009429:1011032:1 gene:fgenesh2_kg.3__282__AT3G03320.1 transcript:fgenesh2_kg.3__282__AT3G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPTSPGTKSVNLRECMESLLRFTLSSHLDESVPSFDLDLTRDFCLHLLEEATDSTEKPAVYKLLARALSECLASEGDNNPNLEKYSKLFHGLGHDLINMLKKVNFELHVQEPYFTQLKDGLKTVEGRCAVGDYMRISSGAFILFNKCLLLEVQDVHHYTSFSEMLIMEGLAKVLPGVESIEEGVQVYRNFYSEEKERMNGVLAIHVAKPANQPYAALAGVLSELKSTGIKSLLDDYTA >fgenesh2_kg.3__2830__AT2G05120.1 pep chromosome:v.1.0:3:13762297:13767215:-1 gene:fgenesh2_kg.3__2830__AT2G05120.1 transcript:fgenesh2_kg.3__2830__AT2G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter [Source:UniProtKB/TrEMBL;Acc:D7L8M4] MFSPLTKRAKQSSRNRVAPPDSPVTPATQNRNNFISDRPATGTPAPWAPRLSVLARVSPGNNGERGDDSDQLKPVFVGEFPQLLRDEQSYPGDACVSGGMDKETCLSWFITGSKLFVWSHLTTLPSRKCVVLELPVGVLVNEESGSGLQDGKSWLISVVSWDTSAGAATRAARSRSPVGVVMCNRKTRAVVYWSDIFSGQEAAPGRKSSAFNKRQSNGIRSSRAEYSNLNSLITTAVATAERLCIAIACSSNGELWQFTCSPTGVKSNQVQLNISSSSVSEGYPRSLIWRFSQGLARESCWEFFMLTDCDIHCFTIEPYPDLTVSKVWQHEIVGTDGDSGIKKDIASQKQIWPLDLQVDQGKVITVLVATICMDRASSSSYTQYSLLTLQQKSEMRFADGREEKVLEKQAPIQVIIPKARVEDKDFLFSMKLRVGGRPPGSAIILSGDGTATVCYCHGSSTRLYKFDLPYDAGKVLDASVLSSTDEHEYGAWTVLTEKAGVWAIPEKAVVLGGVEPPERSLSRKNSSNERSTRDETRITPYGIDRTAGKENSDIQNSGDKGNPKMGFTRQTAREEESEALLGQLFEGFLLSGKVDGSLEKLSQSGAFDRDGETNVFARKSKSIVDTLAKHWTTTRGAEIVAMTVISSQLVEKQQKHENFLHFLALSKCHEELCSKQRHSLQIILENGEKLAAMIQLRELQNMINQNRSARFGSPQAGSEDQVSCALWDLIQFVGERARRNTVLLMDRDNAEVFYSKVSELEEVFYCLNRQLEYIIRADQPLGTQVQRACELSNACVTILQTALDYKNEHQMWYPPLEGLIPWHCQPVVCNGLWCIASFMLHLLTEASRIDISAKSDIYMHLEVLTEVLLEACAGSTFAKLEREEENKGLLSEYWTRRDTIFDSLYQQAKEFMEAEIQHEGVGPQGGFSYFVFQELYDMKQFSKLLRLGEEFQDELLIFLKRHSDLLWLHQVFLHQFSSASDTLHTLALAQDEESMTTNEERTVPEPEDAQPTFADRKRFLNLSKIAYVAGKDADSESKVKRIEADLNLLKLQEEITKALPNGEARNRLFRPEELIEICLNIQGRWTAIKAFEVFAWTSGSFCDNHKSLLEECWRNAADQDDWDRLHQASTNEGWSEKETLQNLRNTALFQASKRCYGPTRINSFDGDFAQVLPLRRENPEDSTSSVEDVLMSHKDFAEAGKLMLTAIMLGCVEEEGIVAEEFASPME >fgenesh2_kg.3__2831__AT2G05160.1 pep chromosome:v.1.0:3:13788061:13790424:-1 gene:fgenesh2_kg.3__2831__AT2G05160.1 transcript:fgenesh2_kg.3__2831__AT2G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7L8M7] MNFTESMNVVHNRIQQLEPENASKIIGYLLLMQDNGNRDMIRLAFCPDSVMRSVINCVKYELAKNAHHYHSPPSDHIPTHKFGSFTGSSPLSVSVSPPVKTGFWENSTEMDTLQNNLQFLNFEDSLTSPEFSNGFFSQDRQCLPLRTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGQIIPERESFALMFNPNNNLSEEEHVVSPVSLEKLEGEIIELLKARRGAPISIASLPMMYSETYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNTIRLIDRPHGQHSVILAEDASKFVEYTGERNEHGAILAGSRQIYLTFPAESSFTEHDVSTTLPHSDIMFGFVTFACTETVKLILAKGNPHFICGARVLVKPYREKSRSSRYLDNNKPLHGMRYGSQFIDRDLEINALPPRGSESSRLLRKPFLSEPEQSVSKSLPTNYSYLGFSSDDFKLTSNAEQEEQAERLSYLLDYLNTEDNVMNITTNYRDNDRRTHCESLDSQVLNLPDSPFSSLSGKEISTVT >fgenesh2_kg.3__2832__AT2G05170.1 pep chromosome:v.1.0:3:13802435:13806370:1 gene:fgenesh2_kg.3__2832__AT2G05170.1 transcript:fgenesh2_kg.3__2832__AT2G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATVPS11 [Source:UniProtKB/TrEMBL;Acc:D7L8M8] MYQWRKFDFFEEKYGGKIPDDVTGDIQCCSSGRGKVAIGSNDGSVSFIDRGIKFDSGFQAHSSSVLFLQHLKQRNFLVTVGEDEQISPQQSGMCLKVFDLEKVQEEGTSSSAPECIGILRIFTNQFPEAKITSFLVLEEVPPILLIAIGLDNGCIYCVKGDIARERITRFKLQVDGRSTITGLGFRMDGQALLLFAVTPDSVNLFSMQAQPPKLQTLDHIGGSVNTVTMSDRSELIVGRPEAVYFYEVDGRGPCWAFEGEKKFMGWFRGYLICVIADSKTGNTVFNVYDLRNRLIAYSIVVGKVSNMLCEWGTIILITADKSLLCITEKDMESKLDMLFKKNQYTVAINLVQSQHADAAATANVMRKYGDHLYGKQDFDEAMSQYINTIGYLEPSFVIQKFLDAQRIYNLTNYLEKLHEKGLASKDHTTLLLNCYTKLKDVEKLNTFIRKEDGIGELKFDVETAIRVCRAANYHEHAMYVAKKAGKHEWYLKILLEDLGNYDEALQYVSSLEPSQAGVTIKEYGKILIEHKPKETIDILMRLCTEQGTPNGVYLSMLPSPVDFINVFVQHPHSLMHFLERYAEIVKDSPAQAEINNTLLELYLSRDLNFPSISLSENGLDQDLTDHSVAPAVSKADPEKRTNTDSKDAMEKDCTERQQKGLELLKMAWPSDLEQPLYDVDLAIILCEMNSFKEGLLYLYEKMKLYKEVIACYMQNHDHEGLIACCKRLGDSGKGGDPSLWADLLKYFGEIGEDCSKEVKEVLTYIERDDILPPIIVLQTLAKNPCLTLSVIKDYIARKLEQESKIIEEDRRAVEKYQETTKNMRKEIEDLRTNARIFQLSKCTTCTFTLDIPAVHFMCMHSFHQRCLGDNEKECPECAPEYRSLMEMKRSLEQNSKDQDLFFQQVKSSKDGFSVIAEYFGKGIISKTSDATS >fgenesh2_kg.3__2833__AT2G05190.1 pep chromosome:v.1.0:3:13816646:13816933:1 gene:fgenesh2_kg.3__2833__AT2G05190.1 transcript:fgenesh2_kg.3__2833__AT2G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L8M9] PDEFKPERFLSSSSGKDEEKEQAFKYLPFGGGRRGCPGGNLAYIFVGTAIGVMVQYFDWKIKGDKVNMEETYEGMNLSMVHPLKCTPVPRSQPFY >fgenesh2_kg.3__2834__AT2G05210.3 pep chromosome:v.1.0:3:13817913:13820630:1 gene:fgenesh2_kg.3__2834__AT2G05210.3 transcript:fgenesh2_kg.3__2834__AT2G05210.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7L8N0] MARKRDSPKLIKIKDAINLINQQVSLIGIVLEQREPKQCRNNDWICTLRIIDDTYPSPGFTVNVFSNTLEELPQIKNHDDMILFTCIKMQTFDSGKRVNAACNKRVSSFALFEGADFVCYQCTSNFHEEEALYKSAMADLRKFFASCSEVVRALQSISYRTEPCSVETFSFLREIKKGKRFDLVCRILHADEDMSSVFVWDGTDAPPASILAKRSEEDKAFSSLSVHTLLSRDILLSFPTVGTILRVSLSSHLFHRVKPGDWVELYQLLFEVDKGSWVIKVTNSTKVRHLAQDDCLVEKIMRLYNKRISSKLGHIPFWCFPSPPGLTETDENCAPFVTLMDIITFPKVTCKYRCIVRVVTAYPWQVDDFCSNENRHHRVLLTLEDPTATLEAFLCDKDAEYFWGLGYHDTETLRKKRNWLLGIRESLNFVAPRNPPWIECCILSYYTNKADPWKTRLYRIFGTRLLD >fgenesh2_kg.3__283__AT3G03330.1 pep chromosome:v.1.0:3:1011010:1013800:-1 gene:fgenesh2_kg.3__283__AT3G03330.1 transcript:fgenesh2_kg.3__283__AT3G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7L0F8] MLTLLFFSLGVLLIGLLFKFALADGDFTLISKKHAKREAIQGKVVWITGASRGIGEILAKQFASLDAKLILSARNKAELERVKSELKGKYAPEDVKVLPLDLASGEESLKHVVEQAVSLFPGAGVDYLVHNAAYERPKSKASDASEETLKTTFDVNVFGTITLTKLVAPHMLKQGGGHFVVISSAAGKVPSPGQAIYSASKHALHGYFHSLRSEFCQEGIKVTVVCPGPIETLNGTGTSTSEDKKSPEKRVSSERCAELTIIAASHNLKEAWISYQPVLLVMYLVQYMPSLGFWLMDKVGGKRVEVAEKKGNTYSWNLLFGKKTKTN >fgenesh2_kg.3__2842__AT2G05310.1 pep chromosome:v.1.0:3:13840873:13841959:-1 gene:fgenesh2_kg.3__2842__AT2G05310.1 transcript:fgenesh2_kg.3__2842__AT2G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFRIASSSFSQRANDSTTSSSSSYSSLLALPQFLCPQSPLRFPQFKLHAKLGGGDGEVKPKDKKKFITKEEEPEQYWQSVGEREGENPMKTPLPYIIIFGMSTPFVILAIAFANGWIKVPIR >fgenesh2_kg.3__2844__AT2G05320.1 pep chromosome:v.1.0:3:13847318:13848771:1 gene:fgenesh2_kg.3__2844__AT2G05320.1 transcript:fgenesh2_kg.3__2844__AT2G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,2-N-acetylglucosaminyltransferase II [Source:UniProtKB/TrEMBL;Acc:D7L8N5] MANLWKKQKLRDTGLCRLGILFAVTVSLVLMLVSVPRTALNGSSIDDDLDGLDKDLEVKLNASLLSVARGNRMSLSLHRRNHFSPRNLDLYPDLAKDRVVIVLYVHNRAQYFRVTVESLSKVKGISETLLIVSHDGYFLDMNKIVDGIKFCQVKQIFSPYSPHIFRTSFPGVTPNDCKNKGDEAKTHCVGSPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLEETKGHEGHILFIEEDHFLFPNAYRNIQTLTRLKPAKCPDCFAANLAPSDVKSRGEGLESLVAERMGNVGYSFNRSVWENIHQKAREFCFFDDYNWDITMWATVFPSFGSPVYTLRGPRTSAVHFGKCGLHQGRGDEGDCIDNGVVNIEVKETDKVVNIKEGWGVRVYKHQAGYKAGFKGWGGWGDERDRHLCLDFATMYRSSSSSASP >fgenesh2_kg.3__2847__AT2G05420.1 pep chromosome:v.1.0:3:13925463:13926953:1 gene:fgenesh2_kg.3__2847__AT2G05420.1 transcript:fgenesh2_kg.3__2847__AT2G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQVDNKTITWVIKNFSSLPSASIQSDQFVVGGCQWCLRAYPKGNNLALYLIVANNESFPIGWRRHAKFSFTLVNQKSENLSILRTESQHWFDQKSTSWGFQDMIPLSELHTKEGFLVNGELIVVARIDVLEVVGKLDVSQVSSSVLETVDVNGFQVLPSQICFIHPFHSHVESVNRLFEKHLDIASKFRPKNPYMKTAYMNVLISLTKTLCQSPQDLSNEDMSGAGAALTYLREAGFKLDWLEKKLGELKEKKKKEETSLKRLQEMEEQLTPLKRKYLDLEAQIDKEKAELLAARAPLSSNEDNVV >fgenesh2_kg.3__284__AT3G03340.1 pep chromosome:v.1.0:3:1013940:1017807:1 gene:fgenesh2_kg.3__284__AT3G03340.1 transcript:fgenesh2_kg.3__284__AT3G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDVLMGANRNGDVQEVNRKYYDRDVCRLYLSGLCPHDLFQLTKMDMGPCPKVHSLQLRKEYREAKAKGVDNYDRELEDAIDRLIVECDRKIGRALKRLQEEDAKAAIAISVSEVTQSPEILELSEKIKEKMKEADIHDLEGKMDLKIRALELVEEMRTKRADQQAVLLLEAFNKDRASLPQPAPAQPPSSALPTPDPRTQEMINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLTVRREPAADSTKYTAVDVRITDQKLRLCDICGAFLSVYDSDRRLADHFGGKLHLGYMLVRDKLTELLDEKANLRNERSKERNSKERESSKDREKERGSSHERRRDYDRRSRDRDRHHDRDREQDKDYDRSHSRSRRRSRSRSRSRDRPRDYDRHRRHNRY >fgenesh2_kg.3__285__AT3G03341.1 pep chromosome:v.1.0:3:1017966:1018463:-1 gene:fgenesh2_kg.3__285__AT3G03341.1 transcript:fgenesh2_kg.3__285__AT3G03341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPICVQCGTGGNPCRCKVVGPTLGLVAFVAAGIVEWPVGALVYIFKHAKGRRIMGHPATHVYPKVSRSIPI >fgenesh2_kg.3__286__AT3G03380.1 pep chromosome:v.1.0:3:1037978:1047083:1 gene:fgenesh2_kg.3__286__AT3G03380.1 transcript:fgenesh2_kg.3__286__AT3G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPLERLGSQASMATESGMKEDLCLEIDPPLTESVATAEDWRRALGKVVPAVVVLRTTACRAFDTESAGASYATGFIVDKRRGIILTNRHVVKPGPVVAEAMFVNREEIPIYPVYRDPVHDFGFFSYDPSAVQFLTYEEIPLAPEAASVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLQRVVRALSFLQKSIDSRTDKPKAVHIPRGTLQMTFLHKGFDEIRRLGLRSETEQVVRHASPTGETGMLVVDSVVPSGPADKHLEPGDVLVRVNGTVLTQFLNLENLLDDGVGQILELEIERGGQPLSVSVSVQDLHSITPDHFLEVSGAVIHPLSYQQARNFRFPCGLAYVADPGYMLFRAGVPRHAIIKKVANEDISGLGDLVSVLSKLSRGARVPLEYMSHNDRHRKKSVLVTIDRHEWYAPPQLYTRNDSSGLWDAKPAIEPASVSPSIGNNGLPISQDISLCHHDTEPMHEVNVRGVTDIAAIMEASSGDGSQNDFGSEAKKQRVDDETLDGIASNGSLYGSEFKSDDAMATDSTVLRDFEGATALPANASLAERAIEPALVMFEVHVPPSCSLDGVHSQHFFGTGIIIYHSSSMGLAVVDKNTVAISASDVMLSFAAFPVEIPGEVVFLHPVHNYALIAYNPSAMDPASASVIRAAELLPEPALQRGDSVYLVGLSRNLQATSRKSIVTNPCAALNIGSADSPRYRATNMEVIELDTDFGSSFSGALTDEQGRIRAIWGSFSTQVKYSSTSSEDHQFVRGIPVYAISQVLEKIITGGNGPALLINGVKRPMPLVRILEVELYPTLLSKARSFGLSDAWIQVLVKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAVNKMPVTCFNDIEAACQTLDKGSHSDENLNLTILRQGQELELVVGTDKRDGNGTTRAINWCGCVVQDPHPAVRALGFLPEEGHGVYVTRWCHGSPAHRYGLYALQWIVEVNGRKTPDLNAFADATKELEHGQFVRIRTVHLNGKPRVLTLKQDLHYWPTWELRFDPETALWRRNILKALQ >fgenesh2_kg.3__2871__AT2G05520.6 pep chromosome:v.1.0:3:14032278:14033418:1 gene:fgenesh2_kg.3__2871__AT2G05520.6 transcript:fgenesh2_kg.3__2871__AT2G05520.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALVLLGLFAVLLVVSEMAAASSGTVNSESKETVQPDQRGGGYGGNGGNYNNGGGYQGGGGYQGGGGGHNGGGYQGGGGYQGGGGGHNGGGYQGGGGRGGGGYCRHGCCYRGYRGCSRCCSYAGEAVQTQPGH >fgenesh2_kg.3__2874__AT2G05530.1 pep chromosome:v.1.0:3:14041061:14041914:1 gene:fgenesh2_kg.3__2874__AT2G05530.1 transcript:fgenesh2_kg.3__2874__AT2G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALVLLGLFAALLVVSEVAAAASGTVKSESEETVKTDQYGGDHGGRGGYNGGGGYNGGGGYNGGGHNGGGGYNGGGGYCRYGCCYRGYHGCSRCCSYAGEAVQTQPGH >fgenesh2_kg.3__2875__AT2G05580.1 pep chromosome:v.1.0:3:14063175:14064098:1 gene:fgenesh2_kg.3__2875__AT2G05580.1 transcript:fgenesh2_kg.3__2875__AT2G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L8Q1] KADRRAAAVVVDKEVVVVDTKAVVVAKEDTKVAEEVVMLAEVAEEAEVVMEEVVAAKEEVVAEAAVEVEVEAAGC >fgenesh2_kg.3__2876__AT2G05590.2 pep chromosome:v.1.0:3:14070853:14139000:1 gene:fgenesh2_kg.3__2876__AT2G05590.2 transcript:fgenesh2_kg.3__2876__AT2G05590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHALKDKVSQKLSNLFADSPSQSASPRYSNSDSPKARLNSSVGKSLSSYFSFVVPQSGNDDDSESCPPLPIRTDSYESIENCKSGNGHDQAGTFKESDKDCELRVSAKVEESGNDYFLGVKQMRELTEGSVFITANLCEFLHASLPNIVRGCKWILLYSTLKHGISLRTLLRRSGELPGPCLLVAGDKKGAVFGALLEFSLLLILFTCFLMIGYKPDILVHNYICRVTCLGEVPEGCTFTVFWMTFLAFGGGGNFALCLDEDLLKATSGPSETFGNECLASSTEFELKNVELWGFAHASQYLSS >fgenesh2_kg.3__2885__AT2G05620.1 pep chromosome:v.1.0:3:14138972:14147898:-1 gene:fgenesh2_kg.3__2885__AT2G05620.1 transcript:fgenesh2_kg.3__2885__AT2G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASISATGCNQTLLRTSFYGGWGSSISGEDYQTMLSKTVAPPQQARVSRKAIRAVPMMKNVNEGKGLFAPLVVVTRNIVGKKRFNQLRGKAIALHSQVITEFCKSIGADAKQRQGLIRLAKKNGERLGFLA >fgenesh2_kg.3__2886__AT2G05630.1 pep chromosome:v.1.0:3:14148503:14150103:-1 gene:fgenesh2_kg.3__2886__AT2G05630.1 transcript:fgenesh2_kg.3__2886__AT2G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:D7L990] MAISSFKHEHPLEKRQAEAARIREKYPDRIPVIVERAEKSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNILPPTAAIMSAIYEEHKDEDGFLYMSYSGENTFGIFY >fgenesh2_kg.3__2887__AT2G05710.1 pep chromosome:v.1.0:3:14194783:14238032:1 gene:fgenesh2_kg.3__2887__AT2G05710.1 transcript:fgenesh2_kg.3__2887__AT2G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitate hydratase [Source:UniProtKB/TrEMBL;Acc:D7L993] MYITASSSASSSILRAASSRSSSLFSFRSFSYPSVSSTSPSSLLGRRSFGTISPAFRSLPRWSHCFHSKPSPFRLTTQIRAVSPVLDRLERTFSSMASEHPFKGIFTTLPKPGGGEFGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTKEDVEKIIDWEKTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTKGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLAGKMRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMSGLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIEAYLRANNMFVDYNEPQQDRVYSSYLELNLDNVEPCISGPKRPHDRVTLKDMKADWHSCLDSKVGFKGFAIPKEAQEKVANFSFNGEPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACDLGLQVKPWIKTSLAPGSGVVTKYLLKSGLQEYLNQQGFNIVGYGCTTCIGNSGEINESVGAAITENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFESEPIGTGKNGKDVFLRDIWPTTEEIAEVVQSSVLPDMFRATYESITKGNPMWNKLSVPENTLYSWDPNSTYIHEPPYFKDMTMDPPGPHNVKDAYCLLNFGDSITTDHISPAGNIQKDSPAAKFLIERGVDRKDFNSYGSRRGNDEIMARGTFANIRIVNKLMNGEVGPKTVHIPSGEKLSVFDAAMRYKSSGEDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTINLPTDISEIRPGQDVTVTTDNGKSFTCTVRFDTEVELAYFNHGGILPYVIRNLSKQ >fgenesh2_kg.3__2892__AT2G05755.1 pep chromosome:v.1.0:3:14245663:14248696:1 gene:fgenesh2_kg.3__2892__AT2G05755.1 transcript:fgenesh2_kg.3__2892__AT2G05755.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane family protein [Source:UniProtKB/TrEMBL;Acc:D7L995] MASSSPETRITDEHLALELTVRDLESPALEDASSAPESSSDEIIPLLNQNQRPRINIFSASYTRHKPREQVIKVTETEISPVTQFSSWIWSGSRYSGLLCMVLSSTLYLIMELVSDTFSVQPIPLFETAFMRCTIILILSYLWLKRIGQPIFGPAHARKLLVSRALVGYLSLFSFIFSIQMLPLSQAIVLSFLNPIMASIAARVVMHEKLKITDIGGLACSFFGVLFIFGPTLTVQVGSEGTNENLKGNHHIYAFWLGLFSSITGGITYCLIKAAAKASEQPVITVFSFGLVACPAAAICMFSFESFVLPAFDTLITMIVLGLLAFCAEVLLARGLQLEKISKAANILYIEVVLSQLWIVSTGKAGSPGLFSRLVGCLLILISVSYTVYMGPAKDTESQIP >fgenesh2_kg.3__2893__AT2G05760.1 pep chromosome:v.1.0:3:14253835:14256839:1 gene:fgenesh2_kg.3__2893__AT2G05760.1 transcript:fgenesh2_kg.3__2893__AT2G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:UniProtKB/TrEMBL;Acc:D7L996] MAEISHPPMEQLQDLEYCIDSNPPWPETVLLAFQNYILMLGTSAFIPALLVPAMGGSDGDRARVIQTLLFVAGIKTLLQALFGTRLPAVVGGSFAYVVPIAYIINDSSLQKISNDHERFIHTMRAIQGALIVASSIQIILGYSQVWGLFSRFFSPLGMAPVVGLVGLGMFQRGVPQLGNCIEIGLPMLLLVIGLTQYLKHVRPFKDVPIFERFPILICVAIVWIYAVILTASGAYRGKPSLTQHSCRTDKANLISTAPWVKFPYPLQWGPPTFSVGHSFAMMSAVLVSMIESTGAYMAASRLAIATPPPAYVLSRGIGWQGIGVLLDGLFGTGTGSTVLVENVGLLGLTRVGSRRVVQVSAGFMILFSIFGKFGAVFASIPVPIYAALHCILFGLVAAVGLSFLQFTNMNSMRNLMITGLSLFLGISIPQFFVQYWDARHYGLVHTNAGWFNAFLNTIFMSPATVGLIIAVFMDNTMEVERSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >fgenesh2_kg.3__2894__AT2G05790.1 pep chromosome:v.1.0:3:14271536:14273788:1 gene:fgenesh2_kg.3__2894__AT2G05790.1 transcript:fgenesh2_kg.3__2894__AT2G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLTPLIFLILLFFFFFLTEAGSIGVNYGRISDELPSAFKVVQLLKSQGIDRVKIFDADPSVLKALSGSGIKVTVDLPNELLFSAAKRTSFAVSWVKRNVAAYHPSTQIESIAVGNEVFVDTHNTTSFLIPAMRNIHKALMSFNIHSDIKISSPLALSALQNSYPSSSGSFRPELVDSVIKPMLDFLRETGSRLMINVYPFFAYEGNSDVIPLDYALLRENPGMVDSGNGLRYFNLFDAQIDAVFAAMSALKYDDIEIIVTETGWPSKGDENEVGATLANAASYNGNLIRRILTRGGTPLRPKADLTVYLFALFNENKKLGPTSERNYGLFFPDEKKVYDIPFTTEGLKHYRDGGHTPVTGGDQTTTKPPMSGGVSKSLNGHSWCVANGDAGEEKLQGGLDYACGEGGADCSSIQPGANCYSPDTLEAHASFAFNSYYQKKGRAGGSCYFGGAAYVVSQPPKYGRCEFPTGY >fgenesh2_kg.3__2896__AT2G05810.1 pep chromosome:v.1.0:3:14312730:14316423:-1 gene:fgenesh2_kg.3__2896__AT2G05810.1 transcript:fgenesh2_kg.3__2896__AT2G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7L9A0] MHHSSAAVFGELTKPPTAPLQPLLDLITNVLSLLLLSSLTVRSFIGRWQILRSKLFSLNSSLSSLSESPHWSQNPLLHTLLPSLLSNLQRLTSLSDQCSSASFSGGKLLMQSDLDIASSSLSTHISDLDLLLRSGVLHQQNAIVLSLPPPTSDKDDIAFFIRDLFTRLQIGGAEFKKKSLESLLQLLTDNEKSSRIIAKEGNVGYLVTLLDLHHHPLIREHALAAVSLLTSSSVDSRKTVFEQGGLGPLLRLLETGSPPLKTRAAVAIEAITVDPETAWAISAYGGVTVLIEACRSGSKEVQEHIAGAISNISAVEEIRTTLAEEGAIPVILPLLISGSSSVKEKTANFISLISSSGEYFRDLIVRERGLQILIHLVQESSNPDTIEHSLLALTHISAMETISRVLSSSTTFIIRLGELIKHGNVILQQISSSLLSNLTISDGNKRAVADCLSSLIRLMESPKPAGLQEAATEAAKSLLTVRSNRKELMRDEKSVIRLVQMLDPRNERMANKELPVMIVTAILSGGSYAARTKLIGVGADRYLQSLEEMEVSGAKKAVQRLAAGNRLKNIFTRAWKDH >fgenesh2_kg.3__2898__AT2G05830.1 pep chromosome:v.1.0:3:14331197:14333722:1 gene:fgenesh2_kg.3__2898__AT2G05830.1 transcript:fgenesh2_kg.3__2898__AT2G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthioribose-1-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:D7L9A3] MSSEGDTTLKAICYKPGSLQLLDQRKLPLETIYLEIRDASDGWSAIQEMVVRGAPAIAIAAALSLAVEVFTFNGFDGSAADAVAFLEKKLDYLVSSRPTAVNLADAALKLKQVIAKALAIATEPKSIFKVYIEAAEDMLEDDVASNKAIGTFGSSLLRQQAKNADKLSVLTHCNTGSLATAGYGTALGVIRALHAQGILERAYCTETRPFNQGSRLTAFELVHEKIPATLIADSAAAALMKDGRVDGVIVGADRVASNGDTANKIGTYSLALCAKHHGIPFYVAAPLTSVDLSLSSGKEIVIEERSPKELLHTHGGLGERIAAPGISVWNPAFDVTPAELIAGIITEKGVITKNGNDTFDISSFAKKMT >fgenesh2_kg.3__289__AT3G03420.1 pep chromosome:v.1.0:3:1051548:1053054:1 gene:fgenesh2_kg.3__289__AT3G03420.1 transcript:fgenesh2_kg.3__289__AT3G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane protease ATP23 [Source:UniProtKB/TrEMBL;Acc:D7L0H3] MEDAAAPNSGSEFNPGARRGKSIDECQDMIRRSFRNPIVKFLMEQMEKSGCRVGDNFVKAVVCTGPVAGGYTKGRGITVCSNYLTIQDEVNQVVIHELIHAYDECRAKNLDWTNCAHHACSEVIRAGHLSGDCHFKRELLRGFIKLRGHEQECIKRRVLKSLRGNPYCSEVAAKDAMEAVWDTCYNDTKPFDRAP >fgenesh2_kg.3__2903__AT1G13370.1 pep chromosome:v.1.0:3:14411859:14412570:1 gene:fgenesh2_kg.3__2903__AT1G13370.1 transcript:fgenesh2_kg.3__2903__AT1G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSHGGKAPRKLLATKAARKSAPSTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDVQLARRIRGERA >fgenesh2_kg.3__2911__AT2G06010.1 pep chromosome:v.1.0:3:14516455:14518433:1 gene:fgenesh2_kg.3__2911__AT2G06010.1 transcript:fgenesh2_kg.3__2911__AT2G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive gene 4 [Source:UniProtKB/TrEMBL;Acc:D7L9C5] MEPPSSRPEEPPSWEDLYKINLMPSELFLKFRKELQGLRVGVNLELYNEPTNDYHAKLVLKPLCPERKWKFIYEPLHQEVRVQSKKIPVTRFLNLQVGVGHNFQMNAIGWKWKLTSCLGGDGVSRIRNKTTLGLSPGIDFRFGWRADFVLPEVTGALGTEEPLFNMSSGRLEASLDRVEAIVTHSDYL >fgenesh2_kg.3__2914__AT2G06025.1 pep chromosome:v.1.0:3:14591713:14593597:1 gene:fgenesh2_kg.3__2914__AT2G06025.1 transcript:fgenesh2_kg.3__2914__AT2G06025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISIHRTELLRITHNRSRISRQRFRRTIPLWKLTIDSRSSDTSKKEELSVKISIPPQVDQLRPEGLRFDRLQPPEQEFGHEDRLEFGKFVAREAMVDEEYWTAAWLRAESHWEDRSNERYVDNYKRKFAEQEFNAIKRRCKGMQGQKCSCIVAVKKEEKHIKRSVIKSVVGTLDLSIRYFLQGETFPGEKVKSQLFCSINREGSNRYGYIANLCVAKSARRQGIACNMLRFAVESARLSGVEQLYVHVHKNNSVAQELYQKTGFKIVETGKFESLDDDTYLLQYTR >fgenesh2_kg.3__2917__AT2G06050.1 pep chromosome:v.1.0:3:14606766:14610134:-1 gene:fgenesh2_kg.3__2917__AT2G06050.1 transcript:fgenesh2_kg.3__2917__AT2G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Opda-reductase 3 [Source:UniProtKB/TrEMBL;Acc:D7L9D4] MTAAQKNSNETLFSSYKMGRFDLSHRVVLAPMTRCRALNGVPNAALAEYYAQRTTPGGFLISEGTMVSPGSAGFPHVPGIYSDEQVEAWKQVVDAVHAKGGFIFCQLWHVGRASHPVYQPNGGSPISSTNIPISEKRWRVLLPDGSYAKYPKPRALEASEIPRVVEDYCRSALNAIRAGFDGIEIHGAHGYLIDQFLKDGINDRTDEYGGSIANRCRFLKQVVEGVVSAIGASKVGVRVSPAIDHLDATDSDPLSLGLAVVDLLNKLQDVNGSKLSYLHVTQPRYHAYGQTESGRQGSDEEEAKLMRSLRMAYNGTFMSSGGFNKDLGMQAIQQGDADLVSYGRLFIANPDLVSRFKIDAKLNKYNRKTFYSQDPVVGYTDYPFLAAFSRL >fgenesh2_kg.3__2919__AT2G06200.1 pep chromosome:v.1.0:3:14652228:14653294:1 gene:fgenesh2_kg.3__2919__AT2G06200.1 transcript:fgenesh2_kg.3__2919__AT2G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIPFTESQWEELENQALVFKYLASNVPVPPHLLFLIKRPFLFSSSSSSSSSSFFSPTLSPHFGWNVYEMGMGRKIDAEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSSSRKPPPTQFTPNLFLDSSLSRRRRSGYMDDFFSIEPSGSIKSCSGSAMEDNDDGSCRGINKFSNEEKQPDRHCFILGTDLGTCERPLMLEEKLKQRDDDEQEQGSKRFYRFLDEWPSSKSSVSTSLFI >fgenesh2_kg.3__291__AT3G03440.1 pep chromosome:v.1.0:3:1054760:1057921:1 gene:fgenesh2_kg.3__291__AT3G03440.1 transcript:fgenesh2_kg.3__291__AT3G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7L0H5] MDLAGETNSLISTVASFSSSSSSVSSIDTSLDCDSPRGGDVDHELLHTAVSPSVSVSISSSSSASIQRVLSLIRSKDLDSRLFAAKEIRRLTKTSHRCRRHFSQAVEPLVSMLRFDSPESHHEAALLALLNLAVKDEKNKVSIIEAGALEPIINFLQSNSPTLQEYASASLLTLSASANNKPIIGANGVIPLLVKVIKHGSPQAKADAVMALSNLSTLPDNLSMILATKPLSPILNLLKSSKKSSKTSEKCCSLIESLIVSGEDARTGLVSDEGGVLAVVEVLENGSLQAREHAVGVLLTLCQSDRSKYREPILREGVIPGLLELTVQGTSKSRTKAQRLLCLLRDSESPRSEVQPDTIENIVSSLISHIDGDDQSGKAKKMLAEMVQVSMEKSLRHLQERASTLVRP >fgenesh2_kg.3__2920__AT2G06210.1 pep chromosome:v.1.0:3:14654326:14662252:-1 gene:fgenesh2_kg.3__2920__AT2G06210.1 transcript:fgenesh2_kg.3__2920__AT2G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYIPVQNSEEEVRVVLDQLPRDASDILDILKAEQAPLDLWLIIAREYFKQGKIEQFRQILEEGSSSDIDEYYADVKYERIAILNALGAYYSYLGKTETKHREKEEQFILATRYYNKASRIDMHEPSTWVGKGQLLLAKGEIDNALQAFKIVLDTAPDNVPALLGQASVEFNRGRFSESLNLYKRALQVFPGCPAALDPDNVEALVALGIMDLQANDSIGMRKGMDRMQQAFEIYPYCASALNYLANHFFFTGQHFLVEQLTETALAVTTHGPTKSHSFYNLARSYHSKGDYEKAGMYYMAAIKETNNKPQEFVFPYFGLGQVQLKLGELKGSVFNFEKVLEVYPDNCETLKALGHLYTQLGQNDKALEYMRKATKLDPRDAQAFVGLGELLISSDTGAALDAFKMARTLMKKGGQEVPIEVLNDIGALHFEREEFESALDNFKEALGDGIWISFLDEKENLEQTGVSVLGYKDTGIFHRLIESGHSVDVPWNKVTTLFNLARLLEQLHKTEAATFLYQLILFKYPGYIDAYLRLAASAKAQNNLPLAIELVNEALKVDDKNPNALSLLGELELKNDDWVKAKETFRAANDATDGKDSYAILSLGNWNYFAAMRNEKRNPKLEATHLEKAKELYTKVLTQHNSNMYAANGSGIVLAEKGQFDIAKDVFTQVQEAASGSVFLQMPDVWVNLAHVYFAQGNFALAVKMYQNCLRKFFNNTDSQILLYLARTHYEAEQWQECKKTLLRAIHLTPSNYTFRFDLGAVMQKSSSSTLQKKKRTADEVRSTVAEAENAVRVFTQLSAASDLHVHGFDSKKIQTHVQYCSHLLEAAKVHREAAEREELQNRQRLEVARQAALAEEARRKAEEQRKYQLEKRKQEEELRRLKQEEEKIQRIKEQWKSSTPGSHKRKDRVEDDDGEGKPSERRRKKGGKRRKKDKSSRARHYEDDEEEAATMDDHNEVEDEDANTNYNREDEMTNQEAEEPVDDDAHDLLAAAGLEDPDVYDDEVPASGVRRRRALSSSDEEGELMEESQPNQSPTREKEESNGEGEDANVEEED >fgenesh2_kg.3__2924__AT2G06520.1 pep chromosome:v.1.0:3:14704779:14705304:-1 gene:fgenesh2_kg.3__2924__AT2G06520.1 transcript:fgenesh2_kg.3__2924__AT2G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAMSLVTPLCQTRSSPFLKPLPLKPSKALVATGGRAQRLQVKALKMDKALTGISAAALTASMVIPEIAEAAGSGISPSLKNFLLSIASGGLVLTVIIGVVVGVSNFDPVKRT >fgenesh2_kg.3__2925__AT2G06530.1 pep chromosome:v.1.0:3:14705646:14707781:-1 gene:fgenesh2_kg.3__2925__AT2G06530.1 transcript:fgenesh2_kg.3__2925__AT2G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:UniProtKB/TrEMBL;Acc:D7LA69] MMNSIFGKRKTPAELLRENKRMLDKSIREIERERQGLQTQEKKLINEIKKTAKQGQMGAVKVMAKDLIRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMQEFERQNEKMEMVSEVMGDAIDDALEGDEEEEETEDLVSQVLDEIGIDINQELVNAPSGAVAVPAAKNKVVQAEATGAEDSGGIDSDLQARLDNLRKM >fgenesh2_kg.3__2926__AT2G06850.1 pep chromosome:v.1.0:3:14757320:14759348:1 gene:fgenesh2_kg.3__2926__AT2G06850.1 transcript:fgenesh2_kg.3__2926__AT2G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7LA73] MTVSSSPWALMALFLMVSSTMVMAIPPRKAIDVPFGRNYVPTWAFDHQKQFNGGSELQLILDKYTGTGFQSKGSYLFGHFSMHIKLPAGDTAGVVTAFYLSSTNNEHDEIDFEFLGNRTGQPPILQTNVFTGGKGNREQRIYLWFDPSKAYHTYSILWNMYQIVFFVDNIPIRTFKNAKDLGVRFPFNQPMKLYSSLWNADDWATRGGLEKTNWANAPFIASYKGFHIDGCQASVEAKYCATQGRMWWDQNEFRDLDAEQWRRLKWVRMKWTIYNYCTDRTRFPVMPAECKRDRDA >fgenesh2_kg.3__2928__AT2G06990.1 pep chromosome:v.1.0:3:14827258:14833044:1 gene:fgenesh2_kg.3__2928__AT2G06990.1 transcript:fgenesh2_kg.3__2928__AT2G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HUA enhancer 2 [Source:UniProtKB/TrEMBL;Acc:D7LA79] MEEPETLGKRKVSESSKLSDETPTPEPTTKRRSLIRACVHEVAVPNDYTPTKEETIHGTLDNPVFNGDMAKTYPFKLDPFQSVSVACLERKESILVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELQHEFKDVGLMTGDVTLSPNASCLVMTTEILRAMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICYLHKQPCHVVYTDFRPTPLQHYAFPMGGSGLYLVVDDNEQFREDNFVKMQDTFPKPKSVDGKKSANGKSGGRGAKGGGGPGDSDVYKIVKMIMDRKFEPVIIFSFSRRECEQHALSMSKLDFNTDEEKEVVEQVFNNAMQCLNEEDRSLPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTAVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMIDEQMEMNTLRDMMLGKPAPLLSTFRLSYYTILNLLSRAEGQFTAEHVIRHSFHQFQHEKALPDIGNKVSKLEEEAAILNASGEAEVAEYHKLQLDIAQHEKKLMSEIIRPERVLCFLDTGRLVKIREGGTEWGWGVVVNVVKKSSVGTGGGYIVDTLLHCSTGFSENGAKPKPCPPRSGEKGEMHVVPVQLPLISALSRLRISVPSDLRPVEARQSILLAVQELSSRFPLGFPKLHPVKDMNIQDTEIVDLVSHIEEVEQKLLAHPMHKSQDDQQIKSFQRKAEVNYEIQQLKSKMRDSQLQKFRDELKNRSRVLKKLGHIDADGVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQVAALASCFIPVDKSNEQVNLRNELTKPLQQLQDSARKIAEIQHECKLEIDVEEYVESTIRPFLMDVIYSWSKGASFAEIIQMTDIFEGSIIRSARRLDEFLNQLRAAADAVGESSLESKFAATSESLRRGIMFANSLYL >fgenesh2_kg.3__292__AT3G03450.1 pep chromosome:v.1.0:3:1058500:1060508:-1 gene:fgenesh2_kg.3__292__AT3G03450.1 transcript:fgenesh2_kg.3__292__AT3G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGHGETWDPPPASRSREGPSMADKKKVADDNNNMDDELLAVLGYKVRSSEMAEVAQKLEQLEMVLSNDDVGSTVLNDTVHYNPSDLSTWVESMLSELNNPTSSDLDPTRSCVDRSEYDLRAIPGLSAFPKEEEVFDEEASSKRIRLGSWCESAGESTRSVVLVDSQETGVRLVHALVACAEAIQQENLNLADALVKRVGTLAASQAGAMGKVATYFAQALARRIYRDYTAETDVSGGSFEEVLQMHFYDSCPYLKFAHFTANQAILEAVATARRVHVIDLGLNQGMQWPALMQALALRPGGPPSFRLTGIGPPQTENSDSLQQLGWKLAQFAQNMGVEFEFKGLATESLSDLEPEMFETRPDSETLVVNSVFELHRLLARSGSIEKLLNTVKAIKPSIITVVEQEANHNGIVFLDRFNEALHYYSSLFDSLEDSGSLPSQDRVMSEVYLGRQILNVVAAEGSDRVERHETVAQWRIRMKSAGFDPVHLGSSAFKQASMLLSLYATGDGYRVEENDGCLMIGWQTRPLITTSAWKLAGGGESRR >fgenesh2_kg.3__2930__AT2G07040.1 pep chromosome:v.1.0:3:14837683:14840063:1 gene:fgenesh2_kg.3__2930__AT2G07040.1 transcript:fgenesh2_kg.3__2930__AT2G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTCLLFFSIVSIFFVAAHGLSETESLLKFKNSLVIGRANALESWNRSNPPCKWTGVLCDRGFVWGLRLETFEISGSIDIEALMDLKSLRSLSFINNKLRGPFPEFKKLVALKSLYLSNNQFDVKIPKDAFDGMGWLKKLHLENNNFSGEIPTSLVKSPKLLELRLDGNRFTGQIPEFTHQPHMLNLSNNALAGQIPNILSTMDSKLFEGNKGLCGKPLDTKCTSSYILSPEPKSSPKKKSFKFLYIVAVAIAALAALLVIIGLIIFLYRRRTKKQPLLSAEPGPSSLQMRAGIQESERGQSSYHSQNRAAKKMIHTTKLSFLRDDKGKFELQDLLKASAEILGSGCFGASYKTLLSNGSVMVVKRFKHMNKAGIEEFQEHMKRLGRLNHENLLPIVAYYYKKEEKLFVSDFVANGSLAAHLHGHKSLGQPSLDWPTRLNIVKGVGRGLLYLNKNLPSLMAPHGHLKSSNVLLSEKFEPLLMDYGLIPMINEESAQELMVAYKSPEYLKQNRVTKKTDVWGLGVLILEILTGKLPESFPQIDKESEEDLASWVRSMFKGEWTQELFDQEMGTTSNCEAHILKLLKIGLSCCEVDVEKRLDIREAVEKIEDMMKEREQGDDDFYSTYASEADGRSSRGVSSEGINLS >fgenesh2_kg.3__2932__AT2G07050.1 pep chromosome:v.1.0:3:15054091:15060346:1 gene:fgenesh2_kg.3__2932__AT2G07050.1 transcript:fgenesh2_kg.3__2932__AT2G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:D7LA92] MWKLKIAEGGSPWLRTTNNHVGRQFWEFDPNLGTPEDLAAVEEARKSFSDNRFLQKHSSDLLMRLQFSRENLISPVLPQVKIEDTDDVTEEMVENTLKRGVDFYSTIQAHDGHWPGDYGGPMFLLPGLIITLSITGALNTVLSEQHKQEMRRYLFNHQNEDGGWGLHIEGPSTMFGSVLNYVTLRLLGEGPNDGDGAMEKGRDWILNHGGATNITSWGKMWLSVLGAFEWSGNNPLPPEIWLLPYFLPIHPGRMWCHCRMVYLPMSYLYGKRFVGPITSTVLSLRKELFTVPYHEVNWNEARNLCAKEDLYYPHPLVQDILWASLHKIVEPVLMRWPGANLREKAIRTAIEHIHYEDENTRYICIGPVNKVLNMLCCWVEDPNSEAFKLHLPRIHDFLWLAEDGMKMQGYNGSQLWDTGFAIQAILATNLVEEYGPVLKKAHSFVKNSQVLEDCPGDLNYWYRHISKGAWPFSTADHGWPISDCTAEGLKAALLLSKVPKEIVGEPIDAKRLYDAVNVIISLQNADGGLATYELTRSYPWLELINPAETFGDIVIDYPYVECTSAAIQALISFRKLYPGHRKKEVDECIEKAVKFIESIQAADGSWYGSWAVCFTYGTWFGVKGLVAVGKTLKNSSHVAKACEFLLSKQQPSGGWGESYLSCQDKVYSNLEGNRSHVVNTAWAMLALIGAGQAEVDQKPLDRAARYLINAQMENGDFPQQEIMGVFNRNCMITYAAYRNIFPIWALGEYRCQVLLQQGE >fgenesh2_kg.3__2934__AT2G07180.1 pep chromosome:v.1.0:3:15079187:15082084:-1 gene:fgenesh2_kg.3__2934__AT2G07180.1 transcript:fgenesh2_kg.3__2934__AT2G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICFSAEDHQYQFSQQQNYQKKTTPGKNSAVYLMKSDCQDSVGKVSASGGGGGLPLAPKNIKDLQSNPGYENVDIFTYQEMKIATKQFRPDYILGEGGFGVVYKGVIDESVRAGYKSTKVAIKELNPEGFQGDREWLAEVNYLGQLSHPNLVKLIGYCCEDDHRLLVYEYMAMGSLEKHLFRRVGCTLTWSKRMKIALDAAKGLAFLHGAERSIIYRDLKTANILLDEGYNAKLSDFGLAKDGPRGDQTHVSTRVMGTYGYAAPEYVMTGHLTSRSDVYGFGVLLLEMLLGKRAMDKSRPCREHNLVEWARPLLNHNKKLLRIIDPRMDGQYGTKALMKVAGLAYQCLSQNPKGRPLMNHVVEVLETLKEDGDAQEEVMTNLHSRGKSVTLYEASSDSQGTRDGDGQRRRRPESGRSKSEASVDTDKYVSALSEPDTTKI >fgenesh2_kg.3__2935__AT2G07340.1 pep chromosome:v.1.0:3:15100292:15101507:1 gene:fgenesh2_kg.3__2935__AT2G07340.1 transcript:fgenesh2_kg.3__2935__AT2G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEATRAAFMEIQASMIELTGKLKQVQNQMRNKEGDRKRAFLTLEELRPLPEDTNTYKSIGRTFVLEPKTVLEGEQEQKLKDSEAAVASLQTSKEYLEKQTAEVENNLRELLQQEPGIAQQIMSMSM >fgenesh2_kg.3__2937__AT2G07360.1 pep chromosome:v.1.0:3:15101980:15111322:-1 gene:fgenesh2_kg.3__2937__AT2G07360.1 transcript:fgenesh2_kg.3__2937__AT2G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LA99] MAESSGTTLMDLISADPTPIPAQSTSSSASSTASQPSPASASAASHLHHPMSTKTTLGEKKSKRATLMQIQNDTISVAKAALNPVKANIMPQRQRQKKKPVSYSQLARSIHELAATLDQKSSQKQLVNHVFPKLAVYNSVDPSLAPSLLMLNQQCEDRNVLRYVYYYLARILSDTGMTPGGGIPTPNWDALADIDAGGGVTRADVVPRIVNQLTTEATNSEFEFHARRLQALKALTYSPSGNSELLSKLYEIVFGILEKVGDVPHKRKKGVFGTKGGDKESIMRSNLQYAAMSALRRLPLDPGNPLFLHRAAQGVFFADPVAVRHSLEILSELATRDPYSVAMTLEKLASPAGALQDILHMNDVLARVSLARLCHSISRARALDERPDIRSQFNSILYQLLLDPSERVCYEAILCILGKYDNTERHEMDERAAGWYRLTREILKLPEAPSLSSKDKSHKTKRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAFAMGLQDIDESVHVNAFSDALDDAETNESSHPEGIRRTSSISAGPGRNDTIASLLAALMEVVRTTVACECVYVRAMVIKALIWMQSPDESLDELKSIIASELSDPGWPAALVNDVLLTLHARFKATPDMAVILLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMAGLTSIDRVSASDPKSALALQKLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIVAIQALTTIAIRSGEPFRLQIYEFLYTLAEGGVQSQLSEMHLSNGEDQGASGTGLGVLITPMLKVLDEMYVGQDELIKDIRHHDNANKEWKDEELKKLYENHERLLDFVSMFCYIPRAKYLPLGPISAKLIDIYRTKHNITASTGSTDPAVVATGISDLIYESTQPAPAVSNSSGLDDDLVNAWAANLGDDGLLGNNAPAMSRVNEFLSGVGTDAPDVEEENVFSRPSVGYDDMWAKTLLETSELEEEDARSGSSSPDSTGSVESSISSHFGGMNYPSLFSSKPSSQATAKSGGSKYQSTYEGYGSPIREEPPPPYSYSEPQSRESFENPVAGSGSRSYESDDEEPRKSTGTRFGTALYDFTAGGDDELNLTAEEELEIEYEVDGWFYVKKKRPGRDGKMAGLVPVLYVNQS >fgenesh2_kg.3__2939__AT2G07680.1 pep chromosome:v.1.0:3:15197116:15203365:1 gene:fgenesh2_kg.3__2939__AT2G07680.1 transcript:fgenesh2_kg.3__2939__AT2G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMRP11 [Source:UniProtKB/TrEMBL;Acc:D7LAA6] MKHGSVKQLEFENLLPLPPDMDPFTCCENLLRCWQLQECNNYSTPSLIWSISGVYGWPYFRLGLLKVFNDCIGFAGPLFLNRLIKSFLDTQYTFRLSKFKLKLRSSIMSVIYRKCLWVNTANRSGFSEGEIQTFMSVDADRIVNLCNSLHDMWSLPLQIGIALYLLYTQVKFAFLSGLAITILLIPVNKWISVLIASATEKMMKLKDERIRKTGELLTNIRTLKMYGWDNWFADWLKETRATEVTHLATRKYLDAWCVFFWATTPTLFSLCTFGLFALMGHQLDAATVFTCLALFNSLISPLNSFPWVINGLIDAFISTRRVSKFLCCLEHSRDFSIDSGLTSEDLAVFVEDASSTWSSNLEEDYNLTIKHVSLRVPKGSFVAVIGEVGSGKTSLLNSLLGEMRCVHGSILLNGSVAYVPQVPWILSGTIRENILFGKPFDSKSYFETLSACALDVDISLMAGGDMACIGDKGVNLSGGQRARLALARAVYHGSDMYLLDDVLSAVDSQVGCWILQRALLGPLLNKKTRVMCTHNIQAISCADMIVVMDKGKVKWSGTVTDMPKSISPTFSLSNDFDMPSPNHLTKRKEPLSIKKDDLDEISEAADIVKLEERKEGRVEVTVYRNYAAFSGWFIAIVILVSAVLMQASRNGNDLWLSYWVDKTGRGVSHYSTSFYLMVLCIFCIINSILTLVRAFSFAFGGLKAAVHVHSALISKLINAPTQFFDQTPSGRILNRFSSDLYTIDDSLPFILNILLANFVGLLGIIAVLSYVQVLFLLLLLPFWYIYSKLQFFYRSTSRELRRLDSVSRSPIYASFTETLDGSSTIRAFKSEEHFVARFIEHLTLYQRTSYSEIIASLWLSLRLQLLGAMIVLFVAVMAVLGSRGNFPISFGTPGLVGLALSYAAPLVSLLGSFLTSFTETEKEMVSIERVLQYMDVPQEEVSGRQSLSGKWPVQGLVEFHNVTMRYISTLPPALNHISFTIQGGMHVGVIGRTGAGKSSILNALFRLTPVCNGEILVDGININHLPIRKLRSHLAVVPQSPFLFQGSLRDNLDPLGLSEDWRIWEILEKCKVKAEVESAGGLDSNVKESGCSYSVGQRQLLCLARALLKSSKILCLDECTANIDVHTASLLHNTISTECKGVTVITIAHRISTVLDLDSILILDRGILVEQGKPQHLLQDDSSTFSSFVRASK >fgenesh2_kg.3__2940__AT2G22650.1 pep chromosome:v.1.0:3:15203580:15206103:-1 gene:fgenesh2_kg.3__2940__AT2G22650.1 transcript:fgenesh2_kg.3__2940__AT2G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LAA7] MTTAVFQPSSSASLSLPNRPPLRYAVLGAGFAGISVAWHLLKDSPKELRLSVDVYDEVGIGGGASGVSGGLLHPYSPKGKLLWHGAECWRECLELLNVAETAASSSYPATENGNSSESFGNFMVRRRGILRPATNAKTLDLMSDNARNCLASCVVETIDKDGARNLVPNLYLPLNCAFYFPGAMNVNPQRYLQALFLACQNSARASLGRKNITLVKKSISDVLELEGEYDAVVICLGSKVDFLPGLTGKLPLRTCRGVITHMQLHESARGSYPDDGPSILSDAWLAVHGPRNLHMGSTWEWQSRNYSSDVPAEEALRALDELLPKASAVYPDIEKWEFAGARAGLRAMPPVTSLGSLPLLGCVNQLIGAAGESCKLWVFSGLGSRGLLYHAWLGKLMAKAVLSCKEELIPSELTSWKKNRL >fgenesh2_kg.3__2941__AT2G07690.1 pep chromosome:v.1.0:3:15207061:15211388:-1 gene:fgenesh2_kg.3__2941__AT2G07690.1 transcript:fgenesh2_kg.3__2941__AT2G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase [Source:UniProtKB/TrEMBL;Acc:D7LB22] MSGWDEGAVYYSDQPQFPEAGDATTISPHAVLTKFKEFIRNFEIEQNCFPYREALLDNPKRLLVHLEDLLAFDSDLPSLIRSAPADYLPVFEKAAGEVLTGLKMREANEGGEMEEPLPRDVQILLTSREDPVSMRLLGAQYISKLVKISGISIAASRVKAKATYVFLVCKNCKKTREVPCRPGLGGAIVPRSCDNIPQPGEEPCPLDPWMVVPDRSQYVDQQTLKLQENPEDVPTGELPRNMLLSVDRHLVQTIVPGTRLTVMGIYSIFQASSSSNSHKGAVAIRQPYIRVVGLEDTNEASSRGPANFTPDEEEEFKKFADSQDVYKNICTKIAPSIFGHEDVKRAAACLLFGGSRKSLPDGVKLRGDINVLLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVIRDSSTREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDIRKYSQDKEIASHIIRVHASANKFSDENTDSKEDNWLKRYIQYCRARCHPRLSKDAAENLQRKYVTIRMDMKRRAHETGEAAPIPITVRQLEAIVRLSESLAKMRLSHEATPDDVDKAFKLFDTSTMDAARSGINQQINITGEMANEIKQAETQIKRRMGIGARLSERRLIEDLARMGMNDSMVRRALLIMHQRGEVEYQRERRSIVRKA >fgenesh2_kg.3__2948__AT2G07713.1 pep chromosome:v.1.0:3:15369082:15369451:-1 gene:fgenesh2_kg.3__2948__AT2G07713.1 transcript:fgenesh2_kg.3__2948__AT2G07713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRYEISCPFNLRKSGSTRLIKSQQISEVNRELSVPRVYREKSFTRRLNAPIFGSLFVDKESRFANPYSFTLNQGLTRGRGKQAKLAPDRRGKSVVTEVDYRTGVGENIVKD >fgenesh2_kg.3__2958__AT2G07676.1 pep chromosome:v.1.0:3:15380889:15381245:1 gene:fgenesh2_kg.3__2958__AT2G07676.1 transcript:fgenesh2_kg.3__2958__AT2G07676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASDSRPMRLRLRAELFLASFAVREESIRSEEGSGAYISKYIKGILKSRLSRREQSRWNIIDDTTSMAFFEEFASLNPVFHTFLFYGRRDGEDLSFHIVGFFRLSIRGYIFFLWESF >fgenesh2_kg.3__2967__ATMG00900.1 pep chromosome:v.1.0:3:15406156:15406924:1 gene:fgenesh2_kg.3__2967__ATMG00900.1 transcript:fgenesh2_kg.3__2967__ATMG00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLSLGVAPLDLQQGGNSRILYVHVPAARMSIIVYIATAINTFLFLLTKHPLYLRSSGTGIEMGAFFTLFTLVTGGFRGRPMWGTFWVWDARLTSVFISFLIYLGALCFQKLPVEPASISIRAGPIDIPIIKSSVNWWNTSHQPGSISRSGTSIHVPMPIPILSNFANFPFSTRILFVLETRLPIPSFLESPITEEIEAREGIPKPSSLALFASMAEWLKRPT >fgenesh2_kg.3__296__AT3G03490.1 pep chromosome:v.1.0:3:1069445:1071157:-1 gene:fgenesh2_kg.3__296__AT3G03490.1 transcript:fgenesh2_kg.3__296__AT3G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal protein PEX19 family protein [Source:UniProtKB/TrEMBL;Acc:D7L158] MSNTHTDDLDELLDSALDDFKDLNLSQRNQREAQEEEKKKKEETVLLPSGVQGLGMGLPDMRIKKKGKQKVSKEDHVAEALDKLREQTRETVKGLESISSKQLPASDDDGMVEDLLKQFEDLTGSQDLESIVETMMQQLLSKDILHEPMKELGARYPKWLKENEASLTKEDYKRYSQQYKLIEELNAVYENEPNNSSKIMEIMQKMQECGQPPSDIVKEIDPGFDFASLGQISPEMLESSPNCCIM >fgenesh2_kg.3__2970__AT2G07682.1 pep chromosome:v.1.0:3:15408449:15413336:-1 gene:fgenesh2_kg.3__2970__AT2G07682.1 transcript:fgenesh2_kg.3__2970__AT2G07682.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein [Source:TAIR;Acc:ATMG00880](projected from arabidopsis_thaliana,ATMG00880) MKRSADPEAEEDPDPSLGKFIEQVVSGPTQKGFPASGAFATPRARRSRGPKRFLGKRNYRRARARKPGKRDRAHSSKVRSSDGSTRPSARYGMRFRSPTLPLCSRPRTWEFLLDLGYDSMSLKSTRVASERPCDPGRANHVWEPGGLSPEQAQWDWVGKVYSRDRVLKLMGELHELGLQGIRKTVRILDCQGSSS >fgenesh2_kg.3__2976__AT2G07772.1 pep chromosome:v.1.0:3:15416922:15417661:-1 gene:fgenesh2_kg.3__2976__AT2G07772.1 transcript:fgenesh2_kg.3__2976__AT2G07772.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:unknown protein; Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:TAIR;Acc:AT2G07772](projected from arabidopsis_thaliana,AT2G07772) LGEGGLGQLVRDVRKGREGWARQAREVEKVGLGKLGVGVSLVPKKDKAELPLLPSEVEYGSRFAEYLLIEGFKPLKRKDISLRLKRCGFRRVTPTFCKFLDNRSRIMPAAGTNPIFAHTSLFVWELNQKHRMKASLQDLFYFPPFFFLVT >fgenesh2_kg.3__2977__AT2G10940.2 pep chromosome:v.1.0:3:17449099:17450611:-1 gene:fgenesh2_kg.3__2977__AT2G10940.2 transcript:fgenesh2_kg.3__2977__AT2G10940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKISSLSFCLFLICIIYFPQHSLSCGSCNPRKGGKPSPKPPVHIPKLPVPPVTVPKLPVPPVTVPKLPVPPVTVPKLPVPPVTVPKLPVPPVTVPKLPVPPVTVPKLPVPPVTVPKLPVPPVTVPELPVPPVTVPKLPVPPVTVPKLPVPPVVGPNLPLPPLPIVGPILPPGTNPPATGGKDCPPPAGSVKPPSGGGKATCPIDTLKLGACVDLLGGLVKIGLGDPAVNKCCPLLKGLVEVEAAACLCTTLKLKALDLNLYVPVALQLLLTCGKNPPPGYTCSI >fgenesh2_kg.3__2979__AT2G10970.1 pep chromosome:v.1.0:3:17459514:17460138:-1 gene:fgenesh2_kg.3__2979__AT2G10970.1 transcript:fgenesh2_kg.3__2979__AT2G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7LB35] MACVYASHAYISIHIVTFLLLSRWPSTNAKSNIASHLSTKPKTCPYPSLLISKACKGTASFSSLEEACIESLTLDHRTNSASTALELAKAALSIAMEKAEHTQFLIGSPKKPCFKSCMENYKDSVVEGLMKAEWSIGKGDVDETDDQLSLARDAADYCNMILSVDPDDTRSLVFAANMDVYNHITFVMSVADLL >fgenesh2_kg.3__2981__AT2G11000.1 pep chromosome:v.1.0:3:17468716:17475861:-1 gene:fgenesh2_kg.3__2981__AT2G11000.1 transcript:fgenesh2_kg.3__2981__AT2G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVGEEASSSSIHHDSSIPSGDNSVWADVSPLLSAACTDLQEGELINGDNFNLFAAMSALEIMDPKMDSGMVSTFYSIDEAIESGFAPVPISSDSTVNVQSIIDIMDHLLACEATWHMGHSLAQTVFSCIYVLRPERTSSQALLHSYCRVIRATCRAVVSVVSDARTNEEEDLFTMTYGLPFSGDEDAKGLLLLNAVEETICRQLRACKATRRRVLEDAELEPLQTDPHLEESFCKSLLCRIRFRKHFLHALNCMRRPQGRGLELARKHIAYCISELDSVLELEEFLRLNIVENGGNEIEESTTASGRSPIGFDPTLNKRLSAPTPPRAIKLLSWKKAIDYYVKLLHNLDQICVFSLEPDLEAVLQFVIQFQKSRPDLVARAHLQLLLVQDGKLYGRDTFLTICARSLALDVSKNHGLHTNEYILQLNQLMVNLLKILCANTPWQRRKLGKILNDWSIFHVQMGIAVGQMMQQDTSRASKNGDKSLLILNHIYGGLDEQINWVAIRFLMLGFDLDLYSPSEYCMVYWYMYIILWKLAERARFRVLIVVNTEERKAKRNKEYSRDMAREDQISLWVLFLKCQTCLAQGLTVMIAALRNEGMCLKSQGPFNTENEKFIQHFELLQKASLPEYDAYESFSKSTSHARLDYLPMYEYFHDAQKIAKDIKVGYANDPDKLAEVQGLEKVAERNIVAVNLFCQDRSLKVSFEFTHHPYFATAVVRRS >fgenesh2_kg.3__2982__AT2G11520.1 pep chromosome:v.1.0:3:17513075:17516178:1 gene:fgenesh2_kg.3__2982__AT2G11520.1 transcript:fgenesh2_kg.3__2982__AT2G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDLSFTRLVCTALFGLLMLLQIKETSASASFVSSSVCRSDHLTYTKPYQQGSLFTINGNPVEKVRFCEALRFHKANGCIFGDSFSDDFCTVHYLLGRRFLEEKSVKDSKNSKPKSEYSHVKVSMAGSGFLLLFCGLCCPCFHKERKANSHEVLPKESNSVHQVSSSEMSPSSEKIPPSPFRAPPSPSRVPQSPSRYAMSPRPSRLGPLNLSMSQINAATSNFSDTHQIGEGGFGVVYKGFLDDGQVVAIKRAKKEHFENLRTEFKSEVDLLSKIGHRNLVKLLGYVDKGDERLIITEYVRNGTLRDHLDGARGTKLNFNQRLEIVIDVCHGLTYLHSYAERQIIHRDIKSSNILLTDSMRAKVADFGFARGGPTDSNQTHILTQVKGTVGYLDPEYMRTYQLTAKSDVYSFGILLVEILTGRRPVEAKRPHDEKITVRWAFDKYNEGRVFELVDPNARERVDEKILRKMFSLAFQCAAPTKKERPDMEAVGKQLWAIRSSYLRRSME >fgenesh2_kg.3__2996__AT2G12646.1 pep chromosome:v.1.0:3:18001290:18003473:-1 gene:fgenesh2_kg.3__2996__AT2G12646.1 transcript:fgenesh2_kg.3__2996__AT2G12646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQKPAWLDALYAEKFFVGCPYHETAKKNERNVCCLDCCTSLCPHCVPSHRFHRLLQVRRYVYHDVVRLEDLQKLIDCSNVQAYTINSAKVVFIKKRPQNRQFKGAGNYCTSCDRSLQEPYIHCSLGCKVDFVMKRYRDLTPFLKPCHTLTLGPDYIIPQDLLTDDDVAVYETPRSTVVDGDESMSWSSASSDNNNTGAAAAYAATTTHVVRKKRTGFCLCAKSANSYKEVSEDPDDISTCINRRKGVPQRSPLC >fgenesh2_kg.3__2997__AT1G66530.1 pep chromosome:v.1.0:3:18022438:18026969:1 gene:fgenesh2_kg.3__2997__AT1G66530.1 transcript:fgenesh2_kg.3__2997__AT1G66530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVANKEFTGNPRRQLAKLFDVSLKLTVPDEPNVEPLIEPGKFGDYQCNNAMGLWSIIKGKGTQFKGPPAVGQALIKSLPTSEMVESCSIAGPGFVNVVLSAKWMAKSIENMLIDGIDTWAPTLSVKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEYSKVEVLRRNHVGDWGTQFGMLIEFLFEKFPDTDSVTETAIGDLQMFYKKSKLKFDLDPEFKEKAQQAVVRLQGGDPVYRKAWAKICEISRNEFAKVYQRLRVELEEKGESFYNPYIANVIEELSSKGLVEESKGARVIFIEGFKAPLIVVKSDGGFNYASTDLTALWYRLNEEKAEWIIYLTDFGQQQHFHMFFKAARKAGWLPDDDKTYPRVNHVGFGLVLGDDNKRFRTRSTEVVRLVDLLDEAKDRSKAALIERGKDKEWTPEELDQTAESVGYGALKYADLKTNRLTGYTFSFDQMLNDKGDTAVYLLYAHARICSIIRKSGKDIDELKKTGKIALDHAAERALGLHLLQFAETVEEACTTLLPNVLCKYLYYLSEEFTKFYSSCQVNGSAEETSRLLLCEATAIVMRKCFHLLGITPVYKL >fgenesh2_kg.3__2999__AT2G13100.1 pep chromosome:v.1.0:3:18030222:18031600:1 gene:fgenesh2_kg.3__2999__AT2G13100.1 transcript:fgenesh2_kg.3__2999__AT2G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGILTVVFGLGYWLNVHLVGFYMSVQIVCGLFQSIGWPCVVSVVGNWCGKEKRGLIMGVWNSHTSVGNILGSVIASSVLDFGWGWSFVLPGGLVIVSGVVVFMFLVVSPHDLGFEEPGKEIEIEMSLGENVEESLRKREAEDAVLLENVDELDDSLFAIGFLEAWRLPGVAPYAFCLFFSKLVAYTFLYWLPYYLRHTAVAGVNLSHKTAGILSTVFDIGGVLGGISAGFISDKIKARALTSITFLALSIPALIMYRIYGSVSMFINIILMFISGLLVNGPYALITTAVAADLGTQDSIKGNGRALATVTAIIDGTGSVGAALGPLLAGYISSRGWNSVFFMLIFSIFFAGLFLVRLAKAEISKMRSGELIASSDSQS >fgenesh2_kg.3__3001__AT1G42570.1 pep chromosome:v.1.0:3:18052676:18054296:1 gene:fgenesh2_kg.3__3001__AT1G42570.1 transcript:fgenesh2_kg.3__3001__AT1G42570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L013] MMHTSPVLCATVEMLQHAEEVYTPEVFSLFQKQFIVIGDYVAKKVSKSEMVYEYNVSYRGVAREHLVNYDAANETIHCGCKKFSFAGILCRHALKVLDKKNVRRIPSTYILNRWSKEAKARNISYYHSESPNETVKQSIGKRYSHICHTFREIAYVAADHIEMTLCA >fgenesh2_kg.3__3002__AT2G13290.1 pep chromosome:v.1.0:3:18085896:18087679:1 gene:fgenesh2_kg.3__3002__AT2G13290.1 transcript:fgenesh2_kg.3__3002__AT2G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7L020] MTIRSLRKPPPVKLVFPSLIILFLTCLFGILTNLQTISYLFRPLWDKPPPPFKRIPHYYAENVSVGHLCELHGWTPRSVPRQVFDAIIFSNELDLLELRWRELEPYVSKFVILESNTTFTGIPKPLFFDSNKERFAFAEGKIVHGVFPGKKRSKGQPYEDPFLLEGQQRVAMNWLLREAGISDGDAVIMSDADEIPSPHTVKFLQWCDGIPDVMHLEMREYMYSFEFPVDYSSWRASVHIYSRKWTQYRHSRQTDLILSDAGWHCSFCFRRLNEFVFKMKGYSHADRVKRKEFLDYQRIQKHICKGYDLFDMLPERYSFKELISKIGPIPPSASAVHVPAFLIQNAARFRFLLPGGCLREP >fgenesh2_kg.3__3004__AT2G13350.1 pep chromosome:v.1.0:3:18089575:18090927:-1 gene:fgenesh2_kg.3__3004__AT2G13350.1 transcript:fgenesh2_kg.3__3004__AT2G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAPCQILELNIISAQELAPVARCMKTYAIAWIDPERKLTTRVDNTGGTSPTWNDKFVFRLDEEALYDATSIVVIEIYALHWFKDIHVGTVQTLISDLVSPSSAMRFVTLEVLRASGRPHGLLNIAVGLIDNSGQSMPLLFEEDLLFHKKNISSKPVGFRRSKSDTSSMVDSPRKVTQSRVSSTTNSGFEKDEFSSDSQMVVYEPQRKTPNTLLRQKKQQIVYGTPMRPRNTTAYTPKRNNIEYGTPMRSRPIVITESDLGPSASVVAAQIAKEKALTGKDAESTVISVGERSVEGLRSKLERWQANLPVVLDVGSSYQPSSDYKTNSNFNPKSSYKPNEIVPRNPQMIGAPIQKQSGRNKKGGDNGLFSCFGNICGIECSIVCGGSSGQKAAKKKKKKK >fgenesh2_kg.3__3006__AT2G13360.2 pep chromosome:v.1.0:3:18092131:18094318:-1 gene:fgenesh2_kg.3__3006__AT2G13360.2 transcript:fgenesh2_kg.3__3006__AT2G13360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYMYGPGRHHLFVPGPVNIPEPVIRAMNRNNEDYRSPAIPALTKTLLEDVKKIFKTTSGTPFLFPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQKRLNFNVDVVESEWGQGANLQVLASKLSQDENHTIKAICIVHNETATGVTNDISAVRTLLDHYKHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEATKTSKSLKVFFDWNDYLKFYKLGTYWPYTPSIQLLYGLRAALDLIFEEGLENIIARNARLGKATRLAVEAWGLKNCTQKEEWISNTVTAVMVPPHIDGSEIVRRAWKRYNLSLGLGLNKVAGKVFRIGHLGNVNELQLLGCLAGVEMILKDVGYPVVMGSGVAAASTYLQHHIPLIPSRI >fgenesh2_kg.3__3007__AT2G13370.1 pep chromosome:v.1.0:3:18100680:18137980:-1 gene:fgenesh2_kg.3__3007__AT2G13370.1 transcript:fgenesh2_kg.3__3007__AT2G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRNYSNDTVSHNVLDENEERQNAATFQSSPLNEDVDGTYSERGFDMNMDVQYQSDPEPGCSIRQQNEPPIDNVAGPVDSHYQPSTRRLGVTGRWGSTFWKDCQPMGQREGSDPAKDSQSGYKEAYHSEDNLSNDRSEKLDSENENDNDEDNEMNKHQSGQADVPADEMLSDEYYEQDEDNQSDHVHYKGYSNPTNSRSLPKAGSATHSNSRASRAIHKNIHFSDSNHGHNGDADMDYEEEEDEDDPEDADFEPYDDAVDDGGASKKHGQGWDVSDEDPESDDEIDLSDYEDDYGTKNPKRQQSKGFRKSSTGLERKSFHASSRQKRKTSYQDDGSEEDSENDNDEGFRSLARRGTTLRQNNGRSTNNIGQSSEVRSSTRSVRKVSYVESEDSEDKDDGRNRKNQKDDIEEEDPDVIEKVLWHQLKGMGEDVHTNNKSTVPVLVSQLFDTEPDWNEMEFLIKWKGQSHLHCQWKTLSDLQNLSGFKKVLNYTKKVTEEIRYRTALSREEIEVNDVSKEMDLDIIKQNSQVERIIADRISKDGLGDVVPEYLVKWQGLSYAEATWEKDVDITFAQVAIDEYKAREVSIAVQGKMVEQQRTKGKASLRKLDEQPEWLSGGTLRDYQLEGLNFLVNSWLNDTNVILADEMGLGKTVQSVSMLGFLQNTQQIPGPFLVVVPLSTLANWAKEFRKWLPGMNIIVYVGTRASREVCQQYEFYNEKKVGRPIKFNALLTTYEVVLKDKAVLSKIKWIYLMVDEAHRLKNSEAQLYTALLEFSTKNKLLITGTPLQNSVEELWALLHFLDPGKFKNKDEFVENYKNLSSFNESELANLHLELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDINDNSKLDKIILSSGKLVILDKLLVRLRETKHRVLIFSQMVRMLDILAEYLSLRGFQFQRLDGSTKAELRQQAMDHFNAPASDDFCFLLSTRAGGLGINLATADTVVIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEEILERAKRKMVLDHLVIQKLNAEGRLEKRETKKGSNFDKNELSAILRFGAEELFKEDKNDEESKKRLLSMDIDEILERAEQVEEKHTDETEHELLGAFKVANFCNAEDDGSFWSRWIKPDSVVTAEEALAPRAARNTKSYVDPSHPDRTSKRKKKGSEPPEHTERSQKRRKTEYFVPSTPILEGTSAQVRGWSYGNLPKRDAQRFYRTVMKFGNHNQIACIAEEVGGVVEAAPEEAQVELFDALIDGCKESVETGNFEPKGPVLDFFGVPVKANELLKRVQGLQLLSKRISRYDDPISQFRVLSYLKPSNWSKGCGWNQIDDARLLLGILYHGFGNWEKIRLDESLGLTKKIAPVELQHHETFLPRAPNLKERATALLEMELAAAGGKNTNAKASRKNSKKVKDNLINQFKAPARDRRGKSGPANVSLISTKDGPRKTQKAEPLVKEEGEMSDDGEVYEQFKEQKWMEWCEDVLADEIKTLGRLQRLQTTSADLPKEKVLFKIRRYLEILGRRIDAIVLEHEEDLYKQDRMTMRLWNYVSTFSNLSGDRLNQIYSKLKQEKEEEEGVGPSHLNGSRNFQRQQKYKTAGNSQGSQQVHKGIDTAKFEAWKRRRRTENDVQTERPLITNSNSLGILGPGPLDRSHRARQTGFPPR >fgenesh2_kg.3__3009__AT2G13440.1 pep chromosome:v.1.0:3:18144234:18149768:-1 gene:fgenesh2_kg.3__3009__AT2G13440.1 transcript:fgenesh2_kg.3__3009__AT2G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-inhibited division family A protein [Source:UniProtKB/TrEMBL;Acc:D7L027] MRAAASASATATLSLRHFRSFPSSVPSLLFSSSSSSLPLHSPRLCVFLRRNFRPRQLFLNRPLAASFSSSSSGATSDSTYDVIVVGAGHAGCEAALASARLGASTLLLTLNLDRIAWQPCNPAVGGPAKSQLVHEVDALGGDIGKVADRCYLQKRILNVSRGPAVRSLRAQTDKREYAMEMKKIVDSTQNLCIREAMVTDIIVGKNDNVEGVATFFGMNFYAPSVILTTGTFMSGKIWVGKKSMPAGRAGESASQGLTENLQKLGFETDRLKTGTPARVDRRTIDFSNLEAQHGDEEVSWFSFDPDFHIEREQMCCYLTRTTKITHQLIRDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFKDKESHQIFLEPEGRDVPEIYVQGFSTGLPENLQLPLLRSLPGLENCSMLRPAYAVEYDYLPAHQCSRSLMTKKIEGLFFSGQINGTTGYEEAAAQGIISGINAARHADGKKHVVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRFDNADSRLTPLGRELGLIDDRRWKLYQEKQARISEEKKRLKTVKISVAVGDLASEVSSVSSQPVKVSATLESLLKKPHIHYKLLEKHGFGNETLSRMEKDCVEIDIKYEGFIVRQQNQLQQMAHQQHRRLPDDLDYYSMTTLSHEGREKLSKVRPETIGQASRVGGVSPADITALLITLESNRRRTQDVKRGKILEHALAESNPQWVEDREHMVNE >fgenesh2_kg.3__300__AT3G03540.1 pep chromosome:v.1.0:3:1098491:1100516:-1 gene:fgenesh2_kg.3__300__AT3G03540.1 transcript:fgenesh2_kg.3__300__AT3G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7L168] MEKTQKGSVSSYPIKTIVVLVQENRSFDHTLGWFKELNREIDGVVKSDLKFNPVLSSDQNSHNIVFGDQSQYVDPNPGHSIRDIYEQVFGKPWDSVHPDPNPGPAKMSGFAQNAERKMKGMSSAVMNGFKPDALPVYKELVQKFAICDRWFASVPGATQPNRLFVHSATSHGTTNNERKLLIEGFPQKTIFESLDEAGFTFGIYYQCFPTTLFYRNLRKLKYLTRFHDYGLQFKKDCKEGKLPNYVVVEQRWYDLLSNPANDDHPSHDVSEGQKLVKEVYEALRSSPQWNEILFIITYDEHGGFYDHVPTPVDGVPNPDGILGPPPYNFEFNRLGVRVPAFFISPWIEPGTIIHGPSGPYPMSQYEHSSIPATVKKIFKLRNFLTKRDSWAGTFESVITRDSPRQDCPETLSNPVKMRTTVAKENAELSDFQEELVIVAAGLKGDYKNEELMHKLCKETCVADASKYVTNVFDKFIEESRKARERGCDENDIVYCIDDDDDHDVVKPPPSQTKALHVTHKPKT >fgenesh2_kg.3__3010__AT2G13540.1 pep chromosome:v.1.0:3:18274426:18280876:1 gene:fgenesh2_kg.3__3010__AT2G13540.1 transcript:fgenesh2_kg.3__3010__AT2G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNWKTLLLRIGEKGPEYGTSSDYKDHIETCFGVIRREIERSGDQVLPFILQCAEQLPHKIPLYGTLIGLLNLENEDFVRKLVESVHADFQVALDSGNCNSIRILLRFMTSLLCSKVIQPASLIVVFETLLSSAATTVDEEKGNPSWQPQADFYVICILSSLPWGGSELAEQVPDEIERVLVGIQAYLSIRKNSSTSGLNFFHNGEFESSLAEKDFVEDLLDRIQSLASNGWKLDSVPRPHLSFEAQLVAGKFHELGPIKCMEQPSPPSDHSRAYSGKQKHDALTRYPQRIRRLNIFPANKMEDVQPIDRFVVEEYLLDVLFYLNGCRKECASYMANLPVPFRYEYLMAETLFSQILLLPQPPFKTLYYTLVIMDLCKALPGAFPAVVAGAVRALFEKISDLDMESRTRLILWFSHHLSNFQFIWPWEEWAYVLDLPKWAPKRVFVQEILQREVRLSYWDKIKQSIENASTLEELLPPKAGPNFIYSLEEGKEKTEEQQLSAELSRKVKEKQTARDMMVWIEETVYPVHGFEVTLTIVVQTLLDIGSKSFTHLVTVLERYGQVFSKLCPDTDKQVMLLSQVSTYWKNNVQMTAVAIDRMMGYRLVSNQAIVRWVFSPENVDQFHVSDQPWEILGNALNKTYNRISDLRKDISNITKNVLVAEKASANARVELEAAESKLSLVEGEPVLGENPAKMKRLKSTVEKTGEAELSLRESLEAKEALLNRALSETEVLLLLLFQSFLGVLKERLPDPTKVRSVQDLKSIGAEDDKSSAMDVDSENGNPKKSCEVGEREQWCLSTLGYLTAFTRQYASEIWPHMEKLESEVFSGEDVHPLFLQAISSALQFPLQ >fgenesh2_kg.3__3011__AT2G13560.1 pep chromosome:v.1.0:3:18296733:18301902:1 gene:fgenesh2_kg.3__3011__AT2G13560.1 transcript:fgenesh2_kg.3__3011__AT2G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:D7L036] MGIANKLRLSSSLSRILHRRILYSSAARSFTTSEGHRPTIVHKQGLDILHDPWFNKGTAFTMTERNRLDLRGLLPPNVMDSEQQIQRFMTDLKRLEEQARDGPSDPNALAKWRILNRLHDRNETMYYKVLINNIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPAEQVDMIVVTDGSRILGLGDLGVHGIGIAVGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPMYLGLQQHRLEDDDYVDVIDEFMEAVYTRWPHVIVQFEDFQSKWAFKLLQRYRCTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKMKIVVAGAGSAGIGVLNAARKTMARMLGNTETAFDSAQSQFWVVDAQGLITERRENIDPEAQPFARKTKEMERQGLKEGATLVEVVRGVKPDVLLGLSAVGGLFSKEVLEAMKGSTSTRPAIFAMSNPTKNAECTPQDAFSILGENMIFASGSPFKNVEFGSGHVGHCNQGNNMYLFPGIGLGTLLSGAPIVSDGMLQAASECLAAYMSEEEVLQGIIYPPISRIRDITKRIAAAVIKEAIEEDLVEGYREMDARELQKLNEEELMEYVENNMWNPEYPTLVYKDD >fgenesh2_kg.3__3014__AT2G13610.1 pep chromosome:v.1.0:3:18319795:18321915:-1 gene:fgenesh2_kg.3__3014__AT2G13610.1 transcript:fgenesh2_kg.3__3014__AT2G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7L042] MEKEGCEIEALDIDYNIFVRKFNVNPFGIFRPKPRPEADQPVKTEEESWKLEHENGNKVKHVLKGVTCRAKPWEILAIVGPSGAGKSSLLEILAARLIPQTGSVFVNKTPVDRANFKKISGYVTQKDTLFPLLTVEETLLFSAKLRLKLPADELRSRVKSLVHELGLEAVAKARVGDDSVRGISGGERRRVSIGVEVIHDPKVLILDEPTSGLDSTSALLIIDMLKHMAETRGRTIILSIHQPGFRIVKQFNSVLLLANGLTLKQGSVDQLGVFLRSNDLHPPLHENIVEFAIESIEAITKQQRLQESRRAAHVLTSQTTLQEKRSEDSQGESKSGKFTLQQLFQQTRVADVGTMNIVTEFTRDFANSRLEETMILTHRFSKNIFRTKELFACRTVQMLGSGIVLGLIFHNLKDDLKGARERVGLFAFILTFLLTSTIEALPIFLQEREILMKEISSGSYRVSSYAIANGLVYLPFLLILAILFSLPVYWLVGLNPNFMAFLHFLLLIWLILYTANSVVVCFSALVPNFIVGNSVISGVMGSFFLFSGYFISNHEIPGYWIFMHYISLFKYPFEGFLISEFSKSSKCLEYGFGKCLVTEEDLLKEERYGEENRWRNVVIMLCFVLLYRFISYVILRCRCSQRSFKTALA >fgenesh2_kg.3__3015__AT2G13620.1 pep chromosome:v.1.0:3:18323267:18325919:1 gene:fgenesh2_kg.3__3015__AT2G13620.1 transcript:fgenesh2_kg.3__3015__AT2G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEENSTDTSIICYAPSMITTNGVWQGDNPLDFSLPLFVLQLTLVVVVTRFFVFILKPFRQPRVISEILGGIVLGPSVLGRYAKFAHTIFPQRSVMVLETMANVGLLYFLFLVGVEMDIMVVRKTGKRALTIAIGGMVLPFLIGAAFSFSMHRSEDHLGQGTYILFLGVALSVTAFPVLARILAELKLINTEIGRISMSAALVNDMFAWILLALAIALAESDKTSFASLWVMISSAVFIAICVFVVRPGIAWIIRKTPEGENFSEFYICLILTGVMISGFITDAIGTHSVFGAFVFGLVIPNGPLGLTLIEKLEDFVSGLLLPLFFAISGLKTNVAAIQGPATWLTLFLVIFLACAGKVIGTVIVAFFHGMPVREGITLGLLLNTKGLVEMIVLNVGKDQKVLDDETFATMVLVALVMTGVITPIVTVLYKPVKKSVSYKRRTIQQTKPDSELRVLVCVHTPRNVPTIINLLEASYPTKRSPICIYVLHLVELTGRASAMLIVHNTRKSGRPALNRTQAQSDHIINAFENYEQHAAFVAVQPLTAISPYSTMHEDVCSLAEDKRVSFIIIPFHKQQTVDGGMESTNPAYRLVNQNLLENSPCSVGILVDRGLNGATRLNSNTVSLQVAVLFFGGPDDREALAYAWRMAQHPGITLTVLRFIHDEDEADTASTRATNDSDLKIPKMNMDHKKQRQLDDDYINLFRAENAELESIVYIEKLVSNGEETVAAVRSMDSSHDLFIVGRGEGMSSPLTAGLTDWSECPELGAIGDLLASSDFAATVSVLVVQQYVGSWAQGDDMDFPESPVHSHETKAIYGLENPR >fgenesh2_kg.3__3016__AT2G13650.1 pep chromosome:v.1.0:3:18368702:18372109:-1 gene:fgenesh2_kg.3__3016__AT2G13650.1 transcript:fgenesh2_kg.3__3016__AT2G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GONST1 [Source:UniProtKB/TrEMBL;Acc:D7L046] MKSYEHDGIDLEDGKTGKSGDKPIQRKIHNRALLSGLAYCISSCSMILVNKFVLSSYNFNAGIFLMLYQNFVSVIIVVGLSLMGLITTEPLTLRLMKVWFPVNVIFVGMLITSMFSLKYINVAMVTVLKNVTNVITAVGEMYLFNKQHDNRVWAALFLMIISAVSGGITDLSFNAVGYAWQIANCFLTASYSLTLRKTMDTAKQVTQSGNLNEFSMVLLNNTLSLPLGLLLSFSFNEMDYLYQTPLLRLPSFWMVMTLSGLLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSIAGIVLFNVPTSLQNSASILFGLVAGVVFARAKMREKS >fgenesh2_kg.3__301__AT3G03550.1 pep chromosome:v.1.0:3:1101873:1103833:-1 gene:fgenesh2_kg.3__301__AT3G03550.1 transcript:fgenesh2_kg.3__301__AT3G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7L170] MGSTGNPNPWGTYDSYRDCSQGVCSVYCPQWCYVIFPPPPSFYLDDEDDSSSSDFSPLLIALIGILASAFILVSYYTLISKYCHRRRHNSSSSTSAAVINRDHGISSDYTWQEATNPNPTIGGGDGLDESLIKSITVYKYRKMDGFVESSDCSVCLSEFQENESLRLLPKCNHAFHVPCIDTWLKSHSNCPLCRAFIAGVNVTSSAVEIVAVTNQPIATENNSISIGDDSVVINLDLENSRSRNETVNGGSTPKPPEMQELRDGEEEVTRRSATLNSGAAVVSIADILREIEDEEESAGVGTSRWVEEGEGEKTPPPSGSAANQTNGISNFLVRSSMAAMKRSGYDRAKNYRLPK >fgenesh2_kg.3__3023__AT2G04470.1 pep chromosome:v.1.0:3:18479218:18510838:-1 gene:fgenesh2_kg.3__3023__AT2G04470.1 transcript:fgenesh2_kg.3__3023__AT2G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L057] MESRNASFFEDIFPCRKAQKRTREQRDAAISEAEDNTSGTITVEETEPKELAKRNLSV >fgenesh2_kg.3__3025__AT2G13810.1 pep chromosome:v.1.0:3:18538554:18542262:1 gene:fgenesh2_kg.3__3025__AT2G13810.1 transcript:fgenesh2_kg.3__3025__AT2G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLMFCSSASPLCSSPSKITKASLDFDMKKLGGSTKLVRNVNLEKLKNNYLFPEINRRELEHIEKHPNVQLISLGTGDTTKPIPEQITSHMSNFAHGLSTVEGYRGYGLEQGNKTLRKAIAETFYRDLHVKSNEVFVSDGAQSDISRLQLLLGSNVTIAVQDPTFPAYIDSSVIIGQTGHFHEPTKKYQNVVYMPCGPKNSFFPDLAMTPRTDVIFFCSPNNPTGYVASRKQLHQLVDFAKRNGSIIIFDSAYAAFIEDDSPRSIYEIPGAREVAIEVSSFSKFAGFTGVRLGWTIIPDELLYSNGFPIINDFHRIVTTSFNGASNIAQAGGLACLSSGGLKEIRSVNDYYKENRKILMDTLVSLGLKVYGGVNAPYLWVHFKGSKSWDVFAEILENTHIITVPGSGFGPGGEEYLRISGFGRREDIVEASKRLQNFFNTRTKHFTYLSSSSNTN >fgenesh2_kg.3__3026__AT2G13820.1 pep chromosome:v.1.0:3:18556255:18558990:-1 gene:fgenesh2_kg.3__3026__AT2G13820.1 transcript:fgenesh2_kg.3__3026__AT2G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7L063] MSGESVHAAVDCSSLILNMADCLSFVTSGSTVVKPEGTCCSGLKTVVRSGPECLCEAFKNSASLGVTLDLSKAASLPSVCKVAAPPSARCGLSVAGSPPATAPGLSPTAEAGAPEVSRGANAATPVSSPRSSDASMRSMSFASIIFMALISSFN >fgenesh2_kg.3__3029__AT2G14045.1 pep chromosome:v.1.0:3:18638179:18639911:1 gene:fgenesh2_kg.3__3029__AT2G14045.1 transcript:fgenesh2_kg.3__3029__AT2G14045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYKEEKEVKKEAFRKYLESSGVLDSLTKVLVSLYEQNDKPSSALEFIQQKLGGPSVSDYEKLQAEKSDLQIKYNELLAKHQETLRELNGVKSLHSRNSSKDDADRETLEAEHSTLVPPHH >fgenesh2_kg.3__302__AT3G03560.1 pep chromosome:v.1.0:3:1105385:1108951:-1 gene:fgenesh2_kg.3__302__AT3G03560.1 transcript:fgenesh2_kg.3__302__AT3G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNRSSESIKRHEIEKDTIASRKLEDSNAKLIQDPEEMALYAKVRSQEEEIHSLQERIAAACLKDMQLLNEKYGLERKCADLRVAIDEKQNESVTSALNELARRKGDLEENSKLAHDLKVTEDERYIFMTSLLGLLAEYGVWPRVANATAISSGIKHLHDQLQWKTKACNDRIRELSSIVENQPGTDFISKDNHDPRNSKSQASYGSTDRGNDYQTNEQLLPPMENVTRNPYHNVMQDTEGLRFNNQIGGGSQGIFQQPKRENFGYPLSSVAGKEMIREREEKAESSSMFDAYNGNEEFASHVYEEGPGIDGFQIIGDAIPGEKVLGCGFPVRGTTLCMFQWVRHLEDGTRQYIEGATHPEYVVTADDVDKLIAVECIPMDDQGRQGELVRLFANDQNKIRCDTEMQAEIDTYISRGQASFNVQLLMDSSESWETATVILKRSSYQIKTNTTEVISEKYSKELQIKVPCGFSTQFVLISYDGSSHPISTLNVRMRDTLVLTMRMLQSKALDERRKGRV >fgenesh2_kg.3__3030__AT2G14060.1 pep chromosome:v.1.0:3:18645832:18648890:-1 gene:fgenesh2_kg.3__3030__AT2G14060.1 transcript:fgenesh2_kg.3__3030__AT2G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine:carboxyl methyltransferase family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L0Z4] MKGGTGDHSYATNSHYQRSVFFEIQPMVIESVREMLVNVDFPGCIKVVDLGCSTGQNTVLAMSAIAYTILESYQQMSKNPPEIDCYLNDLPENDFNTTFKLIPSFQEKLKREAKGKCFVSGVPGSFYRRLLPRKSLHFVHSAFSIHWLSKIPNGLESNTKSIHIKYPYPPNVYKSYLNQFKNDFSCFLKMRSEETVHNGHMVLTFVGRKVSDTLSKDCFQVWSLLSDCLLDLASEGFVNKSVMESFNMPFYNPNEEEVREVILKEGSFDINKIEKFDHVVPYKIDREEEDEDQSLRLEAGQDASPNHCSLLILEMQLSNRCST >fgenesh2_kg.3__3031__AT2G14070.1 pep chromosome:v.1.0:3:18676572:18678035:-1 gene:fgenesh2_kg.3__3031__AT2G14070.1 transcript:fgenesh2_kg.3__3031__AT2G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L100] CLQRSLCKIQVISDNLSLSFSFSTICVKSLFLFYLAGSRCLGLFDLKDLFGIGRLYCHCFGRLLKVYSGKRESRSPKTYVKRSHLSDQNLVIKTFLLCAAATTRHTSWIVATAIAAVEARWNYPLRFFNKDVKARLRAIAVTSRPPSSASSSSSSSADLVKDNHPMPKSEASMERVMGLSCFGPTTVRF >fgenesh2_kg.3__3033__AT2G14110.1 pep chromosome:v.1.0:3:18683749:18685078:1 gene:fgenesh2_kg.3__3033__AT2G14110.1 transcript:fgenesh2_kg.3__3033__AT2G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEMVKDEAMQIMGMFQILPRLVVFDLDYTLWPFYCECRSKREMPSMYPQAKGILSALKEKGIEMAIASRSPTSDIANTFLDKLNIKPMFVAKEIYSSWSHKTEHFQKIHTRTGVPFTAMLFFDDEDRNIKSVSKMGVTSILVGDGVTLGAFRQGLTEFTQNHNSIEKNKQVWRDKYSGKPTSSESETDKD >fgenesh2_kg.3__3034__AT2G14120.2 pep chromosome:v.1.0:3:18685164:18691502:-1 gene:fgenesh2_kg.3__3034__AT2G14120.2 transcript:fgenesh2_kg.3__3034__AT2G14120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDDLPPSPASAVTPLGSSVIPIVNKLQDIFAQLGSQSTIALPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLRLQLVQTKPRSDGGSDEEWGEFLHHDPVKRIYDFSEIRREIEAETNRVSGENKGVSDIPIGLKIFSPNVLDISLVDLPGITKVPVGDQPTDIEARIRTMILTYIKESSCLILAVTPANTDLANSDALQIAGNADPDGHRTIGVITKLDIMDRGTDARNHLLGKTIPLRLGYVGVVNRSQEDILMNRSIKDALIAEEKFFLSRPAYSGLTDRLGVPQLAKKLNQVLVQHIKALLPNLKSRINNALFATAKEYESYGDITESRGGQGALLLSFITKYCEAYSSTLEGKSKEMSTSELSGGARILYIFQSVFVKSLEEVDPCEDLTADDIRTAIQNATGPRSALFVPDVPFEVLVRRQISRLLDPSLQCARFIFDELVKISHQCMMTELQRFPVLQKRMDEVIGNFLREGLEPSQAMIRDLIEMEMDYINTSHPNFIGGTKAVELAMQLVKSSRIPHPVARPRDTVEPEKTTSFASQIKTRSFLGRQANGIGVPTAADAERSAPAGNSSWSGFSSIFRGSDGQAAAENSLLNTPFSEAAQDVSQNLSTIYLKEPPTILRSSETHSEQEAVEIQITKLLLKSYYDIVRKNVEDLVPKTIMHFLVNYTKRELHNVFIEKLYRENLIEELLKEPDELAIKRKRTQETLRILQQANRTLDELPLEAESVERGYRIGSESKHEELPCTRRSRTETNGNGRLHM >fgenesh2_kg.3__3038__AT2G14170.1 pep chromosome:v.1.0:3:18726776:18731196:-1 gene:fgenesh2_kg.3__3038__AT2G14170.1 transcript:fgenesh2_kg.3__3038__AT2G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALDH6B2 [Source:UniProtKB/TrEMBL;Acc:D7L107] MLLRVSGNNLRPLRPQFLALRSSWLSTSPEKSTQPQMPPRVPNLIGGSFVDSQSSSFIDVINPATQEVVSKVPLTTNEEFKAAVSAAKQAFPSWRNTPITTRQRVMLKFQELIRKNMDKLAMNITTEQGKTLKDSHGDIFRGLEVVEHACGMATLQMGEYVPNVSNGVDTYSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFILKPAEKDPGASVILAELAMEAGLPDGVLNIVHGTNDTVNAICDDEDIRAVSFVGSNTAGMHIYARAAAKGKRIQSNMGAKNHGLVLPDANVDATLNALLAAGFGAAGQRCMALSTVVFVGDSKSWEDKLVERAKALKVTCGSEPDADLGPVISKQAKERICRLIQSGVDDGAKLLLDGRDIVVPGYEKGNFIGPTILSGVTPDMECYKEEIFGPVLVCMEASSFDEAISIINKNKYGNGAAIFTSSGAAARKFQMDIEAGQIGINVPIPVPLPFFSFTGNKASFAGDLNFYGKAGVDFFTQIKTVTQQWKDIPTSVSLAMPTSQKQ >fgenesh2_kg.3__303__AT3G03570.1 pep chromosome:v.1.0:3:1111239:1115010:1 gene:fgenesh2_kg.3__303__AT3G03570.1 transcript:fgenesh2_kg.3__303__AT3G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQISGNNIPLSEVYWSLVNKADKKFSKIRDLPFYERTRYENYFFKVFKVYTQLWKFQQENRQKLVEAGLKRWEIGEIASRIAQLYYGHYMRTSDAGYLSESYVFYEAILTREYFKEGLFQDLNIANKQLRFLARFLMVCLVLGRREMVHQLVDQFKRLIDECKRTFQETDFKEWKVVAQEIVRFLKSDTAFMNIRPLRYSLVLDPNLDAGTPRASRSLRLTDAILSSYYYNEVKYSELTLDSFRMLQCLEWEPSGSLYQSTGAKMGLNAPVGVSRINSQSMNDPTLPPNPRKAVLYRPSITHFLAVLATICEELPSHGILLLYLSASGKNGQISSSPLSARSATNVEENILRDFESHTIKQETDPSLQITPSGQSLRQISEDAVSTPCGLSFGSHGLTGSSYIYPSDLVPFTRKPLFIIIDSDSSTVFKNICGAEKGEPAALLLSPSHTPPLISADFSRQPSGSLFTIFLTSPVQAFCLLSGISASDMETDILTKAEKLLSSFMNEWASTLATSDTLHPVWSQILKDPFLRRLLLRFIFCRAVLALYTPVFNNKQNQPECFPSLPESLVPTAPAVQSAVLLMANVFGATSKFTLPQDITMLESF >fgenesh2_kg.3__3040__AT2G14247.1 pep chromosome:v.1.0:3:18839996:18840375:1 gene:fgenesh2_kg.3__3040__AT2G14247.1 transcript:fgenesh2_kg.3__3040__AT2G14247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAMLILSHPLISHKTMNQSPVKSTRVFDFLLPWKASDGEDRSAVGLGRLFGDPATIEKRFQEALELSCW >fgenesh2_kg.3__3047__AT2G14520.1 pep chromosome:v.1.0:3:18966565:18969310:-1 gene:fgenesh2_kg.3__3047__AT2G14520.1 transcript:fgenesh2_kg.3__3047__AT2G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L1T4] MAVEYECCGTDFFIHIGVIVLLVLFAGLMSGLTLGLMSMSLVDLEVLAKSGTPRDRLHAAKILPVVKNQHLLLCTLLICNAAAMETLPIFLDALVTAWGAILISVTLILLFGEIIPQSVCSHHGLAIGATMAPFVRVLVWICLPVAWPISKLLDFLLGHGHVALFRRAELKTLVDLHGNEASFKFFQAGKGGELTHDETTIIAGALELSEKMAKDAMTPISDTFVIDINAKLDRELMNLILEKGHSRVPVYYEQRTNIIGLVLVKNLLTINPDEEIQVKNVTIRRIPRVPETLPLYDILNEFQKGHSHMAVVVKQCDKIHPLHNNDAANETVNEVRVDVDNEKSPQETKLQRRTSLQKWKSFPNRANSFKAGSRSKRWSKDNDADILQINEHPLPKLDEEEDAVGIITMEDVIEELLQEEIFDETDHHFEDS >fgenesh2_kg.3__3048__AT2G14530.1 pep chromosome:v.1.0:3:19045364:19048377:1 gene:fgenesh2_kg.3__3048__AT2G14530.1 transcript:fgenesh2_kg.3__3048__AT2G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISPNKLSIFPLLSLLCFISIFLLLSLSKRASLSSLTTHRSATVFPPKSDGSLSPLSATCDFSDGSWIYDPNPRSTRYTSNCKEIFKGWNCIRNNKTNGLEISKWRWKPKDCDLPSFDPLKFLQTHRNTNIGFVGDSLNRNMFVSLFCMLKSVTGEVKKWRPAGADRGFTFLEYNLTIAYHRTNLLARYGRWSANANGGELESLGFKEGYRVDVDIPDSSWEKASSFHDILILNTGHWWWAPSKFDPIKSPMLFFEGGRPILPPIPPAAGLDQVLNNMVNFVEKTKRPGGIIFFRTQSPRHFEGGDWNQGGTCQRLQPLLPGKVEELFSVRNNGTNVEVRLVNQHLYSSLKSRSAFHVLDITRMSEYRADAHPATAGGKNHDDCMHWCLPGITDTWNDLFIATLHTIKG >fgenesh2_kg.3__3049__AT2G14540.1 pep chromosome:v.1.0:3:19049708:19055882:-1 gene:fgenesh2_kg.3__3049__AT2G14540.1 transcript:fgenesh2_kg.3__3049__AT2G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serpin family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L1U0] KNQELSTSEITDPSLSKTKKKQKMNMQEAMKNQNDVSMFLAGKVISAVAENSNFVFSPASINAVLTMTAATSDSKSLKSFILSFLRSSSTEEINAIFHELASVVLRDGSERGGPKIAAVNGVWMEQSLSCNPDWEDLFQKLFKASFTQVDFRNKAEEVREELTPTNTWASRHTNDLIKDLLPYGSVTSLTNWIYGNALYFKGAWEKEFDKSMTKEKPFHLLNDKSVSVPFMRSYKRQFIEAYDGFKVLKLPYRQSRDDTNRKFSMYLYLPDKKGELDNLLERMTSTPGFLDSHIPKYRVDVGDFRIPKFKIEFGFEASSVFNDVFELKVSLYQKALIEIDEEGTEAAAATAFVVCLTGSCAFEPEKIDFVAEHPFLFLIREDKTGTVLFAGQIFDPSEASSALDRP >fgenesh2_kg.3__304__AT3G03580.1 pep chromosome:v.1.0:3:1115060:1117909:-1 gene:fgenesh2_kg.3__304__AT3G03580.1 transcript:fgenesh2_kg.3__304__AT3G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L173] MQTRVSSAFISRALSSSSNLNELRRIHALVISLGLDGSDFFSGKLIDKYSHFRAPASSLSVFRRVSPAKNVYIWNSIIRAFSKNGWFPKALEFYGKLRESKVSPDKYTFPSVIKACAGLFDAEMGDLVYKQILEMGFESDLYVGNALVDMYSRMGLLSRARQVFDEMPVRDLVSWNSLISGYSSHGYYEEALEIYHELRNSWIVPDSFTVSSVLPAFANLLVVKQGQGLHGFTLKSGVNSVSVVNNGLLAMYLKFSRPTDARRVFDEMVVRDSVTYNTMICGYLKLEMVEESVKMFLENLDQFKPDILTVTSVLCACGHLRDLSLAKYIYNYMLRAGFVLESTVKNILIDVYAKCGDMITARDVFNSMECKDTVSWNSIISGYIQSGDLMEAMKLFKMMMIMEEQADHITYLMLISLSTRLADLKFGKGLHSNGIKSGIYIDLSVSNALIDMYAKCGEVGDSLKIFNSMGTLDTVTWNTVISACVRFGDFATGLQVTTQMRKNKVVPDMATFLVTLPMCASLAAKRLGKEIHCCLLRFGYESELQIGNALIEMYSKCGCLESSFRVFERMSRRDVVTWTGMIYAYGMYGEGEKALESFVDMEKSGIVPDSVVFIALIYACSHSGLVEKGLACFEKMKTHYKIDPMIEHYACVVDLLSRSQKISKAEEFIQAMPIEPDASIWASVLRACRTSGDMETAERVSRRIIELNPDDPGYSILASNAYAALRKWDKVSLIRKSVRDKHIKKNPGYSWIEIGKKVHVFCSGDDSAPQSEAIHKSLEILYSLMAKEGYIPDSREVSQNLEEEEEKRRLICGHSERLAIAFGLLNTEPGTPLQVMKNLRVCSDCHEVTKLISKIVGREILVRDANRFHLFKDGICSCKDRW >fgenesh2_kg.3__3051__AT2G14620.1 pep chromosome:v.1.0:3:19158376:19180803:1 gene:fgenesh2_kg.3__3051__AT2G14620.1 transcript:fgenesh2_kg.3__3051__AT2G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7L2M5] MTIINRSKPFVLLIGFFSSSLLLWVSQASVVSSGDFNKDFFVTWSPTHVNTSNDGRSRTLKLDQESGASFSSIHRFLFGQIDMKIKLIPGSSQGTVVAYYMSSNQPNRDEIDFEFLGNVNGQPYILQTNVYADGIDNREERIHLWFDPTKDFHTYSILWNIHQIVFMVDQIPIRLYRNHAEKGVAYPRLEPMSVQTSLWNGESWATRGGRDKIDWAKGPFVASFGDYKIDACVWKGNPSLCNGESNENWWNKNEFSSLTRVQKRWFKWVRKYHLIYDYCQDNGRFNNKLPKECSLPKY >fgenesh2_kg.3__3059__AT2G14690.1 pep chromosome:v.1.0:3:19340556:19342733:-1 gene:fgenesh2_kg.3__3059__AT2G14690.1 transcript:fgenesh2_kg.3__3059__AT2G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endo-1,4-beta-xylanase/ hydrolase, hydrolyzing O-glycosyl compounds [Source:UniProtKB/TrEMBL;Acc:D7L2P1] MKRFNNGFFQLVFFLISLPLLGSGLCMDPFSYDQSLQSECLMEPPQTTGNTVGQGSKEFKINESGGIRNVVERVDLQEGNIYSVSAWVKLRNESQRKVGMTFRGKNGINVFGGEVMAKRGCWSLLKGGITADFSGPIDILFKSDGLAASEISVQNVRMQRFNKTQWRLQQDQIIEKIRKNTVRFQMSFQNKSALKGSVISIEQVKPSFILGCAMNYRILESDSYKEWFVSRFRLTSFTNEMKWYATEAVRGQENYKLADSMMQLAAENGVLVKGHTVLWDDKYWQPNWVKTITDPEDLKNVTLNRINSVMKRYKGRLVGWDVMNENVHFNYFENMLGGNASAIVYSLASTIDPDIPLFLNEFNTVEYAKDRVVSPVNMVKRMQEIVSFPGNSNIKGGIGAQGHFAPIQPNLAYMRSALDTLGSLGFPVWLTEVDMDKFPDQVKYMEEILREAYSHPAVKAIILYGGPEVSGFNKLTLADKDFNNTDIGDLIDNLLREWKQEPVEIPIQHHEHNDEEDGPIIGFSPEISLLHGHYRVTVTNPTMKNLSTSFSLEVTKEMSHLQEVQLVLDA >fgenesh2_kg.3__305__AT3G03590.1 pep chromosome:v.1.0:3:1125609:1127329:-1 gene:fgenesh2_kg.3__305__AT3G03590.1 transcript:fgenesh2_kg.3__305__AT3G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAAMVFRGARSLLAPASRATSSLVSTGSTKKTAAKTKAKAKAKPKPKAKSESPAKKTPRSTGLFKVTPVSPVLAQFLGTGETTRTDAIKGIWTYIKSHDLQNPADKREIFCDETLKLIFEGKDKVGFLEISKLLSPHFVKTA >fgenesh2_kg.3__3061__AT2G14720.2 pep chromosome:v.1.0:3:19370556:19374863:-1 gene:fgenesh2_kg.3__3061__AT2G14720.2 transcript:fgenesh2_kg.3__3061__AT2G14720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:VSR-2 [Source:UniProtKB/TrEMBL;Acc:D7L2P8] MKQLLCYLPWLLLSLVVSPFSEARFVVEKNSLSVTSPESIKGTHDSAIGNFGIPQYGGSMAGTVVYPKENQKSCKEFSDFSISFKSQPGALPTFLLVDRGDCFFALKVWNAQKAGASAVLVADNVDEPLITMDTPEEDVSSAKYIENITIPSALVTKGFGEKLKKAISGGDMVNLNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMEFVKDFKGAAQILEKGGFTQFRPHYITWYCPHAFTLSRQCKSQCINKGRYCAPDPEQDFSSGYDGKDVVVENLRQLCVFKVANETGKPWVWWDYVTDFQIRCPMKEKKYNKDCAESVIKSLGIDSRKIDKCMGDPDADLDNPVLKEEQDAQVGKGTRGDVTILPTLVVNNRQYRGKLEKSAVLKALCSGFEESTEPAICLSTDMETNECLDNNGGCWEDKSANITACKDTFRGKVCVCPIVDGVRFKGDGYSHCEPSGPGRCTINNGGCWHEERDGHAFSACVDKDSVKCECPPGFKGDGVKKCEDINECKEKKTCQCPECSCKNTWGSYECSCSGDLLYMRDHDACISKTGSQVKSAWAAVWLIMLSLGLAAAGAYLVYKYRLRQYMDSEIRAIMAQYMPLDSQPEIPNHANDEHA >fgenesh2_kg.3__3066__AT2G14750.1 pep chromosome:v.1.0:3:19391141:19392717:1 gene:fgenesh2_kg.3__3066__AT2G14750.1 transcript:fgenesh2_kg.3__3066__AT2G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase [Source:UniProtKB/TrEMBL;Acc:D7L2Q0] MIAAGAKSLFGLAIASPKGLSDSNSLSNSRSAVVVRACVSMDGSQTLSHNKNGFIPELKSINGHTGQKQGPLSTVGNSTNIKWHECSVEKVDRQRLLDQKGCVIWVTGLSGSGKSTLACALNQMLYQKGKLCYILDGDNVRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGIICIASLISPYRTDRDACRNLLPEGDFVEVFMDVPLEVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEISLGREGSGTSPIEMAEKVVGYLDNKGYLQA >fgenesh2_kg.3__3067__AT2G14760.1 pep chromosome:v.1.0:3:19432764:19434543:-1 gene:fgenesh2_kg.3__3067__AT2G14760.1 transcript:fgenesh2_kg.3__3067__AT2G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix protein [Source:UniProtKB/TrEMBL;Acc:D7L2Q6] MEAMGEWSTGLGGIYTEEADFMNQLLASYEQPCGGSSSETTATLAAYHHQSSHWNGGFCFSQESSSYSGYCTVMPRQEEDNNGMEDATINTNLYLVGEETSECDVTEYSGKSILPLETVAENHDHSMLQPENSLTKTTDEKLFNPCESSKKRTRATSTDKNKRANKARRSQKGIEMSGDNENIGEEEYTEKAVGKRKTKPLKPQKTCCSDDESNGGDTFLSKEDGEDSKALNLNGKTRASRGAATDPQSLYARKRRERINERLRILQHLVPNGTKVHISTMLEEAVQYVKFLQLQIKLLSSDDLWMYAPIAYNGMDIGLDLKLNALTR >fgenesh2_kg.3__306__AT3G03600.1 pep chromosome:v.1.0:3:1128287:1129163:-1 gene:fgenesh2_kg.3__306__AT3G03600.1 transcript:fgenesh2_kg.3__306__AT3G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHAAVIQKLLNTGAHLGRRAAEHHFKQYAYGTRNGMTIIDSDKTLICLRSAAHFVANLAHMRGNIFFVNTNPLFDEIIELTSRRIQGDSYNHNRAMNLWKMGGFLTNSYSPKKFRSRHKKLCFGPTTMPDCVVVFDTERKSSVILEASKLQIPVVAIVDPNVPLEFFEKITYPVPARDSVKFVYLFCNVITKCFVAEQMKLGIKDGSKEDMMKDLAA >fgenesh2_kg.3__3071__AT2G14830.1 pep chromosome:v.1.0:3:19473160:19479460:1 gene:fgenesh2_kg.3__3071__AT2G14830.1 transcript:fgenesh2_kg.3__3071__AT2G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKATSSSSSPPEEVADETQDWILGAGSGWVEARTSCDHLNILSPDLLHLQTPDTPCSRTMFCFLFGWRKTSKCKSVVKQLQCRLKLLKNKKYAISSHLRNDIAQLLRIGERDRALHRAQQLFLDESLMSLYHLLLHFSDIILFNLSYIRRHRDLPNGINEAVSTLVFASARCGDLPELRALRILFGDRYGKHFVDTALNLLPCNRVNPQVIEKLSIITVSDDAKSKLLGEIAEEYNLRLEVLALEYTPEFHKQVKESEYVEEEKEVMGTDSAQPCASQKADSETEVYKFTLTDADTEEKQAQQSRSKALSDEDDCIEEEVVEKDQSVFRFIETEEEKKERKRSRRKSRSSSSSSSSPIAKDMECWRYYYKGKRSRQKKECGKCYHIVYNVFTMFPDQKESEEGEKSLKKAMHVHPKLPDYDQIVAHFTALRKQQQQQKHMRSR >fgenesh2_kg.3__3074__AT2G14850.1 pep chromosome:v.1.0:3:19523540:19525283:1 gene:fgenesh2_kg.3__3074__AT2G14850.1 transcript:fgenesh2_kg.3__3074__AT2G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDQCFSRLNTLEIKALIYQKIGYQRADTYFGQLGNFLTSRISKSEFDKLCSKTVGRENISLHNRLVRSILKNASVAKSPPPRYPKKSLYGDSAFPPSPRKCRSRKFRDRPSPLGPLGKPQSLTTTNDESMSKAQRLPMEVVSVEEGEEVEQMTGSPSVQSRSPLTAPLGVSIHLKSRARKSSFSSYNGINRDTCQSSGELPDTITLRARLERKLEMEGIKLSMDSANLLNSGLNAYMRRLIEPCLSLASQQKRAVSNVSMLDFRAAMELNPRVLGEEWPIHLEKICYCASEE >fgenesh2_kg.3__3075__AT2G14860.1 pep chromosome:v.1.0:3:19525373:19527532:-1 gene:fgenesh2_kg.3__3075__AT2G14860.1 transcript:fgenesh2_kg.3__3075__AT2G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALFRHVAVDTARLIRRNTAASTDRYGKTGVAQSRPYFRRAKETGVSPSPSLGFSSSSSASISKVGFVGWYLGMVKSRPVVTKSVTCSLIYIAADLSSQTISKTSSESYDLVRTARMGGYGLFVLGPTLHYWFNFMSRLFPKQDLITTFKKMAMGQAIYGPTMTVIFFSLNASLQGESGSDILARLKRDLLPAMFNGVMYWPLCDFITFRFFPVHLQPLVSNSFSYVWTIYMTYMANREKPVAISS >fgenesh2_kg.3__3076__AT2G14890.1 pep chromosome:v.1.0:3:19556075:19557379:1 gene:fgenesh2_kg.3__3076__AT2G14890.1 transcript:fgenesh2_kg.3__3076__AT2G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:arabinogalactan protein 9 [Source:Projected from Arabidopsis thaliana (AT2G14890) TAIR;Acc:AT2G14890] MARSFAIAVICIVLVAGVTGQAPTSPPTATPAPPTPTTPPPAATPPPVSAPPPVTTSPPPVTTAPPANPPPPVSSPPPASPPPATPPPVASPPPPVASPPPVATPPPAPLASPPAQVPAPAPTKKPDSPSPSPSSSPPIPSSDAPGPSTDSISPAPSPTDVNDQNGASKTVSSLVLGSVLVWFMI >fgenesh2_kg.3__3079__AT2G14910.1 pep chromosome:v.1.0:3:19562825:19565155:-1 gene:fgenesh2_kg.3__3079__AT2G14910.1 transcript:fgenesh2_kg.3__3079__AT2G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTISSLSLSLPQLLRKPTKPLPFLFLLPRFNRRFRSLTITSSSSNFSSNCGDDGFSLDDFTLHSDSRSPKKCVLSDLIQEIEPLDVSLIQKDVRVTTLDAMKRTISGMLGLLPSDRFQVHIESLWEPLSKLLVSSMMTGYTLRNAEYRLFLEKNLEMSGEDLESRTSENTEYDMEGTFPDEDTVSSKRDSITQSLSETIDEEGLGRVSSEAQEYIFRLQSQLSSVKKELQEMRRKNAALQMQQFVGEEKNDLLDYLRSLQPEKVAELSEPAAPEVKETIHSVVHGLLATLSPKMHSKFPASEVPPTETVKAKSDEDCAELVENTSLQFQPLISLTRDYLARLLFWCMLLGHYLRGLEYRMELMEVLSLTCDANGSENVA >fgenesh2_kg.3__307__AT3G03610.1 pep chromosome:v.1.0:3:1129391:1132424:1 gene:fgenesh2_kg.3__307__AT3G03610.1 transcript:fgenesh2_kg.3__307__AT3G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLRRRLHHGDVDGRKYERYDATDSETLSEPLLGSSSTDNSRDEYNEERTLEDIWEEERKRQQVHWTLIFSQLIAQWAQWIAKIVFGSGSLFGRFLLPTFGQIGTGGRLLPPPLSMLQEERLRNIKRRIEIPFDGSRMEHQDALRQLWRLAYPQRELPPLKSELWKEMGWQGTDPSTDFRGGGYISLENLIFFAKTYPESFQRLLHKQDGTRAEWEYPFAVAGINISFMLAQMLDLQSGKPSTIAGIRFLGFLEEDEMAFDNLYCIAFQMMDAQWLAKRASYMEFNDVLKSTRAQLERELALDDVSSIRDLPAFNLLYK >fgenesh2_kg.3__3080__AT2G14960.1 pep chromosome:v.1.0:3:19604655:19606905:-1 gene:fgenesh2_kg.3__3080__AT2G14960.1 transcript:fgenesh2_kg.3__3080__AT2G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3.1 [Source:UniProtKB/TrEMBL;Acc:D7L3H9] MAVDSNLSSPLGPPACEKDAKALRFIEEMTRNADTVQENLLAEILARNANTEYLRRFNLGGATDRDTFKTKIPVITYEDLQPEIQRIADGDRSPILSSHPISEFLTSSGTSAGERKLMPTIREELDRRQLLYSLLMPVMNLYVPGLDKGKGMYFLFVKSESKTPGGLPARPVLTSYYKSEHFRSRPYDPYNVYTSPNEAILCPDSFQSMYTQMLCGLLDRLSVLRVGAVFASGLLRAIRFLQLHWSRFANDIELGCLDSEITDPSIRQCMSGILKPDPVLAEFIRRECKSDNWERIITRIWPNTKYLDVIVTGAMAQYIPTLEYYSGGLPMACTMYASSECYFGLNLNPMSKPSEVSYTIMPNMAYFEFIPLGGTKAVELVDVKIGKEYELVVTTYAGLCRYRVGDILRVTGFHNSAPQFHFVRRKNVLLSIDSDKTDESELQKAVENASKILHEECGSRVAEYTSYADTSTIPGHYVLYWELLVRDGARQPSHETITRCCLEMEESLNSVYRQSRVADNSVGPLEIRVVRNGTFEELMDYAISRGASINQYKVPRCVNFTPIVELLDSRVVSAHFSPSLPHWTPERRRR >fgenesh2_kg.3__3081__AT2G15000.4 pep chromosome:v.1.0:3:19631638:19633226:-1 gene:fgenesh2_kg.3__3081__AT2G15000.4 transcript:fgenesh2_kg.3__3081__AT2G15000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRNAGSAARSFVSATARSPSLRSPTTALPRLRPPQSSLPGRRFTFSSPSRNLGALGCTQSFLPLYSVVAASQLTSHLNVNLRAFCELSNGTFQRTCPDR >fgenesh2_kg.3__3086__AT2G15020.1 pep chromosome:v.1.0:3:19648801:19650428:-1 gene:fgenesh2_kg.3__3086__AT2G15020.1 transcript:fgenesh2_kg.3__3086__AT2G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWSWICELPEAPEFSESDSHAVFQLAGDLTRSIKLRAERASGSDLESHSLTFKVVAEGFNLLKSSTIWVSDTCPLSSEKPFLPLVLQLLQELITHSPTSRAGACTKFEQLEIKPGPVSWVMDSHSPESFSSVFNLILLTRLFWLCVFDAPSEVGSFFFQHLLGPHVNALTCQHAPVLRTFLVSLGVDAELCIVRAASYALSKWMISKEIGLGNLGLKQLSSSLMPRHSLGFSYATEAHGLWILKGYFPILSMNVTNNSSNEVHNKIIKFPFVEPKEAVLRYALSHQQAEILVQFEYSVRFYENYIKVNARVDNIRIHVSKLGFNKGGVGVENQIADCYSEERYFPSRVRVWLGPELGSSHVSGLSLGKSTKNEERDIEVTRVLKGNFGKGKVAPRVKARARMSTKRKVKDWRIEQESEGNAAVFDAVLYDRESGQEVTTVMPKPNQEGLKNVFTKSGGMVFGRDAYGDEVGWRVGREMEGSVLKWRMGGKIWLTYWPNKLNTLFYETRCVEWCDEVDLPLLPTC >fgenesh2_kg.3__3087__AT2G15050.1 pep chromosome:v.1.0:3:19673601:19674166:1 gene:fgenesh2_kg.3__3087__AT2G15050.1 transcript:fgenesh2_kg.3__3087__AT2G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:D7L3I7] MAGLMKLECLVFVYMIAACPITAKAALSCGEVNSNLKPCTGYLTNGGITSPGPQCCNGVRKLNGMVLTTLDRRQACRCIKNAARAIGPALNAERAAAIPRRCGVRIPYNTKIRTTTRCNTSIC >fgenesh2_kg.3__3090__AT2G15090.1 pep chromosome:v.1.0:3:19705827:19707459:1 gene:fgenesh2_kg.3__3090__AT2G15090.1 transcript:fgenesh2_kg.3__3090__AT2G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7L3J1] MVFFKIFFISLMVGLAMKGSWINLEDLQNFFLHHIQNNLQTISLLSFLVGFVWILYMLTRPKPVYLVDFSCYLPPSHLKVSIQTLMGHARRAREAGMCWKNKESDHLVDFQEKILERSGLGQETYIPEGLQCFPLQQDMGASRKETEEVIFGALDNLFRNTGVKPDDIGILVVNSSTFNPTPSLASMIVNKYKLRDNIKSLNLGGMGCSAGVIAVDVAKGLLQVHRNTYAIVVSTENITQNLYLGKNKSMLVTNCLFRVGGAAVLLSNRSRDRKRAKYELVHTVRIHTGSDDRSFECATQEEDEDGIIGVTLTKNLPMVAARTLKINIATLGPLVLPLKEKLAFFITFVKKKYFKPELRNYTPDFKLAFEHFCIHAGGRALIDELEKNLKLSPLHVEASRMTLHRFGNTSSSSIWYELAYTEAKGRMKEGDRIWQIALGSGFKCNSSVWVALRNVKPSANSPWEDCMDRYPVEIDI >fgenesh2_kg.3__3092__AT2G15230.1 pep chromosome:v.1.0:3:19749047:19751805:1 gene:fgenesh2_kg.3__3092__AT2G15230.1 transcript:fgenesh2_kg.3__3092__AT2G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase [Source:UniProtKB/TrEMBL;Acc:D7L3J6] MKWLLVAVLTAFTIFSAVTQSHLLHGSPVNSLCADLIHPANYSCTEHTIQTKDGYILALQRVASLGPRLQYGPPVLLQHGLFMAGDVWFLNSPKESLGFVLADHGFDVWVGNVRGTRYSYGHVTLSETDKEFWDWSWQDLAMYDLAEMIQYLYSISNSKIFLVGHSQGTIMSFAALTQPHVAEMVEAAALLCPISYLDHVTAPLVERMVFMHLDQMVVALGLHQINFRSDMLVKLVDSLCEGHMDCTDFLTSITGTNCCFNASRIEYYLDYEPHPSSVKNIRHLFQMIRKGTFAQYDYGYLKNLRIYGMSKPPEFKLSLIPASLPMWMGYGGTDGLADVTDVEHTLAELPSRPELLYLEDYGHIDFVLGTSAKEDVYKHMIQFFRARRKSSSW >fgenesh2_kg.3__3093__AT2G15270.1 pep chromosome:v.1.0:3:19783083:19784547:1 gene:fgenesh2_kg.3__3093__AT2G15270.1 transcript:fgenesh2_kg.3__3093__AT2G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKPKQGGDTRLVVAATTASALMEAKKADHGGSSSIVEYKPPVMLEDEEDLEVKLRRILENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLTRMDIDYNKRLQMAEFTIRREEKLKAAEEKTSKKRLKRQKKKQKKQEKKRKPNTTEEQEKQPKEGQISSDEDCEDEEESVLEPLRPMFNIKFQENRA >fgenesh2_kg.3__3095__AT2G15280.1 pep chromosome:v.1.0:3:19787284:19789173:1 gene:fgenesh2_kg.3__3095__AT2G15280.1 transcript:fgenesh2_kg.3__3095__AT2G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:D7L3K1] MEESVHQSIRFGSVADLIMWKNRRVGFLLLGSTTLLWFLFEKCGYSFFPFVVNTQLLLVVILFLWAKSAILFNRPMPQLPNLEISEASVFMVADTLRVWINTALAVAREIYVGRNAKQLFRVSVVLWTVSFVGNFLNFLTILYLGVVLSLLIPILYERYQDHIDEKLSLTHRVVQTQYRKIDERLLQKIIAKPTNKIKKMQ >fgenesh2_kg.3__3096__AT2G15290.1 pep chromosome:v.1.0:3:19789758:19791674:-1 gene:fgenesh2_kg.3__3096__AT2G15290.1 transcript:fgenesh2_kg.3__3096__AT2G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATTIC21/CIA5/TIC21 [Source:UniProtKB/TrEMBL;Acc:D7L3K2] MQSLLLPPASSPGVSAVALRPGFQHPCNHQSLSTRSLPLFNPFLFAPKRKATISSYQSPPSLSVYGFQIGGSKPSFAPSTVAFYSPTSPSSVSGDNEVDKAKLAQVAKRLEKTSRYFKRLGSIGFWGQLVSTVVAAVILSFSIVVTGKPTSPATFYATASGIAASFVSVFWSFGYIRLSERLRRTSIDPAKVTPPRADVVKGLRSGIMVNILGMGAALLGMQATVGFLVAKALTTSANPFYQGVSQGYSPVLALDVFLVQASANTLLSHFLGLVCSLELLRSVTVPNSESVVVPKVA >fgenesh2_kg.3__3098__AT3G30520.1 pep chromosome:v.1.0:3:19800980:19802392:1 gene:fgenesh2_kg.3__3098__AT3G30520.1 transcript:fgenesh2_kg.3__3098__AT3G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L3K4] KWKALMHKTGITVNPDTCMIHASDAWWTQQEFGCKLTKSLNRKPPEFWDVMQRCFVLHDVQSQSQLHEHAVDEEGHDDSYTDNGDFAQTKVPETQEEEEVYRVTIDDDETFQHSASRSQQRGRQNLQSTTRRGSSAHRSGGSSRVSIGSDTIAGYREFQRQSFQQLRPGGFDQDDYDEFKKAEAIFIALNLPKHTRFYWACINALKELVFWPTTVISYDWCFTEQPRCTKTVRFRPFIWECTFRRYIIWKSIFWGGEHHPMLHSGEQHKMLHNGEHHQLLHSGEHHQILHSGSTGTTPTNVQYGFSVGGQGDGATVETSPIIQRTSSSGLGFTNYFETGQMPQTPRPGGLFNIWGTPKGSNASHQSD >fgenesh2_kg.3__309__AT3G03640.1 pep chromosome:v.1.0:3:1141694:1144847:1 gene:fgenesh2_kg.3__309__AT3G03640.1 transcript:fgenesh2_kg.3__309__AT3G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAILFLGLFLAVITSPITVDGGSVCPQSSTFGRGSFPDGFLFGAATSAFQHEGAPEEGGRGSSIWDSFTLKHSESNNNLDGRLGVDFYHQYKEDVQLLKKLNMDAFKFSISWSRIFPHGKKDKGVSETGVKFYNDLINELIANGVTPLVTLFQWDVPQALEDEYGGFLSDRILEDFRDFAQFAFNEYGDRVKHWVTINEPYEFSHGGYETGEKAPGRCSKYVNEKCVAGKSGHEVYTVSHNLLLAHAEAVEEFRKCGKCKGGKIGIVQSPMWFEPYDKKSSSSPSEEIVKRAMDFTLGWHMEPITHGDYPQTMKDVVGGRLPSFTPEQKEKLKGSYDFVGINYFTSTFVSHLDNVNPEKPSWEADSRVQLHSNNVDGFKIGSQPATAKYPVCADGLRKVLKYIKENYNDPEIIVTGNGYKEKLEEKDVLPDALSDSNRKYYHMRHLMALHGAVCEDKVNVKGYFVWSLMDGLEWEDEYKTRSGLYYVDYGHNLGRHEKQSAKWLSKLLEKVPDTIQSNVDSDSRKEL >fgenesh2_kg.3__30__AT3G01860.1 pep chromosome:v.1.0:3:88172:89964:-1 gene:fgenesh2_kg.3__30__AT3G01860.1 transcript:fgenesh2_kg.3__30__AT3G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSSSQSKSSSASDSVVKLAAFISKPDTTSPLKTDVMITSSTINGIPPTDSGRPDSGSKEEMIFFDSRGWLDSDCDDDFMSVDGEFTPSRGTTPVHHKFCDQTPQGEEKNHEEEEPSPTDNKKRLLELFKETQDEDEEEEDYVAEGKARTCLWIRTPTRSSAPATPYNNNKERQQKFKRVRSAAHGRCVPRLVSCSSFTDRRRNMMSGHAPTDVQR >fgenesh2_kg.3__3100__AT2G15300.1 pep chromosome:v.1.0:3:19821336:19825007:1 gene:fgenesh2_kg.3__3100__AT2G15300.1 transcript:fgenesh2_kg.3__3100__AT2G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIRSNLFFHLFFLLLPTLIQALNTDGVALLSFKYSILNDPLSVLRNWNYDDETPCSWTGVTCTELGTPNTPDMLRVTSLVLPNKQLLGSVSPDLFSILHLRILDLSNNFFHGSLSDSVSNASELRVLSLGNNKVSGELPGSISNVASLQLLNLSANAFTGKIPPNLPLLKNLTVISLAKNSFSGDIPSGFESVQVLDVSSNLLDGSLPPDFGGTSLHYLNLSQNQIFGMISPSFTEKFPASAILDLSFNNLTGPIPSTQPLLNQKTESFSGNIGLCGQPLNTLCSIPSTLSDPPNISETTSPAIAVMPKTPTPTTPSIESPNQTAKSKLKPSKIVGITLADIAALSLIAMFILYIYQLKKRRSYQEYSTFNVLQKCLEKNDTLSVKKSKHNLAAASEFTKSPPAKMGCGSWIIRGYDETTSASSESDVENQKPIEAFNRTSGGRLKHNTETQLVTVDGETQLELDTLLKASAYVLGTSRSDGIVYKAVLENGEAFAVRRIGAESCPAAKFKEFEKEVQGIAKLRHPNLVRVRGFVWGKEEKLLISDYVPNGSLPLSSISAKSGSSSHNPLSFEARLKIARGIARGIAYIHEKKHVHGNIKANNILLDSEFEPIITDMGLDRIMAPAHSLTAGPVSSPQHHPPEWSTSQKPNHKWDVYSFGVIVLELLTGRVFSVDRDLVRDSETDEKSWFLRLVDGTIRDDVAHREDEAVACLKLGYGCVSSLPQKRPSMKEVVQVLEKMFV >fgenesh2_kg.3__3101__AT2G15310.1 pep chromosome:v.1.0:3:19830078:19831822:1 gene:fgenesh2_kg.3__3101__AT2G15310.1 transcript:fgenesh2_kg.3__3101__AT2G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor B1A [Source:UniProtKB/TrEMBL;Acc:D7L3K8] MGARFSRIAKRFLPKSKVRILMVGLDGSGKTTILYKLKLGEVVTTVPTIGFNLETVEYKGINFTVWDIGGQEKIRKLWRHYFQNAQGLIFVVDSSDSERISEARNELHRILTDNELEGACVLVFANKQDSRNALPVAEVANKLGLHSLSKRCWLIQGTSAISGQGLYEGLEWLSTTIPIKPERSTSVGSFRNNSYERKLVRGPRY >fgenesh2_kg.3__3103__AT2G15340.1 pep chromosome:v.1.0:3:19857395:19857904:1 gene:fgenesh2_kg.3__3103__AT2G15340.1 transcript:fgenesh2_kg.3__3103__AT2G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGSQKKFFLLVLAIACLSSSCAEAWSWSSSNGNGWGWGSDGSSTSTSGPGSNTGDSNSGAPLGDLGDGVGDLTALAGVTGVIIKKKNSSDFHIYYNVEENCLL >fgenesh2_kg.3__3107__AT2G15390.2 pep chromosome:v.1.0:3:19907474:19909350:-1 gene:fgenesh2_kg.3__3107__AT2G15390.2 transcript:fgenesh2_kg.3__3107__AT2G15390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHIFQISGEVIKALGLKTKILITVVFSTLLILSVMLLSFSNNFNNKLFAATINDESETPGDKLIGGLLTADFDEGSCLSRYHKNFLYRKPSPYKPSEYLVSKLRSYEMIHKRCGPGTKAYKEATKHLSHDESYNASRSDGECRYVVWLADYGLGNRLLTLASVFLYALLTDRVILVDNRKDIGDLLCEPFPGTSWLLPLDFPLMKYTYGYNKDYPRCYGTMEENHSINSTSIPPHLYLHNLHDSRDSDKMFFCQKDQSLIDKVPWLIFRANVYFVPSLWFNPTFQAELTKLFPQKETVFHHLARYLFHPKNQVWDIVTKYYHDHLSKAEERLGIQIRVFRDQGGYYQHVMDQVISCTQREKLLPELATQEESKVNISNTPKLKAVLVTSLSPEYSKKLENMFSERSNLTGEIIEVYQPSGERYQQTDKKQHDQKALAEMYLLSLTDNIVTSSRSTFGYVAYSLGALKPWLLYLPNDLKAPDPPCVRSTSMEPCFLTPPTHGCDADLGTESGKVVPFVRYCEDIWGLKLYDEL >fgenesh2_kg.3__310__AT3G03650.1 pep chromosome:v.1.0:3:1144824:1147163:-1 gene:fgenesh2_kg.3__310__AT3G03650.1 transcript:fgenesh2_kg.3__310__AT3G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERSNSRYLGVIITRKSIIFLSISILTVLSWFLIFSSTNPNRVLDYISVSESTDVPLIIIKNSNTSPQNNAISPNPQNREGAQTEGPDNENRGGTKTESSMNQNRVKTLRCIQKVSPSRRPLKVYMYDMSPEFHFGLLGWKPDRNDVVWPDIRVIVPHHPGGLNLQHSVEYWLTLDLLFSELPEDSRSSRAAVRVKNSSEADVVFVPFFSSLSYNRFSKVNQKQKKSQDKELQVNVVKYVTSQKEWKISGGKDHVIMAHHPNSMSTARHKLYPAMFVVADFGRYSPHVANIDKDIVAPYKHLVPSYANDTSGFDGRPILLYFQGAIYRKAGGFVRQELYKDVHFSFGSVRNHGITKAGEGMRSSKFCLNIAGDTPSSNRLFDAIASHCIPVIISDDIELPYEDVLNYNEFCLFVRSSDALKKGFLMGLVKSIGRDEYNKMWLRLKEVERYFDLRFPTKDDEGDYAVQMIWEAVARKAPLVKMKVHRFQRYTKPF >fgenesh2_kg.3__3110__AT2G15430.1 pep chromosome:v.1.0:3:19918364:19920161:1 gene:fgenesh2_kg.3__3110__AT2G15430.1 transcript:fgenesh2_kg.3__3110__AT2G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBP36A [Source:UniProtKB/TrEMBL;Acc:D7L3L6] MDGATYQRFPKITIRELKDDYAKFELRETDVSMANALRRVMISEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSERAMSMRFSRDCDACDGDGQCEFCSVEFRLSAKCVTDQTLDVTSRDLYSADPTVTPVDFTIDSSASDSSEQKGIIIVKLRRGQELKLRAIARKGIGKDHAKWSPAATVTFMYEPDIIINEDMMDTLSDEEKIDLIESSPTKVFGIDPVTRQVVVVDPEAYTYDEEVIKKAEAMGKPGLIEIHPKDDSFIFTVESTGAVKASQLVLNAIDILKQKLDAVRLSDDTVEADDQFGELGAHMRGG >fgenesh2_kg.3__3116__AT2G15560.1 pep chromosome:v.1.0:3:20053617:20055710:-1 gene:fgenesh2_kg.3__3116__AT2G15560.1 transcript:fgenesh2_kg.3__3116__AT2G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATQRHSSTDGPMAILWDMENCPVPSDVRPEDVASNIRMAIQLHPVISGPVVNFSAYGDFNAFPRRVREGCQRTGVRLIDVPNGRKDASDKAILIDMFLFVLDNKPPATIILVSGDVDFAPALHILGQRGYTVILVIPSSVYVNSALSNAGRFVWDWHSIVHGEGFVPLRKPRVVPYLMGCNISDNSNMDGMNEDETILYRGNCYSNPRESSLMVSKFHHEYSSSAMSCWPSNSGESLAYPPPGHLESTMWVAPGDLNGLKGQLVKLLELSGGCIPLMRIPSEYQRNFSKPLFVSDYGVHKLMDLFKKMSDVIAVDGKGNKRIVYLRNSKPNIISPSPPVVLLRREKKGKEPNGETTNGGISSDEFSDTGSVQSKRNLEEFKFELQDILVSYCCQVQMDCFEAIYKLRYKRPLPYRKMGVNHLEQLFDKFRDVVAIYEDPATGRKLISPV >fgenesh2_kg.3__3117__AT2G15570.1 pep chromosome:v.1.0:3:20067062:20072962:-1 gene:fgenesh2_kg.3__3117__AT2G15570.1 transcript:fgenesh2_kg.3__3117__AT2G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSSSSSSICFNSTRSHTARLISSPSRLFPVTSFSPRALLLSDRRSLLSSSASRHRLFPLCVHDSRAAEVTERSWEDSVLKSETPVLVEFYTSWCGPCRMVHRIIDEIALDYAGKLNCYVLNADNDLPVAEEYEIKAVPVVLIFKNGEKRESIMGTMPKEFYISAIERVLNS >fgenesh2_kg.3__3118__AT2G15580.1 pep chromosome:v.1.0:3:20077560:20078741:1 gene:fgenesh2_kg.3__3118__AT2G15580.1 transcript:fgenesh2_kg.3__3118__AT2G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7L4D8] MAGMLPGVECARRRRFHGGAPPIESTNTAVAAAAGHVWTRRPSFSLYTTNHESHQAHVSFSERSVRNKSYGEDNDEKLDGAAKEAKKRLNERLRIPRRTRQNGKDKGNKLEQGKGKPPGALPTEVVGLKKSRGRLIEWFKWRVREQQDCAICLDQFKKGETLVHLPCAHKFHSLCLLPWLDTNVYCPYCRTDIWN >fgenesh2_kg.3__3120__AT2G15590.2 pep chromosome:v.1.0:3:20089303:20089970:1 gene:fgenesh2_kg.3__3120__AT2G15590.2 transcript:fgenesh2_kg.3__3120__AT2G15590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHAQQPPRPLMKQHSWSPDMNREEAWLRKKKKRPLDLLPRSKSVTNDDIEELKGCFELGFGFETESPDLNPRLSLTIPALDLYCAVHRQYSNHLSRTSSFASEHEVSNSNSTTTIVDKGDDRKTMKQKLKQWAKVVGFSVRHSSGKPKLMSEE >fgenesh2_kg.3__3123__AT2G15630.1 pep chromosome:v.1.0:3:20149990:20151861:1 gene:fgenesh2_kg.3__3123__AT2G15630.1 transcript:fgenesh2_kg.3__3123__AT2G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L4E9] MRQITFPCILRHRISILSGAGYYPTAARLSSFAQTSTTTESQLPPITSEVLLESIKSSQWHFIEHVTDKLIPSLVSTTLLSLVKTPDLAFNFVNHIDLRCLDFQTQCLAIAVVSKLSSPKSVTQLLKEVVSTRKNSVRDLFDELVLAHDRLQTKSTILFDFMVRFYCQLRMVDEAIECFYLMKEKGFDPKTETCNHILSLLSRLNRIENAWVFYADMYRMEIKSNVYTFNIMINVLCKEGKLKKAKGFLGIMESFGIKPTIVTYNTLVQGYSLRGRIEGARMIISEMKSKGFQPDLQTYNPILSWMCNEGRASEVLREMKGIGLVPDSVSYNILIRGCSNNGDLETAFAYRDEMVKQGMVPTFYTYNTLIHGLFMENKIEAAEILIREIREKGIVLDSVTYNIVINGYCQHGDAKKAFALHDEMMTDGIKPTQFTYTSLIYVLCRRNKTREADELFEKVVGKGMKPDLVMMNTLMDGHCATGNMDRAFSLLKEMDRMNIDPDDVTYNCLMRGLCGEGKFEEARELMGEMKRRGIKPDHISYNTLISGYSKKGDTKHAFIVRDEMLSLGFNPTLLTYNALLKGLSKNQDGELAEELLREMKSEGIVPNDSSFCSVIEAMSKSDN >fgenesh2_kg.3__3125__AT2G15690.1 pep chromosome:v.1.0:3:20206801:20209511:-1 gene:fgenesh2_kg.3__3125__AT2G15690.1 transcript:fgenesh2_kg.3__3125__AT2G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMAIRCARTQKIVTIGSLLHLRSSFPRLSSQFHSSVTLNSIPIKNLSTSAATNDYYQNPQSGSPSQQQRPYPPQSFDSQSYQNQRVPQNPNQWTPQHGGQNPQHGGHRPQYGGQRPQYGGQRPQYGGAGNNYQNQNVQQSHQSQYYTPQQQQQQQPPRSSNQISNQMNEVAPPSVEEVMSLCQRRLYKDAIELLDKGAMPDRECFVLLFESCANLKSLEHSKKVHDHFLQSKFRGDPKLNNMVISMFGECRSVTDAKRVFDHMVDKDMDSWHLMMRAYSDNGMGDDALHLFEEMTKQGLKPNEETFLTVFLACATVGGIKEAFLHFDSMRNEHGISPKTEHYLGVLGVLGKCGHLIEAEQYIRDLPFEPTADFWEAMRNYARLHGDIDLEDYMEELMVDLDSSKAVTNKIPTPQPKSFKETNMVTSKSRILEFRNLTFYKDEAKEMAAKKGVVYVPDTRFVLHDIDQEAKEQALLYHSERLAIAYGIICTPPRKTLTIIKNLRVCGDCHNFIKIMSKIIGRELIVRDNKRFHHFKDGKCSCGDYW >fgenesh2_kg.3__3127__AT2G15730.1 pep chromosome:v.1.0:3:20236439:20239049:1 gene:fgenesh2_kg.3__3127__AT2G15730.1 transcript:fgenesh2_kg.3__3127__AT2G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEHSLIPKDSFVFKLPKKSPLVLRTVVLLFVMVCTVYICSICLKQIGVVPSAGFLNVEVFERQCPEPNIEPWDIPYVHYPKPKTYSREECSCNPVRYFAILSMQRSGSGWFETLLNNHTNISSNGEIFSVKDRRANVTTIFETLDKVYNLDWLSSASKNECTSAVGLKWMLNQGLMKHHEEIVEYFKTRGVSAIFLFRRNLLRRMISVLANSYDRDAKPLHGTHKSHVHSPKEAEILARYKPLINTTLLIPDLKQVQEMTSKALAYFNTTRHIFLYYEDVVKNRTKLDDVQEFLKVPKLDLKSRQVKIHHGPLSKHVQNWEEVQTTLKGTGFKNFLLEDYRR >fgenesh2_kg.3__3128__AT2G15760.1 pep chromosome:v.1.0:3:20263579:20264778:-1 gene:fgenesh2_kg.3__3128__AT2G15760.1 transcript:fgenesh2_kg.3__3128__AT2G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein [Source:UniProtKB/TrEMBL;Acc:D7L4F9] MEIEVAVASAELNFDSTTSSPYITAPSSPTRFGNNNVFFFSAPTSPSPSTSSNIPYDWDDQPRTPKKRSASDFEDDFEFNFSGQLEKTSFSAADELFDGGKIRPLGPPLTPTVSSPRSRDLEIEVSDDQKDRGRDRSPGSSSSRYDRKGSRSMSPLRVSDIMVDEEEEVQSTKLVASNTSNQKSSVFLSAILFPGRAYKKWKLKDLLLFRSASDGRPVPTKESLNRYDILTKKEAEDVKNSSIRSRESCESSVSRSRRRNGAVVSAHEMHYTENRAVSEELKRKTFLPYKQGWLGCLGFNPAVNEIARVGSLSRASS >fgenesh2_kg.3__3129__AT2G15780.1 pep chromosome:v.1.0:3:20297811:20299040:-1 gene:fgenesh2_kg.3__3129__AT2G15780.1 transcript:fgenesh2_kg.3__3129__AT2G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSRFLNVFLVLVTIASIFSTFAEANRGFGWGWGEHSNNSSGSGSNSRSGWGWGWGWGQGSNYSSGSGSTPGSGWGWGSSRNGSGWGWGWGGVPNNTHNSGSGGSGWGWGMGPNNNYSSGSGGSGSGWEYGGHSKNYNATYNGPRKIIVGGDKEWNYGVNYAEWASKTAPFFLNDILVFKYNPPAPFTHSVYLLPNPSSYEKCDVKKGKMIASPKQGAGKGFEFVLKQMRPYYISCGEHDGAHCNNGTMKFTVMPMLPRW >fgenesh2_kg.3__312__AT3G03660.1 pep chromosome:v.1.0:3:1151757:1154159:-1 gene:fgenesh2_kg.3__312__AT3G03660.1 transcript:fgenesh2_kg.3__312__AT3G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEQTPQSPTGHSRSPSSSASGSTSAEPVRSRWSPKPEQILILESIFHSGMVNPPKEETVRIRKMLEKFGAVGDANVFYWFQNRRSRSRRRQRQLQAAAAAAAATTNTCDQTMMVSNSLPHHSGSDLGLGGCSTSSNYLFASSSSSYGGGCDNQSNSGMENLLTMSGQMSYHEVNHHHYQNHSSNVASILCPSDQNSNFHYQQGAITVFINGVPTEVTRGGIDIKATFGEDLVLVHSSGVPLPTDEFGFLMHSLQHGEAYFLVPRQI >fgenesh2_kg.3__3133__AT2G15860.1 pep chromosome:v.1.0:3:20386247:20389909:-1 gene:fgenesh2_kg.3__3133__AT2G15860.1 transcript:fgenesh2_kg.3__3133__AT2G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKQMHEEKSSPVKGEEPEIEKLTVADTDAPPKSTGGWGWGFSGFSVLSDLQKAAEEISRNAAAVAEKAAKSIADMGEVDEDSESSAKEEEKTEEADTEQDSDDENAKLKKSALARLEGASEESLLSQGLKVFDDSVESFTSGAWQAFGNALKGGTSLVQKLENSVEQGSSPREAGSGAPSLLETGKALTAKGMQVLEFVGKETMDLLITETGIGAEKDRVDFKDQVLEEVTFDRCFYIYGGPEQLEELEALASHYTLLFNRKKGKLSPDQKSLYDGKLKQIQQLFSFADEMSGSKAESDKGKKIDIKTEGNDDDMKNLHNSSVSKAADMATGFTNALAGLNVNDMIQRTGGRLESLHSEGVHRLSEMCCFAVTHLLILSKSMISHANKVQDEDTEALKIEWPEDPTEKAKLIKGKAESMTGYVEAVSNSFITGISDVSETYSAAIKGVAAADSKDDLLKTSTMQEKASTFNDSLRSDQTTAITKIQEGLQYLSYVVISTSMPSA >fgenesh2_kg.3__3135__AT5G06010.1 pep chromosome:v.1.0:3:20430852:20431169:1 gene:fgenesh2_kg.3__3135__AT5G06010.1 transcript:fgenesh2_kg.3__3135__AT5G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKDSKGSSTTDLKEVIVATPQTQQQQQSPQLIRNEEKIAMLLETYGIMTVPGDLANKRNFAFETFRWDHKNNHPKA >fgenesh2_kg.3__3136__AT5G06020.1 pep chromosome:v.1.0:3:20433093:20433245:1 gene:fgenesh2_kg.3__3136__AT5G06020.1 transcript:fgenesh2_kg.3__3136__AT5G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L588] GEPFPTRGPLTTITVRNNNDYLLGIHRKSKDDISVSTFSKKVNYMVGNFM >fgenesh2_kg.3__3137__AT2G15900.1 pep chromosome:v.1.0:3:20448447:20453871:1 gene:fgenesh2_kg.3__3137__AT2G15900.1 transcript:fgenesh2_kg.3__3137__AT2G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L592] MKAMETIQDLIEEAKVRAVWWALCIFSVTYFLSHTSKNMLMNLPIAILGLVGVRIFLNQVEFTWKVVSTPRKSQLSYLEKKQLSVNDARLSGIPPPPRWKKKIDSPVVEAAINDFIDKILNDFVVNLWYSLITPDKEAPELIRAVIMDALGEISVRVKEINIVDLLTRDIVDLIGDHLESFRRNQAAIGIDVMKTLSSEERDERLKYHLMASGELYPALVSPESEYKVLQKIVAGILSVVLRPREAQCPLVRTIAREIVTSLVIQPLLNLACPERINEVIEIVINLIKEGNFEQFTGEEQNVNSAPLSAFDSQAKSMNFTKAIEQKSPNINDRHPDLHVQQHSADWARLLEVATQRRTEVLTPENLENMWTKGRNYQKKEYKKSLKKGSSTGAKENAVAQLPPKVSIDKQSQAQMTEEFSTSSLHDGGHQIYEADVRKDSRSDGNKNRLKRSNSTSDLNLRPETSLALLGVSEGPLITEFYTTDFIKHNDNYISDNKSQSIVLHKEGQHCLKLKCRVLGAYFEKQGSKSFAVYSIAVTDVENKTWFVKRRYSNFERLHRQLKEIPNYNLQLPPKRIFSSSTEDAFVHRRCIQLDKYLQDLLCIANVAEQHEVWDFLSAASKNYSFGKSSSVMKTLAVNVDDAMDDIVRSFKGVSDGLMRKVVGSPLEEHDHAPARHLSWSVNEISTQLSRESATESMHSSISDTEDIDKLGENTQGEGRFDSEANGWHSDNELDSKYVPPRVVRRLGEPESSLSEKENDFKAKSQVRGFTDLQHADPLTALVQNPHGMPPEWMPPNVSVPILNLVDKVFQLNRRGWLRRQVFWISKQILQLVMEDAVDDLLLREICWLRNEDTVAQGIRWAQDILWPNGVFFTRVNDSQEELDETDPSEKTFQIAGQLGGMKVAKPSSFEQQLEAARRASEIKKFLFDGAPTALVSLVGHKQYRRCARDIFYFTQSNVCIKQLTFAILELLLRSVFPELQDLLRDIRENPHCRSE >fgenesh2_kg.3__313__AT3G03670.1 pep chromosome:v.1.0:3:1169135:1170665:-1 gene:fgenesh2_kg.3__313__AT3G03670.1 transcript:fgenesh2_kg.3__313__AT3G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7L184] MKIAKFSVLLLILFIFPVALAQLKFGFYKESCPDAETIVQNLVRQRFGSDPTITAALTRMHFHDCFVQGCDASLLIDQTTSQSSEKTAGPNGSVRGFELIDEIKTALEAQCPSKVSCSDIVTLATRDSVFLGGGPNYTVPTGRRDGFVSNPEDANRILPPPFISVEGLLSFFGNKGMNVFDAVALLGAHTVGVASCGNFIDRATNFQGTGLPDPSMDPFLAGRLRDTCAVPGGFAALDQSMPVRPVSFDNLFFGQIRERKGILLIDQLIATDPATSGVVFQYAANNELFKRQFAIAMVKMGALDVLTGSAGEIRTNCRAFN >fgenesh2_kg.3__3140__AT2G15960.1 pep chromosome:v.1.0:3:20473313:20473645:1 gene:fgenesh2_kg.3__3140__AT2G15960.1 transcript:fgenesh2_kg.3__3140__AT2G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMEVWVGEMKKMSEKINTRNPLMQRNKTSSVVSKQQQGSEEERGLKQKTREKDEAATMSELTVCLLMDRFVPW >fgenesh2_kg.3__3143__AT2G20280.1 pep chromosome:v.1.0:3:20506361:20508821:1 gene:fgenesh2_kg.3__3143__AT2G20280.1 transcript:fgenesh2_kg.3__3143__AT2G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7L597] MPPKQQPKADLAKKQKQVEDKTFGLKNKNKSKNVQKYVQSLKQSVQPKPDATKAAAKKKKEEEKAREQELNELFKVAISQPKVPVGVDPKSILCEFFKAGQCQKGFKCKFSHDLNIQRKGEKIDIYSDTRDEDGDMDEWDQETLEKVVESKKNEYNQNKPTDIVCKYFLDAVEKKQYGWFWSCPNGGKECHYRHALPPGYVLKSQMKALLEEESSKKLAVEDEIENERAKLQTATQMTPALFMEWKRKKIAERDAGLAASQAERAKNDRMSGRELFLSNASLFVDDAEACEEYEREREQEETEQKAKNKETEAGTSKSSGDAEQSSKEVNEEEEEDEDDDDDDLDMDELDELEASLSKTSIQIREPNNEGSS >fgenesh2_kg.3__3148__AT2G20230.1 pep chromosome:v.1.0:3:20523856:20526044:-1 gene:fgenesh2_kg.3__3148__AT2G20230.1 transcript:fgenesh2_kg.3__3148__AT2G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNCCHVSFASTLKILNCVQAFIGVSIIIYSIWMLHEYNRHLPVDPPPAASSSSGTEIATSISEPLKNPIEFMASIVLGSNGGDHGFNLRSLDLPAPWFIYSFMAVGILVCIVTFIGFIAAEAINGCCLCFYSILKTLLILLEAALVAYIAIDRHWEKDLPYDPTGELSSLRAFIEENIDICKWVGIAVVAVQLLSLLLAMVLRAMVSTPKPELDEEEDYENPRSRTWDPLLGSQGNQAPAGSSKIENWSSRIRERYGLNQSPPVNPKG >fgenesh2_kg.3__3149__AT2G20210.1 pep chromosome:v.1.0:3:20529901:20533502:1 gene:fgenesh2_kg.3__3149__AT2G20210.1 transcript:fgenesh2_kg.3__3149__AT2G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7L5A3] MTKAPSLAFLCIQSLKLQLLDSDNPIPDLYELPSELLDAVIAHLPALALQNFQTNMPFNCLDDYESGDDCLINGRKRSSRNDPLGSSWKMLFKLRWPDFVDRVESPADWQQLYWEKHLQNCVDEAAEVALRPTFSGRIRSINVSDNILRYICHEEHMSCQKCVCKELSFHFQTFGPYLRCLRLLNVLCVTETSELLMTSKLQSLVLRWIRSEKHVEPLCKLLIQTRDTLTSLEFIHCKLSSSSISAICASLHEKGIHTTGMQRFCIKTSSIEIDPLAAPSAFVSFLMSVRTLHSLHFCDSNLDRHIARMVFSTLLDSSSNLSSLDLSENNISGWLSTFSCRSVVGSLSSGKFLQSLCKLNLRGNELDRYDAENLAHALLHMPGLESLDLSGNPIEDSGIRSLISYFTKNLDSRLADLDLENCELSCCGVIEFLDTLSMLEKPIKFLSVADNALGSEVAEAIVNSITVSIESLNISGVGLGPLGFLALGRKLEKGLKKLLSINISKNRGGLETARFMSKLIPLAPKLISIDASYNLMPPEALLMLCESLRTAKCDLKRLDMTGNSCISHEADHSSLHREFQHNGEPIFVLPSSSVSHVPYDDDP >fgenesh2_kg.3__314__AT3G03680.1 pep chromosome:v.1.0:3:1174756:1177929:1 gene:fgenesh2_kg.3__314__AT3G03680.1 transcript:fgenesh2_kg.3__314__AT3G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L185] MADIVLRKLIVEICSARNLMPKDGQGTASAYAIVDFDGQRRRTKTKFRDLNPQWDEKLEFFVHDTATMGEEILEINLCNDKKTGKRSTFLGKVKIAGSSFAAAGSETLVYYPLEKRSVFSQIKGEIGLKAYYVDENPPATEQKPEAAAATVEKAPENPKAEEGKKETEEAKTEEKKEGDKKEEEKPKKEAKPDEKKPDAAAPAPPDTKAKTPDAAAAPPPPPAEIKNPPLLQKAETVKQNELGIKPENVNRQDLIGSDLQLPSLTRDQNRGGGYDLVDRMPFLYIRVAKAKRAKNDGSNPIYAKLVIGTNGVKTRSQTGKDWDQVFAFEKESLNSSSLEVSVWSEEKIEKEDKTTTTTESCLGTVSFDLQEVPKRVPPDSPLAPQWYTLESEKSPGNDVMLAVWLGTQADEAFQEAWQSDSGGLIPETRSKVYLSPKLWYLRLTVIQTQDLQLGLGSEPKSKIPTTELYVKAQLGPQVFKTARTSIGPSTSSSGSGNPTWNEDLVFVASEPFEPFLIVTVEDITNGQSIGQTKIHMGSVERRNDDRTEPKSRWFNLAGDENKPYSGRIHVKVCLEGGYHVLDEAAHVTSDVRPSAKQLAKPPIGLLEVGIRGATNLLPVKTRDGTRGTTDAYVVAKYGPKWIRTRTILDRFNPRWNEQYTWDVYDPCTVLTIGVFDNGRYKRDESGKQGRDVRVGKIRVRLSTLDMNRIYLNSYTITVILPSGAKKMGEVEIAVRFSCPSWLSIIQAYVTPMLPRMHYVRPLGPAQQDILRHTAMRIVTARLARSEPPLGQEVVQYMLDTDNHVWSMRRSKANWFRVITFLSRAATIARWVHGIRTWVHPPTTVLVHLLLVAIVLCPHLVLPTVFMYAFLILALRFRYRGRVKVNSVDPRLSCVDSVAPDELDEEFDGFPTTRPPEVVRIRYDRLRALAGRAQTLLGDVAAQGERVEALFNWRDPRATCIFVVFCLFASFLFYIVPFKVFVLGSGFYYIRHPRFRDDMPSVPVNFFRRLPSMSDQIL >fgenesh2_kg.3__3153__AT2G20170.1 pep chromosome:v.1.0:3:20554984:20558126:-1 gene:fgenesh2_kg.3__3153__AT2G20170.1 transcript:fgenesh2_kg.3__3153__AT2G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCTNKLILLTFYTITLILVTESRRTIPLKKEKQELEKLLNHINKPAIKSFQTKHGYILDCIDIQKQLAFDHPSLKNHSIQLKPTTIPKWTRDKITSQKSSSLPFRQDEDISCPLGTVIIKRTTLEELIQIQRMKSLGFKYTTSEDNNVLNMTSRHFAIAEYYKDNYGATGNINIWDPPVEPDQFSLASIYVENGLRNNLQSISAGWIVSPKLNQNHSGLFTYWTADGHEKTGCYNTVCPGFVQVSSKSALGTLAKPVSTYGGEQYYLEAIIYQDNVTGNWWFLLKNEPVGYWPRSLFHVDGLANGASRVFWGGEVFNAVRQSTNPIMGSGHFPQEGFKKAAFVNGLKVIDREVEKIRSPPTKDLILFANTPKCYKVETKSGVGEEWSSAIYYGGPGGCIIT >fgenesh2_kg.3__3156__AT2G20140.1 pep chromosome:v.1.0:3:20567178:20569528:-1 gene:fgenesh2_kg.3__3156__AT2G20140.1 transcript:fgenesh2_kg.3__3156__AT2G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGPSGGLNRQGDRKPDGGDKKEKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPSTKCKLRLLKLERIKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGTPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLEAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDANSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLAEDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHVDFKKAKEKVMFKKKEGVPEGLYM >fgenesh2_kg.3__3157__AT2G20120.1 pep chromosome:v.1.0:3:20570308:20572371:1 gene:fgenesh2_kg.3__3157__AT2G20120.1 transcript:fgenesh2_kg.3__3157__AT2G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKPAIVMANRERDRELLIPVADSGDKDDGPSSKPSSSSSSSSHQSSQETFSLFIRGWASKKFMTGCVILLPIAITFYITWWFIHFVDGFFSPIYAQLGINVFGFGFLTSIAFIFLVGVFMSSWLGASVLNLGEWFIKRMPFVRHIYNASKQISTAISPDQNTQAFKEVAIIRHPRVGEYAFGFITSTVVLQNYPTEEELCCVYVPTNHLYIGDILLVNSNDVIRPNLSVREGIEIVVSGGMSMPQILSTLDKPLASIDRATSL >fgenesh2_kg.3__3161__AT2G20100.1 pep chromosome:v.1.0:3:20590685:20594381:-1 gene:fgenesh2_kg.3__3161__AT2G20100.1 transcript:fgenesh2_kg.3__3161__AT2G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRVLESSPVQHLTAAGNPNWWNNVSGGLRPPPPFMSHQPPPTTPYLPSLLPTSFSSPTSSSSSSSPSLPLPNSNPNFSSWLEMSDLPLDQPWNLSQLLLGGLMMGEEEKMEMMNHHHHLQNQHHSYQEKKIQNWEEQVLRHQASMKQESNNTNGYRIMASPNSPPNKSCDTIHNTNEENNNNIHNGPNLSECNSSEIIGSSFANKKPKLQVPSSQSTLKVRKEKLGGRIASLHQLVSPFGKTDTASVLSEAIGYIRFLHSQIEALSLPYFATPSRNNMMHHQHAQGNMNGIFPEDPGQLVNEYCMKRGVSLSSTDNQKSNPNEEPMKDLRSRGLCLVPISCTLQVGSDNGADYWAPALGFTLQ >fgenesh2_kg.3__3163__AT2G20080.1 pep chromosome:v.1.0:3:20629623:20631924:1 gene:fgenesh2_kg.3__3163__AT2G20080.1 transcript:fgenesh2_kg.3__3163__AT2G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L5W7] SSFFGRPNMGGSSPSSSSPTSSSSSPATRRGKKNGSDKPKQPQRGLGVAQLEKIRLHGEMSCNSFNNYNPSLYPQEDVRMQGGYSSIPSQSSSPYGFYPNMMMGVHRDQYERATMSWNPSYGILESQHSLEPNITRHVLHEVLTLSTRRSKSLGSGNQHSGSSENQELDLELRLSL >fgenesh2_kg.3__3166__AT2G20050.1 pep chromosome:v.1.0:3:20661073:20665819:1 gene:fgenesh2_kg.3__3166__AT2G20050.1 transcript:fgenesh2_kg.3__3166__AT2G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAYSKTCIGQICATKENSIRQTHQQAPERGGTKAAITAAAGAGAEEENPVFNFSSDAVDDEDDDEIHQLGLSRDQEWGITRLSRVSSQFLPPDGSRVVKVPSCNYELRCSFLSQRGYYPDALDKANQDSFAIHTPFGSNSDDHFFGVFDGHGEFGAQCSQFVKRRLCENLLRHGRFRVDPAEACNSAFLATNSQLHADLVDDSMSGTTAITVMVRGRTIYVANAGDSRAVLAEKRDGDLIAVDLSIDQTPFRPDELERVKLCGARVLTLDQIEGLKNPDVQCWGTEEDDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIAVVELTPDNPFFVVASDGVFEFISSQTVVDMVAKHKDPRDACAAIVAESYRLWLQYETRTDDITIIVVHIDGLRDVRKASGTQLQPPIPQVVELTGSESPSTFGWNSKNQRVRHDLSRARIRAIENSLENGHAWVPPSPAHRKTWEEEAHIERVLRDHFLFRKLTDSQCQVLLDCMQRFEANPGDIVVKQGGEGDCFYVVGSGEFEVLATQDEKNGEVPRILQRYTAEKQSSFGELALMHNKPLQASVRAVDHGTLWALKREDFRGILMSEFSNLASLKLLRSVDLLSRLTILQLSHVAESLSEACFSDGQTIVTKDEKLQGLYVIQKGRVKISFGTEVLESQNVSSLTTGITKEYDNLEIGTEVSIEKHEGSYFGEWALLGELKDSLSVVAVGEVVCVVLTKENFESAVGPLTNISDDGHKTRHSSFELSKESAKVTDTTSLAKATLADLEWTTCLSSTDCSEIGLVHLKDKENLLSLKRFSKQKVKKLGKEAQVLKERNLMKNVIKPSAFVPEILCTCVDQTFAAILLNTTLACPISSLLHSPLDESSARFITASLVSALEDIHKNEILFRGSSPELLMLDQSGYLQIVDFRFAKKLSGERTFTICGNADYLAPEIVQGKGHGYAADWWALGVLIYYMLEGEMPFGSWRESELDTFQKIAKGQLTFPRGLSSEAEDLITKLLEVDENLRFGSQGGPESIKKHPWFNGLKWEAISNREFQVPQEIISRIHHHLENDNVFPLETSQSLDSTDVQDAQNWLEEW >fgenesh2_kg.3__3167__AT2G20030.1 pep chromosome:v.1.0:3:20666382:20667578:-1 gene:fgenesh2_kg.3__3167__AT2G20030.1 transcript:fgenesh2_kg.3__3167__AT2G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7L5X1] MNSPQEISILFFFFFIIIFLDYVSAQSPPPPPPDIYATSDLFKPSLAIITGVFSIVFTLTFVLLVYAKCFHNDLRSETDGDGETRRHDRLWQGLFNRSSRFSGLDKKAIESLPFFRFAALKGLKQGLECSVCLSKFEDVEILRLLPKCRHAFHIGCIDQWLEQHATCPLCRNRVNVEDDLSVLGNSSSSLRIMNQSETREEDSRLEIYIEREEGTSDGSSRFSSFRKILKKSLLLEREGNENIDEKKLMHKFNHRIVVSDVVFKNRWSNVTSSDLTFLTSEMLNSLSSDRFSSMDRVHRGNLRDKEDMEMKRMLKKNKDTSRRTVSEIMTVSRENAVGGSYSGSTAATASTSQNYAITATTEERRRRLWLPIARRTAQWFVNREKRNELNTTRQNLNV >fgenesh2_kg.3__3168__AT2G20020.1 pep chromosome:v.1.0:3:20668079:20670842:-1 gene:fgenesh2_kg.3__3168__AT2G20020.1 transcript:fgenesh2_kg.3__3168__AT2G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLNTPFPIFAPSLFPNHNPRAPSEIRFSRWGNANAERFEQRRRSQEELEAEIRRDRRFDAATKIVHTHDSEAAASEPKTSPFRSRGTPSLPSARSIPGRRSKYSKPDSGPNKPKNKPRVPDSPPQLDAKPEVKLSEDGLSYVINGAPFEFKYSYTETPKVKPLKLREPAYAPFGPTTMGRPWTGRAPLPQSQKTPREFDSFRLPPDGKKGVKPVQKPGPFRPGLGPRYVYTKEEILGEPLTKEEIRELVTSCLKTTRQLNMGRDGLTHNMLNNIHDLWKRRRVCKIKCKGVCTVDMDKVCEQLEEKIGGKVIYRRGGVLFLFRGRNYNHRTRPRFPLMLWKPVAPVYPRLIQQVPEGLTLQEATEMRRKGRELMPICKLGKNGVYCDLVKNVKEAFEVCELVRIDCQGMKGSDFRKIGAKLKDLVPCVLISFENEQILIWRGREWKSSLTIPDKKDDILEDIEVDAALPEDDEASVSPNQTQTVTQNPPLDSMELQNDPGGHDLSPSTVDFSAMEDTSNSLQSPSTKDLTEPTADSSIQDHEEPEHEPETSEEISKQSIERVLNLMKQAVESGTALVLDAADLDADTVFSKAVTFSTVASPGPVFQHGLRKQPTVKKQESREFGYRNLEAKSSNVVVSRNASKSSNVVVSGKREVAVSGEREEKEGLKKKMDEFAEDYREVIPHGTLKLDELAKLLA >fgenesh2_kg.3__3171__AT2G20000.1 pep chromosome:v.1.0:3:20702535:20707745:1 gene:fgenesh2_kg.3__3171__AT2G20000.1 transcript:fgenesh2_kg.3__3171__AT2G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMLVDCVNNSLRHFVYKNAIFMCERLCAEFPSEVNLQLLATSYLQNNQAYSAYHLLKGTQMAQSRYLFALSCFQMDLLNEAESALCPVNEPGAEIPNGAAGHYLLGLIYKYTDRRKNAAQHFKQSLTIDPLLWAAYEELCILGAAEEATAVFGETAALSIQKQYMQQLSTSLGLNTYNEERNSTSITNTSSEDYSPRQSKHTQSHGLKDISGNFHSHGLNGGVSNMSFYNTPSPVAAQLSGIAPPPLFRNFQPAVANPNSLITDSSPKSTVNSTLQAPRRKFVDEGKLRKISGRLFSDSGPRRSSRLSADSGANTNSSVATVSGNVNNASKYLGGSKLSSLALRSVTLRKGHSWANENMDEGVRGEPFDDSRPNTASTTSNDAKSCDQEDETMSIGGTAMSARITIGVLEILNLLRTLGEGCRLSYMYRCQEALDTYMKLPHKHYNTGWVLSQVGKAYFELIDYLEAEKAFRLARQASPYCLEGMDIYSTVLYHLKEDMKLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFLRAVQLNPRFAYAHTLCGHEYTTLEDFENGMKSYQNALRVDTRHYNAWYGLGMIYLRQEKLEFSEHHFRMAFLINPSSSVIMSYLGTSLHALKKSEEALEIMEQAIVADRKNPLPMYQKANILVCLERLDEALEVLEELKEYAPSESSVYALMGRIYKRQNMHDKAMLHFGLALDMKPPATDVAAIKAAMEKLHVPDEIDVSP >fgenesh2_kg.3__3172__AT2G19990.1 pep chromosome:v.1.0:3:20710855:20711485:1 gene:fgenesh2_kg.3__3172__AT2G19990.1 transcript:fgenesh2_kg.3__3172__AT2G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFGYSFIVVALFSDLTQAYRHTPAQPPKANANGDVKPQETLAVHNKARAMVGVGPMVWNETLATYAQNYAHERARDCAMKHSSGPFGENLAAGWGTMSGPVATEYWMTEKENYDYDSNTCGGGDGVCGHYTQIVWRDSVRVGCGSVRCKNDEYIWVICSYDPPGNYIGQRPY >fgenesh2_kg.3__3173__AT1G72600.1 pep chromosome:v.1.0:3:20712982:20713471:1 gene:fgenesh2_kg.3__3173__AT1G72600.1 transcript:fgenesh2_kg.3__3173__AT1G72600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6D8] MATPLQSLRLFLISFVFFFVDSQSQDSSITPPPPQPPLKVVESNKAIHHRRKKWRQRRHHKHPPPPPRKKQKVNTGKTVGLFFAGVAAALQVVVAAFLLFKRRQLLLKINDRH >fgenesh2_kg.3__3174__AT2G19980.1 pep chromosome:v.1.0:3:20719613:20720133:1 gene:fgenesh2_kg.3__3174__AT2G19980.1 transcript:fgenesh2_kg.3__3174__AT2G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L6E2] MDDLQPEETLAIHNQIRAADEKLAAHAQRYANVRSQDCAMKHSTDGMYGENIAAGWVQPMDTMSGPIATKFWLTEKPYYNYATNRCSEPCGHYTQIVANQSTHLGCGTVRCFKNEYVWVVCNYAPRPMGDANTRPY >fgenesh2_kg.3__3175__AT2G22880.1 pep chromosome:v.1.0:3:20721663:20722013:1 gene:fgenesh2_kg.3__3175__AT2G22880.1 transcript:fgenesh2_kg.3__3175__AT2G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L6E4] MEATSQPCFSQNYHSSLHSTRKQPAKPWKKPVSGLAQRMHPKVYRVKPVNFKELVQRLTGAHDHEQEVHQVEAKPVKISDDTTTAKDNPFAFDLSPSSSRFWEAFPLLSPANLSRW >fgenesh2_kg.3__3176__AT2G22870.1 pep chromosome:v.1.0:3:20722189:20724131:-1 gene:fgenesh2_kg.3__3176__AT2G22870.1 transcript:fgenesh2_kg.3__3176__AT2G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2001 [Source:UniProtKB/TrEMBL;Acc:D7L6E5] MVLLLRYRSLTINPTPLIPNSHKFHTLQSFRNPNFISTPKISASSNNPTPTTNRSISDAAKFAKSVLFIPPGVEIEELTDDMVLPGSNIVIGPFAGHSQIKEVEFVKSSARARDCTKDDRPEIAILGRSNVGKSSLINCLVRKKEVALTSKKPGKTQLINHFLVNKSWYIVDLPGYGFAKVSDAAKTDWSAFTKGYFLNRDTLVCVLLLIDASVPPQKIDLDCANWLGRNNVQMTFVFTKCDKMKATKGKRPDENIKAFQQIIKENFKVHPPWILTSSVSGLGRDELLLHMSQLRNYWDQ >fgenesh2_kg.3__3177__AT2G19970.1 pep chromosome:v.1.0:3:20739033:20739769:1 gene:fgenesh2_kg.3__3177__AT2G19970.1 transcript:fgenesh2_kg.3__3177__AT2G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFRYSFVVLTLLSVLLTLAYGLPRVRPIKDVQPKKTLKVHNQIRAAVGVAPLKWNRTVAAYAQKFANRQAKAGVCDYSSMRHSDGPYGENIAAGWVQPEDQMSGPIAAKYWLTEKPNYDYATNKCKDVCGHYTQMVANQSFSLGCGSFRCHENELIYIVCNYYPMPVGDENTRPY >fgenesh2_kg.3__3181__AT2G19930.1 pep chromosome:v.1.0:3:20750217:20755454:1 gene:fgenesh2_kg.3__3181__AT2G19930.1 transcript:fgenesh2_kg.3__3181__AT2G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:UniProtKB/TrEMBL;Acc:D7L6F2] MITLRGAASTRSRIALSGRIETALENIYRKFNLTPINDETRQRLSSIPENLGFELVRNVFSLQAGLIYNLDSFIVSKVNQAVNFTGYPRLSSGVSPANSRSPSGRHVCRVLQEEMSVDSDAPSPKSLKSEENGGSLHIPQLVALGELEFKKAFLLLSYIPGQQLGQVTTADEIRLWKDLPMVAYEAAVWDRLGRRYCPQTDRRMLQWDSGKTHYYQCHVAPDGSYTFKGPLLEHTGTHLHKVLGDENVLTVKFADVPKNSSTYSNDRYFTYKEIAKNGIMIGLRRYQFFVFKDGGKEEKKKDLSTKKVKCYFIRTDSTASCDMENPYIFTGKSIHEARMHFMHVHRAPTLANYMARFSLILSKTKTLEVDMTGITFDPIDDIHCHDQDGKDVLDKNKKPCIHSDGTGYISEDLARMCPLNIFKGKCLRSENIQEACNQDPPLLIQFRMFYDGYAVKGTFLLNKKLCPRTVQVRPSMIKVSKDPSLSNFSTFNALEVVTTSNPPRRTKLSKNLVALLSYGGIPNEFFLDILLNTLEESKSIFYNKHAALNVALNYGEMDDQNAAQMILVGIPLDEPHLKNHLSILLKTEKNDLKAGRLPVTESYYLMGTVDPTGELKEDEVCVILESGQISGEVLVYRNPGLHFGDIHILKATYVKALEEYVGNSKYAVFFPQKGPRSLGDEIAGGDFDGDMYFISRNPELLEHFKPSEPWVSLTPPSKSNSGRAPSQLSPEELEEELFEMFLKAGFHASNVIGIAADSWLTIMDRLLILGDERAEEKAEMKKKMLKLIDIYYDALDAPKKGDKVYLPNELRPDIFPHYMEREKKFKSTSILGLIYDFVKSQTTEEPTPSSEISKLPCFEDEPVSEFHMEKCRRWYDNYRSEMTQAMKTDKDESANEVIQRYKQEFYGAAGFEDSKKSLEELYPQALTLYKIVYDYAIHAGVSKCGFVWKVAGPVLCRFYLMKKMQEKSLVCAPSVLKELWG >fgenesh2_kg.3__3185__AT2G19870.1 pep chromosome:v.1.0:3:20801501:20803593:-1 gene:fgenesh2_kg.3__3185__AT2G19870.1 transcript:fgenesh2_kg.3__3185__AT2G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVSLQQTTIQSCFQFSKSLYLPVKFHLNPKGRAFANVSTGLVSSRLNSMKIGMLRKPESVREFSSFDSQRFGKRSSNSSSRGKSGLGSKAFRDKRSGGNGRASGDSIWVKSDEKPVEERFQRGDRPSWEKRDGRSDSVSDRRSRFRGYGETRNRDSFRGRRDDRISEVEEESKKGSGNSIWVANNDKPAKEQLPRVNNRSSWDDRTRNQNSFSARGDDRIAEEVEEETENHGRDDGDGIIEEEPDNTRWSEIKNRFNRYDVRDQGHDNAAYRNWNRQESWGRKTWQEATESSVPRLEGEVVYGVSPVLAALSVGRREFYALYVQEGLDLSSNNRKKKDKKGFEKVLKISEKLGLNLKETSKHDLNMVADNRPHQGLVLDASPLELVKVKELDPISSEEEKSSLWVALDEVTDPQNLGAIIRSAYFFGATGVVVCAKNSAPLSAVVSKASAGSLEVMELRYCKNMMQFLEASAENGWRVVGGSVSPKAVALNEVLPGSPTILVLGNEGTGLRPLVERSCTELVRISGNMPNDVSVTESDDAEGEGFRSFLAVESLNVSVAAGLFLHHLIGNKASA >fgenesh2_kg.3__3189__AT2G19810.1 pep chromosome:v.1.0:3:20823169:20824668:-1 gene:fgenesh2_kg.3__3189__AT2G19810.1 transcript:fgenesh2_kg.3__3189__AT2G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGESHRGFNPTVHIPSWPLSEDLTASDIYGSPDGGSSMMEALAALQRYLPSNEPDPDSDPDLSGPDSPIDAYSCDHFRMYEFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKFHYSGTACPEFRKGGCKRGDACEFSHGVFECWLHPARYRTQPCKDGGNCRRRVCFFAHSPDQLRVLPSQSPDRVDSFDGLSPIRRAFQFTISPSSNSPPVSPRGDSDSSPSLLSRSLGSNLGNDVVASLRNLQLSKVKSLSSSYNNEIGGYGSGFGSPRGSVLGPGFRSLPTTPTRPGFMNIWENGLEEEPAMERVESGRELRAQLFQKLSKENCMGRVDPDPGQGAGDTPDVGWVSDLVM >fgenesh2_kg.3__3191__AT2G19790.1 pep chromosome:v.1.0:3:20872386:20873831:-1 gene:fgenesh2_kg.3__3191__AT2G19790.1 transcript:fgenesh2_kg.3__3191__AT2G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complex small chain family protein [Source:UniProtKB/TrEMBL;Acc:D7L6G7] MGIRFILMVNKQGQTRLAQYYEWLTLEERRALEGEIVRKCLARNDQQCSFVEHRNYKIVYRRYASLFFMVGVDDDENELAILEFIHLLVETMDKHFGNVCELDIMFHLEKAHFMLEEMVMNGCIVETSKANILSPIQLMDKAH >fgenesh2_kg.3__3192__AT2G19780.1 pep chromosome:v.1.0:3:20876997:20881211:1 gene:fgenesh2_kg.3__3192__AT2G19780.1 transcript:fgenesh2_kg.3__3192__AT2G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7L6G8] MKKKGTKNFSLLSLFLFLGLILTDGYSIGVGVGIGGGDGDDGGVWIGGGNPNSNPGSAPKQQTTNAAYNALQSWKSAITEDPSGILKTWVGEDVCSYRGVFCSGSLITSIDLNKANLKGTIVKDLSLLSDLTILHLNSNRFFGQIPDSFKNLDSLQELDLSNNRFSGSFPQVTLYIPNLVYLDLRFNNFTGSIPENLFNKQLDAILLNNNQFTGEIPGNLGYSTASVINLANNKLSGEIPTSFGITGSKLKEVLFLNNQLTGCIPESVGLFSDIEVLDVSFNSLMGHVPDTISCLSEIEVLNLGHNKFSGDLPDLVCTLRNLINLTVSFNFFSGFSSQCSSLSVGFDFTGNCIPGKGYQRPQPDCSAIPGGQLSCLRIPAQPLTCAAISLGLKVASSP >fgenesh2_kg.3__3193__AT2G19770.1 pep chromosome:v.1.0:3:20883868:20885390:1 gene:fgenesh2_kg.3__3193__AT2G19770.1 transcript:fgenesh2_kg.3__3193__AT2G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin [Source:UniProtKB/TrEMBL;Acc:D7L6H0] MSWQAYVDEHLMCDVGDGQGHHLTAAAIIGHDGSVWAQSANFPQFKPQEITDIMKDFDEPGHLAPTGLFLAGLKYMVIQGEPNAVIRGKKGAGGITIKKTGQSMVFGLYEEPVTPGQCNMVVERLGDYLIEQGL >fgenesh2_kg.3__3194__AT2G19760.1 pep chromosome:v.1.0:3:20889166:20890696:1 gene:fgenesh2_kg.3__3194__AT2G19760.1 transcript:fgenesh2_kg.3__3194__AT2G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin [Source:UniProtKB/TrEMBL;Acc:D7L6H1] MSWQSYVDEHLLCDVEGHTLTAAAILGQDGSVWAQSDKFPQLKPQEIDGIKKDFEEPGFLAPTGLFLGGEKYMVIQGEQGAVIRGKKGPGGVTIKKTNQALVFGIYDEPMTGGQCNLVVERLGDYLIESEL >fgenesh2_kg.3__3195__AT2G19750.1 pep chromosome:v.1.0:3:20891546:20892535:-1 gene:fgenesh2_kg.3__3195__AT2G19750.1 transcript:fgenesh2_kg.3__3195__AT2G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S30 family protein [Source:Projected from Arabidopsis thaliana (AT2G19750) TAIR;Acc:AT2G19750] MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRLQHNRRFVTAVVGFGKKRGPNSSEK >fgenesh2_kg.3__3199__AT2G19730.2 pep chromosome:v.1.0:3:20895467:20896839:-1 gene:fgenesh2_kg.3__3199__AT2G19730.2 transcript:fgenesh2_kg.3__3199__AT2G19730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L28 [Source:UniProtKB/TrEMBL;Acc:D7L6X2] MATVPGQLIWEIVKNNNCFLVKQFGRGNSKVLFSKETNNLANVHSYKHSGLANKKTVTIQAADKEQAVVLATTKTKKQNKPKVSVNKSILKKEFPRMSKAVANQVVDNYYRPDLKKAALARLSVISKGLRVAKSGAKQRNRQA >fgenesh2_kg.3__3201__AT2G19710.1 pep chromosome:v.1.0:3:20898130:20902007:-1 gene:fgenesh2_kg.3__3201__AT2G19710.1 transcript:fgenesh2_kg.3__3201__AT2G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLQRGFKPAKCKTALQMANSRLKILKNKKEIQIKQLRRELAQLLESGHPTARIRVEHVVREEKTVAAYELIGIYCELLVVRLGVIESQKNCPIDLKEAVTSVLFASQRLSDVPELSEIFKQFTTKYGKDFSTAAVELRPDSGVSRLLVEKLSAKAPDGPTKVKILMAIAEEHNVVWKAQSFVESDPKDTELLSGASSFQPASSMNMDSSINSNKEQPPNVPAPATVNAYHGSSERNHFPENSYANGGRSSSRSNNVTYGKADDYYHSNARPSRSRPDEGECRNPNHGYANSSSRTKNKRETEFVSSTDAAEAAAEAAERASFAARAAAELSNKERMMRQDSTESHRSSASVNLRNEPSHRRNRSNAQSESYSEDHFSPRRNVGMQYEDMDRTRQDRYDKAEESEIPPVDQSSGRHSLDNSRNNGSFGKKGREKQPSQDETDLNVGYSEDVYPRKQSSRASSHSHSHSSNYSDENDLGSDYMKSPSIVEENIFATEYDHQSQSSFKDIDSHDHGHSSFFDQPKFDAEDNHYDEIDVGFSLLGSKTSASAASWSFKEDLSKSSYGKNSSSSSQVFQENLSSPLFDDVSTSPPASYHEPDPHAKFDNYGPNSESDGDQPRHRGKVSGDVHEKGILTSDRSQKFKVSDSDGHEFFPLDTEEHKDILRKQEESDSESEPQLGLRLGTLGGGFRNKKTLPPYTMSLASSKSEKKYIQTDDFGQSSRKDLYSKKASNTEMRPSFMPPHPSSSDEDDSDMQLPVRTKTKSDSLFSHARVNLEDSDEEKLSTRSSSRSQESSRKPSTGMRDHKRTNFKTPVSSSSEDEEEVEREAARINAKPNKTTGYGFSLRTKGQSKAYEKHSLPVTTKKTDKESHDQPSPRHKTVTSSHVSQTVKSPDPETPSRERASHVHPNLPEYDDIFARLGALRAPNRR >fgenesh2_kg.3__3202__AT2G19690.1 pep chromosome:v.1.0:3:20905159:20906717:-1 gene:fgenesh2_kg.3__3202__AT2G19690.1 transcript:fgenesh2_kg.3__3202__AT2G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A2 beta, secretory low molecular weight [Source:UniProtKB/TrEMBL;Acc:D7L6X6] MMIRTSLMRFAAAFFVVVFVVLVDVVLSEECTRKCIAQNCDTLSIRYGKYCGIGHSGCPGEEPCDDLDACCMVHDNCVELNGMTNISCHKKFQRCVHRLSKAIKQSKNKKVGFSTKCPYSVVIPTVNQGMDIGILFSQLGNDMKIEL >fgenesh2_kg.3__3204__AT2G19680.1 pep chromosome:v.1.0:3:20907510:20908509:-1 gene:fgenesh2_kg.3__3204__AT2G19680.1 transcript:fgenesh2_kg.3__3204__AT2G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ATP synthase g subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7L6X7] MASKLVQLQSKAYQASKFVAKHGNSYYKQLLEQNKQYIQEPATVEKCSELSKQLLYTRLASIPGRYETFWKEVDYAKNLLKNRANLKVEDAGIAALFGLECFAWFCAGEIVGRGFTFTGYYP >fgenesh2_kg.3__3208__AT2G19630.2 pep chromosome:v.1.0:3:20916002:20920881:1 gene:fgenesh2_kg.3__3208__AT2G19630.2 transcript:fgenesh2_kg.3__3208__AT2G19630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L6Y1] RCRCVSKLWASILSRPDFTELFLTRSWSWACPKLLFAYIKGFCFDVKSEEFRLIIGAMYHGFLINYNEKLGLYDISSVRFGVTTSIELWVLEDAEKQEWSKHTYLFPAMLHGMMRTTDLRCTKEFVFHLVEGRPFYVFYYNIERNTIKKVEMQGMEAFKDSKK >fgenesh2_kg.3__3209__AT2G19620.1 pep chromosome:v.1.0:3:20921658:20924439:1 gene:fgenesh2_kg.3__3209__AT2G19620.1 transcript:fgenesh2_kg.3__3209__AT2G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ndr family protein [Source:UniProtKB/TrEMBL;Acc:D7L6Y2] MVGFNDDVSLDIEEIYNGGKEHHVKTCHGSVSVVVYGDQEKPALITYPDVALNYMSCFQGLFLCPEAVSLLLHNFCIYHISPPGHEVGAAPVCSNDPSPSVEDLADQILEVLNFFSLEAVMCMGITAGAYILSLFAIKHKERVLGLILISPLCKAPSWSEWFYYKVVSNLLYYYGMSGLLKDIFLQRYFSKEARGSSEVPERDVVHECRRLLGERHGSCLMRFLEAVNRRHDLTDGLKSLKCRTLIFVGDQSPFHSETLHMVTALDRKYSALVEVQACGSMVTEEQPHAMLIPMEFFFMGFGLYRPGRVSDSPRSPLSPSCISPELLSPESLGLKLKPIKTRVPTKC >fgenesh2_kg.3__3216__AT2G19570.1 pep chromosome:v.1.0:3:20967233:20968480:1 gene:fgenesh2_kg.3__3216__AT2G19570.1 transcript:fgenesh2_kg.3__3216__AT2G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPSFVIQPKEAESAAKQLGVSVLRLLPSLVKPAQSYARTPISKFNVGVVGLGSSGRIFLGVNVEFPNLPLHHSIHAEQFLVTNLTLNGERHLKFFAVSAAPCGHCRQFLQEIRDAPEIKILITDPKNSADSDSAADSDGFLRLGSFLPHRFGPDDLLEKDLPLLLEPHDNHLKISDLDSIRNGITDSSADLKQTALAAANRSYAPYSLCPSGVSLVDCDGKVYRGWYMESAAYNPSMGPVQAALVDYVANGGGGGYERIIGAVLVEKEDAVVRQERTARLLLETISPKCEFKVFHCYGA >fgenesh2_kg.3__3217__AT2G19560.1 pep chromosome:v.1.0:3:20988530:20991541:1 gene:fgenesh2_kg.3__3217__AT2G19560.1 transcript:fgenesh2_kg.3__3217__AT2G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVSMGEAHRRITEYLNRFCDAVSYQDSSTLCRLLSFSSNSPPLLSLADALNVFQVHASSLIRQSDKFSEYGEILAHLFRSLQSYRFGNLVEAYLAFEKFANAFVQEFRNWESAWALEALYVVCYEIRILAEKADKELTSNGKSPEKLKAAGSLLMKVFGVLAGKGPKRVGALYVTCQLFKTYFKLGTVNLCRSVIRSIETARIFDFEEFPRRDKVTYMYYTGRLEVFNENFPAADTKLSYALQYCNPKRERNIRMILKYLIPVKLSIGIIPKDELLQNYNLHEYTKIVQALRKGDLRLLRHALQEHEDRFLRSGVYLVLEKLELQVYQRLMKKIYIIQKLSDPARAHQLKLEVIAKALRWLEMDMDLDEVECIMTILIYKNLVKGYLAHKSKVIVLSKQDPFPKLNGKPVGS >fgenesh2_kg.3__3219__AT2G19540.1 pep chromosome:v.1.0:3:20992952:20995903:-1 gene:fgenesh2_kg.3__3219__AT2G19540.1 transcript:fgenesh2_kg.3__3219__AT2G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7L6Z3] MGRTVKTKAKRKNKKKAEASSSEIPSIPTRVWQPGVDTLEDGEELQCDPSAYNSLHGFHVGWPCLSFDILGDKLGLNRTEFPHTLYMVAGTQAEKAAHNSIGLFKITNVSGKRRDVVPKTLANGDDMEDEDDDDDSDSDDDDGDEASKTPNIQVRRVAHHGCVNRIRAMPQNSHICVSWADSGHVQVWDMSSHLNALAESETEGKDGTSPVLNQAPLVNFSGHKDEGYAIDWSPATAGRLLSGDCKSMIHLWEPASGSWAVDPIPFAGHTASVEDLQWSPAEENVFASCSVDGSVAVWDIRLGKSPALSFKAHNADVNVISWNRLASCMLASGSDDGTFSIRDLRLIKGGDAVVAHFEYHKHPITSIEWSAHEASTLAVTSGDNQLTIWDLSLEKDEEEEAEFKAQTKELVNTPQDLPPQLLFVHQGQKDLKELHWHNQIPGMIISTAADGFNILMPYNIQNTLPSELPA >fgenesh2_kg.3__3220__AT2G19530.1 pep chromosome:v.1.0:3:20995945:20997722:-1 gene:fgenesh2_kg.3__3220__AT2G19530.1 transcript:fgenesh2_kg.3__3220__AT2G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPSGSEPPQKVVSKLQKVGWRATMIFNLGFAAYIFAIKREKDIDADEKRKVKKGSEARNKGVKKGAVNTEVEKKGAEETDKAKEAETAMPEKEETKPILELDPLFEFTDAADQSMFQTVATEHVKVARKPIPEDEQKELFKWILEEKRKIEPKDRKEKKQIDEEKAILKQFIRAERIPKLLPDDSVDSSLRDWDKFFSK >fgenesh2_kg.3__3222__AT2G19510.1 pep chromosome:v.1.0:3:21004245:21004718:-1 gene:fgenesh2_kg.3__3222__AT2G19510.1 transcript:fgenesh2_kg.3__3222__AT2G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLGNHRPYSVCITRNKNCPQNCEYAKYFPYKFQYESANELFGTPNIIKMMRHVPEEKKQILATSIIMEGNAWTKDPIYKWWIRCDAKAHLEDYEEKKKTELHLLINDTL >fgenesh2_kg.3__3223__AT2G19500.1 pep chromosome:v.1.0:3:21006967:21009966:1 gene:fgenesh2_kg.3__3223__AT2G19500.1 transcript:fgenesh2_kg.3__3223__AT2G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRLIITVLICLFSSFTKSSNVIKIDLPKSLNLTLSTDPSIISAASHDFGNITTVTPGGVICPSSSADISRLLQYAANGKSTFQVAARGQGHSLNGQASVSGGVIVNMTCLTSVVVSKDKKYADVAAGTLWVNVLKKTAEEGVSPVSWTDYLHITVGGTLSNGGIGGQVFRNGPLIRNVLELDVITGKGEVLTCSRQLNPELFYGVLGGLGQFGIITRARIVLDHAPKRAKWFRMLYSDFTTFTKDQERLISMVNDIGVDYLEGQIFLSNGVVDTSFFPPSDQSKVADLVKEHGVIYVLEVAKYYDDPNLPIISKVIDTLTKSLSYLPGFISMHDVPYFDFLNRVQVEENKLRSLGLWEVPHPWLNLYVPKSRIRDFHDGVVKDILLKQKTASGLALLYPTNRNKWDNRMSAMIPEIDEDVIYIIGLLQSATPQNLPEMESVNEEIIRFCKDSGIKIKQYLMHYTRKEDWIEHFGSKWDDFSKRKDLFDPKKLLSPGQDIF >fgenesh2_kg.3__3225__AT2G19480.2 pep chromosome:v.1.0:3:21013042:21015893:-1 gene:fgenesh2_kg.3__3225__AT2G19480.2 transcript:fgenesh2_kg.3__3225__AT2G19480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDKDNMNMSDLNAALNEENRAGLVNALKNKLQNLAGQHSDVLENLTPTVRKRVEVLREIQNQYDEMEAKFFEERAALEAKYQKLYQPLYTKRYEIVNGVVEVEGAGEDVKSEQGEDKAEAEKGVPDFWLIALKNNEITAEEEITERDEGALKYLKDIKWSRVEEPKGFKLEFFFDQNPYFKNTVLTKTYHMIDEDEPILEKALGTEIEWYPGKCLTQKILKKKPKKGSKNTKPITKTEDCESFFNFFSPPQVPDDDEDLDDDMADELQGQMEHDYDIGSTIKEKIISHAVSWFTGEAVEADDLDIEEDDDEIDEDEDDEEDDEDDEEDDDEDDDEEEEADQGKKSKKKKAGRSQPAEGQAGERPPECKQQ >fgenesh2_kg.3__3228__AT2G19460.1 pep chromosome:v.1.0:3:21031000:21031565:-1 gene:fgenesh2_kg.3__3228__AT2G19460.1 transcript:fgenesh2_kg.3__3228__AT2G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFRSRSYGDGRTSDLQQYSAHRRSDGPDSFSGNGMQDLRSYSTSYTDYPTRIPEDPNPKKGRSSSSSSWGFVDPDLQRKKRVVSYRAYTVEGKLKGSFRKSFKWIKDKCNKLLN >fgenesh2_kg.3__3229__AT2G19450.1 pep chromosome:v.1.0:3:21033705:21037277:-1 gene:fgenesh2_kg.3__3229__AT2G19450.1 transcript:fgenesh2_kg.3__3229__AT2G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L701] MAILNSGGVVSTATENGGGEFVDLDSLRRRKSRSDSNDNSPSDDLGPPDDVRNRIDSVVNDDAQGTANLAGDTEIRETGGGRGGGEGRGNADATFTYRPSVPAHRRARESPLSSDAIFKQSHAGLFNLCVVVLIAVNSRLIIENLMKYGWLIRTDFWFSSRSLRDWPLFMCCISLSIFPLAAFTVEKLVLQKYISEPVVIFLHIIITMTEVLYPVYVTLRCDSAFLSGVTLMLLTCIVWLKLVSYAHTSYDIRSLANAADKANPEVSYYVSLKSLAYFMVAPTLCYQPSYPRSACIRKGWVARQFAKLVIFTGFMGFIIEQYINPIVRNSKHPLKGDLLYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKSVGDYWRMWNMPVHKWMVRHIYFPCLRSKIPKTLAIIIAFLVSAVFHELCIAVPCRLFKLWAFLGIMFQVPLVFITNYLQERFGSTVGNMIFWFIFCIFGQPMCVLLYYHDLMNRKGSMS >fgenesh2_kg.3__3230__AT2G19430.1 pep chromosome:v.1.0:3:21070385:21073345:-1 gene:fgenesh2_kg.3__3230__AT2G19430.1 transcript:fgenesh2_kg.3__3230__AT2G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7L7I6] MYGDATNWNEDEYRESILKEREIQTRTVFRTAWAPPARISNPDAFVVASSDGTLAFHSLKSLVSQSASFGYSKGQDVMVAEPERVVRAHDGPAYDVKFYGEEEDALLLSCGDDGRVRGWKWREFAESEMSLHFQENHVKPLLELTNPQHKGPWGALSPMPEINAMSVDPQSGSVFTAAGDSCAYCWDVESGKIKMTFKGHSDYLHTVVSRSSASQILTGSEDGTARIWDCKTGKCVKVIGSQDKKSRLRVSSMALDGSESWLVCGQGKNLALWNLPASECVSTISIPAHVQDVMFDEKQILTVGAEPVLRRFDLNGALLSQIPCAPCSVFSISLHPAGVVAVGGYGGLVDVISQFGSHLCTFRSSSL >fgenesh2_kg.3__3233__AT2G19390.1 pep chromosome:v.1.0:3:21105388:21113543:1 gene:fgenesh2_kg.3__3233__AT2G19390.1 transcript:fgenesh2_kg.3__3233__AT2G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGKFDYSSGGLDRPLYRSNFAAQMERSSSFRESIEHSVPSHPIMLRTTSPIAQTDVTNFFQCLRFDPKVVAADHKSIRQGDFKRHVSIALGIQGDESPSGSLKGKFIPSPIPEEIKRFKAGLRENNVKARERVKIFNEASSVFNKFFPSVPTKKRSRPEGFSGDRLVSGPGLGKMGIQSQTLAGGFELDQQKFDERPKSGVPNKRTRTSMMDVRSNSIVRQSAAVDRDKEIMRVANHNAVQGEDRTSIGIDGWETSKMKKKRSSINADCHPNLASNKVVDGYRDLKQGIQQKSTGDSRSRLNGDSNMLRQSTSNGATGYGRSDNISHQTSLAGHSPLPRVDSDHNSLYNEKRERSIGSVKERVNLRGVNKSNIHDEFNSSSLVSNIKTNASVRGPRSGSGLPPKLSPGLHNTPSPIEWDISGCTSKPPTLSGVTHRKRMTSNRSSSPPVTQWASQRPQKISRIARRTTLVPIVSSNDEVPYSDNISDAGCSETGFGFHKRSPAASPQLKLKGESSFSTAALSESEESGPPEIKSKDKGKQSDEVDGKAAQNIPRVSIPALQSRKSNKPAAGEEIGDGVRRQGRTGRGFSSTRSLNPNGVDKLKNVGTAKQLRSARPIFDKSESKVGRPPTRKLSDRKAYKRQRATATNAPTLDFHVGSNDGREELLAAVNSAVNFGQNFPNSFWKQMERYFCYISDDHINFMKQQGELSSMGPMPILTSSEFDSRVFPEELATSRADSKASPLYQRLLSALISEDSMGVNEDLQVDGFEAMHDDDLEFSVLNNMEFNGFRNNERLELDESEDDGSAILFKGVNKSAHHCNGKFPDTSPIDFLDIQYDKLGIDEKIYLEVQSLGISLEPMPSISNVEDEGIADEIKKLEEAICNEGSKKKEIVDRLLKPAIEMKELQEKELDQLSYEKLIEMAYEKSKASRRHHNAGGKNSNNKISKQAALAFVRGTLERCHQFEKTGRSCFSEPEFKDMFIARLATAEDTLMDKEYNPSTSTPMGSQPSSSLARIGQNSENYASSSDVLPSENALLEQTMGKEDTAWSNRVKKRELLLDDVGIGTQLSSNTKGKRSDRDRDGKGQASSRGGTNKIGRPSLSNAKGERKTKAKPKQKTTQISPSVRVPEQPKPLLPKPNEANSEYNNLEALEETEPILDLSQLQIPDGLGDFDTQPGDISSWFNMDDEEDFDITELGIPMDDLSGLNIKL >fgenesh2_kg.3__3235__AT2G19380.1 pep chromosome:v.1.0:3:21117290:21119851:-1 gene:fgenesh2_kg.3__3235__AT2G19380.1 transcript:fgenesh2_kg.3__3235__AT2G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGESLKKPRLSRHLRMCTATKFSCIDCGNMFGQDSVYYHNQCITEAEKYGPKGLSNGENSKQKHDFDINTELYKSPSWFCSLCNANMTCEQDYFAHVYGKKHQEKANEVADMDYSKQQSEQPPVDKHNLKQQPDFDIYVGLSNHYPWFCSLCNIMATSQQTLLSHANGKKHRANVEWFDAEQQQRQVTQHSTMDNSKQQIEVDINVGLSNCYPWFCSLCNVKATCQQNLLSHANGRKHRENIELFDATQQQQLEKSSVDKKDTIENASDGNSEQKRVDLLVSSGVANGYPQAGKKRKLETFDETRNREVVQAEEAEGGGEKKQENKKKRKKEKKQTMSDSDFEYDKEDIKQLLVSYSKEELVNLIYKTAEKGSRLISAILESADRDIAQRNIFVRGFGWDTTHENLKAAFETYGEIEDCSVVMDKDTGRGKGYGFVQFKTRKGAREALKRPEKRMYNRIVVCNLASKKPSGAGKEQDMAEPVKIDLTQMANQSEAVLPGPELGHGHVLKKMHHQQQTIDMFGQNIPFYGHSHQFPGFDPMYGALSGLANYGMFGSGMMMNQGSMLQPPPNHLGMAGQYFGDGGHAWHQR >fgenesh2_kg.3__3237__AT2G19350.1 pep chromosome:v.1.0:3:21124700:21125819:-1 gene:fgenesh2_kg.3__3237__AT2G19350.1 transcript:fgenesh2_kg.3__3237__AT2G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSISDEDLMIGTSYTVSNRPPVKEISLAVALLVFGTLGIVSGFFMAYNRVGGDRGHGIFFIVLGCLLFIPGFYYTRIAYYAYKGYKGFSFSNIPSV >fgenesh2_kg.3__3239__AT2G19310.1 pep chromosome:v.1.0:3:21131971:21132550:1 gene:fgenesh2_kg.3__3239__AT2G19310.1 transcript:fgenesh2_kg.3__3239__AT2G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIPINNHRRLSPGDRIWEPFELMNTFLDFPSPSLFLSHHFPSLSREIFPSSSSTTVKTQLNWTETPTAHVFKAYLPGVTQDEAIVFVDDEGYLQICTGDNKFMSRFELPNNALKDQVTAWMEDGFLVVFVAKDGSSSPQQLPEIEENRNVRVVEITGDDD >fgenesh2_kg.3__3241__AT2G19260.1 pep chromosome:v.1.0:3:21142975:21146854:-1 gene:fgenesh2_kg.3__3241__AT2G19260.1 transcript:fgenesh2_kg.3__3241__AT2G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELM2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L7K1] MLVESSFSSSVESSLLNVSEYQDPFATYDWASLAETYQMIHKVPEQSQVSFCKAVPVTDAMNLDESKHPKHQVSCPEKSVMHKVSGKALTSLVYRRRKRSLKLGGTEEHNLGKTRKQDDSLDDSIVSLHNTGESTKRKNRFNNCLVYSRKKRRGESSCTFTGETTIRGAGLDDAFVSKHDCGETRRRGNRSDNCLVYSRKKGRVKFNSCTFSKHVTGGTKIGCDQAESSACSHRGQVVKADSAFTGPIPGEIKKSGHQPVYNRSKQLVKSNGSFTESHVGKTKRNGEKSDVLLTYSRRKQRGKSVGDRVNGFLVYTRKKLKFRGPFARHDLRETKTNGDQLVDDTQVTEVTYSSDGTNDSCSSLKSSSEVDSSSSTTGEDDRYSSDAVVSETDTDGSSGPFRLCKHCDKPGTVEKMLICDECEEAYHTICCGVQMKDIAGIDDWLCPSCLKNKPSKTKTKGRISRERKWRVTVPLVIGVRIGKKFQADVPDWSGPTMSDTSFVGEPFEIDQSEYTHDLKKAKNSKKQCSAVNWLQCREEDSNGDICGKWRRAPRSEVQTKDWECFCCVFWDPSRADCAVPQELETSEILKQLKYIKMLRPRSDAKKRKLGAKGRSRSHNKGKS >fgenesh2_kg.3__3242__AT2G16510.1 pep chromosome:v.1.0:3:21251444:21252718:-1 gene:fgenesh2_kg.3__3242__AT2G16510.1 transcript:fgenesh2_kg.3__3242__AT2G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:D7L7L0] MSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >fgenesh2_kg.3__3243__AT2G16530.2 pep chromosome:v.1.0:3:21258256:21259997:-1 gene:fgenesh2_kg.3__3243__AT2G16530.2 transcript:fgenesh2_kg.3__3243__AT2G16530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein [Source:UniProtKB/TrEMBL;Acc:D7L7L1] MELEIVWLVRAAWITVWVVSILPLVIASIPTSKLNSFRELVLSFAGRGKILHPSSQKFTVPQKFFAHFYVIGVVWTTLLLAATWMYACEMAPLSSEEFQLSDIASRLAGGSDVFSFHKSHMTPVEDRFEVWRAVFLLLLMEIHVLRRVIESFYVFKYSPSARMHILGYFAGLFFYVTAPLSLCSNIAPEVARFVGNQVAEFIANGKSHTSAPELSLVMCISPLMKLGSVQWIGGAIFLWGWIHQRRCHAILGSLRENPSQAKEYIIPYGDWFRMVSSPHFLAEIVLYAGLLIASGGTDITIWLLFGFVAANLTYAAGETHRWYLRKFEDYPANRHAIFPHVY >fgenesh2_kg.3__3245__AT2G16570.1 pep chromosome:v.1.0:3:21280734:21297692:-1 gene:fgenesh2_kg.3__3245__AT2G16570.1 transcript:fgenesh2_kg.3__3245__AT2G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidophosphoribosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L7L4] MAATTSFSSSLSLIPKPNNPHTNKPLLLFPKPFLKPPHLSLLPSSISPSPSLIHGVSSYFSSPSPTEDHSHTPFDYNDEDDEKPREECGVVGIYGDPEASRLCYLALHALQHRGQEGAGIVTVSNEKVLQTITGVGLVSEVFNESKLDQLPGEFAIGHVRYSTAGASMLKNVQPFVAGYRFGSIGVAHNGNLVNYKTLRAMLEENGSIFNTSSDTEVVLHLIAISKARPFFMRIIDACEKLQGAYSMVFVTEDKLVAVRDPYGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVYPGEVLVVDKDGVKSQCLMPKFEPKQCIFEHIYFSLPNSIVFGRSVYESRHVFGEILATESPVECDVVIAVPDSGVVAALGYAAKSGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRGVLEGKRVVVVDDSIVRGTTSSKIVRLLREAGAKEVHMRIASPPIVASCYYGVDTPSSEELISNRLRVEEINKFIGSDSLAFLSFDTLKKHLGKDSKSFCYACFTGDYPVKPTEVKVKRGGGDFIDDGLVGSFESIEAGWVR >fgenesh2_kg.3__3246__AT2G16595.1 pep chromosome:v.1.0:3:21323079:21325058:1 gene:fgenesh2_kg.3__3246__AT2G16595.1 transcript:fgenesh2_kg.3__3246__AT2G16595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTRLLFLAFLLLASPFLKVALCQSDSEDSGFEVSDVSEEESDAEEAFGLELSSAPGIETLCVFPKNSAKIIKAGVETEVLVGMKNDGQSNVDIVAVKGSLHLPFDQNAVQNLTALSFSNASVPTSAQATFPYVFAVSKFLQAGAFDLVGTIIYEIDGKPYQSTFYNGTIEVVEDSPLFRMESVFLSGLLIFVIVLLAIYIQNGLKHMTKKAKRAPKVEVGTATKNASHDEWLEGTSYTQSSSKSKKKK >fgenesh2_kg.3__324__AT3G03750.2 pep chromosome:v.1.0:3:1215294:1217468:1 gene:fgenesh2_kg.3__324__AT3G03750.2 transcript:fgenesh2_kg.3__324__AT3G03750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L193] MQRESPPKKRCLGEAPDIIPATELFFRCANLILPCLNPQELGAVSQTCKTLSLISKSITFHRSLDAARSLENLSIPFHNSIDSQRYAYFIYTPFQIPASSPPPRQWWGAATECGSESRPCFDSVSERGRFGVSLLDESGCECERCEEGYCKCLAFVGMEEIGNECGSGCGCGSDCSNRVTQKGVSVSLKIVRDEKKGWCLYADQLIKQGQFICEYAGELLTTDEAHRRQNIYDKLRSTQSFASALLVIREHLPSGQACLRINIDATRIGNVARFINHSCDGGNLSTVLLRSSGALLPRLCFFAARDIIAEEELSFSYGDVRVTGENRDNKLNCCCGSSCCLGTLPCENT >fgenesh2_kg.3__3250__AT2G16640.1 pep chromosome:v.1.0:3:21344502:21348890:-1 gene:fgenesh2_kg.3__3250__AT2G16640.1 transcript:fgenesh2_kg.3__3250__AT2G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATTOC132/TOC132 [Source:UniProtKB/TrEMBL;Acc:D7L7M4] MGDGTEVVVRSDRISDEQVAKTELLGSVEVKDEKEDDVFEEAIGSENDEQEEDPKRELLETDDLPLEETRQSSKDENEVEDFEEAVGDLDETSSNEGGVKDLHVDYSVVGESHGAGEAGFDVVAPKMNGDKGEGGAGDSYGKVESNLDVVENTEMADTNGLKLAAEDVSIENGKTHSFLGNGIVSPNNKEVVAEVIPKDDGIEEPWNDGIVVENWEERVDGVQTEQDVEDGEGTTKNLFEERTEEEVVDGAGTGKNLFENGSGSVCIDNESEAKTNGETGAAYTSDIETNASGDKSVNDSTEVASAETSSPLDKSSSGEKGETEGDSTCLKPEQQLASSPNSNPESTEIRSNSGSPGVTSRENETVRNSNGGHDVQQRPQPNKELEKQRSSRVNVDPEIKENSHVETEAEVVSSVSPTESRSNPTALPPARPAGLGRASPLLEPASRAPQQSRVNGNGSHNQSQQAEDSTTVETDEHDETREKLQLIRVKFLRLAHRLGQTPHNVVVAQVLYRLGLAEQLRGRNGSRVGAFSFDRASAMAEQLEAAGQDPLDFSCTIMVLGKSGVGKSATINSIFDEVKFCTDAFQMGTKRVQDVDGLVQGIKVRVIDTPGLLPSWSDQAKNEKILNSVKAFIKKNPPDIVLYLDRLDMQSRDSGDMPLLRTISDVFGPSIWFNAIVGLTHAASVPPDGPNGTASSYDMFVTQRSHVIQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANALLKLQDNIPGRPFAARSKAPPLPFLLSSLLQSRPQPKLPEQQYGDEEDEDDLDESSDSDEESEYDQLPPFKSLTKAQMATLSKSQKKQYLDEMEYREKLLMKKQMKEERKRRKMFKKFAAEIKDLPDGFSENVEDESGGPASVPVPMPDLSLPASFDSDNPTHRYRYLDSSNQWLVRPVLETHGWDHDIGYEGVNAERLFVVKDKIPISVSGQVTKDKKDANVQLEMASSVKHGEGKSTSLGFDMQTVGKELAYTLRSETRFNNFRRNKAAAGLSVTLLGDSVSAGLKVEDKLIASKWFRMVMSGGAMTSRGDFAYGGTLEAQLRDKDYPLGRFLTTLGLSVMDWHGDLAIGGNIQSQVPIGRSSNLIARANLNNRGAGQVSVRVNSSEQLQLAMVAIVPLFKKLLSYCYPQTQYGQ >fgenesh2_kg.3__3253__AT2G16700.1 pep chromosome:v.1.0:3:21381360:21382413:1 gene:fgenesh2_kg.3__3253__AT2G16700.1 transcript:fgenesh2_kg.3__3253__AT2G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L7M8] MATTGMRVTDECTSSYMEMKWKKIHRYIIFKIEEKSRKVTVDKVGGAGESYHDLAASLPVDDCRYAVFDFDFVTVDNCRKSKIFFIAWSPEASKIRAKILYATSKDGLRRVLEGIHYELQATDPTEMGFDIIQDR >fgenesh2_kg.3__3254__AT2G16710.1 pep chromosome:v.1.0:3:21391652:21393905:1 gene:fgenesh2_kg.3__3254__AT2G16710.1 transcript:fgenesh2_kg.3__3254__AT2G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASQVLAAAAARVGPALRKQVLTLTDEAASRVHHLLQQRQKPFLRLGVKARGCNGLSYTLNYADEKGKFDELVEEKGVRILVEPKALMHVIGTKMDFVDDKLRSEFVFINPNSQGQCGCGESFMTTSTSSAKQSAS >fgenesh2_kg.3__3257__AT2G16730.1 pep chromosome:v.1.0:3:21437124:21441441:-1 gene:fgenesh2_kg.3__3257__AT2G16730.1 transcript:fgenesh2_kg.3__3257__AT2G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:D7L864] MRIHSSDHSWLLLAILVILLSFSGALSSDDKEKKTKSVDKKKEVTYDGTSLIINGNRELLYSGSIHYPRSTPEMWPNIIKRAKQGGLNTIQTYVFWNVHEPEQGKFNFSGRADLVKFIKLIEKNGMYVTLRLGPFIQAEWTHGGLPYWLREVPGIFFRTDNTPFKEHTERYVKVILDKMKEEKLFASQGGPIILGQIENEYSAVQRAYKEDGLNYIKWASKLVHSMDLGIPWVMCKQNDAPDPMINACNGRHCGDTFPGPNKENKPSLWTENWTTQFRVYGDPPAQRSVEDIAYSVARFFSKNGTHVNYYMYHGGTNFGRTSAHYVTTRYYDDAPLDEYGLEREPKYGHLKHLHNALNLCKKALLWGQPRVEKPSNETEIRYYEQPGTKVCAAFLANNNTESAEKIKFKGKEYIIPHRSISILPDCKTVVYNTGEIISHHTSRNFMKSKKANKNFDFKVFTETVPSKIKGDSYIPVELYGLTKDETDYGWYTTSFKIDDNDLSKKKGSKPTLRIASLGHALHVWLNGEYLGNGHGSHEEKSFVFQKPISLKEGENHLTMLGVLTGFPDSGSYMEHRYTGPRSVSILGLGSGTLDLTEENKWGNKVGMEGEKLGIHAEEGLKKVKWQKFSGKEPGLTWYQTYFDAPESQSAAAIRMNGMGKGLIWVNGEGVGRYWMSFLSPLGQPTQIEYHIPRSFLKPKKNLLVIFEEEPNVKPELIDFVIINRDTVCSHIGENYTPSVRHWTRKNDQVQAITDDVHLTASLKCSGTKKISEVEFASFGNPNGTCGNFTLGTCNAPVSKKVVEKYCLGKAECVIPVNKSTFQQDKKDSCPKVEKKLAVQVKCGRDKKN >fgenesh2_kg.3__3258__AT2G16740.1 pep chromosome:v.1.0:3:21450067:21451229:1 gene:fgenesh2_kg.3__3258__AT2G16740.1 transcript:fgenesh2_kg.3__3258__AT2G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 27 [Source:UniProtKB/TrEMBL;Acc:D7L865] MATRRILKELKELQRDPPVSCSAGPTGEDMFHWQATIMGPNESPYAGGVFLVNIHFPPDYPFKPPKVVFRTKVFHPNINSNGNICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPDIAHIYKTDKAKYEAMARSWTQKYALF >fgenesh2_kg.3__3259__AT2G16750.1 pep chromosome:v.1.0:3:21486924:21490497:1 gene:fgenesh2_kg.3__3259__AT2G16750.1 transcript:fgenesh2_kg.3__3259__AT2G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L868] MAVEKDRKVDHVSVKQRNIVLVGIRIDESGKEILKWALEEVAEHGDFVVVVHVCFTSYRALKSKSSLDRYLNLYSEFCSTKKIELKGEVMKGNSVLGVIVKEAKRYNAMSVVVGVKQQRKLSLKIAKGCAKELPSTTDVLAIHRGSIVFRRSNHYQLPLAQKMSSRTSSELADGFSDKESELKSEESTVKSKELAKTTGQEKRKISGRSLSLPSVEVVDQTPGWPLLRTTTLATSMVQHQTRKISVVNWVMSLPERFPHHPNMTSQPSFFDKQLKDILKEINRWFSYDVLKIATSNFSSENLIGKGGCNEVYKGFLEDGKAVAVKILRPSVKEAVKEFVHEVSIISSLSHPNISPLIGVCVHYNDLISVYNLSSKGSLEETLQGKHVLRWEERFKIAIGLGEALDYLHNQCSNPVIHRDVKSSNVLLSDEFEPQLSDFGLSMWGSKSCLYTIQRDVVGTFGYLAPEYFMYGKVSDKVDVYAFGVVLLELISGRTPISSDSPRGQESVVMWAKPMIEKGNAKELLDPNISGTFDEDQFHKMVLAATHCLTRAATYRPNIREILKLLRGEDDVSKWVKIVEEDEDCFDDEVYPNSNTELHLSLAMIDVEDNDSVSISSLERSNNSLFSSSSSQELQS >fgenesh2_kg.3__3261__AT2G16760.1 pep chromosome:v.1.0:3:21499519:21501198:1 gene:fgenesh2_kg.3__3261__AT2G16760.1 transcript:fgenesh2_kg.3__3261__AT2G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSFCSGRCSAALFLVISAVPIAYLISLELAVPSTHVFSYQSSGFFRECAKWDDVGRRFLVSFMDGGGVGEIVPKDSDDVLEEVTLVKDVDLAGNASLGIVIDRVRNRLLVAVADLLGNRYSALAAYDLSTWRRLFLAELSGHSKEKTFADDVAVDEQGNAYVTDAKASKIWKVDVNGKLVNTITSSLFTPPGWYNNLVTLNGIVYHPDGFLIVIHTFSGYLYKIDLTNGDVSNQVTVIDVSGGTLRFGDGLELLSPTKIVVAGSSSSKLVESSDGWRTASVTGWFSSGMVHRLVSSATVKEGRVYLNHIVGFGSKKKHVLVEAVF >fgenesh2_kg.3__3262__AT2G16780.1 pep chromosome:v.1.0:3:21503817:21505977:-1 gene:fgenesh2_kg.3__3262__AT2G16780.1 transcript:fgenesh2_kg.3__3262__AT2G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGKEDTGMDQVEEDFSVWKKNTPFLYDLLISHPLEWPSLTVHWVPSTPSPYAADPYFGVHKLILGTHTSGSAQDFLMVADVVTPTPNGEPGLGGPNQDPIIPKVEIRQKIRVDGEVNRARCMPQKPTLVGAKTSGCEVFLFDYAKHAATPQTSECDPDLRLVGHDKEGYGLSWSPFKEGYLLSGSQDKKICLWDVSATPQDKVLNAMFVYEGHESSIADVSWHMKNENLFGSAGEDGRLVIWDTRTNQMQHQVKIHEREVNYLSFNPFNEWVLATASSDSTVALFDLRKLNAPLHVMSSHEGEVFQVEWDPNHETVLASSGEDRRLMVWDLNRVGEEQLEIELDAEDGPPELLFSHGGHKAKISDFAWNENEPWVIASVAEDNSLQVWQMAESIYRDENDAEDIKEDITQQS >fgenesh2_kg.3__3263__AT2G16800.1 pep chromosome:v.1.0:3:21511899:21516584:1 gene:fgenesh2_kg.3__3263__AT2G16800.1 transcript:fgenesh2_kg.3__3263__AT2G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g16800/T24I21.21 [Source:UniProtKB/TrEMBL;Acc:D7L874] MDRLLQPPSSRTIAPSKPQSRPSPLLHRLHSPSISFTSSHRLESRRISSISCFFRQNPSPDSSPGLNQSSNFLIASSQTDGSKPNPGFIQRIVSSFEQRKTIPAGMVILVSAVAALLLNPILTPPAFASFQTATNSGGAAVGGKLLRTEVLTSAWTGFFAGCLHTLSGPDHLAALAPLSIGRTRMESAAVGALWGCGHDAGQLIFGLLFLLLKDRLHIEVIRTWGTRVVGLTLLVIGAMGIKEASEIPEPCVVTLENGETDEKSSKKKKIGFATFATGIVHGLQPDALMMVLPALALPSRIAGASFLIMFLIGTVIAMGSYTVFIGSCSEALKEKVPRITEKLTWASSLVAIGLGLAIIVSQFFGFSLY >fgenesh2_kg.3__3264__AT2G16850.1 pep chromosome:v.1.0:3:21530610:21532362:1 gene:fgenesh2_kg.3__3264__AT2G16850.1 transcript:fgenesh2_kg.3__3264__AT2G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIP2_8/PIP3B [Source:UniProtKB/TrEMBL;Acc:D7L876] MSKEVSEEGRHGKDYVDPPPAPLLDMAEIKLWSFYRAIIAEFIATLLFLYVTVATVIGHKNQNGPCDGVGLLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLPRAVAYMVAQCLGAICGVGLVKAFMMTPYKRLGGGANTVADDYSTGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNEKAWDDHWIFWVGPFLGALAAAAYHQYILRAAAIKALASFRSNPTN >fgenesh2_kg.3__3265__AT2G16860.1 pep chromosome:v.1.0:3:21533930:21536483:-1 gene:fgenesh2_kg.3__3265__AT2G16860.1 transcript:fgenesh2_kg.3__3265__AT2G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GCIP-interacting family protein [Source:UniProtKB/TrEMBL;Acc:D7L877] MVTERRVHPDCINASNPYHECVEYCFKKIAEAKARFEKQNTGFAKVHEQTREPLDDKRIEEDSSEEEEEEEDNQEPQVDVTQLTGRQKKLFELRLKMNEARKSNQTDVGSEKKKMEAPTETKGISKQKWLEGRKKKIGKLLDANGLDMTKAYMLDTQETAETKYKKWEKEPTPAGWDVFNQKTLYNAYKKRTKNIQVDLEEYNRMRAADPEFYREASSLQYGKAPKTSQDKIDKMAKELLDREQKRQEFSRRRKFREEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >fgenesh2_kg.3__3266__AT2G16870.1 pep chromosome:v.1.0:3:21537390:21540965:-1 gene:fgenesh2_kg.3__3266__AT2G16870.1 transcript:fgenesh2_kg.3__3266__AT2G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSRTWTYRVFASFHGPDVRKSFLSHFRKQFISNGITMFDDQKIVRSQTIAPSLTQGIRESRISIVILSKNYASSTWCLDELLEILKCREDIGQIVMTVFYGVDPSDVRKQTGEFGTVFNKTCARRTKEERRNWSQALNDVGNIAGEHFLNWDNEAEMIEKIARDVSEKLNATPSSDFDGMVGMEAHLKEIELLLDVDYDGVKVVGIFGPAGIGKTTIARALHSLIFNKFQLTCFVENLSGSYSIGLDEYGLKLRLQEHLLSKILKLDGMRISHLGAVKERLFDMKVLIVLDDVNDVKQLEALANDTTWFGPGSRVIVTTENKEILQRHGIDNTYHVGFPSDEKAIEILCRYAFKQSSPRRGFKYLAKNVTWLCGNLPLGLRVVGSSLHGKNEDEWVSVIRRLETIIDRDIEEVLRVGYESLHENEQSLFLHIAVFFNNKDVDLVKAMLADDNLDIAHGLKIMVNKSLIYVSTNGEIRMHKLLQQVGKQAINRQEPWKRLILTNAQEICHVLENDKGTGVVSGISFDTSGISEVILSNRALRRMCNLRFLSVYKTRHDGNDIMHIPDDMKFPPRLRLLHWEAYPSKSLPLGFCLENLVELNMKDSQLEKLWEGTQLLRNLKKMDLSRSVHLKELPDLSNATNLERLELGDCMALVELPTSIGNLHKLENLVMSNCISLEVIPTHINLASLEHITMTGCSRLKTFPDFSTNIERLLLRGTSVEDVPASISHWSRLSDFCIKDNGSLKSLTHFPERVELLTLSYTDIETIPDCIKGFHGLKSLDVAGCRKLTSLPELPMSLGLLVALDCESLEIVTYPLNTPSARLNFTNCFKLDEESRRLIIQRCATQFLDGFSCLPGRVMPNEFNHRTTGNSLTIRLSSSVSFKFKACVVISPNQQHHPSEHTDIRCIVGSYNKVICVEHPSESTRIRTEHLCIFHGSVSEVSSSEALFEFCIDDSNPFDNFKILECGVRILTNEPERSSKTVSRGLKSHAKIFKTSLRKRKQKKMIGYLLKDPRKFGLPRDRIIELHDSEDNNNDHIVSYIEGKKKPIDCWSWFFICFDLSKIVRNIGKLVLGKETTDCIDRGALHEYSIRKE >fgenesh2_kg.3__3267__AT2G16880.1 pep chromosome:v.1.0:3:21544436:21546818:-1 gene:fgenesh2_kg.3__3267__AT2G16880.1 transcript:fgenesh2_kg.3__3267__AT2G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L879] MATLKPLESQLLKTLTSILTSEKTHLLETLNPYIPQITQPLLSSLLSSPSLAKKPETLVSFFQWAQSSIPEAFPSDSPLPLLSVVRSLLSHHKFADAKSLLVSYIRTSDASLSLCNSLLHPNLHLSPPPSKALFDIALSAYLHAGKPHVALQVFQKMIRLKLKPNLLTCNTLLIGLVRYPSSFSIASAREVFDDMVKIGVSLDVKTFNVLVNGYCLEGKLEDALGMLERMVSEFNVNPDNVTYNTILKAMSKKGRLSDVKDLLLDMKRNGLVPNRVTYNNLVYGYCKLGSLKEAFQIVELMKQTNILPDLCTYNILINGVCNAGSIREGLELMDVMKSLKLQPDVVTYNTLIDGCFELGLSLEAKKLMEQMENDGVKPNQVTHNISLKWLCKEEKREEVTRKVKELVEMHGFSPDIVTYHTLIKAYLKVGDLSGALEMMREMGQKGIKMNTITLNTILDALCKERKVDEAHNLLDSAHKRGYIVDEVTYGTLIMGYFREEKVEKAFEMWDEMKRIKITPTVTTFNSLIGGLCHHGKTELAMEKFDELAESGLLPDDCTFNSIILGYCKEGRVEKAFEFYNESIKHSFKPDNYTCNILLNGLCKEGMTEKALNFFNTLITEREVDTVTYNTMISAFCKDKKLKEAYDLLSEMEEKRLEPDRFTYNSIITSLMEDGKLSEADELLKKFSGKFGSMKRNLHLETEKNPATSESKEELKTEAIAYSDVINELCSRGRLKEHSTSYTG >fgenesh2_kg.3__3269__AT2G16890.2 pep chromosome:v.1.0:3:21555245:21557533:1 gene:fgenesh2_kg.3__3269__AT2G16890.2 transcript:fgenesh2_kg.3__3269__AT2G16890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L881] MAVSTHHHVVLFPYMSKGHIIPLLQFGRLLLRHHRKEPTISFTVTVFTTPKNQPFISDFLSDTPEIKVISLPFPENITGIPPGVESTDKLPSMSLFVPFTRATKLLQPFFEETLKNLPQVSFMVSDGFLWWTSESAAKFKIPRLVFYGMNSYSAAVSIAVFKHKLFTEPGTKSDTEPVTVPDFPWIRVKKCDFDHGTTDPKESGAALELTMDQIMSNNTSLGFLVNSFYELESTFVDYNNNSYDRPKSWCVGPLCLTDPPKPRRAKPAWIHWLDRKREEGRPVLYVAFGTQAEISDKQLMELALGLEDSKVNFLWVTRKDVEEILGEGFHDRIRESGMIVRDWVDQWEILSHESVKGFLSHCGWNSAQESICVGVPLLAWPMMAEQPLNAKMVVEEIKVGVRVETEDGSVKGFVTREELSRKIKELMEGETGKTARKNVKEYSKMAKAALVEGTGSSWKNLDLILKDLCKSRDSNGANE >fgenesh2_kg.3__326__AT3G03770.1 pep chromosome:v.1.0:3:1223020:1226818:-1 gene:fgenesh2_kg.3__326__AT3G03770.1 transcript:fgenesh2_kg.3__326__AT3G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFCGMPLLLLVLLLASIDGSTQLQSSQSQTLLRLQQLLFYPKVLSSWNNFTDFCNSEPSPSLTVVCYEDSVTQLHIIGDNGTHMLPKSFSINSFVTTLVKLPDVKVLTFASLGLWGWLPQKINRLSSLEILNVSSNFFFGPIPHELSSLANLQTLILDENMFSGQLPDWIGSLPSLAVLSLRKNVFNGSLPSSLINLSGLRVLALANNRFNGALPDLSHLTNLQVLDLEGNSFGPLFPRLSNKLVTLVLSKNKFRSAVSAEEVSSLYQLQHLDLSYNTFVGPFPTSLMSLPAITYLNISHNKLTGRLSANLSCNSQLMFVDMSSNLLTGSLPTCLKPSSGTSRDVVYASNCLATTNEDQRPVSFCSNEALAVGILPQRRNKVSKVGIALGVTASILGVILLACALFVVLRRLNAKRTVTISSPRLIRENASMGYTSKLLSDARYISQTMKLGALGLPAYRTFSLEELEYATNNFESSAFMGEGSQGQIYRGRLKDGSFVAIRCLKMKKSCSTQNLMHHIELIAKLRHRHLVSVLGHCFECYLDDSTVSRMFFVFEYVPNGELRSWISDGHMGRLLTWEQRISVAIGVAKGIQFLHTGIVPGVYDNNLKITDILLDNNLAAKVSSYNLPLLVEGLGKVGQVGSRSGPKGTPIIKSEDKIDIYDFGVILLELIVGRPLRAKSQVDVLKEQLQASISADDGARRSMVDPTVHRDCSDQSLKTMMEICVRCLLKDPLERPSIEDVMWNLQFASQVQEGWLQNSNPSSIRGSPSPDASSLPPPSRLHVTTLESPRDSGCEEHER >fgenesh2_kg.3__3271__AT2G16900.1 pep chromosome:v.1.0:3:21607489:21609899:-1 gene:fgenesh2_kg.3__3271__AT2G16900.1 transcript:fgenesh2_kg.3__3271__AT2G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g16900/F12A24.8 [Source:UniProtKB/TrEMBL;Acc:D7L886] MDVNRKAHPDCRYSSNPFHECASDCLEKISQGRGNKHSKKQGAKILSLPGSFGKKKTESQPLSPLSTRNYQNGAANSPKIHQSRPSPVAVKKTTVPEANKSFPSLSPDEISIDINGQHDSFNHKAEKPSRTVPLSPNRMADGGKPVSPRPRGHDHSGKNETASEISVFNVVSPPRSCANDDDDDDENNDYEEGVELDLISVMSDSCVSVGKYRVNSSVSTILQSIIDKHGDIAANCKLESASMRSRYLECLCSLMQELGSTPVGQLTELKVKEVVAVLKDLESVNIDVGWMRSVLEEFAQYQENTDSEKERQEGLVRFKKQEMEIQEADLARIEKEVAEARLRVEEMKAELAELETERLRMEEMGFKVEKYKGKSFLDELL >fgenesh2_kg.3__3272__AT2G16910.1 pep chromosome:v.1.0:3:21621330:21623844:1 gene:fgenesh2_kg.3__3272__AT2G16910.1 transcript:fgenesh2_kg.3__3272__AT2G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNMQNLLEKLRPLVGARAWDYCVLWRLNEDQRFVKWMGCCCGGTELIAENGTEEFSYGGCRDVMFHHPRTKSCEFLSHLPASIPLDSGIYAETLLTNQTGWLSESSEPSFMQETICTRVLIPIPGGLVELFATRHVAEDQNVVDFVMGHCNMLMDDSVTINMMVADEVESKPYGILSGDIQQKGSKEEEMMNLPSSYDISADQIRLNFLPQMSDYETQHLKMKSDYHHQALGYLSENGNKEMMGMNPFNAVAEDGIPVIGEPSLLVNEQQVVNDKEMNENGRVDSGSDCSDQIDDEDDPKYKKKSGKGSQAKNLMAERRRRKKLNDRLYKLRSLVPTITKLDRASILGDAINYVKELQNEAKELQDELEENSETEDGSNRQQGGMSLNGTVVTGFHPGISCNSNVPNAKQDVDLENSNDKGQEMEPQVDVAQLDGREFFVKVICEYKPGGFTRLMEALDSLGLEVTNANTTRFLSLVSNVFKVEKNDNEMVQAEHVRNSLLEITRNTSRGWQDDQMATGSMQNEKNEVDYQHYDDHQHHNSHHHPFDHQMNQSAHHHHHHQHINHYHNQ >fgenesh2_kg.3__3273__AT2G16920.1 pep chromosome:v.1.0:3:21623879:21628536:-1 gene:fgenesh2_kg.3__3273__AT2G16920.1 transcript:fgenesh2_kg.3__3273__AT2G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 22 [Source:UniProtKB/TrEMBL;Acc:D7L888] MEHEQHDPGTSSTNVGVDSPPPLDDSTVIHRSDDSEHPNIYRQDIVKNNRTGSIGVVSEVAGDSDSESDISDEDEDNEEDDDEEEKASEENVGDGDGEKKPDGNYKCGALDGDQIRVLWMDNTEPVQDINDVTVVDRGFLHGDYVASAYEPTGQVGVVVDVNISVDLLAPDGSIHKDISTKNLKRVRDFAVGDYVVHGPWLGRIDDVLDNVTVLFDDGSMCKVLRVEPLRLKPIPKNNLEEDANFPYYPGQRVRASSSSIFKNSRWLSGLWKPNRLEGTVTKVTAGSIFVYWIASAGFGPDSSVSPPEEQNPSNLTLLSCFTHANWQVGDWCLLPSVNQSATIPLHKHVSKLRIYDSQANCADRQQKSGCDLEDVQDGVSGKVGITAETLPKVTSEDPSQRNPSISKEPVHEPWPLHRKKIRKLVIRKDKKIKKKEETFEQALLVVNSRTCVDVAWQDGTIECRREATTLIPIETPGDHEFVSEQYVVEKTCDDGDNTNEPRRAGVVKSVNAKERTASVRWLKPLLRAEEPREFEKEEIVSVYELEGHPDYDYCYGDVVVRLSPVTVALPASSPGNSLEEATQQDNGYQDSESHQEAKIRKDTEENESNTDLSKLSWVGNITGLKDGDIEVTWADGTVSTVGPHAVYVVGRDDDDESIAGESEASDAASWETLNDDDRGAPEIPEEELGRSSSIEGNSDADVNSENDSGRNGALALPLAAIEFVTRLASGIFSRARKSVDSSSSDYTGENVYKQAELTNSSDERDCFLDDPSPSKVNVTDNCESKGTQANAENILSGETSTLLEDEPVPSDGDSCSFRRFDISQDPLDHHFLGVDGQKTKERQWFKKVDQDWKILQNNLPDGIFVRAYEDRMDLLRAVIVGAYGTPYQDGLFFFDFHLPSDYPSVPPSAYYHSGGWRLNPNLYEEGKVCLSLLNTWTGRGNEVWDPKSSSILQVLVSLQGLVLNSRPYFNEAGYDKQVGTAEGEKNSLGYNENTFLLNCKTMMYLMRKPPKDFEELIKDHFRKRGYYILKACDAYMKGYLIGSLTKDASVIDERSSANSTSVGFKLMLAKIAPKLFSALSEVGADCNEFKHLQQQ >fgenesh2_kg.3__3274__AT2G16940.1 pep chromosome:v.1.0:3:21629963:21635000:-1 gene:fgenesh2_kg.3__3274__AT2G16940.1 transcript:fgenesh2_kg.3__3274__AT2G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYEYLEKTVENPHLENEVGNGGGDEKVKSEGRERSRSSRHRGDEKKERDEDEDGRRSKRSRSHHRSRSRDRERDRHRSSREHRDRDREKDIDKEERNGKDRERDRDKDRDGKGRDHEKDRSRRSRSRSERHRSQEREKSLEIEPKERETKDRDRDRRRHKDKKEDKVEPEADPERDQRTVFAYQIALRATERDVYEFFSRAGKVRDVRIIMDRISRRSRGIGYVEFYDTMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTTAAAGAGGMLGPYSGGARRLYVGNLHINMSEDDLRKVFEPFGSVELVQVPRDETGLCKGFGFVQFARLEDARNALNLNGQLEIAGRAIKVSAVTDQTEVPEAGQTQTTGDLDDDDGGGLSLNAQSRALLMQKLDRSGTASSTGLTTAASIHGGVSTISSLAAPALVQGSFPAVAGLAGAGIIPGVIDPVGVPSECLLLKNMFDPSTQTEDDFDKDIEEDVKEECSKFGNLNHIYVDKNSVGFVYLRFENAQAAIGAQRALHGRWFAGKMITATYMTTVAYEAKFPESKVG >fgenesh2_kg.3__3277__AT2G16960.1 pep chromosome:v.1.0:3:21701075:21705512:1 gene:fgenesh2_kg.3__3277__AT2G16960.1 transcript:fgenesh2_kg.3__3277__AT2G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin beta-2 subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7L891] MAYEDDDETLSNDEAQNDNEWNLRACSAKFIGILANVFGDEILLTLMPLIKAKLSRYDDETWKEREVAVYALGAIAEGCNKIFYPHFALLQIVTILRRLLNDQFPLVRRITCWTLYQFGTYVFEENNSVNSELFRKVLKGLLLKVLDTNTCVQEAACLALTTLEEDAGENLVPHLKKILTQLMRAFGKYQRRNLKVLFDAIRALADSVGIDLNKPSYLKILIPPLVSTSEQISDSDKDVIPLLKCFTSISKALEVGFAPFSLYVFERCMDILQLQQLAKVDHDFAEVEYDPKIVVCSLEFVSGVAEGLGSGIESLLSQSNLRDMLLKCCMDETPDVRESAFALICDLTKSENFSGENLSAANNACKAIGELAFKNKLVNDRNLMFQFPQEVSPVVTNVVSSLGLIIQNGETLELESATTLVEYIAIELAMNSAITIGILAFIHPDLGAPHIENFMKPWCMRLATLDDNSTKETAFRGLCAMVKVNPSRYVSSVAFICLAIASWQETRSIVIQNEFSQVLNDYKNMLGRNSWEECLSVLDPVFKERLAERYQV >fgenesh2_kg.3__3281__AT2G16980.2 pep chromosome:v.1.0:3:21719762:21725920:1 gene:fgenesh2_kg.3__3281__AT2G16980.2 transcript:fgenesh2_kg.3__3281__AT2G16980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFRVGELRHILMTVFLSGFAEYLLRPVMTDVTVAAVCSGLNDSCSLAVYLTGVQQVTVGLGTMIMMPVIGNLSDRYGIKALLTLPMCLSILPPAILGYRRDTNFFYAFYIIKTLFDMVCQGTIDCLANAYVAKNVHGTKRISMFGILAGVSSISGVCASLSARFLSIASTFQVAAISLFIGLVYMRVFLKERLQDDDEDDSGDGRSHQEVHDGEDLKMLLAEPVLRDTPTKTHVFNTKYSSLKDMVSLILNSTILIQALVVTFFATFSESGRGSALMYFLKARFEFNKNDFAELFLLVTIIGSISQLFILPILVSAIGERKVLSTGLLMEFFNAACLSVAWSPWVPYAMTLLVPGAMFVMPSVCGIASRQVGSGEQGKVQGCISGVRAFAQVVAPFVYSPLTALFLSEKAPFYFPGFSILCIAISLMIGFLQSLLIKDHPSSPLVNIAINNPSKAEA >fgenesh2_kg.3__3282__AT2G16990.2 pep chromosome:v.1.0:3:21751512:21758093:1 gene:fgenesh2_kg.3__3282__AT2G16990.2 transcript:fgenesh2_kg.3__3282__AT2G16990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetracycline transporter [Source:UniProtKB/TrEMBL;Acc:D7L897] MEDGIGGLRHMLTTVFFSAFAGFMVVPVITDVTVAAVCSGPDDSCSLAVYLTGFQQVAIGMGTMIMMPVIGNLSDRYGIKTILTLPMCLSIVPPVILGYRRDTKFFYVYYISKILTAMVCEGTIDCLANAYVAVNIHGSTRISAFGILAGIKTIAGLFGTLVARFLPIALTFQVSAISFLVGLVYMRIFLTEKLNDDDHHRGTYHQEDHDSINATMLAEPILNETPIKTQVFHKKYSSLKDMISLMKTSTIFFQALVVTFFSSFSDSGMESAFLYFLKARFGFDKKQFADLLLLITIVGSISQLFVLPRFASAIGERKLLSTGLFIEFINMAIVSISWAPWVPYLTILFVPGALFVMPSVCGIASRQVGPGEQGKVQGCISGVRSFGKVVAPFVFSPLTALFLSDNAPFYFPGFSLLCISLSSLIGFFQSLLIKDVPTPPLNKAINKTSGEEV >fgenesh2_kg.3__3284__AT2G17000.1 pep chromosome:v.1.0:3:21760641:21763653:-1 gene:fgenesh2_kg.3__3284__AT2G17000.1 transcript:fgenesh2_kg.3__3284__AT2G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L8Q2] MDFRQPFNSHSSYKQIISTGDQTEKTKKKKKKLSVLDDEDDHTNHIAKTQSSGSSFDGHSYKFWEDIAPDDHLRNRKSGSFDFPQFREEITLDVNDETEETEDISNNNNMSGSKETRVSFKINSSGTNNMSGSVRSCTSSTSFSSATMRMNLEQQLEEEGEVVVRCSSMRKSELVSRAKARSRLIDPPQEEEQQYSSWIGTSDQLRSGLLGRQSDDIEEEDDSLAEEDVPQEYRRLKMDAITLLQWLSLIALVVALVLSLALHTWRNATIWSLHLWKWEVVLLVLICGRLVSGCGIRIIVFFIERNFLLRKRVLYFVYGVKTAVQNCLWLGLVLLAWHFLFDKKVERETQSDVLLLVSKILVCFLLSTVLWLIKTLVVKVLASSFHVSTYFDRIQEALFHHYLIETLSGPPMLELSRIEEEEDRAQEEILKMQKGGADLSPELCSAAFPQEKSGSTMNTKFSPIIPKTGTDNGITMDDLNKMNQKNVSAWNMKRLMKIVRNVSLTTLDEQALQNTSEDESTRQIRSEKEAKAAARKIFKNVAQPGTKHIYLEDLMRFLRVDEAMKTMCLFEGALLTKKITKSALKNWLVNAFRERRALALTLNDTKTAVNKLHHMISFLTAIVIIVIWLILLEIATSKYLLFLTSQVVLLAFMFGNSLKTVFESIIFLFIIHPYDVGDRLLIDTVEMVVEEMNILTTVFLRADNLKIVYPNILLWQKAIHNYHRSPDMGDEVTCCVHITTPPEKIAAIKQRISSYIDSKPEYWYPKADIIVKDVEDLNIVRIAIWLCHKINHQNMGERFTRRALLVEEVIKILLELDIQYRFHPLDINVKTMPTVVSSRVPPGWSQNPDSG >fgenesh2_kg.3__3285__AT2G17010.1 pep chromosome:v.1.0:3:21764408:21767537:-1 gene:fgenesh2_kg.3__3285__AT2G17010.1 transcript:fgenesh2_kg.3__3285__AT2G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L8Q3] MDFRNSFKSHSSYKQIRSPGDQSEPSPEHLPILHDHDHHPDHSSMVIDDPKPESTRSSLDDGRNAPVERDASYKFWQDNTGTPTDDTAVRTSDKDPIATIRKGDRLSGSFDFAQGKLPVEDSPTKMVAGEPMNRQWRGRNNEEITLDVDQENDDVSHQTMPTPTSTARTSFDASRELRVSFNVRRAGGTFVAGSVPSSSSHSTTSSSATMRTNQEQPQQQEDEVVRCTSNMSFQRKSELISRVKTRSRLQDPPREEETPYSGWRSGQLKSGLLGDIDEEDDPLAEEDVPDEYKRGKLDAITLLQWLSLIAIIAALACSLSIQSWKKVRVWNLHLWKWEVFLLVLICGRLVSGWGIRIVVFFIERNFLLRKRVLYFVYGVRRAVQNCLWLGLVLLAWHFLFDKKVQRETRSRMNHKNISAWNMKRLMKIVRNVSLTTLDEQMLESTYEDESTRQIRSEKEAKAAARKIFKNVEQRGAKYIYLEDLMRFLREDEAMKTMGLFEGAPETKRISKSALKNWLVNAFRERRALALTLNDTKTAVNKLHHMINIVTAIVIVVIWLVLLEIASSKVLLFVSSQVVLLAFIFGNTVKTVFESIIFLFIVHPYDVGDRCEIDSVQLVVEEMNILTTVFLRYDNLKIMYPNSLLWQKSINNYYRSPDMGDAIEFCVHITTPLEKIAVIKQRISNYIDNKPEYWYPQAKIIVKDLEDLHIVRLAIWPCHRINHQDMAERWTRRAVLVEEVIKILLELDIQHRFYPLDINVRTMPTVVSSRVPPGWSQNQPA >fgenesh2_kg.3__3286__AT2G17020.1 pep chromosome:v.1.0:3:21767919:21770454:-1 gene:fgenesh2_kg.3__3286__AT2G17020.1 transcript:fgenesh2_kg.3__3286__AT2G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L8Q4] MATTVLCGGGGDVDGCDGERSLDLLPAALLETIMTKLDVGSLCSLASTCKTLKSCVTRVLTFTPNFHIFNVSLSMETVRPLLFPNQQLSSLKLDCGRLGNSAIDILVRPSLREISLHNCRDFSGDLISEIGRRCKDLRLLCLGSVAEKVGRSISRCAFEDLLNGCSHLEVLALMFDLSLYLRPGDGRIFGLVSDKLTHLELGHISSRMMTQLLTSTEISGQDSSRVTSTVLQNVQQLRLSVDCITDAVVKAISKSLTSLIDLDIRDAPLEDPRQLSDLTDFGLHEINQNGKLKHLSLIRSQEFHPTYFRRVSDQGMLFLADKCLGMESICLGGFCRVTDAGFKTILHSCASLSKFSIYHGPKLTDLVFHDILATTLSLSHVCLRRCHLLTDHAIQKLASSLKLENLDLRGCRNLRDGTLKAVSHLPKLKVLLLDGTDISDTGLSYLKEGLLDSLVSLSVRGCRNLTDKFMSTLFDGSSKLVLRELDLSNLPNLTDAAIFALAKSGAPITKLQLRECRLIGDASVMALASTRVYEDECPGSSLCLLDLYDCGGITQLSFKWLKKPFFPRLKWLGITGSVNRDIVDALARRRPHLQVSCRGEELGNDGEDDWDSADIHQHIEAQEDELEQWILGDEGDVEMEDAEDDSEEDASEED >fgenesh2_kg.3__3287__AT2G17030.1 pep chromosome:v.1.0:3:21771264:21783319:1 gene:fgenesh2_kg.3__3287__AT2G17030.1 transcript:fgenesh2_kg.3__3287__AT2G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWSTLPKDLLDLISKSLESSFDLVQFRSVCSSWRSAAEPKRPLPTHHLPILPDNGGSLFPDSAVGFRLSQRSILLIKPHEPPHNEADSFGWLIKVEEDLNVPRKVTLLDPLCDKRNSIPENFPHVLDMSKFKVRELGREFKLHYFNTVGDIVESLYLEKAVVKYLDCDVEYKFVLLTIHVSGKLAVFRSWDRAWTVINDMPSPYDDVILFDGRFFAVDNNGRTVVVDYSSLKLTLVASPVFGGDKKFLIESCGEMLLVDMYLSLEAVEGDPGFVEEIFEHPAFYMNERTVKFKVYRFVEREESWVDVYDLEDKMLFLGDDSTFSASASDILPTCDGSSVFFNGNVFNGEDLGAMQDRDLGVFDLRTGKIELVQKLPEYAKLFWPPPPWITSRA >fgenesh2_kg.3__3288__AT2G17033.2 pep chromosome:v.1.0:3:21783616:21786104:1 gene:fgenesh2_kg.3__3288__AT2G17033.2 transcript:fgenesh2_kg.3__3288__AT2G17033.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L8Q6] MAALIQTVHGVLVFTRTLTLVYPSTFMSRHKALYQMISSSNSGLPRFNFTPPQRLSQGICYWGEELSNVSCTYRTKLVVRCKAGVVPLMKQGDRFLSSLSSPALAGDPSATHRHIKKFVAASPKSVTLNVLSHLLSDQTSYPHLSFFALSLYSEITEASWFDWNPKLIAELVAVLNNQERFDESETLLSTAVSRLKSNERDFALFLCNLVESNSKQGSIQGFNEACFRLRERIQRSSSVYVKTQAYKSMVAGLCNMDQPHDAERVIEEMRVEKIKPGSFEHKSVLYGYGRLGLFDDMNRVVHRMETEGHKIDTVCSNMVLSSYGAHDALPQMGSWLQKLKGFNVPFSIRTYNSVLNSCPTIMSLLKDLNSCPVSLSELRTFLNEDEALLVLELTQSTVLDEAIEWNAVEGKLDLHGMHLSSSYLILLQWMDEIRLRFRDQKCVIPAEIVVVSGSGKHSNVRGESPVKALVKKIMVRTESPMRIDRKNVGSFIAKGKNVKEWLCK >fgenesh2_kg.3__3290__AT2G17036.1 pep chromosome:v.1.0:3:21786372:21787848:1 gene:fgenesh2_kg.3__3290__AT2G17036.1 transcript:fgenesh2_kg.3__3290__AT2G17036.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L8Q7] MDWAKLPKELLDLISKCLESSFDLIQFRSVCSSWRSAAGPKRLLWAHNLPFFPSIDKPFLSNVILRVAHGSILLIKPHEPRCEADLFGWIVKVWDNIYVSRKMTLLKPMSFSRNYFPRNLPRILDMSKFRVRELCREVKLYHPDYNCDPGHPPLELELGKTVVKYLEDDKFVLLTILEYEKLAVFRSWDRAWTVINDYMPFRCQDLIMFDRRFFAIDYNGRTVVVDYSSFKLTLAADPLIGGGDKKFLIASCGEMFLVDIEFCRNRPNEDPEITGGFYSYFYETTVSYKFKFFKLVEREKRWVEVEDLGDKMFFLGDDSTFSASAADIIPLCVGTGSSVFFYTHEESLFVMDDRNLAVFDIRSGKIELVNKLPEYAKLFWPPPPWITTSHEVSSFQSLNHRVLKIILEKHHPNLEIHLYNPEF >fgenesh2_kg.3__3291__AT2G17040.1 pep chromosome:v.1.0:3:21791267:21792425:1 gene:fgenesh2_kg.3__3291__AT2G17040.1 transcript:fgenesh2_kg.3__3291__AT2G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC036 [Source:UniProtKB/TrEMBL;Acc:D7L8Q9] MGKEIELPGFRFHPTEEELLDFYLKNMVYGKRSSVEVIGFLNIYRHDPWDLPGLSRIGEREWYFFVPRERKHGNGGRPSRTTEKGYWKATGSDRKIISLSEPKRVIGLKKTLVFYRGRAPGGSKTDWVMNEFRMPDNCSLPKDVVLCKIYRKATSLKVLEQRAEMEAKMNQTCPNSPLSSSETISFVGKEENMMTSFRVPQVIAMEDANKILTLQENAKTEEKQRETETKEPSSSLKLPFGSLPELQLPKAGGEWGDQLLSISPWLQNLTPIVNLLNW >fgenesh2_kg.3__3294__AT2G17110.1 pep chromosome:v.1.0:3:21827876:21830925:-1 gene:fgenesh2_kg.3__3294__AT2G17110.1 transcript:fgenesh2_kg.3__3294__AT2G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTSKLDDLPAVALCRDRCSFLEAAIHQRYALSEAHVSYTQSLKAIAHSLHQFINHHDRNDDDDSDSPKKAKPNMDPGSGHLDFDSDSDDDDDIDSLHSSPLHHHLDDDSNSKSYLHMNYMKKSSMPPSVVYEQRPSSPQRVYFGESSSTSEYYHPYLNSRLPPAPPSPPREKVWDFLNPFDTYYTPYTPSRDSRELREELGVPDLEDDDEVVKEVHGKQKFVAAASVEEPLGNSGASTSGGGGGGEGQASLYQTRPSVLVEKEEMEHEVYVVEKKVVEDGGGGDEVRKSNAAVARGGGDVRRRVPEVAKEIEVQFLRAAESGNEIAVMLEVGKHPYGRKHVSSKKLHEVNPSPSVVSSAQSSPSKKAKAEASSSVAAPTYADIEAELALRSRNLSSTLHKLHLWEKKLYDEVKAEEKLRVNHEKKLRKLKRMDERGAEDQKVDSTRKLVRSMSSKIRVAIQVVDKISITINKIRDEELWLQLNELIQGLSKMWKYMLECHQSQCEAVKEARGLGPIRASKNLGDNHLEVTRTLGLDLINWIVGFSSWVSAQKGFVRELNSWLMKCLFYEPEETPDGIVPFSPSRIGAPLIFVICNQWEQALDRISEKEVIEAIRRFTTSVLHLWEQDRLATRERMMGHGDPRNMDREELRIQKEIQELEMKMILVGPGEDNIVYQSDTSNGSLQGSLQRIFEAMERFTEESMKAYVDLLHRAEDDECGSRETDEED >fgenesh2_kg.3__3295__AT2G17130.1 pep chromosome:v.1.0:3:21842818:21844737:-1 gene:fgenesh2_kg.3__3295__AT2G17130.1 transcript:fgenesh2_kg.3__3295__AT2G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQSISLLKNLRSIATGSKIQTRSVTYMPRPGDGKPRPVTLIPGDGVGPLVTNAVEQVMEAMHAPVYFEPFEVHGDMKSLPEGLLESIKKNKVCLKGGLKTPVGGGVSSLNVNLRKELDLFASLVNCFNLPGLASRHENVDIVVIRENTEGEYAGLEHEVVPGVVESLKVNTKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCQEVAKKYPSIAYNEIIVDNCCMQLVARPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGAEYAVFEQGASAGNVGKDTTEEQKNANPVALLLSSAMMLRHLQFPSFADRLETAVKRVISEGKCRTEDLGGNSTTQEVVDAVIANLD >fgenesh2_kg.3__3297__AT2G17140.1 pep chromosome:v.1.0:3:21845141:21848912:1 gene:fgenesh2_kg.3__3297__AT2G17140.1 transcript:fgenesh2_kg.3__3297__AT2G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7L8S3] MEQSLVKALLKNTNNPRLAWRIFKRIFSSPSEESHGISLAATPTMACILVRAKMHEEIEELHNLILSSSIQKTKLSSLLSVVSIFAKSNHIDKAFPQFQFVRSRFPENKPGIYLYNVLLESCIRERRVEFVSWLYKDMVLCGISPETYTFNLLIRALCDSSCVDAARELFDEMPEKGCKPNEFTFGILVRGYCKAGLTDKGLELLNSMESFGVLPNKVVYNTIVSSFCREGRNDDSEKLVEKMREEGLVPDIVTFNSRISALCKEGKVLDASRIFSDMELDEYLGLPRPNSITYNLMLKGFCKVGLLEDAKTLFESIRENDDLVSLQSYNIWLQGLVRHGKFIEAETVLKQMIDKGIGPSIYSYNILMDGLCKLGMLSDAKTIVGLMKRNGVSPDAVTYGCLLHGYCSVGKVDAAKSLLQEMMRNNCLPNAYTCNILLHSLWNMGRISEAEELLRKMNEKGYGLDTVTCNIIVDGLCGSGELDKAIEIVKGMRVHGSAALGNLGNSYIGLVDDSLIENNCLPDLITYSTLLNGLCKAGRFAEAKTLFAEMMGEKLQPDSLAYNIFIHHFCKQGKISSAFRVLKDMEKKGCHKSLETYNSLILGLGIKNQIFEIHGLMDEMREKGISPNICTYNTAIQYLCEGGKVEDATNLLDEMMQKNIAPNVFSFKYLIGAFCKVPDFDMAQEVFETAVSICGQKEGLYSLMFNELLAAGQLLKATELLEAVLDRGFELGTFLYKDLVVSLCKKDELEVASGILHKMIDKGYGFDPAALMPVIDGLGKMGNKKEANNFAEKMMEMASVGEVANKVDPNATDIHQKKHNKYSGNNWQNILHRDDGSGIALKSLSRVKKGWGQGDISSFQPQRVDYLDYWEDDG >fgenesh2_kg.3__329__AT3G03780.2 pep chromosome:v.1.0:3:1237360:1241267:1 gene:fgenesh2_kg.3__329__AT3G03780.2 transcript:fgenesh2_kg.3__329__AT3G03780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSTAEDLQKVSADLRSDIWKQMSAAGIKYIPSNTFSHYDQVLDTTAMLGAVPPRYGFTSGEIGLDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPEVKFSYASHKAVNEYKEAKALGVETVPVLVGPVSYLLLSKLAKGVDKSFDLLSLLPKILPVYKEVIAELKAAGASWIQLDEPLFVMDLEGQKLQAFSGAYAELESTLSGLNVLVETYFADIPAEAYKTLTSLKGVTAFGFDLVRGTKTIDLIKAGFPQGKYLFAGVVDGRNIWANDLAASLITLQSLEGVVGKDKLVVSTSCSLLHTAVDLVNETKLDAEIKSWLAFAAQKVVEVDALAKALAGQTNESFFTANADALSSRRSSPRVTNESVQKAAAALKGSDHRRTTEVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREYKAKKISEEDYVKAIKEEIKKVVDIQEDLDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPVIYGDVSRPKPMTVFWSSTAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRHETCYQIALAIKDEVEDLEKGGIGVIQIDEAALREGLPLRKAEHSFYLDWAVHSFRITNCGVQDSTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLEQNILWVNPDCGLKTRKYTEVKPALKAMVDAAKLIRSQLGSAK >fgenesh2_kg.3__32__AT3G01840.1 pep chromosome:v.1.0:3:93224:95278:1 gene:fgenesh2_kg.3__32__AT3G01840.1 transcript:fgenesh2_kg.3__32__AT3G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L9K8] MAISVSKQFKKSLVVILLFISLSSLSPTSTSHSCDPVQEEEEEASSFGYVCHSNLQKCHTFAILRAKSPFNSISNLSYHLGLDTEADEFVLQGQLLLIPVECRCNGSIYEANLIKTCVKGDTFRSVSQSLQGLTSCLSIREKNPDISEDKIGDNVKLRLAIRCSCPQEGVSNTSFLVTYPVGVRDSVTSLAVRFNTTEDAIVSANNKSGVVPLKPALIPLDHKPEKPENRQKRKPSKEKRSKMKLMIAVSSAIAGVFGLVTLMVFGYLHWKKETQMQTQTQKWISNKDPETRQLSLSIRTTSDKKISFEGSQDGSILDSHNTVGTTTPRKPVLEMYAFEELEKATENFSSSNHIKGSVYFGSLKGKDLAIKQVSADAVKRFDFGLLNDQSHYYNHNLIRVLGTCFPEIDQDSYLVFEYARNGSLWDWIQNKLAIKNQFIESCYCFLAWKQRIKICHDVAIALKYMHRINYVHGNIKSRNIFLNEDLRGKVGNFGMSKCLTNELATEENLIEGSLSPASDVFAYGIIVMEVLSGQTPEMLLGLQEQETTSLGIEETCVSEWSRLRRVLGDKEKLREVMDSTLGESYSLDSAFELASIARDCTAEEAESRPSAAEIAERVSRLREREYLNFREFIQAFGKEE >fgenesh2_kg.3__3300__AT2G17170.1 pep chromosome:v.1.0:3:21856336:21868943:1 gene:fgenesh2_kg.3__3300__AT2G17170.1 transcript:fgenesh2_kg.3__3300__AT2G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L8S5] NDEVKNDGFVNWPFTEFFLSDLTTATKNFSTDEIISENAEESSNFVYKGRLSENLGFVAVKRFKNTPWPDHEYFTEDAKTAGELKHKRLVKLLGYCCEEDEGLLVAEFMPNDTLAKRLFQEKNMEWSMRLRVAYHIAEALDYCNSVRFDEYHNLSAYTVLFDKDGDACLSSFGFVKEIIRYNRREGGNVSTGNVTYRFGNILLNLLTGVEIPPSHALEMINGKDVTELMDPNLKGKFSTEEATVVLKLASECLQWKDYIENRITKELVATLEALQAKKE >fgenesh2_kg.3__3302__AT2G17190.1 pep chromosome:v.1.0:3:21870657:21874297:-1 gene:fgenesh2_kg.3__3302__AT2G17190.1 transcript:fgenesh2_kg.3__3302__AT2G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein [Source:UniProtKB/TrEMBL;Acc:D7L8S7] MGGEADSSQPLTAEGEAVAVNVRCSNGSKFSVTTSLDSTVESFKELIAQNSDVPANQQRLIYKGRILKDDQTLLSYGLQADHTVHMVRGFVPSSPSAPAANAGNQTTAPQAVSSNDSSNLGGGESLFPGLGFNPLGGGNAMAGLFGSGLPDLEQAQQQLAQNPNMIRDMMNTPAIQNLMNNPEFMRSMIMNNPQMRELVDRNPELGHVLNDPSILRQTLEAARNPELMREMMRNTDRAMSNIESMPEGFNMLRRMYENVQEPLMNATTMSGNAGSNTGSNPFAALLGNQGVTTQGSDVSNNTSTPNAETGTPNANPLPNPWGATAGQTTAPGWTNAGGGLGGLGGLAGLGMLGADSPLGATPDASQLSQILQNPAMSQMMQSVLSNPQYMNQLMSLNPQLRSMLDMNPQLREMMQNPEFLRQFSSPEMMQQMMSLQQSLFSQNRNTAGQDPTQTGAATGTANNGGLDLLMNMFGSLGAGGLSGTNQPNVPPEERYATQLQQLQEMGFYDRAENIRALLATNGNVNAAVERLLGSIGQ >fgenesh2_kg.3__3303__AT2G17200.1 pep chromosome:v.1.0:3:21877150:21880486:-1 gene:fgenesh2_kg.3__3303__AT2G17200.1 transcript:fgenesh2_kg.3__3303__AT2G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein [Source:UniProtKB/TrEMBL;Acc:D7L8S8] MGGEGGDSSQPQTGEGAAVAVNIRCSNGTKFSVKTSLDSTVESFKELVAQSSDVPANQQRLIYKGRILKDDQTLLSYGLQADHTIHMVRGSAPSSAPPSAPAANAGNQTTAPSVTRGVGSDNSSNLGGAGPGESLFPGLGFNPLGGGNAMAGLFGAGLPDLEQAQQQLAQNPNMIRDMMNTPAIQNLMNNPEFMRSMIMSNPQMRELVDRNPELGHVLNDPSILRQTLEAARNPELMREMMRNTDRAMSNIESMPEGFNMLRRMYENVQEPFLNATTMSGNAGNNTSSNPFAALLGNQGVATQGSVASNNSSTTNTGTGTIPNANPLPNPWGATGGQTTVPGRTNAAGDARSPGLGGLGGLGGLGGLGMLGADSPLGATPDASQLSQLLQNPAMSQMMQSVFSNPQYMNQLMNLNPQLQSMLDSNPQLREMMQNPDFLRQFSSPEMMQQMMTLQQSLLSQNRNAASQDAGQTGAATGTNNNGGLDLLMNMFGSLGAGGLSGTNQPNVPPEERYATQLQQLQEMGFYDRAENIRALLATNGNVNAAVERLLGSIGQ >fgenesh2_kg.3__3306__AT2G17220.1 pep chromosome:v.1.0:3:21883040:21885390:-1 gene:fgenesh2_kg.3__3306__AT2G17220.1 transcript:fgenesh2_kg.3__3306__AT2G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCWGSPSDSPPTATPTSTGNISSVGTFKSSNNTTTTGTSRGSNISSNSGFSVASGEDAYPDGQILPIPNLRIFSLTELRAATRNFRSENVLGEGGFGKVFKGWLEDKTAGKHSNGTVIAVKKLNAESFQGFEEWQCEVNFLGRVSHPNLVKLLGYCLEGEELLLVYEYMQKGSLENHLFRKGSSVQPLSWEIRLKIAIGAAKGLAFLHASEKQVIYRDFKASNILLDGSYNAKISDFGLAKLGPSASQSHITTRVMGTHGYAAPEYVATGHLYVKSDVYGFGVVLAEILTGLHALDPTRPTGQHNLTEWIKPHLSERRKLRSIMDPRLEGKYPFKSAFRVAQLALKCLGPEPKNRPSMKEVVESLELIEAANEKPLERRTTRASPSIRQQQGHYRPQQLSSFRPRHTVARAH >fgenesh2_kg.3__3307__AT2G17230.1 pep chromosome:v.1.0:3:21894544:21895903:-1 gene:fgenesh2_kg.3__3307__AT2G17230.1 transcript:fgenesh2_kg.3__3307__AT2G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-responsive 1 family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L8T1] NNNKHTTTVNSLNIPSAAAEIELVNPKLPPRSLSLTSSKKFEGSSNLIHLRYHMGPVLSSSPINIYVIWYGRWSRPHKSLIRDFLNSIFDAKAPSPSVAEWWRTASLYADQTGANVSRSVLIAGEYSDSNYSHGQHLTRLTIQDVIASAARSASFPVDHKNGMYLVLTSHDVTMQDFCRAVCGFHYFTFPSMVGYTMPYAWVGQSGKQCPEVCAYPFALPGYMGHGGPGELRPPNGETGVDGMVSVIGHELAEVVSNPLINAWYAGEDPTAPTEIGDLCEGLYGSGGGGGYIGQVMRDREGKTFNMNGKGGRKFLVQWIWNPNLKACSGPNSVD >fgenesh2_kg.3__3308__AT2G17240.1 pep chromosome:v.1.0:3:21905126:21906252:1 gene:fgenesh2_kg.3__3308__AT2G17240.1 transcript:fgenesh2_kg.3__3308__AT2G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCSAKFLPSPSLDVLGFLSTKRKISSDSRSLVCSSSRVYGFSSIHRSSRRNRNPLHVVSMAPEEEKLTRRNPLDFPIEWERPKPGRRPDIFPKFSPMKTPLPPPMPYDPPEEDEEEEEKKEEEEENPDQEEEEQPEKQQ >fgenesh2_kg.3__3309__AT2G17250.1 pep chromosome:v.1.0:3:21913186:21916830:1 gene:fgenesh2_kg.3__3309__AT2G17250.1 transcript:fgenesh2_kg.3__3309__AT2G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILSKKQKKKEKYTLKELKSLGHDLLTSRSHINNLPLLLTFVSPESPPEFVVESLLSLQSFFTPLLSQLPSTSSSPSSTKRPRSEDDDPEVVFKAWLRSKFDEFVKLLLDVLVSQQSENSLRGIVMGTLMEFVKLLNVGRFHSSIYHRLLHAIIHSEVDIEIFLDILTSKYFKYIDVRYFTYISMEKFVKTLEASVSADRTAIENSEAENDSKESLELSVRKIYQVLSQIPPPEKLAEKSHHEMWSGSDESSSEKPTDKKKKTEEGDSTLLSPTTISKRMKLKFTKAWISFLRLPLPIDVYKEVLASIHLTVIPHLSNPTMLCDFLTKSYDIGGVVSVMALSSLFILMTQHGLEYPNFYEKLYALLVPSVFVAKHRAKFLQLLDACLKSSMLPAYLAASFTKKLSRLSLSIPPAGSLVITALIYNLLRRHPTINHLVQETVENTNEGNTEADEHNESQPKTIKKRKLGIDYFNNQESDPKKSGALKSSLWEIDTLRHHYCPPVSRFISSLETNLTIRSKTTEMKIEDFSSGSYATIFGDEIRRRVKQVPLAFYKTVPTSLFADSDFPGWSFTIPQEEGTC >fgenesh2_kg.3__3311__AT2G17265.1 pep chromosome:v.1.0:3:21923069:21924206:1 gene:fgenesh2_kg.3__3311__AT2G17265.1 transcript:fgenesh2_kg.3__3311__AT2G17265.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine kinase [Source:UniProtKB/TrEMBL;Acc:D7L8T5] MASLCFQSPSKPISYFQPKSNPSPPLFAKVSVFRCRASVQTLVAVEPEPVFVSVKTFAPATVANLGPGFDFLGCAVDGLGDHVTLRVDPSVRAGEISISEITGTTTKLSTNPLRNCAGIAAIATMKMLGIRSVGLSLDLHKGLPLGSGLGSSAASAAAAAVAVNEIFGRKLGNDQLVLAGLESEAKVSGYHADNIAPAIMGGFVLIRNYEPLDLKPLRFPSDKDLFFVLVSPEFEAPTKKMRAALPTEIPMVHHVWNSSQAAALVAAVLEGDAVMLGKALSSDKIVEPTRAPLIPGMEAVKKAALEAGAFGCTISGAGPTAVAVIDSEEKGQVIGEKMVEAFLKVGHLKSVASVKKLDKVGARLVNSISR >fgenesh2_kg.3__3312__AT2G17270.1 pep chromosome:v.1.0:3:21924548:21926672:1 gene:fgenesh2_kg.3__3312__AT2G17270.1 transcript:fgenesh2_kg.3__3312__AT2G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7L8T6] MTRVKSKLDDELSSPWFYTVCTMGGMLSAGTTHLAITPLDVLKVNMQVNPVKYNSIPSGFSTLLREHGHSYLWRGWSGKLLGYGVQGGCRFGLYEYFKTLYSNVLPNHNRTSIYFLSSASAQIFADMALCPFEAIKVRVQTQPMFAKGLLDGFPRVYRSEGLAGFHRGLFPLWCRNLPFSMVMFSTFEQSVEFIYQNIIQKRKQDCSKAQQLGVTCLAGYTAGAVGTVVSNPADVVLSSLYNNKAKSVLQAVRNIGFVGLFTRSLPVRITIVGPVITLQWFFYDAIKVLSGFPTSGGVKKPVDATKLSV >fgenesh2_kg.3__3314__AT2G17280.1 pep chromosome:v.1.0:3:21927798:21929570:1 gene:fgenesh2_kg.3__3314__AT2G17280.1 transcript:fgenesh2_kg.3__3314__AT2G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate/bisphosphoglycerate mutase family protein [Source:UniProtKB/TrEMBL;Acc:D7L8T7] MDESIGLYPLHRCKTIHLVRHAQGIHNVAGEKDHSAYSSEDYFDAHLTPLGWQQVDNLRNHVLAIQLLNKVELVIVSPLLRTIQTAVGAFGGEEDTNGGDATPLMVANAGNSDRPAISSLNSPPFLAVELCRETMGDHPCDRRRSATEYKALFPAIDFSIIETDKDVLWKPSPRESLEEVAARGVEFIKWIWTRKEKEIAIVSHSGFLHGLLSSFGKDCCDDLKKELSIHFSNCELRSMVIVDRGNLGTDSAETTNYPGKLPQGLDNPSG >fgenesh2_kg.3__3315__AT2G17290.1 pep chromosome:v.1.0:3:21931892:21935289:1 gene:fgenesh2_kg.3__3315__AT2G17290.1 transcript:fgenesh2_kg.3__3315__AT2G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 6 [Source:UniProtKB/TrEMBL;Acc:D7L8T8] MGNSCRGSFKDKIYEGNNSRPEENSKSTTTVSSSVHSPTTEQDFSKEAHKQDNNNNNKNNPALVIPVREPIMRRNVDNQAYYVLGHKTPNIRDLYTLSRKLGQGQFGTTYLCTEVATGNDYACKSISKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDPLYVHIVMELCAGGELFDRIIHRGHYSERKAAELTKIIVGVVESCHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFKDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYIDFESDPWPVISDSAKDLIRKMLCSSPSERLTAHEVLRHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALKVIAESLSEEEIAGLRAMFEAMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMEAADVDNSGTIDYSEFIAATIHLNKLEREEHLVSAFQYFDKDGSGYITIDELQQSCIEHGMTDVFLEDVIKEVDQDNDGRIDYEEFVAMMQKGNAGVGRRTMKNSLNISMRDV >fgenesh2_kg.3__3316__AT2G17305.1 pep chromosome:v.1.0:3:21966282:21967769:1 gene:fgenesh2_kg.3__3316__AT2G17305.1 transcript:fgenesh2_kg.3__3316__AT2G17305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPDRVFFVRVMREWVSRFTGKVIENFEIHLSQPMGFAGDIMSLTEFATSRQVKNLVLDFSDPVQRNLSQAQQTIRDCLLRCRYPQKNDLDVSHLFFNLLYVRTLTVCSFFLQVLQDCDDPMALHDSMKTRHLVMKTNMHANDFVGISIFLNSCPELESLTFDLVTTSRFVRARSPLVIDPVSHWLTSKAYECLEKTLKVVKVKKFRGSSNELHMLQYLIRTGCVMERVDLYEANGLNHNQKRWVLAAVEEVQQNFKRASRHLRITLHNA >fgenesh2_kg.3__3317__AT2G17310.1 pep chromosome:v.1.0:3:21968885:21973844:1 gene:fgenesh2_kg.3__3317__AT2G17310.1 transcript:fgenesh2_kg.3__3317__AT2G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of nim1-1 1 [Source:UniProtKB/TrEMBL;Acc:D7L8U2] MALQVKLPWELEEDILSRLPPQSLVRFRTVSKQWNTLFNDKTFIKNHLSRSRPQFILLTTSKIYSIDIIDHINIDPTIRLHEIPTYDIRSRGTDLNRTIIRTCDEFLFYNYRHWDNKTALWSPWLRQVRWIEYANQEFCVFGLGYDNSRPEKVYKILGHMFCHGKVLRDQKVAIYECAADSLRFIDRPEDDDWPITEAAKRSNVSLNGNMYWLACSNYKTHDYYIRIFDFSTENFKPFCLLPCKKNHGIDGLILAVYKEDRFSLLKQCSVTREIGIWVTKERISNINGNGGEGVEWIKLMTLSTTNLPKLFGKSYPNSYFIYEKTLYMCDGDEETALACIYIVREDLCKKFQIGSGNINCRHCVYTPNLLSLPLFIG >fgenesh2_kg.3__3319__AT2G17340.1 pep chromosome:v.1.0:3:21981521:21984227:-1 gene:fgenesh2_kg.3__3319__AT2G17340.1 transcript:fgenesh2_kg.3__3319__AT2G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSEMVPFPQLPTPIETNYRACTIPYRFPSDDPKKATPSEISWINVFANSIPSFKKRAESDTTVPDAPARAEKFAERYAGILEDLKKDPESHGGPPDGILLCRLREQVLRELGFRDIFKKVKDEENAKAISLFPEVVSLSDAIEDDGKRLENLVRGIFAGNIFDLGSAQLAEVFSRDGMSFLASCQNLVPRPWVIDDLENFQAKWIKKSWKKAVIFVDNSGADIILGILPFARELLRRGTQVVLAANELPSINDITYTELTEILSQLKDENGQLIGVDTSKLLIANSGNDLPVIDLARVSQELAYLSSDADLVILEGMGRGIETNLYAQFKCDSLKIGMVKHLEVAEFLGGRLYDCVFKYNEVQS >fgenesh2_kg.3__3322__AT2G17370.1 pep chromosome:v.1.0:3:21997133:21999524:1 gene:fgenesh2_kg.3__3322__AT2G17370.1 transcript:fgenesh2_kg.3__3322__AT2G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl coenzyme A reductase [Source:UniProtKB/TrEMBL;Acc:D7L9D6] MEDLRRRLPTKKNDDDEEISNVAVALPPRKASDALPLPLYLTNTFFLALFFATVYFLLSRWREKIRNSTPLHVVDLSEICSLIGFVASFIYLLGFCGIDFIFRSSSDDDVWVNDGMIPCGQSLDCREILPIKPNSVDPPRVSDLDSVEDEEIVKSVIDGTIPSYTLETKLGDCKRAAAIRREAVQRITGKSLTGLPLEGFDYNSILGQCCEMPVGYVQIPVGIAGPLLLDRIEYSVPMATTEGCLVASTNRGFKAIHLSGGAFSVLMKDAMTRAPVVRFPSARRAALVMFYLQDPANFERLSLIFNKSSRFARLQSITCKIAGRNLYPRFACSTGDAMGMNMVSKGVQNVLDFVKSEFPDMDVIGISWNYCSDKKASAVNWIEGRGKHVVCEAFIKAEIVEKVLKTSVEALVELNTLKNLAGSAMAGSLGGFNAHASNIVSAVFIATGQDPAQNVESSHCITLIEAEGNDLHISVSMPCIEVGTVGGGTQLASQSACLNLLGVKGSNNEEPGSNAQQLARIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSSRDIGPSSQVNR >fgenesh2_kg.3__3323__AT2G17380.1 pep chromosome:v.1.0:3:22000188:22002275:1 gene:fgenesh2_kg.3__3323__AT2G17380.1 transcript:fgenesh2_kg.3__3323__AT2G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFVLLVSRQGKVRLTKWYSPYTQKERSKVIRELSGVILNRGPKLCNFIEWRGYKVVYKRYASLYFCMCIDEADNELEVLEIIHHYVEILDRYFGSVCELDLIFNFHKAYYILDELLIAGELQESSKKTVARIISAQDQLVEAAKEEASSISNIIAQATK >fgenesh2_kg.3__3325__AT2G17410.1 pep chromosome:v.1.0:3:22008658:22013386:1 gene:fgenesh2_kg.3__3325__AT2G17410.1 transcript:fgenesh2_kg.3__3325__AT2G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L9D8] MESTIENLTEMESTMENLTEMESERVEQGTVQEFESGEQRQDDVKETENESPGETVGEEAPGREHEDSPCPMVIEEGTSLASLEGGTNADDLPKIDEETLDEKNSQLETSPHPSPSPSVALDTDEGLINPIVEDTVEQNTGSSELSSDILKDDGDAVKVDRYTAVVHEETAKVPDFKLSEEETGSPHHHADIVMEQEKAAEEHDMIASGDHDEFPVNPDNKHSEDKGTLHHHTNTVMEQDQAAEEHEIISSGDQEEFPANSDTKVVEENNDSMDEGEANNMNLTGDGSGPDDHDRGTITELDKGLEVPGSETISELEDKPSEPLSETSMNVEKELDMPATENLTDNDKNSDVLAVGVSGDSDKGLSVLPATQTSSDHDEGMTTVDAEPTEDMKLDVPDSKLVADITVDSTNNKDANVEANTEKQDNSSALVLNDANNESAPVRREHGPPCVASSIIKSEARGSGDLNNGVHKIVRTPPGFDGTMRAKRSFLLDDASDGNESGTEEDQSAFMKELDSFFRERNMDFKPPKFYGEGLNCLKLWRAVTRLGGYDKVTGSKLWRQVGESFRPPKTCTTVSWTFRGFYEKALLEYERHKVSGGELQIPLPLELEPMNIDNQASGSGRARRDAAARAMQGWHSQRLNGNGEVSDSAIKDKNLVIHQKREKQIGTTPGLLKRKRPAEHGAKNAIQVSKPMLDVTVVDVGPPADWVKINVQRTQDCFEVYALVPGLVREEVRVQSDPAGRLVISGEPENPMNPWGATPFKKVVSLPTRIDPHHTSAVVTLNGQLFVRVPLEQLD >fgenesh2_kg.3__3328__AT2G17430.1 pep chromosome:v.1.0:3:22021004:22024391:1 gene:fgenesh2_kg.3__3328__AT2G17430.1 transcript:fgenesh2_kg.3__3328__AT2G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:D7L9E0] MIKRSRCRRSLLCFLVFHGGATATGAPSGGKQLSQTPTWAVAVVCTFLILISHLLEKGLQRLADWLWKKHKNSLLEALEKIKAELMILGFISLLLTFGETYILKICVSRKAALSMLPCSSEDTELFQKLAPSLSRHLLAAGDISVNCKQGSEPLITLKGLHQLHILLFFLAVFHVLYSLITMMLSRLKIRGWKKWEQETLSHDYEFSIDHSRLRLTHETSFVREHTSFWTTIPFFFYVGCFFRQFFVSVERTDYLTLRHGFISAHLAPGRKFNFQRYIKRSLEDDFKLVVGISPVLWASFVIFLLFNVNGWRTLFWASIPPLLIILAVGTKLQAIMATMALEIVETHAIVQGMPLVQGSDQYFWFDCPQLLLHLIHFALFQNAFQITHFFWIWYSFGLKSCFHKDFNLVVIKLFLCLGALILCSYITLPLYALVTQMGSHMKKAVFDEQMAKALKKWHKDIKLKKGKARKLPSKTLGVSESFSLSSSSSATTLHRSKTTGHSSNMIYYKQEDEEDEMSDLEAGAEDAIDRIQQQQMEFHHS >fgenesh2_kg.3__3329__AT2G17440.1 pep chromosome:v.1.0:3:22034182:22036728:1 gene:fgenesh2_kg.3__3329__AT2G17440.1 transcript:fgenesh2_kg.3__3329__AT2G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7L9E1] MDLDSKEKVVEEIMRIHRSLPCRPEIEDVETATSLIQNVEKEDRDRLEAIDKQMIKPSSDVPKELFNVLKEMKKSLVNFQSKEQRREATKILDLESVHVVFDELIQRASFCIASPNGTSTTSLHRSLPAQEPVVSSHEILVKSKEIISRDDTFVKKAKSSFYSDGLLAPCKPQVLDSTLHQAKNVTGVGHDGEKLSLIKLASLIEVSAKKATPELNLQHKLMDQLEWLPESLGKLSSLVRLDLSENCIMVLPATIGGLLSLTRLDLHSNRIGQLPESIGDLLNLINLNLSGNQLSFLPSAFSRLIHLEELDLSSNSLTILPEYIGSLVSLKKLDVETNNIEEIPHSISGCSFLKELRADYNRLKALPEAVGKLSTLEILTVRYNNIRQLPTTMSSMANLKELDVSFNELESVPESLCYAKTLVKLNIGNNFANLRSLPGLIGNLEKLEELDMSNNQIRFLPYSFKTLSQLRVLHTEQNPLEELPRDITQKGAQAVVQYMNDLVEARNTKSQGTKPKKSWVNSICFFCKSSTN >fgenesh2_kg.3__332__AT3G03790.2 pep chromosome:v.1.0:3:1242299:1248452:1 gene:fgenesh2_kg.3__332__AT3G03790.2 transcript:fgenesh2_kg.3__332__AT3G03790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7L199] MELSVSPQTQKMNLQTPRKSSLSGSRKDLWFTIREGSLVDVDSALSILKKNGGNINLRNVHGLTPLHIAVWRNHIPIIRRLLAAGADPDARDGESGWSSLHRALHFGHLAVASVLIDSGASFTLEDIKLRTPVDLVSGPVAQVIGEQQSSVATEVFSWGNGANYQLGTGNQHVQKVPGRVDSLHGCFIKLVSAAKFHSVAISSHGEVYTWGFGRGGRLGHPEFDIHSGQAAVITPRQVISGLGSRRVKAVAAAKHHTVIATEGGDVYTWGSNREGQLGYTSVDTQATPRKVTSLKAKIVAVSAANKHTAVVSECGEVFTWGCNREGQLGYGTSNSASNYSPRLVDYLKGKVFTAIASSKYHTLVLRNDGEVYTWGHRLVTPRRIIISRNLKKAGNTLLNFHRRRPLRLTAIAAGMVHSLALAEDGALFYWVSSDSNFRCQQLHSLHGKTVVSISAGKYWASAVTSTGEVYMWDGKDGKDMPPSLSRLHNLKRATTVAVGETHLLVVGSLYHPAYAPTVLKKSQTVQADESREEENEELDEGFMFDDVESVNVLQSVQHDNPKERTVPSLKSLCEKVAAECIVEPRNAIQLLEIADSLGAEDLKKYCEDIVIRNLDFILTVSPQSIANTSPDVLANLEKLLDDRSSEAWSSRPLPTPTATFPVVIDSEEEESESDIVRTRDNHVKPFSSIADGSTRMDSFLQPEDELTLRNSKEVRALRKKLQQIEILAAKQSRGQHLDGQQIAKLQKKLDIESSLVELGIPVEESPEAKSSTALPLDEKANKNGKKKKKGKQRFLQVETYPDFGEVKVEIDTMQDKEIDEISEAIKPKDGKTMLDMTMISGFPKESDFVSLSQKKDNPPNSPRSKKLATAANKKKNRKGGLSMFLTGALDDIPKPVVAPPPKPKIEGPVWGGAKVSKGLSSLRDIQDEQSKTQPHEPVRTTRSGDDSSGKTEGKILLSSFLTSKPIPMEPAKSLQQSDVEKGTPPWASSETPRNLSRPSLRDIQMQEVKKQQSLSHSPKTKTSGFTVAIGQGSPSDSPGTNRWFKPEIDAPSAIRSIQIEEKAMKDLRRFYSSVKVVRNQP >fgenesh2_kg.3__3330__AT2G17450.1 pep chromosome:v.1.0:3:22040805:22041632:-1 gene:fgenesh2_kg.3__3330__AT2G17450.1 transcript:fgenesh2_kg.3__3330__AT2G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring-H2 finger A3A [Source:UniProtKB/TrEMBL;Acc:D7L9E3] MTRPSRLLETAAPPPQPSEEMIAAESDMVVILSALLCALICVAGLAAVVRCAWLRRFTAGGDSPSPNKGLKKKALQSLPRSTFTTAESTSGAAADDGDSTECAICLTDFADGEEIRVLPLCGHSFHVECIDKWLVSRSSCPSCRRILTPVRCDRCGHASTAEMKDQAHRHQHHQHSSSTIPTFLP >fgenesh2_kg.3__3332__AT2G17480.1 pep chromosome:v.1.0:3:22059787:22063681:-1 gene:fgenesh2_kg.3__3332__AT2G17480.1 transcript:fgenesh2_kg.3__3332__AT2G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:D7L9E7] MGIIDGSLLRRLICLSLLSPVVVVMAEDEKKVIHKMLNQTPTWAVAAVCTFFIVVSVLLEKLLHKVGKVLWDRHKTALLDALEKIKAELMVLGFISLLLTFGQTYILDICIPSHVARTMLPCPAPKPKKEEDDNGESHRRLLSFEHRFLSGGEASPTKCKKEGYEELISAEALHQLHILIFFLAVFHVLYSFLTMMLGRLKIRGWKHWENETSSHNYEFSTDTSRFRLTHETSFVRAHTSFWTRIPFFFYIGCFFRQFFRSVGRTDYLTLRNGFIAVHLAPGSQFNFQKYIKRSLEDDFKVVVGVSPVLWGSFVLFLLLNIDGFKMLYVGTAIPVIIILAVGTKLQAIMTRMALGITDRHAVVQGMPLVQGNDEYFWFGRPHLILHLMHFALFQNAFQITYFFWIWYSFGKDSCYHPNFKIAIVKVAIAIGVLCLCSYITLPLYALVTQMGSRMKKSVFDEQTSKALKKWRMAVKKKKGVKATTKRLGGDGSASPTASTVRSTSSVRSLQRYKTTPHSMRYEGLDPETSDLDTDNEALTPPKSPPSFELVVKVEPNKLETKAGETSRDTETDSKEFSFVKPAPSKEPSQDR >fgenesh2_kg.3__3335__AT2G17500.3 pep chromosome:v.1.0:3:22093464:22095931:1 gene:fgenesh2_kg.3__3335__AT2G17500.3 transcript:fgenesh2_kg.3__3335__AT2G17500.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7L9F0] MGFWSLLEVASMPVIQVLVMSLVGAFLASDRCKLFPVEARNSMNKVVFVLFAPALMFANLAQTVTLEDIISWWFMPVNMGLTFLIGGLLGWMVVKILKPPPYLEGLIVATCSAGNMGNLPIILVPAICDEDKSPFGNRSVCRTVGLSYASFSMALGGFYIWTYTFRLIKGSAMRIQAIEESERTAIKSSNSDLEADHKTHLLGAPEDKENKVVKEETGFWRKVVDFLHEILEELLAPPTLGAIIGFIFGAVRWLRNLIIGDDAPLRIVQSTAKLLGDGTIPCMTIILGGNLIQGLRSSAVKPVVVLGIVCVRYIIMPIIGIGIVLTAANLGFLPADPLFQYVLMLQFTLPPAMNIGTMTQLYNVAQDECSVLMLWTYLVAILALTVWSTIFLHLLV >fgenesh2_kg.3__3337__AT2G17510.1 pep chromosome:v.1.0:3:22095892:22102611:-1 gene:fgenesh2_kg.3__3337__AT2G17510.1 transcript:fgenesh2_kg.3__3337__AT2G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II family protein [Source:UniProtKB/TrEMBL;Acc:D7L9F1] MLQSKVFNKKTRGGRIQKQVREVYLRDDIYCGAFSCKSCDSSAARLSSPKILVVDTNVVLHQIDLLENQAIDSVVVLSVVLDEVKNRNRSVYNRIRALCSNPTRQFYVFSNHVHKDTYVQAMEKESANDHNDRAIRVATLWYQKHLGDTSQVLLVTNDRENKRKATEEGISAETIEAYVKSIGQPELLDLLAQPTNEDVTMEDADDSRPSKRKLIYQEHKPMSEITAGLHRGIYHQGKLRVNRFNPFEAYVGSESIGEEIIIYGRSNMNRAFDGDIVAVELLPRDQWQEEKALSIAEEDDEEDDTVHLAPNSADDAPRTSNLSQETSGDKNATPARPSGRVVGVIRRNWHSYCGSLEPMSLPAGSGGTAHALFVSKDRRIPKIRINTRQLQNLLDMRIVVAVDSWDRQSRYPSGHYVRPIGKIGDRETETEVVLIENDVDYSPFSSQVLACLPPLPWSVSPEDVSNPIRQDLRHLLVFSVDPPGCKDIDDALHCTSLPNGNFELGVHIADVTNFVHPGTPLDDEASKRGTSVYLVERRIDMLPKPLTEDICSLRADVERLAFSVIWEMSPDAEIISTRFTKSIIKSSAALSYVEAQARMDDSRLTDSLTTDLRNMNTLAKIMRQRRIDRGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANVSVAGQILKLFPSCSLLRRHPTPTREMLEPLLRTAAAIGLTLDVSSSKALADSLDRAVGEDPYFNKLIRILATRCMTQAVYFCSGDLTPPEYHHYGLAAPLYTHFTSPIRRYADVFVHRLLAASLGIYKLPTVFQDRPQLTSVADNLNYRHRNAQMASRASVELHTLIYFRNRPTDAEARVVKIRSNGFIVFVPKYGIEGPVYLTGKGEKGAGDWYVDEEKQKIVKMDGSLSYSVLQTVKIHMEVVEPQPNRPKLQLSLL >fgenesh2_kg.3__3338__AT2G17520.1 pep chromosome:v.1.0:3:22103160:22106994:1 gene:fgenesh2_kg.3__3338__AT2G17520.1 transcript:fgenesh2_kg.3__3338__AT2G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRCPFLRHLFFLLLLLSPWIMSPCGGAADDVTYPIVPSSPGRRTILQIRHEPNTNFDVDPSGKVFLKQQSPAQTIWSFSTGSPMHSLYQAPLSANNNTENATEISRPHIIVEYLNNSKAATTVDGYHNWTVQDFFRQRPLVTDDGVTLGSETTTAYLVDGRSGRLIHVYKSTGDTKITNAMVKPASTEDFVNEPLLIRRTDSKLEHFSKTTGKLVWNLTVSHFRASLLCDPVFNSGYELGPKLQTGIYMPLVCGSQIDVRGPEIVIRVLHDQPMKVKMLPSPSQNHLESENSIMHFEKARESRKLQEQHGHKYRYVFGQWSPVKLLVPLVLLGVVVSVFIKKFSSSGSDVSSKSGPSKKKKNRKSGKDANRQSVPRGQDQFELIEGGQMLLGFNNFPSGATDGRKIGKLFLSSKEIAKGSNGTVVFEGIYEGRPVAVKRIVRSHHEVAFKEIQNLIASDQHPNIIRWYGVEYDQDFVYLSLERCTCSLDDLIKSYLEFSMTKILGNNDSTEGVTAYKIQLDSLEGVNKGNNFWKVGGHPSPLMLKLMSDIVCGIVHLHELGIVHRDLKPQNVLISKDMTLSAKLSDMGISKRMSRDMSSLGHLATGSGSSGWQAPEQLLHGRQTRAVDMFSLGCLIFYTITGCKHPFGDDLERDVNIVKNKVDLFLVEHVPEASDLISRLLNPNPDLRPSATEVLLHPMFWNSEMRLSFLRDASDRVELENREADSEILKAMESTAPVAIGGKWDEKLEPVFITNIGRYRRYKYDSIRDLLRVIRNKLNHYRELPPEIQELVGTVPEGFDEYFAVRFPKLLIEVYRVISLHCREEEVFKKYFKCNII >fgenesh2_kg.3__3341__AT2G17530.1 pep chromosome:v.1.0:3:22118801:22121645:1 gene:fgenesh2_kg.3__3341__AT2G17530.1 transcript:fgenesh2_kg.3__3341__AT2G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L9F6] MSCSSSSGSDEDDEGFDAYRKGGYHAVRIGDQFAGGRYIAQRKLGWGQFSTVWLAYDTRTSNYVALKIQKSALQFAQAALHEIELLQAAADGDPGNTKCVVRLIDDFKHAGPNGQHLCMVLEFLGDSLLRLIKYNRYKGMELSKVREICKCILTGLDYLHRELGMIHSDLKPENILLCSTIDPAKDPIRSGLTPILEKPEGNQNGTSTMNLIEKKLKRRAKKAAAKISGRRVSIVGLSETPTKNKRNLDGIDMRCKVVDFGNGCWADKKFAEEIQTRQYRAPEVILQSGYSYSVDMWSFACTAFELATGDMLFAPKEGNGYGEDEDHLALMMELLGKMPRKIAIGGARSKDYFDRHGDLKRIRRLKYWPLDRLLIDKYKLPEAESREFAEFLCPIMDFAPEKRPTAQQCLQHPWLNLRTQNNEDQIEGQMSNMQIKGSCS >fgenesh2_kg.3__3345__AT2G17540.1 pep chromosome:v.1.0:3:22128921:22130766:-1 gene:fgenesh2_kg.3__3345__AT2G17540.1 transcript:fgenesh2_kg.3__3345__AT2G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNASLESDSLLPPRKRLLAGFKKQNSKIGNESPSPPFASSSSITTSNGSSASTDVQTHLGHLLTSQLNDQTRSPEELAQASKATAALAVKVAKAARATANEKAIIASKAVAAAKSALELFASFPVEAVACKERSRLSRAINNSPRVLTDCSGHRNKKQKTVTSTMYDGNDVAGVVDSDNRTRVNGKLLLCDNALKEKTGEESSSLGKRRGRVKLKKLPLSMCASKDQENGITLAENNVSVSKPEGSNVGVGQVIEGPSWKCQDLKSPECVKQNKVVRS >fgenesh2_kg.3__3350__AT2G17560.1 pep chromosome:v.1.0:3:22145294:22146645:-1 gene:fgenesh2_kg.3__3350__AT2G17560.1 transcript:fgenesh2_kg.3__3350__AT2G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGESKAEATSTDQRLKTRGRKPGKKTKKDPNQPKRPPSAFFVFLEDFRKEFNLANPNNKSVATVGKAAGARWKSMTEEDKAPYVAKAESRKTEYLKTMQQYNMKLASGTNRGEEDDSDKSKSEVDEAGSEEVFMQEEEDDD >fgenesh2_kg.3__3352__AT2G17570.1 pep chromosome:v.1.0:3:22153118:22154290:1 gene:fgenesh2_kg.3__3352__AT2G17570.1 transcript:fgenesh2_kg.3__3352__AT2G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl transferase [Source:UniProtKB/TrEMBL;Acc:D7L9G1] MAELPGQIRRMSGGISQLIEQIYGFSRRCLFRVISMGPIPSHLAFIMDGNRRYAKKHSLEDGSGHQAGFSALMSMLQYCYELGIKYVTIYAFSIDNFRRKPEEVQSLMDLMLEKIKSLLEKESIVHQYGIRVYFIGNLALLNDQVRAAAEKVMKATAKNSRVVLLICIAYNSTDEIVQAVKKSCINTSDNIEASGNYKHEDRDSDIEGTDMENQEKRIQLVDIEENMRMSVAPDPDILVRSSGETRLSNFLLWQTGNTQLFSPAALWPEIGLKHLLWAVLNFQRNHSYLEKRKKQL >fgenesh2_kg.3__3357__AT2G17640.1 pep chromosome:v.1.0:3:22174089:22176479:-1 gene:fgenesh2_kg.3__3357__AT2G17640.1 transcript:fgenesh2_kg.3__3357__AT2G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtSerat3_1 [Source:UniProtKB/TrEMBL;Acc:D7L9G7] MNGDELPFERGFEVYAKGTHKSEFDSNLLDSRSDPIWDAIREEAKLEAEREPILSSFLYAGILAHDCLEQALGFVLANRLQNPTLLATQLLDIFYGVMMHDKGIQSSIRHDIQAFKDRDPACLSYSSAILHLKGYHALQAYRVAHKLWNEGRKLLALALQSRISEVFGIDIHPAARIGEGILLDHGTGVVIGETAVIGNGVSILHGVTLGGTGKETGDRHPKIGEGALLGACVTILGNISVGAGAMVAAGSLVLKDVPSHSVVAGNPAKLIRVMEEQDPSLAMKYDATKEFFRHVADGYRGAQSNGPSLSAGDTEKGHTNCKS >fgenesh2_kg.3__3358__AT2G17650.1 pep chromosome:v.1.0:3:22180837:22182802:1 gene:fgenesh2_kg.3__3358__AT2G17650.1 transcript:fgenesh2_kg.3__3358__AT2G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:UniProtKB/TrEMBL;Acc:D7L9G8] MRFLLSKRAFKIFTPRFQRLWFTYSPFSSTPNPGDSEPESWRTMEGLLRSPANFSPLSPITFLERSAKVYRDRTSLVFGSVKHTWFQTYQRCLRLASALTHLGISRGDVVAALAPNVPAMHELHFAVPMAGLILCPLNTRLDPSTLSVLLAHSEAKILFVDHQLLEIAHGALDLLAKSDRTRKSLKLVLISQSNDDGYDSSSSFASKYSFDYEYETLLKSGNTEFEIIKPRCEWDPISINYTSGTTSRPKGVVYSHRGAYLNSLATVFLHQMSVYPVYLWTVPMFHCNGWCLIWGVAAQGGTNICLRKVSPKLIFKNIAMHKVTHMGGAPTVLNMIVNSPVTEHKPLPHRVEIMTGGSPPLPQILAKMEELGFNVSHLYGLTETYGPGTHCVWKPEWDSLSLEERTKLKSRQGVQHLGLEGLDVKDPVTMETVPDDGVTMGEVMFRGNTVMSGYFKDLEATRKAFEGDWFHSGDLAVKHPDGYIEIKDRLKDVIISGGENISSVEVERVLCSHQAVLEAAVVARPDNHWGQTPCGFVKLKDGFDTIKPEEIIGFCRDHLPHYMAPKTIVFADIPKTSTGKVQKYLLRKKADEMGSL >fgenesh2_kg.3__335__AT3G03810.1 pep chromosome:v.1.0:3:1255460:1260187:-1 gene:fgenesh2_kg.3__335__AT3G03810.1 transcript:fgenesh2_kg.3__335__AT3G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKSRIKWIALFVLILSMGSLVVHLSMTKSSGVQLAYSARDNLWQDFDSLLGAQDFRNKHLWRPVKSLETLQPYANPRNSYPAPSSTNNRFIYAKIFGGFDKIRSSICDLVTISRLLNATLVIPELQESLRSKGISNKFKSFSYLYDEDQFIAFLKNDVIVTKTLPESLKAARKRNEFPLFKPKNSASPKYYLEDVLPKLKKANVIGLIVSDGGCLQSTLPASMPELQRLRCRVAFHALQLRSEIQVLGKKMVDRLRKSGQPFLAYHPGLVREKLAYHGCAELFQDIHSELIQYRRAQMIKQKFILEELIVDSRLRRDNGLCPLMPEEVGILLKALGYSQKAIIYLAGSEMFGGQRVLIPLRAMFPNLVDRTALCSTEELSELVGPETPLPENTFKMPPRKSDKQLKEEWNKAGPRPRPLPPPPDRPIYQHEKEGWYGWLTENDTEPSPSPMDLRNQAHRLLWDALDFAVSVEADVFFPGFNNDGSGWPDFSSLVMGQRLYERPSSRTYRLDRKVIQELFNITREDMYHPNRNWTLRVRKHLNSSLGESGLIRQSMLSKPRSFLSHPLPECSCRTSALEDSRQIRSDDGRFLYGGEDECPKWIKSAGVEKSKTDDGDQPDYEHDLLAEQSETEEEFAKSKVASAFDQDEEWDPND >fgenesh2_kg.3__3360__AT2G17670.1 pep chromosome:v.1.0:3:22183992:22185503:1 gene:fgenesh2_kg.3__3360__AT2G17670.1 transcript:fgenesh2_kg.3__3360__AT2G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L9H0] MGKVPSSFRAMPANLLVKKPTPSPPAPPRDFRNRAAVRDSTKLPENTQAPREPSLRNPFKSPNLSDAKSLFNSIAATSRIPLDLKFHNSVLQSYASIAAVDDTVKLFQHILKSQPNFRPGRSTFLILLSHACRAPDSSISNVHRVLNLMVNNGLEPDQVTTDIAVRSLCETGRVDEAKDLMKELTEKHSPPDTYTYNFLLKHLCKCKDLHVVYEFVDEMRDDFDVKPDLVSFTILIDNVCNSKNLREAMYLVSKLGNAGFKPDCFLYNTIMKGFCTLSKGSEAIGVYKKMKEEGVEPDQITYNTLIYGLSKSGRVEEARMYLKTMVDAGYEPDTATYTSLMNGMCRKGESLGALSLLEEMEARGCAPNDCTYNTLLHGLCKARLMDKGMELYELMKSSGVKLETNGYATLVRSLVKSGKVAEAYEVFDYAVDSKSLTDASAYSTLETTLKWLKKAKEQGLVA >fgenesh2_kg.3__3363__AT2G17695.1 pep chromosome:v.1.0:3:22199893:22200911:-1 gene:fgenesh2_kg.3__3363__AT2G17695.1 transcript:fgenesh2_kg.3__3363__AT2G17695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSWGRPSSEQQQQVINRTGAFNYDNKYRGVSSRSIAKLKEDSEVEKDGFLINHARVLVGSGRESFEKGKKALQNWKHFGMDWAFVDPATPVETGKKFCICVKEVLPWVILPLQVVYVDESRKSRKGPAHFGYGSGTLQGHLLAGEERFSIELDGNGEVWYEITSFSKPAHFLSFLGYPYVKLRQKHFARHSSEAVLKHLKAS >fgenesh2_kg.3__3366__AT2G17705.1 pep chromosome:v.1.0:3:22208931:22209756:1 gene:fgenesh2_kg.3__3366__AT2G17705.1 transcript:fgenesh2_kg.3__3366__AT2G17705.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-methionine-s-oxide reductase [Source:UniProtKB/TrEMBL;Acc:D7L9H5] MALTIYTCKECGSDLNLNPNDLFPPDFYFEAGNKGTLSFAAVDAEKFRFEKEDKIMPFFETLNYWGIQRKRTKIKCNSCNHLIGYIYDDGPPLTGGIGQYGFGPSQVIPRAPRYRFKTKAVQVSSQT >fgenesh2_kg.3__3367__AT2G17710.1 pep chromosome:v.1.0:3:22210162:22211281:1 gene:fgenesh2_kg.3__3367__AT2G17710.1 transcript:fgenesh2_kg.3__3367__AT2G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQIMDESEKSLMPPPPPPLPPSPGYGFRKIHGDSITNKQIKKFWRQKQIIEEDHLYSAIKAAARVRARNLSDEDYKRFEESLDMEDLKTEDHQGLKDWWTKSKYAYLNQPALGYADSLKRKRFSTYTPNCFSFKPCNPLYATSLNVF >fgenesh2_kg.3__3368__AT2G17720.1 pep chromosome:v.1.0:3:22213216:22215470:1 gene:fgenesh2_kg.3__3368__AT2G17720.1 transcript:fgenesh2_kg.3__3368__AT2G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7L9H7] MASKSKQHLRYQPRKSVSRSTQAFTVLILLLVVILILLGLGILSLPNANRNSSKTNDLTNIVRKSETSYGDEDGNGERWVEVISWEPRAVVYHNFLSNEECEHLINLAKPSMVKSTVVDEKTGGSKDSRVRTSSGTFLRRGHDEVVEVIEKRISDFTFIPVENGEGLQVLHYQVGQKYEPHYDYFLDEFNTKNGGQRIATVLMYLSDVDDGGETVFPAARGNISAVPWWNELSKCGKEGLSVLPKKRDALLFWNMRPDASLDPSSLHGGCPVVKGNKWSSTKWFHVHEFKV >fgenesh2_kg.3__3371__AT2G17760.1 pep chromosome:v.1.0:3:22235990:22251840:1 gene:fgenesh2_kg.3__3371__AT2G17760.1 transcript:fgenesh2_kg.3__3371__AT2G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7LAA8] MVWYSSCRILFLGLIILLASSWVLERCEGFGEFGFEFHHRFSDQVVGVLPGDGLPNRDSSKYYRVMAHRDRLIRGRRLANEDQSLVTFSDGNETIRVDALGFLHYANVTVGTPSDWFLVALDTGSDLFWLPCDCTNCVRELKAPGGSSLDLNIYSPNASSTSTKVPCNSTLCTRGDRCASPESNCPYQIRYLSNGTSSTGVLVEDVLHLVSNDKSSKAIPARVTLGCGQVQTGVFHDGAAPNGLFGLGLEDISVPSVLAKEGIAANSFSMCFGNDGAGRISFGDKGSVDQRETPLNIRQPHPTYNITVTKISVEGNTGDLEFDAVFDSGTSFTYLTDAAYTLISESFNSLALDKRYQTTDSELPFEYCYALSPNKDSFQYPAVNLTMKGGSSYPVYHPLVVIPMKDTDVYCLAILKIEDISIIGQNFMTGYRVVFDREKLILGWKESDCYTGETSARTLPSNRSSSSARPPASSFDPEATNIPSQRPNTSTSSAAYSLSISLSLFFFSILAIL >fgenesh2_kg.3__3373__AT2G17780.1 pep chromosome:v.1.0:3:22261506:22263553:-1 gene:fgenesh2_kg.3__3373__AT2G17780.1 transcript:fgenesh2_kg.3__3373__AT2G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSWDQLGEIASVAQLTGIDALKLIGMIVNAANTARMHKKNCRQFAHHLKLIRNLLEQIKNSEMNQRSEILEPLQGLDDALRRSYILVKSCQEKSYLYLLAMGWNIVNQFEKAQTEIDLFLKIVPLINMADNARIRERLEAIERDQREYTLDEEDKKVQDVILKQESTREAATSVLKKTLSRSYPNMGFCQALKTEEEKLQLELHRSRARYDADQCEVIQRLIDVTQTAASSVEPDLEKVLTKKEELTSSKKKDDLYDTDSWSLMVECRSTSYVSSGHELLSGRSSQHHENWHADLLDCCSEPCLCFKTFFFPCGTLAKISTMATDRQISSTEACKNLMVYSLILSCCCYTCCIRKKLRKTLNITGGCIDDFLSHLMCCCCALVQELREVEIRGVSYGTEMSNKEMSPPTPQFMEE >fgenesh2_kg.3__3377__AT2G17787.1 pep chromosome:v.1.0:3:22264845:22266708:1 gene:fgenesh2_kg.3__3377__AT2G17787.1 transcript:fgenesh2_kg.3__3377__AT2G17787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCYPFPPPGYVRKESLIESIKGTKEEVKKDRKHKRKEKERKERENEAGRSRKHRHKRRRKDEGANASGSGKLINSEVEFLEKSCQTVELELQTSSQTSCDSTLHSNERPKQIQSQSLDETGIRIRLPDKGQEDPEDEVMMTTKDQRSREMLDASLAAAPKESVGHLYSTPRDAFRVCQEKVRDPAREKITKLGKEKKPIHPKDNRKISKEKKIPSSSSCTPLEQEKPSSSHQETIGSSKLLCRKCPPSMAGQLLNLIEDWAPDRAESKLTDSEDQEWWLFIKFGAKRQQVSNQKTNQGSSLMVWPTARFLPEAEVHALPFTVPF >fgenesh2_kg.3__3378__AT2G17790.1 pep chromosome:v.1.0:3:22269496:22276378:1 gene:fgenesh2_kg.3__3378__AT2G17790.1 transcript:fgenesh2_kg.3__3378__AT2G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 35 [Source:UniProtKB/TrEMBL;Acc:D7LAB2] MIADGAEDEEKWLAAGAAAFKQNAFYMQRAIDSNNLKDALKYSAQMLSELRTSKLSPPKYYDLYMRAFDELRKLEIFFMEETRRGCSVIELYELVQHAGNILPRLYLLCTAGSVYIKTKEAPSKEILKDLVEMCRGIQHPLRGLFLRSYLAQISRDKLPDIGSEYEGDADTVTDAVEFVLLNFTEMNKLWVRMQHQGPAREKERREKERGELRDLVGKNLHVLSQLEGVDLDMYRDTVLPRVLEQIVNCRDEIAQYYLIDCIIQVFPDEYHLQTLDVLLGACPQLQPSVDIMTVLSRLMERLSNYAALNAEVLPYFLQVEAFSKLNNAIGKVIEAQEDMPILSAVTLYSSLLKFTLHVHPDRLDYADQVLGSCVKQLSGKGKIDDTRATKELVSLLSAPLEKYNDVVTALKLTNYPLVVEYLDTETKRIMATVIIRSIMKNNTLITTAEKVEALFELIKGLINDLDEPQGLEVDEDDFEEEQNSVARLIHMLYNDDPEEMFKIVSILKKHFLTGGPKRLKFTIPPLVVSTLKLIRRLPVEGDNPFGKEASVTATKIFQFLNQIIEALPNVPSPDLAFRLYLQCAEAANKCDEEPIAYEFFTQAYILYEEEISDSKAQVTALQLIIGTLQRMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWLEDRETIQDGERVLLCLKRALKIANSAQQMANKARGSTGSVTLFIEILNKYLYFYQKGVPQITVESVESLIKLIKNEESMASDPSAESFFASTLQFMEFEKQKEGAIGERYQEIKV >fgenesh2_kg.3__3379__AT2G17800.1 pep chromosome:v.1.0:3:22276842:22279054:1 gene:fgenesh2_kg.3__3379__AT2G17800.1 transcript:fgenesh2_kg.3__3379__AT2G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFIDHPGAVPITTAQGEELKKLIGAPAYIECSSKTQEARNKNFPFNVKGVFDAAIRVVLQPPKQKKKKSKAQKACSIL >fgenesh2_kg.3__337__AT3G03830.1 pep chromosome:v.1.0:3:1265189:1265704:-1 gene:fgenesh2_kg.3__337__AT3G03830.1 transcript:fgenesh2_kg.3__337__AT3G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRSIFSAKKILGGSLARTSKAPKGFLAVYVGENQEKKQRYFVPISYLSQPSFQARSSHITSRIQ >fgenesh2_kg.3__3381__AT2G17820.1 pep chromosome:v.1.0:3:22279799:22285051:-1 gene:fgenesh2_kg.3__3381__AT2G17820.1 transcript:fgenesh2_kg.3__3381__AT2G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIENIPDSPMVSRKIRKVFDKMTQWVTPWRSNLESPREMMILRGDVEQDEFQYASSHCLSSYYSVFVVRLAIMVMLAILIGLLTVLTWHFTRIYTKQSLKTLAYGLRYELLQRPVLRMWSVLNTTSELTTAQVKLSEYVIKKYDKPTTQEELVEMYQAMKDVTWALFASAKALNAITINYRNGFVQAFHRDPASSSTFYIFSDLKNYSISGIGPEDVSGWNNQSIHGNMTAIWYQQQLDPVTGEKLGKPLKIPPDDLINIAGILQVPDGEASWHVTVSKYMDSPLLSAALPVFDASNKSIVDVVGVTTALYSVGQLMRDLVDVHGGHIYLTSQEGYLLATSTNGPLLKNTTNGPKLMKAIDSEEWVIRAGAQWLEKTYGSKRPQEVHAENVKLGNQRYYIDSFYLNLKRLPIIGVVIIPRKFIMGKVDERAFKTLIILISASVCIFFIGCVCILILTNGVSKEMKLRAELIRQLDARRRAEASSNYKSQFLANMSHELRTPMAAVIGLLDILISDDCLSNEQYATVTQIRKCSTALLRLLNNILDLSKVESGKLVLEEAEFDLGRELEGLVDMFSVQCINHNVETVLDLSDDMPALVRGDSARLVQIFANLISNSIKFTTTGHIILRGWCENINSLHDEMRLTVDRKKPWAPMKTKLVQHRNHLQKSCKNANKMVLWFEVDDTGCGIESSKWDSVFESFEQADPSTTRTHGGTGLGLCIVRNLVNKMGGEIKVVQKNGLGTLMRLYLILSTPETADQNIQPDFSKYGLVVLLSMYGSTARMITSKWLRKHGIATVEASDWNELTQIIRDLLEMGSRDNSFDSQNNIADSLRAELSNIEEIKNPVFVVVVDIGVLDLTTDIWKEQLNYLDRFSNKAKFAWLLKHDTSNIVKTELRRKGHVMMVNKPLYKAKMIQILEAVIKNRKRCNGLRNRGNGSDESHDFLEIDPTQFDTCSSDDSSETSGEKHIDKSVQPSTLHSPVLKNYLIDANNSNDDSTSASTTQKNLEEEDWKDRLYSGIALDGKNQKSLEGIRILLAEDTPVLQRVATIMLEKMGATVTAVWDGQQAVDSLNYRSINVQDPTGEPTSSEEETANNSINPQSSLRNSSPYDLILMDCQMPKMDGYDATKAIRRAEIGTELHIPIVALTAHAMSSDEAKCLEVGMDAYLTKPIDRKLMVSTILSLTKPSIFQTSLSA >fgenesh2_kg.3__3382__AT2G17830.1 pep chromosome:v.1.0:3:22291640:22292956:1 gene:fgenesh2_kg.3__3382__AT2G17830.1 transcript:fgenesh2_kg.3__3382__AT2G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMSDLPRDLLAEILSKVPLTSLRAVRFTCKKWNDLSKDRSFHKKQIIEAKKKQLKEFEVIMMRNFRVYLTSVDIHSDVDPSFTPKGTLISLNDDANHHQVDNVSKVFHCDGLLLCITKDLNFRLVVWNPYFGQIRWIKPRNSYHILDSYAFGYDENKNHKILRFKDNYYTFSAQDQICEFEVYSFESNSWKVVLDVSPACDIEAYNRGLSLKGNTYWYAKDKYDRGGEDIDFLICFDFTSERFGPRLPLPFNSSPTWEDIVTLSSVGEDQLAVLFQSNRTKWMEIWITSKIEPTEVSWNKLFLAVDMRALTRFEFLAHAGSFFIDQKKNVVVVFDRDMDAPTDRGMAYIIGKNGYFRKVDLGEDADSSCYPLVCSYVPSSVQIRQLT >fgenesh2_kg.3__3384__AT2G17840.1 pep chromosome:v.1.0:3:22292996:22295243:-1 gene:fgenesh2_kg.3__3384__AT2G17840.1 transcript:fgenesh2_kg.3__3384__AT2G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration 7 [Source:UniProtKB/TrEMBL;Acc:D7LAB6] MEPSGDKQTSSLYPTVDTSNPEAPINPSSSSSTNNLYPSLDMNDLARNLFPEQPETSSVPVAAPPAATEEVILKISGAILHLIDTSYSVELACGDLSIIRIVQGENVVAVLARVGDEIQWPLTKDENSVKVDESHYFFTLRPTKEIAHDSSDEEDGAKNTNEMLNYGLTIASKGQEHLLVELEKILEDYSCFTVQEVSEEAKEAGEKVLDVTVARETSPVELTGERKEIVERQCSAYWTTLAPNVEDYSGKAAKLIATGSGHLIKGILWCGDVTMDRLIWGNGFMKRRLSKAEKESEVHPDTLKRIRRVKRMTKMTESVANSILSGVLKVSGFFTSSVANTKVGKKFFSLLPGEVILASLDGFNKVCDAVEVAGRNVMSTSSTVTTELVDHKYGGKAAEATNEGLDAAGYAIGTAWVAFKIRKAINPKSVLKPSTLAKTAIRSAASQKKA >fgenesh2_kg.3__3385__AT2G17845.1 pep chromosome:v.1.0:3:22296991:22298007:1 gene:fgenesh2_kg.3__3385__AT2G17845.1 transcript:fgenesh2_kg.3__3385__AT2G17845.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LAB7] DKSLYLNYVMGFSFSTEEHAKRNNMSNHQTPWCELKDKVVLVTGASSGIGREVCLDLAKAGCKIIAEARRVDRLKSLCSEINSFEYSAGLQAAALELDVSSDAPTIQKAIDVLINNAGFRGNWDKVIKTNLTGTWLVSKYVCILMRDAKRGGSVISILSVSGLHRGQVPGGVAYACSKGGVDTMTRMMAVGLGVYKIRVNSIAPGLLKSEITQGLMQKEWLKNVNERIVPLKVQQAVDPGLTSLVRYLIHDSSQYISGNTYIVDSGSSLPGVPIFSSL >fgenesh2_kg.3__3388__AT2G17870.1 pep chromosome:v.1.0:3:22301645:22302831:-1 gene:fgenesh2_kg.3__3388__AT2G17870.1 transcript:fgenesh2_kg.3__3388__AT2G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSAARYIGKVNWFGDGKGYGFITPDDGGEELFVHQSSIVSDGYRSLTVGESVEYSITLGSDGKTKAVNVTAPGGGSLNKKENSSRGNGGSCFNCGEVGHMAKDCDGGGGGRSYGGGGGRRSGGEGTCYVCGDVGHFARDCRQSGGGNSGGGGGGGPCYSCGEVGHLAKDCRGGSGGNRYGGGGRGSGSDGCYLCGGVGHFARDCRQNGGGNVGGGGGGGNTCYTCGGVGHIARVCTSKRPSGGACYECGETGHLARDCDRRGSGSSGGGGGGGGGSGKCFNCGKEGHFARECSSVA >fgenesh2_kg.3__3389__AT2G17880.1 pep chromosome:v.1.0:3:22303617:22304668:-1 gene:fgenesh2_kg.3__3389__AT2G17880.1 transcript:fgenesh2_kg.3__3389__AT2G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSFFATPFLSSSSSPPFSLVSPPSIVSRISPSLSATTASYTCADDPPRLRQIPQRFSATASLYEILEIPVGSTSQEIKSAYRRLARICHPDVAGNSRNSSSADDFMKIHAAYCTLSDPEKRAVYDRRNLRRSRPLTAGYGSYGGRNWETDQCW >fgenesh2_kg.3__338__AT3G03840.1 pep chromosome:v.1.0:3:1266294:1266951:1 gene:fgenesh2_kg.3__338__AT3G03840.1 transcript:fgenesh2_kg.3__338__AT3G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRSFFVAKKIFGGSLAGTRKSTSAPKGFLAVYVGESQRKQRYLVPVSYLRNPLFQDLLSNSEEEFGYDHPMGGLTIPCPEDTFLTVTSRIQG >fgenesh2_kg.3__3392__AT2G17930.1 pep chromosome:v.1.0:3:22320092:22337956:-1 gene:fgenesh2_kg.3__3392__AT2G17930.1 transcript:fgenesh2_kg.3__3392__AT2G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAT domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LAC5] MSPIQNFEQHSRRLVDPDLPIPTRLEMVVEVRDSLEIAHTAEYLNFLKCYFPAFSVILLQITTPQFIDNPEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYSIFRSTVSHFFDNVKLEEVKPMEMPTSSDQSLTPTAPIGNGQLNPSTRSFKIITESPLVVMFLFQLYSRLVQTNIPHLLPLMVVAISVPGPENVPSHLKPQFIELKGAQVKELLVSLKHVLGTDFKRGLFPLIDTLLDERVLVGTGRACFESLRPLAYSLLAEIVHHVRGDLSLAQLSRIIYLFSRNMHDSTLSLSIHTTCARLMLNLVEPIFEKGVDQQSMDEARILLGRILDAFVGKFSTFKRTIPQLLEEGEVGKDRVTLRSKLELPVQVPVEHSKEVNDCKNLIKTLVMGMKTIIWSITHAHLPRPQGFKGMREDEVWKASGVLKSGVHCLALFKEKDEEKEMLNLFSQILAIMEPRDLMDMFSLCMPELFESMINNNQLVQIFAALLQAPKVCKPFADVLINLLVSSKLDVLKNPDSAATKLVLHLFRCIFGAVTNTPSDFERILQHHVPVIMEVCMKNATEVDKPLGYMQLLRTVFRGLAGCKYELLLRDLIPMLLPCLNILLTMLEGPAGEDMKDLLLELCLTLPARLSSLLPYLPRLMKPLVFCLRGSDELVSLGLRTLEFWVDSLNPDFLEPSMANVMSEVILALWSHLRPVPYPWGKKALQILGKLGGRNRRFLKEPLTLECKDNPEHGLRLVLTFEPSTPFLVPLDKFINLAVAAVIHKNHGMDIYYRKQALKFLRVCLLSQLNLPGCVTDVGQTPRQLSTLLRSSVDSSWHRSESVEIKADLGVKTKTQLMAEKSIFKTLLITILAASSDPDLSDTDDDFVENICRHFAIILHVDYTSSNASTSTSSLGDSVISTSSRSRSNQSSNLKQLDPLIFLDALVDVLADENRLHAKAALNALNVFAETLLFLALVKHADVLMARGGHNASMIVSSPSTNPVYSPHPSVRIPVFEQLLPRLLHGCYGSTWQAQMGGVMGLGALVGKVNVETLCYFQVKIVRGLVYVLKRLPVYASKEQEETSQVLMQILRVVNNVDEANSEARRKSFQDVVEYLATELFNPNASIPVRKNVQNCLALLASRTGSEVTELLEPLYQLLLQPLIMRPLRSKTVDQQVGTVAALNFCLALRPPLLKVTPELVNFLQEALQIAEADETVWAVKLMNPKVLTSLNRLRTACIELLCTTMAWTDFRTQTHNELRAKIISMFFKSLTCRAPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQSQKSWKAGEEPKIAAAIIELFHLLPHAASKFLDELVTLTIDLEAALPPGQVYSEINSPYRLPLTKFLNRYAALAVDYFLSRLSEPKYFRRFMYIIRSDAGQPLREELAKSPQKILSYAFPEILPKPDPTLSSAASTPPATSSGDENHISVKLESSNVASTKANIASDAYFQGLYLVKTMVKLIPSWLQSNRSVFDTLVLIWKSPARISRLQNEQELNLVQVKESKWLVKCFLNYLRHEKSEVNVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNMKRALLLHFLNLFHSKQLGHDHLVQAMQMLILPMLAHAFQNGQTWEVIDPDIVKTIVERLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLDAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPKRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFLLVVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVSWERQRQNEMKMVPDTDGTSQITDELHTSGADPKRSTDGSSTSEDPSKRVKIEPGLQSFCVMSPGGAASIPNIETPGSATQPDEEFKPNAAMEEMIINFLIRVALVIEPKDRETNTMYKQALDLLSQALEVWPNANVKFNYLEKLLSSMPPSQSDPSTALAQGLDVMNKVLEKQPHLFIRNNISQISQILEPCFKHKMLDAGKSLCSLLMMVFTAFPLDAANTPPDIKLLYQKVNELINKHVNTVTAPQASGDDNFFGSISFVLLVIKTLAKVHKNFVDSYVLVRILQRLARDLGSAVGSHPRQGQRTDSDSAVTSSRQTADVGAVICNIKSVLELIDETVMLIADCKRSVTQILNTLLSEKGTDASVLLCILDMIKRWVEDDFSKTGASGLSGSFLTQKDVVTFLNKLSCIDKQHFSSDALEEWDQKYLQLLYGLCADSTKYPLGLRQEVSLKVERHFMLGLRASDPEMRRKFFLLYHESLGKNLFARLQYIIQVQDWEALSDVFWLKQGLDLLLAILVEDKPISLAPNSARVLPLLPSDNPVIQHQASANLEGPEEVTSMFDSIVMKHAQFLSATSKLQVADVVIPLRELAHTDANVAYHLWVLVFPIVWVTLLKEEQVALAKPMISLLSKDYHKKQQGHRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHLALALLESHVMLFMNDSKCAESLAELYRLLNEEDMRFGLWKKRSITAETRAGLSLVQHGFWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLHCASQLSQWDALVDFGKSIENYEILLDSLWKLPDWAYLKDHVIPKAQVEETPKLRLVQSYFALHDRNSNGVGDAENTVGKGVDLALEQWWQLPEMSVHARVPLLQQFQQLVEVQESARIHVDIANGNKVSGNAAAGGLGNRYADLKDILETWRLRTPNEWDNMTVWYDMLQWRNEMYNVVIDAFKDFATSNSPLHHLGFRDKAWNVNKLAKIARKQGLYDVCVQILEKMYGHSTMEVQEAFVKIREQAKAYLEMKGERASGLNLINSTNLEYFPDKIKAEIFRLKGDFHLKLNDTESANIAYSNAITLFKNLPKGWISWGSYCDMAYQETQDEIWLEYAVSCFLQGIRFGVSNSRSHIARVLYLLSFDTANEPVGRVFDKHLDQVPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIAAVFPQALYYWLRTYLLERRDAVNKSEIGRLVLAQRMQQNATGASAGHGGSNLPSETQIHRGAQISGAGGTHDSGNPHGQESERSTAENNVHPGSDQPMHQSSSAINDNNENTVRRNGASLAISAAGAFDAAKDIMEALRGKHNNLASELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQPLKKELSGVCRACFSADAVTKHVEFVKEYKQDFERHLDPESTTTFPTTLAELTTRLKKWKNILQSNVEDRFPAVLRLEDESRVLRDFNVVDVEIPGQYFADQEVAPDHTVKLDRVGADVPIVRRHGSSFRRLTLIGSDGSQKHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHIGIHTPIIIPVWSQVRMVEDDLMYNTFLEVYENHCVRNDREADLPITHFKEQLNQAISGQISAEAIGDLRLQAYIDITKTLVNDSIFSQYMYKTLMSGSHMWAFKKQFAVQLAVSSFMSFMLQIGGRSPNKVLFAKNTGKMFQTDFHPAYDANGMIEFNEPVPFRLTRNMQAFFSQFGVEGLLMSSMCSAAQAVISSKQNEHLRYQLAMFFRDELLSWFGRRPLGVPIPPVGGIATLNSAELKHKVNANVEDVIGRIRGIAPQYFSEEDENTVEPPQSVQRGVNELVEAALSPRNLCMMDPTWHPWF >fgenesh2_kg.3__3393__AT2G17940.1 pep chromosome:v.1.0:3:22338544:22339650:1 gene:fgenesh2_kg.3__3393__AT2G17940.1 transcript:fgenesh2_kg.3__3393__AT2G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGNDWGSGRAEIETKAAFGSVKEAVAMFGEKVLAGEIYATRLKEIRTKETNLTPRHQSRLRSLTLELKQTKQTLTRTLQLNTIMSNRLKTLTQELEHGRKEIQRLNRTRSSRLDNPEIEELKFVEQHQTTMSKDVEEEIVTTEELEKRRLVTFASSPLLTRVMSSVGDEEERKKKENDCLVKKTKSKKGFAPFMGWFRATRGRD >fgenesh2_kg.3__3396__AT2G17970.1 pep chromosome:v.1.0:3:22378097:22380530:-1 gene:fgenesh2_kg.3__3396__AT2G17970.1 transcript:fgenesh2_kg.3__3396__AT2G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7LAC9] MEDDSFLRQYQPSELKIVSEFLTNWLPFLSKDLCKDCNHLLSNRIRSLDPGHCSNTDKVDGECKTDSCSVVGTMGSERVSNNVDGKSENGEDCDNHPLGSWKESEIVFGSISEVLGSVLPSRPAVVETASPRMSWADMTQEDDEFDEEEEEEEQRDSSRKGFDASSMRTPVKPKLSRDQRENLRLMNVKRKKDFICLERVKGKIVNVLDGLELHTGVFSAVEQKRIVDQVYQLQEKGRKGELKKRTFTAPHKWMRGKGRETIQFGCCYNYAPDRAGNPPGILQREEVDPLPPLFKVIIRRLIKWHVLPPTCVPDSCIVNIYDESDCIPPHIDNHDFLRPFCTISFLSECDILFGSNLNVEGPGEFSGSYSIPLPVGSVLVLNGNGADVAKHCVPAVPTKRISITFRKMDESKRPVWFTPEPDLQGIEPLPLELNRSGSASRSSGLNNHNSTNRRGHGRRRGGNSYESRGYYNPERSSEHNDSGDWPSSQRRGMPRPSRRN >fgenesh2_kg.3__3398__AT2G17975.1 pep chromosome:v.1.0:3:22381356:22383241:-1 gene:fgenesh2_kg.3__3398__AT2G17975.1 transcript:fgenesh2_kg.3__3398__AT2G17975.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (Ran-binding) family protein [Source:UniProtKB/TrEMBL;Acc:D7LAD1] MGDGREGDWECLGCRNMNYAFRSFCNRCKQPRLFMDNNTSPNSKWLPRIGDWICTGCTNNNYASREKCKKCGQPKEVAALSALAIPGASLQTHLHYFARGPESIDQSGSLLAFSNAANQASVHKEWRSGDWICRCGFHNYSSRIQCKKCNETAPLALGTKRLASEALAHEWDSKRLNQGFTSMQPHSAIYESFPGMSPGRISNWQVPLPFLQQHSTPALLGKGAKQWRDGDWMCTNCKNHNYASRSECNRCKTTRDIFNQDITPTQQS >fgenesh2_kg.3__3399__AT2G17980.1 pep chromosome:v.1.0:3:22383431:22385787:1 gene:fgenesh2_kg.3__3399__AT2G17980.1 transcript:fgenesh2_kg.3__3399__AT2G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSLY1 [Source:UniProtKB/TrEMBL;Acc:D7LAD2] MALNLRQKHTECIIRMLNLNQPLNPSGTANEEVYKILIYDRFCQNILSPLIHVKDLRKHGVTLYFLIDKDRRPVHDVPAVYFVQPTESNIQRIIADASRSLYDTFHLNFSSSIPRKHLEELASGTLKSGSVEKVSKVHDQYLEFQSTYVRMNDPSAGDKEIEEIIERVANGLFCVLVTLGVVPVIRCPRGGPAEMVASLLDQKLRDHLLSKNNLFTEGGGFMSSFQRPLLCIFDRNFELSVGIQHDFRYRPLVHDVLGLKLNRLNVQGEKGGMKSFELDSSDPFWSANSSLEFPEVAVEIETQLNKYKRDVEEVNKRTGGGSGAEFDGTDLIGNTKHLMNAVNSLPELTERKQVIDKHTNIATALLGQIKERSIDAFTKKESDMMMRGGIDRTELMAALKGKGTKMDKLRFAIMYLISTETINQSEVEAVEAALNEAEADTSAFQYVKKIKSLNVSLAASSANSASRSNIVDWAEKLYGQSISAVTAGVKNLLSSDQQLAVTRTVEALTEGKPNPKIDSYLFLDPRAPKSSSSGGSHVKGPFREAIVFMIGGGNYVEYGSLQELTQRQLTVKNVIYGATEILTGGELVEQLGVLGKKMGLGVPVASTSLSGH >fgenesh2_kg.3__339__AT3G03860.1 pep chromosome:v.1.0:3:1267858:1270326:1 gene:fgenesh2_kg.3__339__AT3G03860.1 transcript:fgenesh2_kg.3__339__AT3G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRVSILFVCAIAVSCFTSGSASSRVDFSVCNYEFEVFRFDLEAKCPPSLYPSPPIEVDGDSLDRVMDSNHGNAYMSVLFYASWCPFSRAVRPKFDMLSSMFPHIQHLAVEHSQALPSVFSRYGIHSLPSILMVNQTLKARYHGRKDLTSLIEFYEEATGLQPVHYVAEGEPTGLDAGDGNLITWLRNGTSIREIFKQDPFLVLSLLFICLQMAILVFPIAESRMKALWASYVPNLNLGRFGEISQLFSRGIHMVDVRRLWLKLSLVKTRNFHERAKNAQAWASSLASVSLGQTSSDQS >fgenesh2_kg.3__33__AT3G01820.1 pep chromosome:v.1.0:3:96377:98080:1 gene:fgenesh2_kg.3__33__AT3G01820.1 transcript:fgenesh2_kg.3__33__AT3G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L9L0] MAWLSRLRGVVSVTRLAATRRSFGSAAALEFDYDSDDEYLYGDDGRCAEPRLGLDGSGPDRGVQWVLMGAPGAWRHVFAERLSKLLEVPHISMGSLVRQELNPRSSLYKEIASAVNERKLVPKSVVFALLSKRLEEGYLRGETGFILHGIPRTRFQAETLDQIAQIDLVVNLKCSEEHLLNQNTLNETALPRQEFLGSMLHSPVAINSGRETLGVYAQELEEYYRKQRKLLDFHVGGATSAETWQGLLAALHLKQVNLPTSQKLTL >fgenesh2_kg.3__3400__AT2G17990.1 pep chromosome:v.1.0:3:22396959:22398770:1 gene:fgenesh2_kg.3__3400__AT2G17990.1 transcript:fgenesh2_kg.3__3400__AT2G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEETNVSAQGSLTREATEIWKSELESRRLQVDSLEAELVDVKAYLEFGSEEDARKELGVLSGRVRSTATMLRYLRSKARILAIPDDLPNVSCGVEQIELKGLNFLEKDGGSSSSGGASNTSPETRRYRGSLGIEDGAYTNEMLQSIEMVTDVLDSLVRRVTAAESETAVQKERALLGEEEISRKTIQIENLSLKLEEMERFAYGTNSVLNEMRERIEELVEETMRQREKAVENEEELCRVKREFESLKSYVSTFTNVRETLLSSERQFKTIEELFERLVTKTTQLEGEKAQKEVEVQKLMEENVKLTALLDKKEAQLLALNEQCKVMALSASNI >fgenesh2_kg.3__3407__AT2G18030.1 pep chromosome:v.1.0:3:22462584:22465180:1 gene:fgenesh2_kg.3__3407__AT2G18030.1 transcript:fgenesh2_kg.3__3407__AT2G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LAE7] MAISLKRNRIFIPYANLVFFFFLCISLLDKAVCIRISNQISDTIVDSPDRPLKSAVFALGSFWRSEAAFGCINGVVRTTAGYAGGTKTNPEYRNLGDHAESVQVEYDPRIIGYRQLLDVFWSSHDSRQVFGQGPDVGNQYRSCIFTNSTEELRLASTSKEREQLKSRNSIVTTQIQQLGTFYRAEPDHQKFELKQHPFLIQLIGNMAEEELERSALATKLNGYAAELCPSRIQKHIDSRVNEIIRKGWPVLKDI >fgenesh2_kg.3__3408__AT2G18040.1 pep chromosome:v.1.0:3:22465342:22466956:1 gene:fgenesh2_kg.3__3408__AT2G18040.1 transcript:fgenesh2_kg.3__3408__AT2G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7LAE8] MASRDQVKASHILIKHQGSRRKASWKDPEGKIILTTTREAAVEQLKSIREDIVSGKANFEEVATRVSDCSSAKRGGDLGPFGRGQMQKPFEEATYALKVGDISDIVDTDSGVHIIKRTA >fgenesh2_kg.3__3412__AT2G18050.1 pep chromosome:v.1.0:3:22469983:22470774:1 gene:fgenesh2_kg.3__3412__AT2G18050.1 transcript:fgenesh2_kg.3__3412__AT2G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LAF0] MAEEKTLKKTPAAKKPRKPKTTTHPPYFQMIKEALMALKEKNGSSPYAIAKKIEEKHKSLLPESFRKILSLQLKNSVAKGKLVKIRASYKLSDTTKMTTRLQDKKNKKNMKQEDKEITKRTRSSSTRSKKSMSVNKQEKMRKAKKARQPKSIKSSAGKKAM >fgenesh2_kg.3__3414__AT2G18080.1 pep chromosome:v.1.0:3:22521012:22523197:1 gene:fgenesh2_kg.3__3414__AT2G18080.1 transcript:fgenesh2_kg.3__3414__AT2G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFRAPDGPLFMIICGEGPCSGIANDYINVLAKKFQAGVVSLEHRYYGKSSPFNSLATENLKYLSSKQALFDLAAFRQYYQESLNVKLNMSNGGNENPWFFFGISYSGALSAWFRLKFPHLTCGSLASSAVVRAVYEFTEFDQQIGESAGQECKGALQETNKLLELGLKENRKAVKSLFNATELDVDADFLYLTADAAVMAFQYGNPDKLCVPLVEAKKNGSDLVETYSKYVREYCMRFWGLRVRTYNRKHLRNTVVTADSAYRLWWFQVCTELGYFQVAPKNDSVRSQQINTMFHLDLCKSLFGEGVYPKVDATNLYYGGDRLTATKIIFTNGSEDPWRHASKQNSSHEMPSYIIKCRNCGHGTDIRGCPQSPMVIEGKSNNCSLPDYVNKVRQQMVEHIDLWLSECRKPIRSSI >fgenesh2_kg.3__3416__AT2G18100.1 pep chromosome:v.1.0:3:22535583:22539498:1 gene:fgenesh2_kg.3__3416__AT2G18100.1 transcript:fgenesh2_kg.3__3416__AT2G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEATSNLTPSQRYAASALFAIALNQAQISQTKLFGIPAIDHGGGNGESENSHTREPISSDGDPISDDADLWVHEVSGLIRPVFRCLQIDSSAWHGLEEISASTQAKDHIGAFIKLLSEDVSDDDDNSSDMVEKETALAKAAEAMVQNIRSSSVSVEAKMEKHVEFENECREKYYVPEVQSGAEVKEVESHGQSEVKDGGHNPGIVEHEKPVGEVALLSHERKINVLYELLSACLADKHEENEKCTRRRKGYDARHHVALRLLATWFDFQWIKMEAVETMSACSAMALQKSSGYKEEESLSPTSEWAKWKRGGIVGAAALTGGTLMAITGGLAAPAIAAGFGALAPTLGTMVPVIGASGFAAAAEAAGTVAGSVAVAASFGAAGAGLTGTKMARRTGDIEEFEFKAIGENHNQGRLAVEILVAGFVLKEEDFVKPWEGLTSNLERYTVHWESKNIIAVSTAIQDWLTSRVAMELMRQGAMHTVLNSLLAAMAWPATILVAADFIDSKWSIAIDRSDKAGKLLAEALRKGLQGNRPVTLVGFSLGARVVFKCLQTLVETEKNAEIVERVVLLGAPISIKNENWRDVRKMVAGRFINVYATNDWTLGVAFRASLLSQGLAGIQPVCIPGIEDVDVTDMVEGHSSYLWKTQHILERLELVNSYPVFRDTL >fgenesh2_kg.3__3418__AT2G18130.1 pep chromosome:v.1.0:3:22551136:22553034:-1 gene:fgenesh2_kg.3__3418__AT2G18130.1 transcript:fgenesh2_kg.3__3418__AT2G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LB83] MELNRLALVCYVVVLISIFSVTHGGVTSNYVRVSEPSEEMPLETFPPPAGYNAPEQVHITQGDHSGRGMIISWVTPLNEDGSNVVTYWIAGGDGTDNKSAIATTSSYRYFDYTSNYLHHATIKGLEYETKYFYELGTGRSTRQFNFMTPPKVGPDVPYTFGVIGDLGQTYASNQTLYNYMSNPKGQAVLFAGDLSYADDHPNHDQRKWDSYGRFVEPSAAYQPWIWAAGNHEIDYAQSIGETQPFKPYMHRYHVPHKASQSTSPLWYSIKRASAYIIVLSSYSAYDKYTPQNSWLQDELKKVNRSETPWLIVLVHAPWYNSNNYHYMEGESMRVTFEPWFVENKVDIVFAGHVHAYERSERVSNIKYNITDGLSTPVKDQNAPVYITIGDGGNIEGIANSFTDPQPSYSAFREASFGHALLEIKNRTHAHYTWHRNKDDEPVIADAIWLKNRYYLPEEETA >fgenesh2_kg.3__3420__AT2G18150.1 pep chromosome:v.1.0:3:22565175:22566739:-1 gene:fgenesh2_kg.3__3420__AT2G18150.1 transcript:fgenesh2_kg.3__3420__AT2G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LB84] MARIGSFLIILYLTYALTLCICDDDESMYYGGNKGNLFPGFYRSSCPRAEEIVRSVVAKAVARETRMAASLMRLHFHDCFVQGCDGSLLLDTSGSIVTEKNSNPNSRSARGFEVVDEIKAALENECPNTVSCADALTLAARDSSILTGGPSWMVPLGRRDSRSASLSGSNNNIPAPNNTFNTIVSRFNNQGLDLTDVVALSGSHTIGFSRCTSFRQRLYNQFGNGSPDSTLEQSYAANLRQRCPRSGGDQNLSELDINSAGRFDNSYFKNLIEKMGLLNSDEVLFSSNEQSRELVKKYAEDQEEFFEQFAESMIKMGNISPLTGSSGEIRKNCRKINNS >fgenesh2_kg.3__3423__AT2G18162.1 pep chromosome:v.1.0:3:22591803:22593069:-1 gene:fgenesh2_kg.3__3423__AT2G18162.1 transcript:fgenesh2_kg.3__3423__AT2G18162.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-box binding factor 5 [Source:UniProtKB/TrEMBL;Acc:D7LB86] MASSSSTYRSSSSSDGGNNNPSDSVVTVDERKRKRMLSNRESARRSRMRKQKHVDDLTAQINQLSNDNRQILNSLTVTSQLYMKIQAENSVLTAQMTELSTRLQSLNEIVDLVQSNGAGFGVDQIDGCGFDDRTVGIDGYYDDMNMMSGVNHWGGSVYTNQPIMANDINMY >fgenesh2_kg.3__3428__AT2G18220.1 pep chromosome:v.1.0:3:22674310:22677947:1 gene:fgenesh2_kg.3__3428__AT2G18220.1 transcript:fgenesh2_kg.3__3428__AT2G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDDKKRVKKLKSKKVEADEEHEEQLMSVEEIDVDDIATDQKTDKKRGKKVKSTKAEAEEHEEQLKRLQEKDADFYQYMKEHDAELLKFDATEIEDDTDVEPDTDLEDTEKEGDDEATKMEIAKKVTEQKTITASMVNSWSKSIKVDAKLGAVRSILRAYRTACHYGDDTGDDQSTKFSVMSSEVFNKIMSYVLIEMDGILRKLLRFPEDTRGTKETILDLMNTRPWKNYNHLVKSYLGNSLHVLNQMTDTEMITYTLRRLKHSSVFLAAFPSLLRKYIKVALHFWGTGSGALSVVSLLFLRDLCIRLGSDCVDDCFKGMYKAYVLNCQFVNADKLQHISFLGNCFIELLGTDISAAYQHAFVFIRQLAMILREALNTKTKEAFRKVYQWKFIHCLELWTGAVCAYSSQSELRPVAYPLAQIITGVARLVPTARYTPLRIRCVRMLNRIAASTGTFIPVSMLLVDMLEMKELNRPPTGGVGKGVDLRTLLKVSKPAVKTRAFQEACVYSVVEELVEHLSQWSCSVAFFELSFIPTIRLRSFFKSTKAERFRKEMKQLIIQIEANSEFVNKKRASIKFLPNDLASESFLEDEKKAGKSPLLQYMEIIRQRAQQRNESLVESDVIVGENSAVFGKNAPSSDDEDDADRIEKGALAFNSSWLPGSDSKEKEPEEEQTKKKKRKRGGKSKTEKQQDEQGLGDDDVVEDFVLSSDEEEEDDLFDIGGDKDEDDAADEITDPETKTSNKNSKKTKGTYKTWHKTYKKTKKKKPRVA >fgenesh2_kg.3__3429__AT2G18230.1 pep chromosome:v.1.0:3:22678028:22680391:-1 gene:fgenesh2_kg.3__3429__AT2G18230.1 transcript:fgenesh2_kg.3__3429__AT2G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic pyrophosphatase [Source:UniProtKB/TrEMBL;Acc:D7LB98] MAEKNDEGSAKGYAFPLRNPNVTLNERNFAAFTNRSAAAHPWHDLEIGAEAPAVFNCVVEISKGGKVKYELDKNSGLIKVDRVLYSSIVYPHNYGFIPRTICEDSDPIDVLVLMQEPVLTGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYRDIKELPPHRLAEIRRFFEDYKKNENKKVDVEGFLPAQAAIDAIKDSMDLYAAYIKAGLQR >fgenesh2_kg.3__342__AT3G03880.1 pep chromosome:v.1.0:3:1273878:1275357:1 gene:fgenesh2_kg.3__342__AT3G03880.1 transcript:fgenesh2_kg.3__342__AT3G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLEQEIFLQWGNKKRLRCLRAKDKKISRSKNSSRFLGQDTFLLQSTRFSRGSEGAILRSGLPDRRLEKEERYYTTRGVVDNIGKVCLDENNNNGEDGNNKEESMWPKLFITLSNKEKEEDFMAMKGCKPSHRPKKRAKLIQRSLLLVSPGTWLADLCPDRYDVRVKKSSKKRRARGLKAMGNMETDSD >fgenesh2_kg.3__3430__AT2G18240.2 pep chromosome:v.1.0:3:22687122:22688570:1 gene:fgenesh2_kg.3__3430__AT2G18240.2 transcript:fgenesh2_kg.3__3430__AT2G18240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEPGSENEGDTIVASPLAKWRIEFSRSFQNYLDRSAPNIVRRWLVTLVAAVIYIYRVYSVYGYFVISYGLATYILNLLIGFLSPKVDPELEALDPDSLPVDDSDEYKPFVRRLPEFKFWYAATKAFVVAFVMTFFSFLDVPVFWPILLCYWLVLYSLTMKRLIVHMFKYRYFPFDVRKPVKLLKFLVHSVLTFLRLKRDGGGNDRPSSSNSSQGNEKQD >fgenesh2_kg.3__3432__AT2G18245.1 pep chromosome:v.1.0:3:22689844:22692208:1 gene:fgenesh2_kg.3__3432__AT2G18245.1 transcript:fgenesh2_kg.3__3432__AT2G18245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATRILSTSSVINHRLLLSKLNLKSDPRTIKLLHNAVSRESSISAFRIRYSSLPPNSNLFKSIFFLTSTFRFENTAVHANPFRSEPNDGVAVWNRAPRSVNGGGNAAIFGGNEKETTVVLLGWLGAKEKHLRRYVEWYNSRGINAVTFTVDVRDLLRLDLGRRLERRIAEFGNELVNWVSEKEDDGREKCLVFHSFSNTGWLVYGALLESFVGRQDLVEKIKGCIIDSGGADPLDTKIWAAGFTAAILKKRSSTITTEPNSPIKEDDASTPQKKEPLGIENMMLSSLEKLFPIFLNLPDVNTRLTKIIQKLYENHPPCPQLYLYSSGDKVVPSQSVELRIREQQKMGRNIHSFNFKFSPHVDHYRNFPDLYSSQLQNFLQECFTPTKRQAL >fgenesh2_kg.3__3433__AT2G18250.1 pep chromosome:v.1.0:3:22693911:22695547:1 gene:fgenesh2_kg.3__3433__AT2G18250.1 transcript:fgenesh2_kg.3__3433__AT2G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-phosphopantetheine adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LBA3] MAATEDSKMSPVNSFGAVVLGGTFDRLHDGHRMFLKAAAELARDRIVVGVCDGPMLTNKQFAEMIQPIEERMRNVEKYVKSIKPELVVQAEPISDPYGPSIVDENLEAIVVSKETLPGGLSVNRKRAERGLSQLKIEVVEIVSDGSSGNKISSSTLRKLEAEKADKQKQPAEEKAS >fgenesh2_kg.3__3434__AT2G18260.1 pep chromosome:v.1.0:3:22696105:22697168:1 gene:fgenesh2_kg.3__3434__AT2G18260.1 transcript:fgenesh2_kg.3__3434__AT2G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP112 [Source:UniProtKB/TrEMBL;Acc:D7LBA4] MNDLMTKSFLSYVELKKQAKKDMESDRDLEKGEDFNFDFSPADEENLSGFFQEIETIKTLIEEITHLLLDLQNLNEETKSTHSTKILRGLRDRMESNIVTISRKANTVKTLIETLEKRNVVNRTSFKEGSCVDRTRTSITNGVRAKLRETMSEFHRLRERIFADYREDLKRKYFLATGEEPSNEDMEKMISGSGSCSDLVKTFEGKPEMDLKTKERHEAVNDIKRSLNRLHQVFLDMAVLVETQGDRIDDIEANVANAGSFVSGGTNSLYYANQMKKKTNSWVLWVSILGVLILLVCVISMLASR >fgenesh2_kg.3__3436__AT2G18280.2 pep chromosome:v.1.0:3:22700604:22703188:1 gene:fgenesh2_kg.3__3436__AT2G18280.2 transcript:fgenesh2_kg.3__3436__AT2G18280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:D7LBA5] MSLKSILRDLKEVRDGLGGISKRSWSKSSHIAPDQTTPPLENIPQSPWASLPPELLHDIIRRVEESETAWPARAAVVSCASVCKSWRGITMEIVRIPEQCGKLTFPISLKQPGPRDSPIQCFIKRNRATATYILYYGLMPSETENDKLLLAARRIRRATCTDFIISLSAKNFSRSSSTYVGKLRSGFLGTKFTIYDNQTSSSTAQGQPNRRLHPKQAAPKLPANSSTVGNVTYELNVLRTRGPRRMHCTMDSIPLSSVIAEPLVVPGIEEEVSSSPSPKGETSTTDREVPDITPGLRDQPLVLKNKSPRWHEQLQCWCLNFKGRVTVASVKNFQLVADIDASLDAPPEEHERVILQFGKIGKDIFTMDYRYPLSAFQAFAICISSFDTKPACEG >fgenesh2_kg.3__343__AT3G03890.1 pep chromosome:v.1.0:3:1275564:1278863:-1 gene:fgenesh2_kg.3__343__AT3G03890.1 transcript:fgenesh2_kg.3__343__AT3G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN binding protein [Source:UniProtKB/TrEMBL;Acc:D7L1B3] MKSLVAHFSTPLITSRLFPTCLIHRASISAVNFPTVRRRYSPLTMASAAQSSSQAVSSGSVNSDTDVFKLIQAHEEKAARLSPVDEIRTVLNGSVRGMLSTFSQKYEGYPSGSMVDFACDADGSPILAVSSLAVHTKDLLANPKCSLLIARDPEDRTGLRITLHGDAVLVSEKDQAAVRSAYLAKHPSAFWVDFGDFSFMRIEPKVVRYVSGIATAFLGSGEFSKEKYQAAKVDPIAQYAKPVTSHMNKDHEEDTKAIVHNVTSIPVESALMLDLDSLGFNVKASLQGNTFKLRVPFPRPAQDRKDVKTLIVEMLQAAKPIPN >fgenesh2_kg.3__3440__AT2G18300.2 pep chromosome:v.1.0:3:22707615:22709549:-1 gene:fgenesh2_kg.3__3440__AT2G18300.2 transcript:fgenesh2_kg.3__3440__AT2G18300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7LBA7] MLEGLVSQESLSLNSMDMSVLERLKWVQQQQQQLQQVVYQSSNNSHELLQILQFHGSNNDDLLQSSFSPFQMLGSGFGPNYNIGFGPPHEAISRTSSCHMEPVDTIEVLLKTGEENRAIALKNKRNPEVKTRGEEKTEKKIKVEAETESSMKGKSNMRNTEASSDTSKETSKKASEIQKLDYIHVRARRGQATDRHSLAERARREKISKKMKYLQDIVPGCNKVTGKAGMLDEIINYVQCLQRQVEFLSMKLAVLNPELELAVEDVSVKQFQAYFTNVVASKQSIMVDVPLFPLDQQGSLDLSAINPNQTTSIEAPSASWETQSQSLYNTSSLGFQY >fgenesh2_kg.3__3441__AT2G18320.1 pep chromosome:v.1.0:3:22712571:22713669:1 gene:fgenesh2_kg.3__3441__AT2G18320.1 transcript:fgenesh2_kg.3__3441__AT2G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMFDLSQDLVEEILSRVPITSLGAVRSTCKAWNVLSKNRILCNAKPRNQFLGFMMMGYTRLCSIRFNLHGILNEDREEFVDPSIKEIGNLLNQGDIIYEVLYCDGLLLLCVTKERNTRLLVWNPYLGQTRWIQPKTATRTTCMYALGYDNNQNHKILKVFDNQGYYEIYDFKYNSWRAFVDIPNWEIDFCRRGASVNGNTYFWTQERMEGYDSFQTPYFLICFDFTAERFGQVLPLPFQHHDDDENTGILSCVKEEKLSALFQCKHSSFYENFGGFFIDEEKKVVVVFDLDEHQAYRNIAYIIRENIRSKKVDLGEVRTLYCPIVCSSSYVPSLVKIKDCVF >fgenesh2_kg.3__3442__AT2G18328.1 pep chromosome:v.1.0:3:22723391:22723792:1 gene:fgenesh2_kg.3__3442__AT2G18328.1 transcript:fgenesh2_kg.3__3442__AT2G18328.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7LBA9] MASSSISNSSWTAKEDKQFEMALAKYDKETPDRWQKIARAVGGKSTEEVKRHYELLIRDVNDIESGRYPQPRYRNTN >fgenesh2_kg.3__3443__AT2G18330.1 pep chromosome:v.1.0:3:22724760:22727825:1 gene:fgenesh2_kg.3__3443__AT2G18330.1 transcript:fgenesh2_kg.3__3443__AT2G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:UniProtKB/TrEMBL;Acc:D7LBB0] MAASRLCSAAAIAAAFTSMSMSQNRAYADSRFRFPFFSSSPPAEESPTDQKSSSNETKPDSDEPKGSGFDPESLERGAKALREINSSPHSKQVFDLMRKQEKTRLAELAAEKEHNEAIQAHKDIERQRKLAEDQRNLVQQQAQAKAQMLRYEDELARKRMQTDNEAQRRHNAELVSMQEESSIRKEKARIATEQQIQAQQRQTEKERAELERETIRVKAMAEAEGRAHEAKLTEEQNRRMLVDKINGEREKWLAAINTTFSHIEGGVRTLLTDRSKLIMTVGGITALAAGVYTTREGARVTWGYINRILGQPSLIRESSMGRFPWAGSVSQFKNKLSKAAGAAASAEGEKPLENVILHRSLKTRIERLARATANTKSHKAPFRNMMFYGPPGTGKTLVAREIARKSGLDYAMMTGGDVAPLGAQAVTKIHEIFDWAKKSNKGLLLFIDEADAFLCERNSTYMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDSAVTDRIDEVIEFPLPGEEERFKLLKLYLNKYLMGEDKKGEKDSNLKWSNLFKKKKSQKITIEGDLTDQVIKEAAKKTEGFSGREIAKLVAGVQAAVYGRPDCVLDSQLFEEIVDYKIEEHHQRIRLATEGGQSFA >fgenesh2_kg.3__3444__AT2G18340.1 pep chromosome:v.1.0:3:22728214:22730064:1 gene:fgenesh2_kg.3__3444__AT2G18340.1 transcript:fgenesh2_kg.3__3444__AT2G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRTALVLLVVVVVMTWQEGVLGKWAESTAKEKTGSWAGWVSDKITTGFGTKKEETGIYQKTKDEARKAARAAENYAYDKAKYVKDSAHDNAGYAKDFAENKAQYAKDFAYDKTGDAKNMACENAGYAKDFAYDKAGDAKDMAYENAGYAKDFAYDKAGNAKDMAYDKGGYAKDFAYDKAGDAKDMAYEKAPYAKDMAYEKASIAKDMAYEKAGDAYGSAQKLMDSGYDKVGDAKDIAYEKAGNVKDMAYEKTGNAKDGAYEKAGIAKGMAYDKAGNAKDMAYDKVGSAYGIAQKAKDSSYEKAGEAKDFAYKKAGNAKDLAYEKAQDAKDFAYDKAGYGYDKTGDVIRMATDKSGEAYEGAKEKSKSAKDMAGVAMDDSINYMKDKSHNAKDEAARGFEEAMEKVGEKYGTAKESTKHAYETAKKKASQVAGEIRDRYAEL >fgenesh2_kg.3__3445__AT2G18360.1 pep chromosome:v.1.0:3:22745634:22748285:-1 gene:fgenesh2_kg.3__3445__AT2G18360.1 transcript:fgenesh2_kg.3__3445__AT2G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7LBB3] MVNFVDSQKPLLYRLMKWAGVIPYTVEIEPGTKMKFWIPKETLKKSKKSDKNSAVEPQKPTKPVLLFIHGFAAEGIVTWQFQVGSLAKKYSVYIPDLLFFGGSYSDNPDRSPAFQAHCLVKSLRILGVDKFVLVGFSYGGMVAFKIAEEYPEMVQAMVVSGSILAMTDTISESNLNQLGFKSSADLLLPTSVKGLKTLFTLAVHKPMWFPNRLFKDFIEVMITNRKERAELLEALVISNKDVTIPRFQQKIHLLWGESDQIFNLEFAKSMKEQLGENATMESIKKAGHLAHLERPCVYNRRLKKFLASVYSEN >fgenesh2_kg.3__3446__AT2G18370.1 pep chromosome:v.1.0:3:22749790:22751130:1 gene:fgenesh2_kg.3__3446__AT2G18370.1 transcript:fgenesh2_kg.3__3446__AT2G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:D7LBB4] MNVLKSLAIISVLGIFFIPRYSESAISCSVVIQDLQPCVSYLTSGSGNPPKTCCDGVKSLAAATTTSADKKAACQCIKSVANSVTVKPELAQALASNCGASLPVDASPTVDCTTVG >fgenesh2_kg.3__3449__AT2G18400.1 pep chromosome:v.1.0:3:22765945:22766452:-1 gene:fgenesh2_kg.3__3449__AT2G18400.1 transcript:fgenesh2_kg.3__3449__AT2G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L6 family protein [Source:UniProtKB/TrEMBL;Acc:D7LBB8] MEAKFFRFLKIVGVGYKARAEEAGRFLYLKLGYSHEVELAVPPAVRVFCFKNNVVCCTGIDKQRVHQFAATVRSCKPPEPYKGKGIMYIDEVVKKKQGKKSK >fgenesh2_kg.3__3453__AT2G18450.1 pep chromosome:v.1.0:3:22775750:22779283:-1 gene:fgenesh2_kg.3__3453__AT2G18450.1 transcript:fgenesh2_kg.3__3453__AT2G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase [ubiquinone] flavoprotein subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7L067] MWRCLRVASWRRSESNGAFITTRLSRFFSAPSSTGDRSSYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMSEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEEGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLIMNSDGTCQGVIALNMEDGTLHRFHAGSTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGARGEGGILRNSEGEKFMDRYAPTARDLASRDVVSRSMTMEIREGRGAGPMKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTREPIPVLPTVHYNMGGIPTNYHGEVITVRGDDPNAIVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIQKPGEKLKPLEKDAGAKSIKWLDRIRNSNGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCDLIDKTWDSFGDVKVTDRSMIWNSDLIETMELENLLVNACITMHSAEARKESRGAHAREDFTKRDDANWMKHTLGYWEEGNVKLEYRPVHMNTLDDEVDTFPPKPRVY >fgenesh2_kg.3__3454__AT2G18460.2 pep chromosome:v.1.0:3:22779748:22781262:1 gene:fgenesh2_kg.3__3454__AT2G18460.2 transcript:fgenesh2_kg.3__3454__AT2G18460.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRERDLERLIPMHKSGASPRDVVLSVPPSPLASPIHVAGKEAIYKVIRSWASKKFMTGCVILLPIAVTFYFTWWFIHFVDGFFSPIYTHLGINMFGLGFVTSITFIFMVGVFMSSWLGASVLSIGEWFIKKMPLVSYIYSASKQISGAISPDQSSGAFKEVAIIRHPHMGEYAFGFITSTVILRGRAGGEELCCVYVPTNHLYLGDIFLISSKDIIRPNLSVREGIEIVISGGMSIPQILTTLDKVLEI >fgenesh2_kg.3__3456__AT2G18465.1 pep chromosome:v.1.0:3:22781306:22783256:-1 gene:fgenesh2_kg.3__3456__AT2G18465.1 transcript:fgenesh2_kg.3__3456__AT2G18465.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L069] MLRLRSLLSLKSSSIVELSSPNATEAIRFAPFHSTSVLSEKSRNNFGSERASEGERSSKNSSIRFTCTVKEKGRTSAKKTVDKLLFHRGINDPLQNEWHFGPNPLIRDRHMKKKPPPGRGKKPRDKKTKRWHREGNADDDFGTDANNTFENKWRERWTTQSQKASYSKDSASGFEWREGWSWTTQSQRSKSWNNESFDEPLNVGTRSERTVLGLPLDGPIKIDDVKNAFRSAALKWHPDKHQGPSQAAAQEKFKLCVDAYKSLCSALA >fgenesh2_kg.3__3459__AT2G18500.1 pep chromosome:v.1.0:3:22821670:22822996:1 gene:fgenesh2_kg.3__3459__AT2G18500.1 transcript:fgenesh2_kg.3__3459__AT2G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOFP7/OFP7 [Source:UniProtKB/TrEMBL;Acc:D7L078] MTKRFKLKISRILSFKSCRSKDPSSLPINPVPSSLRRTPPPVNSSAVVTTVPKRRRSSFRLHVLTVFGCGRSPTPLDVDLRNSPALSPPLTPTFQWESEGKWHVIAQVTEEEYETPRRKIYDGGSEKDNRRCLKKKERSTSRRGGSVSSAEEETDRESLLPSSTDLSPEYSSSELPRVTRRRRKLLKKAVIEEESESSSPPPSPARLSSFVQRLMPCTTAAAVMVEGVAVVKRSEDPYEDFKGSMMEMIVEKKMFEVAELEQLLSCFLSLNAKRHHRAIVRAFSEIWVALFAGGNGGGGRRSSSFSSVRLSDYDEC >fgenesh2_kg.3__3460__AT2G18510.1 pep chromosome:v.1.0:3:22823771:22826198:-1 gene:fgenesh2_kg.3__3460__AT2G18510.1 transcript:fgenesh2_kg.3__3460__AT2G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIAPGVGANLLGQHSAERNQDATVYVGNLDAQLSEELLWELFVQAGPVVNVYVPKDRVTNLHQNYGFIEYRSEEDADYAIKVLNMIKVHGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIASNPKIMRDPDTGNSRGFGFISYDSFEASDAAIEAMTGQYLSNRQITVSYAYKKDTKGERHGTPAERLLAATNPSAQKSRPHTLFASGPPSNAPQVNGLPRPFANGSMQPAPIPAPRQPPPPPPQVYQTQPPSWPSQPQQQGFVSPPMQFRPPQGMPPPPPPQFLNHQQGFGGPRPPPPPQAMGMHQHGGWPPQHMQQQGGPPPQQQPPPYQHHHMSMPPPPPHQG >fgenesh2_kg.3__3461__AT2G18520.1 pep chromosome:v.1.0:3:22849906:22851551:-1 gene:fgenesh2_kg.3__3461__AT2G18520.1 transcript:fgenesh2_kg.3__3461__AT2G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L082] MTPSHFCLRFLRRFSTAAATNVSSGAITISKAKSKLRKVHDPDKALAIYKSVSNNSSSPLSSRYAMELTVQRLAKSQRFSDIEALIESHKNNPKIKTETFLSTLIRSYGRASMFDHAMKMFEEMDQIGTPRTVVSFNALLAACLHSDLFERVPQLFDEIPQRYNNITPDKVSYGMLIKSYCDSGSPEKAMEIMRDMEVKGVEVTIIAFTTILGSLYKNGLVDVAESLWTEMVNKGCELDNTVYNVRLMNAAKESPERVEELMEEMSSVGLKPDTVSYNYLMTAYCVKGMMGEAKKVYEGLEQPNAATFRTLIFRLCINGLYDQGLTVFKKSAIVHKIPDFKTCKHLTEGLVKNNRMEDARGVARTVKKKFPPRLVTEWKKLEEKLGLYSKANAAAVSSSSQTREVLDQEREDAAYSPGSDG >fgenesh2_kg.3__3464__AT2G18570.1 pep chromosome:v.1.0:3:22906936:22908820:1 gene:fgenesh2_kg.3__3464__AT2G18570.1 transcript:fgenesh2_kg.3__3464__AT2G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L087] MDQPHALLVASPGLGHLIPILELGNRLSSVLNIHVTILAVTSGSSSPTETEAIRAAAARTACEITELPSVDIDNLVEPDATVATKIVVKMRAMKSKVRDAVESMKRKPTVMIVDFFGTGLMCAADDVGLTAKYVYIPSHAWFLAVMVYLPVLDTVVEGEYVDIKEPLKIPGCKPVGRKELMETMLDRSDQQYKECVRVGLEVPMSDGVLVNTWEELQGNTLAAFREDGELNRVMKVLVYPIGPIVRTSGHVEKLNSIFEWLDKQGERSVLYVCLGSGGTLTFEQTVELAWGLELSGQRFVWVLRRPASYLGASSSDDDQVITSLPDGFLDRTCGVGLVVTQWAPQVEILSHRSIGGFLSHCGWSSVLESLTKGVPIVAWPLYAEQWMNATLLTEEIGVAVRTLELPSEKVIGREEVASLVRKIVAEEDEEGQEIRAKAEEVRVSSERAWSQGGSSYNSLFEWAKRCYLVS >fgenesh2_kg.3__3466__AT2G18620.1 pep chromosome:v.1.0:3:22931149:22932251:1 gene:fgenesh2_kg.3__3466__AT2G18620.1 transcript:fgenesh2_kg.3__3466__AT2G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyl diphosphate synthase [Source:UniProtKB/TrEMBL;Acc:D7L092] MTTLTKSINLSICQNPDPYPDSSKSNLSFSLSTVSSVVTGEESIIHDKFDFMSYMIGKANSVNKALDSAVSLREPIKIHEAMRYSLLAGGKRVRPVLCIAACELVGGEEFLALPAACAVEMIHTMSLIHDDLPFFGEDVAVLAGDALLSFAFEHLATETPEIISPARVVRAIGELAKAIGSEGLVAGQVVDLTSEGMDQNDVGLELLEFIHLHKTAVLLEAATVLGAIFGGGTNEEIERLRKFARCIGLLFQVVDDILDVTKSSEELGKTAGKDLIADKLTYPKLMGLEKSKDFAEKLLSDAQEQLHGFDSNKVKPLLALANYIGKRQN >fgenesh2_kg.3__3468__AT2G18640.1 pep chromosome:v.1.0:3:22936811:22937944:-1 gene:fgenesh2_kg.3__3468__AT2G18640.1 transcript:fgenesh2_kg.3__3468__AT2G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNIFLYLLIVFLSLHFVFATLKGRLSPANTRRLIRLLHLPIKSPAAAAIFARKDIREFLDSSIKLVNEEDDFGFNFDFKPYMINKAETINRALDEAIPLIEPLNIHKAMRYAILAGGKRVRPILCLAACELVGGEERLAIQAACAVEMIHTMSLIKDDLPCMDNDDLRRGKPTTHKVFGESVAILSGGALLALAFEHLTEADVSSKKMVRVVKELAKSIGTKGLVAGQAMDLSSEGLDPNDVGLEDLEYIHVHKTGALLEASAVIGAVIGGGSEEEIEKVRYFARCIGLLFQVVDDILDETKSSEELGKTAGKDQVAGKLTYPKVMGLEKSKEFVKKLKREAKEHIQGFDSDKVKPLIALTNFIANRNN >fgenesh2_kg.3__3469__AT2G18650.1 pep chromosome:v.1.0:3:22944814:22946055:-1 gene:fgenesh2_kg.3__3469__AT2G18650.1 transcript:fgenesh2_kg.3__3469__AT2G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNLISSSPPPPPLIPLKSNTSFDLNNKITPNILLIIIILSIIFFISGLLHILVKFLLTPSTQNREDYFDNVTALQGQLQQLFNLHDSGVDQSLIDTLPVFHYKSIIGLKISPFDCPVCLCEFETEDKLRLLPKCSHAFHVECIDTWLLSHSTCPLCRSNLLSGFSSHHNLSSSYLLVLESEQSSRDMVPVLESNSQLCIDDGNNDFESTRIRSGRKSCDPDGELDGLDGKVFPLEVKLGKFRNIDHVNEGSDNDNKNSISGNSINVDGRRCLSMGSYEYIMDQEATLKVHISTKKLPGNRAVVSECGLDPTVKGIEKSVVERESFSLSKTWLRGKKEKQKGSSARDQDCSSVSSSSLRFPNHRISREINEESQKSENSESLETKTPSFARRTMLWLAGRQNKVVQPSTSNV >fgenesh2_kg.3__346__AT3G03920.1 pep chromosome:v.1.0:3:1285327:1286802:-1 gene:fgenesh2_kg.3__346__AT3G03920.1 transcript:fgenesh2_kg.3__346__AT3G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex subunit [Source:UniProtKB/TrEMBL;Acc:D7L1Z5] MRPPMRGGGGFRGRGGRDGGGGGRFGGGGGRFGGGGGRFGGGGGRFGGFRDEGPPSEVVEVATFLHACEGDAVTKLSNEKIPHFNAAIYLENKTQIGKVDEIFGPINESLFSIKMMEGIVATSYSPGDKFFIDPYKLLPLSRFLPQPKGQSTGGRGGAGRGRGDGRGRGRGGSFRGRGAPRGGRFPPRGGSRGSFRGRGRF >fgenesh2_kg.3__3471__AT2G18680.1 pep chromosome:v.1.0:3:22970031:22970900:1 gene:fgenesh2_kg.3__3471__AT2G18680.1 transcript:fgenesh2_kg.3__3471__AT2G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVLAFPLLLNCLVYLFNAIAIKPEITNLILESSLLPMTDPNTPEYAAHLMRVFADFRQFVSSLYIFFAVSSIINLLSTLVIVHASALTHKDDSFEIKDFPILTLKYWKGLLVTNFYIALFSLGYWFLFVIILFSIVFFSTKLDSLVAKSHALWILFAVFESYLAIVWNLSMVISILEDTYGIQALGKAAKIVKGMKPKLFLLNLFFGLLSFGLVQILRLIDWSSSFSVTLTTSLVLVSSVFVVRMFQLVTYTVAYFQCKSLQGKDVDSLRDVEYTKLSSTTLMGGLP >fgenesh2_kg.3__3472__AT2G18690.1 pep chromosome:v.1.0:3:22972957:22974327:1 gene:fgenesh2_kg.3__3472__AT2G18690.1 transcript:fgenesh2_kg.3__3472__AT2G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSFLNVLKDVVAILNESRKLFLKNKKLMFSVLVFPLLLNCLVYFFNLFVIVPEITNLILESSLLPTTDPTSPEYAARLMRVFADFRQFVGHSYIFTAVSSIINLFSVLVIVHASALTFKDEKFKIKDFPALSLKSWKGPLVTYFYIALFSLGFGFLFFIILCPLLLFSTQFGSVANIGFLAVEAGALLIIFAVSQSYFAIYWNLSMVISILEETYGFQALGKAAKIVKGMKTKLFLLNLFFGLLAFGLAQILQLINLGRSFSVTLTTGLVLVCLVFAVRMFQLVTYTVAYFQCKSLQGKDVESLRDVEYMALSSTTTLMG >fgenesh2_kg.3__3475__AT2G18710.1 pep chromosome:v.1.0:3:23001056:23003474:-1 gene:fgenesh2_kg.3__3475__AT2G18710.1 transcript:fgenesh2_kg.3__3475__AT2G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVSEVSSFSSSSSNFASLSRLNYKSSSRFRSSSLYRASFSVSTKTRNTCKAKSWNLGLVINSRSSEASVFDPLGINPDETSGLSSIWESFVSLLSPSYESSSGSKRDKPSSGRGVAAAIEDSSIDFGDFFKGPLPGKFLKLLGFLALSRLGIYIPLGGVNREAFVGNLDQNSILSTLDTFSGGGIGRLGICSLGIVPFINAQIVFQLLAQVYPKLQDLQKKEGEAGRKKILQYTRYASVGFAIVQAIGQVFYLRPYVNDFSTEWAISSVTLLSLGSVLTTYIGERISDLKLGNGTSLLIFTSIISYLPASFGRTAAEALQEGNYTGLATIVVSFLLLVLGIVYVQEAERKIPLNYASRYTSKAGGLQKSAYLPFKVNSAGVMPIIFSTSSLALPATLARFTGISALKNVAFALNPGGSFYLPTNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKSTALFIKTVLGRISVLGSAFLAVLAAGPAVVEQITHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYELDKYDP >fgenesh2_kg.3__3477__AT2G18730.1 pep chromosome:v.1.0:3:23009336:23012350:1 gene:fgenesh2_kg.3__3477__AT2G18730.1 transcript:fgenesh2_kg.3__3477__AT2G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:D7L120] MDSPVSKTDASKEKFVASRPSTADSKTMRGCGLANLTWVGVDKVELRQRLMMPEYLRLAMRDCIKRKDSTAIPDHLLLPGGAVADMAPHAPMVVFINPNSGGRHGPVLKERLQQLMSEEQVFDLTEVKPHEFVRYGLGCLEKVAAEGDECAKECRARLRIMVAGGDGTVGWVLGCLGELNKEGKSHIPPVGVIPLGTGNDLSRSFGWGGSFPFAWRSAVKRTLHRASMGPVARLDSWKILVSMPSGEVVDPPYSLKPAEENELDQGLDAGIDAPPLAMAYEGVFYNYLSIGMDAQVAYGFHHLRNTKPYLAQGPISNKIIYSGFGCTQGWFCTPCVNDPGLRGLRNIMKIHIKKVNCSQWEEIAVPKNVRSIVALNLHSYGSGSHPWGNLKPDYLEKRGFVEAHCDDGLIEIFGFKQGWHASFVMAELISAKHIAQAAAVRFELRGGDWRDAFLQMDGEPWKQPMSTEYSTFVEIKKVPFQSLMINNE >fgenesh2_kg.3__3485__AT2G16090.1 pep chromosome:v.1.0:3:23062282:23065289:-1 gene:fgenesh2_kg.3__3485__AT2G16090.1 transcript:fgenesh2_kg.3__3485__AT2G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNLSGEEDGYYYSSDQESLNGLDNDQSLLQPLSSRTNTVKVITKESLLAAQREDLRRVMELLSVKEHHARTLLIHYRWDVEKLFAVLVEKGKDSLFSGAGLKVLENQPCDASVSRSSIVSCDICIEDVPGYQMTRMDCGHSFCNTCWAGHFTVKINEGQSKRIICMAHKCNAICDEDVVRTLVSKSQPDLAEKFDRFLLESYIEDNKMVKWCPSTPHCGNAIRVEDDELCEVECSCGLQFCFSCSSQAHSPCSCVMWELWRKKCYDESETVNWITVHTKPCPKCNKPVEKNGGCNLVTCLCRQSFCWLCGGATGRDHTWSRISGHSCGRFQEDKEKQMERAKRDLERYMHYHNRYKAHTDSSKLEAELSYSIRKKVSFSEKRELQLKDFSWATNGLHRLFRSRRVISYSYPFAFYMFGDELFKDEMSTEEREIKQNLFEDQQQQLEANVEKLSKFLEEPFDQFADDKVMQIRIQVINLSVAVDTLCKKMYECIENDLLGSLQLGIHNITPYRSNGIERASDFYSSQNSKEAVGEICQSSDCGTSGWTSRLDQALGSGNSEDTSCSSNKRARIDGSYRNSQTTLLDLNMPAEAIERK >fgenesh2_kg.3__3493__AT2G16210.1 pep chromosome:v.1.0:3:23154245:23156690:-1 gene:fgenesh2_kg.3__3493__AT2G16210.1 transcript:fgenesh2_kg.3__3493__AT2G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein [Source:UniProtKB/TrEMBL;Acc:D7L143] MARNADYLRCKQERKNESFFKVVHSINISSENMRALPHDFVRSFSDQELSRKIKIRAQWGSSWEVGISKNPRFYFMEKSGWEKFVRDNALGNSEFITFTHKGKMHFTVNIFKQDGKEMMQPPKSRAFLASSSRIKTEQEEDDMKEEVVVSSNRGPTTAAESKGRKLNLGKKAAKESQNSKRTEKVVKARRDYTGASSSTAAEFTILFKQGYLIFLRIPNSVAKDLMPDEKTIFKIHHPNGKKSWNVVFLVRFGAFSGGWRRLVKEYPLAVGDTCKFSFIKPDELLLVVSKP >fgenesh2_kg.3__3495__AT2G16230.1 pep chromosome:v.1.0:3:23178500:23181084:-1 gene:fgenesh2_kg.3__3495__AT2G16230.1 transcript:fgenesh2_kg.3__3495__AT2G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7L145] MALSIFFHLLFILFSVSPSNAQSFIGVNYGLLADNLPPPSETAKLLQSTSIEKVRLYNADPSIIKSLAGTDIGIVIGVANGDLPSIASDFNVASQWINSNVLPFYPASNIILINVGNEVLLSNDLNLVNQLLPAMQNVQKALEAVSLGRKIKVSTVHAMTVLGNSEPPSAGSFAPSYQAGLKGILQFLSDTESPFAINPYPFFAYQSDPRPETLAFCLFQPNPGRVDSNTGIKYMNMFDAQVDAVHSALKSMGFEKVEVLVAETGWPSTGDNNEVGPSVENAKAYNGNLIAHLRSMVGTPLMPGKSIDTYIFALFDENLKPGPSFERSFGLFKPDLSMAYDIGLTKTTSSQTSQSPQLGKATSMGWCVPKEDATQEQLQDSLDWVCGQGIDCGPIMPGGVCFEPNNLVSHTAYAMNLYFQKSPENPMDCDFSKAARITSENPSKLFSSSYFI >fgenesh2_kg.3__3496__AT2G16250.1 pep chromosome:v.1.0:3:23182368:23185753:-1 gene:fgenesh2_kg.3__3496__AT2G16250.1 transcript:fgenesh2_kg.3__3496__AT2G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQRRSALGFVLLMLCLVLFDGVVVGQTQTRFSEKLILLNLRSSLGLRGTDWPIKGDPCVVWRGIQCENGSIIGINISGFRRTRIGKQNPQFAVDPLRNLTRLSYFNASGLALPGTIPEWFGVSLLALQVLDLSSCSVSGVIPFTLGNLTSLRTLNLSQNSLTSLVPSSLGQLLNLSELDLSRNSLTGILPQSFSSLKNLLTLDVSSNYLTGPIPPGLGTLSKLLHLNFSSNSFSSPIPPELGDLVNLVDFDLSINSLSGSVPQELRKLRNLQLMAIGDNLLSGTLPVDLFSAESQLQTLVLRENGFSGSLPDVCWSLPKLRILDIAKNNFTGMLPNSSSYPDQLAEMVDISSNTFYGELTPILRRFREVDLSGNYFEGKVPDYVTGENVSVTSNCLQNERRQKPSAICSAFYKSRGLHFDDFGRPNSTQPTSKNASSGISHRTVIILAVAGGVGFILIFVILPIILVLCIRHRRRAAQRGNNDRPKPAGEASQQPPKGAQTFDLSRLGNAFSYEQLLQATEEFNDANLIKHGHSGNFFRGFLENGIPVVIKKIDVRESKSEGYISELELFSKAGHQRLVPFLGHCLENESQKFLVYKFMRHGDLASSLFRKSENEGDGLKSLDWITRLKIALGAAEGLSYFHHECSPPLVHRDVQASSILLDDKFEVRLGSLSEVYAQGDAYQSRISRLLRLPQSTEPSSSGATNATCSYDVYCFGKVLLELVTGKLGISSPDNALAKEYMEEALPYISTNEKELVTKILDPSLMVDEDLLEEVWAMAIIAKSCLNPKPTRRPLMRHIVNALENPLKVVREDTNSGSGSSRLRTNSSRGSWNAAIFGSWRQSASDVTAVQAGATTSGGGGNGLRNSGSSSSGRNNNNNGNSSSRRRQSSEIVPEPAAYGVVEDNL >fgenesh2_kg.3__3497__AT2G16270.1 pep chromosome:v.1.0:3:23189683:23192234:-1 gene:fgenesh2_kg.3__3497__AT2G16270.1 transcript:fgenesh2_kg.3__3497__AT2G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTNKTPSFSPPIPNRPNPKPRNSEAGDPLRRSFGGNPFPGNTKINIPSDYSRRNSFGGDKENETKPVLTPKSSKNFMSPTISAVSKINPSPRKRVLSDKNEMSRSFSDVKGLILEDDSKRNHHRAKSCVSFSDVLHTICVDEKKKFVESHDMTVTDFDEKEVYENKGITYSDPRFRISPRPSVPYTSPEFAACEVDTLLPPYDPKKNFLSPRPQFLHYKPNPRIEKRFDECKQLEELFISESSSSETELSVEESEEQENDGAEVVVEDETKDVEQSEAESDEEMVCESVKETTSPVPKQSGFRKFKFLGWFLALAMAYLLVSATFSPPMKSSFNQFHIPREITEFAKANNLDKLSEKLYTLTESSLVYMDKLISSLGGGNEVYGQLQFHNLTYTLEDNTVFQSTSVEINEEPLQEKSKVETNLEDVYIEDGSVNEFEEESGTEENSEVVSDAETNGFDEQAEVKLSTDIESNDGEGNLKALFEDGLELTIEKLRESEMSPEENNDARGSEPEEKLETGSTKKKLEETESEAIYINQQKESEVAGAESGSKEAFEETAAETSDDLHPKVRSSNKTDNDSTKAVIVLSSTVLVLLAVASFLFAKKTKPVAPKPYPETTMELNLGHVPVEKPFSLNFEEEVDDRMSNSFHQSEGGKKNNKNSSSSKLRRESMASSASEYSVGSFSYGSFTTYEKIPIKSGDREEEMITPVRRSSRIRNKPSGGL >fgenesh2_kg.3__349__AT3G03950.3 pep chromosome:v.1.0:3:1304455:1307134:1 gene:fgenesh2_kg.3__349__AT3G03950.3 transcript:fgenesh2_kg.3__349__AT3G03950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAASSDRLATSFPLLETADLFQELSLGSDANEVPRNRNKGSFQHQYGHAPYGASSHGSERRPNMNVGNLLNGGDSIGSYPWGYIPANYPSGGYPDPRFGYDSNSNHSSFSHLMNPHSSQEALSFDQFGYNDHLYSNHGLYGLYGNVIDSGHAYGTFGYDSWKLGRGWYPVDGYRKTRSFNQGRGYSDEKADRLNELCRGPRSSDFKSPQVLNSSMLEAMKQDVSAVDLQRYNGENFPETFVKAKFFVIKSYSEDDVHNSIKYGAWSSTPTGNKKLNAAYYEAKENAQECPVYLLFSVNASGQFVGLAEMVGPVDFNKTMEYWQQDKWIGCFPVKWHIIKDIPNSLLRHITLANNENKPVTNSRDTQEVNLEHGTKIIKILKEYMSKTCILDDYKFYETRQKIIRDKKIKQKKQALDGASGETINLC >fgenesh2_kg.3__3500__AT2G16365.2 pep chromosome:v.1.0:3:23274799:23277137:1 gene:fgenesh2_kg.3__3500__AT2G16365.2 transcript:fgenesh2_kg.3__3500__AT2G16365.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVMVLGKSNKGISKTSSVHPYESAWLGRWTQSGSEVKFHDGEAKCSKELIRPEEENHGVEVLPFPMFKVSQKRETTTKPSFHGDVGSSSKAMVNRMPWMYPQGENFSSSNRLDFPVQEKTTQNLLELIRPVRIYATVDSVNLPEEDGHQLLKGSTVSMKLKGKIFGGYLDLFPNQDHCHNKGGARLQSLESSKDTEEDGPRKNESSAETDTLEMDRLQRIHLSGSISSSSTKGKGIKGDSAIPRTEIPDMNEEPPLVPDRENSVDGHQGETSNSATQSMNVEHFLSRDCKRVRLEPEVEASSRWVKRLKTSASTSSRHDETKSLKIKEASLGQKGNNNLFLEILKSGINNLQPRNQEPVVSQSNDLKQGGDDITLLHPWIQRWCKKKSTTTDQPGGQEVSFEPESQKEFEKKQYPSIAAMALMGKALSGLNPYGLRKTNSLMVWNARDLR >fgenesh2_kg.3__3501__AT2G16370.1 pep chromosome:v.1.0:3:23280503:23283623:-1 gene:fgenesh2_kg.3__3501__AT2G16370.1 transcript:fgenesh2_kg.3__3501__AT2G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dihydrofolate reductase-thymidylate synthase [Source:UniProtKB/TrEMBL;Acc:D7L1V0] MATTTLNGNDTTRLASEPQRTYQVVVAATKEMGIGKDGKLPWNLPTDLKFFKDITLTTSDSSKKNAVVMGRKTWEAIPIKHRPLSGRLNVVLTRSGGFDIANTENVVTCSSVDSALDLLAAPPYCLSIERVFVIGGGDILREALNRPSCDAIHLTEIDTSIECDTFIPAVDTSVYQPWCSSFPVTENGLRFCFTTFVRVKSSTDESNVSQSLQFDGKKFLFLPKMVFDQHEEFLYLNMVEDIISNGNAKNDRTGTGTLSKFGCQMKFNLRRSFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREYLDGIGLTEREEGDLGPVYGFQWRHFGAKYTDMHADYTGQGFDQLLDVIDKIKNNPDDRRIIMSAWNPSDLKLMALPPCHMFAQFYVAEGELSCQMYQRSADMGLGVPFNIASYSLLTCMLAHVCDLVPGDFIHVIGDAHVYKTHVRPLQEQLQNPPKPFPVLKINPEKKQIDSFVAADFDLTGYDPHKKIEMKMAV >fgenesh2_kg.3__3503__AT2G16385.1 pep chromosome:v.1.0:3:23315077:23316348:1 gene:fgenesh2_kg.3__3503__AT2G16385.1 transcript:fgenesh2_kg.3__3503__AT2G16385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSPLLMKNLGFIFMIVSASALSVSFAGRPSVLVHTHIDLRDEMVERSMHDHERLLRMNTKDYGHNSPSPRLERPPFKLIPN >fgenesh2_kg.3__3505__AT2G16400.1 pep chromosome:v.1.0:3:23322690:23325317:-1 gene:fgenesh2_kg.3__3505__AT2G16400.1 transcript:fgenesh2_kg.3__3505__AT2G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYKTGSSEIYSRPEFVPGNAMSYTNSFSETFPREATNNVSASKEIQVLSSLGGASQMVEIQDSGSWRDQEDNDRSRFPVMMRLGLSSQIETSRGTNNNEYATQVVSGFTRTIHNSKYLKAAQELLDEAVNVKKALKQFQPQGDKIDEVKEKNLQTNIAEIPQAERQELQSKLSKLLSILDEVDRNYKQYYHQMQIVVSSFDVIAGCGAAKPYTALALQTISRHFRCLRDAISGQILVIRKTLGGEQDGSDGRGVGISRLRNVDQQVRQQRALQRLGVMQPHTWRPQRGLPDSSVLVLRAWLFEHFLHPYPKDSDKIMLARQTGLSRGQVSNWFINARVRLWKPMVEEMYKEEFTDALEENDPNPSSENTPEITDIQEQQTESSSNNGRVSSVPSSSIGQSTVARGGDRFMMVTDITRNGSGGMSLTLGIQNSDSDVPMSGGIDNYENTIPGTDLQYLNSRNHQHRLGSSQLLHDFVA >fgenesh2_kg.3__3506__AT2G16405.1 pep chromosome:v.1.0:3:23327789:23330810:-1 gene:fgenesh2_kg.3__3506__AT2G16405.1 transcript:fgenesh2_kg.3__3506__AT2G16405.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7L1V6] MEEKTGSSEVEIVEKDEAKKQSKDPEFISCMMQPATAESDPQYIGIRRILLHRKAESGVISRRYDWRCNGKGYVAYRNFISKPRKWENLRTPSLLSSPGNSGRWLPSPSPLSHQFEAESFTSSRDLRSVNQVSSHRASFSSSLSDGDNSYRRGGVEHAYSFVGMHCIFDQCKSSVTVLKFGHMSSDLLAYGASDGSLTVCSLLEEPSVLKQLTGHSKDVTDFDFSSNNQYIASSSLDKTIRVWELSRGVCIRVIYGISPQFCIRFHPVNNNFLSAGNANKELTVFNFSTGRIIKKLLFEDEVTSMDHDHTGQIIFCGDGQGTVYSVSMDSHTGSLSRSHRHRTNHKSPVTTVKYRSFSLLASGPVLLTCTQDGNLCFFSVALQIKGYLTLRCSLKLAPRIHRIQASFCPLLSLEKGEYIVAGSEDSNVYFYDLTKPKHTCVNKLQGHRFPVMCVAWNHGENLLASSDFYGVVIVWKRAKTSS >fgenesh2_kg.3__3513__AT2G16485.1 pep chromosome:v.1.0:3:23373123:23374985:-1 gene:fgenesh2_kg.3__3513__AT2G16485.1 transcript:fgenesh2_kg.3__3513__AT2G16485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSERASLESIKDDSECLHVSNEPSLTGTCGDSFVGEEEGGGGDGVTDVNSSAAVSELAPKEQGEDAVLNSVSEISDVVEGGIPVDVVSSVGGGGEENAGFNVKEMDSVGGDDAAVEVVPLKPSSVVGEGGEEEGAALIGSDSQANSSGDRLEENKEKPPSHDLNGVDTLNAEEKRDEGGHVVCGSMAVEQIENGLGQNTESKKEKIDVMEEETTAQAASLVNDIEIPDDKEVACVAGFTEIPTQDKGLDDPVRNSGSEFVEEEPVKELQIGEGAKDLTDGDAKEGVDVTEDAMDIHVLKKSEEEEKVDGAKPQEVDDVATEMSDKIEVSVDISPAVVTQFAGETSSDKETFMDDVKEDVEKDSEAGKSLDIHVPEAVEEVESDFKYSAGIEKEGDGMGGAGEAGQTVDMEEIREETQELSEELAKVDETKISEVSEETETTIRGEDQEKDDDMTDLAEDVETHGDSPVAGIEEGREDHEDMGVTETQEETVMGKVDGTKIAEVSEETETRIEDEDQEKDDEMTDVAEDVKTHGDSSVADIEEGRENHEEMTETQEESVMAEMGDEETDEVEEENKSAGGKRKRGRNTKTVKGTGKKKEEDVCFMCFDGGDLVLCDRR >fgenesh2_kg.3__3518__AT2G19170.1 pep chromosome:v.1.0:3:23436332:23440996:1 gene:fgenesh2_kg.3__3518__AT2G19170.1 transcript:fgenesh2_kg.3__3518__AT2G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGLRIFVVSVLLVTVTAEVYIVTMEGDPIISYKGGENGFEPTAVESDEKIDTSSELVTSYARHLERKHDMILGMLFEEGSYKKLYSYKHLINGFAAHVSPEQAETLRRAPGVRSVDKDWKVRRLTTHTPEFLGLPTDVWPTGGGFDRAGEDIVIGFVDSGIYPHHPSFASHHRLPYGPLPHYKGKCEEDPHTKKSFCNRKIVGAQHFAEAAKAAGAFNPDIDYASPMDGDGHGSHTAAIAAGNNGIPLRMHGYEFGKASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVHDGVDILSLSVGPNSPPTTTKTTFLNPFDATLLGAVKAGVFVSQAAGNGGPFPKTLVSYSPWITTVAAAIDDRRYKNHLTLGNGKMLAGMGLSPPTRPHRLYTLVSANDVLLDSSVSKYNPSDCQRPEVLNKKLVEGNILLCGYSFNFVVGTASIKKVVATAKHLGAAGFVLVVENVSPGTKFDPVPSAIPGILITDVSKSMDLIDYYNVSTSRDWTGRVKSFKAEGSIGDGLAPVLHKSAPQVALFSARGPNTKDFSFQDADLLKPDILAPGYLIWAAWCPNGTDEPNYVGEGFALISGTSMAAPHIAGIAALVKQKHPQWSPAAIKSALMTTSTVIDRAGRLLQAQQYSDTEAVTLVKATPFDYGSGHVNPSAALDPGLIFDAGYEDYLGFLCTTPGISAHEIRNYTNTPCNYDMKHPSNFNAPSIAVSHLVGTQTVTRRVTNVAEVEETYTITARMQPSIAIEVNPPAMTLRPGASRSFSVTMTVRSVSGVYSFGEVKLKGSRGHKVRIPVVALGHRR >fgenesh2_kg.3__3519__AT2G19160.1 pep chromosome:v.1.0:3:23441008:23445160:-1 gene:fgenesh2_kg.3__3519__AT2G19160.1 transcript:fgenesh2_kg.3__3519__AT2G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIKGWRLGKINYMQSLPGARHRAPTRKPVWIIAVLSLIAMFVIGAYMFPHHRKAACYMFSSKGCKGLTDWLPPSLREYSDEEVAARVVIKEILSSPRVIKKSSKIAFMFLTPGTLPFEKLWDLFFQGHEGKFSVYIHASKDTPVHTSRYFVNREIRSDEVVWGRISMIDAERRLLTNALRDPENQQFVLLSDSCVPLRNFEYMYNYMMHSNVSYVDCFNDPGPHGTGRHMDHMLPEIPREDFRKGAQWFSMTRQHAVVTVADNLYYSKFRDYCGPGVEGNKNCIADEHYLPTFFYMLDPTGIANWTVTYVDWSERKWHPRKYMPEDVTRELIKNITSIDAVSRVTSEKTGVVSWTHCMWNGIKRPCYLFGRKFHADTLDKLIELFSNYIA >fgenesh2_kg.3__3520__AT2G19150.1 pep chromosome:v.1.0:3:23448133:23449913:-1 gene:fgenesh2_kg.3__3520__AT2G19150.1 transcript:fgenesh2_kg.3__3520__AT2G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7L1X4] MKGVTFHSFCYSYFKVCLLVILLAYGSAEGDENSSQIAKTIIVNPNDARYYKTVQSAIDSIPLQNQNWIRILIRSGIYKEKVTIPADKGYIYMQGRGIEKTIIAYGDHQQTDTSATFTSYASNIIITGITFKNTYNIASISSLATPTKPAVAARMLGDKYAIIDSSFDGFQDTLCDGLGRHYYKRCVISGGIDFIFGYAQSIFEGCTLKLSIGIYPPNEPYATITAQGRQSPMDKGGFVFKDCTVIGNGKVKALLGRAWEPYARVIFYHSNFGDAILPIGWDAWNGKGQEEHITFVEFGCTGVGADMSKRVSWLRKASEKDVLQFTNLTFIDEEGWLSRLPIKF >fgenesh2_kg.3__3521__AT2G19130.1 pep chromosome:v.1.0:3:23457703:23460312:-1 gene:fgenesh2_kg.3__3521__AT2G19130.1 transcript:fgenesh2_kg.3__3521__AT2G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L1X6] MVSFLKLSSFVFLCFFIHGSSAVDTISGDFTLSGDQTIVSSDGTYEMGFFKPGSSSNFYIGLWYKQLSQTVLWVANRDKPVFNKNSSVLKMSNGNLILLDSNNQTPVWSTGLNSTSSSVSALEAVLLDDGNLVLRTSGSGSSANKLWQSFDHPGNTWLPGMKIRLDKRTGKSQRLTSWKSLEDPSPGLFSLELDESTAYKILWNGSNEYWSSGPWNNQSRIFDLVPEMRLNYIYNFSFFSNSTESYFTYSIYNHLNVSRFVMDVSGQIKQFTWLDGNKDWNLFWSQPRQQCQVYRYCGSFGVCSDKSEPFCRCPQGFRPKSQKDWDLKDYSAGCERKTELQCSRGDINQFFPLPNMKLADNSEELPRTSLSICASACQGDCSCKAYAHDEGSNKCLVWDKDVLNLQQLEDDNSEGNTFYLRLAASDIPNGSSGKSNNKGMIFGAVLGSLGVIVLVLLVVILILRYRRRKRMRGEKGDGTLAAFSYREIQNATKNFAEKLGGGGFGSVFKGVLPDSSDIAVKRLESISQGEKQFRTEVVTIGTIQHVNLVRLRGFCSEGNKKLLVYDYMPNGSLDAHLFFNQVEEKIVLGWKLRFQIALGTARGLAYLHDECRDCIIHCDIKPENILLDSQFCPKVADFGLAKLVGRDFSRVLTTMRGTRGYLAPEWISGVAITAKADVYSYGMMLFELVSGRRNTEQSENEKVRFFPSWAATILTKDGDIRSLLDPRLEGDEADIEELTRACKVACWCIQDEESHRPAMSQIVQILEGVLEVNPPPFPRSIQALVDTDEAVVFFTESSSSSSHNSSQKHSHSSSSSSSKKTTNNDSSA >fgenesh2_kg.3__3523__AT2G19110.1 pep chromosome:v.1.0:3:23475982:23483131:-1 gene:fgenesh2_kg.3__3523__AT2G19110.1 transcript:fgenesh2_kg.3__3523__AT2G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNKQEEKKKVKKLQKSYFDVLGICCTSEVPIIENILKALDGVKEYSVIVPSRTVIVVHDSLLISPFQIGKALNQARLEANVRVNGETNFKNKWPSPFAVVSGILLLLSFLKFVYSPLRWLAVAAVAAGIYPILAKAFASIRRLRIDINILVIITVIATLAMQDFMEAAAVVFLFTISDWLETRASYKANSVMQSLMSLAPQKAIIAETGEEVEVDEVKISTVVAVKAGETIPIDGIVVDGNCEVDEKTLTGEAFPVPKQRDSTVWAGTINLNGYISVKTTSLAGDCVVAKMAKLVEEAQSSKTKSQRLIDKCSQYYTPAIIVVSACVAIVPVIMKVHNLKHWFHLALVVLVSGCPCGLILSTPVATFCALTKAATSGLLIKSADYLDTLSKIKIAAFDKTGTITRGEFIVIDFKSLSRDISLRSLLYWVSSVESKSSHPMAATIVDYAKSVSVEPRPEEVEDYQNFPGEGIYGKIDGNDIYIGNKRIASRAGCSTVPETEIDTKGGKTVGYVYVGERLAGVFNLSDACRSGVSQAMTELKSLGIKTAMLTGDSQAAAMHAQEQLGNVLDVVHGELLPEDKSKIIQEFKKEGPTAMVGDGVNDAPALATADIGISMGISGSALATQTGHIILMSNDIRRIPQAVKLARRARRKVVENVFLSIVLKAGILALAFAGHPLIWAAVLVDVGTCLLVILNSMLLLREKKKIGNKKCYRASTSMLNGRKLEGDDDDVVDLEAGLLKKSGNGQCNSSCCGDKKNQEKVVMMKPSSKTSSDHSHPGCYGDKKQDKVKPLVRDGCCGEETRKAVGDIISLSSCKKSSHVKHDLKMKGGSGCCANKSEKVEEVVAKSCCEKSKQQMESAGDCKSSHCEEKKHAEEIVVPVQIIGQALTGLEIELQRKEPCKTSCCDNKEEKVKEIGLLLASEDKSYPEKGVLIKDEGNCKSGCENKGTVTQRCHEKCCSDEKQTGEITLASEEETDDQDCSSGCCVNEGIVKQSSHEKKHAVLVEKEGLDMETGVCCDDLKLVCCGNTEGEVEEQCDLEIKNEGHCKSGCCNDEKQTAEITLASEEETDSTDCSSGCCMDKEEVTKICGEKPVSLVLSDLQVKKDEHCESSNRAVKVETCCKVKIPEACASKCREREKRHSGKSCCRSYAKEFCSHRHHHHHHHHHHHHVSA >fgenesh2_kg.3__3524__AT2G19090.1 pep chromosome:v.1.0:3:23497219:23499901:1 gene:fgenesh2_kg.3__3524__AT2G19090.1 transcript:fgenesh2_kg.3__3524__AT2G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHSKLDDEEAVQICKDRKRFIKQAIEHRTKFASGHIAYIHSLRNVSDALHDFIIQGDNNNEFVLPMSQDSFVTPVKRIPRSNSGEFISISPSSIPPKMIQSRPRSNVKANYLMANRSRPVRVEQRSPETFRVETFSPPSNQYGEADGFFGMNMNMNMNTSASTSSSFWNPLSSPEQRLSSHNIPPPSPQNSQWDFFWNPFSSLEYYGYNSYDRGSVDNRSGIDDEIRGLRRVREEEGIPDLEEDDEPNEPEPVNVRFQNHNPNPKATEESRGKVDKSCCNEEVKVEDVDEDEDEDEDEDEDDEFTDSGCESENEGDEKCVAPTQEQRKAEVSRGGETTGNVVGVGKVQEMKNVVGVRDDAKTTGFTVYVNRRPTSMAEVIKDLEDQFTTICDAAKEVSGLLEASRAQYTSSSNDHSARKMLNPVALFRSGSSRSSSSRFLITSSGGSRESGSESRSDVSDESCMISGSHQTTLDRLFAWEKKLYDEVRSGERVRRAYEKKCMQLRNQDVKGDDPLAVDKTRATIRDLDTQIKVSIHSIESISKRIETLRDQELLPQLLELVEGLTRMWKVMAESHQIQKRTLDEAKLLLAGTPVSKRHKKRQPPILPEAINSQRLAQSALNLEAQLRNWRACFEFWITSQRSYMKALSGWLLRCFRCDPDPEKVRLSSCPHPIYRVCIQWARLLNSLNEKPVLDKLEFFASGMGSIYARQVREDPNWSGSGSRRYSGSESMDLVVADKLEEDVVMTAEKLAEVAVKVLCHGMSVAVSSLAEFSINSADEHSKLVNQPADDTSEQQPADVNFNS >fgenesh2_kg.3__3525__AT2G19080.1 pep chromosome:v.1.0:3:23500151:23501982:-1 gene:fgenesh2_kg.3__3525__AT2G19080.1 transcript:fgenesh2_kg.3__3525__AT2G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L1Y0] MEGDQETEVYTLVARKPSFDLPTACPNCLPAYIYLKLAQLPFELAFNSTFPDSDELPYFESGTYVAYNNEDGGVIEKLKKDGIVNLDSQLQSLPDYLSLKALIVSWLEEALTYEIWVGTEGISTTKIYYSDLPWVISKVLFYKQTYLAKNRLGITKENAEQREKQIYKRASEAYEALSTRLGEQKFLFEDRPSSLDAFLLSHMLFIIQALPVTSVLRCKLLEHSNLVRYAEKLKSEFLEASSSSPSPPLHSFPSSFPRKSSKPKGKPKVEKTEEEKKFKKRARFFLAAQFLAVVVYVSVMGGGSSEELEYEDED >fgenesh2_kg.3__3526__AT2G19070.1 pep chromosome:v.1.0:3:23503156:23504936:1 gene:fgenesh2_kg.3__3526__AT2G19070.1 transcript:fgenesh2_kg.3__3526__AT2G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7L1Y1] MAPIIFRKSYTIVPAEPTWTGRFPLAEWDQVGTITHIPTLYFYDKPSESFQGNVVETLKESLSRVLVHFYPMAGRLRWLPRGRFELNCNAEGVEFIEAESEGKLSDFKDFSPTPEFENLMPQVNYKNPIETIPLFLAQLTKFKCGGLSLSVNVSHAIVDGQSALHLISEWGRLARGEPLETVPFLDRKILWAGEPLPPFESPPKFDHKEFDQPPFLIGETDNVEERKKKTIVVMLKLSKSQLEKLRSKANASKYADPAKGFTRYETVTGHVWRCACKARGHSPEQPTALGICIDTRSRMQPPLPRGYFGNATLDVVAASTSGELISNELGFAASLISKAIKNVTNEYVMIGIEYLKNQEDLKKFQDLHALGSAEGPFYGNPNLGLVSWLTLPMYGLDFGWGKEFYTGPGTHDFDGDSLILPDQNEDGSVILATCLQVAHMEAFKKHFYDDI >fgenesh2_kg.3__3528__AT2G19050.1 pep chromosome:v.1.0:3:23509523:23512277:-1 gene:fgenesh2_kg.3__3528__AT2G19050.1 transcript:fgenesh2_kg.3__3528__AT2G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7L1Y3] MAEAMFKTLLLVFAATAIATAEAALGQRVPCYFIFGDSVFDNGNNNVLNTSAKVNYSPYGNDFARGPTGRFSNGRNIPDIIAEQMRFSDYIPPFTGASAEQAHTGINYASGGGGIREETSQHLGGRISFKRQIKNHRSMIMTAKVPEEKLNKCLYTINIGSNDYLNNYFMPAPYMTNKKFSFDEYADSLIRSYRSHLKSLYVLGARKVAVFGVSKLGCTPRMIASHGGGNGCAAEVNKAVEPFNKNLKALVYEFNRNFADAKFTFVDIFSGQTPFAFFMLGFRVTNKSCCTVKPGEELCATNEPVCPARRWYVYWDNVHSTEAANMVVAKAAFTGLITSPYSLSWLARL >fgenesh2_kg.3__352__AT3G03960.1 pep chromosome:v.1.0:3:1307353:1310986:1 gene:fgenesh2_kg.3__352__AT3G03960.1 transcript:fgenesh2_kg.3__352__AT3G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQPYGIQSMLKEGYRHLSGLDEAVIKNIEACKELSTITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEIQHPAAKLLVLAAKAQQEEIGDGANLTISFAGELLQNAEELIRMGLHPSEIISGYTKAVNKAVEILEQLVESGSETMDVRNKDEVISRMRAAVASKQFGQEEIICSLVADACIQVCPKNPTNFNVDNVRVAKLLGGGLHNSCIVRGMVLKSDAVGSIKRMEKAKVAVFAGGVDTTATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVAESGAKVIVSGGSIGEMALHFCERYKIMVLKISSKFELRRFCRTAGAVAHLKLSRPSPDDLGYVDSISVEEIGGVTVTIARNEEGGNSISTVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIVPGAAATEIELAQRLKEYANAEIGLDKYAISKYAESFEFVPKTLADNAGLNAMEIIAALYTGHGSGNTKLGIDLEEGACKDVSETKVWDLFATKLFALRYASDAACTVLRVDQIIMAKPAGGPRRDAAQAAGAGAEED >fgenesh2_kg.3__3533__AT2G19000.1 pep chromosome:v.1.0:3:23524535:23525192:-1 gene:fgenesh2_kg.3__3533__AT2G19000.1 transcript:fgenesh2_kg.3__3533__AT2G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L1Y7] MSKSIFLLFLLIIVFVSASQASRQLWDGGISEMFGSKSGFHGFSGFSGSSGGAGGSFGDMMNAGGAHTCSAQGACKGKKLTCPEECYKSTNVNKDGYKSTSRSGGCSFDCTTKCAATCS >fgenesh2_kg.3__3534__AT2G18990.1 pep chromosome:v.1.0:3:23541839:23543108:1 gene:fgenesh2_kg.3__3534__AT2G18990.1 transcript:fgenesh2_kg.3__3534__AT2G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPIQEILEKQVLTVAKAMEDKIDDEIASLEKLDEDDLEVLRERRLKQMKKMAEKKKRWISLGHGEYSEIHSEKDFFSVVKASERVVCHFYRENWPCKVMDKHMSVLAKQHIETRFVKIQAEKSPFLAERLKIVVLPTLALIKNTKVDDYVVGFNELGGKDDFSTEDLEERIARAQVIHYEGESSSLKAKSTTQVRRNVRQSARSDSDSE >fgenesh2_kg.3__3537__AT2G18960.1 pep chromosome:v.1.0:3:23570577:23576646:-1 gene:fgenesh2_kg.3__3537__AT2G18960.1 transcript:fgenesh2_kg.3__3537__AT2G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:D7L1Z3] MSGLEDIKNETVDLEKIPIEEVFQSLKCTREGLTTQEGEERIQLFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIICLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQEAAILVPGDIVSIKLGDIIPADARLLEGDPLKVDQSALTGESLPVTKHPGQEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTSIGNFCICSIAIGIVIEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLVEVFCKGVEKDQVLLFAAMASRVENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSDGNWHRVSKGAPEQILDLANARPDLRKKVLSCIDKYAERGLRSLAVARQVVPEKTKESPGGPWEFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSAALLGTDKDANIASIPVEELIEKADGFAGVFPEHKYEIVKKLQERKHIVGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWEFDFSAFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFATGIVLGGYQAIMSVIFFWAAHKTDFFSDKFGVRSIRDNNDELMGAVYLQVSIISQALIFVTRSRSWSFVERPGALLMVAFVVAQLVATMIAVYADWTFAKVKGIGWGWAGVIWIYSIVTYFPQDILKFAIRYILSGKAWTSLFDNRTAFTTKKDYGIGEREAQWAQAQRTLHGLQPKEDVNIFPEKGSYRELSEIAEQAKRRAEIARLRELHTLKGHVESVAKLKGLDIDTAGHHYTV >fgenesh2_kg.3__3538__AT2G18940.1 pep chromosome:v.1.0:3:23600716:23605590:1 gene:fgenesh2_kg.3__3538__AT2G18940.1 transcript:fgenesh2_kg.3__3538__AT2G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L2S7] MDGALFPHKPPYPIQSKRSPPPQSSNQSIKFSSATLHLPPPSPPSFPLDSLLHHLVHLSSTPPRHAAARFPSLEVSTSSAHKWRHESTKPMSSSVLGVEIENERDGSLKLLCKKEVVLVNSIVEQPLPRLSSFFDSVKSELLTTDLVSLVKGLDDSGHWERAVFLFEWLVLSSNSGALKLDHHVIEILVRILGRESQYSVAAKLLDKIPLQDYMLDVRAYTTILHAYSRTGKYEKAINLFERMKEMGPSPTLVTYNVILDVFGKMGRSWRKILGVLEEMRSKGLKFDEFTCSTVLSACAREGLLREAKDFFAELKSCGYEPGTVTYNALLQVFGKAGVYTEALSVLKEMEENNCPADSVTYNELVAAYARAGFSKEAAVVIEMMTQKGVMPNAITYTTVIDAYGKAGKEDEALKLFYSMKEAGCVPNTCTYNAVLSMLGKKSRSNEMIKMLCDMKSNGCFPNRATWNTILALCGNKGMDKFVNRVFREMKSCGFEPDRDTFNTLISAYGRCGSEVDASKMYGEMTRAGFNACVTTYNALLNALARKGDWRSGENVISDMKSKGFKPTETSYSLMLQCYAKGGNYLGIERIEEGINEGQIFPSWMLLRTLLLANFKCRALAGSERAFTLFKKHGYKPDMVIFNSMLSIFTRNNMYDQAEGILQSIHEDGLNPDLVTYNSLMDMYVRRGECWKAEEILKTLEKSQLKPDLVSYNTVIKGFCRKGLMQEAVRMLSEMTERGIRPCIFTYNTFVSGYTAMGMYGEIEDVIECMAKNDCRPNELTFKMVVDGYCRAGKYSEAMDFVSKIKTFDPCFDDQSIQRLALRVRENLES >fgenesh2_kg.3__3542__AT2G18900.1 pep chromosome:v.1.0:3:23615750:23619888:1 gene:fgenesh2_kg.3__3542__AT2G18900.1 transcript:fgenesh2_kg.3__3542__AT2G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7L2T0] MIRGGRSYVTSPPSFSNDAKKLLVCTGNTVSVFSAATGLQITSLEGHTALVTTLIVVPASTPAQKILCYCWTASLDGTIRHWDFSGPELLKTIDAQLPIYSMVIPSLLSEPQQIDKRRELSGKLVAFVSVEDISVVNEGSKELRGHIRRFNLAKPRVPRGDTLKETAEPESIVISPSGEFFGIRHKCKIHIWDIPSGGSRHAVSRKMTLHHTKVINAFAFHPTERVIAAGDVTGRVLIWRGFGNRKLALGNQKKKVRATVDLDNAGVRGEDDTESCTTWHWHSAEVNVLNFSSDGAYLYSGGREGVLVVWQLDTGKKKFLPRIGSPLLYFMWSPDPTLSSVVCADNQIHLLKMPSMEILRTISGIKAPPSLPRMYEGLASTVAFDRSSGIAALCTENYCVQLYNLLNDRGISEIQVCERNHQPGDEITVVVTAVALSLDGSVMSTTEVKLPEDGIGGLVSLKFWVSEPDSKTFTLSTIVYEPHRDAGVSAIAFHPTRSMAVSTSFGGDFKIWVCNSDKNQTDKDSSWICHAVGSYKKKPMTAAAFSGDGTVLAVAAENVITLWNPDKNILLSVLGATLTPITKLCFAGKSEFLVAASHFPRPELSVWNTSKLSISWSYGLRVEAVTSAVDSSAFAVLAVIPKSFKTDKSKENIFRGRDGAILLFNGSDPKPVSIWTVIKAKGGSISFLGDKSQLRLAYVNGSREYVVFDPNSDESHERSAVDYDGLAGLEETGDAGYTSLYGQLPDYDKKRMEDVDSLATPFISSERPWETIFSGSTLNFPPLQKLCAEFFESLMEKRTAVVE >fgenesh2_kg.3__3545__AT2G18876.1 pep chromosome:v.1.0:3:23637709:23640589:-1 gene:fgenesh2_kg.3__3545__AT2G18876.1 transcript:fgenesh2_kg.3__3545__AT2G18876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVPEYDFRVRLSHFLTPLHEFSLREHNFADVDNLENCIKYLNQSLVTYGFSASLDLFATDPVSIARTCNCVYALIQQRQRDVEFRESANDQRQRLLSDMARLEAKVERLETQLQAKERELGSVTRTEAKNTAALKTQNEKLQKERDEFQRMVIANQQVKTQQLHETKKKEKEYIKLQERLNQVLMEKKKETRSGMEIMNLLQKEGRQRGTWSGKKTDSDFYKKIVDAYEAKNQELMAENTDLRALLRSTQGDMRSFLNASGGLPNQSLVANGRHGADPSQSPLGGKTDVFDLPFRMARGQIEDSLRSKMVSIKERMGQLVDAQKEVSITSEASERELELEAQLVEARSIIQEQESIMSKHLPKTDRRRNSAPPHSVNGLRG >fgenesh2_kg.3__3550__AT2G18840.1 pep chromosome:v.1.0:3:23690529:23692673:-1 gene:fgenesh2_kg.3__3550__AT2G18840.1 transcript:fgenesh2_kg.3__3550__AT2G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:D7L2T9] MSQGDTVPLHPSSQSDIDEIENLINESVQSGPGTVLAARPPSPTRPSIPVSSSSPFMQSNLPPLHPSSSAQKVTPVPVPPPLPAVSNSSNFQGASAFGSPPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGAVICMLKDNVILKMVVVSVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYVSVGFLIIAIN >fgenesh2_kg.3__3552__AT2G18790.1 pep chromosome:v.1.0:3:23698587:23703055:-1 gene:fgenesh2_kg.3__3552__AT2G18790.1 transcript:fgenesh2_kg.3__3552__AT2G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome [Source:UniProtKB/TrEMBL;Acc:D7L2U2] MVSGVGGSGRGGEAEPSSSHTTNNRRGGEQAQSSGTKSLRPRESMSKAIQQYTVDARLHAVFEQSGESGKSFDYSQSLKTTTYGSSVPEQQITAYLSRIQRGGYIQPFGCMIAVDESSFRIIGYSENAREMLGLMPQSVPTLEKPEILAMGTDVRSLFTSSSSILLERAFVAREITLLNPVWIHSKNTGKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISQLQSLPGGDIKLLCDTVVESVRDLTGYDRVMVYKFHEDEHGEVVAESKRDDLEPYIGLHYPATDIPQASRFLFKQNRVRMIVDCNAKPVLVVQDDRLTQSMCLVGSTLRAPHGCHSQYMANMGSIASLAMAVIINGNEEDGSNVASGRSSMRLWGLVVCHHTSSRCIPFPLRYACEFLMQAFGLQLNMELQLALQMSEKRVLRTQTLLCDMLLRDSPAGIVTQSPSIMDLVKCDGAAFLYHGKYYPLGVAPSEVQIKDVVEWLLANHADSTGLSTDSLGDAGYPDAAALGDAVCGMAVAYITKRDFLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRLSFQAFLEVVKSRSQPWETAEMDAIHSLQLILRDSFKESEAAMNSKAVDGAVQPCRDMAGEQGIDELGAVAREMVRLIETATVPIFAVDAGGCINGWNAKIAELTGLSVEEAMGKSLVSDLIYKENEETVNKLLSRALRGDEEKNVEVKLKTFSPELQGKAVFVVVNACFSKDYLNNIVGVCFVGQDVTGQKIVMDKFINIQGDYKAIVHSPNPLIPPIFAADENTCCLEWNMAMEKLTGWSRSEVIGKMLVGEVFGSCCMLKGPDALTKFMIVLHNAIGGQETDKFPFPFFDRNGKFVQALLTANKRVSLDGKVIGAFCFLQIPSPELQQALAVQRRQDTECFTKAKELAYICQVIKNPLSGMRFANSLLEATDLNEDQKQLLETSVSCEKQISRIVGDMDLESIEDGSFELKMAEFFLGSVINAIVSQAMFLLRDRGLQLIRDIPEEIKSIVVFGDQIRIQQLLAEFLLSIIRYAPSQEWVEIHLSQVSKQAADGFTAIRTEFRMACPGEGLPPELVRDMFHSSRWTSPEGLGLSVCRKILKLMNGEVQYIRESERSYFFIILELPVPRKRPLSTASGSSDMMLMMPY >fgenesh2_kg.3__3553__AT2G18780.1 pep chromosome:v.1.0:3:23706173:23711758:1 gene:fgenesh2_kg.3__3553__AT2G18780.1 transcript:fgenesh2_kg.3__3553__AT2G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L2U3] MLSDLPKDLAEEVLSKFPVTSLRRVRFTCKKWNTLSKDRSFTRKHIDKEEAKKRQKKEFHVVMMLDFRVSLFSLNLLKPNCVERLGQLISLDDADQVDISNIFHCDGFLLCTTKDMSRLVVWNPCVGQTIWIKPRKSFNKLDRYALGYDVMKNHKVLRFVDHCNYRTEPLLREFEIYSFESDSWKVLDVNPDWEVDFVHRGLSVDGNSYWFARDKVSIWGGGHSYFLLCFDFTTERFGPRLAMPFQPFFGDTVALSSVGDNQIAVLCQRSSSPYTLKIWISSKIEPNAVSWNKLFLKVDMKPLTGVSFSYSGGSFFVDEEKKVAVVLDKKIGGGFDPTRNIAYIIGKEGYFKKVDLGESRNLTSLCKSTRKQPNPTRLCLEDFGCAFWLQHSILVVPLIL >fgenesh2_kg.3__3554__AT2G18770.1 pep chromosome:v.1.0:3:23712545:23714428:-1 gene:fgenesh2_kg.3__3554__AT2G18770.1 transcript:fgenesh2_kg.3__3554__AT2G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle binding protein [Source:UniProtKB/TrEMBL;Acc:D7L2U4] MDNLEDLKIVTEQWWKQGIEFVQQIPPSQLYTAIGVLLLATIWLLSIRLFRRTKSNTVLLSGLSGSGKTMLFYQLRDGSSHQGAVTSMEPNEGTFVLHNENTMKGKVKPVHLVDVPGHSRLRSKLEEYLPRAAAVVFVVDALEFLPNIRVASEYLYDILTNTSVVKNKIPVLLCCNKTDKVTAHTKEFIRKQMEKEIEKLRVSRSAISTADIANDFTLGIEGEVFSFSHCQNKVTVAEASGLTGETDQVQAFIREHVKP >fgenesh2_kg.3__3559__AT2G20300.1 pep chromosome:v.1.0:3:23776064:23780360:-1 gene:fgenesh2_kg.3__3559__AT2G20300.1 transcript:fgenesh2_kg.3__3559__AT2G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L2V4] MRNFAMLLLLILLLHSLASFPICFARLFPMSLPFTRSKSHQMHFFHPYLNPSVAPTSSPAFPPNPSRIPPLRHRGHHRHRRWHLRRNATAVSPSSHDCQQTCVEPLTSTPFGSPCGCVFPMKVQLLLSVAPFSIFPVTNELEIEVAAGTYLEQSQVKIMGASADNENQGKTVVDINLVPLGEKFDNTTATLIYQRFRHKKVPLNETVFGDYEVTHISYPGIPSSSPNGAVTADAPGGFPIPINATFANKSEGIGFRTIAIIALSGFVLILVLVGAISIIVKWKKIGKSSNAVGPALAPSINKRPGAGSMFSSSARSSGSDSLMSSMATCALSVKTFTLSELEKATDRFSAKRVLGEGGFGRVYQGSMEDGTEVAVKLLTRDNQNRDREFIAEVEMLSRLHHRNLVKLIGICIEGRTRCLIYELVHNGSVESHLHEGTLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRRPVDMSQPSGEENLVTWARPLLANREGLEQLVDPALAGTYNFDDMAKVAAIASMCVHQEVSHRPFMGEVVQALKLIYNDADETCGDYCSQKDSSVPDSADFKGDLAPSDSSWWNLTPRLRYGQASSFITMEYSSGPLEDMENRPHSASSIPREGGLILPNRSGPLRPMRSRRNFFRLRGSMSEHGGPSSSRHLWSGNGDWL >fgenesh2_kg.3__3563__AT2G20350.1 pep chromosome:v.1.0:3:23825915:23827228:1 gene:fgenesh2_kg.3__3563__AT2G20350.1 transcript:fgenesh2_kg.3__3563__AT2G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSENVPSYDQNNNFTPYLTRDQEHVIMVTALRQVISNFGSDTPCLHHPTRSNAWEAHLPPLNAGPCPLCSITGCYGCVFPRHEEIIRKEKKHKGVRKKPSGKWSAEIWDPSLKVRRWLGTFPTAEMAAKAYNDAAAELVVRRPARRGTKNGEEASTKKTTVEDD >fgenesh2_kg.3__3564__AT2G20360.1 pep chromosome:v.1.0:3:23827924:23831361:1 gene:fgenesh2_kg.3__3564__AT2G20360.1 transcript:fgenesh2_kg.3__3564__AT2G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic/ coenzyme binding protein [Source:UniProtKB/TrEMBL;Acc:D7L2W1] MQALSRRLVQRPLTGEASIYSSSSLRSLYGVSNHLNGTDNRRYSSSLATKGVGHLARKGTGGRSSVSGIVATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDSPRHLKLMGDLGQVVPMKFDPRDEDSIKAVMAKANVVINLIGREYETRNFSFEDANHHIAEKLALVAKEHGGIMRYIQVSCLGASASSPSRMLRAKAAAEEAVLNALPEATVMRPATMIGTEDRILNPWSMFVKKYGFLPLIGGGTTKFQPVYVVDVAAAIVAALKDDGSSMGKTYELGGPDVFTTHELAEIMYDMIREWPRYVKLPFPIAKAMAAPRDFMVNKVPFPLPSPQIFNLDQINALTTDTLVSDKALKFQDLDLVPHKLKGYPVEFLIQYRKGGPNFGSTVSEKIPTDFYP >fgenesh2_kg.3__3565__AT2G20362.1 pep chromosome:v.1.0:3:23831663:23832241:1 gene:fgenesh2_kg.3__3565__AT2G20362.1 transcript:fgenesh2_kg.3__3565__AT2G20362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGDYPEPESGSGGINLNGRNVGAVMVAPRDVDIASQSGCRINIYVNSNVQATCGSALIGSKVKLRDPGVHLHIKDVKMTRDDNISRQKEMSLIKVGLCLVCLFNIFLVFILFLSSWFRKKIGSV >fgenesh2_kg.3__3566__AT2G20370.1 pep chromosome:v.1.0:3:23834273:23836682:1 gene:fgenesh2_kg.3__3566__AT2G20370.1 transcript:fgenesh2_kg.3__3566__AT2G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNGKNQTNRICLLVALSLFFWALLLYFHFVVLGSSNIDKQIQLQPSYAQSQPSSISLRVDKFPLEPHAAPSKPPPKDPLVTIDKPVLSPAPVANSTTTFKPPRIVESRQKQEFSFIRALKTVDNKSDPCGGKYIYVHDLPSKFNEDMLRDCKKLSLWTNMCKFTTNAGLGPPLENVEGVFSDEGWYATNQFAVDVIFSNRMKQYKCLTNDSSLAAAIFVPFYAGFDIARYLWGYNISRRDAASLELVNWLMKRPEWDIMRGKDHFLVAGRITWDFRRLSEEETDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDSEVFEWQDRMKNLERKWLFSFAGAPRPDNPKSIRGQIIDQCRNSNVGKLLECDFGESKCHAPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTTYSVFIPEDDIRKRNISIEERLLQIPHEQVKIMRENVINLIPRLIYADPRSELETQKDAFDVSVQAVIDKVTRLRKNMIEGRTEYDNFVEENSWKYALLEEGQREAGGHVWDPFFSKPKPGEDGGSDGNGGTTISADAAKNSWKSEQRDKTQ >fgenesh2_kg.3__3567__AT2G20390.1 pep chromosome:v.1.0:3:23840856:23842702:-1 gene:fgenesh2_kg.3__3567__AT2G20390.1 transcript:fgenesh2_kg.3__3567__AT2G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARRLSSFFKGSSTSRYSKKVGTLGSFGRKAVSFVLITVTGGVALSALDDLSIYRGCSSKAMEKVMNSKAMIEAIGEPIEKGPWYNASLAVSHQRHSVSCSFPVIGPQGTGILHLKAVRNGEDSMFGFLQQRDWDILIMDALVHVPSNEGPQQTLRINVSNIVDPSPGTDDKPSVPREPEKS >fgenesh2_kg.3__3570__AT3G63052.1 pep chromosome:v.1.0:3:23848661:23848825:1 gene:fgenesh2_kg.3__3570__AT3G63052.1 transcript:fgenesh2_kg.3__3570__AT3G63052.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L3N1] PTQESPRATIRRDAASVTRESYGREVLKAETRVWSFCGLSADDIMAVRFTTVIHL >fgenesh2_kg.3__3571__AT2G20420.1 pep chromosome:v.1.0:3:23850245:23852968:1 gene:fgenesh2_kg.3__3571__AT2G20420.1 transcript:fgenesh2_kg.3__3571__AT2G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate--CoA ligase [ADP-forming] subunit beta, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7L3N2] MRGLVNKLVSRSLSISGKWQQQQLRRLNIHEYQGAELMGKYGVNVPKGVAASSLEEVKKAIQEVFPNESELVVKSQILAGGRGLGTFKSGLKGGVHIVKRGQAEDIAGKMLGQVLVTKQTGPQGKVVSKVYLCEKLSLVNEMYFSIILDRKSAGPLIIACKKGGTSIEDLAEKFPDMIIKVPIDVFAGITDEDAAKVVDGLAPKAADRKDSIEQVKKLYELFRKTDCTMLEINPLAETSTNQLVAADAKLNFDDNAAFRQKEIFAMRDPTQEDPREVAAAKVDLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEHQVVEAFKILTSDDKVKAILVNIFGGIMKCDVIASGIVNAAKEVSLKVPVVVRLEGTNVEQGKRILKESGMKLITADDLDDAAEKAVKALAN >fgenesh2_kg.3__3577__AT2G20490.1 pep chromosome:v.1.0:3:23877449:23878644:1 gene:fgenesh2_kg.3__3577__AT2G20490.1 transcript:fgenesh2_kg.3__3577__AT2G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDA27/NOP10 [Source:UniProtKB/TrEMBL;Acc:D7L3P0] MYLQCYINEKGDKVYTTKKESPLGLATESAHPARFSPDDKYSKQRVLLKKRFGLLPTQNAPVKY >fgenesh2_kg.3__3578__AT2G20495.1 pep chromosome:v.1.0:3:23878926:23880855:1 gene:fgenesh2_kg.3__3578__AT2G20495.1 transcript:fgenesh2_kg.3__3578__AT2G20495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRTNPESSASKAKKRRREEEDEEEVGCSHTAIEDQCLPLEDDLTFSDTCVALRMMRAQFPRIDQASTPPFILQSQLYSSVNDRTQVDRELECLRREKVVRVFKLNTGQDDHAIIFLDDYLNQVDRIVKRMEEKRQSDLEVFKWFKGHVLDSKLEPSIGHHELFSLLSLGGKVKDAHITLLINAGLLTRQLIDPDMYWFAIPSIGKLWKGLLQGRNELLSLLKRKRHKEMFLAELEKKRLRFSPLDVRFHIRDLIGSGHLKTVQTTSGLIVRISKD >fgenesh2_kg.3__357__AT3G03990.1 pep chromosome:v.1.0:3:1318067:1319214:1 gene:fgenesh2_kg.3__357__AT3G03990.1 transcript:fgenesh2_kg.3__357__AT3G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7L205] MSQHNILEALNVRVVGTGDRILFLAHGFGTDQSAWHLILPYFTQNYRVVLYDLVCAGSVNPDYFDFNRYTTLDPYVDDLLNIVDSLGIQNCAYVGHSVSAMIGIIASIRRPELFSKLILIGFSPRFLNDEDYHGGFEEGEIEKVFSAMEANYEAWVHGFAPLAVGADVPAAVREFSRTLFNMRPDISLFVSRTVFNSDLRGVLGFVRVPTCVIQTAKDVSVPASVAEYLRSHLGGDTTVETLKTEGHLPHLSAPAQLAQFLRRALPR >fgenesh2_kg.3__3580__AT2G20510.1 pep chromosome:v.1.0:3:23881798:23884385:-1 gene:fgenesh2_kg.3__3580__AT2G20510.1 transcript:fgenesh2_kg.3__3580__AT2G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM44 [Source:UniProtKB/TrEMBL;Acc:D7L3P3] MATRKIIRDLLITKQPLLRQLFHQRVLRGNTRSEFLPAIGYSSHRRFSVFSEFSKNIRGEADSNPEFQRTVKEFKERAEEFKGVKEDLKVRTKQTTDQLYKQVDGVWTEAESAPKKVSSSVKDKLSAATEEVKESFKLGKEESAESASSSGTRTSQGEKQQHQQSGSTEELHTFFAKFKSSLSSPKVSEAFYKLKEAKPFDIVKKALDIVKDELRGNPSRKKFLEYTPPPPFTGERSMRTEMVVTPTKQSKWQQKWESFKEKMQGSPVFKRLSRMSEPVVNKSQEIAEDVREIWETSDNPIVHKIQDMNEMFLKETDSASTYKEIRNRDPSFSLPDFAAEIEEVIRPVLNAYSEGDVETLKKYCSKEVIERCTAELTAYQTHGFFFDNKLLHISDVRVSVTKMMGDSPIIIAKFQTQEIYCVRDENGEIQEGGQDTIHTVYHEWAMQQVEATEFGEDAIYPIWRLREMRRNGVQALI >fgenesh2_kg.3__3581__AT2G20515.1 pep chromosome:v.1.0:3:23884713:23885545:-1 gene:fgenesh2_kg.3__3581__AT2G20515.1 transcript:fgenesh2_kg.3__3581__AT2G20515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLCSPSRIVLVTFFLLCFFAGALSATRPGFVYTRHRGRCTPQYWSSQREAWPRMVPERSTVEKIFGVMVAKERWRSDLTLVESTARNDEEGNAYGALLKQGIAALVNSYARRSFSYAPWEVKTMLIQAMVSEPAARRQAEKFAVANVACD >fgenesh2_kg.3__3582__AT2G20520.1 pep chromosome:v.1.0:3:23886466:23887209:1 gene:fgenesh2_kg.3__3582__AT2G20520.1 transcript:fgenesh2_kg.3__3582__AT2G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIFSSVVLFFFLFTIPYIQSQPIAPAPTTETSPINLTAILETGHQFTTLIRLLNTTQVGFQVSVQLNSSDQGMTIFAPTDNAFNNLKPGTLNSLTYQQQIQLMLYHIIPKYYSLSDLLLASNPIRTQATGYEGGVFGLNFTGQAQSNQVNVSTGVVETRINNALRQQFPLAVYVVDSVLLPEELFGTKTTPTGAPAPKSTTSSSDADSPAGDDEHKSAGSSMKKTSLRIVLGFALFCCSVIYIS >fgenesh2_kg.3__3583__AT2G20530.1 pep chromosome:v.1.0:3:23889619:23891560:1 gene:fgenesh2_kg.3__3583__AT2G20530.1 transcript:fgenesh2_kg.3__3583__AT2G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPHB6 [Source:UniProtKB/TrEMBL;Acc:D7L3P6] MNFKNVKVPKGPGGGVIAAVVIGGLGLYGATHSLYNVDGGHRAIVFNRLVGIKDKVYPEGTHLMIPWFERPIIYDVRAKPYLVESTSGSRDLQMVKIGLRVLTRPMANQLPEVYRSLGENYRERVLPSIIHETLKAVVAQYNASQLITQRESVSREIRKILTARAANFHIALDDVSITGLTFGKEFTAAIEGKQVAAQEAERAKFIVEKAEQDKRSAVIRAEGEAKSAQLIGQAIANNQAFLTLRKIEAAREIAQTISKSANKVYLSSNDLLLNLQAMDLDVKPKK >fgenesh2_kg.3__3586__AT2G20550.2 pep chromosome:v.1.0:3:23893433:23894870:-1 gene:fgenesh2_kg.3__3586__AT2G20550.2 transcript:fgenesh2_kg.3__3586__AT2G20550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ chaperone C-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L3P8] MSHPRKADDIFSEFFGSPSGPRTADDISAEFFGVSSPSGPRTADDIFSEFFEFPRPSAAAAGGNGGGGKGGGGGGGSSYPGGARKAAPVEKKLPCSLEDLYKGTTKKMKISREIAGVFGKTMQVEEILTVDVKPGWKKGTKITFTAKGNEQPGVISADLVFIIDEKPHPIFTRDGNDLLVTQNISVLEAFTGYTVILTTLDGRRLTIPVNTVIHPEYVEVVPNEGMPLQKDQTKKGNLTIKFNIKFPTRLTSEQKTGLKKILG >fgenesh2_kg.3__3587__AT2G20560.1 pep chromosome:v.1.0:3:23895594:23897542:-1 gene:fgenesh2_kg.3__3587__AT2G20560.1 transcript:fgenesh2_kg.3__3587__AT2G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:UniProtKB/TrEMBL;Acc:D7L3Q0] MGVDYYKVLQVDRNASDDDLKKAYRKLAMKWHPDKNPNNKKDAEAMFKQISEAYEVLSDPQKKAVYDQYGEEGLKGNVPPPDAGGATYFSTGDGPTSFRFNPRNADDIFAEFFGFSSPFGGAGGRGGTRFSSSMFGDDIFASFSEGGGGGGGSMHHGGARKAAPIENKLPCSLEDLYKGTTKKMRISREIADVSGKTMQVEEILTIDVKPGWKKGTKITFPEKGNEQPGVIPADLVFIIDEKPHPVFTREGNDLVVTQKISLVEALTGYTVNLTTLDGRRLTIPVTNVIHPEYEEVVPKEGMPLQKDQTKKGNLRIKFNIKFPTRLTSEQKTGVKKLLG >fgenesh2_kg.3__3588__AT2G20570.1 pep chromosome:v.1.0:3:23917623:23920034:1 gene:fgenesh2_kg.3__3588__AT2G20570.1 transcript:fgenesh2_kg.3__3588__AT2G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSPATRNGCDGASEFLDTSCGFTINPEEEDEFPDFADHGDLLDIIDFDDIFGVAGDVLPDLEIDPEILSGDFSDHMNASSTITTTSDKTDSQGETNKSISGKGEEVVSKRDDAAAETVTYDGDSDRKRKYSSSASSKNNRISNNEGKRKVKVDWTPELHRRFVEAVEQLGVDKAVPSRILELMGVHCLTRHNVASHLQKYRSHRKHLLAREAEAANWTRKRHIYGVDTGSNINGRNKNGWLAPAPTLGFPPPPPVHHHHFRPLHVWGHPTVDQSIMPHVWPKHLPPPSTAMPNPPFWVSDSPYWHPMHNGATPYLPTVATRFRGPLVAGIPHALPPHHTVYKPNHGFGGARPPVDLHPSKESVDAAIGDVLTRPWLPLPLGLKPPAVDGVMTELHRHGVSKVPPTASCA >fgenesh2_kg.3__3589__AT2G20580.1 pep chromosome:v.1.0:3:23922378:23939977:1 gene:fgenesh2_kg.3__3589__AT2G20580.1 transcript:fgenesh2_kg.3__3589__AT2G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtRPN1a/RPN1A [Source:UniProtKB/TrEMBL;Acc:D7L4I0] MAPTPDPNSVGGGAKQDEATLKVPSKDPKKKDEKKDEDLSEEDLELKQNLELYVERVQDPNPDLQKAALESMRQEIRASTSSMTSVPKPLKFLRPHYGTLKAFHETMADSDLKKYLSDILSVLALTMSAEGERESLSFRLIGTEGDIGSWGHEYVRNLAGEIAQEYTKRQSEEASIDDLMELVQQIVAFHMKHNAETEAVDLLMDVEDLDLLLEHVDKTNFKRTCNYLTSAAKYLPGPDDMLVLDISYMIYMKFEEYPNALQIALFLDNAQYVKQVFTSCTDLLKKKQFCYMIARHGITFDLDDEMVADDDDREALQDIVNNTKLSEGYLTLARDIEVMEAKTPEDIYKAHLLDGRASSGASADSARQNLAATFVNAFVNAGFGQDKLMTVPSDSTTGSSGNWLFKNKEHGKTSAAASLGMIQLWDVDSGLSQLDKYFHSNDNPIIAGALLGVGIVNCGIKNDCDPALALLGDYIDKEDSSVRIGAIMGLGISYAGSQNDQIRSKLSPILNDAKAPLDVIAFASLSLGMIYVGSCNEEVAQSIIFALMDRSEAELGDALTRFLPLGLGLLYLGKQESVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQDLLAQCGEHLEKGDIHQGPAVLGLAMVAMSEELGVDMEIRSLERMLQYGEQNIRRAVPLALGLLCISNPKVTVMDTLSRLSHDTDSEVAMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKDANLLFCVRIAQGLVHMGKGLLTLSPFHSERFLLSPTALAGIVTLLHACLDMKPIILGKYHYVLYFLVLAMQPRMMLTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATDKYIPLSPILEGFIILKENPDYREE >fgenesh2_kg.3__3596__AT2G20610.1 pep chromosome:v.1.0:3:23943473:23960363:-1 gene:fgenesh2_kg.3__3596__AT2G20610.1 transcript:fgenesh2_kg.3__3596__AT2G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROOTY/SUPERROOT1 [Source:UniProtKB/TrEMBL;Acc:D7L4I1] MSEEQPHANLAVPAFTTEKDPITQTQNGQSSVWRFGGSDKAAKASTVTLRGVIYMLFDNCSKDVNKTILPLGHGDPSVYPCFRTCIEAEDAVVDVLRSGKGNSYGPGAGILPARRAVADYMNRDLPHKLTPEDIFLTAGCNQGIEIVFESLARPNANILLPRPGFPHYDARAAYSGLEVRKFDLLPEKEWEIDLEGIEAIADENTVAMVVINPNNPCGNVYSHDHLKKVAETARKLGIMVISDEVYDQTIFGDNPFVPMGKFASIVPVLTLAGISKGWVVPGWKIGWIALNDPEGIFETTKVLQSIKQNLDVTPDPATIIQAALPAILEKADKNFFAKKNKILKHNVDLVCDRLKDIPCVVCPKKPESCTYLLTKLELSLMDNIKDDIDFCVKLAREENLVFLPGDALGLKNWMRITIGVEAHMLEDALERLKGFCTRHAKKTETETDSLQALKLSDNNLEM >fgenesh2_kg.3__3601__AT2G20650.1 pep chromosome:v.1.0:3:23968791:23972502:-1 gene:fgenesh2_kg.3__3601__AT2G20650.1 transcript:fgenesh2_kg.3__3601__AT2G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7L4I5] MNNLGNFGVWGLGFFSLSIWFAVLQQANGLRPIRETARSWGDEWRFGKKEKSGAGPFSAWNITGTYRGSWNFLDTVNSSSKFPDFRKESGNSVIELVTSPTKITGVHYVQGAVVFHDVFDNEHNVGGAQIKVEGVYIWPFRQLRLVANSGKKGDSGLEDDYLLSNPYHLLGIFSSQVFQESPRDRILKQKTSPIYEMEKHCNIEIAAQISQSASSENNGDKDRYHIEGLMESPAVDDDGDCFSPLSLNATSINVEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSIVMIGQQAIMDSYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLSIWKATRPSTSGEGWETMRRELSFLYSRFYGILLGGILLMYEFHNYMRPILLLMYSFWFPQIVANVVRDSRKPLHPYYILGMTVTRLAIPLYVFGCPKNFMRVEPSKAWCVSLCAFMGFQAAVLLLQHYFGSRCFVPRKMLPEKYSYYRRLDHNVNRSRDCVICMTTIDLRHRINDCMVTPCEHIFHSGCLQRWMDIKMECPTCRRPLPPA >fgenesh2_kg.3__3602__AT2G20670.1 pep chromosome:v.1.0:3:23993229:23994662:-1 gene:fgenesh2_kg.3__3602__AT2G20670.1 transcript:fgenesh2_kg.3__3602__AT2G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQNSDDIMRFLDGMASSDDVLFGFLDEGNQSPEDFSDSVNLNAGGDDGDEDDNNNNSEENKAFWQEQEQLLQGTLYRTSSVETKIRQATKEALKQVKSKGLNCVCRRPVDGGCRSCLRGEISRHLRDVAGYDCVISKSKWRSCQDIPAGEHEFIEIVDRSGSKKGEMRVVIELSFRAEFEIAKGSEEYKRLVSRLPEVYVGKTERLRSLIKILCIAGKKCLRDKKMHMAPWRKHKYMQAKWLGTCDRSSSLEAAVSEAMEPENWVPVAKPRVSMLNYDGLLGSFSAGPATVTVV >fgenesh2_kg.3__3603__AT2G20680.1 pep chromosome:v.1.0:3:24002319:24004779:1 gene:fgenesh2_kg.3__3603__AT2G20680.1 transcript:fgenesh2_kg.3__3603__AT2G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 5 protein [Source:UniProtKB/TrEMBL;Acc:D7L4J0] MAPTGNGPVIPILGFLTCVAFIYLSFGDLLFDFKREGELGFVKRNGTQFVVDGKALYVNGWNSYWFMDHAVNDHSRHRVGAMLEAGAKMGLTVCRTWAFNDGGYNALQISPGRFDERVFKALDHVIAEAKTHGVRLLLSLVNNLQAYGGKTQYVNWAWQEGVGLSSSNDSFFFDPSIRRYFKNYLTVLLTRKNSLTGIEYRNDPTIFAWELINEPRCMSDVSGDTLQDWINEMTAFIKSIDNKHLLTVGLEGFYGPNNPKRLTVNPERWASELGSDFVRNSDSPNIDFASVHIYPDHWFHDQGFEEKLKFVVKWMLSHIEDGDKELKKPVLFTEFGLSNLNKDYDPSQRDRFYRTIFDVIYKSAKRKRSGAGTLVWQFLIEGMEGFNDDFGIVPWEQDSIQRLMIEQSCRLSRVTGRHLLDKKSIEMCSHRP >fgenesh2_kg.3__3604__AT2G20690.1 pep chromosome:v.1.0:3:24004983:24006559:1 gene:fgenesh2_kg.3__3604__AT2G20690.1 transcript:fgenesh2_kg.3__3604__AT2G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lumazine-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7L4J1] MAARTHCINLIPKVCLPQSRTGESVTNLRFDCVSNSSKLSLKTSCGRHHRRQNLSIRSVFTGIVEEMGEVKNLGMADHGGFDLKIGARVVLEDVKLGDSIAVNGTCLTVTEFNEEEFTVGLAPETLRKTSLEELKKGSPVNLERALQPVSRMGGHVVQGHVDGTGVIESMEVEGDSLWVKVKADKGLLKYIVPKGFVAVDGTSLTVVDVFDEESCFNFMMVAYTQQKVVIPTKSIGQKVNLEVDIMGKYVERLLTSGGFSLNRAEEKK >fgenesh2_kg.3__3605__AT2G20700.1 pep chromosome:v.1.0:3:24006594:24007451:-1 gene:fgenesh2_kg.3__3605__AT2G20700.1 transcript:fgenesh2_kg.3__3605__AT2G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPYCLLSLLPIFLLSGFSLSYDEFDGHAATSRALLQTRTTCKEDFANKNYTIITSRCKGPNYPANVCCSAFKDFACPFAEVLNDEKNDCASTMFSYINLYGRYPPGIFANMCKEGKEGLDCTNVTQSASATSDSNPRASATASLAVLSTFLVLCLLFLSP >fgenesh2_kg.3__3607__AT2G20710.1 pep chromosome:v.1.0:3:24007728:24009519:1 gene:fgenesh2_kg.3__3607__AT2G20710.1 transcript:fgenesh2_kg.3__3607__AT2G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L4J3] MKHLLLLLRLVRPKPNYILRRSFLFHSGKTTPSPLDPYDTLQRRVARAGDPSASIIRVLDGWLDQGHLVKTSELHGIIKMLRKFSRFSHALQISDWMSEHRVHEITEGDVAIRLDLIAKVGGLGEAEKFFETIPMERRNYHLYGALLNCYASKKVLHKAEQVFQEMKELGFLKGCLPYNVMLNLYVRTGKYTMVEKLLREMEDGTVKPDIFTVNTRLHAYSAVSDVEGMEKFLMRCEADTGLHLDWRTYADTANGYIKAGLTEKAIEMLRKSEQMVNPRKRKHAYEVLMSFYGAAGKKEEVYRLWSLYKELDGFYNTGYISVISALLKMDDIEEVEKIMEEWEAGHSLFDIRIPHLLITGYCKKGMMEKAEEVVNMLVQKWRVEDTSTWERLALGYKMAGEMEKAVEKWKRAIDVSKPGWRPHQVVLMSCVDYLEGRRDMESLRKILRLLSERGHISYDQLLYDMNGAGLSWKIVDAMGKGRYIEEGEVRI >fgenesh2_kg.3__3610__AT2G20740.1 pep chromosome:v.1.0:3:24024360:24026245:1 gene:fgenesh2_kg.3__3610__AT2G20740.1 transcript:fgenesh2_kg.3__3610__AT2G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIVRSCLQSMLKLVNSLIGMVGIAMILYAVWLIRQWQEQMGNLPFADSDHPVPWFIYSFLGLGAILCVVTCAGHIAAETVNGCCLYLYMGFIVLLVMVEGGVVADIFLNRDWKKDFPEDPSGAFHQFSKFIESNFKICKWIGLSIVCVQGLSVLLAMLLKALGPHPYRHYDSDDEYNVSTVALLQDGRQLPPYVVGEPMYGAKPGAWTVRINERANR >fgenesh2_kg.3__3612__AT2G20750.1 pep chromosome:v.1.0:3:24030294:24031845:1 gene:fgenesh2_kg.3__3612__AT2G20750.1 transcript:fgenesh2_kg.3__3612__AT2G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-expansin [Source:UniProtKB/TrEMBL;Acc:D7L4J8] MQLFPVILPTLCLFLHLLGGGSGSTPSLTHSSQQVAVTRWLPATATWYGSPEGDGSSGGACGYGSLVDVKPFKARVGAVSPILFKGGEGCGACYKVRCLDKTICSKRAVTIIVTDQSPSGPSAKANHTHFDLSGAAFGHMAIPGHNGVIRNRGLLNIRYGRTACKYKGKNIAFHVNAGSTDYWLSLLIEYEDGEGDIGSMHIRQAGSKEWISMKHIWGANWCIVEGPLKGPFSVKLTTLSNNKTLSAADVIPSNWVPKATYTSRLNFSPVL >fgenesh2_kg.3__3614__AT2G20770.1 pep chromosome:v.1.0:3:24034346:24036271:1 gene:fgenesh2_kg.3__3614__AT2G20770.1 transcript:fgenesh2_kg.3__3614__AT2G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFFDNVMPDFVKEKESESGGDSLRNLLAMPYSSLSQQLKRSALDLKETVVMETWGFSGQTVEDFTLYSGTLGAAFLLFRAYQVTGNVNDLSLCLEIVKACDAASASSGDVTFLCGRAGVCGLGAAAAKLSGEEELLNYYLAQFRLIRLSSDLPNELLYGRVGYLWACLFINKYIGKETLSSDTIREVAQEIIKDGRSMAKKGSSPLMFEWYGKRYWGAAHGLAGIMHVLMDVQLKPDEAEDVKGTLKYMIKNRFPSGNYPASEEDRRKDVLVHWCHGAPGIALTLVKAAEVFGEREFLEAGAAAAEVVWNRGLLKRVGICHGISGNAYVFLSLYRATGMSEYLYRAKAFASFLLDRGPKLLSKGEMHGGDSPYSLFEGVAGMAYLYLDMVDPSQARFPGYEL >fgenesh2_kg.3__3615__AT2G20780.1 pep chromosome:v.1.0:3:24036244:24038357:-1 gene:fgenesh2_kg.3__3615__AT2G20780.1 transcript:fgenesh2_kg.3__3615__AT2G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLPEVGNGGGSGFPAVSVGNKKNKYQRMDSDAENHREAEARNSRTRKYVMACAFFASLNNVLLGYDVGVMSGAVLFIQQDLKITEVQTEVLIGSLSIISLFGSLAGGRTSDSIGRKWTMALAALVFQTGAAVMAVAPSFEVLMIGRTLAGIGIGLGVMIAPVYIAEISPTVARGFFTSFPEIFINLGILLGYVSNYAFSGLSVHISWRIMLAVGILPSVFIGFALCVIPESPRWLVMKGRVDSAREVLMKTNERDDEVEERLAEIQLAAAHTEGSEDRPVWRELLSPSPVVRKMLIVGFGIQCFQQITGIDATVYYSPEILKEAGIQDETKLLAATVAVGITKTVFILFATFLIDSVGRKPLLYVSTIGMTLCLFCLSFTLTFLGQGTLGITLALLFVCGNVAFFSIGMGPVCWVLTSEIFPLRLRAQASALGAVGNRVCSGLVAMSFLSVSRAITVGGTFFVFSLVSALSVIFVYALVPETSGKSLEQIELMFQGGLERKDGEVELGDAERLVRKEQEF >fgenesh2_kg.3__3618__AT2G20800.1 pep chromosome:v.1.0:3:24042204:24044616:-1 gene:fgenesh2_kg.3__3618__AT2G20800.1 transcript:fgenesh2_kg.3__3618__AT2G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDB4 H dehydrogenase B4 [Source:UniProtKB/TrEMBL;Acc:D7L4K3] MSFHSFYQRAFSLFKAYPSASKLLVFSSFSGGGVLVYSDSNPLKRVLHADATLDSDGQPIRKKKVVVLGSGWSGYSFLNYLNNPNYDVQVVSPRNFFLFTPLLPSVTNGTVEARSIVEPIRGLMRKKGFEYKEAECVKIDPSNKKLHCRSKEGSSLKGTTEFDMDYDILVIAVGAKPNTFNTPGVEEHAYFLKEAEDALNIRHSVIDCFERASLPNLTEEERKKILHFVVVGGGPTGVEFSAELHDFLVQDVAKIYPKVQEFTRITLLEAGDHILNMFDKRITAFAEEKFQRDGIDLKTGSMVVGVTADEISTKERETGKIVSEPYGMVVWSTGIGSRPVIRDFMQQIGQGQRRVLATDEWLRVEGCEDVYALGDTATINQRRVMEDIAAIFSKADKGNTGTLNKKDFNGVVKDICQRYPQVELYLKKNKLKNIAHLLKSANGEDTQVNIENFKQALSEVDSQMKNLPATAQVASQQGKYLAKCFNKMEKCERKPEGPLRFRGEGRHRFQPFRYRHFGSFAPLGGEQTAAELPGDWVSIGHSSQWLWYSVYASKLVSWRTRMLVISDWTRRFVFGRDSSSI >fgenesh2_kg.3__3619__AT2G20810.1 pep chromosome:v.1.0:3:24047196:24049147:1 gene:fgenesh2_kg.3__3619__AT2G20810.1 transcript:fgenesh2_kg.3__3619__AT2G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L4K4] MGRRGGDSFRRAGRRKISNVVWWVLSGIALLLFFLILSKAGHIEPRPSIPKRRYRNDKFLEGMNMTEEMLSPTSVARQVNDQIALAKAFVVIAKESKNLQFAWDLSAQIRNSQLLLSSAATRRSPLTVLESEPTIRDMAVLLYQAQQLHYDSATMIMRLKASIQALEEQMSSVSEKSSKYGQIAAEEVPKSLYCLGVSLTTEWFQNLDLQRNLKERSRVDSKLTDNSLYHFCVFSDNIIATSVVVNSTALNSKAPEKVVFHLVTNEINYAAMKAWFAINMDNLRGVTVEVQKFEDFSWLNASYVPVLKQLQDSDTQSYYFSGHNDDGRTPIKFRNPKYLSMLNHLRFYIPEVFPALKKVVFLDDDVVVQKDLSPLFSIDLNKNVNGAVETCMETFHRYHKYLNYSHPLIRSHFDPDACGWAFGMNVFDLVEWRKRNVTGIYHYWQEKNVDRTLWKLGTLPPGLLTFYGLTEALEASWHILGLGYTNVDARMIEKGAVLHFNGNLKPWLKIGIEKYKPLWERYVDYSSPFMQQCNFH >fgenesh2_kg.3__3621__AT2G20815.1 pep chromosome:v.1.0:3:24049368:24051311:1 gene:fgenesh2_kg.3__3621__AT2G20815.1 transcript:fgenesh2_kg.3__3621__AT2G20815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCEHLLKPRRGKSRELSSRFLSSPSAFSSPNRRNSTSNSSTKRDDQNNNGLKVHLGLKKHDRMSDGTRVCFGLPNQSSIEVDSKENRRPSPWMDDEDHVILPGRFSVDECTLYRASSRRNSCSLLYESLNDESDSELSDVSCASSLSTNRSSRNHNPGIKVSSKYLHDLTAKPSKGNNNVTKIRSQEDSQRANSFRGIENRMKRNNSVSRYGSSMSQWALSPGRSLDTQAVTVPSSKLKPPRGKGVGKLINLGFDFFSSKNKSSPFTSPLKPKTCDTESAHQLKLMNNRLLQWRFVNARASAASNIVASQEKNQLLCAWDTLTKLKHLVLQERIKLQKKNLEMKLIYVLLSQVKHLEAWEDMERQHLSSLSMTRDSLHSVLSRLPLKEGAKVNLESAVTLFKNAETVTDAIISTVNIYAPTMESIVPLASQLAEVATQEKLMLEQCHDLLRMISELEMQERSLKCCFLIQNKQTFDIKL >fgenesh2_kg.3__3624__AT2G20825.1 pep chromosome:v.1.0:3:24060361:24061470:-1 gene:fgenesh2_kg.3__3624__AT2G20825.1 transcript:fgenesh2_kg.3__3624__AT2G20825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERECGSKELFSKEELQEISGVHVGDDYVEVMCGCTSHRYGDAIARLKIFSDGELQITCQCTPACLDDKLTPAAFEKHSERETSRNWRNNVWVFIEGDKVPLSKSVLLRYYNKALKNSNVSKVIHRDEFVGCSKCGKERRFRLRSRGECRMHHDAIAEPNWKCCDYPFNKITCEEEEERGSRKVFRGCTRSPSCKGCTSCVCFGCKLCRFSDCNCQTCLDFTTNAQPI >fgenesh2_kg.3__3627__AT2G20835.1 pep chromosome:v.1.0:3:24065859:24066222:-1 gene:fgenesh2_kg.3__3627__AT2G20835.1 transcript:fgenesh2_kg.3__3627__AT2G20835.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L4L3] MAIFCFLVDQRKQVRGRKPAAGLCSRCGGGAVVADMRTSTRFCGVPFYRKAWKAIVCHFCGAVLK >fgenesh2_kg.3__3629__AT2G20850.1 pep chromosome:v.1.0:3:24071558:24106793:-1 gene:fgenesh2_kg.3__3629__AT2G20850.1 transcript:fgenesh2_kg.3__3629__AT2G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L4L5] TTTSASWVFLSFSLSPISPCHLLLPILTIDVAAINSLFLALESPLLPGWVASRGDPCGESWQGVLCNASQVETIILISSNLGGELGNSHQFQSWRRAWCGLNMFTSLKAMDFSNNHIGGMFSLDKGNLVILFKRMFLSGNKFTGTIPESLSSLKSLSVMSLNNNVLSGKIPDVFQDLGLMINLDLSSNNLSGPLPPSMQNLSTLTSLLLQNNHLSIELDVLQDLPLKDLNVESNLFNGSIPEKLLGIPNFIKGGNLFNVTIAPSPSPDTPPSPTSPTRPFFGPPSTNASTGHGQAHVRSPPSDHPSRPTPQGEKDSFTSKRIIWISILGAFSFLFLALVCVLCGRKCLRRREDSEQLSKPHLTSEYGRAREGSRSNASMLPPSDTFNKDKEAKPKERIGGASKMQSGAERSVGSLSKQESHEIDMNGNAMDLMYPSSIPPIKRIIAKATVPAEASLKKPSSKSFGPLTSVKHFTVASLQQHSPRKTLLERACLGVYTGQSFQLLAVRKLDKKSSNHTEEGKFVELVNNIDRIRQANIVQLVGFCSEHSQRLLIHEYCRNGTLHDLLHTNDRLMIKLSWNIRVRMALEAAKALEYLHEICDPPSIHRNFKSANILLDDDLRVHVSDCGLASIISSGAVSQLSGQLLAAYRYGAPEFEYGIYTMKCDVYSFRVVMLELLTGRKSYDKKQDRGQQFLVRWAIPQLHDIDALAKMVDPSLKDDYPAKSLSHFADVISRCVQSEPEFRPLMSEVVQDLSDMIQREHQRNDSNGDNQYAGSR >fgenesh2_kg.3__3639__AT2G20900.3 pep chromosome:v.1.0:3:24140106:24143508:-1 gene:fgenesh2_kg.3__3639__AT2G20900.3 transcript:fgenesh2_kg.3__3639__AT2G20900.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:D7L5C2] MDKYNTLSDFLKEFYIPTYVLSPEEEEEEAVPPPASPVLVFINSKSGGQLGGELILTYRSLLNHNQVFDLDQETPDKVLRRIYLNLERLKDDDFARQIREKLKIIVAGGDGTAGWLLGVVCDLKLSHPPPIATVPLGTGNNLPFAFGWGKKNPGTDRTAVELFLDQVLKAKEMKIDNWHILMRMKTPKEGGSCDPVAPLELPHSLHAFHRVSPTDELNKEGCHTFRGGFWNYFSLGMDAQISYAFHSERKLHPEKFKNQLVNQSTYVKLGCTQGWFCASLFHPASRNIAQLAKVKIATRNGQWHDLHIPHSIRSIVCLNLPSFSGGLNPWGTPNPRKQRDRGLTPPFVDDGLIEVVGFRNAWHGLVLLAPNGHGTRLAQANRIRFEFHKGATDHTFMRMDGEPWKQPLPLDDETVMVEISHLGQVNMLATHDCRSRSMFDPSTPRHYDAAEEYDDNEDESMAEGEEFRKFGAADTFKIPDEVDISQLS >fgenesh2_kg.3__3641__AT3G29600.1 pep chromosome:v.1.0:3:24240000:24241117:1 gene:fgenesh2_kg.3__3641__AT3G29600.1 transcript:fgenesh2_kg.3__3641__AT3G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECIGRMDESDWMDRPIVGRIGSDRPIVGRIRQTDRRTDQIDRSRIREKCSKKHEDKCNRTHPRVAHGCNLTGKGSKYREWVSDVVHHESVTPMVTRRITNGKVHAEGVMTTMDRTGKRLVDRGRS >fgenesh2_kg.3__364__AT3G04040.1 pep chromosome:v.1.0:3:1332857:1334313:1 gene:fgenesh2_kg.3__364__AT3G04040.1 transcript:fgenesh2_kg.3__364__AT3G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSWKEIGSRCHHCAGPLTKNLETNEWTVAPFIRDSFSMIGSAVGGTASAFIGFNHVMPIVRKWIKGPMWLHFLVGAPPVIVVSSACAGLAGGAVPALAQLASSSYHAAVHSSQPPQGQEKNKLHKSSTISPS >fgenesh2_kg.3__366__AT3G04060.1 pep chromosome:v.1.0:3:1338563:1340323:-1 gene:fgenesh2_kg.3__366__AT3G04060.1 transcript:fgenesh2_kg.3__366__AT3G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC046 [Source:UniProtKB/TrEMBL;Acc:D7L212] MVEEGGVVVNQGGDQEVVDLPPGFRFHPTDEEIITHYLKEKVFNIRFTAAAIGQADLNKNEPWDLPKIAKMGEKEFYFFCQRDRKYPTGMRTNRATVSGYWKATGKDKEIFRGKGCLVGMKKTLVFYRGRAPKGEKTNWVMHEYRLDGKYSYHNLPKTARDEWVVCRVFHKSDPSTTITPTSQLSRIDSLDNIDHLLDFSSLPPLIDPGFLGQSSPSFSGVRQQHDLKPVLHHPTTAPVDNTFLSTQTFNFPYHSVHNSGSDFGYGAGSGNNDKGMIKLEHSLVSVSQETGLSSDVNTTATPEISSYPIMMNPAANAAVMDGSKSACDDLDDLIFWEDLYTS >fgenesh2_kg.3__367__AT3G04070.1 pep chromosome:v.1.0:3:1346903:1348496:-1 gene:fgenesh2_kg.3__367__AT3G04070.1 transcript:fgenesh2_kg.3__367__AT3G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC047 [Source:UniProtKB/TrEMBL;Acc:D7L213] MISKDPRSSLPPGFRFHPTDEELILHYLRKKVSSSPVPLSIIADVDIYKSDPWDLPAKAPFGEKEWYFFSPRDRKYPNGARPNRAAASGYWKATGTDKLIAVANGEGFHENIGIKKALVFYRGKPPKGVKTNWIMHEYRLADSLSPKRISCSRSSSSEVNNNFGDRNLKSKEYSMRLDDWVLCRIYKKSHASLSSPDVALVTSNQEHEENDNEPFVERGTFLPNLQKDQPLKRQKSSCSFSNLLDATDLTFLANFLNETPENRSESDFSFMSGNFSNPDIYGNHYLGQKLPRLSSPTSETSGVGNKRERLDFAEETINASKKMMNTYSYNNNIDQMDHSMMQQPSFLNQELLMSSHLQYQG >fgenesh2_kg.3__369__AT3G04080.1 pep chromosome:v.1.0:3:1354600:1358015:-1 gene:fgenesh2_kg.3__369__AT3G04080.1 transcript:fgenesh2_kg.3__369__AT3G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAPY1 [Source:UniProtKB/TrEMBL;Acc:D7L214] MTAKRAIGRHESLADKVHRHRGLLLVISIPIVLIALVLLLMPGTSTSVSVIEYTMKKHEGGSNSRGPKNYAVIFDAGSSGSRVHVYCFDQNLDLVPLENELELFLQLKPGLSAYPNDPRQSANSLVSLLDKAEASVPRELRPKTPVRVGATAGLRALGHQASENILQAVRELLKDRSRLKTEANAVTVLDGAQEGSYQWVTINYLLRTLGKPYSDTVGVVDLGGGSVQMAYAIPEEDAATAPKPLEGEDSYVKEMYLKGRKYFLYVHSYLHYGLLAARAEILKVSEDSNNPCIVTGFAGTYKYGGKAFKAAAAPSGASLDECRRVAINALKVNDSLCTHMKCTFGGVWNGGGGGGQKKMFVASFFFDRAAEAGFVDPKQPVAEVRPLDFEKAANKACNMRMEEGKSKFPRVEEDNLPYLCLDLVYQYTLLVDGFGLKPSQTITLVKKVKYGDYAVEAAWPLGSAIEAVSSP >fgenesh2_kg.3__36__AT3G01790.2 pep chromosome:v.1.0:3:105143:107037:1 gene:fgenesh2_kg.3__36__AT3G01790.2 transcript:fgenesh2_kg.3__36__AT3G01790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 family protein [Source:UniProtKB/TrEMBL;Acc:D7L9L3] MATQAAAAASFKGNLKKAVAGIKRINLDGLRWRVFDARGQVLGRLASQISTVLQAKDKPTYCPNRDDGDICIVLNAKEIGFTGRKLTDKFYRWHTGYIGHLKERSLKDQMAKDPTEVIRKAVWRMLPSNNLRNDRDRKLRIFEGGEHPFGDKPLEPFVMPPRRVREMRPRARRAMIRAQKKAEQAENEGTEVKKGKKRTPSQVTA >fgenesh2_kg.3__370__AT3G04090.1 pep chromosome:v.1.0:3:1358369:1360119:-1 gene:fgenesh2_kg.3__370__AT3G04090.1 transcript:fgenesh2_kg.3__370__AT3G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIP1_1 [Source:UniProtKB/TrEMBL;Acc:D7L215] MMGVVKSAIGDMLMTFSWVVLSATFGIQTAAIISAGDFQGITWAPLVILTSLIFVYVSIFTVIFGSASFNPTGNAAFYVAGIPGDTLFSLAIRLPAQAAGAAGGALAIMEFIPEKYKHMIGGPSLQVDVHTGAIAETILSFGITFAVLLIILRGPRRLLAKTFLLALATISFVVAGSKYTGPAMNPAIAFGWAYMYSSHNTWDHIYVYWISSFVGALSAALLFRSIFPPARPQKKKQKKA >fgenesh2_kg.3__373__AT3G04110.1 pep chromosome:v.1.0:3:1362759:1365811:1 gene:fgenesh2_kg.3__373__AT3G04110.1 transcript:fgenesh2_kg.3__373__AT3G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7L217] MEILISIAILALLFSSVVAGPNDDAFEEVRVGLVVDLSSTQGKILVTSLNLALSDFYGINNGYRTRVSILVRDSQGDPIIALAAATDLLKNAKVEAIVGAQSLQEAKLLATISEKANVPVISTFLPNTLSLNKYDHFIQWTHDTTSEAKGIMSLIQDFSCKSVVVIYEDADDWRESLQILVENFQDKGIHIARSASFAVSSSGENHMMNQLRKLKVSRTTVFVVHMSEFLVSRLFRCVEKLGLTEEGFAWILTVRTMNYLEYFETTRSMQGVIGFKPYIPVSEEVTNFTSRLKKLMGDDTETEHSSKIIGLRAHDIACILAIAVEKISVRGKAEASSNVSDLLKTIRNSRFKGLSGVIQISDNKFISETFEIVNIGREKQRRRQIVWPGGSRKIPRHRVLAENGEKKVLRILVTAGNKVPNLVSVRPDPETGVNTVSGFCVEVFKTCIAPFNYELEFIPYRGNNDNLAYLLSTQSDKYDAAVGDITITSNRSLYVDFTLPYTDIGIGILTVKKKSQGMWTFFDPFEKSLWLASGAFFVLTGIVVWLVERSVNPEFQGSWGQQLSMMLWFGFSTIVFAHREKLQKMSSRFLVIVWVFVVLILTSSYGANLTSTKTISRMQLNHQMVFGGSTTSMTAKLGSINAVEAYAQLLRDGTLSHVINEIPYLSILIGNYPNDFVMTDRVTNTNGFGFMFQKGSDLVPKVSREIAKLRSLGMLKDMEKKWFQKLDSLNVHSNTEEVASTIDDDEASKRFTFRELRGLFIIAGVAHVLVLALHLFHMRQEVSRLFTKFQSFYK >fgenesh2_kg.3__374__AT3G04120.1 pep chromosome:v.1.0:3:1366365:1368834:1 gene:fgenesh2_kg.3__374__AT3G04120.1 transcript:fgenesh2_kg.3__374__AT3G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7L218] MADKKIKIGINGFGRIGRLVARVVLQRDDVELVAVNDPFITTEYMTYMFKYDSVHGQWKHNELKIKDEKTLLFGEKPVTVFGIRNPEDIPWAEAGADYVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEHEYKSDLDIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMSFRVPTVDVSVVDLTVRLEKAATYDEIKKAIKEESEGKLKGILGYTEDDVVSTDFVGDNRSSIFDAKAGIALSDKFVKLVSWYDNEWGYSSRVVDLIVHMSKA >fgenesh2_kg.3__375__AT3G04130.2 pep chromosome:v.1.0:3:1372608:1374891:1 gene:fgenesh2_kg.3__375__AT3G04130.2 transcript:fgenesh2_kg.3__375__AT3G04130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L220] MSWLIQNRIGNTLLRLKPSSSSIAIFSTFIKNLSTASEQLPLDESSQSEEIWNVIVGRDGDRDSEDDVFKRLSNDEICNRVNLSDGLVYKLLHRFRDDWKSALGVLNWAESCKGHKHSNDAYDMAVDILGKAKKWDRLKVFVERMRGDKLVTLNTIAKIMRRFAGAGEWEEAVGIFDKLGEFGLEKNTESMNLLLDTLCKEKRVEQARVVLLELKSHITPNAHTFNIFIHGWCKANRVEEALWTIQEMKGHGFRPCVISYTTIIRCYCQQSEFVKVYEMLSEMEANGSPPNSITYTTIMSSLNAQKEFEEALRVATRMKRSGCQPDSLFYNCLIHTLARSGRLEEAERVFRVEMPELGVSINTSTYNSMIAMYCHHDEEDKAIELLKEMESSNLCNPDVHTYQPLLRSCFKRGDVVEVGKLLKEMVTKHHLSLDESTYTFLIQRLCRANICEWAYCLFEEMISQDITPRHRTCLLLLEEVKKKNMHESAERIEHIMKTVKLTAPVK >fgenesh2_kg.3__378__AT3G04150.1 pep chromosome:v.1.0:3:1378802:1379772:-1 gene:fgenesh2_kg.3__378__AT3G04150.1 transcript:fgenesh2_kg.3__378__AT3G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLVQFLVAKIILLALASSFVYCYDPNPLQDYTVLPPTKLMEFMFVLAVFVNGKFCKDPKLVTANDFYYSGLNIPGNTNNRLGASITNVDVSLIPGLNTLGVAIARLDFAPGGQLSPHIHPRASQIILVLKGELSVGFVSSNEYNYTLFSKVLYPGDVLVFPIGLVQFHANNNKKTNAVAISAYGSQNPGVIPVGNAVFGSNPLIDPKLLAKAFALDVNIVRHVQRVFSSDADIVN >fgenesh2_kg.3__379__AT3G04160.1 pep chromosome:v.1.0:3:1383202:1386296:-1 gene:fgenesh2_kg.3__379__AT3G04160.1 transcript:fgenesh2_kg.3__379__AT3G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPSFPHYQHPNPNFFHHVPPPNPNPNIFFRPPPPHLQNPNNYSIAPPSPPPIHELSGTLTSLQSLLSECQRTLDSLSQNLALDHSSLLQKDENGGFVRCPFDSNHLMPPEALFLHSLRCPNPLDLTHILGSFSCYRNTLELPCELQLNNNGDLCVSLDDLADFGRNFFYRDCPGAVNFSELDGKKPTLTLPNVLSVECNDFVVSDEKEKGSMLDKWLGILPSDLCAIKSEINQWRDFPSSYSYSVLSSIVGSKAIATSDLRTWILVKSTRYGVIIDTFMRDHVFLLFRLCLKSAVKEACRLIESDANAVGEKQIMSCKSRTFECPVLIQVLSWLASQLAVLYGEGNGKYFALDMFKQCIVESAFRVMLFQSEGTRPKCSGVLEDLDDASLSNKDVKMVKPFENSSGGEGGKTLDSPQVISVSRVAAAVAALYERSLLEGKIRAVRYAQPLTRYQRAAELGVMTAKADEERNRRCSYRPIIDHDGLPRQRSSTQDMNKMKTREELLAEERDYKRRRMSYRGKKVKRTPRQVLHDIIEEYTEEIKLAGGIGCFEKGMPLQSPSPIGSDQKESDFGYNTAPPYKQWKGENRAAIEYPMDDRNNSDKVKRHVEYDSGSSQRQQSHRSYKHGDRRDDKHSDRRDDKFTRSERHSLERKSYHRNHRSSREKSSSDCKTKRDDPYDRCSREPRNQNSFEDRYIPTERE >fgenesh2_kg.3__380__AT3G04180.1 pep chromosome:v.1.0:3:1387491:1403583:-1 gene:fgenesh2_kg.3__380__AT3G04180.1 transcript:fgenesh2_kg.3__380__AT3G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLHFLLAKIILVALASSFVYCYDPSPLQDYCVATNETNGVYVNGKFCKDPKCVTTNDFYTSGLNVPGNTSIGPGSKITVVDVERMPGLNTLGVDIARYDFAPGGLDPPHTHPRGSQIFLVMKGKLFVGFVSSNEYNYTLFTKVLYPGDVFVFPKGLIHFHANFGKTNAVVISAAGSQEPGRIIIGDAVFGSKPLIDPKVLAKAFALDLNKVKYLQAVFS >fgenesh2_kg.3__383__AT3G04210.1 pep chromosome:v.1.0:3:1406517:1408007:-1 gene:fgenesh2_kg.3__383__AT3G04210.1 transcript:fgenesh2_kg.3__383__AT3G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L227] MDSYFFLGTVVVVALAIYTLLGMLSFMVYRKFRFHLDKKNIACFSFSSFTSFSSCSSLSSTSSPSRKHQVFPSFHGADVRKSFLSHIMKEFKSKAIDIFIDKDIKRSKSIGPELIEAIKGSRIAIVFLSRNYASSSCSLVGMEAHMKKLELMLYLDLNDVRMIGIWGPPGIGKTSIARVLFSKHSDSFDLSVFMENVKGRYTRPGCSDEHSLKLHLHQQFLSQIFNQKDIEVPHLGVIQDRLRDKRVLVVLDDVDQPAQLEAMAKENKWFGPESRIIVTTQGRRLLEGHGIKDMYKVDFPPPREAFQIFCMDAFGQASPKHGFEELAWEATYVSGIHPSGIRSMGSYFRGMSKLEWADALLRLRTNNPDSGSVRTYKKVGIRIRNEKQKIVSRMMSSIRRKQIAAAEERAASVYERTMKEVDSSPVSSTRGLAE >fgenesh2_kg.3__384__AT3G04230.1 pep chromosome:v.1.0:3:1414250:1414823:-1 gene:fgenesh2_kg.3__384__AT3G04230.1 transcript:fgenesh2_kg.3__384__AT3G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S16 [Source:UniProtKB/TrEMBL;Acc:D7L228] MASQPAKESVQCFGRKKTAVAVTHCKRGSGMIKLNGSPIELHQPEILRFKILEPILLLGKHRFAGVDMRIRVEGGGNTSQVYAIRQSIAKALVAYYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCESKKFGGKGARSRFQKSYR >fgenesh2_kg.3__386__AT3G04250.1 pep chromosome:v.1.0:3:1432815:1433709:1 gene:fgenesh2_kg.3__386__AT3G04250.1 transcript:fgenesh2_kg.3__386__AT3G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L233] YRYALGYVNKNNSCRSHKVLRLIDDYIYLAVNHAPENRFSWYEIYDIETYLWTTLDVPPILEDIVLSACNSGYKLDDHIICFDFTSERVGPLLRLPFCAGLHDYVTLSCVREEKLAALLTHNESNPYEFEIWITTKIEYEKVSWSKILEMDTGPLADRPIAFTHESFFVDEEKNVSMGFDDLNRHTVNIIGEAGYFIELDLGVPVADINCGARRPHLCPYVPSSVQIKQPPGGKRKRKTILEKRQYDQNMLRLAAFIKRTKQEENKWRKRVRVGLKKKTIFEA >fgenesh2_kg.3__387__AT3G04260.1 pep chromosome:v.1.0:3:1433849:1438368:-1 gene:fgenesh2_kg.3__387__AT3G04260.1 transcript:fgenesh2_kg.3__387__AT3G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFLNPPFPSNSIHTIPRRAAGLSSIRCSISAPEKKPRRRRKQKRGDGAENDSSLSFGSGDAVSALERSLRLTFMDELMERARNRDTSGVSEVIYDMIAAGLSPGPRSFHGLVVAHALNGDEHGAMHSLRKELGAGQRPLPETMIALVRLSGSKGNATRGLEILAAMEKLNYDIRQAWLILVEELMRINHLEDANKVFLKGARGGMRATNHLYDLMIEEDCKAGDHSNALEISYEMEAAGRMATTFHFNCLLSVQATCGIPEVAYATFENMEYGEGLFMKPDTETYNWVIQAYTRAESYDRVQDVAELLGMMVEDHKRVQPNVKTYALLVECFTKYCVVKEAIRHFRALKNFEGGTTILHNAGKFEDPLSLYLRALCREGRIVELIDALDAMRKDSQPIPPRAMIMSRKYRTLVSSWIEPLQEEAELGYEIDYVARYIEEGGLTGERKRWVPRRGKTPLDPDASGFIYSNPIETSFKQRCLEDWKIHHRKLLRTLQSEGLPVLGDASESDYMRVMERLRNIIKGPAQNLLKPKAASKMVVSELKEELEAQGLPIDGTRNVLYQRVQKARRINKSRGRPLWVPPIEEEEEEVDEEVDDLICRIKLHEGDTEFWKRRFLGEGLIETSVESKETTESVVTGESEKAIEDISKEADNDEDDDEEEQEGDDDDDETEEEEEVVVAETENRAEGEELVKNKAADAKKHLQMIGVQLLKESDEANRTKKRGKRASRMTLEDDADEDWFPEDPFEAFKEMRERKVFDVSDMYTIADVWGWTWEKDFKNRTPRKWSQEWEVELAIVLMTKARVIELGGIPTIGDCAVILRAALRAPMPSAFLKILQTTHSLGYSFGSPLYDEIITLCLDIGELDAAIAIVADMETTGITVPDQTLDKVISARQSNENPRSEPEEPPSTVSS >fgenesh2_kg.3__38__AT3G01780.1 pep chromosome:v.1.0:3:107211:111675:-1 gene:fgenesh2_kg.3__38__AT3G01780.1 transcript:fgenesh2_kg.3__38__AT3G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFAQIQADLRSNDALRQSSALLQALQQSAAGRDISVIAKSAVEEIVASPASAVCKKLAFDLIRSTRLTPDLWDTVCSGVKTDLHFPDPDVTAAAVSILAALPSFSLPKLISDCSSEIASCFDSPSDNLRFSITETLGCILARDDLVTLCENNVGLLDKVSNWWARIGQNMLDKSDAVSKVAFESVGRLFQEFDSKRMSRLAGDKLVDSENSLAIRSKWVSSMVDIVWKKRSALMARSLVLPVETFRATVFPLVFAVKAVASGSVEVIRQLSKASSAAAAANATVVDSNAEKLVGVSDLVTHLAPFLASSLDPALIFEVGINMLYLADVAGGKPEWASQSIIAILTLWDRQEFSSARESIVRAVVTNLHLLDLHMQVSLFRRLLLMVRNLRAESDRMHALACICRTALCVHLFARESARRGQKPLPGTDIISLFEDARIKDDLNSVTSKSLFREELVAMLVESCFQLSLPLPEQKNSGMESRVIGALAYGTGYGALNWTEPALEVVEVCRPCVKWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKRLKDGASQDQILNETRLQNLQRELVKDLQEVNTPRILGRLIWTIAEHIDLEGLDPLLADDPDDPLNIIIANIHKVLFNLDAAATTSNRLQDVQAVLLCAQRMGSRHARAGQLLTKELEEYRNHAAADTVSKHQTRLILQRIKYVSNLPERKWAGVSETRGDYPFSHHKLTVQFYEPSAAQDRKLEGLIHKAILELWRPKPTELTLFLTKGVDSTSIKIPPTAYPLTGSSDPCYIEAYHLADTNDGRVTLHLKIINLTELELNRVDIRVGLSGALYFMDGSPQAVRQLRNLVSQDPVQCSVTVGVSQFERCGFWVQVLYYPFRGARGEYDGDYIEEDPQIMKQKRGSKAELGEPVILRCQPYKIPLTELLLPHKISPVEFFRLWPSLPAVAEYTGTYMYEGSGFMATAAQQYGASPFLSGLKSLSSKPFHRVCSHIIRTVAGFQLCYAAKTWHGGFVGMMIFGASEVSRNMDLGDETTTMMCKFVVRASEASITKQIESDLQGWCDDLTDGGVEYMPEDEVKATAAEKLKISMERIALLKAAQPKKTPKIEEESENEEEEEEDDDDDEDVKEKKEKEEGKDKEEKKKKKEKEKGTFSKLTAEETEHMALQAAVLQEWHILCKDRKYTKVN >fgenesh2_kg.3__390__AT3G04280.3 pep chromosome:v.1.0:3:1440528:1441685:-1 gene:fgenesh2_kg.3__390__AT3G04280.3 transcript:fgenesh2_kg.3__390__AT3G04280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGTEKTKSTEVKKKLNVLIVDDDPLNCRLHEMIIKTIGGISQTAKNGEEAVILHRGGASFDLILMDKEMPERDGVSTTKKLREMKVTSMIVGVTSLADQEEERKAFMEAGLNHCLEKPLTKAKILPLINHLLGA >fgenesh2_kg.3__391__AT3G04290.1 pep chromosome:v.1.0:3:1443879:1446811:-1 gene:fgenesh2_kg.3__391__AT3G04290.1 transcript:fgenesh2_kg.3__391__AT3G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Li-tolerant lipase 1 [Source:UniProtKB/TrEMBL;Acc:D7L236] MDINCSPLGFLISLFFIVTFLAPQVKSRAFFVFGDSLVDNGNNDYLVTTARADNYPYGIDYPTRRPTGRFSNGLNIPDIISEAIGMPSTLPYLSPHLTGENLLVGANFASAGIGILNDTGIQFVNIIRISKQMEYFEQYQQRVSALIGPEATQQLVNQALVLITLGGNDFVNNYYVIPFSARSRQFALPDYVVYLISEYGKILRKLYELGARRVLVTGTGAMGCAPAELAQHSRNGECYGALQTAAALFNPRLVDLIASVNAEIGQDVFVAANAYQMNMDYLTNPEQFGFVTSKVACCGQGPYNGIGLCTPISNLCPNRDLYAFWDAFHPTEKANRIIVNQILTGSSKYMHPMNLSTAMLLDSSRI >fgenesh2_kg.3__392__AT3G04300.1 pep chromosome:v.1.0:3:1454688:1455407:1 gene:fgenesh2_kg.3__392__AT3G04300.1 transcript:fgenesh2_kg.3__392__AT3G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVIENNPSSRRLSDLGVMSWPKWSCQPGKYALVFEERETCYLVKGKVKVYLKGSSEFVEFGAGDLVTIPKGLSCTWDVSLFIDKHYKFDPPTSP >fgenesh2_kg.3__393__AT3G04320.1 pep chromosome:v.1.0:3:1460465:1461227:1 gene:fgenesh2_kg.3__393__AT3G04320.1 transcript:fgenesh2_kg.3__393__AT3G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endopeptidase inhibitor [Source:UniProtKB/TrEMBL;Acc:D7L241] MKTFRLMMISFLLVAITTTSGVVGEGNDVVYDGEGDPVKPNVPYYISFMTSDYNMWICRRQYGSTDPNSCPQQPLMVTHPDMAAPTPVMFVLASKSDVVRESAKLKIKFVGPRQCGKSGFWRVVQRNSLEGEVVLNGSKSKSNNDSTFAIHKTNEYYKFTFGDGDYPTTISMTNDYPIYRLLSKRLSGEMEIYFYKNLTTGEG >fgenesh2_kg.3__395__AT3G04350.1 pep chromosome:v.1.0:3:1472008:1475200:-1 gene:fgenesh2_kg.3__395__AT3G04350.1 transcript:fgenesh2_kg.3__395__AT3G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCDCFYWSRGLSVLDSESSEPKPFSLPSPLPSWPQGKGFATGRINLGEIEVVKITKFHRVWSSDSLHDKSKRATFYRAEEIPEGFHCLGHYCQPTDQPLRGYVLAARASKAVNADDLPPLKKPVSYSLVWSADSEKNGSGYFWLPNPPVGYRAMGVIVTPGPGEPEPEEVRCVREDLTESCETSEMILEVGSSKNSNGSSSPFSVWSTRPCERGMLSQGVAVGSFFCCTYDLSSDRTVPDIGCLKNLDPTLHAMPNLDQVHAVIEHFGPTVYFHPEEAYMPSSVQWFFKNGALLYRSGKSQGQPINSTGSNLPAGGCNDMEFWIDLPGDEEAKSNLKKGNLESSELYVHVKPALGGTFTDIVMWIFCPFNGPATLKIGLFTLPMTRIGEHVGDWEHFTFRICNFSGELWQMFFSQHSGGGWVDASDIEFVKDNKPAVYSSKHGHASFPHPGMYLQGSSKLGIGVRNDVAKSKYIVDSSQRYVIVAAEYLGKEAVIEPCWLQYMREWGPTIAYDSGSEINKIMNLLPLVVRFSIENIVDLFPIALYGEEGPTGPKEKDNWEGDEMC >fgenesh2_kg.3__396__AT3G04360.1 pep chromosome:v.1.0:3:1475448:1476761:-1 gene:fgenesh2_kg.3__396__AT3G04360.1 transcript:fgenesh2_kg.3__396__AT3G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L245] MGRLKFACPVLEINLISAQDLAPVSRNMKTYSVAWINTDPMRKLTTRVDQSNRANPIWNEKFVFRVNDKILDVDASAIVIEIYAAAWAKDALVGTVNVLLSDLFAPWSGFGDGDDGGGGNNNMRLVTLQIRRPSGRLQGFLRLGVALLDGGQRSMPLSIEVFDGSRRGETNSNKRDQEASRMMHRRTNSDQTDLTTSTNDYGVKTGVVTGNGGGGGGGGGIVVGADSMVNGSLCSSDIGPSASVVAAAIAQGLYNRQKTAVKAASSNKEDASSILEGKTEGIEHRVERWRAEKNGTAGAGEAAGSSDDSSGKGGAGRRRRRRRRRKEKQQGRRNGGGEGKKGLFSCFGNVFGCEISI >fgenesh2_kg.3__397__AT3G04370.2 pep chromosome:v.1.0:3:1478361:1480151:1 gene:fgenesh2_kg.3__397__AT3G04370.2 transcript:fgenesh2_kg.3__397__AT3G04370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHLISLLTQTLALIILSLPSIINTSQLDYDTLVFKQCDSLAPNIFQKTRPNYSNNILFLRAQALSSLLRKLESESSWSKFFKTLVGNEEHAVSGWFQCREDYPNGICHKCVGALREISSRLCGNATSARIHLRGCHLIYKFERIDTPGALNNHHNYKLFESPEHGLIHKICEGATAETLAGFEEMRAEALIAAETGVVDGHGFYEDSYKLLHVVAQCDGHVEACDCGECISSAAAAAAEECRWSVAGQIYLEGCHVSYTYHPHELPNDSYHEEGSKVNTGKSLAIVVGGVAALVFVAIFFMFLKSLRKKGDDC >fgenesh2_kg.3__399__AT3G04380.1 pep chromosome:v.1.0:3:1483184:1486691:1 gene:fgenesh2_kg.3__399__AT3G04380.1 transcript:fgenesh2_kg.3__399__AT3G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAMLPHKDEKVRKALEKTRELGIADEKTMPVLMKLLEEADGHWSLIKLDNYTALVDAILSVEEEKKQCEGSSNGNRGKNLKVIGSPASLKKTYETRSASSGSSIQVVQKQPQISNGDRKRKYKSRISDITKGSESVKISLVDDVGSEAVPKFTYIPHNIVYQSAYLHVSLARISDEDCCANCKGNCLSADVPCTCARETSGEYAYTKEGLLKEKFLDTCLKMKKEPDTFPKVYCKDCPLEKDHDKGTYGKCDGHLIRKFIKECWRKCGCDMQCGNRVVQRGIRCELQVYFTQEGKGWGLRTLQDLPKGTFICEYIGEVLTNTELYDRNVRSSSERHTYPVTLDADWGSEKDLKDEEALCLDATICGNVARFINHRCEDANMIDIPVEIETPDRHYYHIAFFTLRDVKAMDELTWDYMIDFNDKSHPVKAFRCCCGSESCRDRKIEGSQGKSLERRRIVPAKKQQGSKAVALKRK >fgenesh2_kg.3__39__AT3G01770.1 pep chromosome:v.1.0:3:111973:115699:1 gene:fgenesh2_kg.3__39__AT3G01770.1 transcript:fgenesh2_kg.3__39__AT3G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATBET10 [Source:UniProtKB/TrEMBL;Acc:D7L9L5] MTVRNGGFPGDYYRTSFDTPGGCDDSPNTSEDETFGVPRMVLPLSDLSSSERKKLIHTLRQELEQIRSFQKSLVDLPMSNIVTPVSNNMSRPKSFRMARCSTGPGKRVLPFSATKPEPVTTSTMLRMKQCETLLKRLMSQQHCWLFNTPVDMVKLNIPDYFTIIKHPMDLGTVKSKLTSGTYSSPSEFSADVRLTFRNAMTYNPSDNNVYRFADTLSKFFEVRWKTFNKKSSGTKSEPSNLGTLARKDIAMPEPLAKKRKMNAVNHDSLLEPAKRVMTDEDRVKLGRDLGSLTEFPVQIINFLRDHSSKEGRSGDDEIEIDINDLSHDALFQLRDLFDEFLRENQRKDINGEPCELELLDGSGPGNSLTQHCGGSEMEDEDVDIGNYEHPRSHIPSVRTEKDSVSGLNQLEDASKGSLIEGADGHLDGNSAPNEKQLPPEKRYRAALLKDRFADIILKAKEITLNQNEKRDPEKLRREKEEIELQKKKEKARLQAEAKEAEEARRKAEAQAAVEAAAEAKRKLELERKAARQALLEMEKSVEINENTRFLKDLELLKTVKTDQLRNLRDVGSDSDGLEVFGFGGSNPLEQLGLFMKHDEDEDEADLLAFPDPGNEVEEGEID >fgenesh2_kg.3__404__AT3G04480.1 pep chromosome:v.1.0:3:1500853:1504530:-1 gene:fgenesh2_kg.3__404__AT3G04480.1 transcript:fgenesh2_kg.3__404__AT3G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease [Source:UniProtKB/TrEMBL;Acc:D7L255] MKVVALVSGGKDSCYAMMKCIHYGHEIVALANLLPVDDSVDELDSYMYQTVGHQIIVGYAECMNVPLFRRRIRGSSRHQKLSYQMTPHDEVEDMFVLLSEVKRQIPSITAVSSGAIASDYQRLRVESVCSRLGLVSLAFLWKQDQTLLLQEMIANGIKAILVKVAAIGLDPSKHLGKDLAFMEPYLLKLKEKYGSNVCGEGGEYETLTLDCPLFTNASIVLDEYQVVLHSPDSIAPVGVLHPSTFHLEKKGNPDSNSPEEESSLVSEVLGDGPNTSDSTRQRDNGIVDLGEHTSNRLHISKTEKHNTFSICCWLEDSSVSSTGLKEDLETVLTELESQLLKRGYNWQHVLYIHLYISDMSEFAVANETYVKFITQEKCPFGVPSRSTIELPLVQSGLGKAYIEVLVAIDESKRVLHVQSISCWAPSCIGPYSQATLHKSVLHMAGQLGLDPPTMNLRNEGAIAELNQALTNSEAIAQSFNCSISLSAILFVVFCSARTKQSERNQLHEKFVTFLDLAKSSRRVRNVLDPMFLYILVPDLPKRALVEVKPILYVEEDTETEDETSRDQSSEGDYSFWGYKPEKWHQDCVQKRVVDGKMCVTVLSISAELMRKHQGEEEQLERVSRFCVYLLNKTLSENSFSWQDMTSLRIHFSTSLGVSVETLSDIFASAFRELNEMSGVKVGSSKEPIFNLVPVLGAGNSCASLDNIITCELFALRS >fgenesh2_kg.3__406__AT1G51080.1 pep chromosome:v.1.0:3:1529592:1530832:1 gene:fgenesh2_kg.3__406__AT1G51080.1 transcript:fgenesh2_kg.3__406__AT1G51080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L263] DEELFLYLIVSSPPPMNLNPWLKKKLQIIETLAARDTIIDFGNHKGKMLGALPSSYLKMGLKESSCSDSEYWAKLEDDVYKDKNLQRKSFMEISERFGKINFELLGTSKGCRIPRQSLGTDDGKTVTMSEQKGISGKLEDVEKQLEPKIRSPFLVVRVC >fgenesh2_kg.3__407__AT3G04500.1 pep chromosome:v.1.0:3:1537259:1540011:-1 gene:fgenesh2_kg.3__407__AT3G04500.1 transcript:fgenesh2_kg.3__407__AT3G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPPSSASSSSSSSSQYTYAANSYYSAPYQPPQPYAAAPSPAVPSPVASVPGATVYSQPVGPVPAVYAYPQYQQAHQLFQRDAQTITPEALENVKAALASSETEHKAETKKRAIPRKAAGQSWEDPTLSEWPENDYRLFCGDLGNEVNDDVLSKAFTRFPTFNMAKVIRDKRTGKTKGYGFVSFSNPADLAAALKEMNGKYVGNRPIKLRKSSWKERTDQEAAERQKHHSNKKQKTVKKSVLHK >fgenesh2_kg.3__408__AT3G04510.1 pep chromosome:v.1.0:3:1542889:1544214:-1 gene:fgenesh2_kg.3__408__AT3G04510.1 transcript:fgenesh2_kg.3__408__AT3G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLISQNHHSRNPNTSLSTQTPSSFSSPPSSSRYENQKRRDWNTFCQYLRNHHPPLSLASCSGAHVLDFLRYLDQFGKTKVHHQNCAFFGLPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGAPESSPFGSRSVRIFLREVRDFQAKSRGVCYEKKRKRVNNKQITQSQPPLPQQPQQEQGQSMMANYHHGATH >fgenesh2_kg.3__410__AT3G04530.1 pep chromosome:v.1.0:3:1549614:1550728:1 gene:fgenesh2_kg.3__410__AT3G04530.1 transcript:fgenesh2_kg.3__410__AT3G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase kinase 2 [Source:UniProtKB/TrEMBL;Acc:D7L268] MTGEFELENNYQLCDEIGRGRFGTITRCFSPATKEFYACKTIDKRVLVDALDRECIETEPRIMAMLPPHPNIIRIFDLYETEDSLAIVMELVDPPMTIYDRLISAGGRLSESESASNAKQILRALAHCHRCDVVHRDVKPDNVLVDLVSGCVKLCDFGSAVWLGGETAEGVVGTPYYVAPEVVMGRKYEEKVDIWSAGVVIYTMLAGEPPFNGETAEEIFESILRGNLRFPPKKFGSVSSEAKDLLRKMICRDVSRRFSAEDALRHSWLMNVGNLQSK >fgenesh2_kg.3__414__AT3G04570.1 pep chromosome:v.1.0:3:1560539:1561887:1 gene:fgenesh2_kg.3__414__AT3G04570.1 transcript:fgenesh2_kg.3__414__AT3G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:D7L273] MANPWWTGQVNLSGLETTPPGSSQLKKPDLHISMNMAMDSGHNNHHHHQEVDNNNNDDDRDNLSGDDHEPREGAVEAPTRRPRGRPAGSKNKPKPPIFVTRDSPNALKSHVMEIASGTDVIETLATFARRRQRGICILSGNGTVANVTLRQPSTAAVAAAPGGAAVLALQGRFEILSLTGSFLPGPAPPGSTGLTIYLAGGQGQVVGGSVVGPLMAAGPVMLIAATFSNATYERLPLEEEEAAERGGGGGSGGVVPGQLGGGGSPLSSGAGGGDGNQGLPVYNMPGNLVSNGGSGGGQMSGQEAYGWAQARSGF >fgenesh2_kg.3__416__AT3G04580.1 pep chromosome:v.1.0:3:1565057:1568046:-1 gene:fgenesh2_kg.3__416__AT3G04580.1 transcript:fgenesh2_kg.3__416__AT3G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene receptor [Source:UniProtKB/TrEMBL;Acc:D7L274] MLRSLGLGLLLFALLALVSGDNDYVSCNCDDEGFLSVHTILECQRVSDLLIAIAYFSIPLELLYFISFSNVPFKWVLVQFIAFIVLCGMTHLLNAWTYYGPHSFQLMLWLTIFKFLTALVSCATAITLLTLIPLLLKWKVRELYLKQNVLELNEEVGLMKRQKEMSVQVRMLTREIRKSLDKHMILRTTLVELSKILDLQNSAVWMPNENRTEMHLTHELRANPMRSFRVIPINDPDVVQVRETKVVTILRKNSVLAFGPVAAIRMPMLHGLNFKGGTPEFVDTPYAIMFWYFRIEIAEVVADQVAVAISHASVPEESHFLRAKQNAMMASQARNTCQKVMSHGMRRPMHTILGLLSMFQSESMSLDQKIIVDALMKTSTVLSALINDVIDISPKDNGKSALEVKRFQLHSLIREAACVAKCLSVYKGYGFEMDVQTRLPNLVVGDEKRTFQLVMYMLGYILDMTDGGKTVTFRVISEGTGTSQDKSKRETGMWKSHMSDDSLGVKFEVEINEIQNPPLDGSAMAMRHIPNRRYHSNGIKEGLSLGMCRKLAQMMQGNIWISPKSHGQTQSMQLVLRFQTRPSIRRSILAGNAPELQHPNSNSILRGLRITLADDDDVNRTVTKRLLEKLGCEVTAVSSGFECLSALSNVEMSYRVVILDLQMPEMDGFEVAMKIRKFCGHHWPLIIALTASTEDHVRERCLQMGMNGMIQKPVLLHVMASELRRALQSASE >fgenesh2_kg.3__418__AT3G04590.2 pep chromosome:v.1.0:3:1568580:1571361:-1 gene:fgenesh2_kg.3__418__AT3G04590.2 transcript:fgenesh2_kg.3__418__AT3G04590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNESHHHHQQQLHHHHQQQQQQQHQQRLSSPYFHHQLQHHHHPTTVATTASTGNAVPSSNNGLFPPQPQPQHQPNDGSSSLAVYPHSVPSSAVTAPMEPLKRKRGRPRKYVTPEQALAAKKMASSASSSSAKERRELAAVTGGTVSTNSGSSKKSQLGSVGKTGQCFTPHIVNIAPGEDVAQKIMIFANQSKHELCVLSASGTISNASLRQPATAGVNLPHEGQYEILSLSGSYIRTEQGGKTGGLSASLSASDGQIIGGAIGTHLTAAGPVQVILGTFQLDRKKDAAGSGGKGDASNSGSRLTSPASTGQLLGIGFPPGMESTGRNPMRGNDEQQHHHHQPGLGGPHHFMMQAPQGMHMTHSRPAEWRGGGNSGLDGRGGGGYDLSGRIGHESSENGDYEQQIPD >fgenesh2_kg.3__419__AT3G04600.1 pep chromosome:v.1.0:3:1574435:1577229:1 gene:fgenesh2_kg.3__419__AT3G04600.1 transcript:fgenesh2_kg.3__419__AT3G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I family protein [Source:UniProtKB/TrEMBL;Acc:D7L276] MEVDKKDEREAESSEQVVNPWEVSAKDGGKIDYDKLIDKFGCQRLDESLIDRVQRLTSRQPHVFLRRGVFFAHRDFNEILDAYEKGDKFYLYTGRGPSSEALHLGHLIPFMFTKYLQEAFKVPLVIQLTDDEKCMWKNLSVEESQRLARENAKDIIACGFDVTKTFIFSDFDYVGGAFYKNMVRVAKCVTLNKAMGIFGFSGEDHIGKLSFPPVQAVPSFPSSFPHLFPGKDNLRCLIPCAIDQDPYFRMTRDVAPRLGYSKPALIESSFFPALQGENGKMSASDPNSAIYVTDTAKDIKNKINRYAFSGGQDSVEKHRELGANLEVDIPVKYLSFFLEDDSELEHIKKEYGEGRMLTGEVKKRLTEVLTEMVERHRRARAAVTDEMVDAFMAVRPLPSMFE >fgenesh2_kg.3__422__AT3G04610.1 pep chromosome:v.1.0:3:1584572:1588972:-1 gene:fgenesh2_kg.3__422__AT3G04610.1 transcript:fgenesh2_kg.3__422__AT3G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEDEQNFVAHNGDQVPAQGLDEVHNGLPYQVHQPYEVSDPILEPQQYEVPDQTLEPQQYELPDQTLEPQQYEVQDQLEYQQYQLQDQTHEDVQDQPQDDLKYQPQDQEPYQLQDEAHDQAQYQAEGEAQDHNGDDVQDKVEDEEGISESLQKSESEEGATVGGEEKRWPGWPGETVFRMLVPAQKVGSIIGRKGDVIKKIVEETRARIKILDGPPGTTERAVMVSGKEEPESSLPPSMDGLLRVHMRIVDGLDGEPSQAPPASKVSTRLLVPASQAGSLIGKQGGTVKAIQEASACIVRVLGSEDLPVFALQDDRVVEVVGEPTSVHKALELIASHLRKFLVDRSIIPFFENQMQKPTRQMDHMPAPHQAWGPPQGHAPSVGGGGYGHNPPPYMQPPPRHDSYYPPPEMRQPPMEKQPHQGISAYGREPPMNVHVSSAPPMVAQQVTQQMQIPLSYADAVIGTSGSNISYTRRLSGATVTIQETRGVPGEMTVEVSGTGSQVQTAMQLIQNFMAEAGAPAPAQPQTVAPEQQGYNPYATHGSVYAAAPTNPPGGYATDYSSGYGY >fgenesh2_kg.3__423__AT3G04620.1 pep chromosome:v.1.0:3:1589794:1591137:-1 gene:fgenesh2_kg.3__423__AT3G04620.1 transcript:fgenesh2_kg.3__423__AT3G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7L2W2] MAMEVATPAPAPIPSERNIVLAPATTTTTATVETHKKNRIQVSNTKKPLFFYVNLAKRYIQQHNEVELSALGMAITTVVTISEILKNNGLATEKKVLTSTVGMKDETKGRMVQKAKIEIVLGKSDKFDSLVPPVTNGKTPEEEASAETEASVEAQENVAAATEV >fgenesh2_kg.3__425__AT3G04630.1 pep chromosome:v.1.0:3:1593106:1595461:1 gene:fgenesh2_kg.3__425__AT3G04630.1 transcript:fgenesh2_kg.3__425__AT3G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVVEVLMDRNADVSSGRVHVAPKIAAEETDEEFEVKECTEEKSLSENAPNVGSAERIGAQKSPKTRNGNAKVSKQQDAPLLPVRKPLQPENKKHIDDDDNCSIASSVATSTRMGKSGLTYGSAPTFRSAQRAEKRKEYYQKLEEKNQALEAERNELEQRQKEEQEAALKQLRKNLKFKAKPVPNFYYEAPPAKAELKKLPLTRPKSPKLILSRRKSFSDAVSSSSREEVLKTVSTRNRHSTGTVQNKEDQKNKNNNSAHDSPRVRSGKAKAALKPVTECSEEASEA >fgenesh2_kg.3__427__AT3G04640.1 pep chromosome:v.1.0:3:1596097:1596784:-1 gene:fgenesh2_kg.3__427__AT3G04640.1 transcript:fgenesh2_kg.3__427__AT3G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKKATSLFYFLLCLHLQHHFAHAISRSSTSVDPNHDDLPVQPVELKPDGDLIAANLTELAVVKKGGGGGGRGGGGFGGGGRSFGGGGSSSRGGGGSSSRGGGGSSSRGGGIRPIPIYGGGSHRGGHHSSGGREIASGWLGLSILAGLVLVF >fgenesh2_kg.3__42__AT3G01730.1 pep chromosome:v.1.0:3:129237:129562:-1 gene:fgenesh2_kg.3__42__AT3G01730.1 transcript:fgenesh2_kg.3__42__AT3G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFSLMICILVLAMLIMVAESSYGGNDEKRTAPPPPPMAPSPSPTGHFGEPKTLPPPPNAATFPTCPLFITTTLISVMAFSF >fgenesh2_kg.3__430__AT3G04650.1 pep chromosome:v.1.0:3:1597982:1600501:1 gene:fgenesh2_kg.3__430__AT3G04650.1 transcript:fgenesh2_kg.3__430__AT3G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7L2W5] MATSLMSLSSSFLQPLKLKTLSKPRNTDLSTTRKIITTCDSQKNTGKSNPSSRNPNFQKRRNSSKYGTSRRSILKKSFLQEQVTFTARISDDPHVAIIGGGMAGLVCALNLEARGVQSTVFDTGIHGLGGRLGTRIIEPQGLIFDHAAQFFTADDSRFIKLVDGWLEKGLVREWKGAVGELEVGGSFSQFPSSSPPRYIAVNGMLSLADSLLLESQMVNLVRPCWISKLEPLNGMWHLSENGTPRGQFDVIVIAHNGKCANRLLSASGLPLVAKQMKKLDLSSIWALLAAFDDPLPTVNFEGAFVKGVESLSWMGNNSAKLGNGRNPHCWTFFSTAAYGKQNKVPQENIPTVTAEKVRAGMLQGVEIALGLPEGSLPKPVYTRLQLWGAALPKNTPAVPCIFDPQGRAGICGDWLLGSNLESAALSGAALGNHIAEFLQNGEANPEEFAIGLHDRLSPLDGHDIGQFPGLTSVGEKEEANAYQVL >fgenesh2_kg.3__432__AT3G04670.1 pep chromosome:v.1.0:3:1606487:1608449:-1 gene:fgenesh2_kg.3__432__AT3G04670.1 transcript:fgenesh2_kg.3__432__AT3G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 39 [Source:UniProtKB/TrEMBL;Acc:D7L2W9] MEEVEAANRSAVESCHGVLNLLSQQTSDPKSLMVETGEAVSKFKRVASLLTRGLGHGKFRSINKFRSSFPQHIFLESPICCGNDLSGDYTQVLAPEPLQMVPASAVYNEMEPKHQLGHPPLMLSHKMCVDKSFLELKPPPFRAPYQLIHNHQQIAYSRSNSGVNLKFDGSGSSCYTPSVSNGSRSFVSSLSMDASVADYDRNSFHLTGLSCGSDHISQHSRKMCSGSLKCGSRSKCHCSKKRKLRVKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCIDETSMLIVTYEGEHNHSRILSSQSAHT >fgenesh2_kg.3__437__AT3G04700.1 pep chromosome:v.1.0:3:1619151:1620302:1 gene:fgenesh2_kg.3__437__AT3G04700.1 transcript:fgenesh2_kg.3__437__AT3G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRREGLTLPIISPALPEVRTAATTPVASQKPKHRLSKQLSMCETPRDVAWERRRRQMIMIQEKKLLHKGASDNLCVQANLTDEDLNELKGSIELGFGFNEEAGQKLCNTLPALDLYFAVNRQLSPLPSPSSSRSSSASASAFSYSIPCSPKKTDSDSLKILCPGDDPQQMKQRLRHWAQAVACSVMQSY >fgenesh2_kg.3__43__AT3G01720.1 pep chromosome:v.1.0:3:130256:133964:1 gene:fgenesh2_kg.3__43__AT3G01720.1 transcript:fgenesh2_kg.3__43__AT3G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDLIAAIVAALVVSGLADESGQRAPYRIHTLFSVECQNYFDWQTVGLMHSFLKSGQPGPITRLLSCTDDQKKNYRGMNLAPTFEVPSWSRHPKTGDWYPAINKPVGVLYWLQHSEDAKHVDWVVILDADMIIRGPIIPWQLGAERGRPFAAHYGYLVGCDNILVRLHTKHPELCDKVGGLLAMHIDDLRVLAPLWLSKTEDVRQDTAHWSTNITGDVYGKGWISEMYGYSFGAAEAGLKHKINDDLMIYPGYVPREGVEPILMHYGLPFSIGNWSFTKLDHHEDNIVYDCNRLFPEPPYPREVKIMEPDPYKRRGLILSLECMNTLNEGLILRHAENGCPKPKWTKYLSFLKSKTFMELTKPKLLVPGSVHILPDQHEPPPINEFKGTYPKIHTLFSTECTTYFDWQTVGFMHSFRQSGQPGNITRLLSCTDEALKNYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVVHWLHHTNIDAEYVVILDADMILRGPITPWEFQAARGRPVSTPYDYLIGCDNDLARLHTRNPEACDKVGGVIIMHIEDLRKFAMYWLLKTQEVRAEKEHYGKELTGDIYESGWISEMYGYSFGAAELNLRHIINKEILIYPGYVPEPGADYRVFHYGLEFKVGNWSFDKANWRNTDLINKCWAKFPDPPSPSAVHQTDNDLRQRDLLSIECGQKLNEALFLHHKRRNCPEPGSESTEKMSVSRKVGNIETKQTQGSDDTKESSGAEGRFSTLKLWVIALWLISGVGFLVVMLLVFSTRRGRGTTRGKGYRNKRRTSYSNTGFMDTK >fgenesh2_kg.3__440__AT3G04715.1 pep chromosome:v.1.0:3:1628628:1629421:-1 gene:fgenesh2_kg.3__440__AT3G04715.1 transcript:fgenesh2_kg.3__440__AT3G04715.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L2X5] MLCFRNHPRIVQASSPVVHLGIQPEGCHIYMEFASKGTLFNMISKFRGKPMPENMIKRAALMIIQGLDALHSHGYVHCDLKPANVLVFPSTTFGEPWDLKLADFGSSKEPSTDSRSLFPGTEQYMPP >fgenesh2_kg.3__442__AT3G04720.1 pep chromosome:v.1.0:3:1632876:1633861:-1 gene:fgenesh2_kg.3__442__AT3G04720.1 transcript:fgenesh2_kg.3__442__AT3G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGLSITIILLSYTVATVAGQQCGRQGGGRTCPGNICCSQYGYCGTTADYCSPDNNCQSNCWGSGPSGPGESASNVRATYHFYNPAQNNWDLRAVSAFCSTWDADKPYAWRSKYGWTAFCGPAGPRGQASCGKCLRVKNTRTNAAVTVRIVDQCSNGGLDLDVAMFNQIDTDGFGYQQGHLIVDYQFVDCGNELIGQPDFKNILVSAIDRV >fgenesh2_kg.3__443__AT3G04730.1 pep chromosome:v.1.0:3:1635803:1637785:-1 gene:fgenesh2_kg.3__443__AT3G04730.1 transcript:fgenesh2_kg.3__443__AT3G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7L2X9] MINFEATELRLGLPGGNHGGEMVGKYNGKRGFSETVDLKLNLSSTAMDSVSKVDLENMKEKVVKPPAKAQVVGWPPVRSFRKNVMSGQKPTTGDATVGNDKTSGSSGATSSASVCAAVAYVKVSMDGAPYLRKIDLKLYKTYQDLSDALSKMFSSFTIGTYGPQGMKDFMNESILIDLLNGSDYVPTYEDKDGDWMLVGDVPWEMFVDSCKRIRIMKGSEAIGLAPRALEKCKNRS >fgenesh2_kg.3__444__AT3G04740.1 pep chromosome:v.1.0:3:1642176:1649255:1 gene:fgenesh2_kg.3__444__AT3G04740.1 transcript:fgenesh2_kg.3__444__AT3G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGQQTVDFSALVGRTAEESFLSLKELVEKSKSTELSDNEKKVSLLKYVAKTQQRMLRLNALAKWCKQVPLINYFQDLGSTLSAHDICFTQAADSLFFMHEGLQQARAPVYDVPSAVEILLTGSYQRLPKCLDDVGMQSSLDEHQQKPALRKLEVLVRSKLLEITLPKEITEVKISKGTVTFSVDGEFKVLVTLGYRGHLSMWRILHLDLLVGERSGPIKLEVTRRHILGDDLERRMSVAENPFTILYAVLHELCVAIVMDTVIRQVRALLQGRWKDAIRFDLISDTGTTPANQEGEADSVSLRTPGVKLMYWSDSDKNSGPFIKIEPGSDLQIKCSHSTFVIDPLTGKEAEFSLDQSCIDVEKLLLKAICCNRYTRLLEIQKELLRNARICRAPSDVILQALLDEPGIEGGNMVDSKERVEPEVLRVRAYGSSFFTLGINIRTGRFLLQSSKSILTSSILEEFEDALNQGSISAVDAFINLRSKGILHFFAAIGKFLGLEVYEHGFGINKVPKSLLDGSSILTLGFPDCESSHLLLMELEKDFTPLFKLVETRMDGSGKPQSFNDPSNILRAKKIDIGQIRILEDDLNLNTSDVVKFVSSSSDAEGINQVSGHRHPGLVDDALTEMSGSQLSFSSIVDEVFGLQKERSALVSSDGHGLVPKNLSAVNGPGKAPMLTSYHSDSLYNLQGPLQSSSYNMLSSPPGMGSAMKKIAISNSDQELSMILSPSLSAGNGVSESGSRMVTESSLSALPLSQTADLATTSVGPLLRKDQKPRKRSASDLLRLIPSLQGMEGVASPIKRRKTSELVQSELVKSWSPASQTLSTVATSTKTIGCSYGNLIAEANKGNAPSSVFVYALLHVVRHSSLSIKHAKLTSQMEALDIQYVEEMGLRDAFSDIWFRLPFAQNDSWQHICLQLGRPGSMCWDVKINDQHFMDLWELQKGSKTTPWGSGVHIANSSDVDSHIRYDPEGVVLSYQSVEADSIKKLVADIQRLSNARMFSLGMRKLLGIKPDEKTEECSANSTIKGSAGGKGSGETVDRWKAFKIEAVGLTSLWFSFGSGVLARFVVEWESGKDGCTMHVSPDQLWPHTKFLEDFINGAEVESLLDCIRLTAGPLHALAAATRPARASTATGMPVVPAAASSRQSNQIQQTQGIVAPSTLAAPNATGQSVSATSGNTVASSAPSPLGGSFHGVAMLAAAGRSGPGIVPSSLLPIDVSVVLRGPYWIRIIYRKRFAVDMRCFAGDQVWLQPATPPKGGASIGGSLPCPQFRPFIMEHVAQELNGLEPNLTGSQGATNPNSGNPTVNGGNRVNFSPSSAMSRAAMNRVASVASGSLVVSPGLSVRRTPGTAVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPDLLREILGSILKDNEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVLSVRRFHHQQQQNGSSAAAQEELTQSEIGEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGLSQSQQAGEIAPAQRPRIELCLENHSGTDLDNNCAAKSNIHYDRPHNTVDFALTVVLDPVHIPHINAAGGAAWLPYCVSVRLRYTFGESPSVTFLGMEGSHGGRACWQRVDDWEKCKQRVSRTVEVNGSAAGDLTQGKLKLVADSVQRTLHLCLQGLREGNSSNTLQKEFTI >fgenesh2_kg.3__445__AT3G04750.1 pep chromosome:v.1.0:3:1649667:1651640:-1 gene:fgenesh2_kg.3__445__AT3G04750.1 transcript:fgenesh2_kg.3__445__AT3G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L2Y2] MCFVLLRRGIRLFGTECGSKTTTWDPVQSLQLNHQSLVLLENCSSRNQFKQVLAQIMRFNLIGVTFPMSRLIFFSAITYPENLDLAKLLFLDFTPNPNVFVYNTMISAVSSSKNECFGLYSSMIRYRVSPDRQTFLHLMKASSFLSEVKQIHCHIIVSGCLSLGNYLWNSLVKFYMELGSLGFAEKVFAIMPQPDVSSFNVMIVGYAKQGFGLEALELYYKMVSDGIEPDEYTLLGLLVCCGHLSDIRLGKGVHGWIERRGPVYSSNLILRNALLDMYFKCKESGLAKRAFDALKKKDMRSWNTMVVGFVRLGDMEAAQAVFDQMPQRDLVSWNSLLFCYSKKGCDQRAVRELFYEMLIVEKVKPDRVTMVSLISGAANNGELSHGRWVHGLMIRLQLEGDAFLSSALIDMYCKCGLIERAFMVFKTATEKDVPLWTSMITGFAFHGYGQQALQLFKRMQEEDVTPNKVTLLAVLTACSHSGLVEEGLHVFYHMKEKFGFHPETEHYGSLVDLLCRAGRLEEAKDIVQNKMPMRPSQSMWGSILSACREGEDIETAELALSELLKLEPEKEGGYVLLSNIYATVGRWGYSDKTREAMESRGVKKTAGYSSVVGVEGVHSFVAAEKQNHPRWTEIKRILQHLHNEMKSKIGSLDLLGT >fgenesh2_kg.3__447__AT3G04770.1 pep chromosome:v.1.0:3:1659242:1660753:-1 gene:fgenesh2_kg.3__447__AT3G04770.1 transcript:fgenesh2_kg.3__447__AT3G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein SA [Source:UniProtKB/TrEMBL;Acc:D7L2Y4] MAANGGAAAAGKLSEKEADIQMMLSADVHLGTKNCNYQMERYVFKRRNDGIYIINLGKTWDKLQMAARVIVAIENPKDIIVQSARPYGQRAVLKFAQYTGANAIAGRHTPGTFTNQMQTSFSEPRLLILTDPRTDHQPIKEGALGNIPTIAFCDTDSPMGFVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTILRAQKWDVMVKSRNTYHSMFLQHQKKDVDLFVLCRWICFSTGSPKKQSKTMMKNMEWLVVTSGPLLKSLMLHGLVNPNSRFLLHLQLAVSFLLLLDGTLLLFQLLVGSKSSLHFSLARIRLGED >fgenesh2_kg.3__448__AT3G04780.1 pep chromosome:v.1.0:3:1666177:1667995:-1 gene:fgenesh2_kg.3__448__AT3G04780.1 transcript:fgenesh2_kg.3__448__AT3G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAESASQIPKGQVDLLDFIDWSGVECLNQSSNHSLPNALKQGYREDEGLNLESDADEQLLIYIPFNQVIKLHSFAIKGPEEEGPKTVKFFSNKEHMCFSNVNDFPPSDTAELTEENLKGKPVVLKYVKFQNVRSLTIFIEDNQSGSEVTKVQKIALYGSTVETTDMKGLKKIEDH >fgenesh2_kg.3__44__AT3G01710.2 pep chromosome:v.1.0:3:134655:136611:1 gene:fgenesh2_kg.3__44__AT3G01710.2 transcript:fgenesh2_kg.3__44__AT3G01710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L9M0] GDSLGALGQSVSFGRFMSEKLDWEKWSAFSTHNPYVAEAERYSKPGSVAQKKAFFEAHYKKLAAARKAAAEEALLLQQQIPKPQPVQEEDINGGGNKDWLVSKPDLETSGRSLDDSAEMKFLAGEEGVFRGNRQSDEKENCGLAESEINAKVSSTGGEHVDEEKPILMSVKKKSKNSQQSKSSTKSRVYKYNSSERTPSQKSSNKSSSYTFTPAKEFNRLVSIIRKIDGSRASSKPTKDCKTPLRTPSSNKVSAKGIADDSLSSPLSSNRRGKIAPDSSAKTGRGRWNFLPAETPSCFTPFGLRTEERAERRKKKLEEKFKAMEPQNQKAEERSVEKEESKLRQRLCFKAKPLPNFYKQRPKSTDQTKKALLQ >fgenesh2_kg.3__451__AT3G04810.2 pep chromosome:v.1.0:3:1671907:1675974:1 gene:fgenesh2_kg.3__451__AT3G04810.2 transcript:fgenesh2_kg.3__451__AT3G04810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENYEVLEQIGKGSFGSALLVRHKHEKKLYVLKKIRLARQTGRTRRSAHQEMELISKIHNPFIVEYKDSWVEKGCYVCIIIGYCKGGDMAEAIKKTNGVHFTEEKLCKWLVQLLMALEYLHANHILHRDVKCSNIFLTKDQDIRLGDFGLAKVLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCMYEMTAMKPAFKAFDMQGLINRINRSIVPPLPAQYSAAFRGLVKSMLRKNPELRPSAAELLRQPLLQPYIQKIHLKVNDPGSNVLHAQWPESESARRNSFPEQRRRPAGKSHSFGPSRFRGNQEDSVSSIKKPAPAYLTRERQVDLSTDESGDGTVIRRTSEASKSSRYVPVRASASPVRPRQPRSDLGQLPVSSQIKNRKPAALIRRASMPSSRKPAKEIKDSLYISKTSFLHKINSPDVSMNAPRIDKIEFPLASYEEEPFVPVVRGKKKKASSRGSYSPPPEPPLDCSITKDKFTLEPGQNREGAIMKAVYEDAYLEDRSESSDQNATAGVSSRASSGVRRQRFDPSSYQQRAEALEGLLEFSARLLQDERYDELNVLLRPFGPGKVSPRETAIWLSKSFKETTTNKLED >fgenesh2_kg.3__453__AT3G04820.1 pep chromosome:v.1.0:3:1675928:1680646:-1 gene:fgenesh2_kg.3__453__AT3G04820.1 transcript:fgenesh2_kg.3__453__AT3G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridylate synthase [Source:UniProtKB/TrEMBL;Acc:D7L2Z0] MKLSRTVLSSLPSLLKPYSSLSWTTTIATTAKTFEGMTTVDEADVGISCFISQLPGFRGILKQRSYADFIVNEVDIDGNVVHLTSLDLPPEMDVKEEDKTKTSDNVPQNYTSAIESFRSISSVTDAERLETLINQVTSGTDDEISPVVLDPSSDKSKRTAIHNFVKENFKFLVTDTVDGPDSTSKCIRVRVNSGAHNNRGNRSKKRKERGDKPFDSRGSEHWPEHVGKFLRFHLYKENKDTQEALGLIGKMLGVQPKSFGFSGTKDKRSVSTQRVTVFKQQASKLAALNKRLFGIKVGDFCHVKEGLLLGQLMGNRFTITLRGVVADSEETIKKSAESLGKDGFINYFGLQRFGSGSVPTHHVGAALLKGEWKDAECSNAWHVVNDAREYYKETGDIDGTLRQLPRYLVAERAILQCLKKCPGNYLQALKGIPRTLRMMYVHSYQSYLWNNAASLRVTKYGTSQVVLGDLVSTKVDAEKRMVDSLTSEHNESSEEALDCDQVDDTAVVDLPAERSDLVKVVSIEDLEAGTYLTSDIVLPLPGSRVIYPSNDIAEIYHDLAKKDGISLTESIHGVKEFSITSMTGGYRRVFQKPIDFEWELLTYTDSNKPLAETDLDRITVYKPVDKVGSAEEIEDEPLKSDTNPHESCETNLKDQTDSKEDEKDTRNPDSEQTQMALKMALTLPSSCYATMAIRELLKTSTSVAYHKTLN >fgenesh2_kg.3__455__AT3G04830.1 pep chromosome:v.1.0:3:1680980:1684039:1 gene:fgenesh2_kg.3__455__AT3G04830.1 transcript:fgenesh2_kg.3__455__AT3G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7L2Z1] MVTKTEETQLNQLENQVENGGGGVWEYLCLVRKLKVRRSEIVLKHGLSILNDSGKRSALGPDEWTLYEQVAIAAMDCQSLGVAQNCIKALQKKFPESKRVGKLEALLLEAKGMWEEAEKAYSSLLEDNPLDQVIHKRKVAMAKAQGKPSLAIEHLNKYLEVFMADHDAWRELAEIYVSLQMYKQAAFCYEELILTQPTLPLYHLAYADVLYTMGGLENLIAARKYYAATIDLTGGKSTRALLGICLCGSAIAQLSKGRNREDKDMAAPELQSLAATALEREYKQKAPAKLNLLTCALRNLKIA >fgenesh2_kg.3__456__AT3G04840.1 pep chromosome:v.1.0:3:1684400:1686285:1 gene:fgenesh2_kg.3__456__AT3G04840.1 transcript:fgenesh2_kg.3__456__AT3G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3a [Source:UniProtKB/TrEMBL;Acc:D7L2Z2] MAVGKNKRISKGRKGGKKKAVDPFSKKDWYDVKAPSNFTKRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQVDEDNAYRKIRLRAEDVQGRNVLCQFWGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDNYTLRLFCIAFTKRRANQVKRTCYAQSSQIRQIRRKMRDIMIKEASSCDLKDLVAKFIPEAIGREIEKATQGIYPLQNVFIRKVKILKAPKFDLGKLMDVHGDYTAEDVGVKVDRPADEMAVEEPTEIIGA >fgenesh2_kg.3__458__AT3G04860.1 pep chromosome:v.1.0:3:1696074:1697512:-1 gene:fgenesh2_kg.3__458__AT3G04860.1 transcript:fgenesh2_kg.3__458__AT3G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCSGENGVQIADSSSSSSAGRNAQNLVICIYRCRIRGRTCMITVTWTKNLMGQCVTVGVDDSCNRSLCKVEIKPWLFTKRKGSKSLEAYACSIDVFWDLSSAKFGSSPEPLGGFYVGIVVDKEMVLLLGDMKKEAFKKTNAAPSSSLGALFIAKKEHVFGKRTFATKAQFSGDGKTHDLVIECDTSISDPCLIVRVDGKTLMQVQRLHWKFRGNDTIIVNRISVEVLWDVHSWFFGMPSSPGNAVFMFRTCQSVEKTWSFTQVPTSSKSQSFGFSLILYAWKNE >fgenesh2_kg.3__459__AT3G04870.2 pep chromosome:v.1.0:3:1702123:1744490:1 gene:fgenesh2_kg.3__459__AT3G04870.2 transcript:fgenesh2_kg.3__459__AT3G04870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeta-carotene desaturase [Source:UniProtKB/TrEMBL;Acc:D7L2Z6] MASSIVFAATPATGFLSQPSLKSRRFYVNSSLDSDVSDMSVNAPKGLFPPEPVPYKGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYDSRTFIGGKVGSFVDRRGNHIEMGLHVFFGCYNNLFRLMKKVGADKNLLVKDHTHTFINKDGTVGELDFRFSVGAPIHGIRAFLVTNQLKPYDKLRNSLALALSPVVKALVDPDGAMRDIRNLDSISFSDWFLSKGGTRASIQRMWDPVAYALGFIDCDNMSARCMLTIFSLFATKTEASLLRMLKGSPDVYLSGPIKQYITDRGGRIHLRWGCREILYDKSADGETYVTGLAISKATNKKIVKADVYVAACDVPGIKRLLPKEWRESRFFNDIYELEGVPVVTVQLRYNGWVTELQDIELSRQLKRAVGLDNLLYTPDADFSCFADLALASPADYYIEGQGTLLQCVLTPGDPYMRMPNDKIIEKVAMQVTELFPSSRSLEVTWSSVVKIAQSLYREAPGKDPFRPDQKTPIKNFFLAGSYTKQDYIDSMEGATLSGRQASSYICDTGEELAELNKKLSSSATAVPDELSLV >fgenesh2_kg.3__464__AT3G04900.1 pep chromosome:v.1.0:3:1747433:1748025:-1 gene:fgenesh2_kg.3__464__AT3G04900.1 transcript:fgenesh2_kg.3__464__AT3G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L2Z9] MNLQCCEDFPSRIKKLLRKVKGVYAITIDPVKGLILVSGTAEPSVLIKAVAKIGQSPQLYAYEKDPATAKTRFRTLLKRYATNKGQDEPSSPAPVTATNPVETCPAGGGTFRGFGYPGPPTMMQMPAFTLPPRMGPPGWLAPSAKPRLMVKYEEPKVTTRKPPAPYPFDFYENLGFPPSDSLFNYFSDENAQPCTIM >fgenesh2_kg.3__468__AT3G04920.1 pep chromosome:v.1.0:3:1766007:1767403:1 gene:fgenesh2_kg.3__468__AT3G04920.1 transcript:fgenesh2_kg.3__468__AT3G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S24 [Source:UniProtKB/TrEMBL;Acc:D7L303] MAEKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRANVSKAELKEKLARMYEVKDPNAIFVFKFRTHFGGGKSSGFGLIYDTVESAKKFEPKYRLIRNGLDTKIEKSRKQIKERKNRAKKIRGVKKTKAGDPKKK >fgenesh2_kg.3__469__AT3G04930.1 pep chromosome:v.1.0:3:1767975:1769827:1 gene:fgenesh2_kg.3__469__AT3G04930.1 transcript:fgenesh2_kg.3__469__AT3G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription regulator [Source:UniProtKB/TrEMBL;Acc:D7L304] MTSDHRHADFSLESPDPEEGGVGDGGESDTDEDLRDNDDVVMPEANEAEAEDDDPEEEDLNSPSLAMVSTISATAVVSRKPTATSSTGAVTVALPAGSAVPVSVIPVDSDPKWHRMTEIVHQRPPIDDSRRLFQRLWTDEDEIELLRGFLDYMTTHRGSSSHPPDTAPFYEQIKSKLQLDFNKNQLVEKLRRLKKKYRNVMSKISSGKEVFFKSPHDQSTFEISRKIWNQTGKIIGFEDNNVMDFEETNNHHNTNGNYSTFNSPSSNPSLELDSENGVEKKLTMSSSSVSRKRSRSRIGKIEEDNKPIITPSDGQIPNAASNVNLNETAAAVGIGGNLGVLIEETVKNCVSPVIKEMMNGTTSMMMAAMGGGFPGGGGGHGFGSLSPMFTRPLNFGFGVEGGGNKAVADERWRKQQILELEVYSRRLELVQEQIRTTLNELKTMPSGV >fgenesh2_kg.3__46__AT3G01700.1 pep chromosome:v.1.0:3:136628:137369:-1 gene:fgenesh2_kg.3__46__AT3G01700.1 transcript:fgenesh2_kg.3__46__AT3G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L9M1] LKKKKMARQFVVFALLALAVATAFAADAPSAAPTASPTKAPTTKAPAAAPKSSAAAPKASSPVAEEPTSEDDYSAATPSDSAEAPTVSSPPAPTPEADGPSTDGPSSDGPTAAESPKSGATTNVKLSIAGTVAAAGFFIF >fgenesh2_kg.3__473__AT3G04950.1 pep chromosome:v.1.0:3:1776524:1778177:1 gene:fgenesh2_kg.3__473__AT3G04950.1 transcript:fgenesh2_kg.3__473__AT3G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSRALKYIFRLRPEPISSSSFSAYSLSRITGGALIPSQHRSITSTAPLNGWMDSIKGVFTGNKDTPLEESNLPVEAFTLLRFADELKNARRLGKFKQYIVGRSSEATFSDAFEKQEAVIRYLGALDATGENLQASQKQDAAKHCKCTITDVENTLAKFTWARQAHKKMAELKEGGKPLPKNMGELQKMMGSTPMDLARSNLAKSGQISRNALCPCGSKKRYKRCCGKD >fgenesh2_kg.3__474__AT3G04960.3 pep chromosome:v.1.0:3:1778610:1780981:1 gene:fgenesh2_kg.3__474__AT3G04960.3 transcript:fgenesh2_kg.3__474__AT3G04960.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L310] SFNILSTERTKFRSMEPANSSPLPRGTPEQSEDQSRGILRVSAYDLTVVDSDLEGLDQKKKDMRKMFNQIQDKTSLILQFSLKWEEIDEKFGFLKQRAMEVSLKEESVRNQILELEKKEERLRLVEEREREIEASISALQEKENDSDLILFMEANVMRLVLQMQFEEVVVSQLNAQEKFLGLLHDSMMKKHEELMTELEARKNEVALISKTIDDKTCDLEMKVKDFDLKQIAESERMRKETEVMETSLKQLEARENELRLLNETIQEKSIELEKKEVNFQLKQEAAARETEAKNKFLELKEKKLEQREKDLELKQREIEERAIEAETRKRTRLEYESPLSTEKGRDGETLILPGKKQVQRREAHEVVCIDEDEPFTCPDPDFHEFNNTISSFAVGQVWALYDPIDDMPRYYAQIRKVLKPQMGLRVTWLESVQTSENEEPIPACGRFKHGESESETRSHLMFSHEMYCIKRGKNVTINPRKGETWALFRDWTKTWKRHSEQHKSPYRYDFVEILTEFDSDRGIGVGYLGRVEGFTSLYKHAEQNGLVKIMVSCDEMLKFSHRVPSFKMTGDDKEGVPAGSFELDPAAVPREYLKDAKVKKEKIEPIVLV >fgenesh2_kg.3__479__AT3G04980.1 pep chromosome:v.1.0:3:1784726:1788208:-1 gene:fgenesh2_kg.3__479__AT3G04980.1 transcript:fgenesh2_kg.3__479__AT3G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L312] MEAGDFVGAQKFVTKAQRLFPNLENIVQMITICDVHSSAIKKIKGLDDWYGVLQVQPFADADTIKKQYRKLALLLHPDKNKFAGAEAAFKLVGEANRLLSDQIKRSQYDNRYRSHSMLANKHVHAYSGRHCEATNSDAENIASVYTFWTRCRHCGQWYKYLREYMNTVMYCSSCRKSYVACNMRCDGVPPSSSTAGRKEFQYRGMYNTSRQNASTGAESGGSAAEMGKNGTAGEKLNKKNQEKQKKGAANREPKKDEGCTENDAEGRTPQNSETATNNSAEIPKTDVLKPQHQVKEPHTSAAKSIPDLSAPKKNQTAKKKRKAVEESSKSFEVDSSAGAKTDTYVYNKRKSSRKKPQVFCSKGGSDGDCVSPPNKKTKSACEFESEFNTKQTAEDNQSSELADSGVSSASSHAYKGKAKKNEHSGNEDILSCKNKVSEGCDGNGEDAALLSKIGRVEKGYKANENHNPLDVPDLEFSVFDVEWKTEDFAVNQVWSTTTDSRDGMPRKYAQIKNVLNGEFKLRITYLDPVLGNNDEIIPVACGKFKYGTTKEVEDRSIFSGQMHNLHCNEIVSIYPRKGEIWAIFREWNAEWNTSLKKHKLPYKYDFVEIVSDFHDLNGVGVAYLGKLKGSVQLFHWEAQNGICQIQFTPKDMLRFSHKVPAVKITGKEKESVPPNSYELDPAALPKDIFQFSAVDMEMDSEIMKGKADGPYKVGSKAKPVPETAPSPRKRRKSDDDNGVCSNLGEVIGGSNRSHIFSSSEVDEKNTPTKSRKDAEATDVFKLRKSPRLQTIPSQQGDEKKCVKQGNKMNIPKKMDKGLVTDSLGVDEKNTPNKSRKNGEATDVFKLRKSPRLQTIPSQQGDEMKSAKQGNKMNTPKKTDKGLVTDSLGVGKSPNGIHQPAESQEGESSKKQGCNGEIPSLSKQNDLPTQLGGSMYESPNTTHVSPNCKTPRRNASDFKNLRSEDKFGIDQIWAIYRNDNRMPSEYVKIKKIETKPKFVIRGTPTELYPPSTEPVTRTVSCGEFKLLKGRPKIFPHASFSHQVKPFDSSKKFIVKVYPRKGDIWALYKNCDSTEEPDIVEVVEDNCDGEIVKVVALTAIGSSFQRKQGSNVGLIDIPKAEMSRFSHQIPAIRQPKRATRLVEGGYYWELDPIAI >fgenesh2_kg.3__47__AT3G01690.1 pep chromosome:v.1.0:3:137630:139472:-1 gene:fgenesh2_kg.3__47__AT3G01690.1 transcript:fgenesh2_kg.3__47__AT3G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKFAFFPPSPPSYKVVTDELTGLLLLSPFPHRENVEIVKLRTRRGTEIVGMYVRHPMATSTLLYSHGNAADLGQMYELFIELSIHLKVNLMGYDYSGYGQSTGKPSEHNTYADIEAVYKCLEETFGSKQEDVILYGQSVGSGPTLDLASRLPQLRAVVLHSPILSGLRVMYAVKKTYWFDIYKNIDKIPYVDCPVLIIHGTWDEVVDCSHGKQLWELCKDKYEPLWVKGGNHCDLEHYPEYMRHLKKFIATVERLPCRRVSSDQSERKSMDRRVKPRQSTERREREREKPPKSQSKKSSSKLKISFDHLDRSRRSVDCHEKTRKSVDQIERGRKSVDRVRSE >fgenesh2_kg.3__484__AT3G05020.1 pep chromosome:v.1.0:3:1819270:1820616:-1 gene:fgenesh2_kg.3__484__AT3G05020.1 transcript:fgenesh2_kg.3__484__AT3G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:D7L320] MATQFSASVSMQTSCLATTRISFQKPALISNHGRTNLSFNLRRSIPSRRLSVSCAAKQETIEKVSEIVKKQLSLTPDKKVVAETKFADLGADSLDTVEIVMGLEEEFNIQMAEEKAQKIATVEQAAELIEELIKEKK >fgenesh2_kg.3__486__AT3G05030.1 pep chromosome:v.1.0:3:1821135:1824467:-1 gene:fgenesh2_kg.3__486__AT3G05030.1 transcript:fgenesh2_kg.3__486__AT3G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:D7L321] MTMFASLTSKMLSLSTSDHASVVSLNLFVALLCACIVIGHLLEENRWMNESITALLIGLCTGVVILLISRGKNSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFVTIMAFGAIGTIVSCTIISLGAIQFFKKLDIGTFDLGDFLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLTHLNHEAAFQFLGNFFYLFLLSTVLGVATGLISAYVIKKLYFGRHSTDREVALMMLMAYLSYMLAELFALSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATLSFLAETFIFLYVGMDALDIEKWRFVSDSPGTSVAVSSILMGLVMLGRAAFVFPLSFLSNLAKKNQSEKINIKQQVVIWWAGLMRGAVSMALAYNKFTRSGHTELRGNAIMITSTITVCLFSTMVFGMLTKPLIRYLMPHQKATTSMLSDDNTPKSIHIPLLDGEQLDSFELPGSHQDVPRPNSLRGFLMRPTRTVHHYWRQFDDAFMRPVFGGRGFVPFVPGSPTERSTHDLSKP >fgenesh2_kg.3__487__AT3G05040.1 pep chromosome:v.1.0:3:1828176:1841643:-1 gene:fgenesh2_kg.3__487__AT3G05040.1 transcript:fgenesh2_kg.3__487__AT3G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSSTASNVARAILAVVDYSSTSDTRKSAVEFLDSIKSGDVRVLANTSFHLVKKEWSSEIRLHAFKMLQHLVRLRWDELSPPECRDLVNVSVELMSEVANACENWPLKSQSAALVAEIVRREGPDVWQKIFTLLTSLSAQGPLQAELVLMTLRWLPEDITIYNDDLEGDRRRLLLRGLTQSLPEILPLLYNLLERHFGAAMSEAGRQQYDLAKQHADVVIACLNAIIAYTEWAPVPDLARYGILSGCSFLLSSPDFRLHACEVFKLVCSRKRPSDASNAEFDSAISNLFQILTNASRELLCRSSSSSSVIDENDYDFAVCLCESMASLGSTNLQCISSDGGVMAVYLQQMLGFFQHFKLGLHFEALLFWLSLMRDLLPKPKAAAYPSGGGSSTGGVDSSSQVDSEKKKTLSLINDDISSVILDVSFQRMLKKEKVPTGIALSLGPLELWSDEFEGKGDFGPYRSKLLELIKLTASHKPLISSTKISERVITLIKHLLASPAPLQDVAVMDSQQLALDCIVATLFDGSNEFAGGSSEVHYALRGIFEGLLQQLLSLKWNEPELMKVHVHYLDAMGPFLKYFPDAVGSVINKLFELLTSLPHVVKDPATSTSRAARLQICTSFIRIAKAAEKSVLPHMKGIADTMGYLTKKGTLLRGEHNILGEAFLVMASSAGAQQQQEVLAWLLEPLSQQWIQPEWQNNYLSDPMGLVRLCSNTSFMWSIFHTVTFFEKALKRSGYRKSNLNTTSVTTPASHPMAHHLSWMLPPLLKLLRVLHSLWSPSVFQTLPPELRAAMTMTDAERCSLLGEANPKLSKGTSVYADGSFDGNKEGQVEASESDIRNWLKGIRDCGYNVLGLSTTIGETFFKCLDPNYVAMALMENLQSMEFRHIRLFIHTFITYIVKSCPADMWESWLGVLLHPLFIHCQQALSSAWPGLLQEGRAKVPDLFGIQSGSDMKLEVMEEKLLRDLTREIATLFSTMASPGLNTGVPVLEHSGHVGRVDMSTLTDLHAFRSNSMVGFLLNHKSVALPALQICLETFTWTDGEATTKVCYFCGVVVLLAKLTNNVELREFVSKDMFSAVIRGLGMESNAINSPDLVNICREIFIYLSDRDPAPRQVLLSLPCLTPNDLHAFEEAAAKTTSPKEQKQLMRSLLLLGTGNNLKALAAQKNLNVITNVTARSRLPASASETIGAGVLWEEELLQ >fgenesh2_kg.3__48__AT3G01680.1 pep chromosome:v.1.0:3:140707:143908:-1 gene:fgenesh2_kg.3__48__AT3G01680.1 transcript:fgenesh2_kg.3__48__AT3G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIKSQHAQQLAGHKNTTGKTPSMEMIPATGLAMSSDENMMLKLIQQTHSPDAREVQVRGLLSLVEDILDRATLDSEDTNASMLPLPTEDKLMQSSMMSVLDSVSYAIDRVACEIAYKSLTGSDAHEITMSVFEHLSSFHWDGKLVLTLAAFALNYGEFWLLVQFYSKNQLAKSLAMLKLVPVQNRVTLESVSQGLNDLIREMKSVTACVVELSELPDRYITPDVPQLSRILSTIPIAVYWTIRSVVACISQINMITAMGHEMMNTQMDLWETSMLANKLKNIHDHLAETLRLCYRHIEKQRSSESLKVLHSLFNTTHIDNMKILTALIHPKPHITPLQDGLTKRKVHLDVLRRKTVLLLISDLNILQDELSIFEQIYTESRRNLVGVDGKSHMPYEVVWVPVVDPIEDFERSPILQKKFEDLRDPMPWYSVDSPKLIERHVVEFMRGRWHFMNKPILVVIDPQGNEASLNALHMIWIWGTEASPFTRSREEELWRRETFSLNLIVDGIDSVIFNWITPDNYIFLYGGDDLDWIRRFTMAAKATAKDSNVNLEMAYVGKRNHSHREQIRRISEAIRSENLSHSWAEPALMWFFWTRLESMLYSKIQLGKADDQDDVMQGIKKILSYDKVGGWALLSKGPEIVMIAHGAVERTMSAYDRTWKTHVPTKGYTKAMYDHHHDEVLRETGKPCGHFDFHITARSGQIPEKMMCFECQRPMEKYMSFSCCHDEKLHEDENYNF >fgenesh2_kg.3__491__AT3G05070.1 pep chromosome:v.1.0:3:1849697:1851408:1 gene:fgenesh2_kg.3__491__AT3G05070.1 transcript:fgenesh2_kg.3__491__AT3G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDESIEQKAAARKEALNALRAAQELSETKEDGEDEAVEEDGPAMKFRNYVPQAKELQDGKLAPPELPKFEDPILALPPAVEKKEDPFVNIAPKKPNWDLRRDVQKKLDKLERRTQKAMYKLMEEHEKERETAEADGNTIES >fgenesh2_kg.3__492__AT3G05090.2 pep chromosome:v.1.0:3:1851532:1857367:-1 gene:fgenesh2_kg.3__492__AT3G05090.2 transcript:fgenesh2_kg.3__492__AT3G05090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7L3Q2] MHRVGSAGSNGGSVRTRKEKKLTYVLNDANDTKHCAGINCLDVLKSSVSNDQSYLFTGSRDGTLKRWAFDEDATFCSATFESHVDWVNDAALAGESTLVSCSSDTTVKTWDGLSDGVCTRTLRQHSDYVTCLAVAAKNSNLVASGGLGGEVFIWDIEAALSPVTKPNDANEDSSSSNGVNVPVTSLRTVGSSNNISVQSSPSHGYTPTIAKGHKESVYALAMNDTGTMLVSGGTEKVLRVWDPRTGSKTMKLRGHTDNVRVLLLDSTGRFCLSGSSDSMIRLWDLGQQRCLHTYAVHTDSVWALACTPSFSHVYSGGRDQCLYLTDLATRESVLLCTKEHPIQQLALQDNSIWVATTDSSVEKWPAEVQSPQKVFQRGGSFLAGNLSFNRARVSLEGLNPPPAYKEPSITVPGTHPIVQHEILNNKRQILTKDAAGSVKLWDITRGVVVEDYGKISFEEKKEELFEMVSIPSWFTVDTRLGCLSVHLETPQCFSAEMYSADLKVSGRPEDDKINLARETLKGLLGHWLAKKKHKPKPQVLISGDTLSVKDTKKNLSASKAEDSSAASDPVYPPFEFSSVSPPSIITEGSQGGPWRKKITEYTGTEDEKDFPLWCLDAVLNNRLPPRENTKLSFFLHPCEGSSVQVVTLGKLSAPRILRVHKVTNYVVEKMVLDNPLDSLALDATSVSGGQPQPLFAGNGLLQSGLKPWQKLRPSIEILCNNQAKNSFHGSQLTVLSPDMSLATVRAYIWKKPEDLILNYRVAIAR >fgenesh2_kg.3__496__AT3G05120.1 pep chromosome:v.1.0:3:1866388:1868708:1 gene:fgenesh2_kg.3__496__AT3G05120.1 transcript:fgenesh2_kg.3__496__AT3G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATGID1A/GID1A [Source:UniProtKB/TrEMBL;Acc:D7L3Q5] MAASDEVNLIESRTVVPLNTWVLISNFKVAYNILRRPDGTFNRHLAEYLDRKVTANANPVDGVFSFDVLIDRRINLLSRVYRPAYADQEQPPSVLDLEKPVDGDIVPVILFFHGGSFAHSSANSAIYDTLCRRLVGLCKCVVVSVNYRRAPENPYPCAYDDGWIALNWVNSRAWLKSKKDSKVHIFLAGDSSGGNIAHNVALKAGESGINVLGNILLNPMFGGNERTESEKSLDGKYFVTVRDRDWYWKAFLPEGEDREHPACNPFSPRARSLEGLSFPKSLVVVAGLDLIRDWQLAYAEGLKKAGQEVKLMHLEKATVGFYLLPNNNHFHNVMDEISAFVNER >fgenesh2_kg.3__498__AT3G05140.1 pep chromosome:v.1.0:3:1871535:1874270:-1 gene:fgenesh2_kg.3__498__AT3G05140.1 transcript:fgenesh2_kg.3__498__AT3G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L3Q6] MNHTTKHKRTNAFLLNSASAHDLRCLEVEKEKQDPKSPRGALEACLTRSSISSSSSDDPPPNREASDNADADTDAQCKNHRASSNWGKFFKLWKRRSMKRLSSFPPLSGAAPSISKSNKTHIDGMVLHDIYDFQSSLHNFSISDIEIATDNFSPENIIGRGGYAEVYQGILPEGKLIAVKRLTKGTPDEQTAEFLSELGIIAHVDHPNTAKFIGCCIEGGMHLVFRLSPLGSLGSLLHGPSKYKLTWSRRYNVALGTADGLVYLHEGCQRRIIHRDIKADNILLTEDFQPQICDFGLAKWLPKQLTHHNVSKFEGTFGYFAPEYFMHGIVDEKTDVFAFGVLLLELITGHPALDESQQSLVLWAKPLLEKKAIRELVDPSLGDEYNREELIRLTSTASLCIDQSSLLRPRMSQVVELLLGHEGVVMTPREAKIKMMQRTYSEELLDSVEYNSTKYLGDLDRIREIALAS >fgenesh2_kg.3__499__AT3G05150.1 pep chromosome:v.1.0:3:1879377:1882813:1 gene:fgenesh2_kg.3__499__AT3G05150.1 transcript:fgenesh2_kg.3__499__AT3G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7L3Q8] MARTKDDMEKMNDKAGPLLLPENGSDVSEETSWMVYLSTIIAVCGSYEFGTCVGYSAPTQFGIMEELNLSYSQFSVFGSILNVGAVLGAITSGKISDFIGRKGAMRLSSVISAIGWLIIYFAKGDVPLDFGRFLTGFGCGTLSFVVPVFIAEISPRKLRGALATLNQLFIVIGLASMFLIGAVVNWRTLALTGVAPCVVLFFGTWFIPESPRWLEMVGRHHDFEIALQKLRGPHTNIRREAEEIQEYLASLAHLPKATLWDLIDKKNIRFVIVGVGLMFFQQFVGINGVIFYAQQIFVSAGASPTLGSILYSIEQVVLTALGATLLIDRLGRRPLLMASAVGMLIGCLLIGNSFLLKAHGLALDIIPALAVSGVLVYIGSFSIGMGAIPWVIMSEIFPINMKGTAGGLVTVVNWLSSWLVSFTFNFLMIWSTHGTFYVYGGVCVLAIIFIAKLVPETKGRTLEEIQAMMM >fgenesh2_kg.3__49__AT3G01670.1 pep chromosome:v.1.0:3:146274:149535:-1 gene:fgenesh2_kg.3__49__AT3G01670.1 transcript:fgenesh2_kg.3__49__AT3G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRFQLNPKTLPTADPLKRVSLIPRSAEQKLADNSGERRPLAPRTHEDSPFGDHTDDHNVSAPADHNKVMDHETEKLGSIVPKTANHPHPSEDVLDANIRHSMVPKSLGHNSLGGRFGPGKKQAFHRNGRPMFSLSDDRVMADRVLKTHSPDMIFFDVKSLLSVVDDIFKSHVPSVDDSAPKPTLVFKDYADHTSFETFADVIDQISCEIDCKCLHGGESHGMMTSGLHLDSRNTTTFSVLSLVSKYRWDAKLVLVLAALAVKYGVFLLLAETHATNQLTKSLALIKQLPSIFSRQNALHQRLDKTRLLMKEMVALTTTIIEIYQLPPNHITTAFTDHVPTAVYWIVRCVLICVSHLSGASGFRQDQIMSFMEVSEIHENSERLRKINDYLKEQLRKSRLTIEDGIIEEEYQELIQTFTTIIHVDVVPPLLRLLRPIDFLYHGAGVSKRRVGINVLTQKHVLLLVSDLENIEKELYILESLYTEAWQQSFEILWVPVQDFRTEADDAKFEALHMNMRWYVLGEPRKLRRAAIRFVREWWGFKNRPILVALDPKGQVMSTNAFPMVWIWQPFAHPFTTARERDLWSEQEWNLEFLIDGTDPHSLNQLLDGKYICLYGGEDLQWIKNFTSLWRNVAKAANIQLEMVYVGKRNPKNGILPIINTIRDENISHTLPDLFQIWFFWTRIESMWESKQRMLKARGIKGREGFKEEEKDLVLQEVVAMLGYGGEGDGWGLVSKASDLMVRAKGNLFSRGLSEFNEWEVNIPTKGFLTALNDHLLMRLPPHHCTRFMLPETAGIIPNEVECTECRRTMEKYYLYQCCLE >fgenesh2_kg.3__4__AT3G02065.2 pep chromosome:v.1.0:3:4410:6388:-1 gene:fgenesh2_kg.3__4__AT3G02065.2 transcript:fgenesh2_kg.3__4__AT3G02065.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box helicase family protein [Source:UniProtKB/TrEMBL;Acc:D7L9I3] MNEEGCIPHNSDVVKQKSIDQRAPLPGEPKCVICSRYGEYICDETNDDVCSLECKQTLLRRVDKATVFPATDECFYVRDPGSSFPDAQLLRRKLDIHVQGQEATVPPPVLTFASCGLPPKLLLNLETAGYDFPTPIQMQAIPAALSGNSLLASADTGSGKTASFLVPIISRCTTYRSEHPSDQRNPLAMVLAPTRELCVQIENQAKVLGKGLPFKTALVVGGDPMSGQLYRIQQGVELIIGTPGRVVDLLVKHAIELDNIMTFVLDEVDCMLQRGFRDLVMQIFQALSQPQVLLFSATVSREVEKVGGSLAKEIILVSIGNPNKPNKAVNQLAIWVDAKQKKQKLFDILRSQNHFKPPAVVYVSSRVGADLLANAITVVTGVKALSIHGEKPMKERRDVMGSFLGGDVPVLVSTGVLGRGVDLLVVRQVIVFDMPSTIKEYIHVIGRASRMGEKGTAILFVNEEDRNLFPDLVAALKSSGAAIPKELINLTSKEMHNKKRRVGY >fgenesh2_kg.3__503__AT3G05165.2 pep chromosome:v.1.0:3:1895326:1900191:-1 gene:fgenesh2_kg.3__503__AT3G05165.2 transcript:fgenesh2_kg.3__503__AT3G05165.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEENRSMEEGLLRHENDRDDRRITACVILSTFVAVCSAFSYGCAAGYTSGAETAIMKELNLSMAQFSAFGSFLNVGGAVGALFSGQLAVILGRRRTLWACDFFCIFGWLSIAFAKNVFWLDLGRISLGIGVGLISYVVPVYIAEITPKHVRGAFTASNQLLQNSGISLIYFFGTVINWRVLAVIGAIPCILQMIGIFYIPESPRWLAKIGLGKDVESSLHRLRGKDANVSGEAAEIQVMTKMLEEDSKSSFSDMFQKKYRRTLVVGIGLMLIQQLSGASGITYYSNAIFRKAGFSERLGSMIFGVFVIPKALVSLILVDRWGRRPLLLASAIGMSIGSLLIGVSFTLQQMNVYFGCFAFGIGGLPWVIMSEIFPINIKVSAGTIVALTSWTSGWFVSYAFNFMFEWSAQGTFYIFAAVGGMSLIFIWMVVPETKGQSLEELQASLTGTT >fgenesh2_kg.3__505__AT3G05170.1 pep chromosome:v.1.0:3:1904121:1905986:1 gene:fgenesh2_kg.3__505__AT3G05170.1 transcript:fgenesh2_kg.3__505__AT3G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate/bisphosphoglycerate mutase family protein [Source:UniProtKB/TrEMBL;Acc:D7L3R3] MSPDNKLLPKRIILVRHGESEGNLDTTAYTTTPDHKIQLTDSGLLQAQEAGARLHALISSNPSSPEWRVYFYVSPYDRTRSTLREIGRSFSRRRVIGVREECRIREQDFGNFQVKERMRATKKVRERFGRFFYRFPEGESAADVFDRVSSFLESLWRDIDMNRLHINPSHELNFVIVSHGLTSRVFLMKWFKWTVEQFEGLNNPGNSEIRVMELGQGGDYSLAIHHTEEELATWGLSPEMIADQKWRVNAHKGEWKEDCKWYFGDFFDHMADSDREYETEANEEKEEEEEEEEEEEGKRVNLLTSSEYSNEPELYNGKCC >fgenesh2_kg.3__507__AT3G05190.1 pep chromosome:v.1.0:3:1909389:1913500:1 gene:fgenesh2_kg.3__507__AT3G05190.1 transcript:fgenesh2_kg.3__507__AT3G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase class IV family protein [Source:UniProtKB/TrEMBL;Acc:D7L3R5] MAEPEVIHSWSAPRSLSTSLMYSFAQRDDTEVVDEPLYAAFLKATGVDRPYRDEVLSKMECSGDKVVKDVIYGSGSKKYRYCKHISKQRLFGLSSELMRKGKHFILIRNPLNILPSFEKVHPPSFLELGLGELVSIYSDLCQMGTPPAVIDADELQRDPETTLRGLCDDLEIPFQASMLKWKAGPIPEDGVWAPWWYKSVHESTGFSSPKKYPRTFPLSHYDLLEQSLPLYNILRSHVKHSSSLLSSPLPAPSLPVPENAKLLAWVGDEILPREMAKVSVFDSVVQGGDSVWEGLRIYKGKIFKLEEHLDRLFDSAKALAFENVPAREEIKEAIFKTLITNGMFDNTHIRLSLTRGKKVTSGMSPAFNRYGCTLIVLAEWKPPVYDNDGGIVLVTATTRRNSPNNLDSKIHHNNLLNNILAKIESNNTNAADAIMLDKDGYVSETNATNIFMVKKGCVLTPHADYCLPGITRATVMELVVKENFILEERRISLSEFHTADEVWTTGTMGELSPVVKIDGRVIGDGKVGPVTRTLQNAYKKLTEDSGVPIPTYQEP >fgenesh2_kg.3__508__AT3G05200.1 pep chromosome:v.1.0:3:1914769:1916547:1 gene:fgenesh2_kg.3__508__AT3G05200.1 transcript:fgenesh2_kg.3__508__AT3G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSDHTPFSGVLPIVFLLILSSADLAASQSQPGPANQPYNYGRLSPAMAVIVVILIAALFFMGFFSIYFRHCSGVPDAGVSPAGGARSRATVNAAARGLDASVVETFPTFLYSDVKTQKLGKGELECAICLNEFEDDETLRLLPKCDHVFHPHCIDAWLEAHVTCPVCRANLAEQVAEGESVEPGGTEPDLELQQVVVNPEPVVTAPVPEQVVTSEVDSRRLPGVPVDLKRVKFSRSHTTGHSVVQPGECTERFTLRLPEDVRKRIMREWKLNRTNSLLVLPRGGSSRRGKPIDRSRARSDRWLFRKTPSFLWRSRDDGSIRLGATGSVRANAVPNSTGGDSVRAGDRWAFLRNASFLWRNSSVHVPRGGVNKDGEGTSVKSTGASGSTSGSMRLPV >fgenesh2_kg.3__509__AT3G05210.1 pep chromosome:v.1.0:3:1918691:1920964:1 gene:fgenesh2_kg.3__509__AT3G05210.1 transcript:fgenesh2_kg.3__509__AT3G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEDDDGEKSRSLHQQIAKKPKTQIIIGVPSYQEVIESSQTKSTPPSLFKPSQSFSQAFAFVKSSDVYSPPPSSSAASSSSQPPGASQVPNSSQPLQTDGASSSSTPVATGSLPSNTTQTRNAILVSHRQKGNPLLKHIRNVKWVFSDIIPDYVLGQSSCALYLSLRYHLLHPDYLYFRIRELQKNFKLSVVLCHVDVEDTVKPLLEVTKTALLHDCTLLCAWSMTECARYLETIKVYENKPADLIQGQMDTDYLSRLNHSLTSIRHVNKSDVVTLGSTFGSLAHIIDASMEDLARCPGIGERKVRRLYDTFHEPFKRATSSYPSVVEPTIPEAPVQKNVSSKEPVVEDEDFVEDSRKRKKKEPEKTVKTALSAVFARYSDKLSKKKEKQKEKDATTESDAEIHQD >fgenesh2_kg.3__511__AT3G05230.1 pep chromosome:v.1.0:3:1932169:1938344:1 gene:fgenesh2_kg.3__511__AT3G05230.1 transcript:fgenesh2_kg.3__511__AT3G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7L3S0] MHTFGYRANALLTFAVTALAFICAIASFSDKFSNQNPSAEIQILNINRFKKQSHGNDEVSLTLDISADLQSLFTWNTKQVFVFVAAEYETPKNSLNQVSLWDAIIPAKEHAKFRIQVSNKYRFIDQGQNLRGKEFNLTLHWHVMPKTGKMFADKIVMPGYSLPDAYR >fgenesh2_kg.3__513__AT3G05250.1 pep chromosome:v.1.0:3:1940324:1942152:1 gene:fgenesh2_kg.3__513__AT3G05250.1 transcript:fgenesh2_kg.3__513__AT3G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7L3S2] MAAKEIAGGSGSIDDGSDFDPCPICLGPFLHDSYLDTCFHKFCFNCIKQWIKVVSSKASKQLSSVKCPLCKTENFSIIHNYDGCSFDRHYINRNIPDGFVLTKEQRYRLQCYYTESGFLADVFDVSRFWKLQKFLQPNRCLEAWLRRELQALMQEEDVDIVMHHLVGVMDSFCKRIKQRRKLEARNAETTNQEQFKAAVSEAARPFVMVRTDRFVDELELFLAAGLNMEAYDAIYKQNRREIGAASEEREEVEEHNVRTRVTPYLFIFEEDSD >fgenesh2_kg.3__514__AT3G05260.1 pep chromosome:v.1.0:3:1942203:1943788:-1 gene:fgenesh2_kg.3__514__AT3G05260.1 transcript:fgenesh2_kg.3__514__AT3G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7L3S3] MASGFPPQKQETQPGIQHVMEPTPEFSSSNYKPSNKLHGKVALVTGGDSGIGKAVCHCYALEGASVAFTYVKGREDKDADETLRLLHEVKTREAKEPIMIATDLGFEENCKRVVEEVVNSFGRIDVLVNCAAEQHEVSIEDIDEARLERVFRTNIFSQFFLVNYRYALKHMKEGSSIINTTSVVAYAGHSSLLEYTATKGAIVSFTRGLALQLAPKGIRVNGVAPGPVWTPLITASFSEEAIKQFGSETPMKRAAQPVEVAPSYVFLACNHCSSYYTGQILHPNGGLIVNA >fgenesh2_kg.3__517__AT3G05280.1 pep chromosome:v.1.0:3:1950236:1952080:-1 gene:fgenesh2_kg.3__517__AT3G05280.1 transcript:fgenesh2_kg.3__517__AT3G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:D7L3S4] MMSGGNYTTIDSQKVSGSVPSVPDPGHTTVKFTESNLQTFPPSATQGKISGGSNPPRDADDTFSGHGNGSTDEPQSGGWLHKFTVGAYKPFFDVDTSDVVERLKESLFPFRGTFTEKTADKPDLYGPFWICTTLIFVAASIGTFVTYIAHKWKKQEWNYDINLVTWSAGVFYGYVTIVPLALYVVLKYFSAPSGLVQLFCLYGYSLFVFIPALVPNLSVVPVEIFRWVIAGVAGFMSATFVALNLKAHINSAGERSILIIASIFLLQLALAVVLKLYIFNVKV >fgenesh2_kg.3__518__AT3G05290.1 pep chromosome:v.1.0:3:1952318:1954033:-1 gene:fgenesh2_kg.3__518__AT3G05290.1 transcript:fgenesh2_kg.3__518__AT3G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7L3S5] MGVDLESVSEATSGAIGSLLSTTILYPLDTCKSKFQAEVRARGQQKYRYLSDVMWEAISKGQVLSLYQGLGTKNFQSFISQFIYFYSYSYFKRVHSERTGSKSIGTKANLLIAAAAGACTSVLIQPLDTASSRMQTSEFGESKGLWKTLTEGTWGDAFDGLVISLLLTSNPAIQYTVFDQLKQHLLKQKNAKAENGSSPVVLSAFMAFVLGAVSKSVATVLTYPAIRCKVMIQAADESKENETKKPRRRTRKTIPGVVYAIWRKEGMLGFFKGLQAQILKTVLSSALLLMIKEKITATTWILILAIRRTLFLTNTKGKLKSP >fgenesh2_kg.3__520__AT3G05310.1 pep chromosome:v.1.0:3:1956909:1960099:1 gene:fgenesh2_kg.3__520__AT3G05310.1 transcript:fgenesh2_kg.3__520__AT3G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase [Source:UniProtKB/TrEMBL;Acc:D7L3S7] MWMGVGDYSGSPKPIRIVVVGEKGSGKSSLIMAAARNTFHPNIPSLLPYTNLPSEFFPDRIPATVIDTSSRPEDKGKVLKEVKQADAIVLTFAFDRPETLDRLSKHWLPLFRQLEVRVPIIVAGYEVDSKEAYNQTSIEQITSPMMQRYREVETSIQWSAQRLNQATKVLYYAQKAVIHPVGPVFNQETNSLKPRCIAALKRIFLLSDHNVDGILSDAELNELQKKCFDTPLVPCEINQMKKAMQVKFPQGVNERGLTLDGFLFLNTRYIEDARIQTLWTMLRKFGYNNDLRLGDDLIPYSSFKREADQSVELTNVAIEFLREVYEFFDNDCDNNLEPHETGYIFETAPESPWTEAPYKDVTEETKDGGLSLEAFLSLWSLMTLIDPARSLEYLMYIRFPYDPSSAIRVTRKRVLDRKEKKSERKVVQCFVFGPKNAGKSAFLNHFIGRSYDDDSNNNNGSTDERYAVNMVKESGVVANTDKTLVLKEIRIQEDGFMLSNKALAACDVAIFIYDSSDESSWNRAIDLLAEVATIGKDAGYVFPCLMVAAKTDLDPFPMAIQESTRVTQDIGIDAPIPISSKLGDFGNLFRKILTAAEHPHLNIPAIESKKKRSCKLINRSLMAVSIGTAALIAGLASFRLYTARKLS >fgenesh2_kg.3__521__AT3G05320.1 pep chromosome:v.1.0:3:1960289:1961719:-1 gene:fgenesh2_kg.3__521__AT3G05320.1 transcript:fgenesh2_kg.3__521__AT3G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPLSCKNLRVFSKSVACKCLVLVGIALFYRALLLSYSPRNALSNSLLFRARHMSDSSSTGGIRTDKFLEVPQIVWGLNNQKIAFARACLTARMMNRTLLMPSLSASLFYKEVDKLRPIPFDKVFQLERFNSLCSGFVRLARFSDVRNRAQVFDLEKGSGRRWTVERDLEHLKQSARNESIDEFEVIRVIGKNPFLWHDHWPVEDYAKVFECMVVVDEISREADKVVMKIREAGEAERAKLKSKTEIPGPIPFVAVHMRIEIDWMIHCKKLEQRKKVSEICSCKREIMERVGNISGLKTPTVLYLAVADTLLEEKEEDSSVLTGWRDGLIPFEKKKLGVKEEIYGKYSYLLQSAIDYEVCLRADVFVGNSFSTFSSLIVLERTQKARKLGFMSSCKDGENKWRSYAYNLAGESKGVPRRWMTNMTHSSLQAISYGSNSVSCSSG >fgenesh2_kg.3__522__AT3G05327.1 pep chromosome:v.1.0:3:1965355:1966490:-1 gene:fgenesh2_kg.3__522__AT3G05327.1 transcript:fgenesh2_kg.3__522__AT3G05327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRNSTNSSLFQWLGLLEDSDHPPDSTHPRVITLLASILEKMIQKNKKPFHIRHNKDDEITMFHASKAPTMSIYRYTERIHRYAQCSPACFVAAFAYILRYLQRPEATSTARRLTSLNVHRLLITSFLVAAKFLDRKCYNNAYYAKIGGVSTEEMNRLERTFLFDIDFRLNITTETFEEHCLMLQKETVPCDSRKLRTVLGEIACSCQAI >fgenesh2_kg.3__525__AT3G05360.1 pep chromosome:v.1.0:3:1996432:2014824:-1 gene:fgenesh2_kg.3__525__AT3G05360.1 transcript:fgenesh2_kg.3__525__AT3G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSQSCSFFGSVVTFYFFLLGSLVLRTLASSRLHYCRHDQRDALLEFKHEFPVTESKRSPSLSSWNKSSDCCFWEGVTCDAKSGDVISLDLSYVVLNNSLKPTSGLFKLQQLHNLTLSDCYLYGEITSSLGNLSRLTHLDLSSNLLTGEVLASVSKLNQLRDLLLSENSFSGNIPTSFTNLTKLSSLDISSNQFTLENFSFILPNLTSLSSLNVASNHFKSTLPSDMSGLRNLKYFDVRENSFVGTFPTSLFTIPSLQVVYLEENQFMGPINFGNISSSSRLQDLNLAHNKFDGPIPESISEIHSLILLDLSHNNLVGPIPTSMSKLVNLQHLTLSNNKLEGEVPGFLWGLITVTLSHNSFSSFGKSLSGVLDGESMYELDLGSNSLGGPFPHWICKQRFLKFLDLSNNLFNGSIPPCLKNSNYWLKGLVLRNNSFSGILPDVFVNATMLLSLDVSYNRLEGKLPKSLINCTYMELLNVGSNIIKDTFPSWLGSLPSLRVLILRSNAFYGSLYYDHIFIGFQHLRLIDISQNGFSGTLSPLYFSNWREMVTSVLEENGSNIGTEDWYMGEKGPEFSHSNSMTMIYKGVETDFLRIPYSFRAIDFSGNKFFGNIPESIGLLKELRLLNLSGNAFTSNIPQSLANLTSLETLDLSRNQLSGHIPRDLGSLSFLSTMNFSHNLLEGPVPLGTQFQSQHCSTFKDNLRLYGLEKICGTTHVPNSTPRESEEFSEPEEQVINWIAAAIAYGPGVFCGLVIGHIFFTSHKHEWFMDKFHRNKRRVVTISTR >fgenesh2_kg.3__529__AT3G05390.1 pep chromosome:v.1.0:3:2022614:2024459:-1 gene:fgenesh2_kg.3__529__AT3G05390.1 transcript:fgenesh2_kg.3__529__AT3G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRACLPRWRLGRLMAGLQVILGLLVITVSLSCLFRFHSAGYFLHNEDVCNNIYTIKEVSNEGFDIKALHDRVDEVLEKMDNLYEKLEKTVKEMEKSKDGSKKEMKKFLEDEVMKPFYYAHIGLRQIRLPKPEGIRNSTEKEEPLINKFLIEEIRQYITPKENRVGKINMFGTERVYNTIGHACALMKIELEKYMDYDVGAYCDDDWNLAQKLMLNGCDPLPRRRCLTRASMTYQKPYPINESLWKLPDDRNVRWGNYQCRNFACLSSKNPKRGYTKCSGCFEMEKEGDKWVKNSTLLVDFMIEDVLRVKPGEIRLGLDYGVGTGTFAARMREKNVTIVTTALNLGAPFNEMIALRGLIPLYLSLNQRLPFFDNTMDMIHTAGLMDGWIDLLLMDFVLYDWDRVLRPGGLLWIDRFFCKKKDLDDYMYMFLQFRYKKHKWAVSPKSKDEVYLSALLEKPPRAI >fgenesh2_kg.3__52__AT3G01640.1 pep chromosome:v.1.0:3:156226:158149:-1 gene:fgenesh2_kg.3__52__AT3G01640.1 transcript:fgenesh2_kg.3__52__AT3G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GHMP kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L9M7] MDPNSTLSGEGQAMAAIEHRSFARIGFLGNPSDVYFGRTISLTIGNFWASVKLEPSQHLVIKPHPFHDLVQFTSLDHLLNRLQNEGYYGGVRLLMAICKVFRNYCKDNGIQLHQGNFSLSYDTNIPRQTGLSGSSAIVSAALNCLLDFYNVRHLIKVQVRPNIVLSAEKELGIVAGLQDRVAQVYGGLVHMDFSKEHMDKLGHGIYTPMDISLLPPLHLIYAENPSDSGKVHSMVRQRWLDGDEFIISSMKEVGNLAEEGRTALLNKDHSKLVELMNLNFDIRRRMFGDECLGAMNIEMVEVARRVGAASKFTGSGGAVVVFCPEGPSQVKLLEEECRKSGFMLQPVKIAPSCLNDSDIQTL >fgenesh2_kg.3__530__AT3G05400.1 pep chromosome:v.1.0:3:2025912:2030346:1 gene:fgenesh2_kg.3__530__AT3G05400.1 transcript:fgenesh2_kg.3__530__AT3G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKNMEKGLLLAKKEETANTTPLLIFSTFIIVSASFTFGAAIGYTADTMSSIMSDLDLSLAQFSLFGSLSTFGGMIGAIFSAKAAAAFGHKMTLWVADLFCITGWLAIALAKNIIWLDMGRFLVGIGVGLISYVVPVYIAEITPKHVRGAFTFSNQLLQNCGVAVVYYFGNFLSWRTLAIIGSIPCWIQVIGLFFIPESPRWLAKKGRDKECEEVLQKLRGRRYDIVPEACEIKISVEVSKQNSNINIRSLFKKRYAHQLTIGIGLMLLQQLCGTAGISSYGSTLFKLAGFPARIGMMVLSLIVVPKSLMGLILVDRWGRRPLLMTSAFGLCLSCITLAVAFGVKDVPGIGKITPIFCFIGILSFTMMFAIGMGALPWIIMSEIFPMDIKVLAGSLVTIANWFTGWIANYGFNFMLVWSPSGTFIISAIICGATIVFTWCLVPETRRLTLEEIQLSFVNV >fgenesh2_kg.3__531__AT3G05420.2 pep chromosome:v.1.0:3:2035095:2040643:1 gene:fgenesh2_kg.3__531__AT3G05420.2 transcript:fgenesh2_kg.3__531__AT3G05420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA binding protein 4, acyl-CoA-binding domain 4 [Source:UniProtKB/TrEMBL;Acc:D7L3U2] MAMPRATSGPAYPKRFYAAASYVGLDGSDSSAKNVSSKFSDDTALLLYALYHQATVGPCNTPKPSAWRPVEQSKWRSWQGLGTMPSIEAMRLFVKILEEDDPGWYSRASNDIPDPVVDVQINQRTKDEPVVENGNSFGETKTISTENGRLAETQDKDVVSEDSNTVSVYNQWTAPQTSGQRPKARYEHGAAVIQDKMYIYGGNHNGRYLGDLHVLDLKNWTWSRVETKVATDAQETSTPTLLAPCAGHSLIAWDNKLLSIGGHTKDPSESMQVKVFDPHTSTWSMLQTYGKPPVSRGGQSVTLVGKTLVIFGGQDAKRSLLNDLHILDLDTMTWDEIDAVGVSPSPRSDHAAAVHAERYLLIFGGGSHATCFDDLHVLDLQTMEWSRPAQQGDAPTPRAGHAGVTIGENWFIVGGGDNKSGASESVVLNMSTLSWSVVASVQGRVPLASEGLSLVVSSYNGEDVLVAFGGYNGRYNNEINLLKPSHKSTLQTKTLEAPLPGSLSAVNNATTRDIESEVEVSQEGRVREIVMDNVNPGSKVEGNSERIIATIKSEKEELEASLNKERMQTLQLRQELGEAELRNTDLYKELQSVRGQLAAEQSRCFKLEVDVAELRQKLQTLETLQKELELLQRQKAASEQAAMNAKRQSSGGVWGWLAGSPQEKDDDSP >fgenesh2_kg.3__535__AT3G05460.1 pep chromosome:v.1.0:3:2057171:2057997:1 gene:fgenesh2_kg.3__535__AT3G05460.1 transcript:fgenesh2_kg.3__535__AT3G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVSFQLLLLVSLLVLVFRHDLVEGRTMHTNRGSGDYHDHPCNPSDPKCDKPCNPENPNCRMKQGVIEPNRGNGYLHDDPPCNPNDPKCDKPCDPENPNC >fgenesh2_kg.3__538__AT3G05480.1 pep chromosome:v.1.0:3:2073174:2076203:1 gene:fgenesh2_kg.3__538__AT3G05480.1 transcript:fgenesh2_kg.3__538__AT3G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint control protein family [Source:UniProtKB/TrEMBL;Acc:D7L3V1] MEFSISGNGLKTLARSIICLARVGNELVVQASPTQLALHTLNASRSAYQCITFQPSFFDVYTVSGPQAHFSLLLKAVCSVLRTPLASIDHMSVQLPDHDASKVKWTLQCYSGMKKTYWITCNVEPDIQHLSLDRGRFPSTLVVHPRNLSKLLGNFQSSLQEITIIATDQTSFPSDAASEIGGKSVEFRSYVDPTKDGDALLHTQLWIDPSEEFLQYTHAGDPVDITFSLKELKAFLAFCEGCEADIHLFFEKAGEPILMAPKFGLGDGSSSSFDATLVLATMLVSQLQEGIPAEPPEAANSTGGHAAEQVGSQPQERSRQNASVHPSDHTRVWSELSGTATKSVNGTEDRPQAQGQPDLDIQRIRNMEISKGGPAGDTAPAAPNSQRPTQIDHAEGSRVRVQNQSFSQHHPSNWVDANEEEDDDEEGVEATPPHNEDY >fgenesh2_kg.3__539__AT3G05490.1 pep chromosome:v.1.0:3:2080451:2081049:1 gene:fgenesh2_kg.3__539__AT3G05490.1 transcript:fgenesh2_kg.3__539__AT3G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRAIYAVIAILAIVISAVESTGDFGDSLDFVRTGSSSLFSGCTGSIAECIAEEEEMEFDSEISRRILAQKKYISYGAMRRNSVPCSRRGASYYNCQRGAQANPYSRGCSTITRCRR >fgenesh2_kg.3__53__AT3G01630.1 pep chromosome:v.1.0:3:158391:160335:-1 gene:fgenesh2_kg.3__53__AT3G01630.1 transcript:fgenesh2_kg.3__53__AT3G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQPPQPPPVNSMLHWWRRWTVLVAAIWIQAFTGTNFDFSAYSSDMKSSMGVSQSRLNYMAVASDLGKALGWSSGFAIAYFPVPGVLFAAAAMGLVGYGVQWLAIADVIDLPYSLVLVCCSLAGLSICWFNTVCFILCIRHFEANHSLALSLVVSFNGISAALYTLGHETISGKSSASSDIYLLLNSLIPLIVSVLALWPVLTNPSSSESDTRRTHDETRVFVVFNVLALVTCFYLLLPSSGTYLASSPRWHFLGAIFLLLFPLCVPFLDYIHRALESCFHHHSSGYAVVNIEEPKILKSQKVNVEEECNTVRLGDEHSLGMLVRRLEFWLYYVAYFCGGTIGLVYSNNLGQIAQSLGQSSSNAKSLVTLFSAFSFLGRLLSSAPDFTRKKLDYLTRTGWFTISLLPTPLAFFILAYSPKTNQTALLEVATALIGLSSGFVFAAAVSITSDLFGRNSVGVNQNILITNIPIGSLFYGYMAGSVYDTNASLGRKSVVSDSVVCVGSKCYFVTFLFWGCLSVLGFVCSVFLFIRTRAVYHRLEFLNRM >fgenesh2_kg.3__540__AT3G05500.1 pep chromosome:v.1.0:3:2082735:2084335:1 gene:fgenesh2_kg.3__540__AT3G05500.1 transcript:fgenesh2_kg.3__540__AT3G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubber elongation factor family protein [Source:UniProtKB/TrEMBL;Acc:D7L3V3] MATQTDLAQPKLDMTKEEKERLKYLQFVQAAAVEALLRFALIYAKAKDKSGPLKPGVESVEGAVKTVVGPVYEKYHDVPVEVLKYMDQKVDMSVTELDRRVPPVVKQVSAQAISAAQIAPIVARALASEVRRAGVVETASGMAKSVYTKYEPAAKELYANYEPKAEQCAVSAWKKLNQLPLFPRLAQVAVPTAAFCSEKYNDTVVKAAEKGYRVTSYMPLVPTERISKIFAEEKAETEPLEFHPLD >fgenesh2_kg.3__542__AT3G05520.1 pep chromosome:v.1.0:3:2087710:2090095:1 gene:fgenesh2_kg.3__542__AT3G05520.1 transcript:fgenesh2_kg.3__542__AT3G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha subunit of F-actin capping protein [Source:UniProtKB/TrEMBL;Acc:D7L3V5] MADEEDELPETELSYDQRKEIAKWFLLNAPAGEINYVAKDLKAVLSDEEVYNEAAMEAFPVYNKSHMICLEMPSRAGDVIVSSYSEITENEYLDPRTAQVAIVDHVKQICTKVRPANDEELPSLYIEEYRYALDAEIQRYVSESYPKGMCAVNCVKGKDTEGPGSDFELVVIITAMRLSPQNFCNGSWRSVWNIDFQDESQVLDIKGKLQVGAHYFEEGNVELDAKKDFQDSTIFQSADDCAMAIANIIRHHETEYLASLEVAYSKLPDNTFKDLRRKLPVTRTLFPWQNTLQFSLTREVEKELGLGK >fgenesh2_kg.3__545__AT3G05545.1 pep chromosome:v.1.0:3:2099126:2102501:1 gene:fgenesh2_kg.3__545__AT3G05545.1 transcript:fgenesh2_kg.3__545__AT3G05545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNKGNKFNFGDNDLADEGSAADGDSRDEGDGFGSVACSICLETVVKNGDRAWANLQCDHQFHLDCIGSAFNAKGVMQCPNCRKVEKGQWLYANGCRTYPEFSVEDWVHEEDIYDIGAYSELSFGVHWCPFGSSARLPSFEDGEFSPGSYHDLLGQQGYYTEPAAPTAGHPCPYVTYFGPVHSSSSSSGGAAGVSDSSSFSSHWNTGSSVSGEVPTPYGFPVDPHYHGWDYHPPPPPPPQHFSASGPHVGSPTQPTPPPAAARTSRTNGSDVIRPRPPHFTRPFHGHSSSGRAGSSVASVPRTPPFPGSNARTRDRMQALQAYYQQSSAQSHQPDSPIVSRGPVFPSGRRPARGIASGMGSTSSSSDQAGGSGFIRFNIWERDPYMQSQQAYSINQMDREPNIWTSSFNEGSGSFHQRHGGGGGSS >fgenesh2_kg.3__54__AT3G01620.1 pep chromosome:v.1.0:3:160611:162342:1 gene:fgenesh2_kg.3__54__AT3G01620.1 transcript:fgenesh2_kg.3__54__AT3G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7L9M9] MSDGYCNSKKTDTICEHVCGQEGSRAGKVMSRLRCVLRGLDFKTFLFLFTLLPVFIFGIYLHGQKITYFLRPLWESPPKPFHILPHYYHANTSMEMLCNLHGWKLRESPRRVFDAVLFSNEIDMLTLRWNELNPYITQFVLLESNSTFTGLSKPLAFADNREKNFQFAESKLTYGHVGGRFKKGENPFVEESFQRLALDQLIKLAGIKEDDILIMSDVDEIPSSHTINLLRWCDGFPPILHLQLRNYLYSYEYYVDSKSWRASVHLYKPGKTRYAHFRQSDNLLTDSGWHCSFCFRHINDFVFKMKAYSHTDRVRFLHYLNPRRIQDVICKGTDLFDMLPEEHTFREIIGKLGPIPRSYSAVHLPGYLIQNANNYKYLLPGNCKREFG >fgenesh2_kg.3__551__AT3G05590.1 pep chromosome:v.1.0:3:2113461:2114891:1 gene:fgenesh2_kg.3__551__AT3G05590.1 transcript:fgenesh2_kg.3__551__AT3G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLIAGGKSKKTKRTAPKSDDVYLKLTVKLYRFLVRRTQSKFNAVILKRLFMSKVNKAPLSLSRLVEFMTGKEDKIAVLVGTVTDDLRVHEIPAMKVTALRFTERARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNSREAVKHFGPAPGVPHSHSKPYVRGKGRKFEKARGRRKSRGFKV >fgenesh2_kg.3__552__AT3G05610.1 pep chromosome:v.1.0:3:2118230:2120722:-1 gene:fgenesh2_kg.3__552__AT3G05610.1 transcript:fgenesh2_kg.3__552__AT3G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7L3W6] MSYGYDDEAKRKRRYVVITISSVLLISMVVAVTVGVSLNKNDGDSEGKGEITASVKAVKDVCAPTDYRKTCEDTLIKNGKNTTDPMELVKTAFSVTMKQITDAAKKSQTMMELQKDPRTRMALDQCKELMDYALGELSNSFEELGKFEFHLLDEALINLRIWLSAAISHEETCLEGFQGTQGNAGETMKKALKTAIELTHNGLAIISEMSNFVGQMQIPGLNSRRLLAEGFPSWLDQRGRKLLQAAAAYSDVKPDIVVAQDGSGQYTTINEALQFVPKKKNTTFVVHIKAGLYKEYVQVNKSMTHLVFIGDGPDKTIISGNKNYKDGITTYRTATVAIVGNYFIAKNIGFENTAGAIKHQAVALRVQSDESIFFNCRFDGYQDTLYTHSHRQFFRDCTISGTIDFLFGDAAAVFQNCTLLVRKPLPNQACPITAHGRKDPREVTGFVFQGCTIAGEPDYLAVKETSKAYLGRPWKEYSRTIIMNTFIPDFVQPQGWQPWLGDFGLKTLFYSEVQNTGPGSALANRVTWAGIKTLSDEDILKFTPAQYIQGDTWVPGKGVPYTPGLLAGNPAAATTTPSGSAAPGFSTFTDTSGADSIAPAASPESSLAPESSIKIASSETASPESSIKVASTETASPESSIKVASTETASPESSIKVASTESSVSMVSMST >fgenesh2_kg.3__553__AT3G05620.1 pep chromosome:v.1.0:3:2124363:2126499:-1 gene:fgenesh2_kg.3__553__AT3G05620.1 transcript:fgenesh2_kg.3__553__AT3G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7L3W8] MGITGFLTALLLAMLMFVHTYSYETTVFKPYIEEKFRSLVAKACQFIDAHELCVSNIRTHIKESGHGLTPHSVLSAAVKEAHDKAKLAMEGIPTVSTLSIRSREQVAIEDCKELVGFSVTELAWSMLEMNKLHGGGGIDGGSHDAAAAGGNLKTWLSAAMSNQDTCLEGFEGTERKYEELIKGSLRQVTQLVSNVLDMYTQLNALPFKASRNESFTASPDWLTETDESLMMHHDPSAMHPNTVVAIDGKGKYQTINEAINEAPNHSTKRYVIYVKKGVYKENIDLKKKKTNIMLVGDGIGQTIITGDRNFMQGLTTFRTATVAVSGRGFIAKDITFRNTAGPQNRQAVALRVDSDQSAFYRCSVEGYQDTLYAHSLRQFYRDCEIYGTIDFIFGNGAAVLQNCKIYTRVPLPLQKVTITAQGRKSRNQNTGFVIQNSYVLATQPTYLGRPWKLYSRTVYMNTYMSQLVQPRGWLEWFGNFALDTLWYGEYNNIGPGWRSTGRVKWPGYHIMDKRTALSFTVGSFIDGRRWLPATGITFTAGLLAN >fgenesh2_kg.3__554__AT3G05625.1 pep chromosome:v.1.0:3:2126966:2128620:1 gene:fgenesh2_kg.3__554__AT3G05625.1 transcript:fgenesh2_kg.3__554__AT3G05625.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7L3W9] MSLTQIVNPIIYPSRTIPRRFTAISPFQTLNPQFSRHPPHALSRRLFLPSVSSIWDAITGGGDSNPREAIAAVRRGMQLFRQGDVAGSVAEFDRAIVLDPRQKAYLWQRGLSLYYVDRFEEGAEQFRIDVAQNPNDTEESIWCFICEARLHGVDVARKQFLEVGRDSRPVMREAYNLFKNGGDPEKLVNDFLSGQASEYFYASLYAGLYYEAEGKSENAKFHITAASGSPYGQRSDDYMASLAKVHCLSRNWSSGIV >fgenesh2_kg.3__555__AT3G05630.1 pep chromosome:v.1.0:3:2130166:2135420:1 gene:fgenesh2_kg.3__555__AT3G05630.1 transcript:fgenesh2_kg.3__555__AT3G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase [Source:UniProtKB/TrEMBL;Acc:D7L3X0] MSTDKLLLPNGVKSDGVMRRTRPDAAAAAAASYSLGGGSQIFDELPKAAIVSVSRPDTTDFSPLLLSYTLELQYKQFKWTLQKKASQVLYLHFALKKRLIIEDLHDKQEQVREWLHSLGIFDMQGSVVQDDEEPDDGALPLHYTEDSIKNRNVPSRAAFPIIRPTIGRSETVVDRGRTAMQGYLSLFLGNLDIVNSKEVCKFLEVSRLSFAREYGSKMKEGFVTVKHLREVPGSDSVRCCLPSHCFGFFGTSWTKVWAVLKPGFLALLEDPFSGKLLDIMVFDTLGLQSTKESSEQLRLAEQVKEQNPLRFGFKITSGDRTVTLRTTSSRKVKEWVKAVDEAGCYSPHRFGSFAPPRGLTSDGSQAQWFVDGHTAFEAIAFAIQNATSEIFMTGWWLCPELYLKRPFEDHPSLRLDALLETKAKQGVKIYILLYKEVQIALKINSMYSKKRLQNIHKNVKVLRYPDHLSSGIYLWSHHDKIVIVDYQVCFIGGLDLCFGRYDTAEHKIGDFPPYIWPGKDYYNPRESEPNSWEETMKDELDRRKYPRMPWHEVHCALWGPPCRDVARHFVQRWNHSKRNKAPNEQTIPLLMPHHHMVLPHYLGTREIDIIAAAKPEEDPDKPVVLARQDSFSSASPPQDIPLLLPQETDADFTSRGDLKFDSGSRQDLDRSGSQVEFPGETSEESDRDEAVNDWWWQIGKQSDCRCQIIRSVSQWSAGTSQSEDSIHRAYCSLIQNAEHFIYIENQFFISGLEKDDTILNRVLETLYRRILKAHEQNKCFRVVIVIPLLPGFQGGIDDFGAATVRALMHWQYRTISRERTSILDNLNALLGPKTQEYISFYGLRSYGRLFEDGPIATSQIYVHSKLMIVDDRIAVIGSSNINDRSLLGSRDSEIGVVIEDKEFVESSMNGVKWMAGKFSYSLRCSLWSEHLGLHPGEMQKIEDPIKDATYKDLWMATAKKNTDIYDQVFSCIPNEHIRSRAALRHNMSICKDKLGHTTIDLGIAPERLDSCGSDSWEMLKETRGHLVCFPLQFMCDQEDLRPVFNESEFYTAPQVFH >fgenesh2_kg.3__557__AT3G05640.2 pep chromosome:v.1.0:3:2135566:2138172:-1 gene:fgenesh2_kg.3__557__AT3G05640.2 transcript:fgenesh2_kg.3__557__AT3G05640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFSSMFNGIARSFSTKKAKNNNSKSYAKEATDEMAREAKKKELILRSSGCINADGSNNLASVFSRRGEKGVNQDSAIVWEGFGCQEDMIFCGIFDGHGPWGHFVSKQVRNSMPLSLLCNWKETLSQTTLAEPDKKLQRFAIWKYSFLKTCEAVDRELEHHRKIDSFNSGTTALTVVRQGDVIYIANVGDSRAVLATVSDEGSLVAVQLTVDFKPNLPQEEERIIGCNGRVFCLQDEPGVHRVWQPEEESPGLAMSRAFGDYCIKDYGLVSVPEVTQRHISIRDQFIILATDGVWDVISNQEAIAIVSSTEERPKAAKRLVQQAVRAWNRKRRGIAMDDISAVCLFFHSSSSSPSL >fgenesh2_kg.3__558__AT3G05660.1 pep chromosome:v.1.0:3:2158479:2161425:-1 gene:fgenesh2_kg.3__558__AT3G05660.1 transcript:fgenesh2_kg.3__558__AT3G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase/ protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7L3X2] MSLIPITIYFLFLFFYIFRDVLAVPNRHLCDPEQRNALLEFKKEFKIKKPCFGCPSPPKTKSWGNGSDCCHWDGITCDAKTGEVIELDLMCSCLHGWFHSNSNLSMLQNFRFLTTLDLSYNHLSGQIPSSIGNLSQLTSLYLSGNYFSGWIPSSLGNLFHLTSLRLYDNNFVGEIPSSLGNLSYLTFLDLSTNNFVGEIPSSFGSLNQLSVLRVDNNKLSGNLPHELINLTKLSEISLLHNQFTGTLPPNITSLSILESFSASGNNFVGTIPSSLFIIPSITLIFLDNNQFSGTLEFGNISSPSNLLVLQLGGNNLRGPIPISISRLVNLRTLDLSHFNIQGPVDFNIFSHLKLLGNLYLSHSNTTTTIDLNAVLSCFKMLISLDLSGNHVLVTNNISVSDPPSGLIGSLNLSGCGITEFPEILRTQRQMRTLDISNNKIKGQVPSWLLLQLDYMYISNNNFVGFERSTKPEESFVPKPSMKHLFGSNNNFNGKIPSFICSLHSLIILDLSNNNFSGSIPPCMGKFKSALSDLNLRRNRLSGSLPKNTMKSLRSLDVSHNELEGKLPRSLIHFSTLEVLNVGSNRINDTFPFWLSSLKKLQVLVLRSNAFHGRIHKTHFPKLRIIDISRNHFNGTLPTDCFVDWTAMYSLGKNEDRFTEKYMGSGYYHDSMVLMNKGIAMELVRILKIYTALDFSENKFEGEIPGSMGLLKELHILNLSSNGFTGHIPSSMANLRELESLDVSRNKLSGEIPKELGKLSYLAYMNFSHNQLVGPVPGGTQFQTQSASSFEENLGLCGRPLEECGVVHEPTPSEQSDNEEEQVLSWIAAAIGFTPGIVLGLTIGHMVISSKPHWFSKVVFYINNSHRRRRTRSEKP >fgenesh2_kg.3__559__AT3G05670.1 pep chromosome:v.1.0:3:2163471:2167572:1 gene:fgenesh2_kg.3__559__AT3G05670.1 transcript:fgenesh2_kg.3__559__AT3G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNTFWARNSNSKVRSKDKGSDESDEDYVISDEDEEESEADLKEEYASSVDGFDGSDAVEAEELDEVEEEDVMLRNVEWPKVKTGPRGNRKITGCKSRKMNQVVSDNEDVDLDDADDEEEEIRNSRKAVGKVGSLDGEKHSRIGLGKRRRVFYEIEDEDGDYPEEDGEEEEERDVENVDLNSLHDGEDGMMALEEQDNVSHETEKEDDGDYEDEDGDEDFTADEDVSLDEEEEEETIACNKKSLKVCNKNKRKRRSGEGRKRRKKCSVAKTRSTRGRKRHGKNTNRGVDEDDDDFVDDCLPARKKAKTKSSRPRRRRTVPSDSDIASSAESDYEYTISEEEREQIIEAGSLLRSSVKHASSIRQTTVNKDLPQLRKPPVKKGEKKVKLVKREVIKNVCGICLSEEDMRRLKGTLDCCSHYFCFTCIMEWSKVESRCPLCKQRFRTISKPARSTPGVDLREVVITVPERDQVYQPTEEELRSYLDPYENIICTECHQGDDDGLMLLCDLCDSSAHTYCVGLGREVPEGNWYCEGCRPVALGSASSQTHNTSEQQRVSGFYSRPSPLVVSGQYQDASLLVSPRTPFFNGENLFSPRLPNGDVQGSSPSGLGATTLSSRRTLHRHIQNIINSDRLINMGARTGGTSSDGFVTTQVGHGRTIDPSQPAANQVTGVSLYTISDERLPDNNSLISAHNPELLSPKLDEFGSQEAFRRLSNNTFLGERPIDLGLCHGLAQGDPLFGNQQHLHSYMPNTMSSMAGERLPQRVKAHLKNLSSQIDLGQTTFDEISTCSMHTILAACGLEHESSEVHLVPPPVTCTHHHMIPGSSSSSSSSSLMKGCCYSCFDSFVEDVVKMILDTRQPHWLRLGLH >fgenesh2_kg.3__560__AT3G05675.1 pep chromosome:v.1.0:3:2168448:2170540:-1 gene:fgenesh2_kg.3__560__AT3G05675.1 transcript:fgenesh2_kg.3__560__AT3G05675.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7L4L9] MEPRDNQAEASYTFGDRSSSDIVVRLRNEEGRDDWIYCHSKILSQKSQYFADRLSDKWPTCKILDSRYCVEVICQESDYDHHINLLRLLYLVSDGVHEDNLCHNVKSALGILCVAKELCCPQIVTACVNYLEAVPWEEGEEEEILRTVPRIGSEAEPILARLQPVDQSAVTEIFVSAFRFATSSPPLPLGDIKSSAQEQIEYMITEDDDAPLLIADEEVKLEVNECVKSLFGRFFQCLEETSFKPVESEVINKKGSFRMVLSDLSWAFQILTKMEVVRDFVITWVDISEKLVKVVEQLETTVAETVEIRVKVIEVTAKVIEAIGYGTVILPTAKRLEMVKLWLPFVRNTKPLVDSPVTEDEENGTVRYKIDGEIWQALESSFVSIILALPSADQAEILTEWLGKNGLYPDLTEAFEVWCYRSKVAKRRLGLLGGEEDENGMS >fgenesh2_kg.3__563__AT3G05690.1 pep chromosome:v.1.0:3:2190626:2193038:-1 gene:fgenesh2_kg.3__563__AT3G05690.1 transcript:fgenesh2_kg.3__563__AT3G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTVREGLFSAPQASWWTAFGSQPLAPESLAGDSDSFAGVKVGSVGETGQGVDKQSNSATHLAFSLGDVKSPRLVPKPHGATFSMQSPCLELGFTQPPIYTKYPYGEQQYYGVVSAYGSQSRVMLPLTMETEDSTIYVNSKQYHGIIRRRQSRAKAAAVLDQKKLSSRCRKPYMHHSRHLHALRRPRGSGGRFLNTKSQNSEKSGTNAKKADGSMQIQSQPKPQQSNSQNSEVVHPENGTMNLSNGLNVSGSEVTSMNYFLSSPVHSLGGMVMPSKWIAAAAGMDNGCSNFKT >fgenesh2_kg.3__564__AT3G05700.1 pep chromosome:v.1.0:3:2195806:2198237:-1 gene:fgenesh2_kg.3__564__AT3G05700.1 transcript:fgenesh2_kg.3__564__AT3G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSWSDRLASATRRYQLAFPPRSDTFLGFEEIDGEEEFREEFACPFCSDYFDSVSLCCHIDEDHPMEAKNVVCPVCAVRVGVDMVAHITLQHANIFKMHRKRKSRRGGSHSTLSILRREFPDGNFQSLFGGSSCIVSSSSSSNVAADPLLSSFISPIAEGFFTTESCISAETGSVKKPLTQSIPEGNAKIPSLSAEDHKQKLKRSEFVRELLSSTILDDGL >fgenesh2_kg.3__565__AT3G05710.2 pep chromosome:v.1.0:3:2198661:2201182:1 gene:fgenesh2_kg.3__565__AT3G05710.2 transcript:fgenesh2_kg.3__565__AT3G05710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTLLFRKYRSSLRSVRAPMGSSSSSTVTEHTSLTGAKSGLGPVIEMASTSLLNPNRSYAPVSTEDPGNSSRGTITVGLPPDWVDVSEEISVYIQRARTKMAELGKAHAKALMPSFGDGKEDQHQIESLTQEITFLLKKSEKQLQRLSAAGPSEDSNVRKNVQRSLATDLQNLSMELRKKQSTYLKRLRLQKEDGSDIEMNLNGSSYNAEDDDFDDMVFSEHQISKIKKSEEISVEREKEIQQVVESVSELAQIMKDLSALVIDQGTIVDRIDYNIQNVASTVDDGLKQLQKAERTQRQGGMVMCASVLVILCFIMLVLLILKEILL >fgenesh2_kg.3__568__AT3G05750.1 pep chromosome:v.1.0:3:2201691:2227156:1 gene:fgenesh2_kg.3__568__AT3G05750.1 transcript:fgenesh2_kg.3__568__AT3G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVERKRSRGGFLNMFDWPGKSRKKLFSSNSSSKLSEASKQEKQNAQNPSKSWPSPIEGDEIGKNSTYNPRSDSSCSTSTATSDDGQGSKAPSVIARLMGLESLPVPNALEPRSNPDFDPYFLRSSRKTSTWDAYENLGYVNLCSDYDGISWDHLDSRMNKECNRPIDRFQTETLPPRSAKPIPVTHNRLLSPIRSPGFVQSRNPASVMEAASRMIEPSPRIVAKTRFSSSDSSSSLPMRIRDLKEKLEASQKGQSPQVSNGTCNNKCFRGKQDEKRTTLSLKTQELNKLLGESRFGGSKVKVKPPSVSAHAKANTIHKRDSSMLSSGNRDQKKKVETKNRIVRNGLKESSASTRKTVDKPNNQKQNQFAETSVSNQRGSKVMKKVNKVLVESGTTTKKPGFTAISAEKSTASSLSRKKNLPRNKKSANGVQEAGVNSDKRMKKGEKLIKCNITVDGGLKSGDDDRKKDMDVISFTFSSPIKGLSSDSRSSIKKTDQDTESALSFNKIDSDSLNFLLEKKLRELTSKIESSCSSLTQEEESSGSITKDWVNGTISLPSDDLDNGLSESESVSDYSSSFYKNKIFQAEEDQEVDSFSNDENLQISCSTSFSNSRNEYLHGIEETELSESEEGHDWEVEYITEIIASGQLMVKEFSLGMATDILPLSLFDEIEGKRDARGKMERKTLFDLVNQCFTLKCEQMFMGSCKGVLLGKQDIFLERGEILAEEVKKEVQGLKKMREMMMMDELVDNDMSSCEGKWLDYKRETYEEGVEIEEEIVSELVDDLVNDLIMCF >fgenesh2_kg.3__574__AT3G05790.1 pep chromosome:v.1.0:3:2244515:2249691:-1 gene:fgenesh2_kg.3__574__AT3G05790.1 transcript:fgenesh2_kg.3__574__AT3G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7L4N4] MLKLLTPTASHHVTPAIRFRSTPVKSLLFKQLTLLTGWNRTSYELGRRAFSSELDSDAKSSATTTVSTKPHLDDCLTVIALPLPHKPLIPGFYMPIYVKDPKVLAALQESRRQQALYAGAFLFKDDASTDSSSSSETENILEKLKGKELLNRIHEVGTLAKISSIQGEQVILIGRRRLRITEMVSEDPLTVKVDHLKDKPYDKDDDVIKATYFQVMSTLRDVLKTTSLWRDQVRTYTQACSLHIWHSLRHIGEFNYPRLADFGAGISGANKHQNQGVLEELDVHKRLELTLELVKKEVEINKIQESIAKAVEEKFSGDRRRIILKEQINAIKKELGVETDNKSALSEKFRGRVDPIKDKIPEHVLKVIEEELKKLQLLETSSSEYDVTYNYLDWLTVLPWGKFSDENFDVLRAEKILDEDHYGLSDVKERILEFIAVGRLRGTSQGKIICLSGPPGVGKTSIGRSIARALDRKFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTENPLVLIDEIDKLGVRCHDGDPASAMLELLDPEQNANFLDHYLNVTIDLSKVLFVCTANVTDTIPSPLLDRMEVITLSGYITDEKMHIARDYLVKTARRDCGIKPEQVDVSDAALLSLIENYCREAGVRNLQKQIEKVFRKIALKLVRKGAASAEVPAISDDVTTDNGDTKSLAKTDLESPETSADGSTVLTDELATGDTKESKTEQSGESGEVAEKFMIDESNLSDYIGKPVFQAEKIYKQTPVGVVMGLAWTSMGGSTLYIETTFVEEGEGKGGLHITGRLGDVMKESAEIAHTVARRIMLEKKPENKFFANSKLHLHVPAGATPKDGPSAGCTMITSLLSLASKKPVRKDLAMTGEVTLTGRILAIGGVKEKTIAAKRSQVKVIIFPEANRRDFDELAENVKEGLEVHFVNEYEQIFELAFGYDH >fgenesh2_kg.3__575__AT3G05800.1 pep chromosome:v.1.0:3:2252009:2252816:1 gene:fgenesh2_kg.3__575__AT3G05800.1 transcript:fgenesh2_kg.3__575__AT3G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7L4N5] MSSEQGNGSNSSTSPEVEGTKTIPFRRRMQRAQRVLAPKLMEALRRSRISSEEAPAIHLSRRWRATTAQKVYSLKLYDALQRSRRSATVRDTADKVLATTARGVTRWSRAILVSRFGTSLRRRRNTKPAWAMAAAVRGSGGRRRRKVSAVGSRVRVLGGLVPGCRRTALPELLDETADYIAALEMQVRAMTALSKILSEFQPSTKLGSAL >fgenesh2_kg.3__576__AT3G05810.1 pep chromosome:v.1.0:3:2256301:2257411:-1 gene:fgenesh2_kg.3__576__AT3G05810.1 transcript:fgenesh2_kg.3__576__AT3G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTRLIQSARAALGTTHSSSTLGLPRFYSKPATYFVKVGIPEFLGGIGRGAETHIAKIETEIGDLHKLLVTRTLRLKKLGIPCKHRKLILNYGQKYRLGLWKPRADAIKS >fgenesh2_kg.3__579__AT3G05840.1 pep chromosome:v.1.0:3:2264994:2268185:1 gene:fgenesh2_kg.3__579__AT3G05840.1 transcript:fgenesh2_kg.3__579__AT3G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGIEPSAAVRDSTGNVTDVDRLPEEMKDMKIQDDKEMEATIVNGNVTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDRRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLVYVKLYTYQIFRSLSYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCAALDSLVHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGVPVEMVAKLVPEHARKQCPWLGL >fgenesh2_kg.3__589__AT3G05910.1 pep chromosome:v.1.0:3:2299049:2302276:-1 gene:fgenesh2_kg.3__589__AT3G05910.1 transcript:fgenesh2_kg.3__589__AT3G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:D7L4P5] MEKLLVVGFVVAGIILGTQAYEYLDFNVTEIDRIEELEFGFSKYSSNLNPLMVGLTLIRGADSGAVCLDGTLPGYHLHRGHGSGANSWLIQLEGGGWCNNIRTCVYRKTTRRGSSNYMEKQLQFTGILSDKAQENPDFFNWNRVKLRYCDGASFSGDGQNQAAQLQFRGERIWRAAIDDLKANGMRYANQALLSGCSAGGLAAILRCDEFRNLFPGSTKVKCLSDAGLFLDTADVSGGRTIRNLYNGVVELQSVKNNLPRICTNHLDPTSCFFPQNLISQMKTPLFIVNAAYDTWQIQSSIAPTSADPSGFWHDCRLNHGKCTPAQLRFLQGFRDQMLRVVRGFSMSRQNGLFINSCFAHCQTERQDTWFADDSPVIRKKAVAIAVGDWYFDRAEVKLVDCPYPCDKSCHNLVFR >fgenesh2_kg.3__590__AT3G05920.1 pep chromosome:v.1.0:3:2305835:2306641:-1 gene:fgenesh2_kg.3__590__AT3G05920.1 transcript:fgenesh2_kg.3__590__AT3G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKKVEIKVDINCGKCNSAIMEAVTEIEGVNHISLDDGNSILTVVGTMDPVCVATRLKKIKQKPVIISVGPPPKPPEPPKPPEPEKPKPPPTPEPPKHVCKPPYCNSCDVVSVTTYESGSGCTIL >fgenesh2_kg.3__593__AT3G05950.1 pep chromosome:v.1.0:3:2322952:2323918:-1 gene:fgenesh2_kg.3__593__AT3G05950.1 transcript:fgenesh2_kg.3__593__AT3G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLRFLAAKAILLALASSFVSCYDPSPLQDFCVAVDDANGVFVNGKFCKDPKYVKAEDFFTSGLNIAGNTINRVGSNVTNANVDKIPGLNTLGVSLVRIDFAPGGQNPPHTHPRATEILVVVEGTLLVGFVTSNQDNNRLFSKVLYPGDVFVFPIGMIHFQVNVGRTNAVAFAGLGSQNPGTITIADAVFGSKPLITPEILAKAFQLDVNVVRYLEARFSSNYDRHY >fgenesh2_kg.3__595__AT3G05970.1 pep chromosome:v.1.0:3:2331763:2337179:-1 gene:fgenesh2_kg.3__595__AT3G05970.1 transcript:fgenesh2_kg.3__595__AT3G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain acyl-CoA synthetase [Source:UniProtKB/TrEMBL;Acc:D7L4Q5] MDSSSSSAAARRRINAIHSHLVTSSRSSPLLRSNPTAGEFCLDNGYSVVLPEKLNTGNWNVYRSAKSPFKLVSRFPDHPDIATLHDNFEHAVHDFRDYKYLGTRVRVDGTVGDYKWMTYGEAGTARTALGSGLVHHGIPMGSSVGIYFINRPEWLIVDHACSSYSYVSVPLYDTLGPDAVKFIVNHATVQAIFCVAETLNSLLSCLSEMPSVRLVVVVGGLNESLPSLPSSTGVKVVSYSVLLNQGRSNPQRFFPPKPDDVATICYTSGTTGTPKGVVLTHANLIANVAGSSFSVKFFSSDVYISYLPLAHIYERANQILTVYFGVAVGFYQGDNMKLLDDLAALRPTVFSSVPRLYNRIYAGIINAVKTSGGLKERLFNAAYNAKKQALLNGKSASPIWDRLVFNKIKDRLGGRVRFMTSGASPLSPEVMEFLKICFGGRVTEGYGMTETSCVISGMDEGDNLTGHVGSPNPACEVKLVDVPEMNYTSVDQPHPRGEICVRGPIIFRGYYKDEVQTKEVIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFVGQCFIYGDSFNSSLVAVVSVDPDVLKSWAASEGIKGGDLRELCNNPRVKAAVLSDMDTVGREAQLRGFEFAKAVTLVLEPFTLENGLLTPTFKIKRPQAKEYFAEAITNMYKELGASDKGL >fgenesh2_kg.3__599__AT3G06000.1 pep chromosome:v.1.0:3:2354478:2355191:1 gene:fgenesh2_kg.3__599__AT3G06000.1 transcript:fgenesh2_kg.3__599__AT3G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7L4R0] MVETSLTNEDTIEENAIETCTHIKVGVSMSKAVSSFNFLTVITLSYTNLENGGAIALVNALKNSVPSLQVIEMAGNNITYEAAPAIAVFLAAKRHLKKLNLSENNLKDEGCLEIVKSMDGLELEYVDMSFNDLRREGALGLARVVIKKESFKMLNIDGNMISVKGIEEIKEIFKNCSKLLGPLDKNDPLGEDDDDLRENLEDFEDEFVSVFIFY >fgenesh2_kg.3__59__AT3G01570.1 pep chromosome:v.1.0:3:175982:176860:1 gene:fgenesh2_kg.3__59__AT3G01570.1 transcript:fgenesh2_kg.3__59__AT3G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:D7L9N5] MADVRTHSHQLQVHPQRQHEGGIKVLYPQSGPSSTQVLAVFVGVPVGGTLLTIAGLTLAGSVIGLMLAFPLFLIFSPVIVPAAFVIGLAMTGFLASGAIGLTGLSSMSWVLNYIRWAGEHIPEELEEAKQRLADMAEYVGQRTKDAGQTIEDKAHDVRETKTFDVRDRDTTKGTHNVRDTKTT >fgenesh2_kg.3__603__AT3G06035.1 pep chromosome:v.1.0:3:2402457:2403566:-1 gene:fgenesh2_kg.3__603__AT3G06035.1 transcript:fgenesh2_kg.3__603__AT3G06035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHKLPLLLFLYILLFLNRPVLSDTDEEDILLTGINSYRTTQNLTTLSKNENAECLADEIADQFKNKPCTNDTGSATVPGTEPQFANYPQILAKCHLNVSDTRDGSIMPACVPRLESSLVLTNFTKSQYSMSLNDSKFTGIGIGKEDDWIVVVLTTNTPEGSYSTATPTKQESSGFTFSVGLVSYLIIFMFSFGFFLF >fgenesh2_kg.3__604__AT3G06040.2 pep chromosome:v.1.0:3:2404017:2405015:-1 gene:fgenesh2_kg.3__604__AT3G06040.2 transcript:fgenesh2_kg.3__604__AT3G06040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12 family protein [Source:UniProtKB/TrEMBL;Acc:D7L4R6] MKLISLVRNVRSRQCQPEVIWSVQVRFLQQDSVSKAKPKKYKYPSVYDPYGPRPQPSNKIMELAECIAALSPEERKQIGPALNEHLRLPKQQMISSDGIGAKQDTGAGKVEEKKEKTAFDVKLEKFNASDKIKVIKEVRTFTSLGLKEAKELVEKVPAILKQGVTKEEANEIIAKIKAVGGVAVME >fgenesh2_kg.3__607__AT3G06050.1 pep chromosome:v.1.0:3:2408944:2410482:-1 gene:fgenesh2_kg.3__607__AT3G06050.1 transcript:fgenesh2_kg.3__607__AT3G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSILKLRNLSALRSAANSARIGVSSRGFSKLAEGTDITSAAPGVSLQKARSWDEGVSSKFSTTPLSDIFKGKKVVIFGLPGAYTGVCSQQHVPSYKSHIDKFKAKGIDSVVCVSVNDPYAINGWAEKLGAKDAIEFYGDFDGKFHKSLGLDKDLSAALLGPRSERWSAYVEDGKVKAVNVEEAPSDFKVTGAEVILGQI >fgenesh2_kg.3__608__AT3G06060.1 pep chromosome:v.1.0:3:2410732:2412712:-1 gene:fgenesh2_kg.3__608__AT3G06060.1 transcript:fgenesh2_kg.3__608__AT3G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7L4R8] MAAISPFFLLFLIPIVPLSILAILALIVRPRPIKIPIKSRHVFITGGSSGIGLALAHRAAAEGARVSILARSAGKLEEAKKEIQLATGVEVATFSADVRDYDAVSKAIDESGPIDVLIVNQGVFTAKELVTHSPEDVKFTIDVNLVGSFNVIKAALPAMKARKDRGPASISLVSSQAGQVGVYGYAAYSASKFGLQGLAQALQQEVIADDIHVTLIFPPDTNTPGFEEEQKSRPDVTAIIAASSGSMETEEVARKAMDGIKAGKFTVSCNFEGFLLSLATTGMSPQRSFWLAFLEVITAGPIRLIALFFQWDWYKAIEKWSKTKTK >fgenesh2_kg.3__60__AT3G01560.1 pep chromosome:v.1.0:3:176922:179052:-1 gene:fgenesh2_kg.3__60__AT3G01560.1 transcript:fgenesh2_kg.3__60__AT3G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSSSSPPSTDFIDLMNNQDDDHQKKQVIGDDGLDSKKAEILPSYDFHPIRPTTAATRLSHSALDLAGSTTTSTAARVHWSASEFKPVSTSGFGSIEPSKLVPDKGQNVSIMSEIIDRTMKKHTDTLLHVMEGVSARLSQLESRTLNLENLVDDLKVSVDNSHGTTDGKIRQLKNILVEVQSGVQLLKDKQEILETTHQLSKVDQPTKTHSLHVDPTSQSPAPVPLHLQMPQFPITSFPHPPSSPAAPSQPPSSQLPTHFSPQQEPYFPPPPPKQPPQTQTQHQPPYQPPPSSGYNPGEQQPYPMQSYPPIPPHQQPPAGSSPSQHFYNPPQPQPSMYDGAGGRSNSGFPSGYSSEPYPYSGSPMSSAKPPHISSSGTGYSQLSNSRPLPHALPIMVSAVSSGGGSSSPRLESRAPIDDVIDRVTTMGFPRDQVRATVRKLTENGQAVDLNVVLDKLMNEGGAPPGGWFDGR >fgenesh2_kg.3__612__AT3G06100.1 pep chromosome:v.1.0:3:2425798:2430202:-1 gene:fgenesh2_kg.3__612__AT3G06100.1 transcript:fgenesh2_kg.3__612__AT3G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEARSRVVDQEAGSTPSTLRDEDHPSRQRLFGCLPYDIDLNPIRIVMAEFVGTFILMFSVCGVISSTQLSGGHVGLLEYAATAGLSVVVVVYSIGHISGAHLNPSITIAFAVFGGFPWSQVPLYITAQTLGATAATLVGVSVYGVNADIMATKPALSCVSAFFVELIATSIVVFLASALHCGPHQNLGNLTGFVIGTVISLGVLITGPISGGSMNPARSLGPAVVAWDFEDLWIYMTAPVIGAIIGVLTYRSISLKTRPCLSPLSPSVSSLLR >fgenesh2_kg.3__613__AT3G06110.2 pep chromosome:v.1.0:3:2430705:2432194:1 gene:fgenesh2_kg.3__613__AT3G06110.2 transcript:fgenesh2_kg.3__613__AT3G06110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L4S3] MEKVVDIFGVGEANSQKLLKGGKDLSEIQQGLFIGSVAEATNKDLLKSSNITHILTVAVALAPPYPDDFVYKVIEVVDRDETDLTVYFDECFSFIDQAIQSGGGVLVHCFMGMSRSVTIVVAFLMKKHGLGFSKAMELVRSRRHQAFPNSGFISQLQQFEKSLQGMH >fgenesh2_kg.3__616__AT3G06130.1 pep chromosome:v.1.0:3:2441065:2443129:-1 gene:fgenesh2_kg.3__616__AT3G06130.1 transcript:fgenesh2_kg.3__616__AT3G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEFMKIQTCVLKVNIHCDGCKQKVKKILQKIEGVFTTKIDSEQGKVTVSGSVDPSVLIKKLAKSGKHAEIWGAPKGNNNPNQSQMANQFKGMQIDNGKGAGGGGGGNNNNNKKGQKSGGGGGGGNSNAPKMGQQLNPQHMQQLQKMKGFQDLKLPPQLKGSVPVNKNQNQKGVKFDVPEDDDDDDFSDEFDDEFTDDDDDEFDDEFDDLPLPSNKMKPNMTMMPNAQQMMMNAQKNANLGGGPAKNGGKGAPAGGGGGGKGGPGGGGNQNQGGGKNTGGGHPPDGKNGGGPNAGKKGNGGGGPMAGGLPGGFRPMGGGGPPNMSMPMGMGGQMGNMPAVQGLPATGPGGAPPGYFQGAGPDPMQMQQQQYLAAVMNQQRAMGNERFQPMMYARPPPAVNYMPPHPHQYPNPHPYPYPYPPPYGNDQYSHAFSDENTSSCDIM >fgenesh2_kg.3__619__AT3G06150.1 pep chromosome:v.1.0:3:2450531:2455246:-1 gene:fgenesh2_kg.3__619__AT3G06150.1 transcript:fgenesh2_kg.3__619__AT3G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKGMILPPVPSQLVLLRPSPLLQWRLGALTALVFFLMLVVWSIDGCSIQSFVEPWRFNAYSVRISPSPSPLMSPKPNLVSEKPHRQNLTLKTTMVPRNLVPKKTNLTSNLTRVQFGWIAAELQKNFTANLMRNWLAPGGEPCREAKTVEISVAGVDGNDSVELTAGEIHEFKIQAIDESGKHVCIGGDYFETDLSGENWKSRPPVKDFGNGTYSFSLQVHPEFAGDYNFTVILLFRHYQGLKFSTSRLGFDRKLRNVKLRFVKKPDVTLPELRSCKKSDFNRAAWSGRWTRLGKNDECQISNDGRYRCLAADFPCRKPWCDGAVGAIESNGWVYSSHCSFKLFSGEKAWDCLKDKWIFFWGDSNHVDSIRNLLNFVLGHPEIPAVPRRFDMKFSNPKNPLETVRITSIFNGHWNETKNYQGLDSLKDRDFRELLKKYFTEETNRVPDVMIVNSGLHDGIHWTSLRAFAKGAETAAAFWRDIFDGVKSRGLQPPEVIFRNTIATGGYARTLAFNPSKMEAFNGVFLEKMRDAGLVTSVVDNFDMTYPWHYDNRCNDGVHYGRAPAKMRWRDGEIGHQYFVDLMLVHVLLNALCVR >fgenesh2_kg.3__61__AT3G01550.1 pep chromosome:v.1.0:3:181101:184609:1 gene:fgenesh2_kg.3__61__AT3G01550.1 transcript:fgenesh2_kg.3__61__AT3G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALTFITPNPRLPSPLFVAKSIPESALSRRSIAFSSYHWRPNLRFNGFKLKSATVPENVEGGDSESGSLVKGLKLGGMFGVWYLLNIYYNIFNKQVLRVYPYPATVTAFQLGCGTLMIAVMWLLKLHPRPKFAPSQFTAIVQLAAAHTLGNLLTNVSLGRVNVSFTHTIKAMEPFFTVLLSVLLLGEWPSLWTVCSLLPIVAGVSLASFTEASFNWIGFCSAMASNVTNQSRNVLSKKFMVGKEAMDNINLFSVITIISFISLVPVAILIDGFKLTPWDLQIATSQGLSVKEFCIMSLLAGVCLHSYQQVSYMILEMVSPVTHSVGNCVKRVVVITSSILFFKTPVSPLNSIGTATALAGVYLYSRAKRVKVKQNPKTS >fgenesh2_kg.3__623__AT3G06210.1 pep chromosome:v.1.0:3:2472164:2476179:1 gene:fgenesh2_kg.3__623__AT3G06210.1 transcript:fgenesh2_kg.3__623__AT3G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSENFGEDDRSIHLQVLELQKLEEARGSSDTVLDYRNSVEGDSGETADAVSNSSAIAFHRPTSPAPEQKLTLFALRLAIIEKIATNLGTLGFIWATVVLLGGFAITLEKSDFWFITIILLIEGTRIFSRSHELEWQHHATWTVSGVGISSFRVIQSSSISLLRNLKRISEGIFKPILDNGLREATTRIGRQETFDRQTTLTWKNSEVPLLPYARWLYISSYVSRLLYWLQLLSASACVALSSYKLVMHNYGDVQDGDLDKRNRQAALSIFYSLALAEALLFLAEKAYWEWEVSVCNLLENVTRECGFGVSGMVSIKRFFYDAYSKSVNGSIFDGVKMDMVSFAMDLLGSNCSDEQLIGVRILRQFAVNERFAEDTLEKIGINLPVIERLVEMLNWKDLQEEEIRRSAAEILSKLAGKKQNSLRVAGISGAMESISSLLQNTRSLGEAPDEIGEKKIFHDHHLHYDFWRFNNLGLLILKKLSRDHDNCGKIGNTRGLLPKIIDFTHTDATLLKDENADMVLSQVLTVKRSLQLVKMLVSTSGNTGKCLRREISEIVFTVSNLRDVLRHGVRYPKLQKLGIEILSFLALETDARERIGVTWRCLERAVHHLLEKKNHMEMRVKTGSESLQSRSNCIQILKLGVFGRLVDALEVPLIRVNAARVLRNLCIYSGHESFLDLRFIKTAAPTVLKSITSGDNKLLEVMLGLAAQVFKFMSSEEANIVLTDSGIKKLELANTLVSILKKHDKPAIKVPRIRRFVIELAIWMMEDDVENVGMFKDLGMEKELVKVLETTAELENFDVFSGTVGVSRQSRTVHWLAELALKMLEDEQS >fgenesh2_kg.3__624__AT3G06230.1 pep chromosome:v.1.0:3:2480098:2480857:1 gene:fgenesh2_kg.3__624__AT3G06230.1 transcript:fgenesh2_kg.3__624__AT3G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMKK8 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L4T5] MVRVRDHRFLNLKLSPIQAPTTIPPCRLPIVAATKASATVSSCASNSFSVANLDRINVLGSGNGGTVFKVKDKTTSEIYALKKVKENMDSTSPCREIEILRVVNSPYVVKCHDIFQNPSGEVSILMEYMDLGTLESLSGVSENQLALMARQVLEGLNYLHEHKIVHRDIKPANLLRSSKEEVKITDFGVSKIVVKSFNKCNSFVGTYAYMSPERLDSEADGVTEEDKSNVYAGDIWSFGLTMLEILVGYYPML >fgenesh2_kg.3__625__AT3G06240.1 pep chromosome:v.1.0:3:2481566:2483249:1 gene:fgenesh2_kg.3__625__AT3G06240.1 transcript:fgenesh2_kg.3__625__AT3G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L4T6] MDAIQLLWEAIMKATKKERRREDDDGEEASPESLVLPPEIITEILLRLPAKSIGRFRCVSKLFYSLSSDPGFAKNHLDLILRNDAVKSLHRKLIVSSHNLYSLDFNSIRDGIRDLAAVELNYPLKDDPSIFSEMIRNYVREHLYDDRRVMLKLNAKSYRRNWVEIVGSSNGLVCISPGEGAVILYNPTTGDSKRLPETLRPKSVEYGRDNFQTYGFGFDDLTDDYKVVKLVATSDDILDASVYSLKADSWRRICNLNYEHNDGFYTSGVHFNGAIHWVFAEISHGQRVVVAFDIQTEEFREMPLPVEAEDCHHRFSNFVVGSLNGRLCVVNSCYEVHDDIWVMSEYGEVKSWSRIRINLLYRSMKPLCSTKKDEEVLLELDGDMVLYNFETNASSNLGIRGVKLSDGFEANTYVESLISPNSYGIVT >fgenesh2_kg.3__627__AT3G06260.1 pep chromosome:v.1.0:3:2489766:2491136:-1 gene:fgenesh2_kg.3__627__AT3G06260.1 transcript:fgenesh2_kg.3__627__AT3G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L4T8] MASRSLSYTQLLGLLSFILLLVTPTTMAVRVGVILHKPSAPTLPVFREAPAFRNGDQCGTREADQIHIAMTLDTNYLRGTMAAVLSLLQHSTCPENLSFHFLSLPHFENDLFTSIKSTFPYLNFKIYQFDPNLVRSKISKSIRQALDQPLNYARIYLADIIPSSVDRIIYLDSDLVVVDDIEKLWHVEMEGKVVAAPEYCHANFTHYFTKTFWSDPVLVKVLEGKRPCYFNTGVMVVDVNKWRKGMYTQKVEEWMTIQKQKRIYHLGSLPPFLLIFAGDIKAVNHRWNQHGLGGDNFEGRCRTLHPGPISLLHWSGKGKPWLRLDSRKPCIVDHLWAPYDLYRSSRHSLEE >fgenesh2_kg.3__628__AT3G06270.1 pep chromosome:v.1.0:3:2494775:2496675:1 gene:fgenesh2_kg.3__628__AT3G06270.1 transcript:fgenesh2_kg.3__628__AT3G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVQCKCCSRYPSSSSDGDSRGPLEANGIVKGKDQKPLGSIHVPSPNFDMVYSVLSQRGYYPDSPDKENQDTYCIKTELQGNPNVHFFGVFDGHGVFGTQCSNFVKERVVEMLSEDPTLLEDPEKAYKSAFLRVNEELHDSEIDDSMSGTTAITVLVVGDKIYVANVGDSRAVLAVKDRNRILAEDLSYDQTPFRKDECERVKACGARVLSVDQVEGLKDPNIQTWANEESEGGDPPRLWVQNGMYPGTAFTRSVGDFTAESIGVTAEPEVSMVHLSPNHLFFVVASDGIFEFLPSQAVVDMVGRYADPRDGCAAAAAESYKLWLEHENRTDDITIIIVQIKKLSNE >fgenesh2_kg.3__629__AT3G06290.1 pep chromosome:v.1.0:3:2498417:2506647:-1 gene:fgenesh2_kg.3__629__AT3G06290.1 transcript:fgenesh2_kg.3__629__AT3G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRPFGKDVGPSMSSKPSPFTPFGASAPTRFVLFLLILTLRVLDFPCSDSPIQPPSSQNPSALAGQSFGPGGIQSGPPVQRAPPPLASQNPPPSIGKPYRPGGVQSVPPINRTPSPLAFQNPSPSSGQPYQPGGIQRFPEPFNGIAWGSEAFPRPSPSVRPYQFPGVQRPALNPQFGHDGSRNFLKDHGEHSRATSSPATSHILSRMGTDAVEIGRSQDSKRKSRSDILPDQTMGFSRRNQSPVSGFENGNLVDGFQPPSSQTWMRSLSSAENNPVRSRSNPNRLIHQEQTRNSPFPYAHEVAEIQEATRRKSSAIAPSDKPLGDDPILSQHDSQRFSTSPPTSGTKTYMLSRSSDSQFPGQPSSLNSFNNTGKTSSSPATKRTRSPPVYPVEEDIQRNSFPSQDCTEGEEQARAKRLARFKGELEPIADRPVDTQLTKSPVNKTMKPLDNKQTFNSLESSRDALKGDALPDYESSEQPSLIIGLCPDMCPESERGERERKGDLDHYERVDGDRNQTSKSLAVKKYTRTAEREAILIRPMPILQNTMEYLLSLLDRPYNENFLGMYNFLWDRMRAIRMDLRMQHIFNQEAITLLEQMVRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELLQMYDDHRKKGITVPTEKEFRGYYALLKLDKHPGYKVEPSELSLDLANMTPEIRQTSEVLFARNVARACRTGNFIAFFRLARKASYLQACLMHAHFSKLRTQALASLHSGLQINQGLPVSDTSKWIGMEEEDIEALLEYHGFSIKVFEEPYMVKNDLFLHADKDYKTKCSKLVHMKKSRTIVEDVSAPSVEEDVSTPSPLPSLITETTNGNQQCITAHKQEMPPARSLKKQTSMRLFDKEMADSKTSLSPEEDKPVRPFVINPAGPSFINPVVHQQTQNDLTSAGGFHSPVKLYSPIVSPRFPQTKSSNLEKQPNDGRIGMSPGEIKFPFAGDVHTNHVPGPALRQSLKSMPMEIMPVTTIAESPTVENKYALEESVPEAAMICTLEKDFHDIDQEDEDENGVILNQYDEEVAKAKLKLIIRLWKRWSSRQSELRERRQLAATAALNSLSLGTPIRFSKTDQSRACGEFNIDQAMKRRFEEREKSWSRLNISDVIADILVGRNPESKCICWKVILCTQTKSVNTASSASQVTHSAASRWLSSKLMPHAEHSLNDDNLLFSAPGVSVWNKWVANGSDIDFTCCLSVARDVEAENDMCETTCGASAVLFLASGGLPLNLQREQLNRILESVPNGSVLPLLVVISSCNGEHMEPDTDLVSGLGLHDIDKSKIASFSIVSIANKSQKGQEVRFFNDSRLRDGIKWLASNSPLQPNLHHVKPRELVLTHFSFSLELLKQMPDQEVGPNICISAFNDALETSRRNITSAAEANPIGWPCHETKLLEDNRKERLMVKRYLPNLDWSSAENVEPLSSVLENCKLPYFEDDLTWLTVGCASGAEIENHTQRLEGCLVEYLSQRSNIMGASLATKETGVMIERNTRLELHNSSRYHIIPRWIGIFQRIFNWRIMGLFDASSSSAYVLKSDLTMSTSSYADKFLAEDASYPSYRPNLPLLHEMIQISCSPFKSSPRYDHKAQRVVESVETEMVIDDHRDIDESILEKSSEAYRGTDLMITEDDELADGTERSRRSKGKEAAEKKTIDKRERERLDELLEKCNLVQNSIAEKLCIYF >fgenesh2_kg.3__631__AT3G06310.1 pep chromosome:v.1.0:3:2534241:2535675:-1 gene:fgenesh2_kg.3__631__AT3G06310.1 transcript:fgenesh2_kg.3__631__AT3G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase 19 kDa subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7L5F7] MEANAAVDGTGNPIPTSAVLTASAKHIGIRCMPENMAFLKCKKNDPNPEKCLEKGRDVTRCVLGLLKDLHQRCPKEMDAYVGCMYYYTNEFDLCRKEQEVFEKACPLK >fgenesh2_kg.3__633__AT3G06320.1 pep chromosome:v.1.0:3:2535802:2536598:1 gene:fgenesh2_kg.3__633__AT3G06320.1 transcript:fgenesh2_kg.3__633__AT3G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L33 family protein [Source:UniProtKB/TrEMBL;Acc:D7L5F8] MGDKRKKTFMFIRLVSAAGTGFFYVKRKSTKGLLEKLEFRKYDPRVNRHVLFTEQKMK >fgenesh2_kg.3__634__AT3G06330.1 pep chromosome:v.1.0:3:2539244:2542118:1 gene:fgenesh2_kg.3__634__AT3G06330.1 transcript:fgenesh2_kg.3__634__AT3G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQDSAAQQPEIAIIVGDSSSSSSQVDHQVKEKSTEDVPSSQSIETHLDLSIQIPLRPIPFGNGRNPKSSLKSTSSFKSGSTSSPRGILRNLSLKKKVISQPESERSSLLSPGLMETAKKPNAAGSTASPYWKRCLSLPNRHAAKLSPVVSTQVSAGVPGEPRNKDYPRSLSMPGRNKVIVRSISFDNHKARVSSETSADQISSVPPEETDEEIPEEEAVCRICLDVCEEGNTLKMECSCKGDLRLVHEACAMKWFSTKGTRTCDVCRQEVKNLPVTLVRVPTSNQPNNRRDRSQPNMPSQTVSAWQEFVVLVLISTVCYFFFLEQLLIRDLNKQAIYIAAPFSLTLGLLASIFAIVLAIREYIWTYAALEFALVGMLVHILYATVRLSATYSILFAGILGFGIAVCLNSLYLHYFAWRVRIAENSSPV >fgenesh2_kg.3__637__AT3G06340.2 pep chromosome:v.1.0:3:2542443:2544887:-1 gene:fgenesh2_kg.3__637__AT3G06340.2 transcript:fgenesh2_kg.3__637__AT3G06340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L5G0] MSINRDEALRAKDLAEGLMKKTDFTAARKLALKAQKMDSSLENISRMIMVCDVHCAATEKLFGTEMDWYGILQVEQIANDILIKKQYKRLALLLHPDKNKLPGAEAAFKLIGEAQRILLDKEKRMLHDIKRKSLRMPAPAPPYKTQQMPNYHTQPVFRASVSTRNIFTELRPENRHPFQKAQAQPAAFSHPTTFWTTCPFCQTRYEYQRAHVNKEVTCRPCIKWFTAFEEPLQSAPPAKGPCQTTYSFPQQSKFSDQRACSEPHKRSENLPTVSSSKASFPMPGSTAKINGKRKRKNMVECSESSSDSESSSESEDVTMAAQDLGANGGEQPRRSVRSKQKVSYNENLSDDDVDLVNHNGKGSGKNIDTEREEETKEEKQKNEDHSSTESVDMKGKIKVDQVVTPSGASDSEEDLSSGSAEKPNLINYDDPDFNEFDKLREKSCFQAGQIWAVYDEEEGMPRFYALIRKVTTPDFMLKYVWLEVDQDQENETPKLPVSVGKFKVGNMEETNECSIFSRLVYSTTRIRGRKFTVFPKKGETWALFKNWDINSSADSVSPIKYEYEFVEILSDHAEGATVSVGFLSKVKGFNCVFCPMPKDESDTCEIPPHEFCRFSHSIPSFRLTGTEGRGVTKGWYELDPAALPVSVSQNLSGDEAAQDRDHQCPPSGFAS >fgenesh2_kg.3__639__AT3G06350.1 pep chromosome:v.1.0:3:2546243:2549330:-1 gene:fgenesh2_kg.3__639__AT3G06350.1 transcript:fgenesh2_kg.3__639__AT3G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3004/MEE32 [Source:UniProtKB/TrEMBL;Acc:D7L5G1] MAASSTNARLTNPPHVLSKPRLSPTSVVNLRFPAADFPIRLSAGSSSPQLRSVPFPVVFSDRSRRRTMEHSNVYVASNSTDMEIGSQDIVKNPSLICAPVMADSIDKMVIETFKAHELGADLVEIRLDWLKDFNPIEDLKTIIKKSPLPTLFTYRPKWEGGQYEGDENERQDVLRLAMELGADYVDVELQVASDFIKSIDGKKPESFRVIVSSHNYQNTPSVEDLNDLVARIQQTGADIVKIATTAVDIADVARMFHITSSAQGPTIGLVMGERGLMSRILCSKFGGYLTFGTLDSSKVSAPGQPTIKDLLDLYNFRRIGPDTKVYGIIGKPVSHSKSPIVHNQAFKSVDFKGVYVHLLVDNLASFLQAYSSSDFAGFSCTIPHKEAALQCCDEVDPLAKSIGAVNTILRRKSDGKLLGYNTDCIGSISAIEDGLRRSGDPSSGPSSSSPLAGKTVVVIGAGGAGKALAYGAKEKGAKVVIANRTYERALELAEAIGGRALSLTDLDNFHPEDGMVLANTTSMGMQPNVDETPISKHALKHYALVFDAVYTPRITRLLREAEESGAITVSGSEMFVRQAYEQFEIFTGLPAPKELYWQIMSKY >fgenesh2_kg.3__63__AT3G01540.2 pep chromosome:v.1.0:3:184860:188911:1 gene:fgenesh2_kg.3__63__AT3G01540.2 transcript:fgenesh2_kg.3__63__AT3G01540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAAPSVVRYAPEDHSLPKPWKGLVDDRTGYLYFWNPETNVTQYEKPTAAQPPKFPAVSLSSSVQVQQTDAYAPAKDDNKYTRATEHGPKIESASRFTEGGRSGPPYSNGAANGVGNSAYGPASARGPPRSSAPGNELSPEAYSRRHEITVSGGQVPPPLMSFEATGFPSELLREVLNAGFSAPTPIQAQSWPIAMQGRDIVAIAKTGSGKTLGYLIPGFLHLQRIRNDSRMGPTILVLSPTRELATQIQEEAVKFGRSSRISCTCLYGGAPKGPQLRDLERGADIVVATPGRLNDILEMRRISLRQISYLVLDEADRMLDMGFEPQIRKIVKEIPTKRQTLMYTATWPKGVRKIAADLLVNPAQVNIGNVDELVANKSITQHIEVVAPMEKQRRLEQILRSQEPGSKVIIFCSTKRMCDQLTRNLTRQFGAAAIHGDKSQPERDNVLNQFRSGRTPVLVATDVAARGLDVKDIRAVVNYDFPNGVEDYVHRIGRTGRAGATGQAFTFFGDQDSKHASDLIKILEGANQRVPPQIREMATRGGGGMNKFSRWGPPSGGRGRGGDSGYGGRGSFVSRDSRSSNGWGRERERSRSPERFNRAPPPSSTGSPPRSFHETMMMKHR >fgenesh2_kg.3__640__AT3G06360.1 pep chromosome:v.1.0:3:2551002:2551452:1 gene:fgenesh2_kg.3__640__AT3G06360.1 transcript:fgenesh2_kg.3__640__AT3G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTLITFIFLSSLSLSSPTTNTISSSPTISPFEEQISPEIAPLLPSPAVSSSTQTIPSSSTLPKPENDDVFADPDPAFAPSASPPASSLATLSSQAPGVFVYFVVAAVSCFSLRLLAVSPI >fgenesh2_kg.3__642__AT3G06380.1 pep chromosome:v.1.0:3:2558316:2560592:1 gene:fgenesh2_kg.3__642__AT3G06380.1 transcript:fgenesh2_kg.3__642__AT3G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRSLIQEMRSRPHRVVHDAASTANSSDPFSWSELPEELLREILIRVETVDGGDWPSRRNVVACAGVCRSWRILTKEIVAVPQFSSKLTFPSSLKQPGPRDSLVQCFIKRNRNTQSYYLYLGLTTSLTDNGKFLLAASKLKRTTFTDYIISLRSDDISKRNNAYLGRMRSNFLGTKFTVFDGNLMPQTGAAKMQKSRSSNFIKVSPRVPQGSYPIAHISYELNVLGSRGPRRMHCTMDTIPMSVVEYQGVASTSIRSFSTQSSPFFRSHSKPVRSNSASCSDSGNNLRDPPLVLSNKTPRWHEQLRCWCLNFHGRVTVASVKNFQLVAVSDCETGQPSERIILQFGKVGKDMFTMDYGYPISAFQAFAICLSSFETRIACE >fgenesh2_kg.3__643__AT3G06390.1 pep chromosome:v.1.0:3:2562693:2563813:-1 gene:fgenesh2_kg.3__643__AT3G06390.1 transcript:fgenesh2_kg.3__643__AT3G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 1D2 [Source:UniProtKB/Swiss-Prot;Acc:D7L5G6] MASTENPDPETGKSEPIPASATTPPPSAASFLDCRKIDVIIRVLLFSATLTALIVMVTSDQTEKTQLPGVSSPAPVSAEFNDSPAFIFFVVALVVTSFYALMSTLVSISLLLKPEFTARVSVYLASLDMVMLGILASATGTAGGVAYIALKGNKEVGWNKICNVYDKFCRYIATSLALSLFATLLLLVLSICSALSKRTP >fgenesh2_kg.3__644__AT3G06400.1 pep chromosome:v.1.0:3:2565058:2570899:1 gene:fgenesh2_kg.3__644__AT3G06400.1 transcript:fgenesh2_kg.3__644__AT3G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPA: Arabidopsis lyrata subsp. lyrata ECTO-nicotinamide dinucleotide oxidase thiol-exchanger 1 mRNA [Source:UniProtKB/TrEMBL;Acc:D7L5G7] MARNSNSDEAFSSEEEEERVKDNEEEDEEELEAVARSSGSDDDEVVAADESPVSDGEAAPVEDDYEDEEDEEKAEISKREKARLKEMQKLKKQKIQEMLESQNASIDADMNNKGKGRLKYLLQQTELFAHFAKGDASSSQKKAKGRGRHASKITEEEEDEEYLKEEEDGLTGSGNTRLLTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLAYLHEYRGINGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPEERRHIRDDLLVAGKFDICVTSFEMAIKEKTALRRFSWRYIIIDEAHRIKNENSLLSKTMRLFSTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEAVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYRGYLYCRIDGNTGGDERDASIEAYNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTESAIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRYGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIQFKMDDSADFYDFDDDNKDENKLDFKKIVSDNWNDPPKRERKRNYSESEYFKQTLRQGAPAKPKEPRIPRMPQLHDFQFFNIQRLTELYEKEVRYLMQTHQKNQLKDTIDVEEPEGGDPLTAEEVEEKELLLEEGFSTWSRRDFNTFLRACEKYGRNDIKSIASEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYRNPWLELKIQYGQNKGKLYNEECDRFMICMIHKLGYGNWDELKAAFRTSPLFRFDWFVKSRTSQELARRCDTLIRLIEKENQEFDERERQARKEKKLAKSATPSKRPLGRQASESPSSTKKRKHLSMR >fgenesh2_kg.3__645__AT3G06410.1 pep chromosome:v.1.0:3:2571189:2573701:-1 gene:fgenesh2_kg.3__645__AT3G06410.1 transcript:fgenesh2_kg.3__645__AT3G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYGRAGEEGSRSDPSLEWTSHGGETGLEASMWRLGLSGGGGESYPERPDEPDCIYYLRTGVCGYGSRCRFNHPRDRGAVIGGVRGEAGALPERMGHPVCQHFMRTGTCKFGASCKYHHPRQGGGGGSVAPVSLSYLGYPLRPGEKECSYYLRTGQCKFGLTCRFNHPVPLAVQGPPQHQQQQQPQLQTIYPTLQSQSVPSSQQYGLVLTRPSLLPGSYLPSPYGPPMVLPPGMVPYSGWNPYQASLSAMPSPGTQPSIGSSSVYGITPLSPSVTAYTGAYQSGPSSNTSKEFPQRPDQPECQYFMRTGDCKFGSSCRYHHPVDAVPPKTGLVLSSIGLPLRPGVAQCTHFSQHGICKFGPACRFDHSMSSSLSYSPSASSLTDMPVAPYPIGSSSLSGSSAPVSSSNEPTTEAVTAAAVSTSMVSGLSRPEPAETSGDSASVSGSIEAKTSS >fgenesh2_kg.3__646__AT3G06420.1 pep chromosome:v.1.0:3:2578563:2579929:-1 gene:fgenesh2_kg.3__646__AT3G06420.1 transcript:fgenesh2_kg.3__646__AT3G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:D7L5G9] MGIVVKSFKDQFSSDERLKESTNIIAKYPDRVPVIIEKYSNADLPDMEKNKYLVPRDMTVGHFIHMLSKRMQLDPSKALFVFVHNTLPQTASRMDSLYNTFKEEDGFLYMCYSTEKTFG >fgenesh2_kg.3__648__AT3G06440.1 pep chromosome:v.1.0:3:2641724:2653584:-1 gene:fgenesh2_kg.3__648__AT3G06440.1 transcript:fgenesh2_kg.3__648__AT3G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7L5I0] MRDWLVGVSIMVLTLIFIIRHEQSDHKFPTSHTVDDSSIEGESVHEPAKKPHFMTLEDLDYLFSNKSFFGEEEDLKGLLVWSPTLAMKDLVFLINKEKGASFSAMVSKELGRNCPDFVTAFDEDLSGLRHVLLELPCGLIEDSSVTLVGIPDEHSSSFQIQLVGSELSGETRRPIILRYNVNFSRPSIVQNTWTEKLGWGNKVRCPDHGSVKNHLVDQLPLCNKQTGRITSEKSSNDDATMEFSLSNANFPFLKGSPFTATLWFGLEGFHMTINGRHETSFAYREKLEPWLVSAVKVSGGLKMLSALATRLPIPDDHASLIIEEKLKAPSLSGTRIELLVGVFSTGNNFKRRMALRRSWMQYEAVKSGKVAVRFLIGLHTKEKVNLEMWRESKAYGDIQFMPFVDYYGLLSLKTVALCILGTKVIPAKYIMKTDDDAFVRIDELLSSLKEKPSSALLYGLISFDSSPDREQGSKWFIRKEEWPLDSYPPWAHGPGYIISHDIAKFVVKGHRQRDLRLFKLEDVAMGIWIQQFNETIKRVKYINDKRFHNSGCKSNYILVHYQTPRLILCLWEKLQKENQSICCE >fgenesh2_kg.3__651__AT3G06450.1 pep chromosome:v.1.0:3:2654304:2658276:-1 gene:fgenesh2_kg.3__651__AT3G06450.1 transcript:fgenesh2_kg.3__651__AT3G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anion exchange family protein [Source:UniProtKB/TrEMBL;Acc:D7L5I1] MDEADSFVPFQGIKKDVKGRLKCYKQDWISGLRAGFRILAPTTYIFFASAIPVITFGEQLERDTGGKITAVQTLVSTALCGVIHAIIGGQPLLILGVAEPTVIMYTFMFNFAKSRTDLGSNLFLAWTGWVCLWTGLLLFLLAVLGACTFISRFTRLAGELFGILIAMLFMQEAIRGIVDEFGVPGRTNPRSAEFQPAWVFANGMFGLVLSSGLLYTALKSRKARSWRFGAEWLRGFIADYGVPVMVVVWTCISYIPWKSVPQGIPRRLVSPNPWSPGAYQNWTVIKEMVDVPVLYILLAVVPASMIAVLYYFDHSVASQLAQQEDFNLRRPPAYHYDLFLLGFLTILCGLLGIPPSNGVIPQSPMHTKSLATLNHQLLRNKLVAAARKCIRNNATIGEVYVSMEEAYQQMQSPLIHQEPSRIQGLKQSHTQKASNADALVEETVFDIETEVENILPVEVKEQRVSNFLQAMMVAGCVAAMPLIKRIPSSVLWAYFAYMAIESLPGNQFWERIVLLFTAPSRRFKVLEDNHAVFVETVPFKTMAMFTLFQAAYLLVCFGITWVPVAGVLFPLMIMFLVPVRQYVLPNFFKGSHLQDLDAAEYEEAPAILSFNLKPEGEVSRATSFADSGEVMDGMFTRSRGEIRKVSSLKLGGGSGSTVGSPAGGVELMRRVVSFQNPRVSEKVYIRSLSDFRGGGETSPRSPAGRAPFSPRSAAGGGGEQRLSNLGKSV >fgenesh2_kg.3__652__AT3G06460.1 pep chromosome:v.1.0:3:2659334:2660298:1 gene:fgenesh2_kg.3__652__AT3G06460.1 transcript:fgenesh2_kg.3__652__AT3G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GNS1/SUR4 membrane family protein [Source:UniProtKB/TrEMBL;Acc:D7L5I2] MASVYSTLTYWLVHHPYIANFTWTEGETLGSTVFFVFVVVSVYLSATFILRYTVDSLPSLGPRILKPITAVHSLILSLLSLTMAVGCTLSLISSQDPKARLFDAVCFPVDVKPKGPLFFWAQVFYLSKILEFVDTLLIILNKSIHRLSFLHVYHHATVVILCYLWLRTRQSLFPICLVTNSTVHVIMYGYYFLCAVGSRPKWKKLVTNVQIVQFVFGLGLGAVWMLPEHYFGSGCSGIWASYFNGVFSASLLALFYNFHSKNYVKTTTSSMYKIESFIFINGERWAKKAIRLFSMKND >fgenesh2_kg.3__653__AT3G06470.1 pep chromosome:v.1.0:3:2661095:2662115:1 gene:fgenesh2_kg.3__653__AT3G06470.1 transcript:fgenesh2_kg.3__653__AT3G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GNS1/SUR4 membrane family protein [Source:UniProtKB/TrEMBL;Acc:D7L5I3] MASISSTLTYWLVNHPYIANFIWTEGETLGSTVFFVSVAVSVYLSATFVLRSVIDSLPSLSPRILKPITAVHSLVLCLLSLIMAVGCTLSITSSHASSDPTARFLNAICFPLDVKPNGPLFFWAQVFYLSKILEFGDTILIILGKSIQRLSFLHVYHHATVVVMCYLWLRTRQSMFPVALVTNSTVHVIMYGYYFLCAVGSRPRWKRLVTDCQIVQFVFSFGLSGWMLREHLFGSGCSGIWGWCFNAAFNASLLALFSNFHSKNYVKKTREVVGIKSD >fgenesh2_kg.3__654__AT3G06480.1 pep chromosome:v.1.0:3:2662387:2667164:-1 gene:fgenesh2_kg.3__654__AT3G06480.1 transcript:fgenesh2_kg.3__654__AT3G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEATPASAGPRYAPEDPTLPQPWKGLIDGSTGILYYWNPETNVTQYERPSAPPPPLPAGALKLAQIPVPSSGQGHQAQHEQAKPVGHVSQQHGLQQQPQQYPSQHVRPQMMQQQHQHPGQQMPQQSGQQFPQQQSQSMVSQPHGHPSVQTFQPTTQQQHSQMPQQQSHQYAHSQQQYMGYRPHMQPQGLQNSHQTPQGGPQGQQFPSQQEYNSLVPKREGDEFHGGKKTGFPQSHLPNSENSPSQNTPFEANAASQRTNANLAMAQKYNGPQANMQHHVAVTQFQQPGSNLIHQQLGPRAPNQMDQTMLHQKSHASSFQSNNTYENNLQSRPGNDSYVNARMEGPVRGAQPLHPASMPKDIRIGGGPPINADPAMGQTGHGTYGHAGLAFPNKSLVRPHFATSPDVPHLSPVEIYRKQHEVTTTGENIPAPYITFESSGLPPEILRELLSAGFPSPTPIQAQTWPIALQSRDIVAIAKTGSGKTLGYLIPAFILLRHCRNDSRNGPTVLILAPTRELATQIQDEALRFGRSSRISCTCLYGGAPKGPQLKELERGADIVVATPGRLNDILEMKKIDFQQVSLLVLDEADRMLDMGFEPQIRKIVNEISPRRQTLMYTATWPKEVRKIASDLLVNPVQVNIGKVDELAANKAITQYVEVVPQMEKERRLEQILRSQERGSKVIIFCSTKRLCDHLARSVGRHFGAVVIHGDKTQGERDWVLSQFRSGKSCILIATDVAARGLDIKDIRVVITYDFPTGVEDYVHRIGRTRSSWCNWSCIHFLYRARLEVRTEMIKVLEGANQQLPPQVRDIAMCGGGGGPGYSQDRRGMVNRFDSGGNGSRWDSADGFGGRGGGFGGREGGFGGREGGFGGREGGFGGREGGFGGREGGFGGRSGGFGMRDDSFGRGGNRGRGFSGPDAGHMNVGGRGGFGRFGNNNMDGRGFGRGGRGFGRGVGRFDNRRGRSRSRSPDLVRPRRRSPSYSRSRSRSYSRSRSRSWSRSRSRSPRHSRDRGGHNRSRSYSRSPSPVYERRDRPPRVSGFDIKPPVESVVNLDMNAAAAFETAVPTSLSERQGNGVVESEVEAASASVRPVVDEH >fgenesh2_kg.3__656__AT3G06490.1 pep chromosome:v.1.0:3:2687007:2689467:1 gene:fgenesh2_kg.3__656__AT3G06490.1 transcript:fgenesh2_kg.3__656__AT3G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Botrytis-susceptible1, myb domain protein 108 [Source:UniProtKB/TrEMBL;Acc:D7L5I6] MDEKGRTLKNNNMEDEMDLKRGPWTAEEDFKLMNYIATNGEGRWNSLSRCAGLQRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDTMKYLWMPRLVERIQSASASAAAAATTTTTNTTGSAGTSSCITTSNNQFMNYDYNNNMGQQYGVMSNNDYITPENSSVAVSPASDLTEYYSAPNPNPEYYSGQMGNSYYPDQNLVGSQLLPENYFDYNGLLDEDLTTMEEQSNLNWFENINGAASSSDSLWNIGETDEDFWFLQQQQHLNNNGSF >fgenesh2_kg.3__657__AT3G06510.1 pep chromosome:v.1.0:3:2699282:2702771:1 gene:fgenesh2_kg.3__657__AT3G06510.1 transcript:fgenesh2_kg.3__657__AT3G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVALLIKVAGLVATITVGANAFSYSRFRRQNLAKFRSPIDESKEVLADFNSIEHKEGKFFFGLATAPAHVEDDLDDAWLQFAKETPCSASDAEAADKKAKRKKVKLAVGAITKGLAKNSHGKEDKTAADKPPSKNVAAWHNAPHAEERLKFWSDPDKEVKLAKDTGVTVFRMGVDWSRIMPVEPTKGIKEAVNYEAVEHYKWILKRVRSNGMKVMLTLFHHSLPPWAADYGGWKMEKTVDYFMDFTRIVVDSMFDLVDSWVTFNEPHIFTMLTYMCGSWPGNNPDFLEIATSTLPMGVFHRALHWMAVAHSKAYDYIHGKISLKKPLVGVAHHVSFMRPYGLFDIGAVTISNSLTMFPYIDSICEKLDFIGINYYGQEAVCGAGLKLVETDEYSESGRGVYPDGLYRVLLMFHERYKHLKVSFIVTENGVSDETDVIRRPYLIEHLLALYAAMLKGVPVLGYIFWTISDNWEWADGYGPKFGLVAVDRSHNLARTLRPSYHLFSKIVKSGKVTRKDRSLAWNELQKAAKAGKLRPFYRAVDNHNLMYADGLDKPQWRPFVDRDWRFGHYQVDGLQDPLSRVARTLLIWPLIMKKRIKKVKIKHTDDAGLVLHPALASPFD >fgenesh2_kg.3__660__AT3G06530.1 pep chromosome:v.1.0:3:2705598:2716845:1 gene:fgenesh2_kg.3__660__AT3G06530.1 transcript:fgenesh2_kg.3__660__AT3G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIVSQLQALKSVLQADTEPSKRPFTRPSILFSPKEAADFDIESIYELGLKGLEVLGNKDERFKNYMNDLFSHKSREVDRELLGKEENARIDASISSYLRLLSGYLQFRASLETLEYLIRRYKIHIYNVEDVVLCALPYHDTHAFVRIVQLLSTGNSKWKFLDGVKNSGAPPPRSVIVQQCIRDLEVLETLCDYASRTKKYQPSKPVVSFSTAVVVGVLGSVPTVDGDIVKRILPFVDSGLQSGVKGCLDQQAGALMVVGMLANRAMLNSNLIKRFMRSIIDTAREHAKESSDPHSLRLSFMALINFVQLQSVDLIPRKALDLLNEISSSDDQCCEALASIIEAVPVNNLVDHLISKVFSLCMTQYQKNSDFRSSTSGSWAKKILVVVSKKYPVELREAVSKFLEATEGQSKKEDLKLEMLSCMLDGNSDMSNPFVDSKLWFRLHHPRAAVRCAALSSLNGVLKDDSSKAENLVTIQDAILRQLWDDDLAVVQAALSFDKLPNIVTSSGLLDALLHVVKRCVGILLSGVSHNVQLANDVVALSLKIAVSSFSNQADSTEKVTSAMFPFLLIQPKTWNLNLHVLKLGKDVNWPLFKNLGTDAEMKKLPDIMSTNLSSISMDIINNLGEALSLDPDERRIELIESACNFKLSEVVKTCSNIKLTEQERNKLQKGLLIRESVSTLNMDIINKLMDAFMMHPADYIQWLTTEWEELEVELDVSLKELLKSNCQELLYQLLDTSDFTALNSKLLICLLMESSTGFCFISSRVFLCCFSLLADVPPGVQIESLKCFSYLCSCGNNEWLNRIFSSFPVLLVPMSSANQVTPEDLKVAAMNCIEALFNLRWSAAICGSSFDELLGMIVQQRRLILSDNKFLPSYLTSLLSSTSNDLLVPVDLQKRFDQSTKENILSVILLCVKDLPAYGKLRVLSLLKDLGIMLLRDEIVKLLSQLLDKRSQDYLKLDKTLQPMSDTEVDLLCLLLECSMMRSASFKGQSLDDYILSALKVDCMASERSAIISPCLTILEKLSNQFYVGLKSEVQIRFFHILVSMFRSSNGSIQNGAKEAVLRLKISSSTVVHALDHIIQQDTLVIGSLSKKKKQKKSSKSSPEEDVNSGEFLSGEKALSFIAALLDMLLLKKDLAHRESLVRPLFKLLERSMSKEWVKIASSVEETSVQPPQDVRETTPASISSIQQTLLLILKDIFDSLNMNPLKAEIANEINVKMLVELAHSSNDGVTRNHIFSLFTAIVKFVPDRVLDHIISILTLVGESTVTQIDSHSKSIFEGFISVVIPFWLSKTKSEEQLLLIFVKVLPDIVQHRRRSIVAYLLGVVTSLLQQQLDRNGTKKVLGLISERAKDTSSSKLKHKRKISNQKARNPWLHLDEVAVDSFGKMCEEIVHLIDETDDESGVPAKRAAISTLEVLAGRFPSGHPIFSKCLASVAEGISSKNLGISSSCLRTTGALINVIGPKALVELPRIMKNLVKQSSEVSSASKSAGNTTAEEQLLMLSVLVTLEAVIDKLGGFLNPHLGDIMKVMVLHPEYVSDFDKNLKSKANTIRRLLTDKIPVRLTLQPLLRIYDEAVSSGNASLVIAFDMLENLVVKMDRSSIVSNHGKIFDQCLVALDIRRQNPAAIQNIDEAERSVTNAMVALTKKLTESEFRPLFIRSIDWAESDIVDGSGSENKNIDRAISFYGLVNRLCESHRSIFVPYFKYVLDGIVSHLTSAEASVSTRKKKKAKIQETSDSISPKSWHLRALVISSLKNCFLHDTGSLKFLDTNNFQVLLKPIVSQLVVEPPSSLKEHQHVPSVDEVDELLVSCIGQMAVASGSDLLWKPLNHEVLMQTRSENLRSRILSLRSVKQMLDNLKEEYLVLLAETIPFLGELLEDVELSVKSLAQDIIKQMEEMSGESLTQYL >fgenesh2_kg.3__662__AT3G06550.1 pep chromosome:v.1.0:3:2724394:2728215:-1 gene:fgenesh2_kg.3__662__AT3G06550.1 transcript:fgenesh2_kg.3__662__AT3G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSPVTPGLMSVVLGIVPVIVAWLYSEYLHYAKHSVSAKTRHSDVNLVEIAKDFVKEDDKALLIEDGGGLQSASPRAKGPTTHSPLIRFVLLDESFLVENRLTLRAIIEFALLMVYFYICDRTDVFNSSKKSYNRDLFLFLYFLLIIVSAITSFTIHNDKSPFSGKAIMYLNRHQTEEWKGWMQVLFLMYHYFAAAEYYNAIRVFIACYVWMTGFGNFSYYYIRKDFSLPRFAQMMWRLNFLVIFSCIVLNNSYMLYYICPMHTLFTLMVYGALGIMNKYNEMGSVIAAKFFACFVVVIIVWEIPGVFEWIWSPFTLLMGYNDPAKPQLPLLHEWHFRSGLDRYIWIIGMLYAYYHPTVESWMDKLEEAEMKFRMAIKTTVALIALTVGYFWYEYIYKLDKLTYNKYHPYTSWIPITVYICLRNITQSFRGYSLTLLAWLGKITLETYISQFHIWLRSGVPDGQPKLLLSLIPEYPLLNFMLTTSIYVAISYRLFELTNTLKTAFIPTKDDKRLVYNAISALIICTCLYFFSFILITIPQKLV >fgenesh2_kg.3__665__AT3G06560.1 pep chromosome:v.1.0:3:2729830:2732767:1 gene:fgenesh2_kg.3__665__AT3G06560.1 transcript:fgenesh2_kg.3__665__AT3G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L5J5] MKKGGGRNKGFPPSMEDGSSISLRQLMVNEGLIPSLEDQEKRRVVINKLRKIVVRWVKNVAWQHRLPQNQIDATNATILPYGSYGLGVYGSESDIDALCIGPFFASISEDFFISLRDMLKSRREVSEVHCVKDAKVPLIRFKFDGILVDLPYAQLRVLSIPNNVDVLNPFFLRDIDETSWKSLSGVRANKCILQLVPSLELFQSLLRCVKLWAKRRGVYGNLNGFLGGVHMAILAAFVCGYESNATLSSLLANFFYIFAHWQWPTPVVLLEDTYPATGAPPGLMPIQLPCGSHQYCNSNITRSTFYKIMAEFLRGHNLTKDYLKPNFSWKNLFELYPYENTYTWFTKIHLSAANQEDLSDWVGWVKSRFRCLLSKIEEVYGICDPNPTEYVETYTKQPNIVFYWGLQLRTINVSDIESVETEFLKNLNSGSFQGPVGRIQLSVVKESQLPKNGECASNNRSKKVTKTCWRIRENKQCNNVPVYSHHLPGYVVGYQKMANREADGMAG >fgenesh2_kg.3__667__AT3G06590.1 pep chromosome:v.1.0:3:2739885:2740675:-1 gene:fgenesh2_kg.3__667__AT3G06590.1 transcript:fgenesh2_kg.3__667__AT3G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLISDIEPPTTDLVRRKKRSSVSSAASPSTSAASVSGESHARWRSEKQQRIYSAKLIQALQQVRLNSSAATSSSPTAQKRGKAVREAADRALAVSARGRTLWSRAILANRIKLKFRKQKRPKTPTKIPSMTTVVNSSNRSRTRRVSVLRLNKKNIPDVNRKVRVLGRLVPGCGKQSVPVILEEATDYIQALEMQVRAMNSLVQLLSSYGSAPPPI >fgenesh2_kg.3__669__AT3G06610.1 pep chromosome:v.1.0:3:2746456:2747625:1 gene:fgenesh2_kg.3__669__AT3G06610.1 transcript:fgenesh2_kg.3__669__AT3G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEEAGAEIAVDSKDLQQQSKAFDKLTDRVEDRQLDSSRVQSAMASIAASREADLNAKRLREKELASVKINPADVEFIVNELEVEKNVAERTLREYNGDAVAATRELLSRYPL >fgenesh2_kg.3__670__AT3G06620.1 pep chromosome:v.1.0:3:2747850:2753177:-1 gene:fgenesh2_kg.3__670__AT3G06620.1 transcript:fgenesh2_kg.3__670__AT3G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L5X4] MENPPAEELLKKILELEESQEHLKQEMSRLKVSTELRQRSHSVSPHRPARRTIGEGAPAWRKSGAASFRNASPLRKESRIQNSMRLRSEVGGGGPSAGKFTDKQYLNILQSMAQAVHAFDLNMRIIFWNAMAEKVYGYSAAEALGENPINVIADDRDASFAMNIARRCVRGESWTGEFPVKSKSGDRFSAVTTCSPFYDDDGALMGIICITSNTAPYLNPRISLAKLKAQEEGETSSIPARNSFASKLGLDSRGAIISKFGLDSDQPIQVAIASKISDLASKVSNKIRSKMRAGDNSATLSEGGSGDSHHSYHGVFGATLTDHKDDAASSGASTPRGDFLQSPFGVFTCNDDKFVSKPFKDSSDESDGKPAIHKVLTSKAEEWMVKKGLSWPWKGNEQEGSKGRPTNSVWPWVQNAQEKERSHQINPAAGVNSESHAFESNKPINNEASCLWSSSINANSTSSASSCGSTSSCVMNKVDTDSEGLEYEILWDDLTIGEQVGQGSCGTVYHGLWFGSDVAVKVFSKQEYSAEVIESFKQEVLLMKRLRHPNVLLFMGAVTSPHRLCIVSEFLPRGSLFRLLQKSTSKLDWRRRIHMALDIARGMNYLHHCSPPIIHRDLKSSNLLVDRNWTVKVADFGLSRIKHETYLTSKSGKGTPQWMAPEVLRNESADEKSDIYSFGVVLWELATEKIPWETLNSMQVIGAVGFMDQRLEIPKDIDPSWISLMESCWHGDTKLRPTFQELMEKLRDLQRQYTIQFQATRALLKDN >fgenesh2_kg.3__673__AT3G06640.1 pep chromosome:v.1.0:3:2760639:2764720:-1 gene:fgenesh2_kg.3__673__AT3G06640.1 transcript:fgenesh2_kg.3__673__AT3G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L5X7] MVKLLQGPLPPSKELLKKMIELEQSQEHLMQEMSRLKVSTELRKEIRIQGSMNLRPSPWKFTDKQYLNILQSMAQSVHAFDLNMRIIFWNAMAEKVYGYSAAEAVGQNPIDVMVDDRDAPFAMNIAQLCSNGETWTGKFPVKSRTGEKFSVVTTCSPFYADDGSLIGIVSVTSDVAQYLNPRISLATLKASEVETSSSPASNSFAFKLGLDSKRAVVSKFGLDSDQPIQVAITSKISDLASKVRNKVRSKMPAGDSSVTVSEGGTWDSHHSDHDVFGATLSDHRDDAAPRGDFIQSPFGVFTCNDDKFASKPFKDSSDGKPVTLFTSKAEEWMVKKGLSLPWKGTEQEGSRVKPSHSVWPCVQNEKKKDKSHQINPSSGVKSKSHASESNKPTNNKASSSRSAYIYVNSTSSPSSRKTISYSVMSKVDTYSNCLEYEILWDDLTIGEQIGQGSCGTVYHGLWFGSDVAVKVIPKQEYSEEVIQSFRQEVSLMQRLRHPNVLLFMGAVTLPQGLCIVSEFLPRGSLFSLLQRSMSKLDWRRRINMALDIARSMNYLHRCSPPIIIHRDLKSSNLLVDKNLTVKVADFGLSRNKHHTYLTSKSGKGMPQWMAPEVLRNESADEKSDIYSFGVVLWELATEKIPWENFNSMQVIGAVGFMNQRLEIPKDIDPDWISLIESCWHRDTKLRPTFQELMEKLRDLQRKYTIQFQAIRAALSDKNKERERIGLFEQQRLVGTSMLCWCANVFIIEQGYGMEDIIEV >fgenesh2_kg.3__674__AT3G06650.1 pep chromosome:v.1.0:3:2770945:2775211:-1 gene:fgenesh2_kg.3__674__AT3G06650.1 transcript:fgenesh2_kg.3__674__AT3G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate lyase B-1 [Source:UniProtKB/TrEMBL;Acc:D7L5X9] MATGQLFSRNTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQEEIAIPVHAAIEAACAAHPTADVFINFASFRSAAASSMAALKQPTIKVVAIIAEGVPESDTKQLIAYARANNKVIIGPATVGGVQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQIKMVVVLGELGGRDEYSLVEAMKQGKVTKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALKDAGATVPTSFEALESAIKETFDKLVEEGKVSPIKEVTPPQIPEDLSSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIIEQGYGVGDVISLLWFKRSLPRYCTKFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDACDRNLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVELLQKFARSNFPAVKYMEYAVQVETYTLSKANNLVMNVDGAIGSLFLDLLAGSGMFTKQEIDEIVQIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >fgenesh2_kg.3__675__AT3G66652.1 pep chromosome:v.1.0:3:2775818:2779410:1 gene:fgenesh2_kg.3__675__AT3G66652.1 transcript:fgenesh2_kg.3__675__AT3G66652.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fip1 motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L5Y0] MDSTDDDFGDLYVDDAKVQASDASADDVGFVKSCKESECATNSSDFEGTVKPDLEGEMKKFDVVAKDSSPCDDDCAVNLTEADEELEFSDSDSDDDLNIVLKDDDSKPLPAACVFNTNYGGSKACSFQRRWTRNASANNACIDPSLGMSQYGYSFSNPWSRTPFDVNFDVFEKKPWRNPGMDTTDFFNFGLNEQSWKDYCKPLGRAIEVGGGTLERIPSADLRRPRDSDPGVVIQILVTNDVEELSIMTPERARCITSNEASRSDDSHSNDRNDLNSVDDSPKDEAFVGCQEKNAASFSGEKSPPTENCCSREVTPSDKEMLEEEKEEGFCNSDETDPSSVERESSLGDRIRLSPTSSCSAGKNEESDDYETESLKDSATDDQREVSTPPQQARLAEHEAISIKRGGNSGTMHSRPRRSHEDSSKRHCGRAGYAGYVKDASPTPDPGCGKKVRSRHGSLYRDSNKNWQKGPRVTLERDETEGKGVHYYRENCHGRLYSSVDHAKHRKHRFGWRNIKESSLGRGFDHSNSYKCGAHPKEYTSRSSFDLNQRNSRSSFKEEDDRYGWHHCERKYGHERSPVRAYENYKERNGCNWLREPYYEDCIPITGMDYRYRSDYTSAHAIHNLNQSPENDIYCRRRGGYDSNLHRHRYEDVVHRAESRIPFERAYREVRSFAEVEMREYQGYKRHEEFSEIEKRRHYTHDWNLDRFVSEKDDCKYRTQDDWSSPSLSLRDSWYTKEAKGDFWRDDARDFRTAEAYDNQNNQFHKAATRDGRTQNLGRSDNVSIKDRLKDDDDWVCPDRGRYNTADDIQCSMREVTYSGHPSYTDEILRHIRENDERHHKSKKLRGDGHSFIKRQDPVDLAGRQGKRSNQSNKRFSNGEQQDLQKPRKLVGKSEEKAMQTRDINDKEEGEIIEEATNVKGVEIDNERIQESLKKMEKRRERFKGTKMARTVEATFKSETERRAKTDVTNQQRPVRKRRWCAS >fgenesh2_kg.3__676__AT3G66654.3 pep chromosome:v.1.0:3:2779799:2782208:1 gene:fgenesh2_kg.3__676__AT3G66654.3 transcript:fgenesh2_kg.3__676__AT3G66654.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7L5Y1] MAKIKPQALLNQSKKKKGPSRISISTIFVCNLVVAVVILSLVTTYRHWSQRSRNTIEHETQSQRFEDTNTASEQKTYDLPGYADINTSKGLITVELFKEGSPEVVDKFLDLCQKDHFKGMPFHRVIKNYLVQAGHSPSSIPVEEWTAKGKLRGRLHIGPKHEAFMLGTPKNKGNNKDFELLITTAPIPDLNDQLIVFGRVLKGEDVVQEIEEVDTDEHYQPKSPIGITGVVLKRGI >fgenesh2_kg.3__679__AT3G66656.1 pep chromosome:v.1.0:3:2782989:2783504:-1 gene:fgenesh2_kg.3__679__AT3G66656.1 transcript:fgenesh2_kg.3__679__AT3G66656.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L5Y2] MEKVQDTNTKQVTFSKRRLGLFKKASELATLCNAEVGIVVFSPGNKPYSFGKPNFDLIAERFKNEFEEEEEADSCETSGYSRGKRARQEKKICKRLNSIIEEAEAEKKHGEDLHKWLESAQQDRFNKPIEELTLEELKEFEAKIQETRCGIQSNINHMQASSSLMFLSKDN >fgenesh2_kg.3__67__AT3G01520.1 pep chromosome:v.1.0:3:194629:196151:-1 gene:fgenesh2_kg.3__67__AT3G01520.1 transcript:fgenesh2_kg.3__67__AT3G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein family protein [Source:UniProtKB/TrEMBL;Acc:D7L9P0] MGSEPTKVMVAVNASTIKDYPHPSISCKRAFEWTLEKIVRSNTSDFKILLLHVQVVDEDGFDDVDSIYASPDDFRDMRESNKAKGLHLLEFFVNKCHEIGVGCEAWIKIGDPKDVICQEVKRVRPDYLVVGSRGLGRFQKVFVGTVSAFCVKYAECPVMTIKRNADETPSDAADD >fgenesh2_kg.3__681__AT3G66658.2 pep chromosome:v.1.0:3:2784504:2788449:-1 gene:fgenesh2_kg.3__681__AT3G66658.2 transcript:fgenesh2_kg.3__681__AT3G66658.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALDH22a1 [Source:UniProtKB/TrEMBL;Acc:D7L5Y3] MPFWWPLIVLAFAYAICKFLLMLIPPNVPSIDVDASDVLAHGKDTEENSFIYIPPRGRSQQSDKKVQCYEPATMKYLGYFPALSPTEVEERVTLSRKAQKTWAQSSFKLRRQFLRILLKYIIEHQELICEVSSRDTGKTMVDASLGEIMTTCEKITWLLSEGEQWLKPESRSSGRAMLHKVSRVEFHPLGVIGAIVPWNYPFHNIFNPMLAAVFSGNGIVIKVSEHASWSGCFYFRIIQAALAAVGAPENLVDVITGFAETGEALVSSVDKMIFVGSTAVGKMIMRNAAETLTPVTLELGGKDAFIICEDADVSHVSQVAVRGTLQSSGQNCAGAERFYVHKDIYTAFISQVTKIVKSVSAGPPLTGRYDMGAICLQEHSEHLQSLVNDALDKGAEIAVRGSFGHLGEDAVDQYFPPTVLINVNHNMKIMKEEAFGPIMPIMQFSTDEEVIKLANDSRYALGCAVFSGSKHRAKQIASQIQCGVAAINDFASNYMCQSLPFGGVKDSGFGRFAGIEGLRACCLVKSVVEDRFWPLIKTKIPKPIQATENAFEFQEALVETLYGLNIWDRLRSLIDVLKFLTDQSSNVSRTRKSH >fgenesh2_kg.3__683__AT3G06660.1 pep chromosome:v.1.0:3:2799889:2802114:1 gene:fgenesh2_kg.3__683__AT3G06660.1 transcript:fgenesh2_kg.3__683__AT3G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCEASASSLAGFTGRRRRSALPRRPRHIKGSAHSFKFLPPSTQANTNSLCGSVSENKLKLKLKLGGGVTRTLQTNSEAGLYSKALDNGQKPFQNKVKGNSHVQKTLFLGDIHQSRGYPSVTGNRISMSEKSKRGLKKRVLDPEPDSDDDGDEEIRYLVKLKSKRVRESHEGKESEGGTRMHSNGHDMEDTKHLSSDKLAVSGRKKPKVGMVDPLPAGATSGQIPTTRTRALQSGTDPHSVIGSGPLEFPDGLPCPSSKKPKQKLSEVEQQSKKAEAAQRRRMQSEKAAQEAEAEAIRKILGQDSGRKKKEEKMKKQQEERAQERAARSSTLASNTIRLVIGPSGTTMTFSEDIGLPDIFKPITYSYPPPREKCVGPNCEKAYKYRDSKSKLPLCSLGCYKAIQEKMEQALIHC >fgenesh2_kg.3__684__AT3G06670.1 pep chromosome:v.1.0:3:2803900:2811815:-1 gene:fgenesh2_kg.3__684__AT3G06670.1 transcript:fgenesh2_kg.3__684__AT3G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7L5Y8] MGAPEKSQSNTNSMQRVKVYHLNEDGKWDDRGTGHVSIDFVERSEELSLCVIDEEDNETLLVHPINPEDIYRKQEDTIISWRDPERSTELALSFQETAGCSYVWDQICTMQRNLHFSSLNSETFHSLNSELRELPAVELTTLPLILKIVTESGITDQMRLTELILKDHDFFRNLMGVFKICEDLENVDGLHMIFNIVKGIILLNSSQILEKIFGDELIMEIIGCLEYDPGVPHSQHHRNFLKEHVVFKEAIPIKDPLVLSKIHQTYRIGYLKDVVLARVLDDAIVANLNSVIHANNAIVVSLLKDDSTFIQELFARLRSPSTSVESKKNLVYFLHEFCSLSKSLQVVQQLRLFRDLINEGIFHVIEEVLQIPDKKLVLTGTDILILFLTQDPNLLRSYVVRTEGNPLLGLLVKGMMEDFGDKMHCQFLEIIRTLLDANALSGGAQRANIMDIFYEKHLPELVDVITASCPEKSGNTSEGAARRIFTKPEVLLNICELLCFCIMQDASRTKCSFLQNNVTEKVLHLTRRKEKYLVVAAIRFVRTLLSVHDDYVQNYIVKNNMLKPIIDVFIANGNRYNLLNSAVLDLLEHIRKGNATLLLKYIVDTFWDQLAPFQCLTSIQAFKVKYEQCLESAGPKSTADAVDPRRRIDERALEKEEEDYFNEDSDEEDSASASNTQKEKPASNIQKEQPKPHLSNGVAASPTSSSPRSGGLVDYEDDEDDEDYKPPPRKQPEASEDEEGELLRLKRKSPCVEREQEPSKKPRLGKSSKRENVFAVLCSTLSHAVLTGKKSPGTAGSAARSIVAKGAEDSRSSEETNSSSSDDENHKDGVSSSEHETSDNGKLNGEESLVVAPKSSPEMAVNGS >fgenesh2_kg.3__686__AT3G06680.2 pep chromosome:v.1.0:3:2813205:2813728:-1 gene:fgenesh2_kg.3__686__AT3G06680.2 transcript:fgenesh2_kg.3__686__AT3G06680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L29 [Source:UniProtKB/TrEMBL;Acc:D7L5Y9] MAKSKNHTAHNQSAKAHKNGIKKPRRHRHTPTRGMDPKFLRNQRYARKHNIKSGENASAEE >fgenesh2_kg.3__687__AT3G06720.1 pep chromosome:v.1.0:3:2814939:2818642:1 gene:fgenesh2_kg.3__687__AT3G06720.1 transcript:fgenesh2_kg.3__687__AT3G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:D7L5Z0] MSLRPNARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKSKREESLMKKRREGMQALQDFPSASAASVDKKLESLQDMIAGVLSDDPALQLESTTQFRKLLSIERSPPIEEVIAAGVVPRFVEFLKKEDYPAIQFEAAWALTNIASGTSDHTKVVIDHNAVPIFVQLLASPSDDVREQAVWALGNVAGDSPRCRDLVLGCRALLPLLNQLNEHAKLSMLRNATWTLSNFCRGKPQPHFDQVKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQTVIEAGVVPRLVELLLHPSPSVLIPALRTVGNIVTGDDLQTQWVINSGALPCLANLLTQNYKKSIKKEACWTISNITAGNKDQIQTVVEANLIAPLVSLLQNAEFDIKKEAAWAISNATSGGSHDQIKYLVEQGCIKPLCDLLVCPDPRIITVCLEGLENILKVGEAEKNLGNTGDMNYYAQLIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEEDDETQQPPGVDGSQAGFQFGGNQAPVPSGGFNFS >fgenesh2_kg.3__689__AT3G06730.1 pep chromosome:v.1.0:3:2818763:2820384:1 gene:fgenesh2_kg.3__689__AT3G06730.1 transcript:fgenesh2_kg.3__689__AT3G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQSRTLPHLNVPLSPILSSLHAPSSLFLRTEIRPVASPFSSSTAGNLPFSPLTRPRKLLCPPPRGKFVREDYLVKKLSAQELQELVKGDRKVPLIVDFYATWCGPCILMAQELEMLAVEYESNAIIVKVDTDDEYEFARDMQVRGLPTLFFISPDPSKDAIRTEGLIPLQMMHDIIDNEM >fgenesh2_kg.3__68__AT3G01516.1 pep chromosome:v.1.0:3:196482:197157:-1 gene:fgenesh2_kg.3__68__AT3G01516.1 transcript:fgenesh2_kg.3__68__AT3G01516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENQKPHRVSRKDQSGSHWSQGADEEPRARCSGKRCRSWAAAAIADCVALCCCPCAVVNLFTLAFVKVPWMIGRKCIGRGGRSKKRRKKINREDRFHHHHQHRRSAEMASGGCCGGGDGEFDDHRFVVERDGSLTKEEEKTASLKGEEETRISARVEAERVWLELYQIGHLGFGRVSFTGIHQ >fgenesh2_kg.3__690__AT3G06740.1 pep chromosome:v.1.0:3:2820834:2821886:1 gene:fgenesh2_kg.3__690__AT3G06740.1 transcript:fgenesh2_kg.3__690__AT3G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLTSVDAIEEHSSSSSSNEGISNEKKSCAICGTSKTPLWRGGPAGPKSLCNACGIRNRKKRRTLISNRSEDKKNKNHNRNPKFGDSLKQRLMELGREVMMQRSTAENQRRKKLGEEEQAAVLLMALSYASSVYA >fgenesh2_kg.3__691__AT3G06750.1 pep chromosome:v.1.0:3:2826418:2827111:-1 gene:fgenesh2_kg.3__691__AT3G06750.1 transcript:fgenesh2_kg.3__691__AT3G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRFSNLSALLILLMSLPLLVISKDQTVSCTMCSSCDNPCNPVPSSYSPPPPPSSSGGGGSYYYSPPPPSSSGGAKYPPPYGGDGYGGQGYYYPPPYYGNYGTPPPPNPIVPYFPFYYHTPPQGYSGSARSHDSLLFALFAVLLCFV >fgenesh2_kg.3__692__AT3G06760.1 pep chromosome:v.1.0:3:2829929:2831586:1 gene:fgenesh2_kg.3__692__AT3G06760.1 transcript:fgenesh2_kg.3__692__AT3G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSWINCPSVFSSSSSSSRRCQSRSDLYLGGGYEDLEGEDDLKAEFICPFCAEDFDIVGLCCHIDEEHPVEAKNGVCPVCTKRVGLDIVGHITTQHANFFKVQRRRRLRRGGYSSTYLALKKELRESNLQSLLGGSSSFTSSTNIDSDPLLSSFMFNSPSVNESAKKSATPVTVGNAATKVSIKESLKRDIQEAPLSGEDQEKAKKSEFVRGLLLSTMLGDDF >fgenesh2_kg.3__695__AT3G06770.2 pep chromosome:v.1.0:3:2831798:2834073:-1 gene:fgenesh2_kg.3__695__AT3G06770.2 transcript:fgenesh2_kg.3__695__AT3G06770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7L5Z6] MSVVIILILALTSLIPIYAKVLGAGKICDELGRISLSTRPHSVSITDFGAVGDGKTLNTLAFQNAVFYLMSFADKGGAQLYVPPGNWLTGSFSLTSHLTLFLENGAVIVASQDPSHWEVVDPLPSYGRGTDLPGKRYKSLINGNMLHDVVVTGDNGTIDGQGLVWWDRFTSHSLKYNRPHLIEFLSSKNVIVSNLTFLNAPAYTIHSIYSSHVYIHKILAHSSPESPYTIGIVPDSSNYVCIQNSTINVGYDAISLKSGWDEYGIAYSRPTENVHIRNVYLRGASGSSISFGSEMSGGISDVVVDNAHMHNSLTGIAFRTTKGRGGYIKEIDISNIDMSRIGTAIVANGSFGSHPDDKYDANALPLVSHIRLSNISGENIGIAGKLFGIKESPFSAVALSNIALSTSSGSSVSWQCSYVYGSSESVIPEPCPELKRDDDAYGRAAV >fgenesh2_kg.3__696__AT3G06778.1 pep chromosome:v.1.0:3:2837172:2838545:-1 gene:fgenesh2_kg.3__696__AT3G06778.1 transcript:fgenesh2_kg.3__696__AT3G06778.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7L5Z7] MGKIRPGPDPKAALVSDILSLSRSPISCIHINCISSGSCFIDWYLILGIQEDAEVKVIRKRYHKLALKVHPDKNNHPKADIAFKLIHEAYLCLSDETRRISFNTDRRKNICLKCSRVSHKTKENRNDSKPNRFCQTLKDIRDKFREENKVIERCLKTNSAIFMGNRTKETPVYGIPNQNRLKKESPVFNPSDYRLWGYPHVRNRVFDYNLSSDWNMFTRSRSTCVHSS >fgenesh2_kg.3__698__AT3G06790.2 pep chromosome:v.1.0:3:2842423:2843746:-1 gene:fgenesh2_kg.3__698__AT3G06790.2 transcript:fgenesh2_kg.3__698__AT3G06790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALINARRTVATLLSKTLSSSSSSSSSFSTLSSRSRFAVPLIEKVSGLGPCYISTRLKTSGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFTDPKPTEEEMINSYVKTLTSVLGSEEEAKKKIYSVSTSTYTGFGALISEELSCKVKELPGVLWVLPDSYLDVPNKDYGGDLYIEGEVIPRPQYRFTEQRQTRNRYRPRYDRRRETMQVERREPPMGHQAPAYPGEFNKPSA >fgenesh2_kg.3__6__AT3G02060.1 pep chromosome:v.1.0:3:6446:10851:-1 gene:fgenesh2_kg.3__6__AT3G02060.1 transcript:fgenesh2_kg.3__6__AT3G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLPNPDPITIPLVFKLCSFPPPRRLFSLRLRRFTRKSSSILPFVAVSSLSATAAKPTRWREKPELAESDSISLLNERIRRDIGKRETARPAMDSEETEKYIQMVKEQQERGLQKLKGIRQGTEAAGTGGFSYKVDPYSLLSGDYVVHKKVGIGRFVGIKFDVPKDSSEPLEYVFIEYADGMAKLPLKQASRLLYRYNLPNETKRPRTLSRLSDTSVWERRKTKGKVAIQKMVVDLMELYLHRLRQKRYPYPKNPIMADFAAQFPYNATPDQKQAFLDVEKDLTERETPMDRLICGDVGFGKTEVALRAIFCVVSAGKQAMVLAPTIVLAKQHYDVISERFSLYPQIKVGLLSRFQTKAEKEEYLEMIKDGHLNIIVGTHSLLGSRVVYSNLGLLVVDEEQRFGVKQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERIPIKTHLSSFRKEKVIEAIKNELDRGGQVFYVLPRIKGLEEVMDFLEEAFPDIDIAMAHGKQYSKQLEETMERFAQGKIKILICTNIVESGLDIQNANTIIIQDVQQFGLAQLYQLRGRVGRADKEAHAYLFYPDKSLLSDQALERLSALEECRELGQGFQLAERDMGIRGFGTIFGEQQTGDVGNVGIDLFFEMLFESLSKVEELRIFSVPYDLVKIDININPRLPSEYVNYLENPMEIINEAEKAAEKDMWSLMQFTENLRRQYGKEPYSMEIILKKLYVRRMAADLGVNRIYASGKMVVMKTNMSKKVFKLITDSMTCDVYRSSLIYEGDQIMAELLLELPREQLLNWMFQCLSELHASLPALIKY >fgenesh2_kg.3__700__AT3G06810.1 pep chromosome:v.1.0:3:2844172:2848679:1 gene:fgenesh2_kg.3__700__AT3G06810.1 transcript:fgenesh2_kg.3__700__AT3G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTGDLVTRVQSAHRFDHDALFRFAADNVTGFPTNPSQFNVSQFGHGQSNPTFLIEVGSGSSLKRYVLRKKPPGKLLQSAHAVDREFQVLRALGEHTQVPVPKVFCLCTDPTVIGTAFYIMEFMEGRIFIDPKLPTVAPEKRNAIYRATAKALASLHSADVDAIGLEKYGRRGNYCQRQIDRWFKQYLASTSEGKPERNPKMFELVDWLRKNIPAEDSTGATSGLVHGDFRIDNLVFHPSEDRVIGIIDWELSTLGNQMCDVAYSCMHYIVHVQLDKEHVSEGLETTGLPEGMLSMPEFLLEYCSASGKPWPAANWKFYVAFSLFRAASIYTGVYNRWLMGNASAGERARNTGAQANELVESALGYIARENVLPEHPPSVQRDLSPSYESLLDGSGRFIPNRKVLKLRQKLIKFMETHIYPIENEFSKLAQSDMRWTVHPEEEKLKEMAKREGLWNLFVPVDSAARARRELAATENKHNLSSKSFDQLFGEGLTNLDYGYLCEIMGRSVWAPQVFNCGAPDTGNMEVILRYGNKEQISEWLIPLLEGRIRSGFAMTEPQVASSDATNIECSIRRQGDSYVINGTKWWTSGAMDPRCRVLILMGKTDFNAPKHKQQSMILVDMRTPGIRVKRPLTVFGFDDAPHGHAEISFENVIVPAKNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMELMAQRALSRKTFGKFIAQHGSFVSDLAKLRVELEGTRLLVLEAADHLDKFGNKKARGILAMAKVAAPNMALKVLDTAIQVHGAAGVSSDTVLAHLWATARTLRIADGPDEVHLGTIGKLELQRASKL >fgenesh2_kg.3__702__AT3G06820.2 pep chromosome:v.1.0:3:2849157:2851800:-1 gene:fgenesh2_kg.3__702__AT3G06820.2 transcript:fgenesh2_kg.3__702__AT3G06820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDVWLTCLTHALSTETEEIMGLLLGDIEYSKNGERATAMIWAASPQSRSDRQKDRIHGLIMTISTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDSGFIGLIFSCFSEDANKVGRIQVIAFQSSDGKPNSIPKSMSLVLANKDSVIDLESSFSSSDSIYQRSSSARGDNPELDTTIAFYSSLMKVLTNYNFCIIQLIIQILKTIMGGGRVSDFGAFFVNNTEANITGRDGTSGNYSSGNLSSTAIEIDSMDMSESMQEAMLRSNLETSGVGYVRKEVPLHVLPTSSLLQLNSPLASFKSLQRVLYEEERAAYHQSVQQSMRDGRVHPLAFIHNTSTYQASMCKLIEYCLSPAINALQDRLKENKIRLAMLMDEAEVLEAQKLKGPETSGGPSRLVHGSGSRSRRGS >fgenesh2_kg.3__703__AT3G06830.1 pep chromosome:v.1.0:3:2852825:2855053:1 gene:fgenesh2_kg.3__703__AT3G06830.1 transcript:fgenesh2_kg.3__703__AT3G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7L602] MGSIGDKKKKFIIAGSVSGFLVIMVVSVAVVTSKHSPKADENHIKKTTKAVQAVCAPTDFKDTCVNSLMGASPSSAEPLDLIKLGFNITIKSINESLKKASGDVKAKADKNPEAKGAFELCEKLMIDAIDDLKKCMDHGFSVDRIEVFVEDLRVWLSGSIAFQQTCMDSFGEIKSNLMQDMLKIFQTSRELSSNSLAMVTSISTLLPNSNITGLTGALANYARKLLSTEDGIPNWVGPEARRLMAAQGGGPGPGPVKANAVVAQDGSGQFKTITDALNGVPKGNTVPFVIHIKQGIYKEKVMVTRKMPYVTFIGDGPNKTVITGSLNFGIGKVKTFLTATITVEGDHFTAKNIGIENTAGPEGGQAVALRVSADYAVFHSCQIDGHQDTLYVHSHRQFYRDCTVSGTVDFIFGDAKCILQNCKIVVRKPNKGQSCMVTAQGRSNVRESTGLVLHGCHITGDPAYIPVKSVNKAYLGRPWKEFSRTIIMKTTIDDVIDPAGWLPWSGDFALKTLYYAEHMNTGPGSNQAQRVKWPGIKKLTPQDALLYTGDRFLRGDTWIPQTQVPYTANI >fgenesh2_kg.3__704__AT3G06840.1 pep chromosome:v.1.0:3:2856470:2857413:1 gene:fgenesh2_kg.3__704__AT3G06840.1 transcript:fgenesh2_kg.3__704__AT3G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISPIFTISNSQHFSDYGFDPQLHYFQVMEEARKHKRETSSKSYMNGLQFKIQKPISKDNPTRSTMHNDKKKKRCWWKKALPFFKWRKWPISTVGVNEDRRARNFRAVAGSMSMSGPIYATESLSGSSTPYRTTTTNRPSSGPIARTLTPARKGDVANPYLSLRELNMDQPQRISISSSPIYLVT >fgenesh2_kg.3__707__AT3G06860.1 pep chromosome:v.1.0:3:2861588:2867401:1 gene:fgenesh2_kg.3__707__AT3G06860.1 transcript:fgenesh2_kg.3__707__AT3G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTKGKTVMEVGGDGVAVITLINPPVNSLSFDVLYNLKSNYEEALSRIDVKAIVITGGRFSGGFDISGFGEMQKGTIKEPKAGYISIDIITDLLEAARKPSVAAIDGLALGGGLELAMACHARISAPAAQLGLPELQLGVIPGFGGTQRLPRLVGLTKALEMILTSKPVKAEEGHSLGLIDAVMPPAELLTTARRWALDIVERRKPWVSSVSKTDKLPSLGEAREILKFARGQTQKRAPNMKHPLMCLDAIEVGIVSGPRAGLEKEAEVASQVVKLDTTKSLIHVFFSQRGTAKVPGVTDRGLAPRKIKKVAIIGGGLMGSGIATALILSNYPVILKEVNEKFLEAGIGRVKANLQSRVRKGSMSQEKFEKTMSLLKGSLDYESFRDVDMVIEAVIENISLKQQIFADLEKYCPQHCILASNTSTIDLNKIGERTKSQDRIVGAHFFSPAHIMPLLEIVRTNHTSAQVIVDLLDVGKKIKKTPVVVGNCTGFAVNRMFFPYTQAAMFLVECGADPYLIDRAISKFGMPMGPFRLCDLVGFGVAIATATQFIENFSERTYKSMIIPLMQEDKRAGEATRKGFYLYDDKRKAKPDPELKKYIEKARSISGVKLDPKLANLSEKEIIEMTFFPVVNEACRVFAEGIAVKAADLDIAGIMGMGFPPYRGGIMFWADSIGSKYIYSRLDEWSKTYGEFFKPCAFLAERGSKGVPLSAPVKQASSRL >fgenesh2_kg.3__708__AT3G06868.1 pep chromosome:v.1.0:3:2868760:2870249:1 gene:fgenesh2_kg.3__708__AT3G06868.1 transcript:fgenesh2_kg.3__708__AT3G06868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELKDQDMGEGMQCIRHPYTKNPGGICALCLQEKLGKLVTSSFPVPKPNHLSSSSPKSFTPSTTSSLALSLSSASNGRDSTSNNNLPFLLAKKKKNMLAASSSSSSSSSSSSSANLIYKRSKSTAAAYGESFSQRKRSGFWSFLHLYSSKHQISNTTKKVDNFSHSRRNQRTESTTETSSKRVGGGGGIDVIVEEEDESPPNKVVSETPTNGGIGGGGGSSFGRKVLRSRSVGCGSRSFSGDFFERISNGFGDCALRRIESQREATKVISNGGGGEAANAMNEMVKCGGIFGGFMIMTPSSTSSSTTSSTVDHHHNHKMGNRNWGWAFASPMRAKATTTTHRGRTITESSADNKNISPNLDSIPSLLALKS >fgenesh2_kg.3__709__AT3G06880.1 pep chromosome:v.1.0:3:2870857:2876749:-1 gene:fgenesh2_kg.3__709__AT3G06880.1 transcript:fgenesh2_kg.3__709__AT3G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L607] MAYLSSSQSSFISCNENSSLDSILVSINDYILGVISDPEAWISLKQKCITLLSIEEDNTLFDDFSSEHSALSNLYWGIDSIETSIHPECSEEKTSRLRNSERMLQMPALLDEQGTTTSGVPNTILVSFSYFYLSIVSYLQGDSLQSTLHFLQSVLVSPEIVRSVIAPELCESIFFTPGVYKSDEEIREIARKYKYRATYYQVMSYGETHQPPRECTEKPLRSQKKYGQEIFTANAHSVAEKLQLSETCEEDYNPELGKSTRVRCLNEFLNESQLDTREDVGTDTLANIFCVSQQQAHKEAYNEDTLANRSRSSIGNFNHSIFDIQAQQSKTNLYTHLEDASSLRQLDLEEISVFGHKGSITFEGMRRNLQTKKRGNGHETHSRRASTMDLWKNLQSLIKEVLGNADEKYVSEVTMIYQMLNRKEGFKYRMLKDVILDQLFTAISSSEEKTVIKASMTALTKIISVNRTALEEVKRKGLNLSHLANALKQNVQEAAILIYLIKPSPTEIKSLELLPALVDVVASTSSSPSCYTFRPSPPLLTPPAASLMIIEVLITAFDHATNTMHLAAISSPSVLCGLLDVAKSGNSGEFISLARSISISILEWLVLRISCKAKTKKKCAFLFNFFMKSSAIKILQQIKKEGSFDIKGDHKLFAVDILLQLNALDSPPENKKYRNEATRALLDAVTYSEGSNMQLLSTLILSNIGGTYSWTGEPYTAAWLMKRGGLTSMSHMNMIRNINWSDECLQDPGIDGWCCKIARRIIDTGKATFCGLQEGLKSQNKSVSKACLIAIAWFSIEISKGPNSLKYSACEVLLDEIAQFLHPGLELEERLLACICIYNFSSGKEMHQSGSGAVTALIYHKGLLFSGYSDGSIRVWNVNKKLATILWDIKEHKSTVTCFSLSEAGESVLSGSADKTIRVWQIVKGKLECAEVIKKKDSIRKLEAFGSMIFVITKGHKMKLLDSSRISQSIFKGKGVKSMVAAQGKIYIGCIDTSIQELIVTNKREKEIKAPTRSWRIQNKPINSVVVYKDMLYSSSTHVEMSNIKDLRRNYEPQMSITAEKGSNIVAMGVVEDFIYLNRSSSANTLQHFSLYFSSWTPFDVLVPTISSTHY >fgenesh2_kg.3__710__AT3G06890.1 pep chromosome:v.1.0:3:2878528:2879127:1 gene:fgenesh2_kg.3__710__AT3G06890.1 transcript:fgenesh2_kg.3__710__AT3G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQDRQGVVGGGGGGGSAPHGIILAVVVALVVLVPFFIGDGGEAITDAIAELLSPVGLLLLPIILLLTIQFLSSERGSFVSAIFSTGEPESIHRVSGSPVGVALFLVLILFLLYYRFSIFGGDDGSDD >fgenesh2_kg.3__712__AT3G06920.1 pep chromosome:v.1.0:3:2883602:2908497:1 gene:fgenesh2_kg.3__712__AT3G06920.1 transcript:fgenesh2_kg.3__712__AT3G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L610] MVGFARTKFCKHLSSLSDNGENHEKPYTFEGNRQIVNDICNVLETGAWGPSAENALSALNFKPQPEFVIGVLRRLKDVNRAIEYFRWYERRTELPHCPESYNSLLLVMARCRNFDALDQILGEMSVAGFGPSVNTCIEMVLSCVKANKLREGFDVVQNMRKFKFRPAFSAYTTLIGAFSAVNHSDMMLTLFQQMQELGYEPTVHLFTTLIRGFAKEGRVDSALSLLDEMKSSSLDADIVLYNVCIDSFGKVGKVDMAWKFFHEIEANGLKPDEVTYTSMIGVLCKANRLDEAVEMFEHLEKNRRVPCTYAYNTMIMGYGSAGKFDEAYSLLERQRAKGSIPSVIAYNCILTCLRKMGKVDEALRVFEEMKKDAAPNLSTYNILIDMLCRAGKLDCAFELRDSMQKAGLFPNVRTVNIMVDRLCKSQKLDEACAIFEQMDYKVCTPDEITFCSLIDGLGKVGRVDDAYKIYEKMLDSDCRTNSIVYTSLIKNFFNHGRKEDGHKIYKDMVNQNCSPDLQLLNTYMDCMFKAGEPEKGRAMFEEIKSRRFVPDARSYSILIHGLIKAGFANETYELFYSMKEQGCVLDTRAYNIVIDGFCKCGKVNKAYQLLEEMKTKGFEPTVVTYGSVIDGLAKIDRLDEAYMLFEEAKSKRIELNVVIYSSLIDGFGKVGRIDEAYLILEELMQKGLTPNVYTWNSLLDALVKAEEINEALVCFQSMKELKCTPNQVTYGILINGLCKVRKFNKAFVFWQEMQKQGMKPSTISYTTMISGLAKAGNIAEAGALFDRFKANGGVPDSACYNAMIEGLSNGNRAMDAFSLFEETRRRGLHIHNKTCVVLLDTLHKNDCLEQAAIVGAVLRETGKARHAARSW >fgenesh2_kg.3__714__AT3G06930.1 pep chromosome:v.1.0:3:2909292:2913393:-1 gene:fgenesh2_kg.3__714__AT3G06930.1 transcript:fgenesh2_kg.3__714__AT3G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine N-methyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7L611] MEVSSVKKLEQLEFSLDSVTDLSASSASSSTPAVATFSCVDGVTELRFLQSDSTHCFNFDLASAQLFKLGPVHFICVSDSSEEKSFSKGVNIKFKNDKDSNDFCESFQEWRKDAVVQGSSLQNGTVSANKSKFDNKIEASSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVMENRSDFAGRVVVDVGAGSGILSMFAAQAGAKHVYAVEASEMAEYSRKLIAGNPLFAERITVIKGKVEDIELPEKADILISEPMGTLLVNERMLESYVIARDRFMSPKGKMFPTVGRIHMAPFSDEFLFIEMANKAMFWQQQNYYGVDLTPLYGSAHQGYFSQPVVDAFDPRLLVASPMFHMIDFTQMKEEDFYEIDIPLKFTASMCTRVHGLACWFDVLFDGSTVQRWLTTAPGAPTTHWYQIRCVLSQPIYVMAGQEITGRLHLIAHSAQSYTIDLTLSAKMWGPGASQGGILQSSTCKFDLKEPYYRMSQPQAYPVTQEPPLQPQPELNTQDIQTPNDELEEELLQQLPQNLSAQL >fgenesh2_kg.3__716__AT3G06950.1 pep chromosome:v.1.0:3:2916686:2918265:1 gene:fgenesh2_kg.3__716__AT3G06950.1 transcript:fgenesh2_kg.3__716__AT3G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:D7L612] MSCVTPALPPSLFTNGSLSSPQNISMTNLNSLVKVSDSNAYKWRLVIAYDGTRFAGWQYQESPPTIQSMLEKALIQITELGRKELHLIGAGRTDAGVHAWGQVAHFVTPFNYTSLDSFHAALNGLLPKDIRVRELSAAVPEFHARFSAHSKVYRYQIYNDTFMDPFQRHWAYHCAYKLNASKMREAANLFVGMHDFSAFANATREDGLPDPLKTISRFDVIQMGSLLQLEVEGSGFLYRQVRNMVALLIQIGKEALDSDIVPMILETKDRRVLAKYTTLPAPPHGLCLVSVKYRESHLKLPLDCPVTSFGRHHTITKCKLPFY >fgenesh2_kg.3__720__AT3G06980.1 pep chromosome:v.1.0:3:2925747:2929005:1 gene:fgenesh2_kg.3__720__AT3G06980.1 transcript:fgenesh2_kg.3__720__AT3G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAPPPCLNFPSRSNICNRREIVRLFRNGGSGGVVARAGFVRRPLETSSSYDDSTDEGFVIISSVDKENEFSPPPTPDLLSSIPSESTRRNGSRSGGVTASFGRLKAQKVKALVGKVTQKKQHMSHNEEEDEDDASDAEDYSADEGFGSSSILDLMRKKLAMKAIPRAGKSAEIKEVKRVSKVRESRESSRDLDRLEGDDEDVYEVSNPDRFTDNERAGSRSSYSKGYAANSRGKGDRLLVARDFDSFEGHDRAIDEVSNPRKFNDNERAGSRSSYSRDSSANSRGREDRRFVAKESNTFQGRDKAYDEVYYPRRFTDNERGGSHSSYSKGSDTNSRGWGDRRSVVYARDMDDWRENRNKTNATRETGFFSRKTFAEIGCSEDMMKALKEQNFDRPAHIQAMAFSPVIDGKSCIIADQSGSGKTLAYLVPAIQRLREEELQGQSKSSSGCPRVIVLVPTAELASQVLANCRSISKSGVPFRSMVVTGGFRQRTQLENLEQGVDVLIATPGRFMYLMNEGILGLSNLRCAILDEVDILFGDDEFEAALQSLINSSPVTAQYLFVTATLPLEIYNKLVEVFPDCEVVMGPRVHRVSNALEEFLVDCSGDDNAEKTPETAFQNKKTALLQIIEENPVSKTIIFCNKIETCRKVENIFKRVDRKERQLHVLPFHAALSQESRLTNMQEFTSSQPEENSLFLVCTDRASRGIDFSGVDHVVLFDFPRDPSEYVRRVGRTARGARGEGKAFIFVVGKQVALARRIIERNQKGHPVHDVPNAYEFTT >fgenesh2_kg.3__722__AT3G07010.1 pep chromosome:v.1.0:3:2945960:2950419:-1 gene:fgenesh2_kg.3__722__AT3G07010.1 transcript:fgenesh2_kg.3__722__AT3G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7L622] MAVTKLILFASALLLTTLFIGVNSSRSNETWHEHAVENPDEVAAMVDMSIRNSTERRRLGYFSCATGNPIDDCWRCDRKWQLRRKRLADCSIGFGRNAIGGRDGRFYVVTDPGDDNPVNPIPGTLRHAVIQDEPLWIIFKRDMVITLKQELIMNSFKTIDGRGVNVHIANGACLTIQYVTNIIVHGIHIHDCVPTGNAMVRSSPSHYGFRSMADGDAISIFGSSHIWIDHNSLSNCADGLVDAVMSSTAITVSNNFFTHHNEVMLLGHSDSYTRDKVMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRFLAPTNPFAKEVTKREYTGESKWKHWNWRSEGDLFLNGAFFTRSGAGAGSNYARASSLSAKSSSLVGTMTSYSGALNCRAGRRC >fgenesh2_kg.3__724__AT3G07040.1 pep chromosome:v.1.0:3:2961540:2964400:-1 gene:fgenesh2_kg.3__724__AT3G07040.1 transcript:fgenesh2_kg.3__724__AT3G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATVDFGIGRILSVLENETLLLSGVHVEIDKMKKELLIMKSFLEDTHKHGGNGSIATTTQIEDILDEFGYHIHGYRSCAKLWRAFHFPRYMWARHSIAQKLGVVNVMIQSISDSMKRYYHSENYQAAILSPTDDGDAKWVNNISESSLFFSENSLVGIDAPKGKLIGRLLSPEPQRIVVAVVGMGGSGKTTLSANIFKSQSVRRHFECYAWVTISKSYEIEDVFRTMIKEFYKEAETQIPAELYSLGYRELVEKLVEYLQSKRYIVVLDDVWTTGLWREISIALPDGIYGSRVMMTTRDMNVASFPYGIGSGKHEIELLKEDEAWVLFSNKAFPGSLEQCRTQNLEPIARKLLERCQGLPLAIASLGSMMSTKKFESEWKKVYSTLNWELNNNLELKIVRSILLLSFNDLPYPLKRCFLYCSLFPVNYRMKRKSLVRMWMAQRFVEPIRGVKAEEVADSYLNELVYRNMLQVILWNPFGRPKAFKMHDVIWEIALSVSKLERFCDVYNDDSDGDDAAETIENYGSRHLCIQKEMTPDSIRATNLHSLLVCSSAKHKMDLLPSLKLLRALDLEDSAISKLPDCLVTMFNLKYLNLSKTQVKELPKDFHKLINLETLNTKHSKIEELPPGMWKLQKLRYLITFRRNDGHDSNWNYVLGTRVVPKIWQLKDLQVMDCFNAEAELIKNLGNMTQLTRISLVMVKREHGRDLCDSLNKIRRLRFLSLTSIHEEEPLEIDDLIATASIEKLFLAGKLERVPIWFNTLQNLTYLGLRGSQLQENAILSIQTLPRLVWLSFYNAYMGPRLCFAQGFQNLKILEIVQMKHLTEVVIEDGAMFELQKLYIRACRGLESVPKGIENLINLQELHLIHVSNQLVEGISGDGSVDRSRVKHIPAIKHYFRTDNGSFYVSLSS >fgenesh2_kg.3__725__AT3G07050.1 pep chromosome:v.1.0:3:2965042:2967775:-1 gene:fgenesh2_kg.3__725__AT3G07050.1 transcript:fgenesh2_kg.3__725__AT3G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7L626] MVKRSKKSKSKRVTLKQKHKVLRKVKEHHKKKAKDAKKLGLHRKPRVEKDPGIPNDWPFKEQELKALEVRRARALEEIEQKKEARKERAKKRKLGLVDDDDTKTEEETREEFTKVLNVRDNSERAFYKELVKVIELSDVILEVLDARDPLGTRCTDMERMVMQAGPNKHLVLLLNKIDLVPREAAEKWLKYLREEFPAVAFKCSTQEQRSNLGWKSSKASKPSNMLQTSDCLGADTLIKLLKNYSRSHELKKSITVGIIGLPNVGKSSLINSLKRAHVVNVGATPGLTRSLQEVHLDKNVKLLDCPGVVMLKSSGNDASIALRNCKRIEKLDDPVSPVKEILKLCPTQMLVTLYKIPSFEAVDDFLYKVATVRGKLKKGGLVDIEAAARIVLHDWNEGKIPYYTMPPKRDQGGHAESKIVSELAKDFNIDEVYSGESSFIGSLKTVNEFNPVEVPSNGPLNFDETMIEDESKTETEEEPEHDSDDDESMGGEEEEEAGKSKEKSETGRQNVKLYAAESMLNTKKQKAEKKKRKKAKKAGGGEEDLMDGDYDFKVDYRKKKDGEDEEFQIEAKIPMAGLLPEE >fgenesh2_kg.3__728__AT3G07080.1 pep chromosome:v.1.0:3:2978797:2980889:1 gene:fgenesh2_kg.3__728__AT3G07080.1 transcript:fgenesh2_kg.3__728__AT3G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane protein [Source:UniProtKB/TrEMBL;Acc:D7L630] MSTKLWRWVLGLMYLFAVATIWIAASFVVQSVVEAGVSPFLITFICNSLFVVYLPLFEIGRYLEDAYGSLLFWRSKRSHLMELVESEKAVLLGQDVSGVKSDATESSSGLVVREEEISEGGNGIESGLENVELEINGSVNVSDGASGVSNKGLDEKGRWTRMRVAKVSLVICPFWFLAQLTFNVSLKYTTVTSNTILSSASSLFTFLVSLIFLGEKFTWLKLFSVLLCMSGTIIVSMGDSESNSNAVAKNPLLGDILSLVSAALYAVYITLIRKKLPDDDERNGRVSMAQFLGFLGLFNFFIFLPPALILNFTKRERFNTLTLKQFGLVVGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPLAAIVDSLSGNKPSFTDYIGAAAVMVGFAGINIPSEMFCKSKETAIELEPGTSFTDPPPIVPDSIRVDSSLTVV >fgenesh2_kg.3__72__AT3G01500.2 pep chromosome:v.1.0:3:208247:211908:1 gene:fgenesh2_kg.3__72__AT3G01500.2 transcript:fgenesh2_kg.3__72__AT3G01500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:D7L9P3] MSTAPLSGFFLTSLSPSQSSLQKLSLRTSSTVACLPSSSSSSSSSSSSSRSVPTLIRNEPVFAAPAPIIAPYWSEEMGSDAYEEAIEALKKLLIEKEELKTVAAAKVEQITAALQTGTSSDKKAFDPVENIKQGFIKFKKEKYETNPALYGELAKGQSPKYMVFACSDSRVCPSHVLDFQPGDAFVVRNIANMVPPFDKVKYGGVGAAIEYAVLHLKVENIVVIGHSACGGIKGLMSFPLDGNNSTDFIEDWVKICLPAKSKVISELGDSAFEDQCGRCEREAVNVSLANLLTYPFVREGLVKGTLALKGGYYDFIKGAFELWGLEFGLSETSSVKDVATILHWKL >fgenesh2_kg.3__730__AT3G07100.1 pep chromosome:v.1.0:3:2983207:2988806:-1 gene:fgenesh2_kg.3__730__AT3G07100.1 transcript:fgenesh2_kg.3__730__AT3G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENQGYPNFPARPASSPFASAPPPGIPPQSGGPPAGSEAVGFRPFTPSASQPTRPFTASGPPPAPPVGAMRPGQPSPFVSQIPGSRPPPPSSNSFPSTAYGPPGGASFQRYPSPQFPTTQNPPQGPPPPQTLAGHLSPPPSLRPQQPMAPVTMGPPPQSMTSGLPGANASPPATDYHMPARPGFQQSMAPVTPSYPGVGGSQPSFPGYPSKQQAPMPFQTSQGPPGPPPVSSYPPHTGGFALRPNMVAQQNLHPSYAPPPSNVQGLTEDFNSLSLSSIPGSLEPGLDHKSFPRPLDGDVEPSSFAEMYPMNCHSRYLRLTTSAIPNSQSLASRWHLPLGAVVCPLAETPEGEEVPLIDFGSTGIIRCRRCRTYVNPYVTFTDSGRKWRCNICSMLNDVPGEYFSHLDATGRRMDMDQRPELTKGSVEIIAPTEYMVRPPMPPIYFFLIDVSISASKSGMLEVVAQTIKSCLDNLPGYPRTQIGFITYDSTLHFYNMKSSLSQPQMMVVSDLDDIFVPLPDDLLVNLSESRTVVEAFLDSLPLMFQDNVNVESAFGPALRAAFMVMNQLGGKLLIFQNSLPSLGAGRLKLRGDDPRVYGTDKEYALRVAEDPFYKQMAADCTKFQIGINVYAFSDKYTDIASLGTLAKYTGGQVYYYPGFQSSVHGDKLRHELARDLTRETAWEAVMRIRCGKGIRFSSYHGNFMLRSTDLLALPAVDCDKAYAMQLSLEETLLTSQTVYFQVALLYTASCGERRIRVHTSVAPVVTDLGEMYRQADTGSIVSLYARLAIEKSLSAKLDDARNAIQQKIVKALKEYRNLHAVQHRLGSRLIYPESLKFLPLYGLAITKSTPLLGGPADTSLDERCAAGFTMMALPVKKLLKLLYPNLFRVDEWLLKPSTDHDDFKDVLRRLPLAAESLDSRGLYIYDDGFRLVLWFGRMLSPDIAKNLLGGDFAAELSRVTFQEQENGMSKKLMMLVKKLRESDPSYHPMCFLVRQGEQPREGFLLLRNLIEDQMGGLSGYVDWILQLHRQVQQN >fgenesh2_kg.3__732__AT3G07110.2 pep chromosome:v.1.0:3:2990452:2991841:1 gene:fgenesh2_kg.3__732__AT3G07110.2 transcript:fgenesh2_kg.3__732__AT3G07110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of ribosome [Source:UniProtKB/TrEMBL;Acc:D7L634] MVSGSGICAKRVVVDARHHMLGRLSSIVAKELLNGQKVVVVRCEEICLSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPSKIFWRTVRGMIPHKTKRGANALARLKVFEGVPTPYDKIKRMVIPDALKVLRLQAGHKYCLLGRLSSEVGWNHYDTIKQELENKRKERAQVVYERKKQLNKLRAKAEKVAEEKLGSQLEVLAPVKY >fgenesh2_kg.3__733__AT3G07120.1 pep chromosome:v.1.0:3:2993022:2994238:1 gene:fgenesh2_kg.3__733__AT3G07120.1 transcript:fgenesh2_kg.3__733__AT3G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALKSRSNSLAGLSLDAVLGGEIVIQPSSPPSPPPLPSKSLVPHRATSQTLFDIIREEYAKEGHKDRTTWQIFREKLRLKRTGSAWTSSLHIPASDILIPNPKHLGTAFRSHSAGLNIRDLVHAIPMSDPPGSSGRAMFTRGSSMRVGSSKNPDDSPDISVLGDGPPSRSFKPQLSRHDSVRDHSDGEEDNRRRHPIVTFVEERQMSAREAVAAQEAAEAEAAAAGGSEDEDDDDEEDDSGETEERKSSSGSEPKQTMSLMDLLEETDRQMGLTGSRYAMDEDEEYEEDEEDENYEEEGDGQGGGEGELSCCVCMVKIKGASFTPCGHTFCKLCSKELMAQKGHCPVCSSFVLEFLEIF >fgenesh2_kg.3__734__AT3G07130.1 pep chromosome:v.1.0:3:2994206:2996516:-1 gene:fgenesh2_kg.3__734__AT3G07130.1 transcript:fgenesh2_kg.3__734__AT3G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7L636] MTFLLLLLFCFLSPAIFFADSIPSTLDGPFVPVTVPLDTSLRGKAIDLPDTDPRVRRRVTGFEPEQISLSLSSDHDSIWVSWITGEFQIGKKVKPLDPTSIKSVVQFGTLRHSLSHEAKGHSLVYSQLYPFDGLLNYTSGIIHHVRITGLKPSTIYYYRCGDPSRRAMSKIHHFRTMPVSSPSSYPGRIAVVGDLGLTYNTTDTISHLIHNSPDLVLLIGDVSYANLYLTNGTSSDCYSCSFPETPIHETYQPRWDYWGRFMENLTSKVPLMVIEGNHEIELQAENKTFEAYSSRFAFPFKESGSSSTLYYSFNAGGIHFVMLGAYIAYDKSAEQYEWLKKDLAKVDRSVTPWLVASWHPPWYSSYTAHYREAECMKEAMEELLYSYGIDIVFNGHVHAYERSNRVYNYELDPCGPVYIVVGDGGNREKMAIEHADEPGKCPEPLTTPDPVMGGFCAWNFTPSGKFCWDRQPDYSAMRESSFGHGILEMKNETWALWTWYRNQDSSSQVGDQIYIVRQPDRCPLHHRLVNHC >fgenesh2_kg.3__735__AT3G07140.1 pep chromosome:v.1.0:3:3005570:3008265:1 gene:fgenesh2_kg.3__735__AT3G07140.1 transcript:fgenesh2_kg.3__735__AT3G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component Gpi16 subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7L638] MASLLRSLILLLIVQSFLFAIAFGSNEVEEFSEALLLKPLPDRKVLAHFHFENRAPPSNSHGRHHHLFPKAISQLVQKFRVKEMELSFTQGRWNHEHWGGFDPLSSMNAKPVGVELWAVFDVPQSQVDTSWKNLTHALSGLFCASINFLESSTSYAAPTWGFGPNSDKLRYGSLPREAVCTENLTPWLKLLPCRDKDGISALMNRPSVYRGFYHSQRLHLSTVESGQEGLASGIVLEQTLTVVLQPETTSVESNMQPSWSISSLFGRKVVGRCVLAKSSNVYLQLEGLLGHELKNVDTEIEAHELWKNAEFELSLKPERVLRESSSFLFIFDIDKSSDSEPFDLGLTWKLPSKWSCQQAPLHASRFLMGSGNERGAIAILLKATESQEKLSGRDLTNGHCTIKANIFQIFPWYIKVYYHSLQIFVDQQQTTDSEVLKKINVSPSTDKVSSGMMEMMLELPCEVKSVAISIEYDKGFLHIDEYPPDANQGFDIPSALISFPNHHASLDFQEELSKSPLLSSFKEKSLVRSYTEVLLVPLTTPDFSMPYNVITITCTIFALYFGSLLNVLRRRIGEEERFLKSQGKKTGGRLKQLLSRITAKIRGRPNEEQSSSESPAAQSSVLSSKLIFKIILVAGAAAAWQYFSTDK >fgenesh2_kg.3__742__AT3G07190.1 pep chromosome:v.1.0:3:3030107:3031591:-1 gene:fgenesh2_kg.3__742__AT3G07190.1 transcript:fgenesh2_kg.3__742__AT3G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFVEGAIAFLLLVKIGPLRELVMKSLDQLKLGKGPATVKTIAGTMSVILLSNLMNIIKIQNKGAKLGTMSPMDQVLWRTHLLEASLMGVVLFFGFIIDRTHHYLEKLITLRSNVGSSKGELEQLRKERTELKEKEEKTSKEIKQLKEKLSCVSENLKKAEKESKEKETKLETAEAHVTALQKQSSELLLEYDRLLEDNQNLQSQILVGTKKT >fgenesh2_kg.3__745__AT3G07220.1 pep chromosome:v.1.0:3:3044303:3045915:1 gene:fgenesh2_kg.3__745__AT3G07220.1 transcript:fgenesh2_kg.3__745__AT3G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVGGGSDVEVGFAKLQGEDFEYYMQSYSIILGRNSKKATVDVDLSSLGGGMNISRNHARIFYDFTRRRFSLEVLGKNGCLVEGVLHLPGNPNVKLDSQDLLQIGDKEFYFLLPVRSILGGPLGPRHHVSGQTSVVPYHNYQSGPGSGSGKKGVRSRELYEYDDEDDDEDDDGEDIRGSGKKTRRDGHEVYASGEKKREGRSKVDREADDQQVLQLEEKDVVSSVATVLSDLCGPGDWMPMEKLHAVILKEYGNVWHHSRVRRYLTQEDWAIPEAKGKPWYGLLMLLRKYPVHFVINTRSKGRVTLEFVSLVTLLT >fgenesh2_kg.3__747__AT3G07270.1 pep chromosome:v.1.0:3:3069139:3071080:1 gene:fgenesh2_kg.3__747__AT3G07270.1 transcript:fgenesh2_kg.3__747__AT3G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase I [Source:UniProtKB/TrEMBL;Acc:D7L6I6] MGALDEGHLNLDLDIGMKNGCIDLAFELQPETLAIQDAVKLLLQALHEDVNREGIKKTPFRVAKALREGTRGYKLKVKDYVQSALFPEAGLDEGVGQAGGVGGLVVVRDLDHYSYCECCLLPFHVRCHIGYVPSGQRVLGLSKFSRVTDVFAKRLQDPQRLADDICSALQHWVKPAGVAVVLECSHIHFPSLDLDSLHLSSHRGFAKLLVSSGSGVFEDESSNLWGEFRSFLMFKGVKTQALCRNGSSVEEWCPSVKSSSKFSPEEDPEMVSAVVSILKSLGEDPLRKELIATPTRFLKWMLNFQRTNLEMKLNGVNSAKVNGEVKEKRLHCELNMPFWSMCEHHLLPFYGVVHIGYFCAEGSNHNPIGSSLMKSIVHFYGFKLQVQERMTRQIAETLSPLVGGDVIVVAEAGHTCMISRGIEKFGSSTATIAVLGRFSSDNSARAMFLDKIHTTNALKTDSGSPF >fgenesh2_kg.3__752__AT3G07300.2 pep chromosome:v.1.0:3:3079210:3082209:-1 gene:fgenesh2_kg.3__752__AT3G07300.2 transcript:fgenesh2_kg.3__752__AT3G07300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2B family protein [Source:UniProtKB/TrEMBL;Acc:D7L6J0] MPDVQSTVVEFVNKLRKRKIEGSQATAKCTVELLRSVISHQRVPHANQAAALIDAVKAVGEQLVAANPVELAVGNVVRRVLHIIREEDLSLTTAAMGGLDLLDASDDDDVDNCKGIGYPAMSAAVVAAAARSTLRPPSLQTLLEGTPESATVPYTSSSGADSESKTADKSSLTRKLKHDVIEGVNQLIHEIAGCHEQIAEQAIEHIHQNEVILTLGSSRTVLEFMCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVARGLQTTVITDSAVFAMISRVNMVIIGAHAVMANGGVIGPVGVNMAALAAQKHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCLDFGAGSGSIQVVNPTFDYVPPNLVSLFITDTGGHNPSYMYRLIADYYSADDLVM >fgenesh2_kg.3__756__AT3G07330.1 pep chromosome:v.1.0:3:3091138:3094883:-1 gene:fgenesh2_kg.3__756__AT3G07330.1 transcript:fgenesh2_kg.3__756__AT3G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSQNEEFQQWWNKQRDRNNHDVLYTGDDEAFLTVEIRTPATVDPDKDRIRTRTARQLSRLYLLKFKQLASSFVWIGNSFLYLIRTANRRIANDNPPSVSSSARLYRLIKGFLVVVVLLLCFELAAYFKGWHFTPPSVASAEVAVEVVYAWWLEIRASYLAPPLQSLTNVCIVLFLIQSVDRLVLVLGCFWIKLRRIKPVASMAYPTKLVGEGVRLEDYPMVIVQIPMCNEKEVYQQSIGAVCMLDWPRERMLVQVLDDSSELDVQQLIKAEVQKWQQRGVRIVYRHRLIRTGYKAGNLKAAMNCEYVKDYEFVAIFDADFQPPADFLKKTVPHFKGNDELALVQTRWAFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDCGGWLERTTVEDMDIAVRAHLCGWKFIYLNDVKCLCELPESYEAYKKQQYRWHSGPMQLFRLCFFDILRSKVSVAKKANMIFLFFLLRKLILPFYSFTLFCVILPLTMFFPEANLPSWVVCYIPGIMSILNIIPAPRSFPFIVPYLLFENTMSVTKFGAMISGLFKFGSSYEWVVTKKLGRSSEADLVAYAESGSLAESTTIQRSSSDSGLTELSKLGAAKKTGTTKRNRLYRTEIALAFILLAASVRSLLSAQGIHFYFLLFQGITFVVVGLDLIGEQVS >fgenesh2_kg.3__758__AT3G07350.1 pep chromosome:v.1.0:3:3104333:3105625:1 gene:fgenesh2_kg.3__758__AT3G07350.1 transcript:fgenesh2_kg.3__758__AT3G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRAKRVTDPLADEVRARLVGCSFSSGSEHTGDGIEDYEDDDSPCLSDLVQGFLEDEVETVVDESRWCDQDSGSDSDSDSELVELPDFADDIAKLLRNSLREDSYGRTVLVHVARAMEVLSSLESQHEQRAVFQRKVMSFLRELGHNAAICKTKWRSSGGLTAGNHEFIDVMYTPSASSQPVRYIVDLDFASRFQIARPTSQYARVLQSLPAVFVGRGDDLKRILRLVCDAARISLRNRGLTLPPWRKNRYMQTRWLGPYKRTTNLTPSSTAVNTVICRAIGFDNAVGGRLFVRTR >fgenesh2_kg.3__759__AT3G07370.1 pep chromosome:v.1.0:3:3118325:3120660:-1 gene:fgenesh2_kg.3__759__AT3G07370.1 transcript:fgenesh2_kg.3__759__AT3G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl terminus of hsc70-interacting protein [Source:UniProtKB/TrEMBL;Acc:D7L6J7] MVTGVASPMAERLKEEGNNCFKKERFGAAIDAYTEAIALSPNVPAYWTNRALCHMKRKDWTKVEEDCRKAIQLVHNSVKAHYMLGLALLQKKEYTDGVKELQRALDLGRSSNPTGYMVEEIWEELSKAKYMEWELVSARRSWELSSLKETCEAALNQQCALDMSRTEESSDEAYIAHTERLKALERVFEKAAEEDKPTEVPGYLCCNITLEIFRDPVISPSGVTYERAAILEHLKKVGKFDPITREKIDPSKLVPNLAIKEAVAAYLEKHVWAYKLGC >fgenesh2_kg.3__760__AT3G07390.1 pep chromosome:v.1.0:3:3124264:3125234:1 gene:fgenesh2_kg.3__760__AT3G07390.1 transcript:fgenesh2_kg.3__760__AT3G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLILAAACFVSLISPAISQQTCSTQNLNSAGPYDSCLDLPVLNSYLHYTYDSSNSSLSVAFVATPSQTNNGWVAWAINPTGTKMAGSQAFLAYRSNGGAAPVVKTYNISGYSLDETATRLSFEFWNLRAESLSGGRIAIFTTVKVPAGADSVNQVWQIGGNVTSGRPGIHPFTPANLGAHRVLRFTADAPGSAPTPTTGGSTTPGQAAGGPGNAGSLTTNVNFGVNLVILVLLGSIFIF >fgenesh2_kg.3__761__AT3G07400.1 pep chromosome:v.1.0:3:3127369:3132552:1 gene:fgenesh2_kg.3__761__AT3G07400.1 transcript:fgenesh2_kg.3__761__AT3G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7L6K1] MESIQSRVESWIRDQRARFLRVSWGPIQWRFRWPPWNGGDADQRIKIRREYEKRKKQIEDLCLALKSESVEDLQDILCCMVLSECVYKRPASEMVRAVNKFKADFGGQFISLERVQPSSDHVPHRYLLAEAGDTLFASFVGTRQYKDIMADANILQGHIFHDDVAEDECIAASEPIQSEPLKKNGEGLRNPKQLRQKPKPAAHRGFLARAKGIPALELYRLAQKKKRKLVLCGHSLGGAVAALATLAILRVVAASSKKENENIHVKCITFSQPPVGNAALRDYVHEKGWHHYFKSYCIPEDLVPRILSPAYFHHYNEQRMSMAGETEATNGQGVSSEAEKRKNKEHEQLVIGVGPVQNSFWRLSKLVPLEAVKKQLDRYIGKKEDPGETSTANESAVSAPIGDVVIEPQSLEIEEGKDGISLKPLPDTGNAQTVSGRSEGKNNSPNGFRVPYLPSYVPFGELYLLGTASVESLSEGEYSKLTSVRSVITELRERLQSHSMKSYRSRFQRIHDLCMDVDGFFGVDQQKQFPHLQQWLGLAVGGSIELGHIVESPVIRTATSIAPLGWKGVPGDKNAEPLKVDITGFGLHLCSFVHAQVNGNWCSTTVESFPTTPAYSSDNVEQTELQKIRVVIGAPLKRPPSNQIVEDPLVPMFSSVDSNTGFPKEGINLGFFQEDKFVRPEGLEDLYIFCTSDFATVAKEVEVRTRRVRLLGLEGAGKTSLFRAILGQSMLSSMTHVENLQIQSDVQECIIGGVCYSDTVGVNLQELHLEASRFREELWKGVRNLSKKIDLIILVHNLSHRIPRYQNSTTQLQQQQPALALLLDEVKSLGIPWVLAITNKFSVSAHQQKSAIEAVLQAYQASPNTTGIVNSIPYIISGSGTSSLPWAAVNAGNDGSVGAQKMIFAPLDLVKKPFQRKDTVFPVDGVNSLCQLVHRVLQTQEEACFQELARDRLLVELAKDRAVDGSQGKSSSLSAAAVGASLGAGLGLVLAVVMGAGSALRKP >fgenesh2_kg.3__763__AT3G07420.1 pep chromosome:v.1.0:3:3134228:3137052:-1 gene:fgenesh2_kg.3__763__AT3G07420.1 transcript:fgenesh2_kg.3__763__AT3G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparaginyl-tRNA synthetase 2 [Source:UniProtKB/TrEMBL;Acc:D7L6K3] MESHGKTHQKEQDGDLSPKPITLSKYSNRVELKTLLDRSDRGAALAGKRVVVGGWVKSARAVKKDSPPPPPPVVAVPSPSSGGDQAHTTANIRCTEIIQSKMNIFRRFFDVLSGGGKTYPIFDKMELAGQKGAPPPEYVFYFLISDGSSISSLQVVVDSALSTVPATQLMALGTCIVAEGVLRLPLAASAKHVIELEAEKLLHVGTVDPEKYPLSKKQLPLHMLRDFSHFRPRTTTVGSVTRVHSALTLASHTFLQYHGFQYVQVPVITTTTGFGEMFRVTTLVGKTDDKEEKKPVKEKDGFSIDTVKAAIKEKTRLIDHLKRSDSNREAVVAAVHDLKKTSDLASQLEMKQKSKTGTLVKSEKLDFSKDFFGRDAYLTSSGRFHLESYASALGKVYTFGPRFIADKIDNARHLAEMWSVETEMAFSELDDAMDCADEYFKFLCKYILENRDEDMKFISKRVDKTITTRLEATASSSLLRFSYTDVISLLQKATTTKFETKPEWGIALTTEHLSYLTDEIYKGPVIIHSYPKAVKQFYVRLNDDKKTVAAFDLVVPKVGVVITGSQNEERFEILNARIAEFGLSREKFEWYLDLRRHGTVKHSGISLSMEQMLLFATGLPDIKDAIPFPRSWGKANN >fgenesh2_kg.3__764__AT3G07430.1 pep chromosome:v.1.0:3:3139552:3140469:1 gene:fgenesh2_kg.3__764__AT3G07430.1 transcript:fgenesh2_kg.3__764__AT3G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITALALRSPVFLPPSSATTPRFHGFTKLSARVFFPLKPFPSLSIQNPKSKSIRISASASPMTPTIPTEKSTTRPSTLTGSTRSLATLAALTIAVTRVLAQKLSLAIQTSSPAIADGLRFSLSTAGPVFFASLRDRPPGYLNTPLTVVAVGIKKWLDIYSGVLMVRVLLSWFPNIPWERQPLSAIRDLCDPYLNLFRNIIPPIFDTLDVSPLLAFAVLGTLGSIVHGSTG >fgenesh2_kg.3__765__AT3G07460.2 pep chromosome:v.1.0:3:3150540:3151748:-1 gene:fgenesh2_kg.3__765__AT3G07460.2 transcript:fgenesh2_kg.3__765__AT3G07460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L6K9] MLRIAQIALLCFVLAARISISAENESIDEILLANGLPLGIFPKGVKGFSVNGETGRFSVYLNQSCQAKYETELHYDEIVSGTIGYAQIGDLSGISAQELFLWFPVKGIRVDVPSSGLIFFDVGVLRKQYSLSLFETPRDCVAVRSDAEFIGSVFDVAIVSSRSNSWKKYCLAGVQEMLLYYTRKMEKIDRCSHLFLKILLLVVSALLSPDCVRDRTERICERKLDGNNNVRVGSEMNGSDLVE >fgenesh2_kg.3__767__AT3G07470.1 pep chromosome:v.1.0:3:3153815:3155402:-1 gene:fgenesh2_kg.3__767__AT3G07470.1 transcript:fgenesh2_kg.3__767__AT3G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIVQIAFLCLVLVAGISISSAISETESIYEILTANGLPSGIFPKGVREFNFDVETGRFSVYLNQSCEAKYETELHYDANITGTIGSSQISDLSGISAQELFLWFPVKGIRVDVPSSGLIYFDVGVVRKQYSLSLFETPRDCVPVREIHKVQLALYRVDQNLGRNII >fgenesh2_kg.3__768__AT3G07480.1 pep chromosome:v.1.0:3:3155937:3156781:1 gene:fgenesh2_kg.3__768__AT3G07480.1 transcript:fgenesh2_kg.3__768__AT3G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron carrier/ iron ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7L6L1] MATTLQKLSSQIHRLSPFTRSLIVRTSATSAPSPSLGSKKVSDRIVKLSAIDPDGYKQDIIGLSGQTLLRALTHTGLIDPASHRLDDIEACSAECEVQIAEEWLEKLPPRTYDEEYVLKRSSRSRILNKHSRLGCQVVLTQELQGMVVAVPEAKPWDIP >fgenesh2_kg.3__76__AT3G01480.1 pep chromosome:v.1.0:3:216331:218722:-1 gene:fgenesh2_kg.3__76__AT3G01480.1 transcript:fgenesh2_kg.3__76__AT3G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFASLPTFSVLNSSRFPRRRIDFSCSKNPLQVRSCSGNTRENKQRGTFASLKECAISLALSVGLIASVPSIASPPNAYAVANPAIPDVSVLISGPPIKDPEALLRYALPIDNKAIREVQKPLEDITDSLKIAGVKALDSVERNVRQANRSLQQGKTMIVAGFAESKKDHGNEMIEKLEAGMQDMLKIVEDRKRDEVASKQKEILKYVGGIEEDMVDGFPYEVPEEYRNMPLLKGRASVDMKVKIKDNPNIEDCVFRIVLDGYNAPVTAGNFVDLVERHFYDGMEIQRSDGFVVQTGDPEGPAEGFIDPSTEKTRTIPLEIMVTGKKTPFYGSTLEELGLYKAQVMLPFNAFGTMAMAREEFENDSGSSQVFWLLKESELTPSNSNILDGRYAVFGYVTDNEDFLADLKVGDVIESIQVVSGLENLANPSYKIAG >fgenesh2_kg.3__771__AT3G07510.1 pep chromosome:v.1.0:3:3160960:3161962:-1 gene:fgenesh2_kg.3__771__AT3G07510.1 transcript:fgenesh2_kg.3__771__AT3G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVENGVRMTSPETSSTSSLATTSVHVSALDGLVNVNSLFTIAVFVGLSLATPGQHSLEQRSSCDASADVAKKLLVFEVVSFSFFLFSSLVAQGLKLALNLLNSKDVNEIFRAHINIKVLRWGMMASAVGSVMGCLFLMLSMVNVIQIRLGLLSCGSKSAAQAVATLVTLVSSALLIYISTAIYAFWH >fgenesh2_kg.3__777__AT3G07540.1 pep chromosome:v.1.0:3:3175785:3178790:-1 gene:fgenesh2_kg.3__777__AT3G07540.1 transcript:fgenesh2_kg.3__777__AT3G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:D7L6L9] MDGLCYVIFSLLSCAFSPLSYASPATFSRRHLLQAPPTDPSTFSPPFFPLYSSSSPPPLPPQPPAPTFATFPANISALVLPRSPKPQTSSRTLLIPAISAVLAVATLIALAFFLYGRWRGQIRHFKDESKSLASDQSQQQTLPCPPPRNNTTENKLSVAPSTSDVLYLGNVVTSSGSGFVKPESPEISPLPPLPARSFLLQHNSEANLDEEEEEDDDFYSPLASVAGQESRDRRINPYSNCSCSISSHSDSPAMSPSATISPPMNSTAPHWSTTQNPQSPSSPERTVRNNKRYGGASLRMFSLWNQNLGFPRISSASTSPERGMIRTPDAYARSSMYSSVSTTPDRFFRKVLDSSPPRWNDFSRNVKSLFLSSTSASPARDFCINISESSRSLKSSWENPEVDTTQQRESAAAVTLPPPQRPPPAMPEPPPLVPPSQSFMVQKSGKKLSFSELPQSCWEGTTERPKPKLKPLPWDKVRPSSRRTTTWDRLPYNSSNANSKQRSLSCDLPMLNQESKVLDPRKSQNVAILLTTLKLTTNDVCQALRDGHYDALGVELLESLARVAPSEEEEKKLRSYSDDSVIKLAPSERFLKELLNVPFVFKRVDALLSVASFDSKVNYLNRSFGVIQAACEALRNSRMLLRLVGATLETGMKSGNAHDFKLEVLLKLVNIKSLDGRTSILDSVVQKITESEGFKGLQVVRSLSSVLDDVKKSAELDYGVLRSDVSKLYEEVQKISEVLLLCEETGHNEEHQWGKFRESMTRFLETAAEEIKKIEREEGSTLFAVKKITEYFHVDPAKEEAQLLKVFVIVRDFLKILEGVCKNMEVTSTLA >fgenesh2_kg.3__780__AT3G07560.1 pep chromosome:v.1.0:3:3184245:3186531:-1 gene:fgenesh2_kg.3__780__AT3G07560.1 transcript:fgenesh2_kg.3__780__AT3G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPAGGCPPKPWEQEGNTSGPTPFRPPSNTSTAGSVEASGTANPGEVVPPPVNRTNTAANMNALSRPVPARPWEQQNYGNTMGGGYGSNLGMTSGYGSGTYGSALGGYGSSYGGGMYGGSSMYRGGYGGAGGLYGSSGMYGGGAMGGYGGTMGGYGMGMGTGMGMGMGMGMGPYGGQDPNDPFNQPPSPPGFWISFLRVMQGAVNFFGRVAMLIDQNTQAFHMFMSALLQLFDRGGMLYGELARFVLRMLGVRTRPRKMQQPPQGPNGLPLPHQPHGNQNYIEGPKTAAPGGGGGWDNVWGN >fgenesh2_kg.3__781__AT3G07565.3 pep chromosome:v.1.0:3:3186716:3189201:1 gene:fgenesh2_kg.3__781__AT3G07565.3 transcript:fgenesh2_kg.3__781__AT3G07565.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASANPSGNNQEGSSATQKVSSSSAAATNGAAVNSVDNGGNAGVGALRHNPGISTDWTHEEQSLLEDLLVKYATEPSVFRYAKIAMKMKDKTVRDVALRCRWMTKKENGKRRKEDHSSRKSKDKKQEKATDSSAKSSSHLNVHPNGPSYAPPMMPIDTDDGISYKAIGGVSGDLLEQNAQMFNQVSSNFSAFQLHENVNILCKARDNILAILNDLNDMPEVMKQMPPLPVKVNEELANSILPRPSHQRKS >fgenesh2_kg.3__786__AT3G07580.1 pep chromosome:v.1.0:3:3194048:3195875:-1 gene:fgenesh2_kg.3__786__AT3G07580.1 transcript:fgenesh2_kg.3__786__AT3G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g07580 [Source:UniProtKB/TrEMBL;Acc:D7L6M4] MADSSSDQKRYTPPNQRKPPGNRRKSGDRTSSQQNNDPERNQPPATGLQKQNKSQTQRIITVKDCSRSEAYQLMSQRWAAAMHQYNDPTVDLSERPIMYYGGSVWGKLPHQILAAANKTLPPPSISPADYMTEVRRGLLMPKFNN >fgenesh2_kg.3__788__AT3G07600.1 pep chromosome:v.1.0:3:3197252:3197950:-1 gene:fgenesh2_kg.3__788__AT3G07600.1 transcript:fgenesh2_kg.3__788__AT3G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDTTRAKAMKTAVQFKGVNAVEIKGDHRNQIEVTGVEVDMIALINALRKKVAFAELVSVTKVEPPKDGDKKPEENKPEEKKPEEKKPEPCCQPWHGYGVPSAYPYPCDPYHQIGEPVYNQDPNCRIM >fgenesh2_kg.3__78__AT3G01470.1 pep chromosome:v.1.0:3:228443:230341:1 gene:fgenesh2_kg.3__78__AT3G01470.1 transcript:fgenesh2_kg.3__78__AT3G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein hat5 [Source:UniProtKB/TrEMBL;Acc:D7L9P6] MESNSFFFGPSASHGNNNMFFLGNLNPVVQGGGARSMEETSKRRPFFSSPEDLYDDDYYDDQLPEKKRRLTTEQVHLLEKSFETENKLEPDRKTQLAKKLGLQPRQVAVWFQNRRARWKTKQLERDYDLLKSTYDQLLSNYDSIVKDNDKLRSEVTSLTEKLQGKEETANEPPGLVPEPNQLDTVYINPAAIKTEDRLSSGSVGSAVLDEDAPQLLDSCDSYFPSIVPIQDTNASDNDNDRSCFADVFVPTTSPSHDHGESLAFWGWP >fgenesh2_kg.3__790__AT3G07610.1 pep chromosome:v.1.0:3:3201504:3208819:1 gene:fgenesh2_kg.3__790__AT3G07610.1 transcript:fgenesh2_kg.3__790__AT3G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L6M7] MDSVEENGRGGLRRQRRVSTKLANYVDPPTDDEEDGGSNKRKGKRGVYGPRKKTPKEDEEKQKNEIDEANGVAGLVKEKRAATKIVKGKDLITEASGSKPMLAKGKRTKKEDEIDGEIPTKPGKKPKTTVDERIIGYRPDNMCHQCQKSDRIVERCQTCNSKRYCHPCLDTWYPLIAKEDVAKKCMFCSSICNCRACLRLDTKLKGINSKLILNEEEKVQSSKFILRSLLPHLKGINDEQVAEKEVEAKISGLKFEEVRPQDAKAFPDERLYCDICKTSIYDLHRNCKACNCDICLSCCLEIRNGKALACKEDVSWNYINRGLEYEHGEEGEVIEKAGNKLDDKLKDKPKDKPDDELDDKPKGKPKGKPKGRPDDKPKGKPKGKLKGKQDDKPDDKPKVKPINTDHMKYPSMWKANESGIITCYCGAGELVLKRLLPDGWISELVNRVEKTAEASDLLNLPETVLEQCPCSNYDSHIDIDSSNLLKAACREGSEDNYLYSPSVWDVQQDDLKHFQHHWVKGEPVIVRNVLEATSGLSWEPMVMFRACRQISHVQHETLTDVDAVDCLDFCQVKVTLHEFFTGYTDGRYDRMGWPLVLKLKDWPPAKVFKDSLPRHAEEFLCSLPLKHYTHPVNGPLNLAVKLPQNCLKPDMGPKTYVASGFAQEFGRGDSVTKLHCDMSDAVNILTHISEVPINDKMQDGMGKLKKKHAEQDLKELYSSVANQEEMMEILENSRQQVQNVETDDGALWDIFRREDIPKLESYIEKHHKEFRHLYCCPVSQIAHPIHDQNFYLTRYHIMKLKEEYGIEPWTFNQKLGDAVLIPVGCPHQVRNLKSCTKVACDFVSPENVSECLHLTKQYRLLPPNHFAKEDKLAVKKMIIHAVDKALRDLSGEKSPEPKEKKRSTNSRKTTARTVVKALKELPPSEKKSSEAAEEEISNGIVNAIDKAPKDFPPSEQKSSEAEEEISNGIVNAMDKALENISSSEKKSPEEEGVKRPKIVRTYERRKKQRSEKTNA >fgenesh2_kg.3__794__AT3G07640.1 pep chromosome:v.1.0:3:3214181:3215686:1 gene:fgenesh2_kg.3__794__AT3G07640.1 transcript:fgenesh2_kg.3__794__AT3G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAEKIVILWNDSDGFAATIADALSPNPSSPLRKLEEQIHLPLDKYGVEGAETGGSIVHFVDENGVYQVSIFLLPSYEPPVLVCAMNELLDLITREVSTLPTIVAPFLVAASKLKFNNRSYEANRRTASLHYVQVGPETEISRLFASRIEKPPPLMQIHYEPLSCLLHLARVKRLPTSILIGQRSSSLSHKALDQDLQVIHETGELVASWTGLSFSRDRIKWSASKTSKEEESPWRALYG >fgenesh2_kg.3__796__AT3G07650.3 pep chromosome:v.1.0:3:3217692:3220439:1 gene:fgenesh2_kg.3__796__AT3G07650.3 transcript:fgenesh2_kg.3__796__AT3G07650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMCDFCGEQRSMVYCRSDAACLCLSCDRSVHSANALSKRHSRTLVCERCNAQPATVRCVEERVSLCQNCDWSGHNNNSSSSSTSPQQHKRQTISCYSGCPSSSELASIWSFCLDLAGQSICEQEMGMMNIDDDGPTDNKNCNEDKKDVFVSIPETSSAAKGKSSSAKDVGVCEDDFYGNLGMDEVDMALENYEELFGTAFNPSEELFGHGGIDSLFQKHQTKAPEGGNSVHPAGSNDSFMSSKTEPIICYTSKPAQSNISFSGVTGESSAGDFQECGASSSIQLSGEPPWYPPTSQENNACSHSVTRNNAVMRYKEKKKARKFDKRVRYASRKARADVRRRVKGRFVKAGEAYDYDPLTPTRSY >fgenesh2_kg.3__7__AT3G02050.1 pep chromosome:v.1.0:3:11168:14835:-1 gene:fgenesh2_kg.3__7__AT3G02050.1 transcript:fgenesh2_kg.3__7__AT3G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:D7L9I5] MAVAESGVSPRRNPSQLSWMSNLILAYQSFGVVYGDLSTSPLYVFPCTFIGKLHKHHNEEAVFGAFSLIFWTLTLFPLLKYLLVLLSADDNGEGGTFALYSLLCRHAKLSLLPNQQAADEELSAYKFGPSTDTRTSSPFRRFLEKHKWLRTALLLLVLFGAAMVIGDGVLTPAISVLSSMSGLQATDKKLTDGELLVLACVILVGLFALQHCGTHRVAFMFAPIVIIWLISILFIGLYNILHWNPKIIHAVSPLYIIKFFRVTGQAGWISLGGILLSVTGTEAIFANLGHFTSVSIRLAFAVVVYPCLVVQYMGQAAFLSKNLGSIPNSFYDSVPDPVFWPVFVIATLAAIVGSQAVITATFSIVKQCHALGCFPRIKVVHTSKHIYGQIYIPEINWILMILTLAITIGFQDTTLIGNAYGIACMIVMFITTFFMALVIVVVWQKSCFLAALFLGTLWIIEGVYLSAALMKVPQGGWVPFVLTFIFMIAMYVWHYGTRRKYSFDLHNKVSLKWLLGLGPSLGIVRVPGIGLVYSELATGVPAIFSHFVTNLPAFHKVVVFVCVKSVPVPHVSPEERFLIGRVCPKPYRMYRCIVRYGYKDIQREDGDFENQLVQSIAEFIQMEASDLQYSASESQTYDGRMAVLSSQKSLSNSILTVSEVEEIDFADPTIQSSKSMTLQSLRSVYEDEYPQGQVRRRHVRFQLTPSSDGMESSVREELMDLIRAKEAGVAYIMGHSYVKSRKSSSWLKKMTIDIGYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >fgenesh2_kg.3__801__AT3G07680.1 pep chromosome:v.1.0:3:3231275:3232991:1 gene:fgenesh2_kg.3__801__AT3G07680.1 transcript:fgenesh2_kg.3__801__AT3G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKATIVLLGLLWSFQATLGIRFVIDREECFSHKAEYEGDTLHVSFVVIKSDSQWHFNEDGVDLVIHGPTGEQIHDFREQISAKHDFVVQKKGVYRFCFTNKSPYHETIDFDVQLGHFAYYDQHAKDEHFTPLMEQISKLEEALYNIQFEQHWLEAQTDRQAIVNENMSKRAVHKALFESFALIGASLLQVYLLRRLFERKLGMSRV >fgenesh2_kg.3__804__AT3G07700.1 pep chromosome:v.1.0:3:3235763:3240147:-1 gene:fgenesh2_kg.3__804__AT3G07700.1 transcript:fgenesh2_kg.3__804__AT3G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLASQSCCYGGETARITKAIGFSGSVENPFTGEATQCYGSKSKRFRIEMRQSESPSKVGINGRSVKMVPATEVMKRKDGVNNVNGSAVKGVNGASLVSSRNINGAPSTLVKAPKKTTESYLPPPVEGVRVLPSDEGFSWADENYSSLQRSIDVWSFVISLRIRVLFDNAKWAYVGGFTEEKQKSRRRETASWLRESVLQLGPTFIKLGQLSSTRSDLFPREFVDELSKLQDRVPAFSPEKAKRFIEAELGAPISVMFKEFEEQPIAAASLGQVHRAVLHNGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQKSESFGTNDWVGIYEECASILYKEIDYINEAKNADRFRRDFRNINWVRVPLVYWDYSAMKVLTLEYVPGVKINNLDALAARGFNRSRIASRAIEAYLIQILKTGFFHADPHPGNLAIDVDESIIYYDFGMMGEIKTFTRKRLLDLFYSVYEKDAKKVMQNLIDLEALQPTGDLSSVRRSVQFFLDNLLSQSPDQQQTLAAIGEDLFAISQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKVAAPYAQELLDLKQRQRSGTQLVQEIRKQADDARSSTLSMPYRVQRIEEFVKELDSGDLKLRVRVLESERAARKATILQMATMYTVLGGTLLNIGVTFSNQGSQLVANGSFIGAGIFMALVLRSMQRVNKLDKFEKMI >fgenesh2_kg.3__80__AT3G01440.1 pep chromosome:v.1.0:3:241785:242944:-1 gene:fgenesh2_kg.3__80__AT3G01440.1 transcript:fgenesh2_kg.3__80__AT3G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxygen evolving enhancer 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7L9Q0] MAHFLDLNSLTNTSPLITNLSENRKTGKSGGFACRRSEEFQEPDSLHFTRRLTLGFAVSIGLTGILGESNVSLAQDNGFWIDGPLPIPPIYNNIVNEKTGTRTFIKKGVYVADIGTKGRMYRVKKNAFDLLAMEDLIGPDTLNYVKKYLRLKSTFLFYDFDNLISAAASEDKQPLTDLANRLFDNFEKLEDAAKTKNLAETESCYKNTKILLQEVMTRMA >fgenesh2_kg.3__811__AT3G07740.1 pep chromosome:v.1.0:3:3246789:3250063:-1 gene:fgenesh2_kg.3__811__AT3G07740.1 transcript:fgenesh2_kg.3__811__AT3G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter [Source:UniProtKB/TrEMBL;Acc:D7L710] MGRSKLASRPAEEDLNTGKSKRKKISSGPENAGASVSTGSEAGNERKAGFYCCNYCDKDLSGLVRFKCAVCMDFDLCVECFSVGVELNRHKNSHPYRVMDNLSFPLVTSDWNADEEILLLEAIATYGFGNWKEVADHVGSKTNTECIDHFNSAYMQSPCFPLPDLSHTIGKSKEELLAMSKESAVRTELPALVRLSPKEELPMSAEIKHEASGKDNAIDPPLPALAGVKKKVNVPQAKDIKLEAAKQQSDRSVGEKKLRLPGEKVPLVTELYGYNLKREEFEIEHDNDAEQLLADMEFKDSDTDAEREQKLQVLHIYSKRLDERKRRKEFVLERNLLYPDQYEMSLSAEERKIYKSCKVFARFHSKEEHKELIKKVIEEHQILRRIQDLQEARTAGCRTTSEANRFIEEKRKKEAEESVLLRLNHGAPGSIAGKTLKSPRGLPRNLQPFGSDSLPKVTPPIIYSGLDTWDVDGLLGADLLSETEKKMCNETRILPVHYLKMLDILTSEIMKGQIKKKSDAYSFFKVEPSKVDRVYDMLVQKEIGEST >fgenesh2_kg.3__817__AT3G07790.1 pep chromosome:v.1.0:3:3268184:3270013:1 gene:fgenesh2_kg.3__817__AT3G07790.1 transcript:fgenesh2_kg.3__817__AT3G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSPGHSPRQISSPSPSSYSDDTIRSTPRSSFSEIIPRNPRKRMRVLDEDAYVEAIEKIIERDYFPDITKLRDRLDWIQAVKTRDPIQIRDAQLKIIERRGKKANHHVGDTEGKTQTPGSTFLRNFTPLDEFDGKTPRTPGVSGREFHGGEVDADAGDGDIDLNLSLDEFFRRYTSEDNDSFSKILEKVNRKKKEKYGFLLEGEKEDGKSIEDLKRDRITDGYGTSDQPPSTLEGWKYTAKNLLMYHPADRGEAPLTEAERAVRLLGLTKEIVKGNTRFHGKTMDSRPREDGSVEILYTPIAGSSPMHISGRDRDKSKRYDLDDLRKTPNPFYVESDKRADNGYSFVRTPSPAPGLDESPFITWGEIDGTPMRLDPEDTPIDIGGSADGPHYNIPSAPPRDVRAHSLSRDASRKIRERSNSMFKKPPLPSPHRSGSASPNVRTLSPAAQKFFRRAIAKSSSTVDESLRASYRGASPGAVTPKSVRSVSRFGKDGTSSETRSP >fgenesh2_kg.3__818__AT3G07800.1 pep chromosome:v.1.0:3:3270181:3272036:-1 gene:fgenesh2_kg.3__818__AT3G07800.1 transcript:fgenesh2_kg.3__818__AT3G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase [Source:UniProtKB/TrEMBL;Acc:D7L717] MATLKASFLLKTLDSDVAGDVLSDLERRGSGAIHVIMGPMFSGKSTSLLRRIKSEISDGRSVAMLKSSKDTRYAKDSVVTHDGIGFPCWALPDLMSFPEKFGQDAYNKLDVIGIDEAQFFGDLYEFCCKVADDDGKTVIVAGLDGDYLRRSFGAVLDIIPIADSVTKLTARCEVCGHKAFFTLRKNCDTRTELIGGADVYMPVCRKHYITNHIKASKKVFEDSDKARPESCVAATI >fgenesh2_kg.3__820__AT3G07810.2 pep chromosome:v.1.0:3:3273215:3276936:1 gene:fgenesh2_kg.3__820__AT3G07810.2 transcript:fgenesh2_kg.3__820__AT3G07810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDNGKLFIGGISWDTNEERLKEYFSSFGEVIEAVILKDRTTGRARGFGFVVFADPAVAEIVITEKHNIDGRLVEAKKAVPRDDQNMVNRSNSSSIQGSPGGPGRTRKIFVGGLPSSVTESDFKTYFEQFGTTTDVVVMYDHNTQRPRGFGFITYDSEEAVEKVLLKTFHELNGKMVEVKRAVPKELSPGPSRSPLGAGYSYGVNRVNNILNGYAQGFNPAAVGGYGLRMDGRFSPVGAGRSGFANFSSGYGMNVNFEQGLPTGFTGGTNFNGNVDYGRGMSPYYIGNTNRFGPAVGYEGGNGGGNSSFFSSVTRNLWGNNGGLNYNNNTTNSNSNTYMGGSSSVNNTLSGPFGNSGVNWGAPGGGNNAVSNENVKFGYGGNGESGFGLGTGGYAARNPGANKAAPSSSFSSASATNNTGYDTAGLAEFYGNGAVYSDPTWRSPTPETEGPASFSYGIGGGGPSSDVSARSSSPGYVGSYSVNKRQPNRGEPSR >fgenesh2_kg.3__821__AT3G07820.1 pep chromosome:v.1.0:3:3277542:3279162:-1 gene:fgenesh2_kg.3__821__AT3G07820.1 transcript:fgenesh2_kg.3__821__AT3G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase 3 [Source:UniProtKB/TrEMBL;Acc:D7L719] MGAYFGVSTILIICLLGISANAEVFTIGSSSGSDITQALLKAFTSACQSSSPSKVVIPKGEFKLGEIEMRGPCKAPIEVTLQGTVKADGNAIQGKEKWVVFGNIDGFKLNGGGAFDGEGNSAWRVNNCHKTFNCKKLPISIRFDFVLNSEIRDISSIDAKNFHINVLGAKNMTMDNIKIIAPEESPNTDGIHLGRSDGVKILNSFISTGDDCISVGDGMKNLHVEKVTCGPGHGISVGSLGRYGHEQDVSGIKIINCTLQETDNGLRIKTWPSAACSTTASDIHFEDIIVKNVSNPILIDQEYCPWNQCNKQKASTIKLVNISFKNIRGTSGNKDAVKLLCSKGYPCQNVEIGDIDIKYNGADGPATFQCSNVSPKLLGSQSPKACSAQAA >fgenesh2_kg.3__822__AT3G07830.1 pep chromosome:v.1.0:3:3280849:3282830:-1 gene:fgenesh2_kg.3__822__AT3G07830.1 transcript:fgenesh2_kg.3__822__AT3G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYFGISTIFVICLLGFSANAEVFRIGSPPGSDITQALLRAFTSACQAPTPSKVVIPKGQFRLGEIMMSGPCKSPVEITLLGTVLADGNSIHGKEKWVVFQRMDGFRLNGGGTFDGEGNAAWRVNNCHKTFECKKLPIVSIRFDFVTNAEIRDISSIDAKNFHINVIGAKNMTFNNVKIMAPAESPNTDGIHLGRSVGVSIINSRIATGDDCVSVGDGMVNLLVKNVVCGPGHGISVGSLGRYGHEQDVSGIRVINCTLQETDNGLRIKTWPSAACSTTASNIHFENIILRNVSNPILIDQEYCPWNQCNKQKSSSIKLANISFKRIRGTSGNKDAVKLLCSKGYPCENVQVGDINIQYTGADGPATFMCSNVRPKLVGTQFPKACNTPPVLTQPK >fgenesh2_kg.3__823__AT3G07850.1 pep chromosome:v.1.0:3:3285099:3287061:-1 gene:fgenesh2_kg.3__823__AT3G07850.1 transcript:fgenesh2_kg.3__823__AT3G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exopolygalacturonase [Source:UniProtKB/TrEMBL;Acc:D7L721] MVGFRRASGVLLVLLVVMATTIANGTPVVDKAKNAAESVGDKVSEAATTVGDKAKDAAASVGAKVPGAKAGGASLDVKASGAKGDGKTDDSAAFMAAWKEACAAGSTITVPKGEYLVESLEFKGPCKGPVTLELNGNLKAPATVKTTKPHAGWIDFENLADFTLNGNKAIFDGQGSLAWKANDCAKTGKCNSLPINIRFTGLTNSKIKSITSTNSKLFHMNILNCKNITLEDIGIDAPPESLNTDGIHIGRSNGVNLIGAKIKTGDDCVSIGDGTENLIVENVECGPGHGISIGSLGRYPNEQPVKGVTVRKCLIKNTDNGVRIKTWPGSPPGIASNILFEDITMDNVSTPVLIDQEYCPYGHCKAGVPSKVKLSDVTIKNIKGTSATKVAVKLMCSKGVPCTNIALSDINLVHNGKEGPAVSACSNIKPILSGKLVPAACTEVAKPGP >fgenesh2_kg.3__825__AT3G07860.1 pep chromosome:v.1.0:3:3287446:3288988:1 gene:fgenesh2_kg.3__825__AT3G07860.1 transcript:fgenesh2_kg.3__825__AT3G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDYDVETKREKLKSVLSHLLADPILADVPRNPTLSDVVTLVSLEKGSAMRLSVVKLDGSSLDVAVMNSATLKDLKLLIKKKVNETEQANMGHRHISWKHVWSNFCLSCNNEKLLDDNALLQDVGIRNNSQVTFMPYVMKKGRGRHSKRKKHRLFRSLHKTSS >fgenesh2_kg.3__826__AT3G07870.1 pep chromosome:v.1.0:3:3290432:3292009:1 gene:fgenesh2_kg.3__826__AT3G07870.1 transcript:fgenesh2_kg.3__826__AT3G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L723] MASEKSFKKRKITDDVDGGGGGGLESLPGDIIADIFSRLPISSIARLMFVCRSWRSILTQHGRVSSSSSPTKPCLLLHCDSPIRNGLHFLDLSEEEKRIKTKKFTLRFESSMPEFDVVGSCNGLLCLSDSLYNDSLYLYNPFTTNSLELPECSNKYHDQELVFGFGFHEMTKDYKVLKIVYFRGSSSSNNGIYRGRGRIQYKQSEVQILTLSSKTTDQSLSWRSLGKAPYKFMKRSSEALVNGRLHFVTRPRRHVPDRKFVSFDLEDEEFKEIPKPDCGGLNRTNHRLVNLKGCLCAVVYGNYGKLDIWVMETYGVKESWGKEYSIGTYLPKGLKQNLDRPMWIWKNAENGKVVRVLCLLENGEILLEYKSRVLVAYDPKLGKFKDLLFHGLPNWFHTVVHVGTLSWVDTPLDLW >fgenesh2_kg.3__82__AT3G01320.1 pep chromosome:v.1.0:3:262328:318830:-1 gene:fgenesh2_kg.3__82__AT3G01320.1 transcript:fgenesh2_kg.3__82__AT3G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIRDDVYASGSLASSRAQLYGQSPVPGSGDTEGGGRMAGGEITCQKLTTNDALSYLREVKEMFQDQRDKYDRFLEVMKDFKAQRTDTGGVIARVKELFKGHNNLIYGFNTFLPKGYEITLIEEEDHALPKKTVEFEEAINFVNKIKKRFKHDEHVYKSFLEILNMYRKENKEISEVYNEVSILFEGHLDLLEEFTRFLPASLPSHSAAQHSRSQAQRYNDRGSGPPLIHQMQVEKERRRERAVASRGDYNVERHDLNDDKTMVKMQREQRKRLDKENRARRGRDLDDREAEQDNLHHFPEKRKSSRRAEGLEAYAGSASHSEKDNLKSMYNKAFVFCEKVKERLCSQDDYQTFLKCLNIFSNGIIQRNDLQNLVSDLLGKFPDLMDEFNQFFERCESIDGFQHLAGVMSKKSFSSELLSRPVKVEEKESEHKPDLEAVKETEQYKEEYMGKSIQELDLSDCECCTPSYRLLPADYPIPTASQRSELGAEVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSAARSAESLLNIITEKKISFSGSFRIEDHFTALNLRCIERLYGDHGLDVIDILHKNLATALPVILTRLKQKQDEWKKCREEFDKVWANVYAKNHYKSLDHRSFYFKQQDSKNLNAKSLVAEIKELKEKSQNEDDVLLSISAGYRQPINPNLEYEYFNRAIHEDLYKLVQFSCEELCSTKEQLSKVLRLWVNFLEAVLGVPPRDEGADLVEDVVIKPKTLDVNHSTSTNGESAVSSGADTARLASRKLKSAANGDENASSGPIKHGGIGLVNKDSTGKENLKDTDTAIRDGDTCSAVKPQKEQETGNEADKRVVKPIPMDICERAVTSSLSIPSGGENSHCVVGKEDLAGSHEIQAKPSNTFTDIHHDVDSIETVHSTQGGDVGTSIVLANGLRSDSSKGTRNSDEPEGSSRIEKEEGELSPNGDFEDNFGVYEDLGVKSTSKPENSADAEVEADAEMENADDTDDDDGENASEGGEDASGTESGGEECSQDENREEENGEHDEIDGKAESEGEAEGMDSHFLEGDSELLPQSEHVLLSVRPLSKHVAAVLHDERSKDLRVFYGNDDFYVLFRLHQILYERILSAKRNCSGGELKSKNSKDTNSLDPYARFMRVLYRLLDGSAENTKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQAIVADEMDNKLLQLYEYEKSRKSGRVIDSVYYENARVLLHEENVYRLECSSSPSRVSIQLMDNIIEKPEAYAVSMDPTFASYLQKEFLSTSSGKKEQGPAIVLQRNLRPYTGLYDLAALCKAMEGVEVVNGLECKMSCSSFKISYVLDTEDYFHRKKKKKKTEQLPQCNKDRVERFHRFLSA >fgenesh2_kg.3__830__AT3G07900.1 pep chromosome:v.1.0:3:3301353:3303617:1 gene:fgenesh2_kg.3__830__AT3G07900.1 transcript:fgenesh2_kg.3__830__AT3G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWKTKNSNKKKKVSYISVPAQIINSVSSSSLQSFLDNKSSSKFFFNLRNPKLWAFSLFLLSILGISLRLGLCLSSGVHESQLQSSDSNGSPKSHLGFAYSRSNTTQVEISNAKDRSLDTGVEKNETFGGDQSHLITSSGNVHDDKNYEFWKQPDGLGYKPCLDFSIEYRRESKKIVAERRKYLMVVVSGGLNQQKIQIVDAVVIARILGAVLVVPILQINLIWGDESEFSDIFDLEQFKSVLVNDVKIVSLLPASKVMTRPSEDGSMPFNASPQWIRSHYLKRFNREGVLLLRRFDSRLSKDLPSDLQKLRCKVAFEALKFSPRVLDMGTKLAERMRSKGPYIALHLRMEKDVWVRTGCLSGLSSKYDEIVNIERIKRPELLTAKSSMTSNERKLAGLCPLNAKEVTRLLRALGAPRDARIYWAGGEPLGGKEALKPLTSEFPHLYNKYDIALPLELKPFAKRASIMAAIDYIVCKESDVFMASHGGNMGHAIQGHRAYEGHKKIITPNKRHMLPYFVNSSMTKTEFEKMIKKLHRQSLGQPELRISKAGRDVTKYPVPECMCNQSNTTI >fgenesh2_kg.3__836__AT3G07940.1 pep chromosome:v.1.0:3:3310818:3313210:1 gene:fgenesh2_kg.3__836__AT3G07940.1 transcript:fgenesh2_kg.3__836__AT3G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGQENVEPVEVSGSHACLYELLCSETPKWTPLKDLQTSSSDPRDRLEKLLKQPGNKYCADCGSPEPKWVSLSLGVFICIKCSGVHRSLGVHISKVLSVKLDEWTDDQVDMLVGYGGNTAVNQRFEACNIDQSKKPKPDSTNEERNDFIRKKYEQHQFMDPKDGALCPYQQPSRTNTSPPSLCSASHRSTKNRIGHAFRNSWGRRESDHKGPKKSNSMAGMVEFVGLIKVNVVKGTNLAVRDVMTSDPYVILALGQQSVKTRVIKNNLNPVWNETLMLSIPEPMPPLKVLVYDKDTFSTDDFMGEAEIDIQPLVSAAKAYETSSIKEPMQLGSWVASKENTLVSDGIISLEEGKVKQDISLRLQNVERGVLEIQLECLPLTQ >fgenesh2_kg.3__841__AT3G07990.1 pep chromosome:v.1.0:3:3336679:3339126:1 gene:fgenesh2_kg.3__841__AT3G07990.1 transcript:fgenesh2_kg.3__841__AT3G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7L738] MDYSFLLIILLLTISSSCNASYVEEQLRDRISKLPGQPSNVDFRQYSGYVTVNEVRGRALFYWLVESPSTRDPKFRPLVLWLNGGPGCSSVAYGAAEEIGPFRVGSDGKTLHPKLYAWNQLANLLFLESPAGVGFSYSNTTSDLYTTGDQRTAEDSYRFLVNWFERFPQYKHRDFYIVGESYAGHFVPQLSKLVHERNKGFKNPAINLKGFMVGNAVTDDYHDYIGTFEYWWNHGLISDSTYHQLKTACYSESSQHPSLQCMVALRNAELEQGNIDPYSIFTKPCNSTVALKSFLKGRYPWMSRAYDPCTERYSNVYFNRADVQKALHANVTRLPYPWKACSDIVGSYWEDSPLSMLPIYRELITAGLKIWIFSGDTDAVVPVTATRYSVDALKLATITNWYPWYDHGKVGGWSQVYKGLTLVTVAGAGHEVPLHRPRQAFILFRSFLDSKPMPMT >fgenesh2_kg.3__843__AT3G08010.1 pep chromosome:v.1.0:3:3340130:3341675:1 gene:fgenesh2_kg.3__843__AT3G08010.1 transcript:fgenesh2_kg.3__843__AT3G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGFNTTRIQTPSLPRIPKPSSFTKPIKTHHLFSSETLLKRCRFVSRSLPESSLSITKEQEVANEVEEDDPTSELSYLDPESDADSIKEWELDFCSRPILDSRGKKIWELVVCDASLSLQVTKYFPNNVINSITLKDAIVTITQDLGVPLPEKIRFFRSQMQTIITKACKELAIKAVPSKRCLSLFLWLQERYDTVYTRHPGFQKGSLPLLSLDNPFPMNLPENLFGEKWAFVQLPYSAVREEISDFEEKFVFGATLDLDLLGIEVDENTLIPGLSVATSRAKPLAAWMNGLEVCSIEADSSKGCLILSVGIATRYVYATYKKTPVTTDEAEAWESAKKASGGLHFLAIQDDLDSDDCVGFWLLIDLPPPPV >fgenesh2_kg.3__844__AT3G08020.1 pep chromosome:v.1.0:3:3341605:3345960:-1 gene:fgenesh2_kg.3__844__AT3G08020.1 transcript:fgenesh2_kg.3__844__AT3G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7L741] MAFHVACPITCRRICNCSLGFSRDLRGANAKEEFLKEVNRVEEFLKDPCVSSKVFDGGTVQVRVPKVVPAPQTVSILGVGDGAIGSGVDELAEEASAQKKRVALQRQAAVTVEAAEDYARRFESGVNDLTSNDHAGEEVVHSGMNIMCRMCFLGEGEGSERARRMLSCKTCGKKYHKNCLKSWAQHRDLFHWSSWSCPSCRVCEVCRRTGDPNKFMFCKRCDAAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCDSTVPGNGLSVRWFLSYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCHCDGISDDKYLQFQVDGKLQYKCATCRGECYQVKDLQDAVQELWKKKDVVDKELIASLRAAAGLPTDEEIFSIFPFSDDDENGPVSGRSLKFSIKGLVEKSPKKSKEYGKHSLSKKHASKKGSHTKLEPELHQEVGSERLRLGGVRIDNVGFQINEQSDVNSSVAGICSTHEPKIVKHKRVDDVMVTDEEKPSRIVRIKCSKPHDSDSEDTLRNAGEEKSVKAKKLVINLGARKINVSGSSKSNVVSHLSRDKDQSTLGGDKVDQTGEVRTLKISGRFGKTQSEGSKATFGSITQFPASTSEGNHVDDKTSISPALQKEARPLLKFKLRKPNSGDQTSSVTTQSEDEKLSSAKGQRSKRKRPSSLVDMASLKEDGEATTHSHQDSSRNDEMMDANWILKKLGKDSIGKRVEVHGSQNSWHKGTVTDVSGDTSTLSVSLDDGSIKTFELGKHSVRFIPQKQKRSRS >fgenesh2_kg.3__846__AT3G08030.2 pep chromosome:v.1.0:3:3349740:3351584:1 gene:fgenesh2_kg.3__846__AT3G08030.2 transcript:fgenesh2_kg.3__846__AT3G08030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTVLIGKTALPEWEITGFVEYIAGGPQPGGMFFPVAHGVHAVRLGNEATISQKLEVKPGSLYALTFGASRTCAQDEVLRVSVPSQSGDLPLQTLYNSFGGDVYAWAFVAKTSQVTVTFHNPGVQEDPACGPLLDAVAIKELVHPIYTKGNLVKNGGFEEGPHRLVNSTQGVLLPPKQEDLTSPLPGWIIESLKAVKFIDSKYFNVPFGHAAIELVAGKESAIAQVIRTSPGQTYTLSFVVGDAKNDCHGSMMVEAFAAKDTLKVPHTSVGGGHFKTASFKFKAVEARTRITFFSGFYHTKKTDIGSLCGPVIDEIVVSHVA >fgenesh2_kg.3__847__AT3G08040.1 pep chromosome:v.1.0:3:3351942:3355040:-1 gene:fgenesh2_kg.3__847__AT3G08040.1 transcript:fgenesh2_kg.3__847__AT3G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7L744] MTETGDDLATVPTSVTKSIPFLVIFKDLRHVFSRDTIGREILGMAFPTALALAADPIASLIDTAFVGRLGAAQLAAVGVSIAIFNQASRITMFPLVSLTTSFVAEEDTMEKMKEEANKASLVHAETILVQDSLEKGISSPTSNNTNQPQQLPALDTKSNSGNKATKKGKRTIRTASTSMILGLILGLVQAIFLIFSSKLLLGFMGVKPNSPMLSPAHKYLSIRALGAPALLLSLAMQGVFRGFKDTKTPLFATVVADVINIALDPIFIFVLRLGISGAAIAHVISQYFMTLILFVCLAKKVNLIPPNFGDLQFGRFLKNGILLLARTIAVTFCQTLAAAMAARLGTTPMAAFQICLQVWLTSSLLNDGLAVAGQAILACSFAEKDYNKVTAVASRVLQMGFVLGLGLSVFVGLGLYFGSGIFSKDPAVIHLMTIGIPFIAATQPINSLAFVLDGVNFGASDFAYTAYSMVGVAAISIGAVIYMAKTNGFIGIWIALTIYMGLRAITGIARMATGTGPWRFLRGRSTSSSS >fgenesh2_kg.3__848__AT3G08500.1 pep chromosome:v.1.0:3:3366267:3367629:-1 gene:fgenesh2_kg.3__848__AT3G08500.1 transcript:fgenesh2_kg.3__848__AT3G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRKPDITTIRDKGKPNHACGGNNNKPKLRKGLWSPDEDEKLIRYMLTNGQGCWSDIARNAGLLRCGKSCRLRWINYLRPDLKRGSFSPQEEDLIFHLHSILGNRWSQIATRLPGRTDNEIKNFWNSTLKKRLKNNNNNNNSSGSSPNNSNSNSLDPRDQHVDMGGNSAPLMDGYHHDDNMMIVGNTMRMESSSSFNFAPVVNSVGLNQLDDPLMISVPDNQYHQMGNTGNVFNVNGLGDYGNTILDPFSKRVSVEGDWFLPPSENTNVIACSTSNNLNLQVFDPCFNSKNVCHSESFKVGNVLGIENGSWEIENPKIGDWDLDGLIDNNSSFPFLDFQVD >fgenesh2_kg.3__850__AT3G08505.2 pep chromosome:v.1.0:3:3369349:3371386:-1 gene:fgenesh2_kg.3__850__AT3G08505.2 transcript:fgenesh2_kg.3__850__AT3G08505.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7L749] MSDRILCKFFVHGSCLKGENCEFSHDSKDPPNNVCTFYQKGICLYGSRCRYDHVRAASNVPLSLDSESLDRSISTTPSRNLQQQGTPDGDKSPNVHCIHPREYPICSFAAAGDCPRGNQCPHMHGDLCNTCGKKCLHPFRPEEREEHTKECEKMQKHIEALKQSQDIECSVCLDRILSKATPGERKFGLLTECDHPFCIQCIRNWRSSAPVSGMDVNSTLRACPICRKLSYFVVPSVVWYSTPEEKKEIIDIYKAKLRSIDCKHFNFGNGNCPFGASCFYKHAYSDGHLEEVVLRHLGSQEGETVITGSIRLSELLGGLQIF >fgenesh2_kg.3__854__AT3G08550.1 pep chromosome:v.1.0:3:3385611:3389009:1 gene:fgenesh2_kg.3__854__AT3G08550.1 transcript:fgenesh2_kg.3__854__AT3G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTHHHRAPLISASSSSSSSSQNHSFVSRLLLLLTLLPVSLACLAFILQWRGGGLADPSSASVRSSTSVPGGSDLNHEVFPGMETVSSVSPKSHQSSSDCSNLARSSSPSFPYYGDWKFGVDTSLKPKICITTSTSAGLDQILPWMFYHKVLGVSTFFLFVEGKAATPSISKVLESIPGVKVIYRTKELEEKQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWILHLDTDELIYPAGAREYSLRRLLLDVPPNVDMVIFPNYESSVERDDIKDPFTEVSMFKKNYDHLPKDTYFGMYKEATRNNPNYFLTYGNGKSVARVQDHLRPNGAHRWHNYMKTPNEIKLEEAAVLHYTYARFSDLTSRRDRCGCKPTKEDVKRCFMLDFDRSAFIIASTATEEEMLSWYREHVVWGDKEVKTKLIRKGILTRIYSPMVVIQALKESGVFSSVVSSVSTNLSKKKFLSSIHKSNSSRSTASESLPSKENKSEGISARHLLGDESAIPPLSPPGMEHARFVTED >fgenesh2_kg.3__856__AT3G08570.1 pep chromosome:v.1.0:3:3390716:3392721:-1 gene:fgenesh2_kg.3__856__AT3G08570.1 transcript:fgenesh2_kg.3__856__AT3G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEMKESSSNLSHTELRDFPGGSRTFELAMKFCYGINFEITISNVVAIRCAAGYLEMTEDFKEENLIARTETYLEQVAFRSLEKSVEVLCSCETLHPQDIAETARIPERCVEAIAVNACREQLVLGLSRLNRGNESAEVNRGDSPEWWIEDLSALRIDYYARVVSAMARTGLRSESIITSLMHYAQESLKGIRNCKERTKLDSGTIENEQRNVIEAIVSLFPNDKVPLSFLFGMLRVGITINVAISCRLELERRIAQQLETVSLDDLLIPVVQEGDSMYDVDTVHRILVCFLKKIKEEEEYDEDCCYENETENLIGSTCHSSLLKVGRIMDAYLAEIAPDPCLSLHKFMALIEILPDYARVMDDGLYRAIDMFLKGHPSLNEQECQSLCKFIDTQKLSQEACNHVAQNDRLPVQMVVRVLYSEQLRMKNVVSGESGDGLLLSSQKLSSGNPSGAVSPRDTYASLRRENRELKLEISRVRVRLTELEKEQILMKQGMMEKSGHGGTLLTSLSKGIGRISIFGGGPTEEKLRKANRKSRSRLERRTVRSRPESMF >fgenesh2_kg.3__858__AT3G08580.2 pep chromosome:v.1.0:3:3394009:3396396:-1 gene:fgenesh2_kg.3__858__AT3G08580.2 transcript:fgenesh2_kg.3__858__AT3G08580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine nucleotide translocator [Source:UniProtKB/TrEMBL;Acc:D7L755] MVDQVQHPTIAQKAAGQFMRSSVSKDVHVGYQRPSMYQRHATYGNYSNAAFQFPPTSSRMLATTASPVFVQTPGEKGFTNFALDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFGRTIKDEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGGGRQFDGLVDVYRKTLKTDGIAGLYRGFNISCVGIIVYRGLYFGLYDSVKPVLLTGDLQDSFFASFALGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFTQILKNEGAKSLFKGAGANILRAVAGAGVLSGYDKLQLIVFGKKYGSGGA >fgenesh2_kg.3__860__AT3G08590.2 pep chromosome:v.1.0:3:3402566:3405428:-1 gene:fgenesh2_kg.3__860__AT3G08590.2 transcript:fgenesh2_kg.3__860__AT3G08590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGDVNWKLADHPKLPKGKTIGLIVLDGWGESDPDQYNCIHKAPTPAMDSLKNGRPDTWRLIKAHGTAVGLPSEDDMGNSEVGHNALGAGRIYAQGAKLVDLALASGKIYEDEGFKYISQSFEKGTVHLIGLLSDGGVHSRLDQVQLLLKGFAERGAKRIRVHILTDGRDVLDGSSVGFVETLEADLADLRSKGVDAQVASGGGRMYVTMDRYENDWSVVKRGWDAQVLGEAPHKFKSALEAVKTLRAEPGANDQYLPPFVIVDDSGKAVGPIVDGDAVVTFNFRADRMVMHAKALEYEDFDKFDRVRVPKIRYAGMLQYDGELKLPSRYLVSPPLIDRTSGEYLAHNGVRTFACSETVKFGHVTFFWNGNRSGYFNEKLEEYVEIPSDSGISFNVQPKMKALEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACEAADRAVRTILDAIEQVGGIYVVTADHGNAEDMVKRDKSGKPALDKEGNLQILTSHTLKPVPIAIGGPGLSAGVRFRQDIETPGLANVAATVMNLHGFVAPNDYEPSLIEVVDK >fgenesh2_kg.3__861__AT4G37925.1 pep chromosome:v.1.0:3:3406753:3407503:-1 gene:fgenesh2_kg.3__861__AT4G37925.1 transcript:fgenesh2_kg.3__861__AT4G37925.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L759] VTNPDQDSTLEVQETEPIKEEQSTEKMKKQPTPLRPVERQLNVKSKGMGQFGDQWLSSVTRHVRIYAAYIDPETCEFDQSQMDKLTLILDPTEEFVWDDESCNKVYSYFQELVDHYEGAPLTEYTLRLIGCYLMEKYNTIWMQEFLISVWESLVFNSIPVTLKVVEMVSLKRTH >fgenesh2_kg.3__862__AT3G08600.1 pep chromosome:v.1.0:3:3408086:3409502:1 gene:fgenesh2_kg.3__862__AT3G08600.1 transcript:fgenesh2_kg.3__862__AT3G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRCLQLLLLFLSLRLQKLSAQSLPNASSSSSPLDALLQDYSFRAFVRPRTGILYEASTVPSNLTGIKLAAMRLRSGSFRRRGVTPFKEFSIPSGVIVKPYVTRLVLVYQNLANFSHLYYPLSGYDYVAPVLGLLAYDAKNLSAVNLPQLDLRVSNDPIRIDFSDLERIPQGSSAKCVRFDSKGEASFSESIQPGNTCETEHQGHFSVVVKSVASAPSPAPPGDRKEKKKKSSESNSKTWIIVGSVVGGLILLGLLLFLVLRCRNYKKQEKLREMERAGETGEALRMTQVGETRAPTATTTRTQPMLETEYAA >fgenesh2_kg.3__863__AT3G08610.1 pep chromosome:v.1.0:3:3411133:3412393:-1 gene:fgenesh2_kg.3__863__AT3G08610.1 transcript:fgenesh2_kg.3__863__AT3G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVWLEAMLPLGIIGGMLCIMGNSQYYIHKAYHGRPKHIGHDEWDVAMERRDKKVVEKAGAPSS >fgenesh2_kg.3__864__AT3G08620.1 pep chromosome:v.1.0:3:3415637:3418638:1 gene:fgenesh2_kg.3__864__AT3G08620.1 transcript:fgenesh2_kg.3__864__AT3G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L763] MSGLYNYNFSPSRAASPQIRTTSSDVDSQYLSQLLAEHQKLGPFMQVLPICSRLLNQEIFRITGMLPNQGFTDFDRLRHRSPSPMASPNLMSNAPGAGLGGWNGLPPERIGGPHGMAMEWQGAPASPSSYPVKRILRLDLPVDTYPDFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLKGKPGYEHLNEQLHILIEADLPIDIVDIKLRQAQEIIEELVKPVDESHDYIKRQQLRELALLNSNLRENSPGPSGSVSPFNSNAMKRPKTGR >fgenesh2_kg.3__866__AT3G08640.1 pep chromosome:v.1.0:3:3421266:3422575:1 gene:fgenesh2_kg.3__866__AT3G08640.1 transcript:fgenesh2_kg.3__866__AT3G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alphavirus core protein family [Source:UniProtKB/TrEMBL;Acc:D7L765] MAAMAAKLQLSAKSDQSSVRLPRVINLSRDPTTRISFPRNGSVCSLHTNFSSPHLPKPCAGGGGGGSIGNHGGGSGSGGGGGSGGEAGEESSPWGPIGLFIQGWRSRVAADPQFPFKVLMEEIVGLSACVLGDMASRPNFGLNELDFVFSTLVVGSILNFVLMYLLAPTAATLGSSQTLPGIFRNCPSSHMFEQGSFTVMNRFGTLVYKGMVFASVGLAAGLVGTAISNGLIMLRKKMDPDFETPNKPPPTVLNSLTWATHMGVSANVRYQTLNGIEFLLAKVLPPLVFKTGVVVLRCANNVAGGMSFVMLARLTGSQSVEEKTEISEKEKDD >fgenesh2_kg.3__869__AT3G08660.1 pep chromosome:v.1.0:3:3430096:3432246:1 gene:fgenesh2_kg.3__869__AT3G08660.1 transcript:fgenesh2_kg.3__869__AT3G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSPLSLSSSSPPSNSRSPVPPTFSTRIFSDVAGDIIVVVDGESFLLHKFPLVARSGKMRKMVRDLKDSSSMIELRDFPGGPPSFELAMKFCYGINFEITASNVVALRCAAGYLEMTEDYKEMNLIFRAENYLDQIVFRSFHESVLVLCSCQTQEIAETYEIPDRCMEAITMNACRNQLVSCLSEELKGRDCLELWTEELSALGIDYYAQVVSEMARLGVRSESIVASLVHYAKTSLKGIINPNCQEQRKIIETMVTLLPNNEKGSYSLSTIPLSFLFGMLKVGTIIDIEISCRLELERRIGHQLEIVSLDDLLIPSVQNEDSMYDVDTVHRILTCFLERIEEEDEECGYESDSTGQHSSLLKVGRIMDAYLAEIAPDPYLSLLKFTAIIETLPEHSRIIDDGIYRAIDMYLKAHPLLTEEECKKLCKFIDCKKLSQEASNHVAQNDRLPVHMVVRVLYTEQLRLKKALSGDSEEGSWVLSSGVQSRAVSPRDTYASLRRENRELKLEISRMRMRVSELEKQHNLMKHEMMEKSGSNSGTFLTSLSKGIGRIAIFGGENREKVNRKSRSVSERKTNKSCVAGGIMGREECEVGPLKNKEGLVFSL >fgenesh2_kg.3__871__AT3G08680.2 pep chromosome:v.1.0:3:3436531:3439781:1 gene:fgenesh2_kg.3__871__AT3G08680.2 transcript:fgenesh2_kg.3__871__AT3G08680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIAAFLFLLVSPFVSRCFSADIESDKQALLEFASLVPHSRKLNWNSTIPICGSWTGITCSKNNARVTALRLPGSGLYGPLPEKTFEKLDALRIISLRSNNLQGNIPSVILSLPFIRSLYFHDNNFSGTIPPVLSRRLVNLDLSANSLSGNIPSSLQNLTQLTDLSLQNNSLSGPIPNLPPRLKYLNLSFNNLTGSVPSSIKSFPASSFQGNSLLCGAPLTPCSENNTAPSPSPTTPTEGPGTTNIGRGTAKKVLSTGAIVGIAVGGSILLFIILAIITLCCAKKRDGGQDSTAVPKAKPGRSDNKAEEFGSGVQEAEKNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRLKEVAAGKREFEQQMEAVGRISPHVNVAPLRAYYFSKDEKLLVYDYYQGGNFSMLLHGNNEGGRAALDWETRLRICLEAARGISHIHSASGAKLLHGNIKSPNVLLTQELQVCVSDFGIAPLMSHHTLIPSRSLGYRAPEAIETRKHTQKSDVYSFGVLLLEMLTGKAAGKTTGHEEVVDLPKWVQSVVREEWTGEVFDVELIKQQHNVEEEMVQMLQIAMACVSKHPDSRPTMEEVVNMMEEIRPSGSGPGSGNRASSPEMIRSSDSPV >fgenesh2_kg.3__873__AT3G08690.1 pep chromosome:v.1.0:3:3441510:3442991:1 gene:fgenesh2_kg.3__873__AT3G08690.1 transcript:fgenesh2_kg.3__873__AT3G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 11 [Source:UniProtKB/TrEMBL;Acc:D7L769] MASKRILKELKDLQKDPPSNCSAGPVAEDMFHWQATIMGPPESPYAGGVFLVSIHFPPDYPFKPPKVSFKTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYESTARSWTQKYAMG >fgenesh2_kg.3__876__AT3G08720.1 pep chromosome:v.1.0:3:3447515:3450124:-1 gene:fgenesh2_kg.3__876__AT3G08720.1 transcript:fgenesh2_kg.3__876__AT3G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPK19/ATPK2 [Source:UniProtKB/TrEMBL;Acc:D7L772] MVSSQCPVANKNQTGKPFQKQLYLSISPPKSVLNDNLELEFSDVFGPMPEANSGEASDVAYDEPAVVYSRSHSLVGPSLVVSHSLKLNKLTLRETEDSVDLVECVEGESIKENDEFFGNDDTDSDKALEEVSGVVGIEDFEVLKVVGQGAFGKVYQVRKKDTSEIYAMKVMRKDKIVEKNHAEYMKAERDILTKIDHPFIVQLKYSFQTKYRLYLVLDFINGGHLFFQLYHQGLFREDLARVYTAEIVSAVSHLHEKGIMHRDLKPENILMDVDGHVMLTDFGLAKEFEENTRSNSMCGTTEYMAPEIIHGKGHDKAADWWSVGILLYEMLTGKPPFLGSKGKIQQKIVKDKIKLPQFLSNEAHALLKGLLQKEPERRLGSGPSGADEIKQHKWFKVINWKKLEAREVQPSFKPAVSGRQCIANFDKCWTEMSVLDSPASSPNSDPKANPFSNFTYVRPPPSFLHRTTSNL >fgenesh2_kg.3__877__AT3G08730.1 pep chromosome:v.1.0:3:3451032:3454019:-1 gene:fgenesh2_kg.3__877__AT3G08730.1 transcript:fgenesh2_kg.3__877__AT3G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHRPVPNKIQKQQYLSISPSNSFLKDDVELEFSDVFGPLPEEANDIAYDEPAVVYSRSHSLVGPCSLDSHSLKLTKLTLRETEDSIDLVECLEGESLKENDEFSGNDDSDNEKALEGDLVKVSGVVGIDDFEVMKVVGKGAFGKVYQVRKKETSEIYAMKKNHAEYMKAERDILTKIDHPFIVQLKYSFQTKYRLYLVLDFINGGHLFFQLYHQGLFREDLARVYTAEIVSAVSHLHEKGIMHRDLKPENILMDTDGHVMLTDFGLAKEFEENTRSNSMCGTTEYMAPEIVRGKGHDKAADWWSVGILLYEMLTGKPPFLGSKGKIQQKIVKDKIKLPQFLSNEAHAMLKGLLQKEPERRLGSGLSGAEEIKQHKWFKGINWKKLEAREVMPSFKPDVSGRQCIANFDKCWTEMSVLDSPASSPSSDPKANPFTNFTYVRPPHSFLQKSTTTS >fgenesh2_kg.3__879__AT3G08760.1 pep chromosome:v.1.0:3:3457168:3460083:-1 gene:fgenesh2_kg.3__879__AT3G08760.1 transcript:fgenesh2_kg.3__879__AT3G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGCFPLKSKKKRGSVSMKRLDLEESKPTALPEPPKIPSRNLQSAPPSFRTRVKPIQSNNGGTGEMSSRARVMSAPSSIHGAAERDLLAGVYHDEQDEQPRDPRTSTKESSPQPLPLPSPRTGSSLKNWGSFKSFNGSSGRLSSSAAVSGPLPLPPSGSVRSFSYDEVMAACNAFSSDRCVVEGLSSVMYMASFGDEASTSGLKKVDATVVRLHVTTQSIREFINEVNTLASLLHQNLCKLVGYHARDGSDTRMLVYERLALGSLDRLLHGRSDGPPLDWNTRMKIALCAAQGLTFLHEEGPFQAMYNEFSTANIQVDKDFSAKLSGYGCAGHAPETETSNSSVLANLPVETLERGILTPKSNVWSYGIVLLEMLTGRKNMDGSYPKEERNLVKWSRAFLADDCRLSLIMDPQLKGRFPAKAARSIADIAQKCLQVEPSERPTMRNIVDQLKIIQDMKYSCRFPLREPAPVAARKHMGRSSSLNTIIWTPSSVPPRSSFSPSPPPRRPSVSPTRGRALVFPPVFPPRACSSLEEMAREEVRRSSSASGRRTSLEGF >fgenesh2_kg.3__880__AT3G08770.1 pep chromosome:v.1.0:3:3463639:3464311:-1 gene:fgenesh2_kg.3__880__AT3G08770.1 transcript:fgenesh2_kg.3__880__AT3G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:D7L776] MRSLLLAVCLVLALHFGEAAVSCNTVIADLYPCLSYVTQGGPVPSLCCNGLTTLNSQAQTSADRQGVCRCIKSAIGGLTLSPGTIDNALSLPSKCGINLPYKFSPSTNCESIK >fgenesh2_kg.3__881__AT3G08780.1 pep chromosome:v.1.0:3:3466118:3467645:-1 gene:fgenesh2_kg.3__881__AT3G08780.1 transcript:fgenesh2_kg.3__881__AT3G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSLEKIEISGPTLASLIQRASSSPSDVDGLIFGQIHRIVSSNLSDDSPADFASSSSSDQIVATVTSFICSGKTVSFYDPLGRVDSRRIDSLRIDSPDRLIGWFSARRKTVNRPSMRELAVASSLSSQFHLPIEDSQNPNSTNMASSVFFLLTTPSTNQCIHTHEYRAYQFRPSKQRLEPRSVAIVNIGPAFRGHYGSFSPKSGFPPLICELSSSAMSVDCDESSLSAKKQSAKDQKEIDALAEGLQVGDLKRLVGAEAANYTGGIEEMYERMLAKIESLASDVEKSSARVFEQVKHNRKLRNRVARIGR >fgenesh2_kg.3__884__AT3G08800.1 pep chromosome:v.1.0:3:3471143:3475172:1 gene:fgenesh2_kg.3__884__AT3G08800.1 transcript:fgenesh2_kg.3__884__AT3G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7L779] MEEDDSISAESISLDTLASIRSLIINADTSVSVISSVFDFLTGLLSRGDSAILHHVLKLLSDLAFRRKELAKQIFDSILSNLLRLQNGTAEVSHGRAAVESLAVLASLSETNPSIAAALSKIDGEVFASICLGAPISSRLWLLRNAERFNVPSSVLFTLFLGFTKDPYPFIRKIALDGLINICNAGDFNHAHAVEGCYTRAVELLSDAEDSVRSSAVRAVSVWGNVMISSKEEELSRRDCTDAAFLQLCSVVRDMSVDVRVEVFKAFGIIGTASESIILQTLSKKVLGAGKGKKPQNHLSNGSADVSAAAGVFIHGFEDEFYQVREAAVNSFHSLSVNSIKFPDEAVYLLMDMLYDDYMVVRLKALEALHHIADLGNLKIQETYMPAFLDAIVDTSENIRVEARNILKLAKLPDLKLVNNCVDGVLKSLEMYPQDEPAILSALFHFGQKHPNFLVSMVKRFSEKLGTASGNKLEFNSRQLSASLMLIISAPLSNKQSITSIPPLAFSYSLAMLGKFSSGLHDMMDQYMLLAYLTHCAILSSSSGTEFNKGDIFFQAYRDSNADLSGNPVLLPSKDIPAESKYMASKAELEIGNQALKFLNHILLKIKAAWLLSQSGCSKEALRALRACKQELATLTADSSISNGTLEFICQYVHVIELLAQVWPHFEYARHISTCRSVELELLMKEIEIKLMEIRCRFTGLSTEESLVIELVIFGCLLRLYKFEICCRLSCTEKLSSTISQLELHHEQQCTKPSEFLTETKKSLKEIGSSDDINSCRLLHLIKIFNCFSPEQFTLSGNLQCVSAELEIPGNGPYSPISFVPGLPVAIPCEIMLLNVPRDTCLWLRISRSDETCQFVYLDPNLYNGDGREKRFMFTAVTYMTPRAVVFTLRVSIGIECLFEDISYRKQRHGPKHPVAYLCKEREVHLSLVSRT >fgenesh2_kg.3__885__AT3G08820.1 pep chromosome:v.1.0:3:3477258:3479319:-1 gene:fgenesh2_kg.3__885__AT3G08820.1 transcript:fgenesh2_kg.3__885__AT3G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L781] MSIVTVPSSTSKVQQIKTLISVASTFNHLKQVHVSLIHHHLHHDTFLVNLLLKRTLFFRQTHYSFLLFSHTQFPNIFLYNTLINGFVNNHLFHETLDLFLSIRKHGLNLHGFTFPLVLKACTRASNRKLGIDLHSLVVKCGFNHDVAAMTSLLSIYSGSGRLNDAHKVFEEIPERSVVTWTALFSGYTTAGKHREAIDLFKKMVEMGVRPDSYFIVQVLSACVHVGDLDSGEWIVKHMEEMEMQKNSFVRTTLVNLYAKCGKMEKARSVFDSMGEKDIVTWSTMIQGYASNSFPKEGIEFFLQMLQENLKPDQFSIVGFLSSCASLGALDLGEWGISLIDRHEFLTNLFMANALIDMYAKCGAMARGFEVFKEMKEKDIVIMNAAISGLAKNGHVKLSFAVFGQTEKLGISPDGSTFLGLLCGCVHAGLIQDGLRFFNAISCVYALKRTVEHYGCMVDLWGRAGMLDDAYRLICDMPMRPNAIVWGALLSGCRLVKDTQLAETVLKELIALEPWNAGNYVQLSNIYSVSGRWDEAAEVRDMMNRKGMKKIPGYSWIELEGTVHEFLADDKSHPLSDKIYAKLEDLGNEMRLMGFVPTTEFVFFDVEDEEKERVLGHHSEKLAVAFGLISTDHGQVIRVVKNLRVCGDCHEVMKLISKITRREIVVRDNNRFHCFTNGSCSCNDYW >fgenesh2_kg.3__886__AT3G08840.2 pep chromosome:v.1.0:3:3479796:3486364:-1 gene:fgenesh2_kg.3__886__AT3G08840.2 transcript:fgenesh2_kg.3__886__AT3G08840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-alanine--D-alanine ligase family [Source:UniProtKB/TrEMBL;Acc:D7L782] MASMATGVSLSMTGGIGGGRGDVERVATAVRTTLKLHQKNSLSESTCSFSVMNKYRGSGGAIRTVSKAVVDGDEMSKNLRVGLICGGPSAERGISLNSARSVLDHIQGDGISVSCYYIDPDLKAFAISSAQVYSNTPSDFDFKLESLAQGFSSLSKFAEHLVSAVDIVFPVIHGRFGEDGGIQELLESQNIPFVGTGSRECIRAFDKYEASLELKELGFMTVPNYLVQGTEVDKSEIAQWFTDNQLDLEMGKVVVKPAKAGSSIGVKVAFGVNDSIKKAIELILEGIDDRVVVEVFIEDAYEFTAIVLDVGSGSGCHPVVLMPTEVQLQFHGSGDLQENAIFDYRRKYLPTQQVTYHTPPRFPIHVIKSIREEASLIFKKLGLRDFARIDGWYLAPNSNLSSASETLGGPESGDIIFTDINLISGMEQTSFLFQQASKVGFSHSNILRTVVHRACSRFPHLTWYNYGYSLLLQGSTTLEVSGDVQKVFVIFGGDTSERQVSVMSGTNVWINLQRFVDLNVTPCLLSPSLGNSSGASLNLDNREVWVLPYSVVLRHTAEEVLAACLEAVEPDRALFTSLLQKQVMEDLMDGLKNQSWFAGFDITDELPRNFSLKEWIKHAREAQATVFIAGYRYIFMHGGIGEDGTLQALLEDEGVSYTGPGVLASRTCMDKVMTSQALSHLSELGIHTISKDVRRTEDIMHETIPNVWDELISKFQCLTLCVKPAKDGCSTGVARLCCSEDLAVYVQALKDCLLRIPPNTLSKTHGTIEMPNPTPEFLIFEPFVETDEIIVSSKVKQQLSWKGRRRWVEMTVGVIGKRGSMHSLSPSLTVKESGDILSLEEKFQGGTGINLTPPPPTIMSKEALERCKQRIELIAETLGLEGFSRIDAFVHVETGEVLVIEVNTVPGMTPSTVLIQQALAEQPPMYPPQFFRTLLHLATQRVI >fgenesh2_kg.3__889__AT3G08850.1 pep chromosome:v.1.0:3:3486818:3495562:-1 gene:fgenesh2_kg.3__889__AT3G08850.1 transcript:fgenesh2_kg.3__889__AT3G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAPTOR1B [Source:UniProtKB/TrEMBL;Acc:D7L783] MALGDLMVSRFSQSSVSLVSNHRYDEDCVSSHDDGDSRRKDSEAKSSSSYGNGTTEGAATATSMAYLPQTIVLCELRHDASEASAPLGTSEIALVPKWRLKERMKTGCVALVLCLNITVDPPDVIKISPCARIEAWIDPFSMAPPKALETIGKNLSTQYERWQPRARYKVQLDPTVDEVRKLCLTCRKYAKTERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPISELDSWLKTPSIYVFDCSAARMILNAFAELHDMGSSGSSGSSRDCILLAACDVHETLPQSVEFPADVFTSCLTTPIKMALKWFCRRSLLKEIIDESLIDRIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHELFQRLFRQDLLVASLFRNFLLAERIMRSANCNPISHPMLPPTHQHHMWDAWDMAAEICLSHLPQLVLDPTVEFQPSPFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGSWAVDLALSVGIFPYVLKLLQTTTNELRQILVFIWTKILALDKSCQIDLVKDGGHTYFIRFLDSSGAFPEQRAMAAFVLAVIVDGHRRGQEACLEANLIGVCLGHLEASRPSDPQPEPLFLQWLCLCLGKLWEDFMEAQIMGREANAFEKLAPLLSEPQPEVRAAAVFALGTLLDIGFDSNKSVVEDEFDDDEKIRAEDAIIKSLLDVVSDGSPLVRAEVAVALARFAFGHKQHLKLAAASYWKPQSSSLLTSLPSIAKFHDPGSATIVSLHMSPLTRASTDSQPVARESRISSSPLGSSGLMQGSPLSDDSSLHSDSGMMHDSVSNGAVHQPRLLDNAVYSQCVRAMFALAKDPSPRIASLGRRVLSIIGIEQVVAKPSKPTGRPGEAATTSHTPLAGLARSSSWFDMHAGNLPLSFRTPPVSPPRTNYLSGLRRVCSLEFRPHLLSSPDSGLADPLLGVSGSERSLLPLSTIYSWSCGHFSKPLLGGADASQEIAAKREEKEKFALEHIAKCQHSSISKLNNNPIANWDTRFETGTKTALLHPFSPIVVAADENERIRVWNYEEATLLNGFDNHDFPDKGISKLCLVNELDDSQLLVASCDGSVRIWKNYATKGKQKLVTGFSSIQGHKPGARDLNAVVDWQQQSGYLYASGEVSTVTLWDLEKEQLVRSIPSESECGVTALSASQVHGGQLAAGFADGSLRLYDVRSPEPLVCATRPHQKVERVVGLSFQPGLDPAKVVSASQAGDIQFLDLRTTRDTYLTIDAHRGSLTALAVHRHAPIIASGSAKQLIKVFSLQGEQLGRIRYYPSFMAQKIGSVSCLTFHPYQVLLAAGAADSFVSIYTHDNSQAR >fgenesh2_kg.3__891__AT3G08870.1 pep chromosome:v.1.0:3:3500844:3502995:-1 gene:fgenesh2_kg.3__891__AT3G08870.1 transcript:fgenesh2_kg.3__891__AT3G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIARSITSFMFFLLVSSNSVNNSVLAQATTAKFTFIGFKGNQTEIQTEGASTIQLDNDLLRLTNRNQNVTGTAFYRKPIRLRDFTNSSDIKVCSFSTSFVFVIIPSSPGTGGFGFTFTLSPTPNRPGAESAQYLGLLNKTNNGDPSNHVFAVEFDTVQGFKDGADRRGNHIGLNFNNLSSDVQEPLIYYDTEDRKEDFQLESGEPIRVLVDYDGSSETLNVTIYPTRLEFKPKKPLISRRVSELSEIVEDEMYVGFTAATGKDQSSAHYVMGWSFSSCGENPMADWLDPSKLPLPPRLSNKKGYDSQVIALIVALSIVTLVLLVLLFIFVMYKRRIQEEDILEDWEIDYPHRFRYRDLYLATKKFKESEIIGTGGFGIVYRGNLSSSGPIAVKKITSNSLQGVREFVAEIESLGRLGHKNLVNLQGWCKHKNELLLIYDYIPNGSLDALLYKTPRRNGVVLPWDVRFEIIKGIASGLLYLHEEWEQIVVHRDVKASNVLIDEDMNAKLGDFGLARLYERGTLTQTTKVVGTLGYMAPELTRNGKGSTASDVFAFGVLLLEIVCGNKPTNSENFFLADWVMEFHTNGGILSAVDQKLGSSFNGREAKLALIVGLLCCHQKPTYRPSMRMVLRYLNGEENVPQIDGNWGFPGSSRDDLKTNVVSYVSSDRASSSNTFSSFSEVSSSSIVSGR >fgenesh2_kg.3__892__AT3G08880.1 pep chromosome:v.1.0:3:3503475:3504709:1 gene:fgenesh2_kg.3__892__AT3G08880.1 transcript:fgenesh2_kg.3__892__AT3G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASENFDIEDLMSYGDDLINLLDVRNGFDVISQSFEQFKALNFACDEDFNQIQCSIEDSLLDKQCCFSWRSFGIVRRNSMFVRRKLRKHIQMLRLKMKLNVFRKSLTKRWNGIVADELKELNAQLISIDEHKQSTMRKERDGLRAEKKLSMYASVTKVIPDIDGPSKISGYMVDREKRVIEKFQFETNKMTAYETCNSIWSIINKQ >fgenesh2_kg.3__896__AT3G08920.1 pep chromosome:v.1.0:3:3520089:3522098:1 gene:fgenesh2_kg.3__896__AT3G08920.1 transcript:fgenesh2_kg.3__896__AT3G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLHQLNHIHKPPVVLYRRLRKPYRLPVISAVSGKELILSGKVRSVEPKEAKTVVASEGYVLLDVRPAWEREKARVKGSLHVPLFVEDTDNGPITLLKKWIHLGYIGLWTGQRFTMFNDEFTLRVVEAVPDKESKVLVVCGEGLRSLAAVSKLHGEGYKSLGWLAGGFNRVTEGDFPEIEGTEELRFATIGGVSFYLLKLIVLLPSFGQKSR >fgenesh2_kg.3__897__AT3G08930.1 pep chromosome:v.1.0:3:3522238:3526122:1 gene:fgenesh2_kg.3__897__AT3G08930.1 transcript:fgenesh2_kg.3__897__AT3G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALVIVAIVVCVIVFISSIYLLVNYQHPDDANQAYFPKFVVVFGLSIAMISILMLPADVANRHACRHAIYNGACNLTLPMKDLWLAIYIVDAILVFFVIPFAMFFYEGDQDKTLGKRIKSALIWVVTTAVVCALVLGILYGVIGKVDFSVRHLASATSTFPTSWQFSNTQPCIGNTARQCSAFTANATSEKTWTMRTTFPEYVVALATIVGSVLFTIFGGVGIACLPLGLITAFIRRPKAVITRSQYIKEATELGKKARELKKAADGLHQEERSGAKGRKWRKNVKAVEKELLQLEEDVNLLEEMYPQGEQAETAWAFTVLGYLAKFILGILGLIVSIAWVAHIIIYLLVDPPLSPFLNEVFIKLDDVWGLLGTAAFAFFCFYLLLAVIAGAMMLGLKLVFITIHPMKWGATLMNSFLFNVGLILLCSISVIQFCATAFGYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIGFVILAGLTFLYYIAFGWRRKKPSGRFQLSS >fgenesh2_kg.3__898__AT3G08940.2 pep chromosome:v.1.0:3:3526738:3527806:1 gene:fgenesh2_kg.3__898__AT3G08940.2 transcript:fgenesh2_kg.3__898__AT3G08940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7L7P4] MAATSTAASASSIMGTRVVSDISSGSTRFTAWFGFGTKKAAPKKAKTVISDRPLWFPGAKSPEYLDGSLVGDYGFDPFGLGKPAEYLQFDLDSLDQNLAKNLAGDVIGTRTEAVDPKSTPFQPYSEVFGLQRFRECELIHGRWAMLATLGAITVEWLTGVTWQDAGKVELVDGSSYLGQPLPFSISTLIWIEVLVVGYIEFQRNAELDSEKRLYPGGKFFDPLGLASDPEKKAQLQLAEIKHARLAMVGFLGFAVQAAATGKGPLNNWATHLSDPLHTTIIDTFSSS >fgenesh2_kg.3__902__AT3G08947.1 pep chromosome:v.1.0:3:3528317:3530285:-1 gene:fgenesh2_kg.3__902__AT3G08947.1 transcript:fgenesh2_kg.3__902__AT3G08947.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L7P5] MERNYIMKMVCETACSKEAEIRQAAFECLVSIASTYYEVLEQYMPTLFELTSNAVKGDEESVALQAIEFWSSICDEEIDRQEYDSPDSGDSSPPHSCFIEKALPHLVQMLLETLLKQEEDQDHDDDVWNISMAGGTCLGLVARTVGDGIVPLVMPFVEKNISSPDWRSREAATYAFGSILEGPTIDKLAPMVAAGLEFLLNATKDGNNHVRDTTAWTLSRIFEFLHSPDSGFSVISPENLPRIVSVLLESIKDVPNVAEKVCGAIYNLAQGYEDSGANSSLLSPYLTEIITHLLAAAERTDGAESKLRGAAYETLNEVVRCSNLSEASSIIAHLLPAIMKKLAETMDLPIISTDDREKQAELQASLCGVLQVIIQKLSGREDTKPIIMQNADDIMRLFLRVFGCHSSSVHEEAMLAIGALAYATGAEFVKYMPELFKYLQMGLQNFEEYQVCSITVGVIGDICRALDEKILPFCDQIMGLLIQNLQSGALHRSVKPPMFSCFGDIALAIGAHFERYVAPAVQIMQGAAQVCAQMDTLDEELMDYANQLRRSIFEAYSGILQGFKDTKAELMMPYAQHLLQFVELVSKDSLRDESVTKAAVAAMGDLADVVGENTKQLFKNFTF >fgenesh2_kg.3__903__AT3G08947.1 pep chromosome:v.1.0:3:3533939:3537612:1 gene:fgenesh2_kg.3__903__AT3G08947.1 transcript:fgenesh2_kg.3__903__AT3G08947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNYIMKMVCETACSKEAEIRQAAFECLVSIASTYYEVLEQYMPTLFELTSNAVKGDEESVALQAIEFWSSICDEEIDRQEYDSPDSGDSSPPHSCFIEKALPHLVQMLLETLLKQEEDQDHDDDVWNISMAGGTCLGLVARTVGDGIVPLVMPFVEKNISSPDWRSREAATYAFGSILEGPTIDKLAPMVAAGLEFLLNATKDGNNHVRDTTAWTLSRIFEFLHSPDSGFSVISPENLPRIVSVLLESIKDVPNVAEKVCGAIYNLAQGYEDSGAKSSLLSPYLTEIITHLLAAAERTDGAESKLRGAAYETLNEVVRCSNLSEASSIIAHLLPAIMKKLAETMDLPIISTDDREKQAELQASLCGVLQVIIQKLSGREDTKPIIMQNADDIMRLFLRVFGCHSSSVHEEAMLAIGALAYATGAEFVKYMPELFKYLQMGLQNFEEYQVCSITVGVIGDICRALDEKILPFCDQIMGLLIQNLQSGALHRSVKPPMFSCFGDIALAIGAHFERYVAPAVQIMQGAAQVCAQMDTLDEELMDYANQLRRSIFEAYSGILQGFKDTKAELMMPYAQHLLQFVELVSKDPLRDESVTKAAVAAMGDLADVVGENTKQLFNNFTFFGEFLNECLESEDEDLKVTARWTQGMIARLMHSS >fgenesh2_kg.3__904__AT3G08950.1 pep chromosome:v.1.0:3:3537890:3540197:1 gene:fgenesh2_kg.3__904__AT3G08950.1 transcript:fgenesh2_kg.3__904__AT3G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transport SCO1/SenC family protein [Source:UniProtKB/TrEMBL;Acc:D7L7P7] MASALCRTASRLRSIQICRRIRVSSDLLSASSPFPACISDALRHGDFSLPRSFFSLNCGIEMMKMDQRCLLSTSASDTTSKPDSGKSETKSSVKNEKSGGSESSDGGSDQRNNRASGKDVRGGPVSWMSFFLLFATGAGLVYYYDREKKRHIEDINKNSIAVKEGPSAGKAAIGGPFNLIRDDGKRVTEKDLMGKWSILYFGFTHCPDICPDELIKLAAAIDKIKENSGVDVVPVFISVDPERDTVQQVHEYVKEFHPKLIGLTGSPEEIKSVARSYRVYYMKTEEEDSDYLVDHSIVMYLMSPEMNFVKFYGKNHDVDSLTDGVVKEIRQYRK >fgenesh2_kg.3__906__AT3G08970.1 pep chromosome:v.1.0:3:3548648:3551694:1 gene:fgenesh2_kg.3__906__AT3G08970.1 transcript:fgenesh2_kg.3__906__AT3G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L7P9] MVRTRLAISVVLVSTLLLLNVESKSVDPYKVLGVSRDAKQREIQKAFHKLSLKYHPDKNKNKGAQEKFAEINNAYEILSDEEKRKNYDLYGDEKGQPGFDSGFPGGNGGYSYSSNGGHGGGGFNFGGPGGWQNMGGGGGSKSFSFSFGGPSESSFGFGMDDIFSMFSGGSSKGKEQFGGFGSSSKAESKSKSSTVVPIRTINSQVYKKEILDQGMTWLVLSYLPSQRGTQYHESIIEEVAESLQGALKVGRINCETESSLCKQLGIVPRRAPRLFVYSYTSSGKATLAEYTEELVAKKVKSFCQEHLPRFSKRIDLNTFDVSAVSSQRTPKVMLLSTKKDTPVIWRVLSGLYNGRFVFYNTEVHDTSDPKIQKLGVDAFPAIVGWLSNGEKQVLKTGITVKNLKSAVQELGKLLEGFEKKNKKVSSNSQPGQSPSESLETIPLLLRSNFDSICGENTPVCIIGAFRSSHGKEKLQSVMSKVSQKSLSRRQASTTGSQDTVSYSLLDATKQSAFLSSLDKSEFKTSDKFLIAYKPRRGKFATFKGDMTMEEVEKFVAAVLNGDIQFTKTRQKPQIK >fgenesh2_kg.3__907__AT3G08980.1 pep chromosome:v.1.0:3:3552499:3553986:1 gene:fgenesh2_kg.3__907__AT3G08980.1 transcript:fgenesh2_kg.3__907__AT3G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase I family protein [Source:UniProtKB/TrEMBL;Acc:D7L7Q0] MGIQNILWQVAKKSFTGSIIGLTISDRCCSVVPVRGDSMSPTFNPQRNSYLDDYVLVDKFCLKDYKFARGDVVVFSSPTNFGDRYIKRIVGMPGEWISSSRDVIRVPEGHCWVEGDNKTSSLDSRTFGPIPLGLIQGRVTRVLWPPQRISKIGQ >fgenesh2_kg.3__908__AT3G08990.1 pep chromosome:v.1.0:3:3554380:3556256:1 gene:fgenesh2_kg.3__908__AT3G08990.1 transcript:fgenesh2_kg.3__908__AT3G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:D7L7Q1] MGRLFVIDLEGLVYSCKHCQTHFAVTNDIISKSFHCKHGRAYLFDNVVNVTVGEKEHRVMLTGWHTVADIFCVSCGSLVGWKYEIAYDKSQKYKEGKFIIERFKVLGPDGGGYDMNHDEPMTGSDEE >fgenesh2_kg.3__911__AT3G09020.1 pep chromosome:v.1.0:3:3566680:3567918:1 gene:fgenesh2_kg.3__911__AT3G09020.1 transcript:fgenesh2_kg.3__911__AT3G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEIAKKVTAIFDHRRLNRSGSSLYTAFASTVIALVVFTIVLVSNLSVRGDFSAKVVTIEIKTVVPYLLPLSSEKEVSDQGNSNYSIKQQIIVKEIDNNLQVIEDFGGKGVSEKFQERATEFLRDDCEVKFMMTWISPAELFGKREILSVESVFKSHPRGCLMILSSTMDSPQGFSILKPFLDRGYRVMAVTPDLHFLLKDTAGESWLEEIQTGKRDPGKISLAQNLSNLMRLAYLYKFGGVYLDTDMIVLKSFKTLRNVIGAQTLEPVSRKWTRLNNAVLIFDKNHPFLLKSIEEFALTFNGNVWGHNGPYLVSRVARAVEGTDGYNFTIMTPPAFYPVNWVEIEKLFKVPRTEKDSKRVQVKVLEMQKRSYGLHLWNKFSSKFEIEQGSTMDQLISDHCIICDSVVSVS >fgenesh2_kg.3__912__AT3G09030.1 pep chromosome:v.1.0:3:3568196:3569937:1 gene:fgenesh2_kg.3__912__AT3G09030.1 transcript:fgenesh2_kg.3__912__AT3G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel tetramerization domain-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L7Q5] MVVFDGGERVKLNVGGEIFETNASTIQSSCPDSLLAALSTPTSHGSNPVFIDRDPEIFAVILNLLRTGRLPANSSGVFSKQELLDEAMYYGVESLLRLAMLPPPLLGFDASLVSTIVPAADGVPSALTATAGDASLWIAHGGQISVYDWSLSHAGTVRTHLNDITSICRVWSEAAAIGSGSASGLHFYDLSGGRYVGSTHWTDPEDPRIHKARVAAIADSVGGVFASFDCLHRENSILQIDKSTLQVAAVIGQQSGNSAKTTVPEKLRWLPTNGLLVGSAVQRGVFGCSGYIRIWDPRSRNIVWETSEPGSGRSTRFGDALADMDVDVEELILFKVCSKSGDLGMADIRKLGEDPWVYMSDENPGAWKAGDGGGYSVVHCYRKQVLAARGGALEVWSSVKEKTSVDPIRRRNFVDKEDDSKRGMISKIEAGGDRLFVSREFMEGVEVWETSNFS >fgenesh2_kg.3__913__AT3G09032.1 pep chromosome:v.1.0:3:3570931:3571579:-1 gene:fgenesh2_kg.3__913__AT3G09032.1 transcript:fgenesh2_kg.3__913__AT3G09032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRACKRVSFNPNPEATDEPIFPKHDGLSSSHHSRRRVVLFGILSFGLRSSPAARKLIHRIGARFTKTLRFISFRRNATDRKTSSFLLPSSSSSSSSTIYMKRSKSVSETESHRAEAIEDCIEFLNSSFSLSRSNSVSTWSS >fgenesh2_kg.3__914__AT3G09035.1 pep chromosome:v.1.0:3:3574480:3575996:1 gene:fgenesh2_kg.3__914__AT3G09035.1 transcript:fgenesh2_kg.3__914__AT3G09035.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Legume lectin family protein [Source:UniProtKB/TrEMBL;Acc:D7L7Q8] MAMSKTLSFLFLLCFQIHGTMSAAENSSFSFNGFAISPSFDKSVALFGDSKLVNDSSLIQLTDSVTRSVGRVVYKKPINLFQGKQRNSRSFSTHFSFSSMSSEISDGALAFVMVPTSLDLSLFGNKDNSSSALGFLSQYAKNETVFAVEFDISKRGNCARILIGRPESAQIRNLSFVGDLMMDNGGTLNCMIEYEASSKRMMVRFRKPGSIKLLDPFFSFSVDLAKLWKGGEVTVGLSSANGNSSSKAHFLHSWSFEIRHPPPMWMHSVPLEPNEVSKEEDGRGRSECIWKMLGALFFGAACGALGTMLALYIWTICRVRRSMAVVPEECAIEQGKK >fgenesh2_kg.3__916__AT3G09050.1 pep chromosome:v.1.0:3:3580608:3582042:1 gene:fgenesh2_kg.3__916__AT3G09050.1 transcript:fgenesh2_kg.3__916__AT3G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTLHAFQTTLTPNFHVLFNASRHPISRTQFLCLSKSGDGTSDSDSDPDPPKPEGDTRRQELLARIAMIQTSKVRLTDFLDERSEYLTKFAEEANVEFDKVGEDAMKDLDEASTRILENIESKMQAFEESAGLNRLEIEENDSKLAEFEEKIQVDRNEGLFFKSLRDKKPVDRKQAKQEADKIQEVTKESAGSKSRRNIYLGLIGIVVLAIADSFISSPDWRKVAILGAILVPLLTQFVYEQTLLSEEANKGK >fgenesh2_kg.3__918__AT3G09070.1 pep chromosome:v.1.0:3:3585674:3596429:-1 gene:fgenesh2_kg.3__918__AT3G09070.1 transcript:fgenesh2_kg.3__918__AT3G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPATDPVSAAAAALAPPPQPPQPHRLSTSCDRHPEERFTGFCPSCLCERLSVLDQSNNGGASSSSSSKKPPTISAAALKALFKPSGNNGGGGGNNNGNGRVKPGFFPELRRTKSFSASKNNEGFSGVFEPQRRSCDVRLRSSLWNLFSQDEQRNLPSNVSGGEIEVEPRKSSVAEPVLEVNDEGEAESDDEVEEEEEGFLNWMWKEGFKPDVFSYSTVINDLAKTGKLDDALELFDDMSERRVAPDVTCYNILIDGFLKEKDHKMAMQLWDKLLEDSSVYPNVKTHNIMISGLSKCGRVDDCLKIWDRMKQNEREKDLYTYSSLIHGLCDEGNVDKAESVFNELVERKAFIDVVTYNTMLGGFCRCGKIKESLELWRIMEQRNSVNIVSYNILIKGLLENGKIDEATMIWRLMPAKGYAADNTTYGIFIHGLCVNGYVNKALGVMQEVESKEEEENYVEAGDFEILNDSGELIGEKSDEIVEVREEIEEAEKPEKGLSEEELKPIKDHIDLDTQTKKPSVRRSFWSAASVFSKKLQKWRQNQKMKKRRNGGDHRPGSARLPVEKPIGRQLRDTQSEIADYGFGRRSCDTDPRFSLDAGRFSLDAGRFSVDIGRISLDDPRYSFDEPRASWDGSLIGRTAFPPAVRAPPPPSMLSVVEDAPPPLHRHVTRADMQFPVEEPAPPPPVVNQSNGVSDPVILPGGSIQTRDYYTDSSSRRRKSLDRSSSMRKTAAAVVADMDEPKLSVSSAISIDAYSGSLRDNNYAAETTDNGFFREPAVMIGERKVNSNDSNKKSRRWGKWSILGLIYRKSVNKYEEEEEEEDRYRRLNGGMVERSLSESWPELRNCGGVGGGPRMVRSNSNVSWRSSGGGSARKVNGLDRRNKSSRYSPKNGENGMLKFYLPHMKGSRRMSSAGGGGGGGGGGGGWANSHGHSIARSVMRLY >fgenesh2_kg.3__919__AT3G09080.1 pep chromosome:v.1.0:3:3601197:3606734:-1 gene:fgenesh2_kg.3__919__AT3G09080.1 transcript:fgenesh2_kg.3__919__AT3G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7L7R3] MKLSQKLKKPSSSTKLILQEIIGLTTTNANGLASVTCSSKCVYLAGCVVVVYDVGSFTQSHLVVSHRMPKPLSCVAVSQNGRFVAAGERTRSSKVGSLAFHGKQTDNGFQKGNSFVSVVSINRVNSSGIDEQSDEFISIYALTEAGVGVLMLMSYGMLINKSVDLKVEKCFALSASSGLIACACSKGMVQLFTPETLDYAGTIQFSDAKSSNTENQSHSAELKNTESPPVIFPDAVACQFSTKDKLVVIYGDRSLYVWDVNDVNKPTRCSMMISHSAGIWDIKNLSCGNLHSPTAACVARGCSEGVSFTTCSEDGTIRLWDLAFEVGPAANASSNPSESSTQGIMHLASAGIFERDLVETCGSKFGFRALVVSEDGKYLAAGDCGGNLHIYDLQESEYTCFTDAHEAEIQSLSFSSPGLKDVDSENASSSDFLLVSGGKGRAIHIYDVKRNFDPVGSVCGSAAVTSVKFACNGQKMLTSGADRLQLFDVVRKASSVRLSPSHPKTLSHGTIYDVVVDPTSGLVVTVGQDKKINIFDIGSGKLVRSFKQDRDHGDPLKVILDPSYSYLVCSYSNRTICFVDFVTGELVAQATGHGEAVTGVIFLPDCKHIISVASDGCIFVWKLPLRMATRIIQAVNENGRLTVAQLEKFKQIAVDLEEDNPKDASCSANYKPVEENADQMQQQRSPWTSSFKFSVSRLPKWAQAKVETSDIATNCQDSISNQKHEDESLANTVVDCAEECSSVNLDCQTPKQGSKAENSCLGSLSKSSSDTETSVLQRDDPSHKKEKTRWNTIYNVCLDLLNSPNIQAPFIIQQKPENFCTKHSAGHGGMLKQFDNSLSMIDEVEAEKSSQQRRYSSQFFLRRDYIGGSKQFMRTPSQKSGYKTLRSIQEHLPLDTVKDQSSHSSEEHPEQDKTSSEVFHDTLADDSLQERITSCRQALHGLNAAAAVFVQSMSELSTASPRDQISGELRDQLFDEAALMIPKLSHKVNEVVAKIMPAHKNRNSDRMFSN >fgenesh2_kg.3__920__AT3G09085.1 pep chromosome:v.1.0:3:3607099:3607648:1 gene:fgenesh2_kg.3__920__AT3G09085.1 transcript:fgenesh2_kg.3__920__AT3G09085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRSFEEFWPFYVMQHSNPLTRRWHFIGIIASIVALMCSILINWWFVALVPLLGYGFAWYSHFFVEGNVPASFGHPLWSFLCDLKMFSLMLTGSMEREMKRLGKRPLLQLS >fgenesh2_kg.3__923__AT3G09100.2 pep chromosome:v.1.0:3:3613090:3618835:-1 gene:fgenesh2_kg.3__923__AT3G09100.2 transcript:fgenesh2_kg.3__923__AT3G09100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA capping enzyme family protein [Source:UniProtKB/TrEMBL;Acc:D7L7R6] MDLNASPQPEEDDEPYVRHLEDYNSRDDRIESAVEIARRVREERKKRMRYDKPTHNSQPVFRDQYYQNRNTKAYDRYKIPQGWLDCPPSGHEIGFLVPSKVPLNESYNNHVPPGSRYSFKQVIHSQRIAGRKLGLVIDLTNTTRYYSTTDLKKEGIKHVKIACKGRDSVPDNVSVNAFVNEVNQFVLNLKHSKKYILVHCTHGHNRTGFMIVHYLMRSLPMNVTQALKIFSDARPPGIYKPDYIDALYSFYHEIKPESVICPSTPEWKRSTELDLNGEALPEDDDDDGGPAGPVQCFQEESHPVDVKMSNDDVLGDEIPPDQEEGYRQFFYKMLSLNIGGRGCSQFPGSHPVSLNRENLQLLRQRYYYATWKADGTRYMMLLTIDGCYLVDRSFRFRRVQMRFPFRHPTEGISDKVHHYTLLDGEMIIDTLPDKQKQERRYLIYDMVAINGQSVVERPFYERWKMLEKEVIDPRNHEKARSHIYRYDLEPFRVRRKDFWLLSAVEKVLKGFIPSLSHEADGLIFQGWDDPYVPRTHEGLLKWKYPEMNSVDFLYEQDESGRGMLSLFERGKKKHMDGNSVVFRDDSDPAEYSGKIVECSWDQDEKVWVSMRVRVDKSTPNDINTYRKVMRSIKDNITEEVLLQEIREIIRLPMYADRIQMDSKAARRR >fgenesh2_kg.3__926__AT3G09120.1 pep chromosome:v.1.0:3:3624696:3625905:-1 gene:fgenesh2_kg.3__926__AT3G09120.1 transcript:fgenesh2_kg.3__926__AT3G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L7R9] MARSAKFSLKLLVDEKKNKVVLAEAGHDFVDVLFGLLTLPMGTIARLLENHQKLPQVLGCYKNLKQSVSDMVIDDFETEACKSMLLSPKSSKEIHCRRLKLNIDDTAATTFYVCSKLYESDSCKVYSNFYNSRCSCGSLMSYSVQVPEDAQVLELLGNAVDGVFVSCRSSFIVTNDLKVMLNSIDEIVKVVNGLGYPNLNELQEMLIDVGSEEVLSLLGNLFTSESALTSTFLMKQSMTTMLPLPPPPMFKTGTVEQGSGCHMKVFVGKLDRKILYAECSEDFIDSLLTFLVLPLESASSLSNDNTIFGCVKNLCR >fgenesh2_kg.3__927__AT3G09130.1 pep chromosome:v.1.0:3:3626213:3627415:1 gene:fgenesh2_kg.3__927__AT3G09130.1 transcript:fgenesh2_kg.3__927__AT3G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQIADEIGSLSLVTGCKIKNEDLTEEKSLMVKPTMGRTWHWSPIEGLHSQDSIRHTHAYILQEIARMTIYPEAGVSHTLRHKKGIVIGVARAVSTELYHIVDNDLIMEEMDTAKLATEYDRKTIVIKNDVDSKWKEELSKPLKLSETENKVIQRLLLIAHGVIPLQGYSLVTTSHHYNDQSKRAFSMVERQFFSRFDQFSWWKEDEEALRDAMWHKAGHPVKISFKKEIACSNTIKQSLINAGIQSAAFRLPATEDAVMKAGAYRKLLKTLSPIFDDEVKWEALDEMLSYLDNFSAARPTEVVTVHNAPTHLLSMDTREKVVVWIAQWCSQNAEKVAICLGFHTAMSEKAGKFVWPSHSLSVLKRTNVDSCILGRKLFSDYTVFKAKLRQIMDFASVP >fgenesh2_kg.3__928__AT3G09140.2 pep chromosome:v.1.0:3:3627816:3629895:-1 gene:fgenesh2_kg.3__928__AT3G09140.2 transcript:fgenesh2_kg.3__928__AT3G09140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTETEKLSLRLLIDEQKNKVVLAESGKDFVDVLFSFLALPMGTIVRLLEEHRKSPQVVVGCFNNLYKSVSEMGIESFQTEACKQILLYPRSVNLEKYRKIKLKIDDTEAVKYFVCSGVHYRESCRTFYSISNKEECECGEYENSYFVRRLMNWEILRPEKEQVQGSIFRKDNDGVFVSCKACSFIITDDLKVAASSMDYVLNTLRGLGYENSHKLGEILLDVGTYFAGMFIYFGLSFNRHFYEEANPSLQGSGDGAGPGQTITFKAFVRKPDTKILCVECGADFIDLLFTFLALPLESVWDISGDSISLGCIGNLFRSFKSLNEGTDASSSSKSQLPWLYSCPKQLLDVVTEKQEIYCSFNRSVDKTYGYDFKFTRKRPDWKTARFLVTDDLVIKPKNLVSNISLLNLKMHMEKEDVEEQVITIGKLEAISLLRASVITSSALTTCFWSLIAKKAKEEI >fgenesh2_kg.3__930__AT3G09150.2 pep chromosome:v.1.0:3:3630183:3637640:1 gene:fgenesh2_kg.3__930__AT3G09150.2 transcript:fgenesh2_kg.3__930__AT3G09150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMESGFSIGSCFKAPNPPVLISASPKKINFTSRRRSKRFLFRVSAVSYKEFAESALEETRKRIVLEPSHLQEKYSSMTGLDGRTELRMLAFKSSKIRLLRSMAIENETMQVFDFAGFMEPEYDTPIFCANFFTSANVNIVVLDLNPLHQLTDQTDYQDKYYNKIMSIYHKYAETFPWGGKLTGESIKFFSPLVMWTRFSSSQEKHKALFSAFLEYYQAWLEMTIQVREEMEPSQVRANCEAQHKYLTWRAQKDPGHGLLKRLVGEEKAKELLRDFLFNGVDELGTKTFIDYFPEYQTEDGTVNDKRSIIGKSYETRPWDLTGQFIG >fgenesh2_kg.3__933__AT3G09160.1 pep chromosome:v.1.0:3:3637911:3638731:-1 gene:fgenesh2_kg.3__933__AT3G09160.1 transcript:fgenesh2_kg.3__933__AT3G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L7S3] TVTLRGLALRDMTLGFLVNMSRGSWKNFTRNSSLWRYAFIYFVGEGAADKALQLNGSDMGGWTVDAEALPFPEEDDNFSIVYLVGKDAVDEAPKLSGTDMGGGHKVVVRFVAYHGRTIVHPRRHKGRIIRGRPREKRNMAEKEEDKKPKFVN >fgenesh2_kg.3__936__AT3G09200.1 pep chromosome:v.1.0:3:3648076:3649835:-1 gene:fgenesh2_kg.3__936__AT3G09200.1 transcript:fgenesh2_kg.3__936__AT3G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P0 [Source:UniProtKB/TrEMBL;Acc:D7L7S7] MVKATKAEKKIAYDTKLCQLIDEYTQILVVAADNVGSTQLQNIRKGLRGDSVVLMGKNTMMKRSVRIHSENTGNTAILNLLPLLQGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVQPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKQGDKVGSSEAALLAKLGIRPFSYGLVVQSVYDNGSVFSPEVLDLTEDQLVEKFASGISMVTSLALAISYPTLAAAPHMFINAYKNALAIAVATEYTFPQAEKVKEFLKDPSKFAVAAVAVSADAGGGGGAQAAAKVEEKKEESDEEDYEGGFGLFDEE >fgenesh2_kg.3__938__AT3G09220.1 pep chromosome:v.1.0:3:3651952:3655351:-1 gene:fgenesh2_kg.3__938__AT3G09220.1 transcript:fgenesh2_kg.3__938__AT3G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:D7L7S9] MEGVRVSLACALFLFAVSSIASAAIVEHTFNVQNLTLSRLCKRQLITVVNGSLPGPTIRVKEGDSLVIHVLNNSPHNITIHWHGIFHRLTVWADGPSMITQCPIQPGHRYAYRFNITGQEGTLWWHAHASFLRATVYGALVIRPKSGHSYPFPKPHKEVPILFGEWWNTDVVALEEAAIATGVPPNNSDAYTINGLPGNLYPCSKDRMFSLDVVKGKRYLLRIINAAMNIQMFFKIANHRLTVVAADAVYTAPYVTDVIVIAPGQTIDALLFADQSIDTSYYMAAHPYASAPSVPFPNTTTRGVIHYGGASKTRRSKPVLMPKLPSFFDTLTAHRFYSNLTALVNGPHWVPVPRHVDEEMLVTIGLGLEACADNTTCPKFSASMSNHSFVLPKKLSILEAVFHGVNGIFTADFPDQPPVKFDYTNPNVTQTNPGLLFTQKSTSAKILKFNSTVEVVLQNHALIAAESHPMHLHGFNFHVLAQGFGNYDPSRDRSKLNLVNPQFRNTLAVPVGGWAVTRFTANNPGAWIFHCHIDVHLPFGLGMVLVVENGPTKATTLPPPPPDLPKC >fgenesh2_kg.3__941__AT3G09250.1 pep chromosome:v.1.0:3:3667529:3669474:1 gene:fgenesh2_kg.3__941__AT3G09250.1 transcript:fgenesh2_kg.3__941__AT3G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7L7T2] MALHGSGVLCKVSNMVEITSLFGGSMRLLHLPKSYPIHCNMVSASNTFGSGHLKLQNKEPCSRFRPCRVKREDNNQTADVKSISVDETTLKQDLETAIQEENYVEAAKIRDKLKELQEDNKASVLSANSRFYQSFRNGDLAAMQSLWSKSGNPCCVHPGAKGITGYDYVMESWEFVWMNYEFPLQIELKDVEVHVRGEVGYVTCMEFVKTKGSSSWGAQFVSNVFERIDGQWFICIHHASPVDI >fgenesh2_kg.3__942__AT3G09260.1 pep chromosome:v.1.0:3:3669428:3676785:-1 gene:fgenesh2_kg.3__942__AT3G09260.1 transcript:fgenesh2_kg.3__942__AT3G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate starvation-response 3.1 [Source:UniProtKB/TrEMBL;Acc:D7L7T3] MALQKLPLIGLLLLLTIVASPANADGPVCPPSTKLSRASFPEGFLFGTATAAYQVEGAINETCRGPALWDIYCRRYPERCNNDNGDVAVDFFHRYKEDIQLMKNLNTDAFRMSIAWPRIFPHGRKEKGVSQAGVQFYHDLIDELIRNGITPFVTVFHWDTPQDLEDEYGGFLSDRIVKDFREYADFVFQEYGGKVKHWITFNEPWVFSHAGYDVGKKAPGRCSSYVNAKCQDGRSGYEAYLVTHNLLLSHAEAVEAYRKCEKCKGGKIGIAHSPAWFEAHDLADSQDGASIDRALDFILGWHLDTTTFGDYPQIMKDIVGHRLPKFTTEQKAKLKDSTDFVGLNYYTSVFSNHLEKPDPSKPRWMQDSLITWESKNPQNYSIGSKPLTAALNVYSRGFRSLLKYIKDKYANPEIMIMENGYGEELGASDSIAVGTADHNRKYYLQRHLLSMQEAVCIDKVNVTGYFVWSLLDNFEWQDGYKNRFGLYYIDFKNNLTRYEKESGKYYKEFLSQGVRPSAIKKDEL >fgenesh2_kg.3__946__AT3G09300.1 pep chromosome:v.1.0:3:3695640:3703115:1 gene:fgenesh2_kg.3__946__AT3G09300.1 transcript:fgenesh2_kg.3__946__AT3G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7L7T7] MAPNDPKKAGGGSGFFASLASSISNLGSAMTKSVNGLVPYEGLEVINPEGSTDDAEEEASRGRWKQEDRDGYWKMMQKYIGSDVTSMVTLPVIIFEPMTMLQKMAELMEYSHLLDMADETEDPYMRMVYASSWAISVYYAFQRTWKPFNPILGETYEMANYNGVNFISEQVSHHPPMSAGHAENEHFTYDCTSKLKTKFLGNSIDVYPVGRTRVTLKRDGVVLDLVPPLTKVHNLIFGRTWVDSPGEMIMTNLTTGDKVVLYFQPCGWFGSGRYEVDGYVYNASEEPKILMTGKWNESMSYQLCDGEGEPLPGTELKEVWKLADVPKDDKYQYTHFAHKINSFDTAPKKLLPSDSRLRPDRYALEMGDMSKSGNEKSSMEERQRAEKRTREEKGQGFTPKWFDVTDEVTATPWGDLEVYQFNGKYLEHRAAADNSEDNTDPKSIQFNPWQFQDLST >fgenesh2_kg.3__947__AT3G09320.1 pep chromosome:v.1.0:3:3704715:3707156:-1 gene:fgenesh2_kg.3__947__AT3G09320.1 transcript:fgenesh2_kg.3__947__AT3G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L7T9] MKRKGVGFSLPVTVVMLVIGFIYFASVFTFIDRWFSLTSSPGIANAAVFTALALMCVYNYSIAVFRDPGRVPLNYMPDVEDPESPVHEIKRKGGDLRYCQKCSHFKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHTNYKVFFVFVVYAVTACVYSLVLLVGSLTVEPQDEEEEMGSYLRTIYVISAFLLIPLSIALGVLLGWHIYLILQNKTTIEYHEGVRAMWLAEKGGQVYKHPYDIGAYENLTLILGPNILSWLCPTSRHIGSGVRFRTAFDSIPTSSETKP >fgenesh2_kg.3__951__AT3G09350.1 pep chromosome:v.1.0:3:3716095:3718155:1 gene:fgenesh2_kg.3__951__AT3G09350.1 transcript:fgenesh2_kg.3__951__AT3G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7L7U2] MAKDGPNWDGLLKWSLSHADGTRPTRQLSEEDRKWFMEAMQSQTIDVVKRMKEITLVMQTPEQVLADHGVTPEDIQDLLDELQEHVESIDMANDLHSIGGLVPLLNFLKNSHANIRAKAADVVSTIVQNNPRSQELVMETNGLESLLSNFTSDTDIHARTQALGAISSLIRHNKPGVTAFKLANGYAGLRDALASDSVRFQRKALNLLQYLLQEDDSDRSIATGLGFPRVMMHLASSDDAEIREAALRGLLELAREKNDGSGSSSIDKSDEKLRQLLEERIKGISLMSQEDLETVKEERQLVDSLWSICYNEPSSLREKGLLVLPGEDELPPDVASKLFEPPLRATAANRNATEKKDEPMKLLGP >fgenesh2_kg.3__955__AT3G19760.1 pep chromosome:v.1.0:3:3727997:3729320:-1 gene:fgenesh2_kg.3__955__AT3G19760.1 transcript:fgenesh2_kg.3__955__AT3G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L7U5] LWLRILAVETVGEVVGEWMTDKLVFKTTKGVEPIANFEDMGIKENVLRGLYEYGYEKPSAIQQRAIMPILQGRDVIAQAQSGTGKTSMIALSVCQVVDTSSREVQALILSPTRELASQTEMTIQAIGSHGNVQAHACIGGKSVGEDIRKLEHGVHVVSGTPGRVCDMIKRRSLRTRAIKLLILDESDEMLSRGFKDQIYDVYRYLPPDIQVCLVSATLPHEILEMTTKFMTDPVKILVKRDELALEGIKNFFISVEKEEWKFETLCDLYDTLTITQAVIFCNTKRKVDFLSEKMRSSNFTVSSMHGDMPQKERDEIMNQFRTGESRVLITTDVFARGIDVHQVSLVINYDLPTTVSSTSIVLDGLVVSDILRDIEQYYSTQIDEMPMNVADLI >fgenesh2_kg.3__960__AT3G09400.1 pep chromosome:v.1.0:3:3735335:3737681:-1 gene:fgenesh2_kg.3__960__AT3G09400.1 transcript:fgenesh2_kg.3__960__AT3G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVASFSGCCAGTTAGKISSRYDVGVGLIQENLGHSFCYVRPVLTGSKSSFPPEPPLQPDPIPGTTTTFRSISGASVSANTSTALSASSSTDASGLASAFESSNRFASLPLQPVPRGPIKKPVHVSGQFERRFLSGPIESGLVSGKKKKEKAKLKKSGSKSFTIPKPNNKFLTFKNVFTNLVSNNLSCSKKSVIEPINYSDSFDESSDSDQGRPENNYSGTILSSHENPKTEEEKTESALDEPKIQWAQGKAGEDRVHVILSEENGWLFVGIYDGFNGPDPPDYLLNNLYTAVLGELKELQWNDKYESEYLQKSSVEHASDSDQENCHAMNGNIVACGSRNITSDVKKLQWRCEWEHNSSNKSNNIKSNHKECDSGMINHKDVLRALQQALKKTEESFDLMVSENPELALMGSCVLVTLMKGEDVYVMSVGDSRAVLARRPDLGMKKMQKDLERIKEESPLETLFVTERGLSLLVPIQLNKEHSTSVDEEVTRIKKEHPDDALAIENDRVKGYLKVTRAFGAGFLKQPKWNEALLEMFRIDYVGTSPYITCSPSLHHHRLTSRDKFLILSSDGLYEYFSNEEAIFEVDSFISAFPEGDPAQHLIQEVLLRAAKKYGMDFHELLEIPQGDRRRYHDDVSVIVISLEGRIWRSSM >fgenesh2_kg.3__961__AT3G09410.2 pep chromosome:v.1.0:3:3740636:3742966:-1 gene:fgenesh2_kg.3__961__AT3G09410.2 transcript:fgenesh2_kg.3__961__AT3G09410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:D7L7V1] MAIHSLLQCRTWSKSDWLIASIGIVLMCFPFLSLSIRLQTLSPPLILKTEELFAWMEACLVITFIRGGGGCRTIESCSSRAMTRLGSSNFFEHEVPFQGVLSSDPSQNPDFFNWNRIMIRYCDGACFSGHPEAEFKLIWETIMDELLSMGMSHAKHAILTGCSAGGLATLIHCDYFRDHLPNDATVKCVSDGGYILNLPDVLGNPTMGSFFHDVVTLQRVDRSLDQNCVAKMEPSKCLFPQESLKNIRTPVFLVNTAYDYWQIQNGLVPDSPNLDERWAICRLNIQECDAAQMKVLHGFRSSLIDAIGEFHENKEGGMFINSCNSHCQIRESWHSPTSTRIENKTIAESVGDWYFNRKPVKLIDCPYPCNTSC >fgenesh2_kg.3__963__AT3G09410.1 pep chromosome:v.1.0:3:3746607:3749440:-1 gene:fgenesh2_kg.3__963__AT3G09410.1 transcript:fgenesh2_kg.3__963__AT3G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:D7L7V3] MAIPRFSSLLRCRKWAKSDWLVASIGFVLIVFFLSFFIDPTSDSVPSVDRSRPIIFPSDLVKLKLSSIAKERGAFCLDGSLPGYHFHEGSGSGSQSWLVHLEGGGWCNTVASCSARALTKLGSSNYFEQEVAFQGVLSSDPSQNPEFFNWNKVAIRYCDGASFAGHPEAEFKNETRLFFRGQLIWEAIIDELLSMGMSDAKQAILTGCSAGGLASLIHCDYFRDHLPKDAAVKCVSDGGYFLNVPDVLGNPTMRSFYHDVANLQGVDKSLDQKCEAKTKPSKCMFPQEFLKNIRTPVFLVNPAYDFWQIQNVLVPTSADPDKSWAKCRLNIKECDAAQMKVLHGFRSSMMDAIGEFHQSKDGGMFIDSCYAHCQTVMSVTWHSPTSPRIENKTIAESVGDWYFNRKPVKLIDCPYPCNPSCYNMNFT >fgenesh2_kg.3__964__AT3G09430.1 pep chromosome:v.1.0:3:3749698:3751784:1 gene:fgenesh2_kg.3__964__AT3G09430.1 transcript:fgenesh2_kg.3__964__AT3G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRSLVSSYTFDDKDLEDADLWAVIDSAAAAATIAGKSPKPLAIRYPNYNSPPTPVSYPSPQSKVLQIQNRDPNLGRRLNEESTRPNKMARSRVLSEVKSESPMALVTTSHRNLTPNIINSTKFSSPESYLSPGIRQSTPFAEVSPSASCVKNDPVNEMRHSLSGSFPSATLFKEYQNTAMAILEKSDYTMISGKAYIKKSGWRKISFYFNVSYEIRDKTIEFDENRNVQRAEFTVRAIMHGGRFADGWGSCERREKKFLKPNHDIPSTAETRAKNRACQDLLGIGEYREPR >fgenesh2_kg.3__969__AT3G09480.1 pep chromosome:v.1.0:3:3765231:3765832:-1 gene:fgenesh2_kg.3__969__AT3G09480.1 transcript:fgenesh2_kg.3__969__AT3G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:D7L7V8] MAPKAEKKPAEKQPKAEKKITKEGGSERKKKTKKSTETYKIYLFKVLKQVHPDIGISGKAMGIMNSFINDTFEKIAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >fgenesh2_kg.3__973__AT3G09540.1 pep chromosome:v.1.0:3:3778393:3780777:-1 gene:fgenesh2_kg.3__973__AT3G09540.1 transcript:fgenesh2_kg.3__973__AT3G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7L898] MGNLHGLHRSHHGGSNFPGESPNSPFPPPYTSAPPFDHHMTVGPYCHVDSSLRSLAGKAEGFGRAAVGGLNGPICHVTSLADEGPGSLREACKRPEPLWIVFDVSGTINLSSFVSVSSHTTVDGRGQKVKITGKGLRLKECENVIICNLEFEGGVGPDADAIQIKPKSHNIWIDRCSLKNYYDGLIDITRESTDITVSRCHFMNHNKTMLIGADTSHVTDRCIRVTIHHCFFDGTRQRHPRVRFAKVHLFNNYTRHWAIYAVGAGVESQIYSQCNIYEASEKKTVFKYITEKAADKEKPGAGFVRSEGDLLLNGAKSCLSQGGERYVFSPTQHYSEWTVESPTEILKNYLKHSTGWQNIPLPLDQPPTTG >fgenesh2_kg.3__978__AT3G09570.1 pep chromosome:v.1.0:3:3790322:3791857:1 gene:fgenesh2_kg.3__978__AT3G09570.1 transcript:fgenesh2_kg.3__978__AT3G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPLFVVLLLFTASFITPTAAEIKSLTISDDSRPMILFEKFGFTQSGHVSVSVSSVSVVSSSSVPIPDPSRLGFFLMSEESLLQVVLEIEQNPNFCVLDSNYVLHLFTLKDLSSPPGSKYEHLYPVISPNEYSLFFVNCVPETKISMKVRTEMYNLDPNGSKDYLPAGSTRLPGLYFFFSLGYLAFLGLWGYACWVNKRVVHRIHVLMAALLLMKALNLICAAEDKHYVKVTGTPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQEKEKNVLMVVVPLQVLANIASVVIGETGPFIKDWVTWNQIFLLVDIVCCCAILFPIVWSIRSLRETSKTDGKAARNLAKLTLFRQFYIVVIGYLYFTRIVVFALKTIAAYKYRWVSNAAEEIASLAFYMLMFYMFRPVEKNEYFVLDEEEEEAAELALKEDDFEL >fgenesh2_kg.3__979__AT3G09580.1 pep chromosome:v.1.0:3:3792489:3794064:-1 gene:fgenesh2_kg.3__979__AT3G09580.1 transcript:fgenesh2_kg.3__979__AT3G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase family protein [Source:UniProtKB/TrEMBL;Acc:D7L8A1] MPPLANTLPISSISQFFPPCRGHANHRYRRFSGAQSSTADPYNRKNQTGVIIIGAGLAGLAAANQLTSKRIPFLLLEASDGVGGRVRTDIVDGFFLDRGFQIFITAYPEAKKLLDYESLDLQRFYAGAKVFYGGKFHTVADPLRHFWDSVASLTNPIGSVVDKGLIALTRARVLIKSDEEILTAADEVPTIDLLRKIGFSEAILDRFFRPFFGGIFFDRDLETTSKLFDFVFRCLALGENTLPTMGIGEISNQLAAKLPANSVLLNTRVASVEYPNGTGSDPPSVRLQDGGVLKAELGVIIAVEQPEVDKLLDGIRDPVITKPARSTICLYFTAEPNQIPVQDPVLFLNGTNTGIINNMFFATNVARTYAPPGKALVSVSLIGSFEDRSDDDLAAEVISELSGWFGESSVMSWKHLKTYRIQFAQPNQCPPTDLVKNPRVGSGLYLSGDYMTSATFDGALVSGRRAVEVLLREKGLI >fgenesh2_kg.3__97__AT3G01310.1 pep chromosome:v.1.0:3:323641:331460:1 gene:fgenesh2_kg.3__97__AT3G01310.1 transcript:fgenesh2_kg.3__97__AT3G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LAG9] MEMEEGASVVGEKIKIGVCVMEKKVKCGSEVFSAPMGEILDRLESFGEFEILHFGDKVILEDPIESWPICDCLIAFHSSGYPLEKAQAYAALRKPFLVNELDPQYLLHDRRKVYEHLEMYGIPVPRYACVNRKVPNQDLNYFVEEEDFVEVNGERFWKPFVEKPVNGDDHSIMIYYPSSAGGGMKELFRKIGNRSSEFHPDVRRVRREGSYIYEEFMATGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNTDGKEVRYPVLLTPAEKQMAREVCIAFRQAVCGFDLLRSEGCSYVCDVNGWSFVKNSYKYYDDAACVLRKMCLDAKAPHLSSTLPPTLPWKINERVQPNEGLTRQGSGIIGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRMLVPRSRPGRESDSDAEDLEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKIPKGDGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMEAAKARLNEIVTSGTKMIDDHDSSEDFPWMTDGAGLPPNAHELLRELVKLTKNVTEQVRLLAMDEDENLTEPYDIIPPYDQAKALGKTNIDSDRIASGLPCGSEGFLLMFARWIKLARDLYNERKDRFDITQIPDVYDSCKYDLLHNSHLDLKGLDELFKVAQLLADGVIPNEYGINPQQKLKIGSKIARRLMGKILIDLRNTREEALSVAELKESQEQVLSLSASQKEDRNSQPKFFINSDELRRPGTGDKDEDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLLGEESLICQNALERLCKTKELDYMSYIVLRLFENTEVSLEDPKRFRIELTFSRGADLSPLGNNDDEAETLLREHTLPIMGPERLQEVGSCLTLETMEKMVRPFAMPPEDFPPASTPVGFSGYFSKSAAVLERLVNLFHNYKNSSSNGRG >fgenesh2_kg.3__982__AT3G09600.1 pep chromosome:v.1.0:3:3808398:3810943:1 gene:fgenesh2_kg.3__982__AT3G09600.1 transcript:fgenesh2_kg.3__982__AT3G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7L8A7] MSSSPSRNPTNAEAPPPQPTSTDAVAEGSSKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTLAHVPPPRPKRKAAHPYPQKASKNAQMPLQVSTSFTTSRNSDMPGYASWDDASMLLNRVISPQHELATLRGAEADIGSKGLLNVSSPSTSCMGSSSRTVSGSEIVRKAKQPPVLHGVPDFAEVYNFIGSVFDPETRGHVEKLKEMDPINFETVLLLMRNLTVNLSNPDLESARKVLSSYDVKTELPSVVSLVKNSTSDKSA >fgenesh2_kg.3__983__AT3G09630.2 pep chromosome:v.1.0:3:3814279:3816105:1 gene:fgenesh2_kg.3__983__AT3G09630.2 transcript:fgenesh2_kg.3__983__AT3G09630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L4/L1 [Source:UniProtKB/TrEMBL;Acc:D7L8A9] MAAAAARPLVTVQVLDGDMSTDQSSTVVLPDVMTAPVRPDIVNFVHAQISNNSRQPYAVSKKAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQAAFGNMCRGGRMFAPTKIWRRWHRRVNVNMKRHAIVSAIAATAVPSLVMARGHKIENVPEMPLVVSDSAEAVEKTSAAIKVLKQIGAYDDAEKAKDSIGIRPGKGKMRNRRYISRKGPLVVYGTEGAKIVKAFRNLPGVELCHVERLNLLKLAPGGHLGRFVIWTKSAFEKLESIYGSFEKPSEKKKGYVLPRAKMVNADLARIINSDEIQSVVKPIKKDSKRAVLKKNPLKNLNVMLKLNPYAKTAKRMSLLAEAQRVKAKKEKLSKKRKTVTKEEALAIKAAGKSWYQTMISDSDYTEFDNFTKWLGASQ >fgenesh2_kg.3__985__AT3G09640.2 pep chromosome:v.1.0:3:3816759:3818760:1 gene:fgenesh2_kg.3__985__AT3G09640.2 transcript:fgenesh2_kg.3__985__AT3G09640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKSYPEVKEEYKKAVQRCKRKLRGLIAEKHCAPIVLRLAWHSAGTFDVKTKTGGPFGTIRHPQELAHEANNGLDIAIRLLEPIKELFPILSYADFYQLAGVVAVEITGGPEIPFHPGRLDKVEPPPEGRLPQATKGVDHLRDVFSRMGLNDKDIVALSGGHTLGRCHKERSGFEGAWTQNPLIFDNSYFKEILSGEKEGLLQLPSDKALLDDPLFRPFVERYAADEDAFFEDYKEAHLKLSELGFADKE >fgenesh2_kg.3__987__AT3G09650.1 pep chromosome:v.1.0:3:3819113:3821456:1 gene:fgenesh2_kg.3__987__AT3G09650.1 transcript:fgenesh2_kg.3__987__AT3G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCF152 [Source:UniProtKB/TrEMBL;Acc:D7L8B1] MNILRPPTSSPSFPPYPNSVSLTPPVSFTLPNTSITNAGRPTRRPIFQRSASGTANSSAGDLSSFLGSPSEAYSTHNDQELLFLLRNRKTDEAWAKYVQSTHLPGPTCLSRLVSQLSYQSKPESLTRAQSILTRLRNERQLHRLDANSLGLLAMAAAKSGQTLYAVSVIKSMIRSGYLPHVKAWTAAVASLSAAGDDGPEESIKLFTAITRRVKRFGDQSLVAQSRPDTAAFNAVLNACANLGDTEKYWKLFDEMSEWDCEPDVLTYNVMIKLCARVDRKELIVYVLERIIDKGIKVCMTTMHSLVAAYVGFGDLRTAERIVQAMREKRRDLCKVLRECNAEDLKEKEEEEAAEDDEDAFEDDEDSGYSARDEVSEEGFEDVFKKLLPNSVDPSGDPPLLPKVFAPDSRIYTTLMKGYMKNGRVADTARMLEAMRRQDDRNSHPDEVTYTTVVSAFVKAGLMDRARQVLAEMARMGVPANRITYNVLLKGYCKQLQIDRAEDLLREMAEDAGIEPDVVSYNIIIDGCILIDDSAGALAFFNEMRTRGIAPTKISYTTLMKAFAMSGQPKLANRVFDEMMNDPRVKVDLIAWNMLVEGYCRLGLIEDAQRVVSRMKENGFYPNVATYGSLANGVSLARKPGEALLLWKEIKERCEVKKKEAPSDSSSDPSPPMLKPDEGLLDTLADICVRAAFFKKALEIIACMEENGIPPNKTKYKKIYVEMHSRMFTSKHASQARIDRRVERKRAAEAFKFWLGLPNSYYGSEWKLGPRED >fgenesh2_kg.3__989__AT3G09670.2 pep chromosome:v.1.0:3:3826899:3829479:1 gene:fgenesh2_kg.3__989__AT3G09670.2 transcript:fgenesh2_kg.3__989__AT3G09670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PWWP domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L8B3] MSTESERVESVSEASASRFEVGNDQMSETLAGQAQELKTYGDDKEGCGNLASAGDNGMEKVNGFTDLEKETESVNGGLDLGTGTENVGGESNESENKVLVDSEEVLMVEERELLIEKEVEPDMVCSHGADLRDVKVSDSEDLGEDRKLDGLEKQGTKVEDLDVVCFMGLEPHESKDRSILDDDNFLVTAKVKISDSDLVWAKVRSHPWWPGQVFDASAATDKAKKYFKKGSFLVTYFGDCTFAWNDASRVKPFRQHFSQMAKQSSLPDFIDAIDFALEEVSRRIEFGLACSCISEEVYQKIKTQNIINPGIREDSSTIHGGDKVSSAVFFEPADLVGYVKRLACSPIYDATDALQLVSQRAQLLAFNRWKGYTDLPEFVTLQGSVESAPKISPAEEKSSLVEVSDLEPKKSKQVYTKRRKTEVQDDCKHDGVFEYEETTVPKKKEKTLAEFIAEKRLSRHNRNTSHENSGKVPHCEKKRKVVQSKVPKSTKKIKENLQTEDPGSPISHKNDRKNSLSAGDKITPEKARKSFGIGASILKVANQMHCSTPTRLIPCSDSTSKKAAKSNGNGKSLQEKPKAEALSAREISPSTNETLSSPHAASVTKTPSGKSNSISVDHQLSGELEQVIKEAPSTNLVEDPMLESRDLKDSAKEQMVHEDKKEAANIADEKTIIKDSNLIGEKISGLDLKQQPNKNCSDSSKEDVSAE >fgenesh2_kg.3__991__AT3G09680.1 pep chromosome:v.1.0:3:3829761:3831339:-1 gene:fgenesh2_kg.3__991__AT3G09680.1 transcript:fgenesh2_kg.3__991__AT3G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S23 [Source:UniProtKB/TrEMBL;Acc:D7L8B4] MGKPRGMGAGRKLKRHRINQKWADKYYKKSRQGNEWKKPFACSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >fgenesh2_kg.3__992__AT3G09690.1 pep chromosome:v.1.0:3:3831843:3834590:1 gene:fgenesh2_kg.3__992__AT3G09690.1 transcript:fgenesh2_kg.3__992__AT3G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7L8B5] MEEMPRGVPTWQDEFASLMDGGLQYDGSPIDLTADNESRSKSSGFGSGSGSESVESLKDQVTGFIKSWGEMLLDLAIGCKDVVQQMVVTEDSFVVRKLRKPAAKVSKKLSFLNDYLPEDRDPVHAWPVIFFVFLLALTALSFCSDHDRSVPVLKKIRLHPTSASRVLLPDGRYLAYQELGVSADRARHSLIVPHSFLSSRLAGIPGVKESLLKDYGVRLVSYDLPGFGESDPHRARNLSSSASDMIDLAAALGIVDKFWLLGYSTGSVHVWAAMRYFPDQIAGVAMVAPMINPYEPSMTKEEIAKTWEQWQRKRKFMYFLALRWPSLLPFSYRRSFLSGNLEPLDKWMSMSLGEKDKLVITDPVFEDLYQRNVEESVRQGTAKPFVEEAGLQVSNWGFSLPEFHMQKKCRTNGVLSWLMSMYSESECELIGFRKPVHIWQGMDDRVSPPSVTDYISRVIPEASVHRLPNEGHFSYFYFCDECHKQIFSAIFGEPRGSVELSEQRTETHKPDQPKTGLSDSSTTKE >fgenesh2_kg.3__995__AT3G09720.1 pep chromosome:v.1.0:3:3845150:3848522:-1 gene:fgenesh2_kg.3__995__AT3G09720.1 transcript:fgenesh2_kg.3__995__AT3G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSYFLFGGTNFNKKKFAPDFAKFKNCTEDDDSNKKVNFFVEEEDTEEQKEKVVVFSKKRKRRSSNSVPVEGFDVFKSSKKALAKGKAEEGNTKNDILEDPKKELNRQIERDALSRKQYNIHVSGNNIPPPLKSFAELSSRYGCEGYILGNLAELGFKEPTPIQRQAIPILLSGRECFACAPTGSGKTVAFICPMLIKLKHPSTDGIRAVILSPARELAAQTAREGKKLIKGSNFHIRLMTKPLVKTADFSKLRCDVLISTPMRLKRAIKAKKIDLSKVEYLVLDESDKLFEQSLLKQIDCVVKACSNPSIIRSLFSATLPDSVEELARSIMHDAVRVIIGRKNTASETVKQKLVFAGTEEGKLLALRQSFAESLNPPVLIFVQSKERAKELYDELKCENIRAGVIHSDLPPGERENAVDQFRAGEKWVLIATDVIARGMDFKGINCVINYDFPDSASAYIHRIGRSGRAGRSGEAITFYTEQDVPFLRNIANMMMSSGCEVPSWIMSLKKKKWRKHRPRRDSISTQPKADKNDKDV >fgenesh2_kg.3__997__AT3G09735.1 pep chromosome:v.1.0:3:3851591:3852825:-1 gene:fgenesh2_kg.3__997__AT3G09735.1 transcript:fgenesh2_kg.3__997__AT3G09735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFDGKIESKGLNPGLIVLLVIGGLLVTFLVGNFILYTYAQKNLPPRKKKPVSKKKMKKEKMKQGVQVP >fgenesh2_kg.3__999__AT3G09760.1 pep chromosome:v.1.0:3:3864151:3867045:-1 gene:fgenesh2_kg.3__999__AT3G09760.1 transcript:fgenesh2_kg.3__999__AT3G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7L8C4] MVDDKARQQEEQHGSHQHHHSPLQMDGNSVEIAEEQELSSPGRDLWRRGLELDLPSTTPQDTARDDLLRRNASLTSSPVAKRVNFSPMSSPRIGQRAASLSPSSSSSSSRNKPNSLKNLIPKLSFKNRNSNNVDIEKAADLGFVSSPSSGNSRDRSTWTLTNILTPRLKKTESLPVTPIAHSNPESTHGRFAVDIVTSTKKGPPLPIHRSRSVPALNKDGSLRQLGVFRVIPTPNMTPTRNTIKLNDANVDGAEDVPEEEAVCRICLVELGEDSEAFKMECMCRGELALAHKECTIKWFTIKGNRTCDVCKQEVQNLPVTLLRMQNSRGSIGAPDTEAAHYSLWQDVPILVIVSMLAYFCFLEQLLLTKMQSGAIAVSLPFSCVLGLFASMTSTTMVQKRYVWIYATTQFGLVVFFSHVFFTLVRMQPVVAILLATIVGFGLTMSGTTGLVEFSKWRRSNRTAEPPNSSQVDQPSVETTDQSISGSRN >fgenesh2_kg.3__9__AT3G02030.1 pep chromosome:v.1.0:3:17019:20943:-1 gene:fgenesh2_kg.3__9__AT3G02030.1 transcript:fgenesh2_kg.3__9__AT3G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7L9I6] MAATGFHMLSAVGLCNGEKHKQLLGVTLPPARRFSASVTAFSSRLVDYEQPFVKGFWEYLEAAKDFIRPEDNSPSRWFSPLEKSKDPCDGAPLLLFLPGIDGNGLGLIRQHQKLGQMFDIWCLHIPASNRTSFTDLVAMVERTVKSENQRSPRKPIYLVGESLGACIALAVAACNPEIDLLLILSNPATSYGNSLLQHLAPLVKALPDQFDLAFPSVLSLIPGGPLKRMVAHWVRGLPEMETAANIYQDLVITSTLTSVVLSNMVKNLTMQILADTFRRETLLWKLKLLDAAAIFANAHLHLVQAQTLILSSGNDQILPSKFEGKRLRKKLPKCEVRSFKDNGHCLFLEDGIDLVSIIKATSFYRRGSHQDYVSDYIPPTISEFNKSYGVNRLLEVIMGPVFLSTTEDGKVVRGLGGIPSEGPVLLVGNHMLLASDKISLPGQFVHERNINLRPLVHPMMFTRLRDGLLPDVSVYDMLRMMGSVPISGTHLHNLLSAKSHILLFPGGIREALHRKGEEYKLMWPEKAEFVRAAAKFGAKIVPFCGVGEDDFLRVSTLCIHVVCNT >fgenesh2_kg.401__1__AT2G43110.1 pep scaffold:JGI8X:scaffold_401:4442:6520:1 gene:fgenesh2_kg.401__1__AT2G43110.1 transcript:fgenesh2_kg.401__1__AT2G43110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEVKPSAVKNPKRNRRPSHGPKKDLKKKSKKTKKSKAPTFDKTIEKSIRNDQKTENEDDEQLYSEPVSASEQLSYFLNQLESAIGIKVSSLELEPIKDTCIVELSQRLDQDVSNLGEHIKLSCGSSWRETLCEGETLERNVEPGNPSVLVISSSALRSLELLRGLHSLTKHCPAVKLFSKHLKVEEQVSLLKKRVNIGSGTPNRIKKLIDIEALGLSRLDMIVVDMHPDVKGFSLFTLPQVRNEFWDLYKNCFHQRVLEGRLRICMYGPKPAPNLKKKKKN >fgenesh2_kg.402__1__AT1G76980.1 pep scaffold:JGI8X:scaffold_402:990:1789:-1 gene:fgenesh2_kg.402__1__AT1G76980.1 transcript:fgenesh2_kg.402__1__AT1G76980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFDLSSKSFNTHLNFPRAFDDHPDSGVCSPPLWRTSPPKSPPHLHNNYQNLSPVSKAQVIARGQRELMDMVSKMPESCYELSLKDLVEVNTEEEKEGKVFDEMPQRNKRPSKVVRKTKSDKWVDPIRNGGVNNSGFLLKLVFPVSLGAKKKTKKKDDDEEYDSSVTSKKSWISSPRPSISDVSMKREDKDWWKDGLSESRRSQSVVSRINSGSSKSSGGSSSRSNSDRSRNSLRANSQNLFKNP >fgenesh2_kg.409__2__AT2G42400.1 pep scaffold:JGI8X:scaffold_409:4003:6381:-1 gene:fgenesh2_kg.409__2__AT2G42400.1 transcript:fgenesh2_kg.409__2__AT2G42400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHPKITCVSSAHQNVEEKLRELQERFCHLQAARKEGRHGDLALLEAQISQNIREWQAELTAPSPESSLLGEGISQFLEEFAPLLKLDEEDDATSTLKEHSAQKPDPEGFAQSLCPPEWTSENFSQSPFNGNFSCSFEDALNNTETRGQQLHYGYEGFDPSINTAPDFHDQKLSSNLDITSQYDYILSEVRQELDNSPSIKLDSSEEIDNFTEFTTPSSVRVPPSAFLGPKCALWDCTRPAQGSEWYLDYCSNYHGTLALNEDSPGTAPVLRPGGISLKDNLLIDALRAKTQGKNVGIPVCEGAVNTKCPWNAAELFHLELVEGETIREWLFFDKPRRAYDSGNRKQRSLPDYSGRGWHESRKVPMKEQEGQKRSYYMDPQPPGPFEWHLFEYQINESDACALYRLELKVGNGKKSPKGKISKDPLADLQKKMGQFKVASDKPSPPTKGRKDLS >fgenesh2_kg.41__3__AT2G29130.1 pep scaffold:JGI8X:scaffold_41:42652:45750:-1 gene:fgenesh2_kg.41__3__AT2G29130.1 transcript:fgenesh2_kg.41__3__AT2G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:D7MVX1] MVTWVLHYLLVAFLIAISYNIDAASAGITRHYQFDIQLKNITRLCKTKTIVTVNGKFPGPKVTAREGDNLQIKVVNHVSNNISIHWHGIRQLRSGWADGPSYVTQCPIRTGQSYVYNFTVTGQRGTLWWHAHIQWMRATVYGPLIILPKLHQPYPFPKPYKQVPIIFGEWFNADPQAVLQQALQTGAGPNASDAHLLMGFQVHYTIALQKVNTYKLMVKPGKTYLLRLINAALNDELFFTIANHTLTVVEADACYVKPFQTNTVLIGPGKQTNVLLKTKPIYPNATFYMLARPYFTGQGTIDNTTVAGILQYHHHSKSSKNLSIIKPSLPPINSTSYAANFTKMFRSLASSTFPVNAPKTVDKKYFFTVGLGTNPCPKNQTCQGPTNATKFAASINNVSFILPNKTSLLQSYFVGKSNNVFMTDFPTAPFFPFNYTGTPPNNTMVSRGTKVVVLKYNTTVELVLQGTSILGIEAHPVHLHGFNFYVVGQGFGNFNPARDPKQYNLVDPVERNTINVPSGGWVAIRFLADNPGVWLMHCHIEIHLSWGLTMAWVVLDGDLPNQELLPPPSDFPKC >fgenesh2_kg.41__4__AT2G29150.1 pep scaffold:JGI8X:scaffold_41:76046:77352:-1 gene:fgenesh2_kg.41__4__AT2G29150.1 transcript:fgenesh2_kg.41__4__AT2G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVX3] MAKTGENLTDKSRWSLGGMTALVTGGSKGLGEAVVEELAMLGARVHTCARDETQLQERLREWQGKGFQVTTSVCDVSSRDQREKLMETVSSIFQGKLNILVSNAGTGITKPTTEFTAQDYSFLMATNLESAFHISQLAHPLLKASSLGSIVFMSSVAGLVHTGASIYGATKGAMNQLGRNLACEWASDNIRVNSVCPWVIATPLASIIFIDEEFKKAVESKTPMGRVGKANEVSSLVAFLCFPAASYITGQTICVDGGASVNGFSFKP >fgenesh2_kg.426__1__AT2G31082.1 pep scaffold:JGI8X:scaffold_426:3753:3946:-1 gene:fgenesh2_kg.426__1__AT2G31082.1 transcript:fgenesh2_kg.426__1__AT2G31082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRILGVNSKSEDGESNDLLQRLGYNVSELKRIGRELSVQNEVDRFSPGGPDPQHHSYPLSSK >fgenesh2_kg.431__1__AT5G56430.1 pep scaffold:JGI8X:scaffold_431:7167:8263:-1 gene:fgenesh2_kg.431__1__AT5G56430.1 transcript:fgenesh2_kg.431__1__AT5G56430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXI8] LYTHETLVVLRLMKGTIDDVPSTTCFRSLKTLSLLDVFFTSDKTVERLLSCFPILETLVVDRWGGENVKTFAICVPSLQSLKIRYRVGGYHDPKNDHGFVINAPSLKYLDIVDHFRGKSTLVSLDLCVMCSLDWLNLILSRSPKLRALRLYQSRERGGSCRNSRNVRTKWEQPSSVPECLLVSLKTVEWILYKGTQEEKDVVKYLLENGNFIKTMSIGFSSVITLEERNKIQLEFESMPRSSRRCQLSFT >fgenesh2_kg.451__1__AT3G18570.1 pep scaffold:JGI8X:scaffold_451:521:1232:1 gene:fgenesh2_kg.451__1__AT3G18570.1 transcript:fgenesh2_kg.451__1__AT3G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L8J6] MAERFSSGEAQYWPIYGSSTTTPTVSNSPIASVFHQLRSHSPTSSQLFGFLALFISSGILLFLLGVSVTAAVLGFIVFLPLIIISSPIWIPVFVLVGGFLTVSGFLVGTVTVLSWTYRYFRGMHPVGSNQMDYARSRIYDTASHVKDYAREYGGYFHGRAKDAAP >fgenesh2_kg.455__1__AT5G65166.1 pep scaffold:JGI8X:scaffold_455:4900:5085:1 gene:fgenesh2_kg.455__1__AT5G65166.1 transcript:fgenesh2_kg.455__1__AT5G65166.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MT87] MKFKQAEHSTKEYFSMKKDVCDTVIGQRWSCSRQRDMPRVTSLSDYWIVDYPVARFVWFCL >fgenesh2_kg.457__2__AT2G35310.1 pep scaffold:JGI8X:scaffold_457:2358:4136:-1 gene:fgenesh2_kg.457__2__AT2G35310.1 transcript:fgenesh2_kg.457__2__AT2G35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein [Source:UniProtKB/TrEMBL;Acc:D7MXL5] MARNSDYDMCKEERKRESFFKVLQRVDFSSENLRALPYDFVRSFSNNELSGKMKIKAQWGSSWEVEICKNPRFYFMEKSGWVKFVRDNALGDNEFLTFTHKGTMRFTVNIFKQDGKEMLQPPQSMASMASSRRRRTKTEQGISYLATTITAESNGGENYTRKLNFEKKKAAESQNSKRTEKVFSVRRDSAGASSSSVAEFTIFIKKSYLIFMRIPKSVQSIHMPMQRTIFKIHQPNMKKSWNVVYLVANRGASFSGGWKRLAQEYPVAVGDTCKFSFIKQHELILFVSKP >fgenesh2_kg.463__2__AT2G39470.2 pep scaffold:JGI8X:scaffold_463:302:1974:-1 gene:fgenesh2_kg.463__2__AT2G39470.2 transcript:fgenesh2_kg.463__2__AT2G39470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein [Source:UniProtKB/TrEMBL;Acc:D7MXM1] MAAVSSLSIRYGVSPTISHKTEILCPNPSLKACLLSSAYQKGSGSNWKRRQALVGVGTLVATSIPAALLLAEEIPKSYSPFVDREDGYSYYYPSDWREFDFRAHDSAFKDRYLQLQNVRVRFIPIEKNDIREVGPMEEVVYDLVKHKFAAPNQVATIYDMKERVEDGRNYYTFEYGLRTPIYATTSFATVAVGNNRYYTLIVGANERRWRKVKKQLQVVADSLKILEI >fgenesh2_kg.463__5__AT2G39450.1 pep scaffold:JGI8X:scaffold_463:3810:6221:1 gene:fgenesh2_kg.463__5__AT2G39450.1 transcript:fgenesh2_kg.463__5__AT2G39450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:UniProtKB/TrEMBL;Acc:D7MXM3] MVEPASQDSDEGISLLEFHGNGDRSWQLNFDDFQVSPEHKEKKTPSKLHNCLGCLGPEDNVADYYQQQVEMLEGFTEMDELAERGFVPGMSKEEQDNLAKSETLAIRISNIANMVLFAAKVYASVTSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESLRTMLSSHKEFSLTKEQESWVVGIMLSVTLVKLLLVLYCRSFTNEIVKAYAQDHFFDVITNIIGLIAVILANYIDDWIDPVGAIILALYTIRTWSMTVLENVNSLVGKSARPEYLQKLTYLCWNHHKAIRHIDTVRAYTFGSHYFVEVDIVLPADMPLQAAHDIGESLQEKLELLEEIERAFVHLDYEYTHKPEHARSHR >fgenesh2_kg.467__2__AT3G11040.1 pep scaffold:JGI8X:scaffold_467:2647:6190:-1 gene:fgenesh2_kg.467__2__AT3G11040.1 transcript:fgenesh2_kg.467__2__AT3G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 85 protein [Source:UniProtKB/TrEMBL;Acc:D7MXM6] MPKSNDADVAESEAVPLLDLAKPSLPISFPIKSLQDLKSRSYFVSFHFQFNRSTVPLRRDSDDLPNRPRVLVCHDMKGGYVDDKWVQGCENDAGFAIWHWYLMDIFVYFSHSLVTLPPPCWTNTAHRHGVKVKMLATKESAQMYAERMAELATALGFDGWLINIENDIDEEQIPNLKEFVSHLKKVLHLSTPGALVICYPKLSAEVAGDRKFDVYMGIDVFGRGSFGGGQWTVNAALDLLKRNNVSAAIFAPGWVYETAQPPNFHTAQNKWWSLVEKSWGIVQTYPQVLPFYSDFNQGFGYHVSLEGRQLSDAPWYNISCQSLQNSMKKTTKISSTSLLRKMFLTFLSKHLNNYYEFDSAREGSFNRGGNIAFRGKLKGDAYFTTRLFKPHLQLSSSPITISYSVKSDETSKLGILLSFSSPSQKTKSILVAPQESIRRFNDMFLQCLATSAQTVSEWTVHETSLVMDGHTLTEISAFCYRPENLTKSVEYVALLGHISIKDHVQYQQKSETLLPASSWVIKADNVELVPGNSGSKILRAKLEWRQKHLEDSVLPRYNVYAENVNSTDLRPRKVLEKPRSETVFLGVVHVPAYYVAELVVESDVKAVRFVVQACAEDGSLGKLDEALNLLVELEGISSKYIS >fgenesh2_kg.471__1__AT3G23230.1 pep scaffold:JGI8X:scaffold_471:4611:5031:1 gene:fgenesh2_kg.471__1__AT3G23230.1 transcript:fgenesh2_kg.471__1__AT3G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7L394] MESSNRRSNNQSQDDKQARFRGVRRRPWGKFAAEIRDPSRNGARLWLGTFETAEEAARAYDRAAYNLRGHLAILNFPNEYYSRMDDYSLRPPYASSSSSSSSSGSTSTNASRQNQREVFEFEYLDDRVLEELLDSEERKR >fgenesh2_kg.474__14__AT5G42957.1 pep scaffold:JGI8X:scaffold_474:7215:7562:1 gene:fgenesh2_kg.474__14__AT5G42957.1 transcript:fgenesh2_kg.474__14__AT5G42957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFSSQITTLFIVVALVCVFVPVFSVEEAEAKSLWNTCLVKITPKCALDIIEGKVCHDTLIKYIADKPMLIAHETEYLKKSADLWNHCVSISKIA >fgenesh2_kg.474__9__AT5G42955.1 pep scaffold:JGI8X:scaffold_474:5022:5435:1 gene:fgenesh2_kg.474__9__AT5G42955.1 transcript:fgenesh2_kg.474__9__AT5G42955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFSSQITTLFIVVALVCVFVPVFSVEEAEAKSLWNTCLVKITPKCALDIIGVVFENGTISDPLCHDMLIKYIADKPMFIAHETEYLKKSADLWNHCVSISKSA >fgenesh2_kg.47__1__AT5G43070.1 pep scaffold:JGI8X:scaffold_47:1413:1954:-1 gene:fgenesh2_kg.47__1__AT5G43070.1 transcript:fgenesh2_kg.47__1__AT5G43070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETETETITTTSTPPISETETSTTLPTMETEKNPNPVTISLRIWPPTQKTRDAVINRLIETLSTESILSKRFGTLDSEEASSVAKSIEDEAYAVASAAVVSEDDGIEILKAYSKEISKRMLESVKAKTNVASPPPKDGDGTADSSEA >fgenesh2_kg.482__1__AT5G42230.1 pep scaffold:JGI8X:scaffold_482:1381:3583:1 gene:fgenesh2_kg.482__1__AT5G42230.1 transcript:fgenesh2_kg.482__1__AT5G42230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSLRNVVVVMAVVLVTVQVFAQGYPEADLVVRLPGQLKVAFRQYAGYVDLDMNAGRSLFYYFVEAEEHPDTKPLTLWLNGGPGCSSGCGGAFTELGPFYPTGDGGGLRHRTCCLWSDRLELDGLTPTEALITTPVTSLLPAICSCSCWDGSTSSQSSSLATSFSLVKTMQLQFTLKGVQFNIKGIAIGNPFLKLDRDVRAAFEFFWSHGMISDEVGHTIMSQCDFLDYTYIYPHNLSVACNDAIREAGNSITEYVNNYDFLLDICYPSIVLKELRLKQMATKMSMGVDVCMTYERQLYFNLPEVQMALHANRTHLPYSWSMCSNLLNYSGIDANINMLPTLKRVIQNKIPVWIFSGDQDSVVPFLGTRTVVRELANDLNFKTTVPYGVWFHKRQVGGWAIDYGNILTFATVRGAAHAVANTQPSRALHLFSTFLRGHRLPNKTDIAMHD >fgenesh2_kg.48__4__AT5G59130.1 pep scaffold:JGI8X:scaffold_48:41797:45406:-1 gene:fgenesh2_kg.48__4__AT5G59130.1 transcript:fgenesh2_kg.48__4__AT5G59130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MVY8] MARRGAFSSLLSGLLVLLFLILVSAVTHDHQDKQVYIIYMGSLPSRVDYTPMSHHMSILQEVARESSIEGRLLRSYKRSFNGFAARLTESERERIADIEGVVSVFPNKKLKLQTTASWDFMGLKEGKGTKRNPSVESDTIIGVFDGGIWPESESFTDKGFGPPPKKWKGICAGGKNFTCNNKLIGARHYSPGDARDSSGHGTHTASIAAGNAVANTSFFGIGNGTVRGAVPASRIAAYRVCAGECRDDAILSAFDDAIADGVDIITISIGDISVYPFEKDPIAIGAFHAMSKGILTVNAAGNTGPDTASITSLAPWMLTVAASTANREFVSKVVLGDGKTLVGKSVNGFDLKGKKFPLVYGKSAASSPSQVECAKDCTPDCLDASLVKGKILVCNRFFPYVAYKKGAVAAIFEDDLDWAQINGLPVSGLQEDDFESFLSYIKSAKSPEAAVLKSEAIFYKTAPKVLSFSSRGPNIIVADILKPDVTAPGLEILAANSPKASPFYDTTCVKYSVESGTSMSCPHVAGIAAYIKTFHPKWSPSMIKSAIMTTAWSMNASQSDYASTEFAYGAGHVDPIAATNPGLVYDLTKGDYIAFLCGMNYNKTTVKLISGEAVTCTEKISPRNLNYPSMSAKLSGSNISFTVTFNRTVTNVGTPNSTYKSKVVLNHGTKLNVKVSPSVLSMNSMNEKQSFTVTVSGSELHSELPSSANLIWSDGTHNVKSPIVVYTGDFSPPSSS >fgenesh2_kg.491__1__AT3G10370.1 pep scaffold:JGI8X:scaffold_491:1511:4371:-1 gene:fgenesh2_kg.491__1__AT3G10370.1 transcript:fgenesh2_kg.491__1__AT3G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7MXQ1] MSVASIRRLAAGAAVIAAASGGAVYLSPSVASSDKGGGPILDSLRRRIGDPTASVPSRSAQESSLIAATASDPLDVLVIGGGATGSGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALEERKQLIENAPHLCHALPCMTPCFDWFEVIYFWMGLKMYDLVAGPRLLHLSRYYSAKESIELFPTLARKGKDKNLRGTVVYYDGQMNDSRLNVGLACTAALAGAAVLNHAEVVSLITDDSTKRIIGARVRNNLTGQEFNTYAKVVVNAAGPFCDSIRKMIDEDTKTNDLSKQRWMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITSLPEPHEDEIQFILDAISDYLNIKVRRTDVLSAWSGIRPLAMDPTAKSTESISRDHVVFEENPGLVTITGGKWTTYRSMAEDAVDAAIKSGKLSPTNECVTQKLQLLGSYGWEPSSFTTLAQQYVRMKKTYGGKVVPGAMDTAAAKHLSHAYGSMADRVATIAQEEGLGKRLAHGHPFLEAEVAYCARHEYCESAVDFIARRCRIAFLDTDAAARALQRVVEILASEHKWDKSRQKQELQKAKEFLETFKSSKNAQFNDGKHN >fgenesh2_kg.493__1__AT5G56410.1 pep scaffold:JGI8X:scaffold_493:895:4189:1 gene:fgenesh2_kg.493__1__AT5G56410.1 transcript:fgenesh2_kg.493__1__AT5G56410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXQ5] MDKITGFSDDELLVKILSFLSTKAAVRTSILSKQWKFLWRRIPKLEFHDDIKNYILSMRGESRTDSLLLEGSQRMWRFIDMNLPLHCSPVIESLSLTLYNELFQPEDIILWVEIAVSRCAQELSVSYSPYKGKRDALLPTSLYTCKSLVTLKLRDNILVDVPHVFCLPSLKTLHLVRMTYADEESLQRLLSNCFVLEDLVVERRVGDNVRNVSVIIPSLLSLSFEILGQCSSEGYVIHTPSLKNFKAKDFGKCSTCLILNMPKLEEAYIATAGRNIKKLLESFTYVKRLSLFILNNNAEAFTAVYGGDDVVFNQLENLKFIIRSAYWSKLLYWLLIASPKLRNLEFNEPISRGWYNTLVFWEQLILVFLNWLGNHDSIEGKALATYILRESCQLKTATISIGQGQKKLEMERESAINIWMYRYATNKGRSNESSDLTVLLNSRIPNLYVNASLFVGSIMSLKRPLWCNMDKICGVSEDELLVKILSFLPTKVAVSTSVLSKQWKYLWKRVLKLEYDDTECKTKSSKSRKRFRRF >fgenesh2_kg.4__1000__AT2G30290.1 pep chromosome:v.1.0:4:14088037:14090874:-1 gene:fgenesh2_kg.4__1000__AT2G30290.1 transcript:fgenesh2_kg.4__1000__AT2G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKNVRLVVIVWVMGWSSCTGRFVVEKNNLRVTSPESIRGVYECALGNFGVPQYGGSMSGAVVYPKANQKGCKNFDDFEISFRSRLAGLPTFVLVDRGDCYFTLKAWNAQRAGAATILVADNRPEQLITMDAPEDETSDADYLQNITIPSALVSRSLGSAIKTAIAHGEPVHISLDWREALPHPNDRVADELWTNSNDECGSKCDAQIQFLKRFKGAAQILEKGGYTRFTPHYITWFCPEAFLASRQCKSQCINGRYCAPDPEQDFSRGYNGKDVIIQNLRQACFFRVTNESGKPWLWWDYVTDFAIRCPMKEEKYNKKCADQVIQSLGVDVKKIDKCIGDIEANTENPVLKEEQDAQVGKGPRGDVTILPTIVINNRQYRGKLQRSAVLKALCSGFRETTEPPICLTEDIETNECLQNNGGCWEDKTTNITACRDTFRGRVCQCPIVQGVKFLGDGYTHCEASGALRCGINNGGCWKQTQMGKTYSACRDDHSKGCKCPPGFKGDGLKDCQDVNECEEKTACQCRGCKCKNTWGSYECSCSGSLLYIREHDICINKDARGDLSWGVIWIIIMGLGAAALGAYTVYKYRIRTYMDSEIRAIMAQYMPLDNHPNTQLSSQLEL >fgenesh2_kg.4__1002__AT2G30310.1 pep chromosome:v.1.0:4:14120884:14122185:1 gene:fgenesh2_kg.4__1002__AT2G30310.1 transcript:fgenesh2_kg.4__1002__AT2G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LBM4] MYTSKTLVFGLFVATLLVSCNAAANATMQPLFPAILIFGDSTADTGNNNYDLQTIFKAMHLPYGVDLPGHEASGRFSNGKLISDIIASKLNIKELVPPFLQPNISDQDIVTGVCFASAGAGYDDRTSLSSKAIPVSQQPSMFKNYIARLKGIVGDKKAMEIINNALVVISAGPNDFILNFYDIPTRRLEYPTIYGYQEFILKRLDGFVRELYSLGCRNIVVGGLPPMGCLPIQMTTKMRNILRFCVEQENKDSVLYNQKLVKKLPEIQASLPGSKFLYANVYDPLMDMIQNPSKYGFKETKKGCCGTGYLETAFMCNPFTKTCPNHSDHLFWDSIHPSEAAYNYIGNFVDAQIRGWIKA >fgenesh2_kg.4__1003__AT2G30320.1 pep chromosome:v.1.0:4:14124152:14126280:-1 gene:fgenesh2_kg.4__1003__AT2G30320.1 transcript:fgenesh2_kg.4__1003__AT2G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA pseudouridine synthase family protein [Source:UniProtKB/TrEMBL;Acc:D7LBM5] MAVSFLRIPIPSRVFWYPTRLIRVTPALVRLSSSAAFQPSSTSLSPSSSDNFLADKWESYRKKKVVIRIGYVGTDYRGLQIQRDDPSLKTIEGELEVAIYKAGGIRDSNYGDLHKIGWARSSRTDKGVHSLATSISLKMEIPETAWKDDPQGTVLAKCISKHLPENIRVFSVLPSNRRFDPRRECTLRKYSYLLPVDVIGIKNSFTSDEIDYHITDFNKILNEFEGEYPFHNYTQRSRYRRKSEQKIKQRNGRPPRIPKSIKASESEFTEENHREIEEEEEKEMDGESDEHVPPDSDNSQVNSRAKWLYEPDETDKLSGAHFRKVFRCRSGKLENSLGFGFVEISIWGESFMLHQIRKMIGTAVAVKRELLPRDIIRLSLNKFTRIVLPLAPSEVLILRGNSFEVRRLPERPGMEATGESEEVEKDIEEFYRAVMVPQVSRFLDSEKSPWKEWVEHLDRNDGLIDEELEDVRKGWEEWKAAKPWMNLKKTEDDEELSSVSVPIHQAL >fgenesh2_kg.4__1005__AT2G30340.1 pep chromosome:v.1.0:4:14130443:14132242:-1 gene:fgenesh2_kg.4__1005__AT2G30340.1 transcript:fgenesh2_kg.4__1005__AT2G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREREGFGEYYEIVKKIKKDPTFESTTDHAVMGIRRHVAVPPGTTLNTVTPCAACKLLRRRCAEECPFSPYFSPHEPHKFAAVHKVFGASNVSKMLLEVGESQRGDAANSLVYEANLRLRDPIYGCMGAISALQHHIQSLQSELTAVRTDILRHKYREATTITSLQNNNNFHNTTTTSSVSCDHHDLGAAILLPPPPPPPPPPRPPRLLSSQPAPPPTPPASLPSPSMVVSSSSSSNSSATNSMYNPPPSSAAGYSNSLSSDNNVHYFD >fgenesh2_kg.4__1008__AT2G30360.1 pep chromosome:v.1.0:4:14144120:14145987:-1 gene:fgenesh2_kg.4__1008__AT2G30360.1 transcript:fgenesh2_kg.4__1008__AT2G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7LBM9] MPEIEIIPCGGDNNAALFGKYELGKLLGCGAFAKVFHARDRRTGQSVAVKILNKKKLLTNPALANNIKREISIMRRLSHPNIVRLHEVMATKAKIFFAMEFVKGGELFNKISKHGRLSEDLSRRYFQQLISAVGYCHARGVYHRDLKPENLLIDENGNLKVSDFGLSALTDQIRPDGLLHTLCGTPAYVAPEILSKKGYEGAKVDVWSCGIVLFVLAAGYLPFNDPNLMNMYKKIYKGEYRFPRWMSQDLKRFISRLLDINPETRITIDEILKDPWFVKGGLKQIKFHDEIDDCEKDQKVEEAVKSLNAFDLISYSSGLDLSGLFDGCSNSVGESERFLSEKSPEKLAEEVERFAREENLRMKKKKEEEYGFEMEGQNGKFVIGICISRLNDLLVVVEARRRGGDGDCYKEMWNDKLRVQLIRGCDQTSPNAVI >fgenesh2_kg.4__1009__AT2G30370.1 pep chromosome:v.1.0:4:14147831:14149380:-1 gene:fgenesh2_kg.4__1009__AT2G30370.1 transcript:fgenesh2_kg.4__1009__AT2G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFERPSSSSSLLSSSLPSSLPTSENTIAKFSLFYILLLFFVLCVITTFIITPTSLSSPYIRNSNSGKLGNFYPQVRKLFSSYFFADCDGMSRLTGHAEMPCTPKEILSRRIRLSAHEDKDSTQLLVRSPLVGPCIASVCVTISIDAFSGAVFPRLEEGQSTVVMKKTRKLGNRSKEAELRRILRGLGSSPPRCSSKCGRCTPCKPVHVPVPPGTPVTAEYYPEAWRCKCGNKLYMP >fgenesh2_kg.4__1010__AT2G30390.1 pep chromosome:v.1.0:4:14157600:14160623:-1 gene:fgenesh2_kg.4__1010__AT2G30390.1 transcript:fgenesh2_kg.4__1010__AT2G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase [Source:UniProtKB/TrEMBL;Acc:D7LBN2] MNCPAMTASPSSCSSSSCSSYSTFRPPLLPQLSNDSQRSIVHCSRLPFEAFATTSPNRLLGKHSLPLRAALVSSNPLNISSSSVISDAISSASVITDDAKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPPLFQFLQKPLAQFISVARAPKSKEGYASIGGGSPLRHITDAQAEELRKSLWEKNVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLERIFREDEYLVNMQHTVIPSWYQREGYIKAMANLIQSELGKFGSPSQVVIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELDKRKITNAYTLAYQSRVGPVEWLKPYTEEAITELGKKGVENLLAVPISFVSEHIETLEEIDVEYKELALKSGIKNWGRVPALGTEPMFISDLADAVVESLPYVGAMAVSNLEARQSLVPLGSVEELLATYDSQRRELPAPVTMWEWGWTKSAETWNGRAAMLAVLALLVLEVTTGKGFLHQWGILPSL >fgenesh2_kg.4__1012__AT2G30400.1 pep chromosome:v.1.0:4:14163547:14164503:1 gene:fgenesh2_kg.4__1012__AT2G30400.1 transcript:fgenesh2_kg.4__1012__AT2G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYKFRISEMLPNAWFHKLKDMTKHSKPKNKSSSSSSNTCSKKKPYSDSLPHHSDFSNSLVANNPPHHNSPRNSLHTKRMSKRKTLYKPSLKPLTPPPLVSASFNKSKINGQDSSYSLFPALETSPESFVYSFYEEEEEDEFVDFSNFKINTKNKAFTKHKVKVFDSKEKACLASNPIKKPQKSHLSVKINREKEEEDDGEYRAEKKYQRQVSSGRKSSAGINLKRVNSPRIQLSGTRRSTSRRSESKQDVLESFAVMKRSLDPKKDFRESMIEMIEENNIRASKDLEDLLACYLSLNPKEYHDLIIHVFEQIWLQL >fgenesh2_kg.4__1013__AT2G30410.1 pep chromosome:v.1.0:4:14167066:14168631:1 gene:fgenesh2_kg.4__1013__AT2G30410.1 transcript:fgenesh2_kg.4__1013__AT2G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-specific chaperone A [Source:UniProtKB/TrEMBL;Acc:D7LC49] MATIRNLKIKTSTCKRIEKELHSYEKEVEREAAKTAAMKDKGADPYDLKQQENVLGESRMMIPDCHKRLEAALAELKSTLAELEETDEKEGPEIEDAKKTVAGLEKQFHTEDA >fgenesh2_kg.4__1015__AT2G30432.1 pep chromosome:v.1.0:4:14183079:14184774:-1 gene:fgenesh2_kg.4__1015__AT2G30432.1 transcript:fgenesh2_kg.4__1015__AT2G30432.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7LC52] MDNTNRLRRLQGRKQPKFTHNSEEVCSMKWEFINMTEQEEDLIFRMYRLVGDRWDLIARRVVGREAMEIERYWIMRNSDFFSQK >fgenesh2_kg.4__1016__AT2G30440.1 pep chromosome:v.1.0:4:14195319:14197653:1 gene:fgenesh2_kg.4__1016__AT2G30440.1 transcript:fgenesh2_kg.4__1016__AT2G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast thylakoidal processing peptidase [Source:UniProtKB/TrEMBL;Acc:D7LC53] MAIRITFTYSTHVARNLVGIRPGGDLRCCFESFVRPRFFTHKRDFDKSSRYRPPSMYGSIAKELIGEGSQNPLVMGLISILRSTSVPESSTTMNVLGVSSFKASSIIPFLQGSKWMKNPPEVDDVDKGGTLCDDDDDKESRSGGSGWVNKLLNICSEDAKAAFTAVTVSILFRSALAEPKSIPSTSMYPTLDVGDRVMAEKVSYFFRKPEVSDIVIFKAPPILLDYDYSSNDVFIKRIVASEGNWVEVRDGKLLVNDIVQEEDFVLEPMSYEMEPMFVPKGYVFVLGDNRNKSFDSHNWGPLPIENIVGRSVFRYWPPSKVSDTIYHDQAIPKGPVAVS >fgenesh2_kg.4__1021__AT2G30490.1 pep chromosome:v.1.0:4:14222642:14224736:-1 gene:fgenesh2_kg.4__1021__AT2G30490.1 transcript:fgenesh2_kg.4__1021__AT2G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamate-4-hydroxylase [Source:UniProtKB/TrEMBL;Acc:D7LC57] MDLLLLEKSLIAVFVAVVLATVISKLRGKKLKLPPGPMPVPIFGNWLQVGDDLNHRNLVDYAKKFGDLFLLRMGQRNLVVVSSPDLTKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQNREGWEFEAASVVEDVKKNPDSATKGIVLRKRLQLMMYNNMFRIMFDRRFESEDDPLFLRLKALNGERSRLAQSFEYNYGDFIPILRPFLRGYLKICQDVKDRRIALFKKYFVDERKQIASSKPTGSEGLKCAIDHILEAEQKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQSKLRNEIDTVLGPGVQVTEPELHKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLAGYDIPAESKILVNAWWLANNPNSWKKPEEFRPERFFEEEAHVEANGNDFRFVPFGVGRRSCPGIILALPILGITIGRMVQNFELLPPPGQSKVDTSEKGGQFSLHILNHSTIVMKPRNF >fgenesh2_kg.4__1022__AT2G30500.1 pep chromosome:v.1.0:4:14229883:14231674:-1 gene:fgenesh2_kg.4__1022__AT2G30500.1 transcript:fgenesh2_kg.4__1022__AT2G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase interacting family protein [Source:UniProtKB/TrEMBL;Acc:D7LC58] MASSTAQSKKQFKRSMTKKSHSWWWDSHNSPKNSKWLAENLEKMDDRVNHMLKLIEEDADSFAKKAQMYFQKRPELIQLVEEFYRMYRALAERYDQASGELQKNHTSEIQSQSSLELSSPTKEKLSRRQSGHKEEEDSSSLTDSGSDSDHSSANDEDADEALIRRMADLELELQETKQKLLLQQESVNGDNNVDLLQKIAVYEGELHEANEKMRMHEEEIANLKNQLQSFMSFDTEAHLGAEEKSLDFDKEDTKEDAAATKVLALEEELSIAKEKLQHFEKETYSLKIELEHGKAAEEKLKSLQHELELAQKDTDTYINKLNAEKKEVLKLQERLAMVKTSLQDRDNEIRALKTAVSDAEQKIFPEKAQIMGEMSKMLEEISQLGEQLRELESHIRLITEEKAETEEKLRGEAEKISVMRDESNMLREEIGKREEKIKEMEKHMEELHMEQVRLRRRSSELTEEVERTRVSASEMAEQKREAIRQLCMSLEHYRDGYDRLWRVVAGHKGKRVVVLAT >fgenesh2_kg.4__1023__AT2G30505.1 pep chromosome:v.1.0:4:14232588:14234115:-1 gene:fgenesh2_kg.4__1023__AT2G30505.1 transcript:fgenesh2_kg.4__1023__AT2G30505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLPPPPPPPPGRDSGFGDPALVFPEFAAKQCVLEKVIEEEGEGEDSSYGKGSFDLSSRFSSPSVSRNGFDDVENPGKASSFKRPLSGSRLSGFREEEEADRSRNSGSFVDHIGQEDKRICASGCFRKCCACTCMFVSIVLIIVLLVGLSANSSIKSILPQVLVTNLKFSRLDVAKSSTDLLMNANLNTVLQLSNNNDKTVLYYSPMKADISSENINLGKKMLPGFKQDPGNVTSLKILTRLRKSKVYDVDATLLTNKEKTLEAVVDVFLRGKLSVDWLGFKVHIPIVIACENVKQSDVINGLKPACDVRTFSQ >fgenesh2_kg.4__1026__AT2G30520.1 pep chromosome:v.1.0:4:14234671:14237639:-1 gene:fgenesh2_kg.4__1026__AT2G30520.1 transcript:fgenesh2_kg.4__1026__AT2G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGKNPINMNTMSSSLARTGQWVFSQDIPTDVIVEVGEANFSLHKFMLVAKSNYIRKLIMESKDSDVTRIDLSDIPGGPEIFEKAAKFCYGVNFEITVQNVAALHCAAEFLQMTDKYCDNNLAGRTQDFLSQVALSSLSGAIVVLKSCEILLPISRDLGLVRRCVDVVGAKACNEAMFPCRTPPNWWTEELCILDVDFFADVVSSMKQRGVKPSSLASAIISYTEKSLRDLVRDHSGRGVKFSDPGDDDSDERSQQRDLVQSIVSLLPSDKGLFPINFLCSLLRCAVFLDTSLTCKNELEKRISVVLEHVSVDDLLIPSFTYDGERLLDLDSVRRIISAFVEKEKNVGVFNGGDFNRGVCSVSLQRVAKTVDSYLAEIATYGELTISKFNAIANLVPKSARKSDDDLYRAIDIFLKAHPNLDEIEREKVCSSMDPLKLSYDARLHASQNKRLPVNIVLHALYYDQLKLRSGVEEQEERAVVVLPEALKTRSQLQADTTLAKENEALRSELMKMKMYVSDMQKNKNGAGASSSNSSSLVSSKKNKHTFFSSVSKKLGKLNPFKNGSKDTSNIDEDLAGVDITKPRRRRFSIS >fgenesh2_kg.4__1029__AT2G30550.2 pep chromosome:v.1.0:4:14248361:14250418:1 gene:fgenesh2_kg.4__1029__AT2G30550.2 transcript:fgenesh2_kg.4__1029__AT2G30550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7LC63] MATVPSHNNLLTINHKNSITGHHSSSVNTKFSEINFPAKFQVATRALSRTDESSLSAVISRLERERRERQGLIDEAEGVGERWLTAEDIRRRDKKTEEERRLRDTWRKIQGEDDWAGLIDPMDPILRSELIRYGEMAQACYDAFDFDPASKYCGTSRFSRLDFFDSLGMIDSGYEVARYLYATSNINLPNFFSKSRWSKVWSKNANWMGYVAVSDDETSRNRLGRRDIAIAWRGTVTKLEWIADLKDYLKPVSGNNIRCPDPAVKVESGFLDLYTDKDTTCKFAKFSAREQILTEVKRLVEIYGDDDDSDLSITVTGHSLGGALAMLSAYDIAEMGLNRSKNGKVIPVTVLTYGGPRVGNVRFKDRMEELGVKVLRVVNVHDVVPKSPGLFLNESRPHALMKIAEGLPWCYSHVGEELALDHQNSPFLKPSVDLSTSHNLEAMLHLLDGYHGKGERFVLSSGRDHALVNKASDFLKEHLQIPPFWRQDANKGMVRNSEGRWIQAERLRSEDHHSPDIHHHLSQLRLDHPL >fgenesh2_kg.4__1034__AT2G30600.4 pep chromosome:v.1.0:4:14275537:14280424:1 gene:fgenesh2_kg.4__1034__AT2G30600.4 transcript:fgenesh2_kg.4__1034__AT2G30600.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LC69] MVAAKENKFLTVAPFECAWSDDLKFREAGRGCVAFDAFAHNDVTVVFRENVGTQHYHYKKDNSPHYIVIIGSNRNRRLKIQVDGKSVVDEEASDLCRCSLEFESYWISIYDGLISIGKGRYPFQNLVFKWQDPKPNCNVQYVGLSSWDKHVGYRNVSVFPVTHNHILLWKQVDCREVKGDESGDEKFVEEGTGYDYEQWGLGNFLESWQLSDTIFLVGEEEMDVPAHKVILQASGNFPLRSSDGDVIQLRGVSYPILHALLQYIYTGRTQILESELAPLRDLSSKFEVMPLVRQCEESIDHLKLSKKEFDPCRKVKLSCPISHPLSGFMFPSAFPIDVGKLVKLYSSGEYSDIKIYLSDHSLTLQSHKVILSLWSVAFAKMFTNGMSESHSSTIYLTDVSPEAFKAMMNFMYSGELNMEDTVNFGTELIHLLFLADRFGVVPLHQECCKMLLECLSEDSVCSVLQVVSSISSCKLIEEMCKRKFSMHFDYCTTASLDFVLLDQTAFSDILESADLTVTSEEKILDAVLMWCMKAEESHSWEVIDEMMNYSDPKILFKERLQSLDDLLPHVRFSLLSYELLKRLENSNLSKQIPVFNRLVKEAASFLTSGLICPGNEQISRFQHRRSSFKELQYIRDGDSNGVLHFVGTSYGSHQWVNPVLAKKIIITSSSPTSRFTDPKALASKTYVGTSFAGPRMEDGHISSWWMVDLGEDHQLMCNYYTFRQDGSRAFTRSWKFQGSMDGKTWTDLRVHEDDQTMCKAGQFASWPITAANALLPFRFFRLVLTGPTADTSTPWNFCICYLELYGYYR >fgenesh2_kg.4__1039__AT2G30620.1 pep chromosome:v.1.0:4:14301743:14303292:1 gene:fgenesh2_kg.4__1039__AT2G30620.1 transcript:fgenesh2_kg.4__1039__AT2G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEENVPTTVESGAADTTVKAPEKKPAAKGGKSKKTTTKKPVKAAAPTKKKTSSSHPPYEEMIKDAIVTLKERTGSSQYAIQKFIEEKHKSLPPNFRKILLVNLKRLVASEKLVKVKASFKIPSAKSATTPKPAPVNKKATVVAKPKGKVAAPVKAKAAAKGTKTKAVAKPKVTTAKPKAKVTTAKPKSKSVAAVSKTKAVAAKPKAKERPAKASRTSTRTSPGKKVAAPVKKAVAVTKKAPAKSVKAKSPAKRASTRKTKK >fgenesh2_kg.4__103__AT2G21780.1 pep chromosome:v.1.0:4:494105:494641:1 gene:fgenesh2_kg.4__103__AT2G21780.1 transcript:fgenesh2_kg.4__103__AT2G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LBY6] MGETGFSRESAPEMVFSETDMAAAEQLMQLSEEETVSCSSSTGGDCGGGGGDKTKHEYVSSRIGHEQNDGVRGNSILGVERKRKRAVMKEKKFRSLESIYKAT >fgenesh2_kg.4__1040__AT2G30630.1 pep chromosome:v.1.0:4:14303554:14306144:-1 gene:fgenesh2_kg.4__1040__AT2G30630.1 transcript:fgenesh2_kg.4__1040__AT2G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDDPQSKSRSMCVPEFSSNNNNTTTFTQILVISSIGLLLALALHFRLRKLRHSKNIPRLRSSHKHKGHEKLERFSHYVVRQMGFKDRRECPHLCKLANEYIRKSGSCEEDIYSFFSEEPGADSLFIKLVEEFERCILSYFAYHWSHADLMISQILSADVEPKKKLKHIVMAATREQRFERVTKNLKVARVFNTLVEEMKAMGIASVDDSECTEVMAPVAHKDRSPVLLLMGGGMGAGKSTVLKDILKEPFWAGADAVVIEADAFKESDVIYRALSSRGHVDMIKTAEFVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTITMARNVHRHRYRMGAGYKVGENGDVIENYWERIGERQQLQDDGRERKPYRIELVGVVCDAYLAVIRGIRRAIMCRRAVRVRSQLRSHKRFADAFLTYCNLVDNARLYCTNALEGSPKLIGWKEKEKTLLVDPEEIDCLKNVGRLNENADSIYELYRNPNPACEAGSIWKDIVLSPSRFNIQQELKYSIQKVERFKQYLQETPRLRDEDR >fgenesh2_kg.4__1043__AT2G30650.1 pep chromosome:v.1.0:4:14311908:14320317:-1 gene:fgenesh2_kg.4__1043__AT2G30650.1 transcript:fgenesh2_kg.4__1043__AT2G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSQVLVEEKSSVGILTLNRPKQLNALCFNMISRLLQLFLAYEEDPSVKLVILKGQGRAFCAGGDVPPVVNNMVQGKWRLGADFFRDQYTLNYVMATYSKPQVSILNGIVMGAGAGASIHGRFRIATENTVFAMPETALGLFPDVGASYFLSRLPGFFGEYVGLTGARLDGAEMLACGLATHFVPSSRLTALEADLCKVGTSDPSFVSTILDAYTQHPHLKQNSLYHRQYIACFININLQLLDVIDRCFSKRTMEEIISALERDATQELDDWILTTIRALKKSSPSSLKISLRSIREGRLQGVGHCLIREYRMVCHVMKGDISKDFVEGCRAILIDKDRNPKWEPRRLEDVKDSMVDQYFERVEGEEGWEDIKFPPRNNLPASSIAANL >fgenesh2_kg.4__1048__AT2G30700.1 pep chromosome:v.1.0:4:14351076:14354434:-1 gene:fgenesh2_kg.4__1048__AT2G30700.1 transcript:fgenesh2_kg.4__1048__AT2G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGELAMGSLETVSWLKGCLVYRFLLFIIWLSSFQDVAAHDKLNEHSSRSTTSELANPPGIGVSGPIQVSPSVIPKYASPALPWIPPMYPTFPDTYEPKLTGKCPTDFQAISSVFDTAASDCSQPFAALVGNVICCPQFVSLLHIFQGQHNVESDKLVLPDAVATYCFSDIVSILVSRRANRTIPALCSVKSSNLTGGSCPVTDVTTFEKVVNSSKLLDACRTVDPLKECCRPICQPAIMEAALIISGHQMTVGDKIPLGGSNNVNTINDCKTVVFSYLSRKLPTDKANAAFRILSSCKVNKACPLEFKEPTEVIKACRNVAAPSPSCCSSLNAYISGIQNQMLITNKQAIVCATVIGSMLRKGGVMTNIYELCDVDLKDFSVQAYGMQQGCLLRSYPADLIFDNTSGYSFTCDLTDNIAAPWPSSSSMTSLSLCAPEMSLPALPTSQTIKNHGFRNGGVGAFRVIIWVFLVYVVVRH >fgenesh2_kg.4__1049__AT2G30720.1 pep chromosome:v.1.0:4:14358941:14361229:-1 gene:fgenesh2_kg.4__1049__AT2G30720.1 transcript:fgenesh2_kg.4__1049__AT2G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7LC82] MRSSAGKLLSHSLRRNRGKPVAGGHGFWIPATRSSSKMLISTVPSDEKPDQNSIDAGSSMRKPISLWPGMYHSPVTNALWEARRNMFENPTGDDDSQSKLTAKSPSRSRTSILYKFSSDFVLREQYRNPWNEIRTGKLVEDLDALAGTISFKHCGGDSSARSMILVTASVDRIIMKRPIRVDVDLSIVGAVTWVGRSSMEMQLQVLQSQDTINSAESVALEANFTFVARDAQTGKSTPINQVVPETEHEKRLWKEAEERNKLRKQKRAQGKEEHEKLKDLERLNELLAEGRVFLDMPALADRNSILIKDTYHENSLICQPQQRNIHGRIFGGFLMRKAFELAFSNAYTFAGVSPRFLEVDRVDFIKPVDVGNFLRFKSRVLYTEANSSAEPLINIEVVAHVTSPELRSSEVSNRFYFTFSVRPEAMKDGLKIRNVVPATEEEARTVIERMDAERPISSP >fgenesh2_kg.4__104__AT2G21790.1 pep chromosome:v.1.0:4:500532:506340:1 gene:fgenesh2_kg.4__104__AT2G21790.1 transcript:fgenesh2_kg.4__104__AT2G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoside-diphosphate reductase [Source:UniProtKB/TrEMBL;Acc:D7LBY7] MYVVKRDGRQETVHFDKITARLKKLSYGLSSDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTCNHPDYASLAARIAVSNLHKNTKKSFSETIKDMFNHVNDRSGLKSPLIADDVFDIIMQNAARLDSEIIYDRDFEYDYFGFKTLERSYLLKVQGTVVERPQHMLMRVAVGIHKDDIDSAIQTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLVCMKDDSIEGIYETLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKEGAFAVYLEPWHADVFEFLELRKNHGKEEHRARDLFYALWVPDLFMERVQSNGQWSLFCPNEAPGLADCWGAEFETLYTKYEREGKAKKVVQAQQLWYEILTSQVETGTPYMLFKDSCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFVREKGVPLDSHPSKLAGSLGSKNRYFDFDKLAEVTATVTLNLNKIIDVNYYPVETAKTSNMRHRPIGIGVQGLADAFILLGMPFDSPEAQQLNKDIFETIYYHALKASSELAARVGPYETYSGSPVSKGILQPDMWNVIPSNRWDWAVLRDMISKNGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTDMGLWTPTLKNKLINENGSIVNVAEIPDDLKAIYRTVWEIKQRTVVDMAVDRGCYIDQSQSLNIHMDKPNFAKLTSLHFYAWTKGLKTGMYYLRSRAAADAIKFTVDTAMLKEKPSVAEGDKEVEEEDNETKLAQMVCSLTNPDDCLACGS >fgenesh2_kg.4__1054__AT2G30770.1 pep chromosome:v.1.0:4:14390006:14392122:-1 gene:fgenesh2_kg.4__1054__AT2G30770.1 transcript:fgenesh2_kg.4__1054__AT2G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71A13 [Source:UniProtKB/TrEMBL;Acc:D7LC87] MSNIQKMEMILMISLCLTTLLTLLLLRKFLKRTATKVNLPPSPWRLPVIGNLHQLSLHPHRSLRSLSLRYGPLMLLHFGRVPILVVSSGEAAQEVLKTHDHKFANRPRSKAVRGLMNGGRDVVFAPYGEYWRQMKSVCILNLLTNKMVESFEKVREEEVTTMMEKLEKASCSSSSENLSEIFITLPSDVTSRVALGRKHSEDETARDLKKRVRQIMELLGEFPIGEYVPILGWIDGIRGFNNKIKEVSRGFSDLMDKVVQEHLEADKPKADFVDILLSIEKDKNNGFQVQRDDIKFMILDMFIGGTSTTSTLLEWTMTELIRSPKSMKKLQDEIRSTIRPHGSYIKEKEVENMKYLKAVIKEVLRLHPSLPMILPRLLSEDVKVKGYNIAAGTEVIINAWAIQRDTAIWGPDAEEFKPERHLDSALDYHGKNLNYIPFGSGRRICPGINLALGLAEVTVANLVGRFDWKVEAGPNGDQPDLAEAVGIDVCRKFPLIAFPSSVM >fgenesh2_kg.4__1055__AT2G30780.1 pep chromosome:v.1.0:4:14412969:14421153:1 gene:fgenesh2_kg.4__1055__AT2G30780.1 transcript:fgenesh2_kg.4__1055__AT2G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LC88] MTSNFMKFSSQIIGKFTRVTSLPAHHTDLVQRVSILKDELLTIGNSKEKFQNVLDQKGQWLFKSYRDGAGILELMDQLFPRHYLALQVLEWRRGQKDYCIPLTSEEYAKGIKIAGRARDINLAVYLFDEAAKKRSQTASVYNALMSVYMWNGLADECQSLFKDFRRQTHCAPTVVTYNILVSVYGRLLMVKNMEAAFEELQKLKLSPNSVTYNFLIAGYMTAWNWDKMEATFQEMKRGLVEPDTDTYQLMLRGYANSGNLNKMEEMYEVIKDQVGVNSSPLVRAMICAYCKKAVEDRVQKVENLLSLLSGEEYLPWLNGLLIRLYAQEDIVEAMESKINEAFEHKTCVNKSSIMRAIIAAYFRFNEVDNLANFVKRAESAGWKLCRSLYHCKIMMYGSQKRFEEMEGVLNEMAETNYGLVTKTFAIMYKAYKNHGMESDAEKVKGMMLKRGL >fgenesh2_kg.4__1058__AT2G30810.1 pep chromosome:v.1.0:4:14431393:14432128:-1 gene:fgenesh2_kg.4__1058__AT2G30810.1 transcript:fgenesh2_kg.4__1058__AT2G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated family protein [Source:UniProtKB/TrEMBL;Acc:D7LC91] MMKLIVFFVVSSLLFSTQFSNGEELESSADAPAIHKTGGEGSLRPEECPKACEIRCSATSHKKPCLFYCNKCCSKCLCVPSGTYGHKEECPCYDNWRTKEGGPKCP >fgenesh2_kg.4__1059__AT2G30820.1 pep chromosome:v.1.0:4:14436197:14439564:1 gene:fgenesh2_kg.4__1059__AT2G30820.1 transcript:fgenesh2_kg.4__1059__AT2G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLFGCFRARDDQSTNDSVSQAKSNRGHESKNRLSALFLSEENAASSPCHDDREGSSLNSTHIDKDLKDEAQFLKVSSEIPATPIEIRKASNKLETPQGGEHLGSSPSWISSNSDAVFHLDEKKNEPYEEMGRSLDTSEQTPSSCLTNVRNNARISSASSDASQESIGTVFRDEVDRTGKATLKAGNITEKTKSVRSEIDFDQSHSSSSSKNSTSRKPEMAGKTFISATSPNLTSLKLCDTPGTILPANMESAGRERPRIRSHFVHSASNLIENASLCKLPKDSNASLEQAKVQACKEKIENESPTSTICEGKLVESSDERYLETSSSPWAFSITPGDRPIIGMVAAHWNEKEQSQISAKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEMALSEEGGQDFIPPRRLGTVEESERDTAISHLRHSAQSMSVISF >fgenesh2_kg.4__105__AT2G21800.1 pep chromosome:v.1.0:4:513061:516327:1 gene:fgenesh2_kg.4__105__AT2G21800.1 transcript:fgenesh2_kg.4__105__AT2G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LBY8] SLNKFSGKRVISLESDSEDSSRPGTSKKYEPVDADSWKQPCTLKSGSSDADSDDDTSWMQRPSFRSTMSKDAIEVDSDHEKEDTSVEKLRRQKQSRSSKSTSLSADELPKKQMSKNEKVRASEEKKLRKEQEKLQKAAMKAEDAEHKKLEKEKQKWAKDKALKSIVALIDNKLVEESVGGRLISGLQEKGITYRVTPNPIERSIVWTMTLPEDIAQTLPLGSEIPYVLLMYEAEDFCNLVANKELLENVSRVRDKYPSYKMCYLTNRLMSYVKKKESVEYKDPVNRSGWRKPPIDEAIAKLTTHYTGVHSRHCVDEAEVADHLVRLTSNLAYCQVRNKLTRLSVCADGTLMSKNAADKHLVRESLWLKVLVAIPKVQPRYAVAVSKKYPSLKSLLKVYMDPNISVHEKEFLLKDLRVENLVGEDKMVGDACSKRIYRVLMSLDGTIKTDDVENGAASFI >fgenesh2_kg.4__1061__AT2G30840.1 pep chromosome:v.1.0:4:14447543:14448904:-1 gene:fgenesh2_kg.4__1061__AT2G30840.1 transcript:fgenesh2_kg.4__1061__AT2G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNDRASEVKAFDEMKIGVKGLVDTGVTQIPRIFHHPHLNLTDSNLLLSSTTMVIPTIDLKGGVFDESTVMRENVIAMIRDAVEKFGFFQVINHGIPIDVMEKMKDGIRGFHEQDSDVRKKFYTRDITKKVKYNSNFDLYSSPSANWRDTLSCFMSPDVPKTEDLPDICGEIMLEYSKRVMKLGELIFELLSEALGLNPNHLKEMDCTKGLLMLSHYYPPCPEPDLTFGTSQHSDRSFLTILLQDHIGELQVLQNGYWVDVPPVPGALLVNLGDLLQLMTNDKFLSVEHRVLANRGEEPRISVASFFVHPLPSLRVYGPIKELLSDQNLPKYRDTTVSEYTNHYMARGLDGKSVLLDFKI >fgenesh2_kg.4__1063__AT2G30860.1 pep chromosome:v.1.0:4:14450627:14451930:1 gene:fgenesh2_kg.4__1063__AT2G30860.1 transcript:fgenesh2_kg.4__1063__AT2G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKVYGPHFASPKRALVTLIEKGVAFETIPVDLMKGEHKQPAYLALQPFGTVPAVVDGDYKIFESRAVMRYVAEKYRSQGPDLLGKTVEDRGQVEQWLDVEATTYHPPLLNLTLHIMFASVMGFPSDEKLIKESEEKLAGVLDVYEAHLSKSKYLAGDFVSLADLAHLPFTDYLVGPIGKAYMIKDRKHVSAWWDDISSRPAWKETVAKYSFPA >fgenesh2_kg.4__1064__AT2G30870.1 pep chromosome:v.1.0:4:14452883:14454065:1 gene:fgenesh2_kg.4__1064__AT2G30870.1 transcript:fgenesh2_kg.4__1064__AT2G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early dehydration-induced 13 [Source:UniProtKB/TrEMBL;Acc:D7LC97] MVLTIYAPLFASSKRAVVTLVEKGVSFETVNVDLMKGEQRKPEYLAIQPFGKIPVLVDGDYKIFESRAIMRYIAEKYRSQGPDLLGKTIEERGQVEQWLDVEATSYHPPLLALTLNIVFAPLMGFPADEKVIKESEEKLGEVLDVYEAQLSKNEYLAGDFVSLADLAHLPFTEYLVGPIGKAHLIKDRKHVSAWWDKISSRAAWKEVSAKYSLPV >fgenesh2_kg.4__1065__AT2G30880.1 pep chromosome:v.1.0:4:14454146:14457410:-1 gene:fgenesh2_kg.4__1065__AT2G30880.1 transcript:fgenesh2_kg.4__1065__AT2G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGASPRIVDATENSLDKIKRQLASGSGRNLLQGPLFKRSETLRKWNERWVILDPTTGKMEYKTRRNEPTIKGTILFDENSTISISPVNFQGLPKYNGCCIYIGTPQKKDYFLCAETPGAAKAWVTTLHATQLVLKAHKEAVESLSGSGSATLGTVATVVAAANSTALECSRDIQAAMQISLRNALKITANKPIEGPLDDLTIMKETLRVKDEELHNLARELRSRDSMIKEIADKLSETAEAAVAAATAAHTMDEQRKIVCVEFERLTKDSQTQQEAAKLKLKELEEKTSTLSKEKDQLVKERDAALQEAHMWRSELGKARERVVILEGAVVRAEEKVRVAEASGEAKAKEASQREATAWTEKQELLAYVNMLQTQLQRQQLETKQVCEEKTESTNGEASLPMTKETEKNVDKACLSISRTASIPGESVVHMSEEQVVNAQPPVGENEWNDIQATEASVSDVREISAETERDRRNSMDIPVVTPEPNVPRNDPPSESFHHQP >fgenesh2_kg.4__1069__AT2G30910.1 pep chromosome:v.1.0:4:14467632:14471481:1 gene:fgenesh2_kg.4__1069__AT2G30910.1 transcript:fgenesh2_kg.4__1069__AT2G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDVHRFAESITCHAWSPDHSMVALCPNNTQVHIYKSSSQDQWERLHVLQKHDQIVSGIDWSSKSNKIVSVSHDRNSYVWSLDGGEWVPTLVILRLNRAALCVQWSPKENKFAVGSGAKTVCICYYEQENNWWVSKLIRKRHESSVTSVAWHPNNILLATTSTDGKCRVFSTFIKGVDTKDSKAGSPAETKFGEQILQLDLSYSWAFGVKWSPSGNTLAYVGHSSMIYFVDDVGPSPLAQSVAFRDLPLRDVLFISEKMVIGVGYDSNPMVFAADDTGIWSFIRYIGEKKAASSNSSYSSQFSEAFGKFYGSQSKSATANDASESRGRVHDNCINSIVPLSKAGSPKVMRFSTSGLDGKVAIWDLENMEQELGNQF >fgenesh2_kg.4__106__AT2G21820.1 pep chromosome:v.1.0:4:518737:519055:-1 gene:fgenesh2_kg.4__106__AT2G21820.1 transcript:fgenesh2_kg.4__106__AT2G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LBZ0] MSGAQGAEPMGSRTATTYESVEGGQNKTKLDIKSKEDEGGIQVDKLQDKVSDAAGLGGPVFGAGKDDKKQD >fgenesh2_kg.4__1071__AT2G30920.1 pep chromosome:v.1.0:4:14471705:14474348:-1 gene:fgenesh2_kg.4__1071__AT2G30920.1 transcript:fgenesh2_kg.4__1071__AT2G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis O-methyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7LCA2] MLASKSMNQLQRLLLSARRLSSSQIIPPSCLLHQRLFSTSDTDSSAASFSSSHPKMQTLEGKASNKSRSTSSTTTSLNEDELAKFSAIADTWWHSEGPFKPLHQMNPTRLAFIRSTLCRHFSKDPSSAKPFEGLRFIDIGCGGGLLSEPLARMGATVTGVDAVDKNVKIARLHADMDPVTSTIEYLCTTAEMLADEGRKFDAVLSLEVIEHVANPAEFCKSLSALTIPNGATILSTVNRTMRAYASTIVGAEYILRWLPKGTHQWSSFVTPEELSMILQHASVDVKEMAGFVYNPITGRWLLSDDISVNYIAYGTKRKDLGDI >fgenesh2_kg.4__1074__AT2G30940.1 pep chromosome:v.1.0:4:14487534:14489194:1 gene:fgenesh2_kg.4__1074__AT2G30940.1 transcript:fgenesh2_kg.4__1074__AT2G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LCA5] MQKLEILLFHTLIDSSSLSPQRLRVMNRIISSQGTELIQHKLSQHTSFFGIKLWILITASASIAFLLVLIISVLLCFIFHRRRCRQEPFRLRSKLCLPLSHIPINNKRQIPYNRCGDDVESQRISQVGWSSAHLSYYTRSFSSTGSFGSFNVFTFLEIKNVTDSFADYNLITTGDSSTVYRGILMGTVTVAVKRFFPIHQRYEDKDFITKAEMIANVRHKNVVRLLGYCIEGDERVLVYEYAEKGDLHEWLHGSSGRNRPLTWRKRMKIIQGVAKGLAYFHEDIEPKITHQDIRPSKILLDYQWNPKILDVGFIGHSDIPTSIPSPSNLDEKIDVYSFGTLIMELVSGRVSVDQSSPHVYLVDWIKEMVANHMIVDVLDPSLPEFPTIKELKRIVLIALRCVDLEVEERPKMGDVIHMLQPHDLLLSNNVIKLLTFSFLFPKLKR >fgenesh2_kg.4__1077__AT5G60590.2 pep chromosome:v.1.0:4:14500286:14501764:1 gene:fgenesh2_kg.4__1077__AT5G60590.2 transcript:fgenesh2_kg.4__1077__AT5G60590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSTRLAEKLPGILPAPSSPILRKGVSELRFFSIANHSRRLRWGLQKKMVWSLERAETCVVSNSCLVHPATEAYAQEAIEAIKSDKVIAVPTDTLYGFACDACSLEAVNRIYEIKGRKLTSPLAICVGDVFDIKRVATTNHLPHGLLDSLLPGPVTLVLHRGESSILEKSLNPGIGTIGIRVPDCEFIREVLRGSGSVLALTSANLSGDRSSVCVNDFEKLWQDLQLLMDLKFESLWVLSKQQWQSLRSICWKKKTLKRRYV >fgenesh2_kg.4__1078__AT2G30950.1 pep chromosome:v.1.0:4:14547341:14550170:1 gene:fgenesh2_kg.4__1078__AT2G30950.1 transcript:fgenesh2_kg.4__1078__AT2G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSACLVGNGLSVNTTTKQRLSKNFSGRQTNFSSVIRTSKVNVVKASLDVKKKHEGRRDFLKILLGNAGVGLVASGKANAEEQAVSSSRMSYSRFLEYLDKDRVNKVDLYENGTIAIVEAVSPELGNRVERVRVQLPGLSQELLQKLRAKNIDFAAHNAQEDQGSVLFNLIGNLAFPLLLIGGLFLLSRRSGGGMGGPGGPGNPLQFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGAKIPKGVLLIGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGVIVVAATNRADILDSALLRPGRFDRQVSVDVPDVKGRTDILKVHAGNKKFDNDVSLEIIAMRTPGFSGADLANLLNEAAILAGRRARTSISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAVCGTLTPGHDAVQKVTLIPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEIIFGEPEVTTGAVGDLQQITGLARQMVTTFGMSDIGPWSLMDSSAQSDVIMRMMARNSMSEKLAEDIDSAVKKLSDSAYEIALSHIRNNREAMDKLVEVLLEKETIGGDEFRAILSEFTEIPPENRVPSSTTSTPASAPTPASV >fgenesh2_kg.4__107__AT2G21840.1 pep chromosome:v.1.0:4:522536:525435:-1 gene:fgenesh2_kg.4__107__AT2G21840.1 transcript:fgenesh2_kg.4__107__AT2G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7LBZ2] MGKLQHGSHECELTSPGIVANGICNICFKDEPVEFACDPCNFDLCKACSDLPQQMSHHLHPEHPLEFRIGEDDRKTKYMVCVGCGNMSSGTYYYECNKCEIYLDLGCALHKSITTGWEAKEMLHYSHEHQLKRCRPGPDSRGSCLLCEQPLSFTATCYGCVHCYLFLHERCLDLPTEIQHPVHPLHPLKRLDYIQTFDGRKSCSACTGKFVGVPFGCLECGFYLHLRCADALLRGLLHKSHEHRLFYVQDTRRVADDRVKCPCIICEETVVYDSFYYICVECDLKCHTKCLEIPEYVVRKSYHIHQLRYKRVGAEDDFLEYCGVCETLLHSGHPAYSCEECDFLGHTECILREEVPSPLYLKDLYSCSKDTRSKNLTDLETSESEDMLLVNSFNHIHVMRLIHMSELEEEGKCNMCGTKIHDNPCKCETCSFQSHDFCAELGRPSRHQFHLNHFLTLLPNSPRWIQGTCKSCKADIKGYNLFCRICNFIIDINCALKDKKMHGALHMGQIGLCIQDKHSLFQVIVSRSHPIACSICDEKLCGKAFSCVVCEDIYHPLCIQVGRQVLVGHPLHSDHMLAISLLESGSKCTACQLNITTKYGYLCTICKINFHIDCIKAVVVPSKIKSHRHYLYNFWNNDLRVTRACSVCTRPCGLSFYGCIDCMFSAHVECVGFPANVKNQRHQHTLTQVYTSHRKKWSVRESYMDDIYYACYHCEHGYDSQTIMSKDDREEATEEEQLQDIYLMYLERDLSDLLEDEASSFGSASLYETEY >fgenesh2_kg.4__1080__AT2G30970.1 pep chromosome:v.1.0:4:14553292:14556533:1 gene:fgenesh2_kg.4__1080__AT2G30970.1 transcript:fgenesh2_kg.4__1080__AT2G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:D7LCW9] MAMAMMIRNAASKRAMTPISGDFGGLRSMSSWWKSVEPAPKDPILGVTEAFLADPSPEKVNVGVGAYRDDNGKPVVLECVREAEQRLAGSTFMEYLPMGGSAKMVDLTLKLAYGDNSEFIKDKRIAAVQTLSGTGACRLFADFQKRFCPGSQIYIPVPTWSNHHNIWRDAQVPQKTYHYYHPETKGLDFSALMDDVKNAPEGSFFLLHACAHNPTGVDPTEEQWREISQLFKAKNHFAFFDMAYQGFASGDPARDAKSIRIFLEDGHHIGISQSYAKNMGLYGQRVGCLSVLCEDAKQAVAVKSQLQQLARPMYSNPPLHGAQLVSTILEDPELKSLWLKEVKVMADRIIGMRTTLRESLEKLGSPLSWEHVTKQIGMFCYSGLTPEQVDRLTSEYHIYMTRNGRISMAGVTTGNVGYLANAIHEVTKSS >fgenesh2_kg.4__1083__AT2G30990.2 pep chromosome:v.1.0:4:14562788:14565716:-1 gene:fgenesh2_kg.4__1083__AT2G30990.2 transcript:fgenesh2_kg.4__1083__AT2G30990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQLDFNRPLISVRRPAQTSESESKTRSFDAANSKIPPSPPVYKSDIKSGPVRNPGTVPFQWEHKPGKPKDERKPVLQSFVEPHFVPKLPPGRERVELVRKPETRADHQTKTVSSSDKYLVEEAKSYSSRYDDDDDSDGTYLDATDTLSRSESFFFNCSAVSGVSGLDGSGILVEPFGTLSSDRQTQDLMMGRFLPAAKALTSETPPHLTRKPPKPEEPTKQLMKKVVLVKEKQNKVEQNPYRFHHSSDQEEEDENTSSMGSSICGLVPQICLRSSLGLLNPVPSVRMQAQRAVSVRRMRSKYQDSTPCNEIQDKKTYETVNEDKRKLKLNGSVAQVHSQDESLSVSSIPQGKEKLESFCTASRTKTSKNFGELLASDDNTWEPSSETLVAEKTLYVDTVHSVDKKVQEESKKQSLSKDYPSLDIVPVKDEEAAISQPKSIEQMNGNRDEDFTKFSSQKVEECPDQATVALPESNVVEITKEKKIDLEVQLQGITTNLESSRLHHRSSYHIVPPPPLPKAPSDSWLKRTLPTIPSKNNSFAWLQSLGTDDNHFTKTQANPKWETMVKTSNTQQGFVCFSKETLNSIPES >fgenesh2_kg.4__1085__AT2G31010.1 pep chromosome:v.1.0:4:14573517:14579564:1 gene:fgenesh2_kg.4__1085__AT2G31010.1 transcript:fgenesh2_kg.4__1085__AT2G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LCX3] MEERRDDESSPTHQGSELVERVKLLSFESQGDALSKDSPTSVEQDCSPGQRASQHLWDTGILSEPIPNGFYSVVPDKRVKELYNRLPTPSELHALGEEGVRIEVILVDFQKDKKLAMLKQLITTLVSGSNPALVIKKIAGTVSDFYKRPTLESPSKLALEENAFLFENHGAQLLGQIKRGCCRARAILFKVLADTVGLESRLVVGLPSDGTVNCMDSNKHMSVIVVLNSVELLVDLIRFPGQLVPRSAKAIFMSHISPAGESDSAENDSCDSPLEPNSPLYERRDPERSASLVTENPNASGPSLRNLMLRPATAIERKLSNTSHSEPNVATVFWRRSRRKVIAEQRTASSSPEHPSMRRGRSMLSTGRNSYRDYSGDASPSSSSTSEIRKTRRRSFRITPEIGDDIASAVREMYEKSKQNRLLQGREDENSSGIDNNVSGLHLDDELNSKKTMSLPSSPHAYRCQTFGRRGPSEFAVKDTWNKVVESSTLQNQPLLPYQEWDIDFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKLFLEQDLTAENMEDFCNEISILSRVRHPNVVLFLGACTKPPRLSMITEYMELGSLYYLIHMSGQKKKLSWHRRLRMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRIMTDENMKDTSSAGTPEWMAPELIRNKPFTEKCDIFSLGVIMWELSTLRKPWEGVPPEKVVFAVAHEGSRLEIPDGPLSKLIADCWAEPEERPNCEEILRGLLDCEYTLC >fgenesh2_kg.4__1086__AT2G31020.1 pep chromosome:v.1.0:4:14581751:14585065:1 gene:fgenesh2_kg.4__1086__AT2G31020.1 transcript:fgenesh2_kg.4__1086__AT2G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7LCX4] MYAATPGTPFGSARSQPVITRSVSQRYNHPCQTNNHHLLHSLSFNHQNVLALPAAAREPPVDVKINDIAGNSIAGILYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIVVLRETEKGSRVIGEVSTRMISRNNRHAGNNNTNHQLRRKPFGEVHLKVSSIRESGSDDKRFSIFTGTKRLHLRAETREDREAWMEALQAVKEMFPRMSNCELMAPTNNLDISIEKLRLRLVEEGVSESAIQDCEQITRSEFSAIQSQLLLLKQKQWLLIDTLRQLETEKVDLENTVVDETQRQVDNEDSEGTNSESDDDNEQFDEAEEEIDTCDSHSSSSFKSIGSVFRTSSFSSDDDGIANGFESEDDVDPSIKSIGFNYPHVKRRKKLPEPVEKEKSVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDQASEWGKKGNNLMRILNVAAFAVSGYASTEGRICKPFNPMLGETYEADYPDKGLRFFSEKVSHHPMIVACHCDGTGWKFWGDSNLKSKFWGRSIQLDPIGLLTLQFDDGEIVQWSKVTTSIYNLILGKLYCDHYGTMRIEGNGEYSCKLKFKEQSMIDRNPHQVQGIVEDKNGKTVAKLFGKWDESMHYVMVNQGKVNESHLLWKRNKPPENPTKYNLTRFGITLNELTPGLKEKLPPTDSRLRPDQRYLEKGEFEMGNAEKLRLEQRQRQAREMQERGWKPKWFRKEKGSETYRYVGGYWEARDSGRWDDCPDIFGQVHQSIK >fgenesh2_kg.4__1089__AT2G31040.1 pep chromosome:v.1.0:4:14608300:14610455:-1 gene:fgenesh2_kg.4__1089__AT2G31040.1 transcript:fgenesh2_kg.4__1089__AT2G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSYISATSTTPPIPQDQSPNSRLPTKIILPNKKPEKWSTGVAPGEYGGPPTTTKLRKYWGGEKEDPITSTDLIWNRDFMDQMKKLFDSPDDSSLDPSPSKEESSGFLSFSRVMSLDSMDVDLSKELAVSSKPVVKDLLDTSKLEAKKQMSKAIVSPKWKLAPTRREQEKWDRATKAATGGSDVMFRELRRPRGDPEVQAAKDREQYFKLKNKIQVLTLGIGGVGLVSAYISYTPEIALSFGAGLMGSLAYMRMLGNSVDAMADGARGVVKGAANQPRLLVPVVLVMIFNRWNAILVPDYGFMHLELIPMLVGFFTYKIATFFQAIEEAISITTQKPESSSPDIEASD >fgenesh2_kg.4__108__AT2G21860.1 pep chromosome:v.1.0:4:529284:531034:-1 gene:fgenesh2_kg.4__108__AT2G21860.1 transcript:fgenesh2_kg.4__108__AT2G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISIKAPSLSGTRESSSFLNRFVTRRPDFAPVKFYRKPRLALSDKSFSIVRSVLETEKSTKIEKPEPPVKLISLVGKGTLSPLKSTSWEEVMLHTARRLKWVDEGYEMLVFDDEILSSNDQRALTLKQELNQTDILVVVAVNNSESVNWILTNSRNVKNMICFESSSDLMNRLGGTDIGSVNQDKQVTEVVKTVGDAWERRNSDDIRFCLLVIINAYIRPVPVLQNLRSKGFSTLTCMVKNCGLQILNCLLDPNCRKALQCLNQCSPVDQVCSYRCIASYESPYFEAFSLCVLQKHNCLELDAKIPEKPYVPPMTSFRGKELCHDTAEDLFVGWLGELDWSWRVVAGQNPAYDQFPCQYQLFYRGKGKSAFWYEPVFQVRTLEGKLVWRRRRYSVKRGKIPATFRFSVLDNGVVSNELWTIVDVSDDLSWGLFHYNGAARVAGQSYTGAVLVTPDGSYPAEKEKERLKSALEKCGIKEWELFAVDNCSCENPPLGIPQGSRLHSRISVIEEPDSEEKFN >fgenesh2_kg.4__1092__AT2G31060.2 pep chromosome:v.1.0:4:14616704:14622240:-1 gene:fgenesh2_kg.4__1092__AT2G31060.2 transcript:fgenesh2_kg.4__1092__AT2G31060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding protein [Source:UniProtKB/TrEMBL;Acc:D7LCY1] MAGPLLRSLWSNTTRRSFSSQSSPSKFGYSLSSLRSFSAATASSAAAGAPSSSLDPNRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSINLERERGITISSKVTSIFWKENELNMVDTPGHADFGGEVERVVGMVEGAILVVDAGEGPLAQTKFVLAKALKYGLRPILLLNKVDRPSVTEERCDEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASSTYTKDPPADAKNMADLLDAVVRHVQPPKANLDEPFLMLVSMMEKDFYLGRILTGRVTSGVVRVGDRVNGLRKTDSGSEKIEEAKVVKLMKKKGTTIVSIDAAGAGDIICMAGLTAPSIGHTVASAEVTTALPTVELDPPTISMTFGVNDSPLAGRDGTHLTGGRIGDRLMAEAETNLAINVIPGLSESYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTDKGQRLEPIEEVTIEINEEHVGLVMEALSHRRAEVIDMGPVPGNDGRTRLSLTCPSRGLVGYRCVFSSDTRGTGFMHRAFLNYEKYRGPLGNVRKGVLVSMGFGTITAHSLMSLEARGILFVSPGLDSYDGMIIGEHSRDTDLDVNPVRAKELTNIRSAGKDENVKLSPPRLMTLEEAIGYVASDELIEVTPKTIRLRKRCLDVNKRKSLSKRAKE >fgenesh2_kg.4__1093__AT2G31081.1 pep chromosome:v.1.0:4:14658289:14658824:1 gene:fgenesh2_kg.4__1093__AT2G31081.1 transcript:fgenesh2_kg.4__1093__AT2G31081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKLWVCLILLLLEISVHQCRPLVAEESRSDSGNIRKIMRELLKRSEELKVRSKGGETVLGNTLDSKRLSPGGPDPRHH >fgenesh2_kg.4__1094__AT2G31082.1 pep chromosome:v.1.0:4:14673786:14674036:1 gene:fgenesh2_kg.4__1094__AT2G31082.1 transcript:fgenesh2_kg.4__1094__AT2G31082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRILGVNSKSEDGESNDLLQRLGYNVSELKRIGRELSVQNEVDRFSPGGPDPQHHSYPLSSK >fgenesh2_kg.4__1095__AT2G31083.1 pep chromosome:v.1.0:4:14686405:14686943:1 gene:fgenesh2_kg.4__1095__AT2G31083.1 transcript:fgenesh2_kg.4__1095__AT2G31083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLILKHSLIILLIIFSSQTLRSQARILRSYRVVSMSNVDSQVLLRELGFDLSKFKGHNERRFLVSSDRVSPGGPDPQHH >fgenesh2_kg.4__1096__AT2G31085.1 pep chromosome:v.1.0:4:14689653:14690061:1 gene:fgenesh2_kg.4__1096__AT2G31085.1 transcript:fgenesh2_kg.4__1096__AT2G31085.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LCY9] MANSILKQSLIILLIIFSSPIFSSQARILRTYHPTAVGNMDSQVLLRELGIDLSKFKGNSERRFLVDSERVSPGGPDPQH >fgenesh2_kg.4__10__AT2G20980.1 pep chromosome:v.1.0:4:113923:116457:-1 gene:fgenesh2_kg.4__10__AT2G20980.1 transcript:fgenesh2_kg.4__10__AT2G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDQEDLDLLLSLDDRVLETPPGSPSAAPRYLTDDESPKRRGHSDLSDFRSVVQDCIDYDPKPVAKNTKPKGSNNSNANDLDKFSGLRIRNQLLSPAEISDLFSDIRFVRLPTIKNLLMGDKLSGCWATMGVLTEKGQPKTSSIGQPYGIWKIGSLNENTVSLFLFGDAYKKNETEKAGTVFGLLNCSVRKDKGGRDFSLSVNSAKQMVKLGVSADYGVCTAKRKDGTTCTSVVNKRQGAFCKIHKLNASDKFATMRTELKGGNLRTAFRDPKSQGIYTVEPPADRSGNKKATQPVRVLSVEGLRKALSGADKVTPNVHSQGIRFLNEMARQKASKNVNKKSEAVNKSTEKRKVSTKETQVKGEPKRKKTEDRRETPEKMMVLDFCSSDEE >fgenesh2_kg.4__1100__AT2G31110.2 pep chromosome:v.1.0:4:14698267:14702125:-1 gene:fgenesh2_kg.4__1100__AT2G31110.2 transcript:fgenesh2_kg.4__1100__AT2G31110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFQLNLASLSLILFSSFPELLAQSQQHFLGQNSTSLLSGGRCNLARGKWVYDSTYPLYSAFNCPFIDYEFNCQKAGRPDTNYQHFRWQPFSCPLPRFDGVNFMRRMRGKKIMMVGDSLSLNMFESLACLLHASLPNAKYSLRRSQPLTSLTFQDYGVTIHLYRTQFLVDVVQEKAGRVLVLDSIKQADAWLGMDVLIFNSWHWWTHTSGLQPWDYMREGNQLYKDMNRLVAYYKGLNTWARWINNNIVPSRTKVFFQGVSPVHYDGREWNEPLKSCNGQTQPFMGQRYPGGLPLGWVVVNKVLSRIKKPVHLLDLTTLSEYRKDAHPSLYNGLSKDLDCSHWCLPGLPDTWNSLLYAFLTS >fgenesh2_kg.4__1101__AT2G31130.1 pep chromosome:v.1.0:4:14703763:14706700:-1 gene:fgenesh2_kg.4__1101__AT2G31130.1 transcript:fgenesh2_kg.4__1101__AT2G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKGIKWVGNVYQKFEAMCLEVEEIIVQDTAKYVENQVQTVGNSVKKFCSDVVQDLLPDDSVDSGKPLPVSMLHEYAPVCSFKKKRDSMNRKTRDVKQEQEVTEGKKDGCAQKFRGLDADDYDICTSPRQYSYGGPYRRTRVGRKQIFKKEELSQVTRPYMQKDSSSLSMVHSARVKDDVGTVNSSSLSMVHSARVKDDVGTVNSSSLTMVHSARIKDDVGTVKSSDSPPGEVEKLIYKKECQKDDKTKNQQSLTVVNSVKRNDSEIRIDNEHGLMGDSSQDSEIQPSVATSLAAGSDDCRKETNVDTKTSSSSVSEQKSEILQPLSGRSVEESCILVDRDEFHCVFPDKMENDKHKPYKKIRDAISSRMKQNREKEYKRLARQWYAEDVENGRECGDDPKPLEENQSPEESEWELL >fgenesh2_kg.4__1102__AT2G31140.1 pep chromosome:v.1.0:4:14709270:14711107:1 gene:fgenesh2_kg.4__1102__AT2G31140.1 transcript:fgenesh2_kg.4__1102__AT2G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-type peptidase [Source:UniProtKB/TrEMBL;Acc:D7LCZ5] MASISTWFRYMAHKLEYSLTLSLKSHRSKKLSDRELIQIICKNLFYGKITYLHSDKGPEMSPTMTANENTLLIRKIPIANTRFVFIGDAVVLKDPNDSDKYLVRRLAAVEGFEMVSGDEKEEPFVLEKDQCWVTAENQELKAKEAYDSRTFGPVSTADIVGRAIYCLRTAVDHGPVRNSHTAMGQDSPILAVELDVDEMAKNHKA >fgenesh2_kg.4__1104__AT2G31170.1 pep chromosome:v.1.0:4:14725675:14729180:-1 gene:fgenesh2_kg.4__1104__AT2G31170.1 transcript:fgenesh2_kg.4__1104__AT2G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (C) family protein [Source:UniProtKB/TrEMBL;Acc:D7LCZ9] MASSVLNLFKSCRPFTPIRFSSLPKSQFRIQFPLRPGKETQSRRCFTTLSSLTDGGAPISGGKELWLHNTMSRKKELFKPKIEGKVGMYVCGVTAYDLSHIGHARVYVTFDVLLRYLKHLGYEVSYVRNFTDVDDKIIARANELGEDPISLSRRFCEEFKRDMEQLQCLDPSVQPLVSDHIPQIVDLIKQILDNGYAYKVDGDIYFSVDKFPTYGKLSGRKLEDNRAGERVAVDTRKKHPADFALWKTAKEGEPFWESPWGRGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAACDSSNISYWIHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLMGTHYRSPINYSDFLLESASERIFYIYQTLHDCESLLGEKDSTFGNDSVPSDTLTTINTFHSEFVASMSDDLLTPVTLAAMSEPLKTINDIIHTRKGKKQARREESLKALETTIRDVLTILGLMPTSYSEVLEQLKEKALKRAGLEEEDVLQRVQERTDARKNKEYERSDAIRKDLASVGIALMDSPEGTTWRPAIPLALQEPVTTP >fgenesh2_kg.4__1107__AT2G31190.1 pep chromosome:v.1.0:4:14734619:14737191:-1 gene:fgenesh2_kg.4__1107__AT2G31190.1 transcript:fgenesh2_kg.4__1107__AT2G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLEKVKLIKKEEPLMVKNPEDFPVYWFETSDSVSHRYQFQSDGHLSMKVVDDARPVPQKMVESFLNKFFPSGYPYSVNEGYLRYTQFRALQHFSSAALSVLSTQSLLFAAGLRPTPAQATVVSWILKDGMQHVGKLICSNLGARMDSEPKRWRILADVLYDLGTGLELVSPLCPHLFLEMAGLGNFAKGMATVAARATRLPIYSSFAKEGNLSDIFAKGEAISTLFNVAGIGAGIQLASTICSSMEGKLVVGSILSVVHVYSVVEQMRGVPINTLNPQRTALIVANYLKVVSIIFKRLIQDAGNVKVGRALHKAVKPSEVQRLKQVSVEEKFLLSHGKSWTDVVLEHDATGEDALRGWLVAAYAKSMTKIYNDPDDIILQDAYDKMNDVFNPFLSQVQAKGWYTDRFLDGTGTRFAW >fgenesh2_kg.4__1108__AT2G31210.1 pep chromosome:v.1.0:4:14746379:14748199:1 gene:fgenesh2_kg.4__1108__AT2G31210.1 transcript:fgenesh2_kg.4__1108__AT2G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7LD03] MYEESSCFDPNPMVDNNGSFCAAETTFPVSHQFQPPVGSTTNSFNDDLKLPTMEEFSAFPSVISLPNSETQNQNISNNNHLINQMIQEPNWGVSEDNTGFFMNTSHPNTTTTPIPDLLSLLHLPRCSMALPSSNLSDIMAGSCFTYDPLCHLNLPPQPPLIPSNDYSGYLLGIDTNTTTQGDESNVGDENNNAQFDSGIIEFSKEIRRKGRGKRKNKPFTTERERRCHLNERYEALKLLIPNPSKGDRASILQDGIDYINELRRRVSELKYLVERKRCGGRHKNNELDNNINNNNSNDHDNDEDDIDDENMEKKPESDVVDQCSSNNSLRCSWLQRKSKVTEVDVRIVDDEVTIKVVQKKKINCLLLVSKVLDQLQLDLYHVAGGQIGEHYSFLFNTKIYEGSTIYASAIANRVIEVVDKHYMAALPINY >fgenesh2_kg.4__1109__AT2G31220.1 pep chromosome:v.1.0:4:14760874:14763009:1 gene:fgenesh2_kg.4__1109__AT2G31220.1 transcript:fgenesh2_kg.4__1109__AT2G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFDPNTSAEVTVESSFSQSEQPPPPPQVLVAGSTSNSNCSVEVEELSEFHLSPQDCPQASSTPLQFHINPPPPPPPPCDQFHNNLIHQMASHQQHSSWENGYQDFVNLGPNSATTPDLLSLLHLPRWSLPPNHHPSSMLPNSSISFSDIMSSSSAAAVMYDPLFHLNFPMQPRDQNQLRNGSCLLGVEDQIQMDANGGVNVMYFEGANNNNNNGGFENEILEFNNGVTRKGRGSRKSRTFPTERERRVHFNDRFFDLKNLIPNPTKIGRASIVGEAIDYIKELLRTIEEFKMLVEKKRCGRFRSKKRARVGEGGGEDQEEEEDTVNYKPQSEVDQSGFNKNNNTSLRCSWLKRKSKVTEIDVRIIDDEVTIKLVQKKKINCLLFTTKVLDQLQLDLHHVAGGQIGEHYSFLFNTKICEGSCVYASGIADTVMEVVEKQYMEAVPTNGY >fgenesh2_kg.4__1110__AT2G31230.1 pep chromosome:v.1.0:4:14767762:14768603:-1 gene:fgenesh2_kg.4__1110__AT2G31230.1 transcript:fgenesh2_kg.4__1110__AT2G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSQSSMYSSPSSWSSSQESLLWNESCFLDQSSDPQSFFCPNYAYSDDFFSFESPEMMIKEEIQNGDVSNSEEEEKIGIDEERSYRGVRKRPWGKFAAEIRDSTRNGIRVWLGTFDKAEEAALAYDQAAFATKGSLATLNFPVEVVRESLKKMENVDLHDGGSPVMALKRKHSLRNRPRGKKRSSSSSSSNSSSSSSSYSSSSSSTSRSSKQSVVKQESGTLVVFEDLGAEYLEQLLMSSC >fgenesh2_kg.4__1111__AT2G31240.1 pep chromosome:v.1.0:4:14780653:14782809:-1 gene:fgenesh2_kg.4__1111__AT2G31240.1 transcript:fgenesh2_kg.4__1111__AT2G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LD08] MKSLSISLIRRLHFHKFRPIPLPIHLNTASSFSSSSSSLVSPTREVSTCCRRTNGMIKSQFWVNPYRNFNTHVEEPPELSSSDKEKIDLEEAFESANTTDEMVRLFKEMELTFEGNELGLSALKLGLHLDREGDDPEKVLSYADKALKSFDGDGNKPNLLVAMALQLMGSANYGLKRFSDSLGYLNRANRILVKLEADGDCVVEDVRPVLHAVQLELANVKNAMGRREEAIENLKKSLEIKEMTFDEDSKEMGVANRSLADAYVAVLNFDEALPYALKALEIHKKELGNNSAEVAQDRRLLGVIYSGLEQHDKALEQNRLSQRVLKNWGMKLELIRAEIDAANMKVALGKYEEAIDILKSVVQQTEKDSEMRAMVFISMSKALVNQQKFADSKKCLEFACEILEKKETASPVEVAEAYSEVAMQYESMNEFETAISLLQKTLSILEKLPQEQHSEGSVSARIGWLLLFSGRVSQAVPYLESAAERLKESFGAKHFGVGYVYNNLGAAYLELGRPQSAAQMFAVAKDIMDVSLGPNHVDSIDACQNLSKAYAGMGNYSLAVEFQQRVIDAWDNHGDSAKDEMKEAKRLLEDLRLKARGGVSTNKLLNKALPLPKTSH >fgenesh2_kg.4__1112__AT2G31260.1 pep chromosome:v.1.0:4:14787359:14792593:-1 gene:fgenesh2_kg.4__1112__AT2G31260.1 transcript:fgenesh2_kg.4__1112__AT2G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 9 [Source:UniProtKB/TrEMBL;Acc:D7LD10] MMSSGHKGPNVRNFFKWQRGESSSSLTTGLLHNESHEIELSNYGGIPSPGSESPSGLLNGESLNVQPIADLDLFVERLYSYYRDKGLWCIIVKWAVELLSLGFIICFSGFFLLYVDWNGLQNAKCGMDAVESGTKPCDLVKEAIHPHPLSPFTLTTAIIVGYLALFSVYWLFCFLRFFAQLKDTLDFRHFYYNNLHVTDNEILTMPWATVLEKVVQLQSSQCLCVVKDLSAHDMVMRLMRKENYLIGMLNKGLLSFPISHWIPGAGPAVKSAPDGTQYHLVLTKTLEWTLNWCILQSMFDCNFRVRRDFVSNPTTLKKRLFVVGLAMLLLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSKWLFREFNEVDHLFKHRINSSVVHASEYLKQFPSPIISIIAKFVSFVSGGFAAVLIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAISDELLVLDPVGTMSLVVQHTHYMPKRWRGKENKDDVRLELETLFQYTGMMLLEEIASIFITPFLLMFVVPKRVDDILQFIKDFTVDIEGVGHVCSFSAFYFENHGNIQYGSPHNATRREQRSSQGKMEKSFLSFQSSYPSWESDSLGKQFLSNLRTFRDRKLHEINTRHSCSPSRAWRESTTTAVYRDIPGNPLASGNHTDSMWLIDPDQRNHPYLLDWYYTSQAHNRTDHPTERANEILSANQNATDCWPPDLGIRGEDSRDLLNMEASTSGQFFRESILRHEQPEGEESYGNQHPLDGRSQWWGRGNHSHIGTSHPTNTNSFIEPPDFINRYTAGNLLDNSWSRRSIEEEDEELDWEENSRRKLSRTTFMDDNDIEAGIDLHFDDVYSSRPQETSTSSTTLR >fgenesh2_kg.4__1113__AT2G31270.1 pep chromosome:v.1.0:4:14794372:14797012:1 gene:fgenesh2_kg.4__1113__AT2G31270.1 transcript:fgenesh2_kg.4__1113__AT2G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGSSRSIPFKSKKRLVMDSPSSKSQTGNSNPSSVALPTPEKPLENMLSRSRNRSVALSVKEIRQAAGSRRRSEDPVASSAKSKLFFSHNDSSSSSPSKRVSSNKNAEKEKLPEKYENLGKFFEALDNSISLSKLRGSKPTFSNISKQIEHLTERRFCYSHLAQIKHILREAIEIKRVLIHDERTCCMKPDLHITLNADAVEYKDKSKSESKKIALRKVFRARLAEFVKAHPQGDEVPEEPLPEPFNRRKPDENSKFEVKSVSSLMEEMASIPAATLFSSPITSTPVKTSSSPAKPTSSQINIAPTPSKPTSTPAKPTSSEIDIVPTPVKLVSTLAKIPSTPAIIDSTPVIAASTPPEFASTPARLLSTSLAARPLKRSSGHSNPDDISADPPTKLVRRSLSLNFDSYPEDEKAVDFTDDEPIDQVPEEELSSDDEILSILPDKLRHAIKEQERKAIEDRNPAISLAKRRRKMIACLPKLFNVIHYLIQSIRRWVITKEELVHKIIAGHSDITDRKEVEEQLILLQELVPEWMSEKKSSSGDVLVCINKLASPITIRSRLEEENKQEMAPLVS >fgenesh2_kg.4__1121__AT2G31340.1 pep chromosome:v.1.0:4:14826309:14830218:1 gene:fgenesh2_kg.4__1121__AT2G31340.1 transcript:fgenesh2_kg.4__1121__AT2G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1381 [Source:UniProtKB/TrEMBL;Acc:D7LD19] MVNKPWKIIPRPLLETVLNNHVQRHRVPQPLILHGPRGVGKTTLILNRLLGDWNKGPHLAGYVDFAQSITEHHPDHHQSYPWTSWTSVDPPLLSNCKTQLENCLESMSHKAIKLGSLSSQQIFTTMNKWYGLNTALRRILEGYNIAVPEKVSVSFLWERAVYALSVRQNADEIDGLLELEEKGNSLSVEEASYYRETAFALRLAKEVIKVHQGWKANAIAHLNRTNGFSRTLANSCTDWPLLMLELLSQAAEIGFFQPKLVLNNIEILKRAIQTDDSTVSASMYHDNLIWRIIALSANERCLPVLFVTSDSYYSYQAFVDYGFPDIFISRETFGWNPQEAKLHMVPDYFSASEWTIIADVLGANSRHLFELYALKQSNHYQSLMGSKAGTFEDIVDAYLAYLQVAVVNPAMDKALLRLQRYATDVRKGSIPYEKLHFGAPWRHPPQTEDPTLNSEWAKIQLMDFVQALVNTEFAVNYLGDYSLEIFEDPSAMALVEVGILYTQRDPSFFRPISQGIKRCLVRWLIQERMQMSYWSSTKYWWQRIIRGRYYKHLMLGYRT >fgenesh2_kg.4__1124__AT2G31360.1 pep chromosome:v.1.0:4:14836866:14838762:-1 gene:fgenesh2_kg.4__1124__AT2G31360.1 transcript:fgenesh2_kg.4__1124__AT2G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTSTVEENHQKNPSTPPEEKKKRRWVFWDRRWRRLDYVKFSASFTVHSLALLAPFYFTWSALWVTFLFYTIGGLGITVSYHRNLAHRSFKVPKWLEYLLAYCALLAIQGDPIDWVSTHRYHHQFTDSERDPHSPKEGFWFSHLLWIYDSAYLVQKCGRRANVEDLKRQWFYRFLQKTVLFHILGLGFILFYLGGMSFVTWGMGVGAALEVHVTCLINSLCHIWGTRTWKTNDTSRNVWWLSVFSFGESWHNNHHAFESSARQGLEWWQIDISWYIVRFFEIIGLATDVKVPTEAQRRRMAIVR >fgenesh2_kg.4__1126__AT2G31370.4 pep chromosome:v.1.0:4:14850843:14853401:1 gene:fgenesh2_kg.4__1126__AT2G31370.4 transcript:fgenesh2_kg.4__1126__AT2G31370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKSPAPPCGGLPPPSPSGRCPAFSEAGPIGHGSDANRMSHDMSRMLDNPPKKIGHRRAHSEILTLPDDLSFDSDLGVVGNAADGASFSDETEEDLLSMYLDMDKFNSSATSSAQVGEPSGTAWKNESMMQTDSTSNPQNTLNSFGERPRIRHQHSQSMDGSMNINEMLMSGNEDDSTIDAKKSMSATKLAELALIDPKRAKRIWANRQSAARSKERKTRYIFELERKVQTLQTEATTLSAQLTLLQRDTNGLTVENNELKLRLQTMEQQVHLQDELNEALKEEIQHLKVLTGQVAPSTLNYGSFGSNQQFYSNNQSMQTILAAKQFQQLQIHSQKQQQQQQQQHQQQQQYQFQQQQMQQLMQQRIQQQEQQNGVRLKPSQPQKES >fgenesh2_kg.4__112__AT2G21880.1 pep chromosome:v.1.0:4:536414:538068:-1 gene:fgenesh2_kg.4__112__AT2G21880.1 transcript:fgenesh2_kg.4__112__AT2G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKNRTLLKVIVLGDSGVGKTSLMNQYVYKKFNKQYKATIGADFVTKELHIDEKPVTLQIWDTAGQERFQSLGAAFYRGADCCVLVYDVNNLKSFETLNNWHTEFLKQANPMEPDTFPFVLIGNKTDVDGGNSRVVSNKRAIEWCGSKGNILYHETSAKDDTNVDEAFLGVAHIALANERKQTNDIYPRGVYDSVTDIIDPDQTRGCAC >fgenesh2_kg.4__1130__AT2G31380.1 pep chromosome:v.1.0:4:14853826:14855374:1 gene:fgenesh2_kg.4__1130__AT2G31380.1 transcript:fgenesh2_kg.4__1130__AT2G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKAPATLICCADEAALCAKCDVEVHAANKLASKHQRLFLDSLSTKFPPCDICLEKAAFIFCVEDRALLCRDCDEATHAPNTRSANHQRFLATGIRVALSSTSCRQEVEKNHFDPSNQQSLSKPPTQQPAAPSPLWATDEFFRYSDLECSNKQKEQLDLGELDWLAEMGLFGDQPDQEALPAAEVPELSFSHLAHVHSYNRPMKSNVPNKKQRLEYRYDDDEEHFLVPDLG >fgenesh2_kg.4__1131__AT2G31390.1 pep chromosome:v.1.0:4:14855334:14858172:-1 gene:fgenesh2_kg.4__1131__AT2G31390.1 transcript:fgenesh2_kg.4__1131__AT2G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-type carbohydrate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LDK5] MASNGDKGLIVSFGEMLIDFVPTESGVSLAEAPGFLKAPGGAPANVAIAVSRLGGRSAFVGKLGDDEFGHMLAGILRKNGVDDQGINFDTGARTALAFVTLRADGDREFMFYRNPSADMLLRPDELNLDLIRSAKVFHYGSISLIVEPCRSAHLKAMEVAKEAGALLSYDPNLREPLWPSKEEAKTQIMSIWDKAEIIKVSDVELEFLTGSNKIDDETALSLWHPNLKLLLVTLGEKGCRYYTKTFKGSVDPFHVNAVDTTGAGDSFVGALLNQIVDDQSVLEDEERLRKVLRFANACGAITTTKKGAIPALPSDAEVRSFLEKK >fgenesh2_kg.4__1132__AT2G31400.1 pep chromosome:v.1.0:4:14859398:14862952:-1 gene:fgenesh2_kg.4__1132__AT2G31400.1 transcript:fgenesh2_kg.4__1132__AT2G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LDK6] MASTPPHWVTTTNNHRPWLPQRPRPGRAVTSAPPSSTASVSSAHLSQTTPNFSPLQTPKSDFSGRQSTRFVSTTTNNHRQTRQNPNYNHRPYGVSSSPRGSAPPPSSVATVAPAQLSQTPNFSPLQTPKSDLSSDFSGRRSTRFVSKMHFGRPKTTMATRHSSAAEDALQNAIDFSGDDEMFHSLMLSFESKLCGSDDCTYIIRELGNRGECDKAVGFYEFAVKRERRKNEQGKLASAMISTLGRYGKVTIAKRIFETAFSGGYGNTVYAFSALISAYGRSGLHEEAISVFNSMKEYGLRPNLVTYNAVIDACGKGGMEFKQVAKFFDEMQRNCVQPDRITFNSLLAVCSRGGLWEAARNLFDEMSNRRIEQDVFSYNTLLDAICKGGQMDLAFEILAQMPAKRIMPNVVSYSTVIDGFAKAGRFDEALNLFGEMRYLNIALDRVSYNTLLSIYTKVGRSEEALDILREMASVGIKKDVVTYNALLGGYGKQGKYDEVKKVFAEMKREHVLPNLLTYSTLIDGYSKGGLYKEAMEVFREFKSAGLRADVVLYSALIDALCKNGLVGSAVSLIDEMTKEGISPNVVTYNSIIDAFGRSATMERSADYSNGGSLPFSSSALSELTETEGNRVIQLFGQLTSEGNNRMTKDCKEGMQELSCILEVFRKMHQLEIKPNVVTFSAILNACSRCNSFEDASMLLEELRLFDNKVYGVVHGLLMGQRENVWLQAQSLFDKVNEMDGSTASAFYNALTDMLWHFGQKRGAELVALEGRSRQVWENVWSDSCLDLHLMSSGAARAMVHAWLLNIRSIVYEGHELPKVLSILTGWGKHSKVVGDGALKRAVEVLLRGMDAPFHLSKCNMGRFTSSGSVVATWLRESATLKLLILHDHISTTRATTTMKSTDQQEREQTSLTLQPLLL >fgenesh2_kg.4__1137__AT2G31480.1 pep chromosome:v.1.0:4:14886912:14888466:-1 gene:fgenesh2_kg.4__1137__AT2G31480.1 transcript:fgenesh2_kg.4__1137__AT2G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGGKDGSRGFVKRVTSTFSIRKKKNTTSDPKLLLPRSKSTGANYESMRLPQGKKALPDATTKDTKRTKSAGVSPQPRREKIDESGKQFMKMRCFDDNDSIWLSSDCASPTSLLEERRLSVSFHFSVDEKIVSWLSSVANSSLSLNQESTRSTKENHQQTSSKNAKCSLENIRKDGKFCNSAGKARGTGSAKPSSRLPESNNKPCPQKPCEKSSSSNRLVSPEEKKVSFSVEETEKSPSPVNSTATATSSLKKSAEIKDSKSKIAVEPLFWPFEQKFDWTPEDILKHFSMSPRRKKSLGSKIAGTSPRSMRAQLQTRKLDLKEGCKRKLMFNGHGSNSKPTRIPELNRTISTSSNNSCTKKTEISKNEQPIRNSVKRNKSLPSRLRKSSKISSKVVPVEAADETVEILKEQKTPKKLIMTRKSRTFLEDDFALMNDFSIEKAVGLCEFRGREGIDSDFNTDGFLFDDSL >fgenesh2_kg.4__1140__AT2G31540.1 pep chromosome:v.1.0:4:14903604:14905003:-1 gene:fgenesh2_kg.4__1140__AT2G31540.1 transcript:fgenesh2_kg.4__1140__AT2G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LDM0] MSTSKTITLTLFIATTLFASCNAVANATTKPLFPAILIFGDSTVDTGNNNYPLPTIFRAEHFPYGMDLPDGKANGRFSNGKLISDIIATKLNIKEFIPPFLQPNLSDQDILTGVCFASAGAGYDDLTSLSTQAIRVSEQPNMFKSYIARLKGIVGDKKAMEIINNALVVISAGPNDFILNYYDIPSRRLEYPFISGYQDFILKRLENIVRELYSLGSRNILVGGLPPMGCLPIHMTVKFRNVFRFCLEQHNRDSVLYNQKLQNLLPQLEASLKGSKILYADVYNPMMEMMQNPSKYGFKETKRGCCGTGFLETSFMCNVFSPTCQNRSEFLFFDSIHPSEATYNVIGNLLDPKIRGKFQA >fgenesh2_kg.4__1143__AT2G31560.1 pep chromosome:v.1.0:4:14914513:14915949:1 gene:fgenesh2_kg.4__1143__AT2G31560.1 transcript:fgenesh2_kg.4__1143__AT2G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIHIESSHPWKTHSVQVKKPTNADVTSNTVLPEHHHDGGDGGIQETWAAIGNSIVGGGGYFAGESRNKKLEKRKSQVLLEGYALDDKDDLTRAKSLTDDDLEELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSQKFLDDKQQNHHKSPDEDDSPPPPTTTAPIANWKISSPGDDPDDVKARLKYWAQTVACTVRLCS >fgenesh2_kg.4__1146__AT2G31600.1 pep chromosome:v.1.0:4:14922998:14924913:-1 gene:fgenesh2_kg.4__1146__AT2G31600.1 transcript:fgenesh2_kg.4__1146__AT2G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKNIQQNPFSSKPPRPPSSSGAASTSAVASTSAEPQIRNPTLNTNPSTNVLPSTSNLPITMSQEDEILALSSHLTRPELLHRRSRNLMQLAKCYRDNYWAIMEDVKAQHRDYWWKYGISPFKDEHNQSNKRRRLGQEGDIGDGDAVEGSGDNGNNNDGGNGVKSDQYANSNSGSCMYGCKAKAMALTRYCQLHILKDSKQKLYTGCTNVIKRAPSGPLLCGKPTLGSTVPVLCNVHFQKAQKHVAKALKDAGHNVSSTSKPPPKLHVIVAAFVHHIQAKRKNPHKDCKLKSVVKEENTS >fgenesh2_kg.4__1148__AT2G31610.1 pep chromosome:v.1.0:4:14925125:14926722:1 gene:fgenesh2_kg.4__1148__AT2G31610.1 transcript:fgenesh2_kg.4__1148__AT2G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3 [Source:UniProtKB/TrEMBL;Acc:D7LDM7] MATQISKKRKFVADGVFYAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSLVQKRFKFPVDSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAARAKSMKFMDGYMVSSGQPTKEYIDSAVRHVLLRQGVLGIKVKIMLDWDPTGKSGPKTPLPDVVIIHAPKDDVVNLAPAQIAAPVTLVPEAPLTTVDYPEMIPPVA >fgenesh2_kg.4__1151__AT2G31660.1 pep chromosome:v.1.0:4:14940848:14948832:1 gene:fgenesh2_kg.4__1151__AT2G31660.1 transcript:fgenesh2_kg.4__1151__AT2G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSLALILRTAALSSIPDERKASEQQLNQLQHMPQHLVRLLQIAVDANCDMAVRQIASIQFKHLIAKNWSPEDPGEQQQILQSDKELVRDNILVYVTQVPTLLRSQLGECLKTIIYADYPEQWPRLLDWVKYNLQNQQIYGALFVLRILSRKYEFKSDEERTPVSRIVEETFPQLLNIFNGLIQIPNPSLEIAELMKLICKIFWSSIYLELPRQLFDLNVFNAWMVLFLSVSERPVPVEGQPMDPELRKSWGWWKVKKWTVHILNRLYSRFGDPKLQSPENKPFAQMFQKNYAGRILEGHLNFLNTIRVGGYLPDRVTNLLLQYLSNSISKNSMYKLLLPRLDVLLFEIVFPLMCFNDNDQKLWVEDPHEYVRKGYNIIEDLYSPRTASMDFVNELVRKRGKENLPKFVQFIVGIFTSYDEAPAEHKPYRQKDGAMLAVGALCDKLKQTDPYKSELERMLVQHIFPDFSSPVGHLRAKAAWVAGQYAHINFSDQNNFRKALHNVVSGLRDPDLPVRVDSVFALRSFVEACKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPFAFGLCQNLAAAFWRCLNTSEANEDSDDMGALAAVGCLRAISTILESVSSLPQLFVEIEPTILPIMQKMLTTDGQEVFEEVLEIASYMTFYSPSISLDIWSLWPLMVEALVDWAIDFFPNILVPMDNFISRGTAHFLTCKEPDYQQSLYNVLSTLMTDRNIEDSEIESAPKLIEVVFQNCKGQVDQWVEPYLRLTVDRLQRAETSYVKSLLIQVVANMLYYNPGLTLGVLHNTGLASKVFDLWFQMLQQKRKSGLPANFKREHDKKVCCLGLTSLLALPGGQLPDEALQRVFRATLDLLVAYKNQLAEAAKEAEVDYEDEMNGLQSDDDDDDDDGSDGEMGMDDIEDGDEAQSAKLQKLAAQAKAFHYDDDDDDDSDDDFSDEDEFQSPIDEVDAFVFFVDAIRVMQASDAQRFQNLNQSLDFTYQAIANGIAQHAELRRVEIEKEKQKKLAEAASTPVPTLVRTL >fgenesh2_kg.4__1152__AT2G31670.1 pep chromosome:v.1.0:4:14949287:14950257:-1 gene:fgenesh2_kg.4__1152__AT2G31670.1 transcript:fgenesh2_kg.4__1152__AT2G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICARIRPLISSPLAFTISATKHSPINLRLFPRRSFSTVTAMSSSTTQSQIIEHIVLFKAKDDADTNKITSMINNLNALASLDQVLHISTAPLHRLGSSAFTFTHVLHSRYGSKEDLASYGAHPDHVRVVKESVLPVCDDIMAVDWIADRIPGTIAPPPGSVAKLTLLTLKENLSAEAKSEITGVIKGLSEKFPGIDQITVGENFSPARAKGFSIASIAYFKNLGEMEAVDAQKELVNSQKDKVRDYVDSTIVVEFLVPSSSQSSSL >fgenesh2_kg.4__1154__AT2G31690.1 pep chromosome:v.1.0:4:14956170:14958429:-1 gene:fgenesh2_kg.4__1154__AT2G31690.1 transcript:fgenesh2_kg.4__1154__AT2G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7LDN5] MALIQNPNIKHVPFLRNRTPEQTLFLPHTLSLPTCNQNSKRLVITNSSSSNSSLLSPVILTAPVASSPPPPVYRAPKSPCSCGAVTVPLSRVWREIQGCNNWKDLIEPLNPLLQQEITRYGNLVSTCYKAFDLNPNSKRYLNCKYGKQTLLKETEIDQPEDYQVTKYIYATPDINISPIQNETNRRARWVGYVAVSSDDSVKRIGRRDIVVTFRGTVTNPEWLANFMSSLTPARFHPHNPRLDVKVESGFLSLYTSDESESKFGLESCREQLLSEISRLVNKYKGEEMSITLAGHSMGSSLAQLLAYDISELGLNQRIGERDIPVTVFSFAGPRVGNLEFKKRCEELGVKVLRITNVNDPVTKLPGVLFNENFRVLLYELPWSCSCYAHVGVELTLDFFDVQNISCVHDLQTYIDLLNQRRMNSRSADSDSDEDEESDNFALEFLKRNGEKVMFLKGQRMMHWINVVDLLFSVSNHVSYCNIF >fgenesh2_kg.4__1155__AT2G31725.1 pep chromosome:v.1.0:4:14966503:14967760:-1 gene:fgenesh2_kg.4__1155__AT2G31725.1 transcript:fgenesh2_kg.4__1155__AT2G31725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLAAAEEQIVSERLRRKLQEVNAAAQTQLSPIQDHINFTLQQAYFKCAYECFDRRRKQEEISNCVEHCSVPVVKSQQYFENEMAQFQERLNRSLVVCQDKFEASKLQKIRPEAINEMESCVHKSIEENLNTLPHIVQRMKQAFNITN >fgenesh2_kg.4__1156__AT2G31730.1 pep chromosome:v.1.0:4:14967975:14969967:-1 gene:fgenesh2_kg.4__1156__AT2G31730.1 transcript:fgenesh2_kg.4__1156__AT2G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSRDSADMMMENKRNVYSPEENNIKRHKSSDLSFSSKERKDKLGERISALQQLVSPYGKTDTASVLLEGMQYIQFLQEQVKVLSAPYLQATPTTSQEELEEYSLRSKGLCLVPLEYTSGVAQTNGADIWAPVKTPTSSHAFNLSSSNSPFR >fgenesh2_kg.4__1158__AT2G31750.1 pep chromosome:v.1.0:4:14979874:14983809:1 gene:fgenesh2_kg.4__1158__AT2G31750.1 transcript:fgenesh2_kg.4__1158__AT2G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQAKANVLVFSFPIQGHINPLLQFSKRLLSKNVTVTFLTTSSTHNSILRRAIAGGATALPLSFVPIDDGFEEGHPSTDTSPDYFAKFQENVSRSLSELISSMEPKPNAVVYDSCLPYVLDVCRKHPGVAAASFFTQSSTVNAIYIHFLRGAFKEFQNDVVLPAMPPLKGNDLPVFLYDNNLCRPLFELISSQFVNVDDIDFFLVNSFDELEVEVLQWMKNQWPVKNIGPMIPSMYLDKRIAGDKDYGINLFNAQVNECLDWLDSKPPGSVIYVSFGSLAVLKDDQMIEVAAGLKQTGHNFLWVVRETETKKLPSNYIEEIGEKGLIVNWSPQLQVLAHKSVGCFMTHCGWNSTLEALSLGVALIGMPAYSEQPTNAKFIEDVWKVGVRVKADQNGFVMKEEIVRCVGEVMEDMSEKGKEIRTNARRLMEFAREALSEGGNSDMNIDEFVAKIVR >fgenesh2_kg.4__1161__AT2G31800.1 pep chromosome:v.1.0:4:15001296:15004676:-1 gene:fgenesh2_kg.4__1161__AT2G31800.1 transcript:fgenesh2_kg.4__1161__AT2G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIAGQLKRGISRQFSTRSLRRTLSRQFTRQASHDPRRNNLRFSFGRQSSLDPIRRSPDGSNRPQLAVPDNLDATMQLLFVACRGDVEGVQDLLDEGIDVNSIDLDGRTALHIAACEGHVDVVKLLLTRKANIDSRDRWGSTAAADAKYYGNMDVFNILKARGAKVPKTKRTPMVVANPREVPEYELNPQELQVRKADGISKGIYQVAKWNGTKVSVKILDKDLYKDHETINAFKHELTLFEKVRHPNVVQFVGAVTQNIPMMIVSEYHPKGDLGSYLQKKGRLSPAKVLRFALDIARHVPFFGKIVFKLQLQGMNYLHECKPEPVIHCDLKPKNIMLDSGGHLKVAGFGLISFAKLSSDKSKILNHGAHIDPSNYCMAPEVYKDEIFDRSVDSYSFGVVLYEMIEGVQPFHPKPPEEAVKLMCLEGRRPSFKAKSKSCPQEMRELIEECWDTETFVRPTFSEIIVRLDKIFVHCSKQGWWKDTFKFPW >fgenesh2_kg.4__1163__AT2G31810.1 pep chromosome:v.1.0:4:15005241:15009331:1 gene:fgenesh2_kg.4__1163__AT2G31810.1 transcript:fgenesh2_kg.4__1163__AT2G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISVSSSPSLRCLRSACSDSSPSLVSSTRVSFPAKISYLSGQSSHRGEEMGKRMEGFVRSVDGKISDASYSEASSTTPKSKVRKHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNRDKALFTIVVCGTERVLQQVIEQLQKLVNVLKVEDISSEPQVERELMLVKVNAHPEFRAEIMWLVDTFRARVVDIAEHALTIEVTGDPGKMIAVERNLRKFQIREIVRTGKIALRREKMGATAPFWRFSAASYPDLKEQAPVSVLRGSKKGAVVPQNETTAGGDVYPVEPTFDPMVHRVLDAHWGLLTDEDTSGLRSHTLSLLVNDTPGVLNIVTGVFARRGYNIQAYLAVGHAETKGISRITTVVPATDESISKLVQQLYKLVDVHEVHDLTHLPFAERELMLIKIAVNAAARRDVLDIASIFRAKAVDVSDHTITLQLTGDLDKMVALQRLLEPYGICEVARTGRVALARESGVDSKYLRGYSFPLSG >fgenesh2_kg.4__1166__AT2G31830.1 pep chromosome:v.1.0:4:15014486:15019512:-1 gene:fgenesh2_kg.4__1166__AT2G31830.1 transcript:fgenesh2_kg.4__1166__AT2G31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7LDP9] MDSVIIEADEREALASLVPAHPLPPRKTHSYVEQYEQKPHHPIRKYSLDEGSRSVTSDSEAVYFDSSDGEFSTEGVVIINGRTSGERGNGEEYGFVTPPSKPASQHGENDGGGEDDIESLPEFIGAGGGVDVFKVPVRAAVNPGRPPCLELRPHPLRETQTGKFLRNIVCTESQLWAGQENGVRFWNLEEAYEVGCGLGGQVRRGDEDTAPFHESVPTSPALCLMIDHGNRLVWTGHKDGKIRAWKMNQPITATADDSKPFKERLSWQAHRGPVNYIVISSYGDMWSCSDGGVIKIWTLDSLEKSLVLKPEEKHMAALLVERSGIDLRSQVTVNGTCSISSSDVKFLLVDTVRAKVWAVQHLSFSLWDAQNKELLKVFNIDGQVENRVDMPPTQGQQVEDTKAKFFSAPKKEKSQGFLQRSRHAIMGAAGAVRRAATRSTGAFAEDTRKVEAIAIAADGSIWTGSMNGVIAQWDGNGSRLREVNHHQQAVLCFCTFGDRIYVGYASGYIQVLDLGGKLIASWVSHNEPVIKLAAGGGFIFSLATHGGVRGWYVTSPGPLDSVIRTELSQKEMTYARQDSVKILIGTWNVGEGRASRGALVSWLGSAVSDVGIVAVGLQEVDMGAGFLAMSTAKETVGVEGSAVGQWWLDAIGNALDERNTFERMGSRQLAGLLISLWVRKSIRTHVGDLDVAAVPCGFGRAIGNKGGVGLRIRVYDRIMCFVNCHLAAHLEAVTRRNADFNHIYRSMVFSKGQSVYTAAAAGASTSAQALKNNPNTNISTEEEKSDLAAADLVAFFGDFNYRLFGITYDEARDFISHRSFDWLREKDQLRQEMNEGKVFQGMREALITFPPTYKFEKNKPGLGGYDSGEKKRIPAWCDRVIYRDNQSISYSECSLQCPVVSSTVMYEACMDVTESDHKPVRCKLHANIAHTDKSVRRQELGKIVKSNEKLKSMFEELKSVPETSVSTNNILLHSQDTFIFTIRNTSNSSRAIFNIVCKGQTLVREDGEELDNHSRGTFGLPRWLEVSPGAGIIKPDASLQVKVHHEDFHTSEESVDGIQQNSLSEESSDKEVTLIIIIQGSCSTRTTSHSIKVRHCSSAVKSLSLVHSKTTSMTKNLEGSTRYQTDANRGGSTRHRTDESTRRG >fgenesh2_kg.4__1171__AT2G31870.2 pep chromosome:v.1.0:4:15033806:15037631:-1 gene:fgenesh2_kg.4__1171__AT2G31870.2 transcript:fgenesh2_kg.4__1171__AT2G31870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENREDLNSILSYLPLVIRSSSLYWPPRVVEALKAMSEGPSHSRVDSGEVLWQAISDMRRSLSFSTLEPSASHGYALLFDELIDEKESKRWFDEIIPALARLLLQFPSLLEVHFQNADNFVSGIKTGLRLLDSQQAGIVFLSQELIGALLACSFFCLFPDDNRGAKHLPVINFDHLFASLYESYSQSQESKIRCIMHYFERFCSCVPIGTVSFERKILPAEYHNSSTAAPDADFWSKSDVSLCAFKVHSFGLIEDQPDNALEVDFANKYLGGGSLSRGCVQEEIRFMINPELIAGMLFLPRMDDNEAIEIVGAERFSCYTGYASSFRFAGEYIDKKAMDPFKRRRTRIVAIDALCAPKMRHFKDICLLREINKALCGFLNCSKSWQHQNIFIDEGDKEIQLARNDRDSGLLRTETTALHGTPLNDVEINREKPANNLIRDFYVEGVHCEDNEDDGVATGNWGCGVFGGDPELKATIQWLAASQTRRPFISYYTFGVEALQHLDQVTKWIISHKWTVGDLWNMMLEYSAQRLYKQTNLGFFSWLLPSLATTNKAVQPP >fgenesh2_kg.4__1173__AT2G31880.1 pep chromosome:v.1.0:4:15040084:15042164:1 gene:fgenesh2_kg.4__1173__AT2G31880.1 transcript:fgenesh2_kg.4__1173__AT2G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKGNAHLFFLRPLILAVLSFLLLSSFVSSVEWLDLDSSDLKALQIIETELGVNSQRSSSSDVNPCGRRGVFCERRRSATTGEYVLRVTRLVYRSMSLTGTISPVIGMLSELKELTLSNNQLANAVPVDILSCKQLEVLDLRKNRFSGQIPGNFSSLSRLRILDLSSNKFSGNLNFLKNLRNLENLSVANNLFSGKIPEPSVSFHNLRFFDFSGNRYLEGPVPVMSNNKLQTSPHQTRHILAETPSSNSTKKPNNSTTSQAPKGAPKPEKLKKKKKSKKKKVAAWILGFVVGAIGGTISGFVFSVLFKLIIQAIRGSGKPSGPSIFSPLIKKAEDLAFLENEEALASLEIIGRGGCGEVFKAELPGSNGKIIAVKKVIQPPKDADELTDEDSKFMNKKMRQIRSEISTVGQIRHRNLLPLLAHVSRPECHYLVYEYMEKGSLQDILTDVQAGNQELMWPARHKIALGIAAGLEYLHMDHNPRIIHRDLKPANVLLDDDMEARISDFGLAKVMPDAVTHITTSNVAGTVGYIAPEFYQTHKFTAKCDIYSFGVILGILVIGKLPSDEFFQHTDEMSLIKWMRNIITSENPSLAIDPKLMEQGFDEQMLLVLKIACYCTLDDPKQRPNSKDVRTMLSQIKH >fgenesh2_kg.4__1175__AT2G31900.1 pep chromosome:v.1.0:4:15047691:15056683:-1 gene:fgenesh2_kg.4__1175__AT2G31900.1 transcript:fgenesh2_kg.4__1175__AT2G31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVNITLGSHVWVEDLELAWISGEVIEIKGTNAKIVTANGKTVVASISSIYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLDCRFALNEIYTYTGNILIAVNPFQRLPHLYSVHMMEQYKGAAFGELSPHLFAVADTSYRAMINEARSQSILVSGESGAGKTETTKMLMRYLAFMGGRSDTEGRSVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKRGKISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEEAKKFKVGDPRTFHYLNQTNCYEVSNVDDAREYIETRNAMDIVGIGQEAQDAIFRVVAAILHLGNVNFIKGEEADSSKLRDDKSRYHLQTAAELLMCNEKMMEDSLCKRVIVTPDGNITKPLDPDSAASNRDALAKTVYSRLFDWIVDKINSSIGQDPNAKSLIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKGHKRFSKPKLAQTAFTVNHYAGDVTYSAEQFLDKNKDYVVAEHQALLDASKCSFVANLFPPLPEDASKQSKFSSIGTRFKQQLQALMETLNTTEPHYIRCVKPNAVLKPGIFENDNVLNQLRCGGVLEAIRISCAGYPTKRAFDEFLDRFVMLATDVPEGTDEKSACASICDKMGLKGYQIGKTKIFLRAGQMAELDARRTEVLAGATTLIQRQIRTYLTRKEFLGQKKATIYMQKLWRAQLARKLYQNMRREAASICIQKNIRAHRARKNYTKLQASATVIQTGLRTMSARNKHRHRRRTKAAIIVQREWRRHQAHEAYKQHKKATLALQCLWRAKVARKELKNLRMAARETGALKEAKDKLEKRVEELTWRLELEKHQKADLEEAKAQEIARLQNNLTELQEKLDEAYAAIIREKEAAKLVIEQAPPVIKEVPVVDNTQLELLNSQNNELEVEVAKLKGKIEEFEAKCSALESDSKASLTEAEDAKSKAIQFQEIIERLETNLSNLESENQVLRQQALAASTSVEETGELNSLKDKVAILESENESLRRQTASAEKTMPPARVFASEKNLENQHQIKEIQATKEPRNPINVLAKQGSLTDRQRESHEVLMKCLTDERRFDNDRCVAAWIVYKALLQWRLFEAEKTNIFDRIVHKIRSSIEKSQDDTRELAYWLTTSSTLLYLLQSTLKFSNTNNAASRRNRLSHATLFGRLVQGTQSSSVGLETSSGYSGMVGISNDQQMVEAKYPALLFKQHLAAYVEKTYGMIRDKLKKEIDPLLNLCIHAPRPTRAKTLRHVTKSIHLTTIAKQQASYVQWQNIVNKLEHTLTFMAENHVPSMITRKLFHQVFSYINVQLFNSLLLRRECCSVSNGEYLKMGLHELEKWCLKADDEAARSPWDELQHIRQAVMFLVSHQKTQKSLDEIAKEIFPVLSIPQVYRIGTMFWDDKYGTQGLSPEVINQMRKLMAEDSANMTYPSFLLDVDSSIPFSVEDVSQSFHGGNISLSDVDPPPLLRQRSDFHFLFQTLPE >fgenesh2_kg.4__1182__AT2G31955.2 pep chromosome:v.1.0:4:15081320:15083722:-1 gene:fgenesh2_kg.4__1182__AT2G31955.2 transcript:fgenesh2_kg.4__1182__AT2G31955.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCFSKITDCYLGFKNSNFFLVGSEVGSGSVTRTITTTSERSFSTSYAAHQVDQTKDSPVSDMLIDSFGRLHTYLRISLTERCNLRCQYCMPSEGVELTPKPQLLSQSEIVRLAGLFVSAGVNKIRLTGGEPTIRNDIEEICLQLSSLKGLKNLAITTNGITLAKKLPKLQECGLDSLNISLDTLVPAKFEFLTRRKGHDRVMKSIDTAIELGYNPVKVNCVVMRGLNDDEICDFVELTREKPINVRFIEFMPFDGNVWNVKKLVPYAEVMDKVVKRFPSIKRMQDHPTETAKNFTIDGHCGSVSFITSMTEHFCSGCNRLRLLADGNFKVCLFGPSEVSLRDPLRSGADDEALREIIGAAVKRKKAAHAGMLDIAKTANRPMIHIGG >fgenesh2_kg.4__1183__AT2G31957.1 pep chromosome:v.1.0:4:15084501:15084680:1 gene:fgenesh2_kg.4__1183__AT2G31957.1 transcript:fgenesh2_kg.4__1183__AT2G31957.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LEB7] VEMVEGQQMCEAKSMNWKGMCMKWRKCRQVCISQGFTDGRCKGFTRNCICIKLCFVPSN >fgenesh2_kg.4__1184__AT2G31960.1 pep chromosome:v.1.0:4:15085994:15096756:1 gene:fgenesh2_kg.4__1184__AT2G31960.1 transcript:fgenesh2_kg.4__1184__AT2G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRGPDPPPPQRRILRTQTAGNLGEAMLDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENETTLAGRQKSDAREMQSFYQHYYKKYIQALLNAADKADRAQLTKAYQTAAVLFEVLKAVNQTEDVEVADEILEAHTKVEEKSQIYVPYNILPLDPDSQNQAIMRFPEIQATVIALRNTRGLPWPAGHKKKLDEDMLDWLQTMFGFQKDNVSNQREHLILLLANVHIRQFPRPEQQPRLDDRALTIVMKKLFKNYKKWCMYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFLPECLCYIYHHMAFELYGMLAGSVSPMTGEHIKPAYGGEEEAFLQKVVTPIYKTIAKEAKRSRGGKSKHSEWRNYDDLNEYFWSVRCFRLGWPMRADADFFCQTAEELRLDRSENKPKTGDRWMGKVNFVEIRSFWHIFRSFDRMWSFYILCLQAMIIIAWNGSGDLSGIFQGDVFLKVLSIFITAAILKLAQAVLDIALSWKSRHSMSFHVKLRFIFKAVAAAIWVILMPLTYAYSWRTPSGFAQTIKNWFGGHQNSSPSFFIMVILIYLSPNMLSTLLFAFPFIRRYLERSDFKIVMLMMWWSQPRLYIGRGMHESALSLFKYTMFWVVLLISKLAFSFYAEQIKPLVKPTKDIMRVHISVYRWHEFFPHAKSNMGVVIALWSPVILVYFMDTQIWYAIVSTLVGGLNGAFRRLGEIRTLGMLRSRFQSLPEAFNACLIPSEKTEPPKKKGIMATFSRKFDQVPSSKDKEAARFAQMWNKIISSFREEDLISNREMELLLVPYWADRDLDIIRWPPFLLASKIPIALDMAKDSNGKDRELTKRLSVDSYMTCAVRECYASFKNLINFLVVGEREGQVINEIFSRIDEHIEKETLIKDLNLSALPDLYGQFVRLIEYLMQNREEDKDQIVIVLLNMLEVVTRDIMEEEVPSMLESTHNGTYVKYDVMTPLHQQRKYFSQLRFPIKRLHLLLTVKESAMDVPSNLEARRRLTFFSNSLFMEMPDAPKIRNMLSFSVLTPYYSEDVLFSIFGLEQQNEDGVSILFYLQKIFPDEWTNFLERVKCGSEEELRAREELEEELRLWASYRGQTLTKTVRGMMYYRKALELQAFLDMAKDEELMKGYKALELTSEDASKSGTSLWAQCQALADMKFTFVVSCQQYSIQKRSGDQRAKDILRLMTTYPSLRVAYIDEVEQTQKESYKGADEKIYYSALVKAAPQTKSMDSSESVQTLDQVIYRIKLPGPAILGEGKPENQNHSIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLVKHGGVRTPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRVLASPLKVRFHYGHPDVFDRLFHLTRGGVCKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDLYRLGHRFDFFRMLSCYFTTIGFYFSTMLTVLTVYVFLYGRLYLVLSGLEEGLSNQKAFRSNMPLQAALASQSFVQIGFLMALPMMMEIGLERGFHNALIDFVLMQLQLASVFFTFQLGTKTHYYGRTLFHGGAEYRGTGRGFVVFHAKFAENYRFYSRSHFVKGIELMILLLVYQIFGHAYRGVVTYILITVSIWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWIYNRGGIGVPPEKSWESWWEKEIGHLRHSGKRGIILEIVLALRFFIFQYGLVYQLSTFKQENQSLWIYGASWFVILFILLIVKGLGMGRQRFSTNFQLLFRIIKGFVFLTFLGILITFIALRLLTPKDIFLCMLAFMPTGWGMLLDSGHRLGRWLEAMRFSWVCFCEILMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKDRSSKNKE >fgenesh2_kg.4__1186__AT2G31980.1 pep chromosome:v.1.0:4:15107097:15107779:-1 gene:fgenesh2_kg.4__1186__AT2G31980.1 transcript:fgenesh2_kg.4__1186__AT2G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:D7LEC0] MAAMLKVSLILSLLGFLVIAVVTPSAANPFRKSVVLGGKSGVPNIRTNREIQELGRYCVEQFNQQEQTEQGNIGSIAKTDTAMSNPLVFSRVVSAQKQVVAGTKYYLRIEVTQPNGSTRMFDSVVVIQPWLHSKQLLGFTPVVSPIY >fgenesh2_kg.4__1188__AT2G31985.1 pep chromosome:v.1.0:4:15113857:15116282:-1 gene:fgenesh2_kg.4__1188__AT2G31985.1 transcript:fgenesh2_kg.4__1188__AT2G31985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVHSSTTSGPAVPGEATKTGTSIIDAAASAVQSFAPVNQIHQHLCAFHFYADDMARQVEAHHFCSHVNEEMRQCLIYDGPDANARLIGLEYIVSEKLFMTLPDEEKKLWHSHEWEVKGGFLFMPGVPGAIQRQDLDKVAKTYGKVFHFWQVDLGHELPIGLPNVMMAVTRDGQLFHEMIQEAEKRFGVSVEGERDSRAYMTGPELGIHPLANGGGKGMKLELREVDIKPAESVGSVFV >fgenesh2_kg.4__1190__AT2G32000.1 pep chromosome:v.1.0:4:15116556:15122156:-1 gene:fgenesh2_kg.4__1190__AT2G32000.1 transcript:fgenesh2_kg.4__1190__AT2G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:D7LEC3] MANLPRVLMVAEKPSIALSIASVLSHGQMSTRRGSTEVHEFDGMFRGFKAHYRVTSVIGHVFSVDFPEKYQNWATIDPQDLFDAPILKKESNPKAHICRHLSNEARGCSYMVLWLDCDREGENICFEVIESTGFDMKDSKRKVYRARFSSVTEKDISKAMDNLVEPNRDEALAVDARQEIDLKVGVAFSRFQTSYFQGKYQNLDCRVISYGPCQTPTLGFCVQRYMHINTFKPEKFWALRPYIIKDGYELQLEWERRRLFDLEAATVFQKLVVEGRTAKVMDVSEKQEVKGRPAGLNTVSLLKVASSALGFGPQTAMHLAERLYTQGFISYPRTESTAYPSSFDFTDTLRAQVSNPVWGGYVQRLLSDGFHKPKSGTDAGDHPPITPMRAATEVMVGGDAWRLYQYVCQHFIGTVSPNCKYIRTKVELSIGGETFHCTGQRVTEKGFTAIMPWSAVDEKKLPSFLKGERIEVIRVELYEGNTAPPDYLTESELISLMEKHGIGTDASIPVHINNIGERNYVQVQAGRKLVPTALGITLIRGYQCIDPDLCLPDIRSFIEQQITLVAKGQADHSHVVQHVIQQFRRKFSYFVQQIEHMDALFEAQFSPLADSGRALSKCGKCLRYMKHITAVPPRLFCGTCEEVYYLPQKGTIKLYKELTCPLDNFELVIYSVPGPEGKSFPLCPYCYNSPPFEGIDTLFGASKTPNAPAKTKTGSGMPCSLCPHPTCQHSVRNQGVCACPECEGTLVLDPVSFPKWKLNCNLCSCIVLLPEGAHRIATTSNRCPECDSAIIEIDFNKKTTPLENGATLHQGCVLCDELLLSLVEVKHGRSFVRRGGRGRGRGRGRGRGGRRGSKAVDPKMSFRDF >fgenesh2_kg.4__1191__AT2G32010.1 pep chromosome:v.1.0:4:15126646:15130999:1 gene:fgenesh2_kg.4__1191__AT2G32010.1 transcript:fgenesh2_kg.4__1191__AT2G32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7LEC4] MRDDKSKKNKLSWSKKMVRKWFNIKSKTEKFQADVSVPQRVEVEHRNSFSEREPCTIKKSKTEKLNKNWEQQARQRKMNYENPRIIDVQNHSIFVATWNVAGRSPPEDLNLDEWLHSSAPADIYVLGFQEIVPLNAGNVLGAEDNGPAKKWHSLIRKTLNNLPGTSSVCHTPSPIPVPIAEIDADFSGSSRQKNETFFNRRSFQTPSVWSMEENDPSISQPRFDRRFSVCDRVFFSHRPSDFDPSFRCSHRPSDYSRRPSDYSRPSDYYSRPSNYSRPSDVSRWGSSDDDNGPGDSPSTLLNSPGSCLGSASTENGYRIPWNSSQYCLVASKQMVGIFLTIWVKSELREHVKNMKVSCVGRGLMGYLGNKGSISISMLLHQTSFCFVCTHLTSGQKEGDELRRNSDVMEILKKTRFPRVQSSADEKSPENILQHDRVIWLGDLNYRIALSYRSAKALVEMQNWRALLENDQLRIEQKRGHVFKGWNEGKIYFPPTYKYSNNSDRYAGGDLHPKEKRRTPAWCDRILWHGEGLHQLSYVRGESRFSDHRPVYGIFSAEVESNHKRLKRTTSHSTARVEAEELLPYARGYTELTFF >fgenesh2_kg.4__1193__AT2G32040.1 pep chromosome:v.1.0:4:15144955:15147610:1 gene:fgenesh2_kg.4__1193__AT2G32040.1 transcript:fgenesh2_kg.4__1193__AT2G32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7LED0] MASLNLFSISISPSQITLLPSINNGTRRLAIAARSHRVPRRKIRKRPPDRDMSSIVSIPELPRRRDSEESLLLDSRISVAEGDTSNTNVEEDRDTSSIRTQPPRKERNLSITRSKFYGVELSPDNVAVAMVYFVQGVLGLARLAVSFYLKDDLRLDPAETAVITGLSSLPWLVKPLYGFISDSVPLFGYRRRSYLVLSGLLGAFSWSLMAAFVDSKYSAAFCILLGSLSVAFSDVVVDSMVVERARGESQSVSGSLQSLCWGSSAFGGIVSSYFSGSLVESYGVRFVFGVTALLPLITSAVAVLVNEQRVVRPASGQKENIPLVSPGFLQTSKQNMIQLWSAIKQPNVFLPTLFIFLWQATPHSDSAMFYFTTNKLGFTPEFLGRVKLVTSIASLLGVGLYNGFLKTVPLRKIFLVTTIFGTGLGMTQVILVSGFNRQLGISDEWFAIGDSLILTVLAQASFMPVLVLAARLCPEGMEATLFATLMSISNGGSVLGGLMGAGLTQVFGITKDSFGNLSTLIILCNLSSLLPLPLLGLLPRDSPDTLAKDDADVEMKSN >fgenesh2_kg.4__11__AT2G20990.1 pep chromosome:v.1.0:4:117532:120796:1 gene:fgenesh2_kg.4__11__AT2G20990.1 transcript:fgenesh2_kg.4__11__AT2G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant synaptotagmin [Source:UniProtKB/TrEMBL;Acc:D7LKK9] MGFFSTILGFCGFGVGISLGLVIGYVLFVYLLPNDVKDLEIRSIADQDPKAMLRMLPEIPLWVKNPDFDRVDWINRFLEYMWPYLDKAICKTAKNIAKPIIEEQIPKYKIDSVEFETLTLGSLPPTFQGMKVYLTDEKELIMEPCLKWASNPNILVAIKAFGLKATVQVVDLQVFAQPRITLKPLVPSFPCFANIYVSLMEKPHVDFGLKLGGADLMSIPGLYRFVQEQIKDQVANMYLWPKTLVVPILDPAKAFRRPVGIVHVKVVKAVGLRKKDLMGGADPYVKIKLSEDKIPSKKTTVKHKNLNPEWNEEFKFSVRDPQTQVLEFNVYDWEQVGKHDKMGMNVLALKEMVPNEHKAFTLELRKTLDGREEGQTDKYRGKLEVELSYKPFTEEEMQAVQKAPEGTPATGGMLVVIVHSAEDVEGKHHTNPYVRIYFKGEERKTKHVKKNRDPRWNEEFSFMLEEPPVREKLHVEVLSNSSRIGLLHPKETLGYVDIPVVDVVNNKRMNQKFHLIDSKNGKIQIELEWRTVS >fgenesh2_kg.4__1200__AT1G36920.1 pep chromosome:v.1.0:4:15166001:15167681:1 gene:fgenesh2_kg.4__1200__AT1G36920.1 transcript:fgenesh2_kg.4__1200__AT1G36920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVKSITTAEGPTKLLNLQLKDLGDSLIDVALWGKLAEDVYSNIKSQPSGPVVFLGSLMKTLLYQGKGTVQSSKFTTKAYINSPLPEILQFQEANKFLLDLDGRNYVFKIMVKDEAKYNQSSTYKVISLTDVPDVIQSFSESAYTLNAIEQEIITVSGNSVGTSIGTSTQAEINFVDMEKLDNNEDNAYNVSTPKPTTKRSLATSKDVQQSSTKPKLMSKAQIKKEKK >fgenesh2_kg.4__1201__AT2G32090.1 pep chromosome:v.1.0:4:15168248:15169693:1 gene:fgenesh2_kg.4__1201__AT2G32090.1 transcript:fgenesh2_kg.4__1201__AT2G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGHIARESSDIKRLAEFYKEVFGFEEIESPDFGDLKVIWLNLPGAFAMHIIQRNPSTNLPEGPYSATSAVRDPSHLPMGHHICFSVPNFDSFLHSLKEKRIETFQKSLPDGKVKQVFFFDPDGNGLEVASRS >fgenesh2_kg.4__1202__AT2G32100.1 pep chromosome:v.1.0:4:15171732:15172659:1 gene:fgenesh2_kg.4__1202__AT2G32100.1 transcript:fgenesh2_kg.4__1202__AT2G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKILWKSLHLCFPSNLTKCYSSPCIPPSSADQDFTDQPSRPSIVLLNNFNLLYHHDNNQHHRVTDLPSSSATTTPAATSSSSTSSYESDISPDVSAAFASRRFFFSSPGRSNAITDSPETRSREFSDNYDNATITSTKKKKKNYDTTVTTTTRLISGGTAVTQNVDSPDPLTDFRRSMQEMIDAAIDAGELSRDPNDGYDFLDELLLTYLSLNPTDTHKFVIRAFSDILVSLLSEERRIC >fgenesh2_kg.4__1206__AT2G32190.1 pep chromosome:v.1.0:4:15215113:15215901:1 gene:fgenesh2_kg.4__1206__AT2G32190.1 transcript:fgenesh2_kg.4__1206__AT2G32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFSQNQSSGAYPSPPVSTGPYVAPPPLGYPTNDTSHAPVAPVETKSKGDGFLKGCLAAMCCCCVLDACF >fgenesh2_kg.4__1209__AT2G32235.1 pep chromosome:v.1.0:4:15232555:15233923:-1 gene:fgenesh2_kg.4__1209__AT2G32235.1 transcript:fgenesh2_kg.4__1209__AT2G32235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLETLIASSQEADYSYDPEEDDIDLSLLRLNSFGNSSDRRRANSSPPQFKSYGSFGSSSTTATTSPVKRPSPESKEGDEPRRKKLFIPRPEEEEDTSLMGYSKIPLPLVDFNPTQIRSPLYKRSLSDTFASPVGSTFGSGYTRNSVGQETSPPSGNVPSLPPRPPMFRRSVSDLSPAPSSKSLLGSSRSNATPEGDLVTPESSDANKMLYIIKDGVRELDQWCNKLLKYGEAVSSGSVKQDDSPKAEDEVVQEEQPKECKEGVKVNRLGEAFVVEINCPCGRNYQTLFSGRDCYYKLL >fgenesh2_kg.4__120__AT2G21950.1 pep chromosome:v.1.0:4:623272:624629:-1 gene:fgenesh2_kg.4__120__AT2G21950.1 transcript:fgenesh2_kg.4__120__AT2G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSSGDEPPETKSAAQLIPLLSDDVALNCLARVSRCHHPILSLVSKTFRSLPTSPLLYATRSLVGATENILYVAIRLPPESGACWFTLLHRTLSSSTNSKMLVPIPSCPSPSLVGSAYVVVDSDIYVIGGSIRDVPSSSVWVLDCRFHTWRRVSNMRVGREFAAAGVIDGKIYVIGGCVVDNWARSINWAEMFDIKTQTWEPVASPGMEVREKWMHASAVMEGKVYAMADRNGVVYEPKEKKWDMPEKRLDLGWRGRACVIDNILYCYDYLGKIRGYDPKERIWKELKGVESLPKFLCGATMANRGGKLAVLWEGKAGSGGSRRMEIWCAEIDVERRGEGDIWGKIVWSGTVLTVPNESAIVNCLAATV >fgenesh2_kg.4__1214__AT2G32260.1 pep chromosome:v.1.0:4:15247302:15250457:1 gene:fgenesh2_kg.4__1214__AT2G32260.1 transcript:fgenesh2_kg.4__1214__AT2G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP:phosphocholine cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LEW5] MSNVTGDRTDDGLSTAAAGSGSTAVQSSPPTDRPVRVYADGIYDLFHFGHARSLEQAKLEFPNTYLLVGCCNDDTTHKYKGRTVMTAEERYESLRHCKWVDEVIPDAPWVVNQEFLDKHHIDYVAHDSLPYADSSGAGKDVYEFVKKVGRFKETMRTEGISTSDIIMRIVKDYNQYVMRNLDRGYSREDLGVSFVKEKRLRVNMRLKKLQERVKEQQERVGEKIQTVKMLRNEWVENADRWVAGFLEIFEEGCHKMGTAIVDSIQERLMRQKSGERLENGQDDDTDDQFYEEYFDHDMGSDEDEDEKFYDEEEIKEEEKEQKVMADAKDNK >fgenesh2_kg.4__1217__AT2G32280.1 pep chromosome:v.1.0:4:15275563:15276813:1 gene:fgenesh2_kg.4__1217__AT2G32280.1 transcript:fgenesh2_kg.4__1217__AT2G32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIGGILVCLVIVGLDVAAAILGIQAEVAQNQVKHMRLWLFECREPSQDAFRLGLGAAAILVMAHVLLNLVGGCLCICSQDEFQRSSSTRQISMACLVLTWIVFAVGFGSIVIGTMSNSKSRSSCGFTHHHFLSIGGILCFLHALFCVAYYVSATAAKDEAK >fgenesh2_kg.4__1218__AT2G32290.1 pep chromosome:v.1.0:4:15277207:15279563:-1 gene:fgenesh2_kg.4__1218__AT2G32290.1 transcript:fgenesh2_kg.4__1218__AT2G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:D7LEX0] MTSVLGVMNPNLINGRNLHKGSLIFVKVEEIKKRGQWRFSIKEKSLRTPQATASSTTEPKTTEFNTTTYENKMLTNYVPVYVMLQLGVITNDNVLENEENLKRQLKKLKQSQVDGVMVDVWWGIVESKGPKQYQWSAYRNLFAIVQSFGLKLQAIMSFHRCGGNIGDDVNIPIPKWVLEIGDSNPDIFYTNKSGNRNKECLSLSVDNLSLFRGRTAVEMYRDYMKSFRENMEDFINSGVIIDIEVGLGPAGELRYPSYSETQGWVFPGIGEFQCYDKYLRSDYEEEVRRIGHPEWKLPENAGEYNNVPEETEFFEYSNGTYLKEEGKFFLSWYSRKLRLHGDQILDEANKVFLGCKLKIAAKVSGIHWWYKTESHAAELTAGYYNLKTRDGYRAIARMMGRHHAILNFTCLEMKNTEQPAKAKSGPQELVQQVLSSGWREGIEVAGENALPRFDRNGYNQIILNARPNGINQDGKPRMFGFTYLRLSDKLLREPNFSRFKMFLKRMHANQEYCSEPERYNHELFPLERSRNDESLEKFMEETEPVDPFPWLEETDMSIRPFESILSLLQSTFLRKKS >fgenesh2_kg.4__1219__AT2G32295.1 pep chromosome:v.1.0:4:15281548:15284165:1 gene:fgenesh2_kg.4__1219__AT2G32295.1 transcript:fgenesh2_kg.4__1219__AT2G32295.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS family protein [Source:UniProtKB/TrEMBL;Acc:D7LEX1] MPVNSPHLRKSGSRHIVTNLGDNDLKTASMLLSTYAKLQTPIFLRSLKVALYIGGLYVCGKIGFESVMKMGVDTRELFFYETFLYYNPLLLITLMVWLWGVNLWVFSRSGVDYAAIFYLGPDHLSHKEIWKVALYFSAVIILIIPFDIFYMPSRYYLLWTFWRILFPVQAVTFSDFFLADILTSMSKVLSDLERSVCRMVHRQVATVAWFEADSVCGSHSAAIPLVLVLPYLFRLFQCIRQYKDSKDIANIYNAGKYLTAVPVIFLSALKYYIDPDTWTYSIQPAWILAGLANTFFSFFWDILRDWDLSVFTRIFKFSRPNLFSHLLYGRRWVHVWVIGSNLVLRWTWTYKLSAHLRNNYITVFIITALEIYRRFQWAFFRIENVWYKINNPKHTSHQSNPLSLQNDIDSEHEKLLAHSHSPGV >fgenesh2_kg.4__1220__AT2G32300.1 pep chromosome:v.1.0:4:15284424:15285515:1 gene:fgenesh2_kg.4__1220__AT2G32300.1 transcript:fgenesh2_kg.4__1220__AT2G32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDMLIIISVVTTTLLGLAVATDHTIGGPSGWTVGASLRTWAAGQTFAVGDNLVFSYPAAFHDVVEVTKPEFDSCQAVKPLITFANGNSLVPLITPGKRYFICGMPGHCIQGMKLEVNVVPTATTAPTAPLPNTVPSLNAPSPSSVLPIQPLLPLNPVPILSPSPSTPLPSSSLPLIPPLSPALSPATPAGTSLPLFPGSPGSSSSTSTKTVGTFPSSTTDTTADLAGAGSPPADSSSAAKTLVLGFGFMVAMILHLF >fgenesh2_kg.4__1224__AT2G32360.1 pep chromosome:v.1.0:4:15299763:15300239:-1 gene:fgenesh2_kg.4__1224__AT2G32360.1 transcript:fgenesh2_kg.4__1224__AT2G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LEX7] MKVSVEIITGTFIDADVSEDTTVKELKEKIAAEVKLPVTRLILVIGDEETRRMVMEDEDDMMLRDLGVGEDSHMYLFFKHPDLVSEEEESKGGEEDDDDPMEEVSSEAESPRGNEEEDEKPKIDGEEKDQAMKDEEEDSDEKVEEDEEAKQNEKDGEAK >fgenesh2_kg.4__1226__AT2G32380.1 pep chromosome:v.1.0:4:15311815:15313125:-1 gene:fgenesh2_kg.4__1226__AT2G32380.1 transcript:fgenesh2_kg.4__1226__AT2G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCKLIDAVLFLYFALMAVIAPLIDGQTSLPGDIFPAFIVDLNRWYIDEFGDYLVKDKPHFLVGLVWHELLLLWPLSIVNVYAILAGKSWFSTTSMVYGASVVTSMAAILGEMIGSGKASEKLLIIYVPFMGIGILALLRGLLSQSNKSGGAVGKRPAILARRKRA >fgenesh2_kg.4__1228__AT2G32400.1 pep chromosome:v.1.0:4:15319548:15323808:-1 gene:fgenesh2_kg.4__1228__AT2G32400.1 transcript:fgenesh2_kg.4__1228__AT2G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7LEY2] MGLGVDPFVAITALILVILVVPMDCQRPQVVNIGAVFAFDSVVGRAAKVALEAAVSDVNADTTVLKGTKLRLLMEDSGCNVFRGSFGAFELLEKEVVAIIGPISSSVAHTISDIAKGLHFPLVSFAATDPTLSALQFPFFLRTTPNDAHQMSALVDLINFYGWKEVISVYSDDELGRNGISALDDELYKKRSRISYKVPLSVHSDEKFLTDALNKSKSIGPRVYILHFGPDPSLRIFDTAQKLQMMTHEYVWLATDWLSVTLDSSLSDKGTLKRLEGVVGLRQHIPESEKVQQFTQKLHSNRSMNAYAFHAYDTVWMIAYGIEKLLNQGINITFSYSEKLLHARGTKLHLEKIKFFNSGELLLEKLLKVNFTGIAGQVQFGSGRNVIGCEYEIINVDKTGVHTVGFWSKNGGFSVGAPKTRHSQKKTRFGSDEKLGDITWPGGGREKPRGWVIADSADPLKIVVPRRVSFVEFVNEEKNSSHRIQGFCIDVFIEALKFVPYSVPYIFEPFGNGHSSPNYNQLIQMVTDGVYDAAVGDIAIVPSRSKLVDFSQPYASTGLVVVIPANDDNATWIFLRPFTIRLWCVVLVSFLVIAVVIWILEHRINEDFRGPPRRQFTTMILFSFSTLFKRNQEDTISNLARLVMIVWLFLLMVLTASYTANLTSILTVQQLPSAITGIDSLRASEVPIGYQAGTFTLEYLTYSLGMARSRLVPLDSTEEYEKALKLGPTNWGGVAAIVDELPYIELFLAERTGFKIVGEPFMHRGWGFAFKRDSPLAIDMSTAILKLSETRKLQEIRKKWLCKKNCAEKSNWNPEPNQLHLKSFKGLYLVCIAITVSAFIVFVLRMIRQFVRYRRMERTCSLPRASWSSSPSMRLRELVFDFVEFVDEKEEAIKRMFRRSDDSNNNPSHVGEVQADTEVPRN >fgenesh2_kg.4__122__AT2G21970.1 pep chromosome:v.1.0:4:626929:627817:-1 gene:fgenesh2_kg.4__122__AT2G21970.1 transcript:fgenesh2_kg.4__122__AT2G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATRAIRCQLPSPRSLSPRCESSEPIKQIQIQQRPRGGDLAEKGKIVLQPRLCTLRSYGSDMVIAKRDGGDGGGGSEVELSSSPFFETLTDYIESSKKSQDFETISGRLAMIVFAATVTEEVVTGNSLFKKLDVEGLSEAIGAGLAAMGCAAIFAWLTISRNRVGRIFTVSCNSFIDSLVDQIVDGLFYDTKPSDWSDDL >fgenesh2_kg.4__1231__AT2G32440.1 pep chromosome:v.1.0:4:15343934:15346659:1 gene:fgenesh2_kg.4__1231__AT2G32440.1 transcript:fgenesh2_kg.4__1231__AT2G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-kaurenoic acid hydroxylase [Source:UniProtKB/TrEMBL;Acc:D7LEY6] MTEPGLILMWFPLMVLGLFVLKWVLKSVNVWIYESKLGEKKHYLPPGDLGWPIIGNMWSFLRAFKTSDPESFIQSYITRYGRTGIYKAHMFGYPCVLVTTPETCRRVLTDDDAFHIGWPKSTMKLIGRKSFVGISFEEHKRLRRLTSAPVNGPEALSVYIQFIEETVITDLEKWSKMGEIEFLSHLRKLTFKVIMYIFLSSESEHVMDALEREYTNLNYGVRAMGINLPGFAYHRALKARKKLVAAFQSIVTNRRNQRKQNISSNRKDMLDNLIDVKDENGRVLDDEEIIDLLLMYLNAGHESSGHLTMWATILMQEHPEILQKAKEEQERIVKNRALGQKLTLKETREMEYLSQVIDETLRVITFSLTAFREAKSDVQIDGYIIPKGWKVLTWFRNVHLDPEIYPDPKKFDPSRWEGYTPKAGTFLPFGLGSHLCPGNDLAKLEISIFLHHFLLRYRVERSNPGCPVMFLPHNRPKDNCLARITKTTP >fgenesh2_kg.4__1232__AT2G32450.1 pep chromosome:v.1.0:4:15347168:15349821:1 gene:fgenesh2_kg.4__1232__AT2G32450.1 transcript:fgenesh2_kg.4__1232__AT2G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7LEY7] MTTRGSRSEKVKRIFQQFDGNRDGGLSREEMSALVVAVNPRVKFSDEQISAILDEVFRTYAEFIDGDKGLTFDGLLRTYDDGAGDVDRDFDALGIEFNADESKGGSEASSSSITDERAVEAQKQQRTAAWAVSPNHGIVFDETWKLVDDLEILVKRLKSKQEKDGKLKVDNNNNVDAFSDAGWSRELGPSSDISDKRIYWEESTHDYAVFVKELGVLRSKADGARSREEAFDGHMAIGRVLYEHQLFKEALVSFKRACELQPTDVRPHFKAGNCLYVLGKYKESKDEFLLALEAAESGGNQWAYLLPQIYVNLGISLEGEGMVLSACEYYREAAILCPTHYRALKLLGSALFGVGEYRAAVKALEEAIYLKPDYADAHCDLASSLHAMGEDERAIEVFQRAIDLKPGHVDALYNLGGLYMDLGRFQRASEMYTRVLAVWPNHWRAQLNKAVSLLGAGETEEAKRALKEALKMTNRVELHDAVSHLKQLQKKKVKKGNSANEEGPFIVVEPSKFKTVGEKTTLRPDLAIALQIRAFQRVTRLWKCDVEALRREMRDNNVPVSYSGNGVPTKSIRRPNLEEILRRLLNVLKPETFQGAIKAINEKILSVLDDSGSGRVDLGMFYAVIAPLCGGHPDKRKRVAFDALLWKPENEGSSQITKMEAVNYIKLLRAIYIPSQGMSEMLEVHGETDDTSTVTFNQFLEMYDDSEWGFGIMSTVFKLETRDRNRHGNHVCSVCRYPIIGSRFKEVKTGFSLCNQCYSEGKIPSTFKQQEEYKFREYGSEVEAMKAKCVCFSMQSHKKQ >fgenesh2_kg.4__1233__AT2G32460.2 pep chromosome:v.1.0:4:15351193:15353433:-1 gene:fgenesh2_kg.4__1233__AT2G32460.2 transcript:fgenesh2_kg.4__1233__AT2G32460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtM1/AtMYB101/MYB101 [Source:UniProtKB/TrEMBL;Acc:D7LEY8] MEGRGLKKGPWTTTEDAILTEYVRKHGEGNWNAVQKNSGLLRCGKSCRLRWANHLRPNLKKGSFTPDEEKIIIELHAKLGNKWARMASQLPGRTDNEIKNYWNTRMKRRQRAGLPLYPHEIQHQGIDNDDEFEFDLTSFQFQNQDLDHNHQNMIQYTNSSNTSSSSSSFSSSSSQPSKRLRPDDPLVTTNPGLNPIPDSSMDFQMFSLYNNSLENDNNQFGFSVPLSSSSSSNDPNHILEFISENSDTNNTNKKDIDAMSYSSLLMGDLEIRPSSFPLGLENSVLELPSNQTPTHSFSSNPILDNGVHLEPPAGNSGLLDALLEESQALSRGGVFKDVRVSSSDLCEVQDKRVKMDFENRLIDHLNSSHHSSLGTNPNIHNKYNEPTLMVKTTVDDDDDLLMSLLNNFPSATTPLPDWYRVTEIQNEASYLAPPSGSILMGNHQGNGRVEPPTVPPSSSVDPMASLGSCYWSNMPSIC >fgenesh2_kg.4__1235__AT2G32480.1 pep chromosome:v.1.0:4:15356631:15358192:-1 gene:fgenesh2_kg.4__1235__AT2G32480.1 transcript:fgenesh2_kg.4__1235__AT2G32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNISSSPISHRNPHFFNNPISYFPRRSKTHLSKSHLFPKFTPLSNQSLKNRVLFGNKRYPNGERFDFRARAISGIDLGSFESVLEAIAVLTTIIVVHESGHFLAASLQGIHVSKFAIGFGPILAKFDYNNVEYSLRAFPLGGFVGFPDNDPDSEIPIDDENLLKNRPTLDRSIVVSAGIIANVIFAYAIIFVQVLSVGLPVQEAFPGVLVPEVKTFSAASRYGLLSGDVIIAVDGTELSKTGPDAVSKIVDIVKRNPKSDVLFRVERGNKDFDIRVTPDKNFDGTGKIGVQLSPNVRITKVRPRNIPETFRFVGREFMGLSSNVLDGLKQTFFNFSQTASKVAGPVAIIAVGAEVARSNIDGLYQFAALLNINLAVINLLPLPALDGGTLALILLEAVRGGKKLPVEVEQGIMSSGIMLVIFLGLFLIVKDTLSLDFIKEML >fgenesh2_kg.4__123__AT2G21990.1 pep chromosome:v.1.0:4:629190:630518:-1 gene:fgenesh2_kg.4__123__AT2G21990.1 transcript:fgenesh2_kg.4__123__AT2G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQRPRASGASSSTDSYSIPSGSPSPSPSPAPRHHVTLLEPSHQNKKKSKKVFRVFRSVFRSFPIITPAACKIPVLPGGSLPDPHRSGSSGSRVTGTLFGYRKGRVSLSIQESPRCLPSLVVELAMQTMVLQKELSGGMVRIALETEKRGDKEKTKIMDEPLWTMFCNGKKTGYGVKRDATEEDLNVMELLRPVSMGAGVLPGNSEVEGPDSEMAYMRAYFERVVGSKDSETFYMLSPEGNNGPELSIFFVRV >fgenesh2_kg.4__1240__AT2G32540.1 pep chromosome:v.1.0:4:15394077:15398259:1 gene:fgenesh2_kg.4__1240__AT2G32540.1 transcript:fgenesh2_kg.4__1240__AT2G32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSPLPPLCERISHNSYLLRAVDLTILGLLFSLLLYRILHVNQNDTVWVVAFLCESCFSFVWLLITCIKWSPADYKTYPDRLDERVHDLPSVDMFVTTADPVREPPIIVVNTVLSLLAVNYPANKLACYVSDDGCSPLTYFSLKEASKFAKIWVPFCKKYNVNVRAPFMYFLNPPTATESSEFSKDWEMTKREYEKLSQKLEDATGRSHWLDPEDDFEAFSNTISNDHSTIVKVVWENKGGVGDEKEVPHVVYISREKRPNYFHHYKAGAMNFLVRVSGLMTNAPYMLNVDCDMYANEADVVRQAMCIFLQKSMNSNHCAFVQYPQDFYDSNADELTVLQLYLGRGIAGIQGPLYGGSGCFHTRRVMYGLSLDDLEDDGSLSSIATRKYLAEESLAREFGKSKEMVKSVVDALQRKSYLHNTLKDSLEAAQEVGHCHYEYQTSWGNTVINIGWLYDSTAEDVNTSIGIHSRGWTSSYILPDPPAFLGCMPQGGPEAMVQQRRWATGLLEVLFNKQSPLIGMFRRKIRFRQSMAYLYVFSWGLRSIPELFYCLLPAYCVLHNSALFPKGVYLGIIVTLVGMHCLYTLWEFMSLGFSVQSWYVSQSFGRIKTTCSWLFSILDIILKLLGISKTVFIVTKKTMPETKSGSGSEKSQGEVDCPNKDSGKFEFDGSLYFLPGTFIVLVNLAALAGCLVGLQRHGGGGSGLAEACGCILVVILFLPFLKGMFEKGKFGIPLSTLSKAAFLAVLFVVFSVGN >fgenesh2_kg.4__1242__AT2G32560.1 pep chromosome:v.1.0:4:15405374:15407559:1 gene:fgenesh2_kg.4__1242__AT2G32560.1 transcript:fgenesh2_kg.4__1242__AT2G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LF00] MLLYFLITCLSFFFFTKSLSLPPWASETKTLLSFYFIKNPFMNSLHQTTHDPASPVIDQMSVLDLPELVLDCILDLLPPSGLCSMARVCSSLRERCVSDHLWEKHLKTKWGKILGPAAHREWQCFISSTYHLDSPHQQTGNLGFAKIISLIRSLSSVFRDDKQMRVYTSSLPLDSSMSCYLSLETGRFWFPAQVYNRENGHVGFMLSCYDAELSYDTHTDTFLARYPPHGRRAAAVEKGVTWDRIRAAPIDASPHHLYVSDSLKELKPGDHIEIQWRRNKEFPYGWWYGVVGHLESCDGDLNHCHCQLSETVVLKFNQYTVGSRWRRTMVMRDHKEEGNEEDGFYGGIRKINCKEEIAMWKRHWPCSILE >fgenesh2_kg.4__1243__AT2G32580.1 pep chromosome:v.1.0:4:15408518:15410016:1 gene:fgenesh2_kg.4__1243__AT2G32580.1 transcript:fgenesh2_kg.4__1243__AT2G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHTAALKVGLALLALSMIGYILGPPLYWHLTEALAVSATSCSACVCDCSSSPLLTIPTGLSNGSFADCAKHDPEVNEDTEKNYAELLTEELKQREAASMEKHKRVDTGLLEAKKITSSYQKEADKCNSGMETCEEAREKAEKALVEQKKLTSMWEQRARQKGYRDGATKSTVKSKSSSQVA >fgenesh2_kg.4__1245__AT2G32600.1 pep chromosome:v.1.0:4:15414488:15416579:-1 gene:fgenesh2_kg.4__1245__AT2G32600.1 transcript:fgenesh2_kg.4__1245__AT2G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7LF03] MDREWGSKPGSGGAASGQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPTKPQPLKRNVSVRRTVKIGRPGYRVTKQYDPELQQRSLLFQIEYPEIEDNIKPRHRFMSSYEQKVQPYDKRYQYLLFAAEPYEIIAFKVPSTEVDKSTPKFFSHWDPDSKMFTLQVYFKPTKPEQNKPQSAVGANGLPPPPPPPPQAQPPPPPPSGLLPPPPPPMANNGFRPMPAAGGFGHPNM >fgenesh2_kg.4__1247__AT2G32630.1 pep chromosome:v.1.0:4:15428016:15429876:1 gene:fgenesh2_kg.4__1247__AT2G32630.1 transcript:fgenesh2_kg.4__1247__AT2G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LF08] MSSSSSSRKLSRLFNVKQPEESRRAWWIGKLQSNPSLLFNLNSNVTRLVLSDPSLATQSCIDFFKFLREFESNLKPDLTAVVTLSHRLYSNRRFSEMRLLLNSVVNDGFYERPVEGLGSAMVDCDISEEKFEFWEKFFDLVFRVYVDNGMFEEGLRVFDYMVKKGLSIDERSCIVFLVAAKKRRRIDLCLEFFRRMVDSGVKITVYSLTIVVEGLCRRGEVEKSKKLIKEFSGKGIKPEAYTYNTIINAYLKHRDFSGVEKILKVMKKDGVVYNKVTYTLLIELSVKNGKMNDVEKLFDEMRERGIESDIHVYTSLISWNCRKGNIKRAFLLFDELTEKGLLPSSHTYGALIDGVCKVGEMGAAEILMNEMQSKGVNITQVVFNTLINGYCRKGMIDEASMIYDVMEKKGFQADVFTCNTIASCFNRLKRYDEAKQWLFRMMEGGVRLSTVSYTNLIDVYCKEGNVEEAKRLFVEMSSKEVQPNAITYNVMIYAYCKQGKVKEARKLWANMKANGMDPDSYTYTSLIHGECIADNVDEAMRLFSEMGLKGLDQNSVTYTVMISGLSKAGKSDEAFGLYDEIKRKGYTIDNKVYTALIGSMHSPET >fgenesh2_kg.4__1248__AT2G32640.2 pep chromosome:v.1.0:4:15430096:15434248:-1 gene:fgenesh2_kg.4__1248__AT2G32640.2 transcript:fgenesh2_kg.4__1248__AT2G32640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLQIQQSNGFVSSVSRARTNRGRRRASNTVCVQTQKIMEKISVGGEAGGAGGAYSYNALKRLDNIWSNICTQPTGPQETQQIVSRVSGFSQDYGMGNNLVGTFDIVVCGGTLGIFLATALCAKGLRVAVVERNAIKGRDQEWNISRKEMKELIEVGVLTEDEIEEVISAKFNPNRCGFENLGDIWVEDILNLGVSPAKLVETVKRRFVSLGGVILEDCSLSSIVIYDDLAVMQLSKGDTLSSRLVIDAMGNFSPILKQIKRGRKPDGMCLVVGSCAHGFKENSSSDVIYSSSSVTKVADSNVQLFWEAFPAGSGPLDRTTYMFTYTEPQSTSPSLEDLLEEYWKLMPKYQGVSLDELEILRVVYGIFPTYRNSSYDSIYGS >fgenesh2_kg.4__124__AT2G22000.1 pep chromosome:v.1.0:4:632693:633696:1 gene:fgenesh2_kg.4__124__AT2G22000.1 transcript:fgenesh2_kg.4__124__AT2G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LCM8] MEVKGEEERRSRREDEEKEDYYSLLNSPCSACHKVVQAILKCLGLESSSIPPPSSSSSSSLEEEEDPGTETVEETGFIARITGVLRRRPRPPYSSGRPGQNN >fgenesh2_kg.4__1250__AT2G32180.1 pep chromosome:v.1.0:4:15434448:15435568:1 gene:fgenesh2_kg.4__1250__AT2G32180.1 transcript:fgenesh2_kg.4__1250__AT2G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC18 [Source:UniProtKB/TrEMBL;Acc:D7LF10] MASLIMTTPFPGSVTTCKKTNNLYVQRAFRVTCMQTEKPLEELYNVKVERKVSQKRLEELGVSRWSVWKTGKCKLPWDWQVDQLVYIEEGEVRVVPEGSKRYMQFLAGDLVRYPKWLEADLFFNAPYRERYCFKAYGDD >fgenesh2_kg.4__1255__AT2G32670.1 pep chromosome:v.1.0:4:15454829:15462843:1 gene:fgenesh2_kg.4__1255__AT2G32670.1 transcript:fgenesh2_kg.4__1255__AT2G32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQNLIYSFVARGTVILAEYTEFKGNFTSVAAQCLQKLPSSNNKFTYNCDGHTFNYLVENGFTYCVVAVESVGRQIPMAFLERVKEDFNKRYGGGKATTAQANSLNREFGSKLKEHMQYCVDHPDEISKLAKVKAQVTEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRAQGTKMRRKMWFDNMKIKLIVLGIIITLILIIILSVCGGYKCT >fgenesh2_kg.4__1257__AT2G32690.4 pep chromosome:v.1.0:4:15467344:15468184:-1 gene:fgenesh2_kg.4__1257__AT2G32690.4 transcript:fgenesh2_kg.4__1257__AT2G32690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGKVCVFIFVFALVAEFVFGNVEVNDDKHFFHKPRPFLHKPRPFFHKHGIYKKGFGKGLGGGGGLGGGGGLGGGGGLGGGGGLGGGGGLGGGSGLGGGGGLGGGSGLGGGGGLGGGGGGGVGGGGGFGGGGGGGFGGGAGGGFGKGIGGGGGFGGGGHH >fgenesh2_kg.4__125__AT2G22010.1 pep chromosome:v.1.0:4:633960:639549:-1 gene:fgenesh2_kg.4__125__AT2G22010.1 transcript:fgenesh2_kg.4__125__AT2G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LCM9] MAEDSLRVGMISSGLAVLLNGEDAKENSSKARIVPHFDYSGHRPLERTIEFIFGLAEKSVGPLDGQVDISLIRAVIKNQFSKLHGELDVSVSQREGISVVHHGVGPPVVGLEEYSLCGDIRIVKPPLVLESLALFSSARANACIWKGKWMYEVALETSGIQQLGWATLACPFTDQKGVGDADDSYAFDGRRVSKWNKEAEPYGQSWVAGDVIGCCIDLNCDEIYFYRNGVSLGAAFTGIRKLGPGFGYYPAISLSQGERCELNFGAYPFKYPVEGFQPLQEAPPRFSFATELLRCFSRLLDRPDRSLADTLSRLRRFASVEELFSPVSSAICDEFFYILEQDPLLPEYLGRGAFLSFLLEIFRSQAPHDSSSLDKVLDVLLEFPQSHLIFEHVVNALACGCKTATLILTECPYSGPYPYLALACHLLKREELMVQWWRSLHFEFLFEGFLSCRSSNKHDLQQLMPVVWWPGSSEDISHESSMGFTISALSEAINKIEEKQRNLCLLVIQFIPPVSPPQLPGSAFRGFLQNLLLKNRGADRTLAPSGVTRNSVLVSLFSVVLHFLSEGFAMLKSSEAVHHNVGFLHRGGQQKFPLSLFLKNDPHRADITRLGGLFSHISKSYPTDDQEEEIMRWEEGCMDDEQNRVTHATEQKPCCCLAYDTDLTKSLKDRGKNTAQSSCGRCSSIPESSSHVAAECSAGSFSEEIEDKPSTSNQSDPDFGYRPVRFMRTALQESRISSAILSEEELLDALLLLYHIAVAPNFKQASYYMSHQTQSISLLEETDKQIRERASSDQLKRLKEARNNYKEDVMECVRHSAWFRISLFSRWKQRGMYALCMWVVQLLLVLSKMDSVFVYIPEFYVESLVDCFHVLRKSDPPFVPSTTFIKQGLSSFITFVVTHFNDSRISNTDLKDLLLQSISVLVQYKEYLEAFENNEAATKHMPAALLAAFDNRSWIPVTNIFLRLCKGSGFSSLKNGESSVSSTVFQALLRDACINDGELLSTFLNRLFNTLSWTITEFSVSVREMQEKYQVMEFQQRKCCVIFELSSNLARVLEFCTYAIPQAFLAGTDTNLRRLTELILFILNHMTSAVDDEFFDLSLRRQGQPSEKVSRGVLLAPLVGIILNLLEASEDSKPKQHDVIGLFASMDCPDTVYFGFQYLLEYNWDGCVSGDDAYVKKLGQLENFLNNLINRASSQEPERKEELFNKDTTDIEDNTCCICYAGEANAMIAPCSHRSCYGCITRHLLNCQRCFFCNATVIDVIRDKEVEDDDDHKRST >fgenesh2_kg.4__1261__AT2G32700.1 pep chromosome:v.1.0:4:15472303:15477685:1 gene:fgenesh2_kg.4__1261__AT2G32700.1 transcript:fgenesh2_kg.4__1261__AT2G32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LF16] MAQGNWEADKMLDVYIYDYLVKKKLHNTAKSFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEAQQGKAKEQQMQIQQLQMMRQAQMQRRDPNHPSLGGPMNAIGGSEGMIGQSNASALAAKMYEERMKQPNPMNSETSQPHLDARMALLKSATNHHGQIVQGNHQGGVSAALQQIQSRTQQPTEIKTEVNMGTSPRQLPVDPSTVYGQGILQSKPGMGSAGLNPGVSGLPLKGWPLTGIEQIRPGLGGPQVQKSFLQNQSQFQLSPQHHQQQILAQVQAQGNMTNSPMYGGDMDPRRFTGLPRGNLNPKDGQQNANDGSIGSPMQSSSSKHISMPPVQQSSSQQQDNLLSQQSQQNNRKRKGPSSSGPANSTGTGNTVGPSNSQPSTPSTHTPVDGVAIPGNMHHVNSMPKGPMMYGSDGIGGLASSANQLLQDDMERFGDVGALEDNVESFLSQDDGDGGSLFGTLKRHASEHTETSKGFSFNEVSSIRKSASKVICCNFSSDGKLLASAGHDKKVFIWNMETLQVESTPEEHAHIITDVRFRPNSTQLATSSFDKTIKIWDASDPGYFLRTISGHAAPVMSIDFHPKKTELLCSCDSNNDIRFWDINASCVRAGASTQVRFQPRTGQFLAAASENTVSIFDIENNNKRVHIFKGHSSNVHSVCWSPNGELVASVSEDAVKLWSLSSGGCVHELENSGNKFHSCVFHPSYPDLLVIGGYQSIELWNTKENKCMTIAGHECVISALAQSPSTGMVASASHDKSVKIWK >fgenesh2_kg.4__1268__AT2G32720.1 pep chromosome:v.1.0:4:15482275:15484011:-1 gene:fgenesh2_kg.4__1268__AT2G32720.1 transcript:fgenesh2_kg.4__1268__AT2G32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B5 #4 [Source:UniProtKB/TrEMBL;Acc:D7LFK3] MGEEAKIFTLSEVSEHNQAHDCWIVINGKVYNVTKFLEDHPGGDDVLLSSTGKDATDDFEDVGHSESAREMMEQYYVGEIDPTTIPKKVKYTPPKQPHYNQDKTSEFIIKILQFLVPLAILGLAVGIRIYTKSG >fgenesh2_kg.4__1269__AT2G32730.1 pep chromosome:v.1.0:4:15484924:15490664:1 gene:fgenesh2_kg.4__1269__AT2G32730.1 transcript:fgenesh2_kg.4__1269__AT2G32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMVSSAGGLLAMLNEPHPALKLHALSYLNNLVDQFWPEISTSVPIIESLYEDEEFDLHQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDVSEDSDYVHTLLAKAIDEYASLRSKAVESNEMVDIDPRLEAIVERMLGKCISDGKYQQAMGIAIECRRLDKLEEAITKSDNVQGTLSYCINVSHSFVNRREYRHEVLSLLVKVYQKLPSPDYLSICQCLMFLDEPQGVASILEKLLRSESKDDALLALQIAFDLVENEHQAFLLSVRDRLPAPKTRPVEATQAVETTTAPNENPLGDVQMADETPAQTIVHETDPVDATYAERLTKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTNVEVIQHGACLGLGLSALGTADEEIYDDVKSVLYTDSAVAGEAAGISMGLLLVGTATEKASEMLAYAHETQHEKIIRGLALGIALTVYGREEGADTLIEQMTRDQDPIIRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSDPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQISEASDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKVTAVIGLAVFSQFWYWYPLIYFISLAFSPTAFIGLNYDLKVPKFEFMSHAKPSLFEYPKPTTVPTANTAVKLPTAVLSTSVKAKARAKKEAEQKAIAEKTSGPEKPVNESGSGKGKASTEKEGDSMQVDSPAAVEKKAAEPEPAFEILVNPARVVPAQEKYIKLLEDSRYVPVKLAPSGFVLLKDLRQHEPEVLSLTDAPTSTASPATGAAAQGTTASAMAVDDEPQPPQAFDYAS >fgenesh2_kg.4__1270__AT2G32740.1 pep chromosome:v.1.0:4:15491366:15492775:1 gene:fgenesh2_kg.4__1270__AT2G32740.1 transcript:fgenesh2_kg.4__1270__AT2G32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7LFK5] MEKFNPKKKKLVSKRALKLLIEILPTPLFSMLFLIHINQIANYLFLSDKETLNITVRAMQGGIDTCAGRYVYMHDLPSRFNNDLIKSCEAYIELRNKCKYLVNSGFGPRILEDKHNHTTQVLTIKTGSWYYTNQFMLEVIFREKMRHYECLTNDSSLSSAVFVPFYAGFDVRRFWGYNVKLRDELGEDLAQWLRERPEWKKMYGRDHFFVTGRVGRDFRRVTDQDSDWGNKLMRLPEFKNITMLSIETNSWSNEFAVPYPTYFHPKSRTEVKRWQMQVRMMQRRYLFSFVGANRPEMKESIRGEIIRQCLASQGSCKFLDCDTSTKDCSDPVKVMEVFQDSVFCLQPPGDTPTRRSTFDSILAGCIPVLFSPDSVYNQYKWYFPKDHTKYSVYISEEDVKNGKVSIEKLLASIISEERILKMRNGVEKIIPKIIYTKPGEVGPEKIEDAFEIAVARVLERVSLFKMTRI >fgenesh2_kg.4__1272__AT2G32760.1 pep chromosome:v.1.0:4:15498546:15501439:1 gene:fgenesh2_kg.4__1272__AT2G32760.1 transcript:fgenesh2_kg.4__1272__AT2G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESERSLSENLRRSDREDVKIIEWEEFDHELTRLWSLSSALKLATEKKQILQPKLESLIQVSTESLRRTNELEEMRQRLEARKLLVDKTSIACKVIEQDVKKKEDNLSTEVRSLLVGGTTLSIAKSKLQESNCQLEGESGYAHLKIVTNKLRKRQQFMISQVSFIYPLKIEAGPSQDQELESFPGGSRLVGTKPLSQGSVRILGLPFSMAPFTRMSFFTDKKEVQKSATALGYVAHAVSLIAPYLRMPIRYPLRLGGSKTYIRDYAPYIEPSQSDMSPITTLSQNSNFVEFPLFLDGQDTTRAAYAVFLLNKNIEQLLNFVGENSLGPRQVLANLKELIRIIQSPDYIDYL >fgenesh2_kg.4__1275__AT2G32770.3 pep chromosome:v.1.0:4:15502707:15505229:1 gene:fgenesh2_kg.4__1275__AT2G32770.3 transcript:fgenesh2_kg.4__1275__AT2G32770.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LFK9] MSMSFFVIFASTVTIIVHGFPTTLDGPLNPVTAPLDPNLNPIAFDLPESDPSFVKPNPEFLPQQISVSLSYSFDSVWISWVTGDYQIGEEDSAPLDPNCVQSIVQYREFDVRSTINKNATGHSIVYTQQYPSENGLKNYTSGIIHHVQLTGLKPNTLYRYRCGDLSLSAMSKEYYFRTMPKSTSENYPHRIVVAGDLGLTYNTSIVLTKILSNHPDLVVLIGGFSYADTYLANNTKLDCSSCHCEKNGTSSNCGSCYSSRETYQPRWDYWGRFMEPLTANVPTMMVAGEHEIEPQTDNNLTFAAYSSRFAFPSNESGSFSPLYYSFNAGGAHFIVLNSYTPNDNSSDQYIWLESDLSIINRSETPWVVATWSLPWYSTFKGHYREAESMRINLEDLLYSYRVDIIFNSQVDAYERSNRVYNYLLDQCGPVYITTGAGGAGKLETQHLDDPGNCPDPSQDYSCRSSGFNFTLEPVNNETCPVKQPEYSAYRESSFGFGMLEVKNETHALWSWNRNQDLYYLAADVIYIVRQPEMCPVFN >fgenesh2_kg.4__1282__AT2G32788.1 pep chromosome:v.1.0:4:15513126:15513320:1 gene:fgenesh2_kg.4__1282__AT2G32788.1 transcript:fgenesh2_kg.4__1282__AT2G32788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIMVFFTFATLKTNAEDVISYEALKQDHAWGCSPKYPRLSCLKQKANP >fgenesh2_kg.4__1284__AT2G32830.1 pep chromosome:v.1.0:4:15538732:15540696:-1 gene:fgenesh2_kg.4__1284__AT2G32830.1 transcript:fgenesh2_kg.4__1284__AT2G32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGKEVLNALDAAKTQMYHFTAIVIAGMGFFTDAYDLFSISLVTKLLGRIYYHVDGSKKPGTLPPNVAAAVNGVAFCGTLAGQLFFGWLGDKLGRKKVYGITLMVMVLCSLGSGLSFGHSANGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVSLIVSSAFDHAFDAPTYEVDPVGSTVPQADYVWRIVLMFGAIPALLTYYWRMKMPETARYTALVARNTKQAASDMSKVLQVDLIAEEEAQPNQSSSSNPNSSFGLFSREFARRHGLHLLGTTTTWFLLDIAYYSSNLFQKDIYTAIGWIPAAETMNAIHEVFTVSKAQTLIALCGTVPGYWFTVAFIDILGRFFIQLMGFIFMMIFMFALAIPYDHWRHRENRIGFLIMYSLTMFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKAGAIVGAFGFLYAAQSSDSEKTDAGYPPGIGVRNSLLMLGCVNFFGIVFTLLVPESKGKSLEEISREDEEQSGGDAVVEMTAANSGRTVPV >fgenesh2_kg.4__1285__AT2G32840.1 pep chromosome:v.1.0:4:15543203:15545142:-1 gene:fgenesh2_kg.4__1285__AT2G32840.1 transcript:fgenesh2_kg.4__1285__AT2G32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPDPNPNPNPSVSVAVSSPIVTASESPVTQPNTVKTPSSQPQPPPPAPSYRAIAPLHRHPHPHQNVYSHPLPIRRSNSVTNSPHQPHPDPSSLIYPFGSSGRGFPTRPGRQNSNSVADPVGSPGGYPPRPVYGYHQHGQFGSNLDPVLQQLMRAAHLQNQQSPQLGSGHMKGVPHFLQPRVTPSPTSILDNSGHKKARSRDDALVLVRKRKVRITEGASLYSLCRSWLRNGAHEGIKPQRSDTMTCLPKPLPVDMTETSLPKEVVEEPNREEDKEDEESVKHLSESDLLKRHIDRAKKVRSRLREERLKRIARYKARLALLLPPFGEQCRNE >fgenesh2_kg.4__1287__AT2G32850.2 pep chromosome:v.1.0:4:15545681:15549277:-1 gene:fgenesh2_kg.4__1287__AT2G32850.2 transcript:fgenesh2_kg.4__1287__AT2G32850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKFKPFTQKEPAGLEGRFLEIGNLKVQVRNVIAEGGFSSVYLAQDVNHASKQYALKHIICNDEESLELVMKEISVLKSLKGHPNVVTLYAHGILDMGRNKKEALLAMDFCGKSLVDVLENRGAGYFEEKQALTIFRDVCNAVFAMHCQTPRIAHRDLKAENLLLSSDGQWKLCDFGSVSKNHKIFERAEEMGIEEDNIRKYTTPTYRAPEMWDLFRREMISEKVDIWALGCLLFRICYFKNAFDGESKLQILNGNYRIPESPKYSAFVTDLIKEMLQASPDERPDITQIWFRVNEQLPANLQKSLPDRPPEMQSTGVHDGSSKSANKSSPVPRRSPPPPPPSSGESDSGGPLGAFWATQHAKTSVLSEDNKSMPKFDEPNSNTTKSERVRVDSQHPKKPSPVRGEVRGMNSQKDTTPAATNNRTRVSKDDAFNSFVADFDTTKLDNGNKPGKEEALEAEIERLKDELKKTNSEKAEITAKFEKLSAICRSQRQELQDLKQSLASKSASPSPSRDSSQSQPSPGMHSMSSTPSRDKIEGTMWELQQDRSNWSTGSSDTNSWQPFSDEAKPVMESASKGNNNTINQSVRTRSKPASAAGTQGFEAWGFETESFRAAATSSATTASATQRSMGSGNNTSQRYGNSKMRDNQKTAQPAGWAGF >fgenesh2_kg.4__1289__AT2G32870.1 pep chromosome:v.1.0:4:15556596:15558773:-1 gene:fgenesh2_kg.4__1289__AT2G32870.1 transcript:fgenesh2_kg.4__1289__AT2G32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSLEDTIKAKLKERKNAHFMLVDGMSKLLTEKVKNCQSVDFQVSGIKWRLVIRLSKGRKDHLSFVLEITDEKCTGSNWEVKFNFKIGIVPQTGPDYCFVLVGHQNEKQRSQGLANFISHKDLKERFLVNDKAGFYAEISDVQPNFPVTRIPRTMGTAERFKLIEFSPRNSRFTWKITQFSSFDGEEHSSYEFTVGPRRWKLVMYPKGNGDGKGNSLSLYLFASDYVTNGPKGGTLAIYKLRVLDQLHRNHCETDCRYWFPYNPVDPMDSLWGRHKFLPLEELHNASKGFLVNDQIYIGVDISIVSTTEYL >fgenesh2_kg.4__128__AT2G22050.1 pep chromosome:v.1.0:4:650813:651960:1 gene:fgenesh2_kg.4__128__AT2G22050.1 transcript:fgenesh2_kg.4__128__AT2G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSKKFKKQSSSKAVKPPLEDNDPSLPSFSSLPDEIVLDCLQRVPRSYYLNLCRVSKTLRSLVRSPELSRLRSLLPKKSVYVSSVKTMLHIRSTTAMKTFGYKLVKIPVPFPSHHHMYNSSAVAVGSEIYFVGGSFKPLSDLWILDTRTGMFTQGPSMRVARTDEASVGVINGKIYVIGGLAELENCEKSRGEIAARIDDAVKCVGRIGVYDPREAGVDGV >fgenesh2_kg.4__1293__AT2G32910.1 pep chromosome:v.1.0:4:15579866:15582806:1 gene:fgenesh2_kg.4__1293__AT2G32910.1 transcript:fgenesh2_kg.4__1293__AT2G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQDVKNDESEKAPEASVVENDEVAGNNEEVVVEAEEKDVNEVVETFDGEKGKADEEFVETLVDTNQDLAALKAPVVENDAENVTVEEPSLLASVEATSSGMTKPQGLPAKTKIIKKVKKIVKRKIKRGTAGQVAGEQSEEPSLGESEKDKDSEPYPGGNDMEFQKELEELAVAPQPADDVKVVGGEPSGKETLKEVKGRAKRQRGKKFKGTLAQGMDKESATDKRDVGASPGGNLIEAKKAIDGSVETKTGLAEDKKRKRKRQRKQVIDSNKKPRKDVVAAADATEQRMEERKEQPVDPEKREMDGPGKVKIGGLIFMCNTKTRPDCFRFSVMGVQEKRKDFVMGIKPGLKLFLYDYDLKLLYGIFEASSAGGMKLERNAFGGSFPAQVRFKIFSDCIPLAESQFKKAIKENYNNKNKFKTELTHKQVFKLKKLFRPATIPAQVSHTQQIPVPRRADSKRSDRDRYAPGSSRGHPTRTHERRRASPPPRREEQPHDLYLSEREYRTYGLRGGETTQHYQIAPPESSSSYHIVNRDRVRLDSYRSSMDHDRLLRQAEIERHDRREVRHPHLSERDYHTYDHLRSRREILGRNSPDPPASAVALDSYRRYPYYIYEHHALERPPRTYMAAPGREDDDLYSRYVTPDSLAEYYRSSQRYPSVTEPELPPSLVTSRYAYSRSLPYSHR >fgenesh2_kg.4__1294__AT2G32920.1 pep chromosome:v.1.0:4:15583105:15586072:-1 gene:fgenesh2_kg.4__1294__AT2G32920.1 transcript:fgenesh2_kg.4__1294__AT2G32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSPLTLLTLLTLCFGFFDLSSALYGSSSPVVQLTASNFKSKVLNSNGVVLVEFFAPWCGHCKALTPTWEKVANILKGVATVAAIDADAHQSAAQDYGIKGFPTIKVFVPGKAPIDYQGERDAKSIANFAYKQIKGLLSDRLEGKSKPTGGGSKEKKSEPSASVELNAGNFDELVIESNELWIVEFFAPWCGHCKKLAPEWKKAAKNLQGKVKLGHVNCDVEQSIMSRFKVQGFPTILVFGPDKSSPYPYEGARSASAIESFASELVESSAGPVEVTELTGPDVMEKKCGSAAICFISFLPDILDSKAEGRNKYLEMLLSVAEKFKKHPYSFMWVAAVTQPDLEKRVNVGGYGYPAMVAMNVKKGVYAPLKSAFELQHLLEFVKDAGTGGKGNVPMNGTPEIVKTKAWDGKDGELIEEDEFSLDELMGGDDAVGTKDEL >fgenesh2_kg.4__1298__AT2G32960.1 pep chromosome:v.1.0:4:15618195:15621412:1 gene:fgenesh2_kg.4__1298__AT2G32960.1 transcript:fgenesh2_kg.4__1298__AT2G32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine specific protein phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7LFN6] MKLIEKTMNMTMEEEEKQDGGEVFHTIEVAKVDRNYVSPPAAATAAPLLEVSGEELNLIPPLNFAIVDNGIFRSGFPDIANFSFIKTLGLRSIISLCPEPYPENNMQFLKSNGISLFQFGIEGSKSKCLPGLENEVWLHIWSSKHQKEGSYTNGNSKTSEPLVDILDHKIREALKVLLDEKNHPLLIHCKRGKHRTGCLVGCMRKLQKWCITSIFDEYQRFAAAKARVSDQRFMESFDVSGLKHIPMSFSCSNR >fgenesh2_kg.4__1299__AT2G32970.1 pep chromosome:v.1.0:4:15622540:15626746:-1 gene:fgenesh2_kg.4__1299__AT2G32970.1 transcript:fgenesh2_kg.4__1299__AT2G32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LFN7] MKKLKKWFSVIDATTFSKKKLPAKKLQSSLYLNKQLHKTLYGFIVFEIEWANVRGINYLNELQTDTSLAIEAKLMRRWEFESIDQAVKSMSQWFSGSKAEKSFLREYLDSTIGEVFHDAEMEFSKTSPFDDDDNRSVENDSPCCARSVFNVNHAAVDYDENEPHTPPLTGPYKRRRVTKAISTGVEVDYMEETPKRKDNSVDHWESHVPDCENITEATQYKDVLVLVKCGDRDLPFKLREVIMADIRLLTLLEAGLPSWVLFLQSYPGFCHLYRPWMCLLARALYVMISVITVVIGFYDLYKNVPVLKATAARLCGPLFDWVETWDMVSRIKYLGTMLFLHNFQKAVKWALTMARAMQSFVSFCIMPLVNPLLEVLGLLLPLWNSLAETVASLVSVVWIVIESGCNLVGDVVELVLLPISLVWNLTNTVLLPLFWIIWEVVYAPIRVVAALANCLAVSFSYIFDVIGDLWRYMSSILQLASDSQAAVKTYEVSMWRTLWNDLFSHVFRAVRSILNGFVAFFAACNRHRLSTYNHIQELIQRVHGRTTRSESIDSRHGMSAKNNQRTGDETRRKL >fgenesh2_kg.4__129__AT1G20140.1 pep chromosome:v.1.0:4:652312:652986:-1 gene:fgenesh2_kg.4__129__AT1G20140.1 transcript:fgenesh2_kg.4__129__AT1G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKIILKSSDGESFEVDEAVAVESQTIKHMIEDDCADNGIPLPNVTGAILAKVIEYCKKHVEAAAEAGGDKDFCGSTENDELKAWDNDFVKVDQPTLFDLILAANYLNISGLLDLTCKAVADMMRGKTPEQMREHFNIKNDYTPEEEAEVRNENKWAFE >fgenesh2_kg.4__1301__AT2G32990.1 pep chromosome:v.1.0:4:15637008:15645209:1 gene:fgenesh2_kg.4__1301__AT2G32990.1 transcript:fgenesh2_kg.4__1301__AT2G32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7LFN9] MDHRHKPCHFLLLLLLLITVFSAVLADVSSETDVGRFDYGEALSKSLLYFEAQRSGRLPYNQRVTWRDHSGLTDGLEQGVDLVGGYHDAGDHVKFGLPMAFTVTMLSWSVIEYGDTLASTGELSHALEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRRAFKIDENNPGSDIAGETAAAMAAASIVFRSTNPHYSHLLLHHAQQLFGFGDKYRGKYDESLKVVKSYYASVSGYMDELLWGATWLYRATDNEHYMSYVVDMAHQLGGLSWAMSEFSWDVKYAGVQLLASMLLKEEKHKQHSKVLQQYKSKADHYLCSILNKNINGTNVQRTPAGLLYVRQWNNMQYVSTASFLLTVYSDHLRKSNTDLECHEGTVTPDEMLGFAKSQIDYILGSNPMETSYLVGYGPKYPTRVHHRGASIASFKEHKGFIGCTQGYDNWYGRSEPNPSVLVGALVGGPDEQDEFDDRRDNYVQTEACTYNTAPLVGVFARLIELEEQKLEEEDVSLVATYKR >fgenesh2_kg.4__1303__AT2G33050.1 pep chromosome:v.1.0:4:15660174:15662686:1 gene:fgenesh2_kg.4__1303__AT2G33050.1 transcript:fgenesh2_kg.4__1303__AT2G33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LFP3] MRLHFRSLVFLLYCIVFASSFLTCRPDQIQVLMQFKNEFESDGCNRSDYLNGVQCDNATGAVTKLQLPSGCFTGPLKPNSSLFELHQLRYLNLSHNNFTSSSLPSEFSNLNRLEVLSLASSSFTGQFPSSISNLILLTHLNLSHNELTGSFPLVRNLTKLSFLDLSYNQFSGAVPSDLLPTLPFLSYLDLKKNHLTGSIDVPNSSSSSKLVRLSLGYNQFEGQILEPISKLINLNYLELASLNISYPIDLRVFSPLKSLLVFDIRKNRLLPASLSSDSEILLSLVSLILVQCDMIEFPNILKTLQNLEHIDISNNLIKGKVPEWFWKLPRLSIANLVNNSFTGFEGSSEVLLNSSVQLLDFAYNSMTGAFPIPPLNSIYLSAWNNSFTGNIPLSICNRSSLVVLDLSYNNFTGPIPQCLSNLKVVNLRKNSLEGSIPDKFYRGALTQTLDVGYNRLTGKIPKSLLNCSFLKFLSVDNNRIEDTFPFWLKALPNLHVFTLRSNRFFGHLSPPDRGPLAFPELRILELSDNSFTGSLPPSFFVNWKASSVKINEDGRMYMGDYKNAYYIYEDTLDLQYKGLFMEQGKVLTSYSTIDFSGNKLEGQIPESIGLLKELIALNLSNNAFTGHIPMSLANVTELESLDLSRNQLSGNIPRELGSLSFLAYVSVAHNQLKGEIPQGPQFSGQAESSFEGNVGLCGLPLQGNCFAPPTLYSKEEDEEEEEDEVLNWKAVVIGYWPGLLLGLVMAHVIASFKPKWYVKIVGPDKGKQVDPVRLFMTLDSRWDSFNNKDNVEEE >fgenesh2_kg.4__1304__AT2G33060.1 pep chromosome:v.1.0:4:15663718:15666529:1 gene:fgenesh2_kg.4__1304__AT2G33060.1 transcript:fgenesh2_kg.4__1304__AT2G33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LFP4] MKTIMSVLLLFSIFAASFTLVVGLDGCRPDQIQAITQFKNEFDSRDCNQTDYFNGVGCDNTTGVVTKLQLPSGCLRGTLKPNSSLFSLQHLRYLNLSNNNFTSASLPSGFGNLNKLQVLYLSSNGFLGQVPSSFSNLSQLYILDLSHNELTGSFPFVQNLTKLSILELSYNHFSGAIPSSLLTLPFLSSLHLRENYLTGSIEVPNSSTSSRLEFMYLGNNHFEGQILEPISKLINLKELDISFLNTSYPIDLNLFSSLKSLVRLVLSGNSLLATSISSDSKIPLNLEDLVLLSCGLIEFPTILKNLKKLEYIDLSNNKIKGKVPEWLWNLPRLGRVNLLNNLFTDLEGSGEVLLNSSVRFLDLGYNHFRGPFPKPPLSINLLSAWNNSFTGNIPLETCNRSSLAVLDLSYNNLTGPIPRCLSNFQESLIVVNLRKNNLEGSLPDIFSDGALLRTLDVGYNQLTGKLPRSLLNCSMLRFVSVDHNRIKDTFPFWLKALPDLQALTLRSNKFHGPISPPDRGPLAFPKLRILEIADNNLIGSLPPNYFVNWEASSLHMNEDGRIYMGDYNNPYYIYEDTVDLQYKGLFMEQGKVLTSYATIDFSGNKLEGQIPESIGHLKALIALNLSNNAFTGHIPPSLANVTELESLDLSRNQLSGNIPKGLGSLSFLAYISVAHNQLTGEIPQGTQITGQSKSSFEGNAGLCGLPLEETCFGSNAPPTQQPKEEDEEEEQVLNWKAMLIGYGPGLLFGLVIAHVIASYKPKWSEKRKEVNPVRLFMTLDSRWDSFNNKNNVEQKS >fgenesh2_kg.4__1306__AT2G33070.1 pep chromosome:v.1.0:4:15668534:15670525:-1 gene:fgenesh2_kg.4__1306__AT2G33070.1 transcript:fgenesh2_kg.4__1306__AT2G33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin lectin family protein [Source:UniProtKB/TrEMBL;Acc:D7LFP5] MAQKVEAKGGEIGDVWDDGSYDGVRKVYVGQGEDGIAFVKFEYVNGSQVVVGDERGKKTLLGAEEFEVDADDYIVYVEGYHEKVFGVSTKEIISTLTFKTYKGKTSPPFGIVSGTKFVLQGGKIVGFHGRSTDVLHSLGAYISLPSTPKLRGKWIKVEQKGEGPGPRCSHDIAQVGNKIYSFGGELTPNQPIDKHLYVFDLETRTWSISPATGDVPNLSCLGVRMVSIGSSLYVFGGRDASRKYNGFYSFDTIKNEWKLLTPVEQGPTPRSFHSMAADEKNVYVFGGVSATVRLKTLDAYNIVDHKWVQCSTPGGSFSIRGGAGLEVVQGKVWVVYGFNGCEVDDVHCYDPVQDKWTQVETFGEKPCARSVFASAVVGKYLLIFGGEIAMDPKAHEGPGQLSGGTFAFDTETLKWEKLDKFGEEEETPSIRGWSASTTGTIDGKKGLVMLGGKAQTNDRFGDLFFYGVDSA >fgenesh2_kg.4__1307__AT2G33100.1 pep chromosome:v.1.0:4:15675949:15679683:-1 gene:fgenesh2_kg.4__1307__AT2G33100.1 transcript:fgenesh2_kg.4__1307__AT2G33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPKKTLNSQSSSLSRPPQAVKFGRRTSSGRIVSLSRDDDMDVSGDYSSQNDYINYTVLMPPTPDNQPAGSSGSTSESKGDANRGGGGGDGPKMGNKLERRLSVMKSNNKSMLLRSQTGDFDHNRWLFESKGKYGIGNAFWSEEDDTYDGGVSKSDFLDKPWKPLTRKVKVPAKVLSPYRLLIVIRLVIVFFFLWWRVTNPNEDAMWLWGLSIVCEIWFAFSWILDILPKLNPINRATDLAALHDKFEQPSPSNPTGRSDLPGVDVFVSTADPEKEPPLVTANTLLSILAVDYPIEKLSAYISDDGGAILTFEAMAEAVRFAEYWVPFCRKHDIEPRNPDSYFNIKKDPTKNKKRQDFVKDRRWIKREYDEFKVRINGLPEQIKKRAEQFNMREELKEKRIAREKNGGVLPPDGVEVVKATWMADGTHWPGTWFEPKPDHSKGDHAGILQIMSKVPELEPVMGGPNEGALDFTGIDIRVPMFAYVSREKRPGFDHNKKAGAMNGMVRASAILSNGAFILNLDCDHYIYNSKAIKEGMCFMMDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCMFRRYALYGFNPPRANEYSGVFGQEKAPAMHVRTQSQASQTSQASDLESDTQPLNDDPDLGLPKKFGNSTMFTDTIPVAEYQGRPLADHMSVKNGRPPGALLLPRPPLDAPTVAEAIAVISCWYEDNTEWGDRIGWIYGSVTEDVVTGYRMHNRGWRSIYCITKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNAMFATRRLKFLQRVAYLNVGIYPFTSIFLVVYCFLPALCLFSGKFIVQSLDIHFLSYLLCITVTLTLISLLEVKWSGIGLEEWWRNEQFWLIGGTSAHLAAVVQGLLKVIAGIEISFTLTSKSSGEDEDDIFADLYIVKWTGLFIMPLTIIVVNLVAIVIGASRTIYSVIPQWGKLLGGTFFSLWVLTHMYPFAKGLMGRRGKVPTIVYVWSGLVSITVSLLWITISPPDDVSGSGGFSV >fgenesh2_kg.4__1308__AT2G33120.2 pep chromosome:v.1.0:4:15680586:15689671:-1 gene:fgenesh2_kg.4__1308__AT2G33120.2 transcript:fgenesh2_kg.4__1308__AT2G33120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSLIYSFVARGTVILVEFTDFKGNFTSIAAQCLQKLPSSNNKFTYNCDGHTFNYLVENGFSESKYCSIYAPYCVVAVDSAGRQIPMAFLERVKEDFNKRYGGGKAATAQANSLNKEFGSKLKEHMQYCMDHPDEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRTQGTQMRRKMWFQNMKIKLIVLAIIIALILIIILSVCGGFNCGK >fgenesh2_kg.4__130__AT2G22070.1 pep chromosome:v.1.0:4:654354:656816:1 gene:fgenesh2_kg.4__130__AT2G22070.1 transcript:fgenesh2_kg.4__130__AT2G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LCN4] MDAPVPLSLSTLLELCTNLLQKSVNKSNGRFTAQLVHCRVIKSGLVFSVYLMNNLMNVYSKTGYALHARKLFDEMPLRTAFSWNTVLSAYAKRGDMDSSCEFFDRLPQRDSVSWTTMIVGYKNIGQYHKAIRIMGEMMREGIEPSQFTLTNVLASVAATRCLETGKKVHSFIVKLGLRGNVSVSNSLLNMYAKCGDPMMAKVVFDRMVVKDISSWNAMIALHMQVGQMDLAMAQFEQMAERDIVTWNSMISGYNQRGYDLRALDMFSKMLRDSMLSPDRFTLASVLSACANLEKLCIGEQIHSHIVTTGFDISGIVLNALISMYSRCGGVETARRLIEQRGTKDLKIEGFTALLDGYIKLGDMNEAKNIFDSLKDRDVVAWTAMIVGYEQHGLYGEAINLFRSMVGEEQRPNSYTLAAMLSVASSLASLGHGKQIHGSAVKSGEIYSVSVSNALITMYAKAGSITSASRAFDLIRCERDTVSWTSMIIALAQHGHAEEALELFETMLMEGLRPDHITYVGVFSACTHAGLVNQGRQYFDMMKDVDKIIPTLSHYACMVDLFGRAGLLQEAQEFIEKMPIEPDVVTWGSLLSACRVYKNIDLGKVAAERLLLLEPENSGAYSALANLYSACGKWEEAAKIRKSMKDGRVKKEQGFSWIEVKHKVHAFGVEDGIHPQKNEIYITMKKIWDEIKKMGYVPDTASVLHDLEEEVKEQILRHHSEKLAIAFGLISTPDKTTLRIMKNLRVCNDCHTAIKFISKLVGREIIVRDTTRFHHFKDGFCSCRDYW >fgenesh2_kg.4__1311__AT2G33150.1 pep chromosome:v.1.0:4:15692798:15696366:-1 gene:fgenesh2_kg.4__1311__AT2G33150.1 transcript:fgenesh2_kg.4__1311__AT2G33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIERQRVLLEHLRPSSSSSHNFEASLSASACLAGDSAAYQRTSLYGDDVVIVAAHRTPLCKSKRGNFKDTYPDDLLAPVLRALIEKTNLNPSEVGDIVVGTVLAPGSQRASECRMSAFYAGFPETVAVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMTTNPMAWEGSVNPAVKKFAQAQNCLLPMGVTSENVAQRFGVSRQEQDQAAVDSHRKAAAATAAGKFKDEIIPVKTKLVDPKTGDEKPITVSVDDGIRPTTTLASLGKLKPVFKKDGTTTAGNSSQVSDGAGAVLLMKRSVAMQKGLPVLGVFRTFAAVGVDPAIMGIGPAVAIPAAVKAAGLELDDIDLFEINEAFASQFVYCRNKLGLNPEKINVNGGAMAIGHPLGATGARCVATLLHEMKRRGKDCRFGVVSMCIGTGMGAAAVFERGDGVDELRNAKKVEAQGLLSKDAR >fgenesh2_kg.4__1312__AT2G33170.1 pep chromosome:v.1.0:4:15703592:15707940:-1 gene:fgenesh2_kg.4__1312__AT2G33170.1 transcript:fgenesh2_kg.4__1312__AT2G33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWWISEFKRGSKSMFGGVLFLLTLMVWTSESLNSDGQFLLELKNRGFQDSLNRLHNWNGTDETPCNWIGVNCSSMGSNNSDNLVVTSLDLSSMNLSGILSPSIGGLVNLVYLNLAYNGLTGDIPREIGNCSKLEVMFLNNNQFGGSIPVEIRKLSQLRSFNICNNKLSGPLPEEIGDLYNLEELVAYTNNLTGPLPRSIGNLNKLMTFRAGQNDFSGNIPAEIGKCLNLTLLGLAQNFISGELPKEIGMLVKLQEVILWQNKFSGSIPKEIGNLARLETLALYDNSLVGPIPSEIGNMKSLKKLYLYQNQLNGTIPKELGKLSKVMEIDFSENLLSGEIPVELSKISELRLLYLFQNKLTGIIPNELSRLRNLAKLDLSINSLTGPIPPGFQNLTSMRQLQLFHNSLSGVIPQGLGLYSPLWVVDFSENQLSGKIPPFICQQANLILLNLGSNRIFGNIPAGVLRCKSLLQLRVVGNRLTGQFPTELCKLVNLSAIELDQNRFSGPLPPEIGTCQKLQRLHLAANQFSSNIPEEIGKLSNLVTFNVSSNSLTGPIPSEIANCKMLQRLDLSRNSFIGSLPCELGSLHQLEILRLSENRFSGNIPFTIGNLTHLTELQMGGNLFSGSIPPQLGLLSSLQIAMNLSYNNFSGEIPPELGNLYLLMYLSLNNNHLSGEIPTTFENLSSLLGCNFSYNNLTGRLPHTQLFQNMTLTSFLGNKGLCGGHLRSCDPNQSSWPNLSSLKAGSARRGRIIIIVSSVIGGISLLLIAIVVHFLRNPVEPTAPYVHDKEPFFQESDIYFVPKERFTVKDILEATKGFHDSYIVGKGACGTVYKAVMPSGKTIAVKKLESNREGNNNNTDNSFRAEILTLGKIRHRNIVRLYSFCYHQGSNSNLLLYEYMSRGSLGELLHGGKSHSMDWPTRFAIALGAAEGLAYLHHDCKPRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPQSKSVSAVAGSYGYIAPEYAYTMKVTEKCDIYSFGVVLLELLTGKPPVQPLEQGGDLATWTRNHIRDHSLTSEILDPYLTKVEDDVILNHMITVTKIAVLCTKSSPSDRPTMREVVLMLIESGERAGKVIVSTTCGDLPPPAPP >fgenesh2_kg.4__1313__AT2G33180.1 pep chromosome:v.1.0:4:15714997:15715960:1 gene:fgenesh2_kg.4__1313__AT2G33180.1 transcript:fgenesh2_kg.4__1313__AT2G33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVALPIHHRTGLSKFPPLKTGYPLVNRIGLALRFSNVRMRKPVYLGTILTKKSRARTLTTTEAVSGGGVSLPPLDLTEDNIHLVLSEARIELAQLFDSSVGITGQVELVELDGPFVKISLRGKFWHTRAMVLARIGNYLKQRIPEILEVEIEDEKQLDDSPANF >fgenesh2_kg.4__1314__AT2G33190.1 pep chromosome:v.1.0:4:15718237:15719394:1 gene:fgenesh2_kg.4__1314__AT2G33190.1 transcript:fgenesh2_kg.4__1314__AT2G33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LFQ7] MSSPRDDNGWSKLYPDLLRSIFESLSCLDFHRARTVCSNWYAVSRTCPLYPWRIVIRGKNSVLFDPIQDKIYTKNLLGIDLSKIHCLASYGNWILIVDLRLDFHLLNVFTRERINLPSLESSLRGDRPFRFIRNDDFGYFLELYGTKFLLTWNDFRFEKTAILWVNGRNGDYIVAWAIKQFYIFSYKKIGNDDVGDGNDDKRWSITCTQCEDMAYKDNKLYVYTFDHYINIFDFSGYCPKETMEENPYLNHPFRFVDTIYKLRLAVTRAGEVLIVLSIVGLDKKFCIYKLNLKIGDWEIVESLGDEMLIFGHRVTIRAPDKDISGGGLKSNSICFLYDDHLSDHHLTMKTQPICGVFDLATSTITWHTRLEDLSSKVCWFVPGYA >fgenesh2_kg.4__1315__AT2G33200.1 pep chromosome:v.1.0:4:15719955:15720973:1 gene:fgenesh2_kg.4__1315__AT2G33200.1 transcript:fgenesh2_kg.4__1315__AT2G33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LFQ8] MSRTRYDWSKLCHDLLRSILESLHYKDYHRARTVCSHWYTVSSTCKRPLYPWRILFNENSTSLFDPVEEKIHEIQLPGIECSDRYVLASCSNWFLMVDSGLDFYLLNVFTRERINLPSMESSILGKERLEKEVEWKHFIERTDINSNKKQACLWINERTGDYVVAWSIKQYYLFTYKKGDDSWLNLEDTKCVSMALNKDYKLYVYTLDNSIKIFDLSGECPSEIVEGNPYRNHPFSFRVVSKPGEYAWRQIVAVTNSGEVLMIVSLKGLDNKRLFYIYKMNLESCNWERVDSLGGEMLIFGHGVTIRAPILDSNGLGIKSDSICFQGDDLWPVSHLFNP >fgenesh2_kg.4__1316__AT2G33205.1 pep chromosome:v.1.0:4:15728496:15730710:-1 gene:fgenesh2_kg.4__1316__AT2G33205.1 transcript:fgenesh2_kg.4__1316__AT2G33205.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMS membrane family protein [Source:UniProtKB/TrEMBL;Acc:D7LFR2] MNGSAEAATRMRPLQISPGDLEAAHLDELIREIEQRSLFYYQEKNKSLRARYVYGTIFLIINLCAWFIRDYAQKALALLPLFVDQKEVIVSIRLEYFAFYVSLTMKIFYFLMFLSTWNTMKLHEAQNSWHSDNWIFKFFLLVLAMVASFFIPQLYIQIYGEIARVGAGIFLGLQLVSVIEFITWWNNYWMPHDQSKQSCSFGLVMSIVFYIGSVCGIAVMYYFYAASTACGLNIFFISWTVVLLIVMMVMSLHSKVKNRGLLSSGIMASYIVFLCWSAIRSEPSHTKCNAHTQNGHTDWITILSFLIAIGAIVMATFSTGIDSESFRFEFRKDEAKEEDDIPYSYGFFHLVFSLGAMYFAMLFISWNLSHSAQKWSIDVGWTSTWVKIVNEWFGAAIYLWKLIGPIVRQPRVHEQPQPTAAEVDR >fgenesh2_kg.4__1318__AT2G33220.1 pep chromosome:v.1.0:4:15736476:15737792:1 gene:fgenesh2_kg.4__1318__AT2G33220.1 transcript:fgenesh2_kg.4__1318__AT2G33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAMIRKKPGMASVKDMPLLQDGPPPGGFAPVRYARRISNTGPSAMAIFLTVSGAFAWGMYQVGQGNKIRRALKEEKYAARRAILPILQAEEDERFVSEWKKYLEYEADVMKDVPGWKVGENVYNSGRWMPPATGELRPDVW >fgenesh2_kg.4__1324__AT2G33270.1 pep chromosome:v.1.0:4:15761648:15763059:1 gene:fgenesh2_kg.4__1324__AT2G33270.1 transcript:fgenesh2_kg.4__1324__AT2G33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7LFS1] MATDSFIKLNPISINRPRFNLREFAGISPKSISSLCCISPRMISCNHFSPRTLISGENDIILFPKKKIPAFVRCQTSLGIGRNQKWWEKELQPNMKSVTSPEDLVGSLRNAGDKLFVVDFFSPSCGGCKALHPKICKIAEKNPEVEFLQVNYEDHRSLCQSLNVHVLPFFRFYRGSSGRVCSFSCTNATIKKFKEALEKHGREQCSIGETKGLEEKELVAMAANKDLSFDYKSKSIGNSQEQKEKDIFLPKSPTSNKQKEVEHSLLVSSA >fgenesh2_kg.4__1328__AT5G20620.1 pep chromosome:v.1.0:4:15770643:15773211:-1 gene:fgenesh2_kg.4__1328__AT5G20620.1 transcript:fgenesh2_kg.4__1328__AT5G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSF >fgenesh2_kg.4__132__AT2G22090.1 pep chromosome:v.1.0:4:660179:662198:-1 gene:fgenesh2_kg.4__132__AT2G22090.1 transcript:fgenesh2_kg.4__132__AT2G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LCN6] TLDKSKKRKLVKSKSKKLEKKQKIKKQPESSTPYSSSSSSSNSSDSESDNEFDPEELRELLRPYSKDQLVDLVCSAAQIGSSIYSAVVEAADRDVTHRKIFVYGLPWETTRETLVDVFEGYGEIEECTVVIDKATGKAKGFGFVMFKTRKGAKEALKEPKKRILNRTATCQLASMGPAASGKGHDQAGPVKISMGTMVNQGQSQQQQAQGQHMFNGGGMAASPFMLGNQYHPVYGAGMLANPALAAAAGGGYMYPMLAGALAHGGMGSDLVQSSQMGGIGDPSVGAAGLSVLGSYFRGQGMSTAYPDSDAGGKRGTGKDSDAGGSSFHGYSNYS >fgenesh2_kg.4__1330__AT2G33310.1 pep chromosome:v.1.0:4:15790334:15792161:-1 gene:fgenesh2_kg.4__1330__AT2G33310.1 transcript:fgenesh2_kg.4__1330__AT2G33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7LFS5] MGKGESELELGLGLSLGGGTAAKIGKSGGGGAWGERGRLLTAKDFPSVGSKRAADSASHAGASPPRSSSQVVGWPPIGSHRMNSLVNNQATKSAREEEEAGKKIVKDDEPKDVTKKVNGKVPVGFIKVNMDGVAIGRKVDLSAHSSYENLSQTLEDMFFRTNPGTIGLTSQFTKPLRLLDGSSEFVLTYEDKEGDWMLVGDVPWRMFITSVKRLRVMKTSEANGLAARNQEPNERQRKQPV >fgenesh2_kg.4__1334__AT2G33340.1 pep chromosome:v.1.0:4:15807780:15812713:-1 gene:fgenesh2_kg.4__1334__AT2G33340.1 transcript:fgenesh2_kg.4__1334__AT2G33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7LFS8] MNCAISGEVPKEPVVSTKSGLLFERRLIERHISDYGKCPVTGEPLTIDDIVPIKTGEIIKPKTLHTASIPGLLGTFQNEWDGLMLSNFALEQQLHTARQELSHALYQHDSACRVIARLKKERDEARQLLAEVERHIPAAPEAVTANAALSNGKRAAGDEELGPNAKKLCPGISAEIITELTDCNAALSQKRKKRQIPQTLASIDALERFTQLSSHPLHKTNKPGICSMDILHSKDVIATGGVDATAVLFDRPSGQILSTLTGHSKKVTSVKFVGDTDLVLTASADKTVRIWRNPGDGNYACGHTLNDHSAEVRAVTVHPTNKYFVSASLDSTWCFYDLSSGSCLAQVSDGSENADYTAAAFHPDGLILGTGTSQSVVKIWDVKSQANVAKFDGHTGEVTAISFSENGYFLATAAEDGVRLWDLRKLRNFKSFLSADANSVEFDPSGSYLGIAASDIRVYQTASVKAEWNLIKTLPDLSGTGKATCVKTITYGYLVFLVTKKPTPMMTLLKTREESVKTLRQQIPNVHLRLYLAPISQLLLYLTVFAATGRIS >fgenesh2_kg.4__1337__AT2G33350.1 pep chromosome:v.1.0:4:15817189:15820429:1 gene:fgenesh2_kg.4__1337__AT2G33350.1 transcript:fgenesh2_kg.4__1337__AT2G33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNMISSHDQPSIVIDDITSPLSAQIFDFCDPQLFQETFNQTTEVTSTSNILEKSGSFHSNTTTTTTTDNSNNNKNTKLQDDEDDNNNTDLSIIFDSQEDFENDITASIDFSSSSLQYPVIDHLLTATSQDQFDFSSGLQLIHQPANISHSGDPLSLSAVSSLAPPPLQSGVFEEDCLSSVPSYNLGLNPSCSFFRTSGLPTYMSTGLLSAESNLGYLPGNIHVGSEINKPHNPLMDFQADNGGLFCPGSMKRIFNPEDLQKALGGVENQSNLVAPQAHPALGTVEINGLEDSTMNKVGKLSPEQRKEKIRRYMKKRNERNFNKKIKYACRKTLADSRPRVRGRFAKNDDFGEPNRQAFSSHHDDEDEEDMGVKDEEQLVDSSDIFAHISGANSFKCNYPIQSWI >fgenesh2_kg.4__1338__AT2G33370.1 pep chromosome:v.1.0:4:15827557:15828753:-1 gene:fgenesh2_kg.4__1338__AT2G33370.1 transcript:fgenesh2_kg.4__1338__AT2G33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L23 [Source:UniProtKB/TrEMBL;Acc:D7KDF3] MSKRGRGGTSGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >fgenesh2_kg.4__1339__AT2G33380.1 pep chromosome:v.1.0:4:15828994:15830471:-1 gene:fgenesh2_kg.4__1339__AT2G33380.1 transcript:fgenesh2_kg.4__1339__AT2G33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAEALATTAPLAPVTSQRKVRNDLEETLPKPYMARALAAPDTEHPNGTEGHDSRGMSVMQQHVAFFDQNDDGIVYPWETYKGFRDLGFNPISSIFWTLLINLAFSYVTLPSWVPSPLLPVYIDNIHKAKHGSDSSTYDTEGRYVPVNLENVFSKYALTVKDKLSFKEVWNVTEGNRMAIDPFGWLSNKVEWILLYILAKDEEGFISKEAVRGCFDGSLFEQIAKKNKERANSRKQD >fgenesh2_kg.4__133__AT2G22100.1 pep chromosome:v.1.0:4:663692:665070:-1 gene:fgenesh2_kg.4__133__AT2G22100.1 transcript:fgenesh2_kg.4__133__AT2G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LCN7] MAKEREERKKEKKEKKEKKERKEKKRREAEELAVKEKKISKKHKSKSKETEKPEKSKKKSKKHEVEEEEEKSPKKSKESKKKHKKSDESEEIVDSKPITVPIVTTNNESDSDFEFDKEDVKHLLESYSKEELINLIYKTAEKGSKLISAVFESADRDSSQRNIFVRGLGWDTTHENLKAAFEVFGEIEECSVVMDKDTGRAKGFGFVLFKTCKGARAALRNPEKRMYNRTVICSLAKPSTAGKPREQPVESVKIDLSRTANHSEMVLPGIDLAYGLDKGHQQQQNMPMYVGQNMPFYGHSQPPGFNPMYGAMMGNPMVAGLPNYRMFGSGMMNQGPMVPPNHMGMAGQYIGDGNVNGVGASAGTGFDGERAWYL >fgenesh2_kg.4__1341__AT2G33385.1 pep chromosome:v.1.0:4:15831685:15834015:-1 gene:fgenesh2_kg.4__1341__AT2G33385.1 transcript:fgenesh2_kg.4__1341__AT2G33385.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arp2/3 complex 34 kDa subunit [Source:UniProtKB/TrEMBL;Acc:D7LG97] MAYLERASPALKETLLKIYRAEKPIEVDQHFHEFGSIQYHIKYSVSDPSIVHVSTSTLLETQGAVTLKEISSQTYEVIKNIAVGVIDIVDPPRLGFQLTIGIHLDNIPRGKEAIKIITKISEIQAIILSNQLKEMLRHLNFQDDSRPINNNNNNNRPIKIVYHPSEPFYVFKQMKKITAVFPMNFKDNSDVVIATSFFQEMGKAPQCSWSPIPPLQLRGEPVQDLTTNSGFVSFDITSRHVEGKRLDKTVWNLLNFYAYVKYHIKCSRGYIQRRMRKRMDSLVKLLNNTNLEEEAAQNENGRCKYVKEFVKVPKGKMMMKQRCKEMTRRVKISKFRIKINGCARLRFNQRWISFPKFSSKPSNKSYTKLD >fgenesh2_kg.4__1342__AT2G33390.1 pep chromosome:v.1.0:4:15841046:15842283:1 gene:fgenesh2_kg.4__1342__AT2G33390.1 transcript:fgenesh2_kg.4__1342__AT2G33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARGEGKEANGCENKAIVSLNSSEIEDQISEEEESESQCLLPPRKGGMSRSNDKIKRTVQWNDNKGDNLAEVLVYEPSEVSDTEDDDSDSCICTIM >fgenesh2_kg.4__1343__AT2G33400.1 pep chromosome:v.1.0:4:15842251:15843984:-1 gene:fgenesh2_kg.4__1343__AT2G33400.1 transcript:fgenesh2_kg.4__1343__AT2G33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFMGCFGLSSNKKRRNSIRKILPRDQRICSYEPLLSSDPTDFSTLLDSPEKISNSNLRSAVRGEVEEEKVTRKTRKRVRFDLNVQTYEPILLSNYENACSDDEEGIGERSNRSSVINKKPEDLSCRSVYPSNYRYHNCVDSFADEDEMGYGESDLEDEDYYTDDENDYEDDADDEDEEEEDKDQDVTPLLNPVENLAQWKAVKARPVRVRRVMKENVEADMDDQEKPLLKEIILNTSLSNWLASPKSFHGNGSSKRSPIVDITNMENR >fgenesh2_kg.4__1344__AT2G33410.1 pep chromosome:v.1.0:4:15847868:15849770:1 gene:fgenesh2_kg.4__1344__AT2G33410.1 transcript:fgenesh2_kg.4__1344__AT2G33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQGKLFIGGISWDTDENLLREYFSNFGEVLQVTVMREKATGRPRGFGFVAFSDPAVIDRVLQDKHHIDNRDVDVKRAMSREEQSPAGRSGNFNASRNFDSGANVRTKKIFVGGLPPALTSDEFRAYFETYGPVSDAVIMIDQTTQRPRGFGFVSFDSEDSVDLVLHKTFHDLNGKQVEVKRALPKDANPGVASGGGRGSGGAGGFPVYGGSGGSGYEGRVDSNRYMQPQNTGSGYPPYGASGYGTGYGYGSNGVGYGGFGGYGNPAGAPYGNPGVPGAGFGSGPRSSWGAQAPSGYGNVGYGNAAPWGGSAPGSAVMGQAGASGGYGSQGYGYGGNDSSYGTPSAYGAVGGRSGNMPNSHGGGGYADASDVSGGYGNHQGNNGQAGYGGGYGSGRQAQQQ >fgenesh2_kg.4__1346__AT2G33430.1 pep chromosome:v.1.0:4:15854594:15856869:1 gene:fgenesh2_kg.4__1346__AT2G33430.1 transcript:fgenesh2_kg.4__1346__AT2G33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLSGTRHLTRALLSNVTVIAPPRVPSSVHCGGSRFGYSTRFFSIRCGANRSGSAYSPLNSGSNFSDRPPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVVGSEEEAKKRIYNVSCERYLGFGCEIDEETSTKLEGLPGVLFVLPDSYVDPENKDYGAELFVNGEIVQRSPERQRRVEPQPQRAQDRPRYNDRTRYSRRRENTR >fgenesh2_kg.4__134__AT2G22120.1 pep chromosome:v.1.0:4:665407:668437:1 gene:fgenesh2_kg.4__134__AT2G22120.1 transcript:fgenesh2_kg.4__134__AT2G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7LCN8] MADELELSPLVPPSPMVDPSEIDLEAGGPGEQIQCRICLETDGRDFIAPCKCKGTSKYVHRDCLDHWRAIKEGFAFAHCTTCKAPYYLRVHSAGDRKWRTLKFRFFVTRDILSIFLAVQLVIAALAYMVYFIDSYQQSWLRHIWGFDSEVTFYYMCGALLFFALLGLSGCVITCYDRRVRNDLAQPCRELCLCCCQPGICTDCHLPGTICMWADCTACTEGCASAVSECGGCLGGAGEAGLPLLFITALVILGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEMTGSEWSPPALPTEHVQQLKTLGLL >fgenesh2_kg.4__1351__AT2G33470.1 pep chromosome:v.1.0:4:15868386:15870536:-1 gene:fgenesh2_kg.4__1351__AT2G33470.1 transcript:fgenesh2_kg.4__1351__AT2G33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFTPCLEGMKHVKSEQGEMLTKPFLELCKTILPVIDKFGAAMTLVKSDIGGNISRLEKNYLSDPDKFKYLYTFVQVEIESKTAKGSSSCTNGLLWLTRAMDFLVELFRNLVAHQDWSMPQACADSYQKTLKKWHGWLASSTFSMALKLAPDRKKFMDVISGSGDIHADMERFCAEFGPFLQDNHKFLASVGMDDLKAS >fgenesh2_kg.4__1354__AT2G33480.1 pep chromosome:v.1.0:4:15874798:15876076:1 gene:fgenesh2_kg.4__1354__AT2G33480.1 transcript:fgenesh2_kg.4__1354__AT2G33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSFIKNRGVLRLPPGFRFHPTDEELVVQYLRRKVTGLPLPASVIPETDVCKSDPWDLPGDCESERYFFSTREAKYPNGNRSNRSTGSGYWKATGIDKQIGKKKLVVGMKKTLVFYKGKPPNGTRTNWVLHEYRLVDSQQESSYGQNMNWVLCRVFLKKRSNSTNNKRKEDEKEEIENEKDKEKDTCPIFYDFMRKDMKKKRRRRRRCCDLNLTPATSTCCCSSSSSASSSSVCSSALTHTSSNNNHHENKFCLFL >fgenesh2_kg.4__1355__AT2G33490.1 pep chromosome:v.1.0:4:15879073:15883478:1 gene:fgenesh2_kg.4__1355__AT2G33490.1 transcript:fgenesh2_kg.4__1355__AT2G33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7LGA9] MKTSLRRLRGVLHKHESKDRRDLRALVQKDELAQASQDVEDMRDCYDSLLNAAAATANSAYEFSESLRELGACLLEKTALNDDEESGRVLIMLGKLQFELQKLVDKYVSSHIFQTITIPSESLLNELRIVEEMQRLCDDKRNVYEGMLTRQREKGRSKGGKGETFSTQQLQEAHDEYENETTLFVFRLKSLKQGQTRSLLTQAARHHAAQLCFFKKALNSLEEVDPHVQMVTESQHIDYNFSGLEDDDGDDEIENNENDGSEVHDDGELSFEYRVNDKDQDADSSVGCSSELGNSDITFPQIAGPYTAQENEQGNYRKSHSFRRDVRAVSQSAPLFPENRTTPPSEKLLRMRSTLTRKFNTYALPTPVETTRSPSSTTSPVNKNVGSSNPTKAITKQIWYSSPLETRGPAKVSSRSMVALKEQVLRESNKNTSRLPPPLADGLLFSRLGTLKRRSFSGPLTSKPLPNKPLSTTSHLYSGPIPRNPVSKLPKVSSSPTASPTFVSTPRISELHELPRPPPRSSTKSSRELGYSAPLVSKSQLLSKPLITNSASPLPIPPAITRSFSIPTSNLRASDLDMSKTSLGTKKLGTASPPLTPMSLMHPPPQALPERADHLMISKEERRI >fgenesh2_kg.4__1359__AT2G33530.1 pep chromosome:v.1.0:4:15896788:15899625:-1 gene:fgenesh2_kg.4__1359__AT2G33530.1 transcript:fgenesh2_kg.4__1359__AT2G33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7LGB3] MATALILLQALSLVSSTILSRADRITRLPGQPRVGFQQYSGYVTIDDKKQRALFYYLAEAETKPISKPLVLWLNGGPGCSSLGVGAFSENGPFRPKGSVLVRNLHSWNQEANMLYLETPVGVGFSYATESSSYEGVNDKITAKDNLVFLQKWFLKFPQYLNRSLFITGESYAGHYVPQLAQLMIQYNKKHNLFNLKGIAIGNPVMEFATDFNSRGEYFWSHGLISDPTYKMFTSYCNYSRYVSEYYRGSVSSMCTKVMSQVSIETSRFVDKYDVTLDVCIPSVLSQSKVVNPQPQQVGETVDVCVEDETVNYLNRRDVQRALHARLVGTRKWAVCSNVLDYEVLDVEVPTINIVGSLVKAGVPVLVYSGDQDSVIPLTGSRTLVKRLAEELGLRTTVPYRVWFAGQQVGGWTQVYGNTLAFATVRGAAHEVPFSQPARALVLFKAFLGGRPLPGEF >fgenesh2_kg.4__135__AT2G22122.1 pep chromosome:v.1.0:4:679017:679793:1 gene:fgenesh2_kg.4__135__AT2G22122.1 transcript:fgenesh2_kg.4__135__AT2G22122.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LCP0] MSSMGANYAQLQVMQKKQKEKMMKKKLEKRRDGGVDGGEGGGVSSAGNRIFPVKSSPSS >fgenesh2_kg.4__1360__AT2G33540.1 pep chromosome:v.1.0:4:15915248:15920507:-1 gene:fgenesh2_kg.4__1360__AT2G33540.1 transcript:fgenesh2_kg.4__1360__AT2G33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVARSGCSRTLIRMGNDENLMGLADVEEGEIPDSGNTEIEVKQKTTTTADVGGDVDVGGRGGGGGGGGSNGNSRVWTMEDLLTKYPGYRLYATSGLSNFAWSQAVQNKSLNEGLVMDYEPRESDKIVIEDSGDEKEEGELEEGEIDLVENASDDNLVASVDKETESVVLISADKVEDDRIQKEIDLEKKVKLIRGVLESTSLVEAQTGFEGVCSRILGALESLRELVSDNDDFPKRDTLVQLSFASLQTINSVFCSLNNVSKERNKETMSRLLTLVNDHFSRFLSSNQKNEIEAMNQDLSRSAIAVYTGTSSEENVNRMTQPSNGDSFLAKKLSSEGTHRGASYVRSRLPMLPLLDLHKDHDADSLPSPTRETTPSLPVNGRHTMVKPGFPVGRESQTTEGAKVYPYESDALKAVSTYHQKFGLNSVFKTDDLPSPTPSGEPNDGNGDIDGEVSSSVVKSSNPGTLLMYGQDVPLPSNFNSRSMPVANAVSSTVPPHHLSIHTISAPTGSTQTVFASDQTVKPSAKSRDPRLRLAKPDTANVTINSYSSGDARNLFKVELSADLVNPRKQKAADELFIDGPAWKRQKSDTDAPKAAGIGGWLEDTESSGLPKLESKPRLIENGVTSMTTSVMPTSAVSVSQKVPTASTDAASLQSLLQDIAVNPTMLLNLLKMGERHKVPEKALQKPMDPRRAAQLPGSSVLPGVSAPLHIPASNALATNSSKRGVLQDSSQNAPTDESGSIRMKPRDPRRILHGGTLQRTDSSMEKQSKVNDSSTLGTLTMKGKTEDLETPSQLLPRQNISQNGTSKMKISGELLSEKTPDFSTQFTKSVKNIADMVVVSQQAGNPPASTHSIQLKTERDVKQNPSNPNDQEEDVSVSAASVTATAGPTRSMNSWGDVEHLFEGYDDTQRVAIQRERVRRLEEQKKMFASQKLSLVLDIDHTLLNSAKFNEVEFRHEEILRKKEEQDREKPYRHLFRFPHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKLLDPKGILFNGRVISKGDDGDPLDGDERVPKSKDLEGVMGMESSVVIIDDSVRVWPYNKMNLIAVERYLYFPRSRRQFGLLGPSLLELDRDEVPEEGTLASSLAVIEKIHKNFFSHTSLDEVDVRNILASEQRKILAGCRIVFSRIIPVGEAKPHLHPLWQTAEQFGAVCTTQVDEHVTHVVTNSLGTDKVNWALTRGRFVVHPGWVEASAFLYQRANENLYAINP >fgenesh2_kg.4__1362__AT2G33560.1 pep chromosome:v.1.0:4:15928241:15930552:-1 gene:fgenesh2_kg.4__1362__AT2G33560.1 transcript:fgenesh2_kg.4__1362__AT2G33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEARVQISDPESDFLNSKQETGYEWELFKENVRPLKRGRNVGILNHALKSHSDHQLRKNLVEKRRNLIEAIDEYDGDDPLFPWIECIKWVQEAFPPGGECSGLLVIYEQCVRKFWHSERYKDDLRYLKVWLEYAEHCADAEVIYKFLEVNEIGKTHAVYYIAYALHMEFKNKVKTANEIFNLGISRNAKPVEKLNDAYKKFMVRTMRRSNTAGEEPKENNDLPSRSFGTLLSRGDNNARRQALGSSNPQAKKLKPNHSSKTPFPIYTDAVSDTTSGNQPESDKSKPEFGSWLMLGGRAERNKENNSLPRKWASFKVPQKPIVRTAAASASTFEVFVDEEECTEEGGDKKKNDETISSSSNVLPLNDGREIKKETELLRQNPLRHFPASSFLR >fgenesh2_kg.4__1364__AT2G33580.1 pep chromosome:v.1.0:4:15936488:15938691:-1 gene:fgenesh2_kg.4__1364__AT2G33580.1 transcript:fgenesh2_kg.4__1364__AT2G33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTLHALSVSTLFLLLLFFAASPAKAQQPYVNNHQLACEVRDFDNITNGFTCNGPISCRSYLTFYSQPPYNTADSIAKLLNVSAAEIQSINKLPTVTTRIRTRDLVVIPANCSCSSSSSSSGGFYQHNATYNLSGNRGEETYFSVANDTYQALSTCQAMMSQNRYGEKELTPGLNLLVPLRCACPTAKQTAAGFKYLLTYLVARGDSISVIADRFNSTTAAITEGNELTSEDTIYFFTPVLVPLRTEPTKIVISPSPPPPPVIATPPQTPVDPPGSSSSSHKSIYIGIGIGAGLLLLISILALCFYKRRSKNKSSSSSLPEENKLFDSSTKQSIPTTTTQWSIDLSNSSEAFGLKSAIESLTLYRFNDLQSATSNFSDENRIKGSVYRATINGDDAAVKVIKGDVSSSEINLLKKLNHSNIIRLSGFCIREGTSYLVFEYSENGSISDWLHSSDKKVLTWKQRVEIARDVAEALDYLHNYITPPHIHKNLESTNILLDSNFRAKIANFGVARILDEGDLDLQLTRHVEGTQGYLAPEYVENGVITPKLDVFAFGVVVLELLSGREAVTIHKKKEKEEEEEVEMLCKVINNVLGGENVREKLKEFMDPSLGNEYPLELAYTMAQLAKSCVATDLNSRPSVTQVLTTLSMIVSSSIDWEPSDDLLRSGSLGN >fgenesh2_kg.4__1369__AT2G33620.2 pep chromosome:v.1.0:4:15952002:15954639:1 gene:fgenesh2_kg.4__1369__AT2G33620.2 transcript:fgenesh2_kg.4__1369__AT2G33620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7LGC2] MSGSETGFMAATRESVQFTMALHQQQQQRSEAQPQQSQNMQSSFGGDDGADLYRQPMRSASPPQQYQPNSAGENPVLNMNMPGAEHGAVTGSEPVKKRRGRPRKYGPESGETSLGLFSGAPSFTVSQPVSGGGGGEKKMRGRPPGSSSKRLKLQALGSTGIGFTPHVLTVMTGEDVSSKIMALAHNGPRAVCVMSANGAISNVTLRQSGTSGGTVTYEGRFEILSLSGSFHLLENDGQRSRTGGLSVSLSSPDGNVLGGSVAGLLIAASPVQIVVGSFIPDGEKEPKQHVGQMGLSSPTLPRVAPTQVLMTPGSPQSRGTMSESSCGGGHGSPIHQGTGSYSWK >fgenesh2_kg.4__1375__AT2G33670.1 pep chromosome:v.1.0:4:15962029:15964857:1 gene:fgenesh2_kg.4__1375__AT2G33670.1 transcript:fgenesh2_kg.4__1375__AT2G33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:D7LGC6] MAGGGGGGSTSGEGPRELDQTPTWAVSTVCGVIILISIVLELMIHKIGEVFTKRRKKALYEALQKIKNELMVLGFISLLLTFGQNYIASLCVATRYGHAMSFCGPYDGPSGESKKPKTTHHLQRRVLADAAPTQCKKGYVPLISLNALHQVHIFIFFLAVFHVIYSAITMMLGRAKIRGWKVWEEEVINDHEMMDDPSRFRLTHETSFVREHVNPWAKNRFSFYVMCFFRQMLRSVRKSDYLTMRHGFISVHLAPGMKFNFQKYIKRSLEDDFKVVVGISPELWAFVMLFLLFDVHGWYVTAVITMIPPVLTLAIGTKLQAIISDMALEIQERHAVIQGMPLVNVSDRHFWLSRPALVLHIIHFILFQNAFEITYFFWIWYEFGLRSCFHHHFALIIIRVALGVGVQFLCSYITLPLYALMGSTMKRSVFDDQTSKALKNWHKNAKKKSETSGPMQSPLPNLRPKTGGDIESASPANITASVDVKESDQSQPRDLLSGP >fgenesh2_kg.4__1376__AT2G33680.1 pep chromosome:v.1.0:4:15966568:15968750:1 gene:fgenesh2_kg.4__1376__AT2G33680.1 transcript:fgenesh2_kg.4__1376__AT2G33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LGC7] MYPSTFQTEINPFSHTSTLLKALTHHSQHRNLVAGRAVHAQIIRTGTSTCTQHANVLVNFYAKCGQLAKAHSIFNAIICKDVVSWNSLITGYSQNGGISSSHTVMQLFREMRAQDILPNAYTLAGIFKAESSLQSCTVGRQAHALVVKMSSFGDIYVDTSLVGMYCKAGLKYLYMVYYGFWLCYKKDVLRRQLKSSICFLEEKEKESDSDYVFTAVLSSLAATVYVGLGRQIHGITVKNGLLGFVALSNALVTMYSKCESLNEACKMFDSSGDRNSITWSAMVTGYSQNGESLEAIKLFSRMFSAGIKPSEYTIVGVLNACSDICYLVEGKQLHSFLLKLGFERHLFATTALVDMYAKAGCLADARKGFDCLQERDVALWTSLISGYVQNSDNEEALILYRRMKTAGIIPNDPTMASVLKACSSLATLELGKQVHGHTIKHGFGLEVPIGSALSTMYTKCGSLEDGNLVFRRTPNKDVVSWNAMISGLSHNGQGDEALELFEEMLAEGTEPDDVTFVNIISACSHKGFVERGWSYFHMMSDQFGLDPKVDHYACMVDVLSRAGQLKETKEFIESASIDHGLCLWRILLSACKNHGNCELGVYAGEKLMSLGSRESSTYVQLAGIYTALGRMRDVERVWKLMRTNGVSKEVGCSWIALKNQWHVFVVGDTMHPRIEETKDLVSLVSRQMLEEGFVTVLNSSYVEEEEHNYVLLS >fgenesh2_kg.4__1377__AT2G33690.1 pep chromosome:v.1.0:4:15969143:15969553:1 gene:fgenesh2_kg.4__1377__AT2G33690.1 transcript:fgenesh2_kg.4__1377__AT2G33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LGC8] NLSKREEKEELPLETSPYTKYEDIEDYKKNAYGTSGHQDVKPGQGGGTTDAPTLSGSGAPSAIDSANQKAKK >fgenesh2_kg.4__1379__AT2G33707.1 pep chromosome:v.1.0:4:15975098:15975316:-1 gene:fgenesh2_kg.4__1379__AT2G33707.1 transcript:fgenesh2_kg.4__1379__AT2G33707.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LGD0] ITMTESKKCHKDSDCKHKIPCAIPVACLYGGCVCTLMAFANRSFSACKLMCAQLGKNTISHFDSSHCVCGDK >fgenesh2_kg.4__1380__AT2G33710.1 pep chromosome:v.1.0:4:15976385:15978731:-1 gene:fgenesh2_kg.4__1380__AT2G33710.1 transcript:fgenesh2_kg.4__1380__AT2G33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 domain-containing transcription factor family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LGD1] MHSGKRPLSPESMAGKKEEKKELCCCSTLSESDVSAFVSELTEQPTPPSMDDESMPLTLQEQSNSRQRNYRGVRQRPWGKWAAEIRDPNKAARVWLGTFDTAEEAALAYDKAAFEFRGHKAKLNFPEHIRVNPTQLYPSTATSHDRLIVTPPRPPPTIAPDILLDQYGLFQSRNSDSGANLSMNMSSSSSSSFLNHQEHRPNLEDDENVKNITIHKRR >fgenesh2_kg.4__1382__AT2G33735.1 pep chromosome:v.1.0:4:15988960:15990054:-1 gene:fgenesh2_kg.4__1382__AT2G33735.1 transcript:fgenesh2_kg.4__1382__AT2G33735.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LGD4] MWCSDWGDGHGFDFFDFENYKDHYKVLELNCDASDDEIRSSFIRLALKWHPDKFKEEDSATSRFQEINEAYQVLSDPITRQEYDKKRMRRIYENNMELLNEYKELILTCNGLGMKHYLW >fgenesh2_kg.4__138__AT2G22140.1 pep chromosome:v.1.0:4:708446:712401:-1 gene:fgenesh2_kg.4__138__AT2G22140.1 transcript:fgenesh2_kg.4__138__AT2G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHILISDGEDQATPLQSLSKRARKDPISAILISDSDPTPQKQLPESSSTPLFVPDTPLSDDLSVVKCSFGSGALASNREDKFSGKRIISLDSEFEDSPRPKSSKKYESVLAGFREPRFGLEAGTSEAYYKNTRITETNLDDNTNWMHEVSFRSSQTNDTIEVDSDQEKEDISVEKMGRKNKIRTTTLPGEALPKKQLSKADKTCAMEEKKLRKEQERLEKAALKAEEAERKRLEKEKKKWEKGKLALKSIVAEIDTKVVEGSIGGLLLSRFSEKGITIHVGPNPIERSIVWTMTIPESIAPLFPQGPKIPYVLLVYEAEEFCNLVANEKFLENISRVQDRYPFHTVCCLTNKLMSYVKKREKEEYKNPGNWRRPPIDEVLAKLTTHYVKVHSRHCVDEAEVAEHVVGLTSSLASCQFRLKALVAIPKVQPRYALAVWKKYPSMKSLLKVYLDRNKSVHEKEFLLKDLKVEGLVGGDIRLGEVCSKRIYRVLMSHNGAIKTDDVENGAAFFTVSPGVN >fgenesh2_kg.4__1390__AT2G33780.1 pep chromosome:v.1.0:4:16016767:16017407:-1 gene:fgenesh2_kg.4__1390__AT2G33780.1 transcript:fgenesh2_kg.4__1390__AT2G33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPRYKDDAKNLLPSPSSCTTTPTRLFRSTNMIDTTFIRTDPSSFKQVVQLLTGISKTPPHQPDPRFSSFHSIPPIKSVPNKKQASSFRLYERRDSMKHYLKINPTSSGLPEVPSPGILDFPALALSPDTPLMSDPFHRSGSLSQTQSPSDPKPSSDDEEERAIKEKGFYLHPSPSTTPRDTEPRLLTLFPMTSTHLPPSPHDQP >fgenesh2_kg.4__1391__AT2G33790.1 pep chromosome:v.1.0:4:16020345:16021665:-1 gene:fgenesh2_kg.4__1391__AT2G33790.1 transcript:fgenesh2_kg.4__1391__AT2G33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIGKCVSLTLLALLCFTSSVFTLGVNQPGSSDPFNSHPHHLPIPPIKLPTLPPAKAPIKLPVYPPAKAPIKLPTLPPAKAPIKLPTLPPIKPPVLPPVYPPKYNKTLVAVRGVVYCKACKYAGVNNIKPVKDAVVRLVCKNNKNPVSETKTDTKGYFMLLAPKTVTNYDIKNCRAFLVKSPDAKCSKVSTFNGGYKGSVLKPVLKPGFSSVIIKKFKYGVYNVGPFAFEPTCPK >fgenesh2_kg.4__1392__AT2G33800.1 pep chromosome:v.1.0:4:16036300:16037967:-1 gene:fgenesh2_kg.4__1392__AT2G33800.1 transcript:fgenesh2_kg.4__1392__AT2G33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 family protein [Source:UniProtKB/TrEMBL;Acc:D7LGE8] MATASALSSLSSLSLHTRTTSSLISSSSTKPIVSFSSFLNRRVSSLTLVKASSNETETFFFEDETPEITANVVFDPPIAPEGFVPPPYFDEGSDETEEEIATAFEELYGPAYSGESMLGKDIYVMDSKHKKSSGIGGKLKKDKIRDGFEERVVQVRRVTKVVKGGKQLKFRAIVVVGDKQGNVGVGCAKAKEVVAAVQKSAIDARRNIVQVPMTKYSTFPHRSEGDYGAAKVMLRPASPGTGVIAGGAVRIVLEMAGVENALGKQLGSNNALNNARATLAAVQQMRQFRDVAQERGIPMEELWK >fgenesh2_kg.4__1394__AT2G33810.1 pep chromosome:v.1.0:4:16047028:16048083:1 gene:fgenesh2_kg.4__1394__AT2G33810.1 transcript:fgenesh2_kg.4__1394__AT2G33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRRSKAEGKRSLREMSEEEEDEETEDEDTFEEEEALEKKQKAKATSSSGVCQVESCTADMSKAKQYHKRHKVCEFHAKAPLVRIYGLHQRFCQQCSRFHELSEFDEAKRSCRRRLAGHNERRRKSTTE >fgenesh2_kg.4__1397__AT2G33830.2 pep chromosome:v.1.0:4:16052160:16052965:-1 gene:fgenesh2_kg.4__1397__AT2G33830.2 transcript:fgenesh2_kg.4__1397__AT2G33830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancy/auxin associated family protein [Source:UniProtKB/TrEMBL;Acc:D7LGF1] MWDETVAGPKPEHGLGRLRNKITAQPLDIKGVGEGSSSKTVAAVAGSPGTPTTPGSARKDNVWRSVFHPGSNIATKGRGTNLFDKPSHPNSPTVYDWLYSDDTRSKHL >fgenesh2_kg.4__1399__AT2G33840.1 pep chromosome:v.1.0:4:16057179:16059700:1 gene:fgenesh2_kg.4__1399__AT2G33840.1 transcript:fgenesh2_kg.4__1399__AT2G33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I family protein [Source:UniProtKB/TrEMBL;Acc:D7LGF3] MADQSSDIVNTLSSEMEAVSVSSTQASSSSDGLQMSEEVEKRYKIVRSIGEECIQEEELKNLLAKKAAPICYDGFEPSGRMHIAQGVMKVINVNKMTSAGCRVKIWIADWFAQLNNKMGGDLKKIRVVGEYFQEIWKAAGMDNDKVEFLWSSEEINSKADKYWPLVMDIARKNKLPRILRCVQIMGRSETDELSAAQILYPCMQCADIFFLEADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLQQGQEKMSKSDPLSAIFMEDEEAEVNVKIKKAYCPPKIVQGNPCLEYIKYIILPWFDEFTVERNEEYGGNKTYKSFEEIAAEYESGELHPGDLKKGLMNALNKILQPVRDHFKTDARAKNLLKQIKAYRVTR >fgenesh2_kg.4__1400__AT2G33845.1 pep chromosome:v.1.0:4:16060019:16061646:1 gene:fgenesh2_kg.4__1400__AT2G33845.1 transcript:fgenesh2_kg.4__1400__AT2G33845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTSNDNFQHRPRQRNGPPPPRRQGRNPPPPSSSTRTSQNQPQQQTPQEKKKPVFVKVDQLKPGTSGHTLTVKVVDQNSVPQKPGAASSHIRPARISECLVGDETACILFTARNDQVDLMKPGASVNLRNAKIDMFKGSMRLAVDKWGRIEVTEPADITVKEDNNLSLVEYELVNVVEE >fgenesh2_kg.4__1401__AT2G33850.1 pep chromosome:v.1.0:4:16063090:16064024:-1 gene:fgenesh2_kg.4__1401__AT2G33850.1 transcript:fgenesh2_kg.4__1401__AT2G33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSTSSCLFFFLTLVLFSTQIDARDSYSFGKFQREDPKEQNPNNLVPIQTNEKKEPDDQNPAFIPQSENGYGLYGHETTDNNNEELNSNKYEDNVNYDDSFSTPSLSEAAQTQESYKNYRESYPKTTEIYDNNKDTSYYENSNAYGTDKREEAYKGRYNNKDTSYYENPNTYGTEKREKEAYKGYNNNVERQGMSDTRYMANGKYYYDLDDDRNHGRFYQNHYYNYKPTGYNEKKSNFKNPYDSNQQSEMYGEEQGDEFTP >fgenesh2_kg.4__1405__AT2G33980.1 pep chromosome:v.1.0:4:16099808:16113717:-1 gene:fgenesh2_kg.4__1405__AT2G33980.1 transcript:fgenesh2_kg.4__1405__AT2G33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGASAASPTAKGFKFGSSRLLALAQQLRVYKPPLYSLFDEAEEMRGYKESTTESISHKAAVLICLFEGDNGDLRVILTKRSSTLSTHSGEVSLPGGKAEDHDKDDGITATREAEEEIGLDPLLVDVVAFLEPFLSQHLLRVIPVVGILWDRKAFNPTPNPAEVEAVFDAPFEMFLKDENRRSEEFEWMGEKHLVHFFDYKTGDSDYVIWGLTARILIRAATVVYQRPPAFIEHKPNLKYSKMQKPLANLHESCENGRLLGHVPAYCLRYLAGIPSR >fgenesh2_kg.4__1407__AT2G34000.1 pep chromosome:v.1.0:4:16121228:16121656:1 gene:fgenesh2_kg.4__1407__AT2G34000.1 transcript:fgenesh2_kg.4__1407__AT2G34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNDPSLNSIILWFASVTSLVTISVIFALLIICLLKRRRFDVSPETENEHRGRREPPCQGLSASVIAAFPTFSYKPDNNDPESNNQEIECPVCLGLIPKNVVIKVLPNCMHMFDEECIGKWLESHATCPVCRRLVEPMAKQ >fgenesh2_kg.4__1409__AT2G34020.1 pep chromosome:v.1.0:4:16129730:16157236:-1 gene:fgenesh2_kg.4__1409__AT2G34020.1 transcript:fgenesh2_kg.4__1409__AT2G34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7LGG6] MQTFSFKRRPNHSHFPLTLFFFFLSLALFISAVSSRVLSPVPLNNSILISDGVHGALDYKYLTLDPPENVKKAACIHVYGFLPCADNIGGYIFQVFSFGCLLIIGDYFLSEGRSKLFLIFEVGFYGGIIFPLLTMFPRIALMISPGLVATHEGALMIVGNNVGVTMGHTIFALTMQWGACVVFGLTGPNSDPSIRRGSIKRTASDTKNPRRGFYRMKILKSVVEASVDADPKNKKAAGIMLLTLVPFLLVTLPDLLDAQSWSDIIMLITLIISCSSTFIYFVYSYFDTADQKKSLDHAKFELMSEVHKHLQSFSPRTLIRDGQLSKESLKSLFDKIDRNKDGKIQISELKDLTVEFGVFGRMKCDINEFASTLLAEFDKDKNGELDENEFEEGIMKLLNQYKFDNQESPRHNNTYIYRTPSDSVHVKNLSNGKEAGVLKLEMPKQTLVAKFLSMRTLRAVTKVIGGMLIVVFLAKPFMVNIGLLSVSAGVPSFYSVFAVIPLVRNLKNTLSAHFCRKKDKARIASEMFSEIYRDVTMNNLMGMSITLAIVYSRGLKWEYSIESLLVVVVGIAIGLPAYVRSTYPFWICVMAFAMYIFSLVLIYIHFHLRGQS >fgenesh2_kg.4__140__AT2G34925.1 pep chromosome:v.1.0:4:748790:749008:-1 gene:fgenesh2_kg.4__140__AT2G34925.1 transcript:fgenesh2_kg.4__140__AT2G34925.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LDB4] FDQHKTHQRTIDQTHRISSNVQHIRDMAATLPEGKRRERFRVRRPMTTWRKGKMLGASEHGVPSGPNPISNR >fgenesh2_kg.4__1415__AT2G34090.2 pep chromosome:v.1.0:4:16168185:16171562:-1 gene:fgenesh2_kg.4__1415__AT2G34090.2 transcript:fgenesh2_kg.4__1415__AT2G34090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICYFGGLVHYKAPSPFSLHFLFRSKPHYYNSTSLMAECRSSSTVEPPSLLVFSGCTGFNGVVEELKKLTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTSEALAVRRLLGHRLPIDAHQAKKDWYDIVEGNHSLWDGVSRPYSETIRAFLIYFQNEFHRRPNERFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSEIPCDSLVLPVISTNDRLTLGCELQDGTIIRGQNEISHPTNGTMQTVDKRHCSNSALPSKIKRVFYMSSEGNNLLHEVFPPVNPTVLEQLRSVDCIVYAMGSLFTSVCPSLVLLGVGEIISSRSCRKVLLLNGSQDRETSGFTASCFVTAIADALNRTHGDPNIRLKNPPGYYINTLLVPKDGEIAVDLKQLSEQGIKDVVSISVLHLFSSLCAQQTLTVASALLQRVVECVGDPKHGILFNPSSLINMLAGLVE >fgenesh2_kg.4__1419__AT2G34160.1 pep chromosome:v.1.0:4:16200650:16201842:1 gene:fgenesh2_kg.4__1419__AT2G34160.1 transcript:fgenesh2_kg.4__1419__AT2G34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7LGX9] MEEITDGVNNMNLAVDSQKKNRIQVSNTKKPLFFYVNLAKRYMQQYNDVELSALGMAIATVVTVAEILKNNGFAVEKKIMTSTVDIKDDSRGRPVQKAKIEITLAKSEKFDELMAAANEEKEAAEAQVQN >fgenesh2_kg.4__141__AT2G21630.1 pep chromosome:v.1.0:4:775263:778615:-1 gene:fgenesh2_kg.4__141__AT2G21630.1 transcript:fgenesh2_kg.4__141__AT2G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LDB7] ETSVYTPLKPVRSFTDHSLLLPYSPLRCRTCRSFLNPYSVVDFSACIWGCPFCFNRNPFPRNYSSIADNNLPPELFPHSTTVEYLCDSFSSPSPPVFLFVVDTCLISSNYLSFKLWIFFLIPPSSLGFSHCTKSYIFHGNKDCTKDQLLDQLSFFVKNPKPSSGVIAGARDGLSSDDIARFLLPVSDCQFTLHSVLEELGNNPWPVAADHRPARCTGVALRISAGLLGACFPGSAARIMAFIGGPSTEGPGAIVSRELSDPIRSHKDIDKDSATYYYKAVEFYEMLAKQLVHQGHVLDVFASSVDQVGIAELKVAVEQTGGYVVLAESFGHSVFRDSLKRVCQSGENDLGLSSCFSVGIIGPCASLEKKGPLCSDTAVGQGHTSAWKMCGLDKNTSICLVFEIAKRDTADVVLQSQSNQFYFQFLTYYGSISTQMVKQDSGNPFEARFENWSVELSNGFDQEAAAVVMARLISFKIVSYFNPQRWVDKALINLCTWFGDYQKGNPSSFNLSSQLSIFPQFVFHLRRSQFVQVFNNSPDETAYFRMILYRENVSNSIVMIQPSLISFSFHSPAEPILLDVASIAADRILLLDSYLTLVIFHGSTIAQWRKAGYHNQPEHQAFEHLLQSPRDYADTIVSERFPTPRLVICYQYGSQARFLLAKLNPSDGEAPLSGESNVFTDDVSLS >fgenesh2_kg.4__1422__AT2G34190.1 pep chromosome:v.1.0:4:16211583:16214298:1 gene:fgenesh2_kg.4__1422__AT2G34190.1 transcript:fgenesh2_kg.4__1422__AT2G34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:UniProtKB/TrEMBL;Acc:D7LGY2] MDPVKPEEISHPPMDQLQGLEYCIDSNPPWGEAIALGFEHYILALGTAVMIPSFLIPMMGGDDGDKVRVVQTLLFLQGVNTLLQTLFGTRLPTVIGGSYAFIVPIISIIHDSSLTRIEDPQLRFLSTMRAVQGAIIVASSVQIILGFSQMWAICSRFFSPIGMVPVIALTGFGLFNRGFPVVGNCIEIGLPMLILFVIFSQYLKNFQFRQFPVVERFALIIALIVVWAYAHVLTASGAYKHRPHQTQVNCRTDMSNLISSAPWIKIPYPLQWGAPSFDAGHAFAMMAAVLVSLIESTGAFKAAARLASATPPPPHVLSRGIGWQGIGILLNGLFGTLSGSSVSVENIGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAVYCVLFGLVASIGLSFLQFTNMNSLRNLFIVGVSLFLGLSIPEYFRDFSMKALHGPAHTNAGWFNDFLNTIFLSSPMVALMVAVFLDNTLDYKETARDRGLPWWAKFRTFKGDSRNEEFYTLPFNLNRFFPPS >fgenesh2_kg.4__1424__AT2G34210.1 pep chromosome:v.1.0:4:16220635:16225231:1 gene:fgenesh2_kg.4__1424__AT2G34210.1 transcript:fgenesh2_kg.4__1424__AT2G34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor SPT5 [Source:UniProtKB/TrEMBL;Acc:D7LGY4] MSHYSDDDEDDSEMEEEEDEDEYEPRSSRKGSSGKKRGRSNSDSDGRRGSKKKSSGSAFIDWEVEVDDDVEDDDDDVEDDNGFIVSGETDLPSEDTNHHRQFYQRGFNPHEEDVDEFEKRTLERLSSRMHAEDDDELDEFNDIDQQALLPSVCDPKLWLVKCAIGREREVAVCLMQKIIDRGSEFKIRSAIALDHLQNYVYIEADMEAHVKEAIKGMRNIYANQKILLVPIKEMTDVLSVESKAIDLSRDTWVRMKLGIYKGDLAQVVDVDNVRKRVTVKLIPRIDLQALANKLEGRENVKKKAFAPPPRFMNIDEARELHIRVEHRRDPMTGDHFENIDGMLFKDGFLYKKVSTKSIAAQNITPTFDELERFKRPNENGEIDFVDPSTLFANRKKGHFMKGDAVIVIKGDLINLKGWIEKVDEENVLIRSNMKGLPNPIAVNERELCKYFEPGNFVKVVSGIHEGGTGMIVKVDQHMLIILSDTTKEHIRVFADHVAKSAEVTNGVTKIGDYELHDLVILSDLSFGVIIKLDSEAIQILKGVPDSSEVSIVKASEIKYKIWKKSNVQDRYKNVIAVKDVVRVIEGPSKGKQGPVVQIYKGVLFIHDRHNFEHTGFICTRCSSCVLVGGNFKTPALIPPSPRRFQRADMGYNRAGGRHCGGQGRRGDDLLVGTYVKIRMGAFKGYSGRLVEVKDKLVRVELEAKIVTGKLHFERTAISDMTDNVATPSHYNMGSQTPMHPSRTPLHPCMTPMRDSGATPIHDGMRTPMRGRAWNPYMPMSPPRDNWEDGNPGSWGTGPYEASTPGSGWGSSTPSRSSYSDAGTPINNANAPSPMTPSSASYLPTTPGGQPMTPGTDLDVMSHDLGGDAETRFVPGILVNVHKAGEDSKPGVIRDVLLDGSCVVALGHRGEGETIMATQNNVSLVCPKKNERVKILGGKYGGSTGKVIGEDGWDGIVKLDESLDVKIMKLAILAKLVHE >fgenesh2_kg.4__1426__AT2G34230.2 pep chromosome:v.1.0:4:16230403:16232933:1 gene:fgenesh2_kg.4__1426__AT2G34230.2 transcript:fgenesh2_kg.4__1426__AT2G34230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSEKSDDKETKKKIDDAYNLAKGFWKKGNRIEALMLTEKTISDHGRNESCCYVHHELQGDIFYQLAGEIELEITNDIKRVYLFASLDAFSMSILLCPDALRSFRGCARSLIELGDQLGIKKFYEKAASRACQGVSITKPQDISQSSEKALKKKNSNAAVTVSETSSMDNQMLLIKKDPSNQLKYFWVNLDDKTKRDFLVVDFRKLIDYIFDVYGKEVKGYFRKCVATILDSSRWRCWKCHICSQVNYCFTDCKMHILDNHVHKYEPDFSAHPKYVDEILADMICCGDWKPVDIEKAANLIKERTKSRIEFVYVNGWCSDWPVANDKDRENILKQFADVLKSSCPKENRTLSCSLWDWLIDYTEEHLELPGVPGSYLDECSFFKNPQCICFLDLKHLKHILKYFRQLTTDVRGSLVSKVVNQLWENSQVKERIDLEGVTTYNLLLDKRLLYEEVLELDKNETVEHYQSTGIYEDVMPKGDKIVSWILDCPEINKEFMSQVAKGLHNREIWLAVLRIVQGMVRKKESYYDKKRRMLTYEKMLGVVDTICDREDTRKNVNQRSTYEFSLRMKCEELVGKQDDDTKVFLTVVRDVFVRLCTPNFKALEDMECISKLSATVPNDDVKKSLLRLRKSLKEKVFFFLFFLFIY >fgenesh2_kg.4__1427__AT2G34250.1 pep chromosome:v.1.0:4:16236686:16239514:1 gene:fgenesh2_kg.4__1427__AT2G34250.1 transcript:fgenesh2_kg.4__1427__AT2G34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVRPFLAFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGPVGQLGVGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICESIIWKAFSPTTINTGRGAEFEGAVIALFHMLITKSNKVAALRQAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKFSGNFFVNLLGQWKESEYSGQSIPVSGLAYLITAPASFSDMAAHPFHALFYIVFMLTACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGFFGF >fgenesh2_kg.4__1432__AT2G34300.1 pep chromosome:v.1.0:4:16257344:16260979:-1 gene:fgenesh2_kg.4__1432__AT2G34300.1 transcript:fgenesh2_kg.4__1432__AT2G34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKYSRVDGKKSSTYGLTITIVLLLSLCLVGAWMFMSSSSAPANSVGYSSSDTAKDVEPVTKNDLSKEEGDRDPKNFSDEKKEESEAVTENNHVNTDSENSAEGNQVDESSGEKSEAVEEKKESDDSNGDGDGEKEKNVKEVESESDEAKQKEKTQLEESTEENKSEDGNGNEEKSEESASEIEEITEKSNKDVFPAGDQAEITKESSTGDGAWSTQLVESQNEKKAQQSSISKDQSSYGWKTCNVTAGPDYIPCLDNWQAIKKLHTTMHYEHRERHCPEETPHCLVSLPDGYKRSIKWPKSREKIWYNNVPHTKLAEIKGHQNWVKMSGEHLTFPGGGTQFKNGALHYIDFIQQSHPAIAWGNRTRVILDVGCGVASFGGYLFERDVLALSFAPKDEHEAQVQFALERGIPAMLNVMGTKRLPFPSSVFDLIHCARCRVPWHIEGGKLLLELNRALRPGGFFVWSATPVYRKNEEDSGIWKAMSKLTKAMCWKLVTIKKDKLNEVGAAIYQKPTSNKCYNKRPQNDPPLCKDSDDQNAAWNVPLEACMHKVTEDSSKRGAVWPNMWPERVETAPEWLDSQEGVYGKPAPEDFTADQEKWKTIVSKSYLNDMGIDWSNVRNVMDMRAVYGGFAAALKDLKLWVMNVVPVDAPDTLPIIYERGLFGIYHDWCESFNTYLRTYDLLHADHLFSTLRKRCNLVSVMAEIDRILRPQGTFIIRDDMETLGEVEKMVKSMKWNVKMTQSKDNEGLLSIQKSWWRPAETETIKSAIA >fgenesh2_kg.4__1433__AT2G34310.3 pep chromosome:v.1.0:4:16261412:16263698:-1 gene:fgenesh2_kg.4__1433__AT2G34310.3 transcript:fgenesh2_kg.4__1433__AT2G34310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGAKKRKALKKKQQEQEAAGAGTNNKGFNGDGHDEHGSQDERDSDGNLSSPGSQGNEEFGTIDSSPPPLSGLGKDIVKEKAEDADFTRGQVVKGEDVIEVGRGTDHEENGVDKPPTPCPENFTQTSREADSTSSLEISPALDSVNPVGSSVSMVVMSDKNEQVESSTDSDSLQQKSDENEENLSPGSAEETNKEVKNVKEYEVPECSKEKSLLPSGPPVVRTSWLSCCGLFDVMAGSER >fgenesh2_kg.4__1436__AT2G34330.1 pep chromosome:v.1.0:4:16271359:16272159:1 gene:fgenesh2_kg.4__1436__AT2G34330.1 transcript:fgenesh2_kg.4__1436__AT2G34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAESLVTLNHKILRPCRKLLIRITKSCPRRHNRHLKLKKASSSSTTASGNKVTKVVALFFLSFHKKKQKKEKMKRLNELRSFSHAVSDQKKAPKQESSKKVFPSRLTMSWLGQGKGNNNTQEVPQEHDARRDSTSAFIP >fgenesh2_kg.4__1437__AT2G34340.1 pep chromosome:v.1.0:4:16273286:16276584:-1 gene:fgenesh2_kg.4__1437__AT2G34340.1 transcript:fgenesh2_kg.4__1437__AT2G34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFDESEIVFSDGFSSVHHKENKKRLFGSDMEMKKKRWIKMTTTDKALSSSLPVNIPENMFRRYVGKEEDDYSKEEYSDVGEMVPPHIMVGRRIQGGQMAFSVCSGSGRTLKGRDLSRVRNSVLRLTGFLEA >fgenesh2_kg.4__1441__AT2G34360.1 pep chromosome:v.1.0:4:16299676:16302950:1 gene:fgenesh2_kg.4__1441__AT2G34360.1 transcript:fgenesh2_kg.4__1441__AT2G34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7LGZ9] MTEEREAMLSWPLIGEKKERSRLVKEEVKKQLLLSGPLIAVSLLQFCLQIISVMFVGHLGSLPLSAASIATSFASVTGFTFLMGTASALDTVCGQSYGAKMYGMLGIQMQRAMLVLTLFSIPLSIVWANTEHFLVFFGQDKSIAHLSGSYARFMIPSIFAYGLLQCLNRFLQAQNNVFPVVVCSGVTTSLHVIICWALVLKSGLGFRGAAVANAVSYWLNVILLSCYVKFSSSCSLTWTGFSKEAQHDIIPFMKLAIPSAIMVCLEMWSFELLVLSSGLLPNPVLETSVLAICLNTSGTVWMIPFGLSGAASTRVSNELGARNPKGAKLAVRVVLSFSIIESILVGSVLILIRKIWGFAYSSDPEVARYVASMLPILALGHCLDSFQSVLSGVARGCGWQKLGAFVNLGAYYLVGVPFGLLLGFHFHVGGRGLWLGIICALVVQGLCLSLITFFTNWDEEVKSSILIIFFSFL >fgenesh2_kg.4__1454__AT2G34380.1 pep chromosome:v.1.0:4:16310657:16312442:1 gene:fgenesh2_kg.4__1454__AT2G34380.1 transcript:fgenesh2_kg.4__1454__AT2G34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LH01] IQSSNPISCDRFLDAPDEFFYDSFATHYDSDCLNSSPAANILRRRLVMDTDSSSCSSTTLKSFEKRSSVGENDELEVSIRNFETIETDVDKKGEDFEVIDSCIDTEKNMDVNDSGRVDPFTATTLNDERGEVYTAQESPWTDWSLTRLVIRSIEFQVSLMISFIRFPPWLISNCLSFVFDPYRTMRRGRRYLVSWIVELCESGLKYDKPVLELVRKLAWGLFCAVYVGIMLFTLLVSAFMISGFVITHLAHEPLVIKESLNFDYTKSSPEAYVPISSCAGIAFDLSGKESIETGKIRGLKDKTEITVSMTLPESEYNRILGMFQVRVDFLSASGEMLASSRRPCMVRFRSEPIRLVQTLLKIAPLVTGYVSEIQTLNLKLKGLVEKDIIPTACLKVMIEQRAEFRPGAGIPEIYDASLLLESKLPFFKRIIWNWRKTLFVWISMSLFIMELLCALVFFRPLIIPRTGQSTQQRDRTHSLNNLNLDSQAGSR >fgenesh2_kg.4__1457__AT2G34400.1 pep chromosome:v.1.0:4:16314473:16316423:1 gene:fgenesh2_kg.4__1457__AT2G34400.1 transcript:fgenesh2_kg.4__1457__AT2G34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LH03] MLKPEKLAFSIYRQFQFSQFKPRQLEEARRGDLERGFLFLLKKCISVNQLREIQAQMLLHSVEKPNFLIPKAVELGDFNYASFLLSVTEEPNHYSFNYMIRGLTNIWNDHEGALSLYRRMKYSGLKPDNFTYNFVFIACGKREEIGVGRSVHSSLFKVGLERDDHISHSLIMMYAKCGLVGYARKVFDEITDRVTVSWNSMISGYSEAGRAKDAMDLFRKMEEEGFEPDERTLVSMLGACAHLGDLTTGRLLEKMAITKKIGLSTFLGSKLITMYGKCGDLDSARRVFNQMIKKDRVAWNAMITVYSQNGKSSEAFKLFFEMEKTGVSPDAGTLSTVLSACGSVGALELGKRIETHASEISLQHNIYVATGLVDMYGKCGHIEEALRVFEAMPVKNEATWNAMITAYAHQGHAKEALLLFDQMPVPPSDVTFIGVLSACVHAGLVDQGCRYFHEMSSLFGLVPKIEHYTNIIDLLSRAGLLDEAWEFMERFPGKPDEIMLAAILGACHKRKDVAIREKAMRMLMEMKEAKNAGNYVISSKVLADMKMWDESAKMRALMRDRGVVKTPGCSWIEINGELMEFLAGSDYLQCGREDSGSLFGLLVEEMKRERYDFAGEV >fgenesh2_kg.4__1459__AT2G34410.2 pep chromosome:v.1.0:4:16317559:16321704:1 gene:fgenesh2_kg.4__1459__AT2G34410.2 transcript:fgenesh2_kg.4__1459__AT2G34410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acetyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LH04] MADSEPITPGQVSFLLGVIPVFIAWIYSEFLEYKRSSLHSKVHSDNNLVELGEVKNKEDEVAVLLEGGLARSVSTKFYNSPIKTNLIRFLTLEDSFLIENRATLRAMAEFGAILFYFYISDRTSLLGESKKNYNRDLFLFLYCLLIIVAYMTSLKKHNDKSPITGKSILYLNRHQTEEWKGWMQVLFLMYHYFAAAEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFTQMMWRLNLFVAFSCIILNNDYMLYYICPMHTLFTLMVYGALGIFSRYNEIPSVMVLKIASCFLVVIVMWEIPGVFEIFWSPLTFLLGYTDPAKPDLPLLHEWHFRSGLDRYIWIIGMIYAYFHPTVERWMEKLEEFDAKRKMSIKTSIIAISSFVGYLWYEYIYKLDKVTYNKYHPYTSWIPITVYICLRNSTQQLRNFSLTLFAWLGKITLETYISQFHIWLRSNVPNGQPKWLLCIIPEYPMLNFMLVTAIYVLVSHRLFELTNTLKSVFIPTKDDKRLLHNVLAGAAISFCLYLTALILLQIPH >fgenesh2_kg.4__145__AT2G22190.1 pep chromosome:v.1.0:4:833089:836194:-1 gene:fgenesh2_kg.4__145__AT2G22190.1 transcript:fgenesh2_kg.4__145__AT2G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LDD6] MVRFVEENTTKMLETKVISNSEVLYVGRDDGDTSPSTKALHDFQINGGGGGGLIRSWVDSMRACSPTRPKSFNNQSCWIKEHPSALNMFEEILNKSEGKQVVMFLDYDGTLSPIVDDPDRAFMSKKMRNTVRKLAKCFPTAIVSGRCREKVSSFVKLTELYYAGSHGMDIKGPEQGPKYKKENQSLLCQPATEFLPVINEVFKKLLEKTKSIPGAKVENNKFCASVHFRCVEENKWSDLANQVRSVLKNYPKLMLTQGRKVLEIRPIIKWDKGKALEFLLESLGYNNCTDVFPIYIGDDLTDEDAFKILRDKKQGLGILVSKYAKETNASYSLQEPDEVMDFLERLVDWKQLRCGA >fgenesh2_kg.4__1461__AT1G29910.1 pep chromosome:v.1.0:4:16321704:16322545:-1 gene:fgenesh2_kg.4__1461__AT1G29910.1 transcript:fgenesh2_kg.4__1461__AT1G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LH05] AASDVLGSGRVTMRKTVAKPKGPSGSPWYGSDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSDGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGNGPLGEAEDLLYPGGSFDPLGLATDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >fgenesh2_kg.4__1463__AT2G34430.1 pep chromosome:v.1.0:4:16323995:16324981:1 gene:fgenesh2_kg.4__1463__AT2G34430.1 transcript:fgenesh2_kg.4__1463__AT2G34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7LH06] MAATTMALYSPALAGKAVKLSPAASEVFGTGRVTMRKASKPTGPSGSPWYGSDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSDGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGNGPLGEAEDLLYPGGSFDPLGLATDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLSDHLADPVNNNAWAFATNFVPGK >fgenesh2_kg.4__1464__AT2G34440.1 pep chromosome:v.1.0:4:16332292:16333007:1 gene:fgenesh2_kg.4__1464__AT2G34440.1 transcript:fgenesh2_kg.4__1464__AT2G34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LH07] MGRRKIKMEMVQDMNTRQVTFSKRRTGLFKKASELATLCNAELGIVVFSPGGKPFSYGKPNLDSVAERFMREYDDSDSGDEKESGNNRPKLKRMSEHLDLLNQEIEAEKNRGETDQEKLESAGDERFKNSIETLTLDELNEYKDKLQTVHGRIECQVNHMQASSCLMLLSRK >fgenesh2_kg.4__1466__AT2G34450.2 pep chromosome:v.1.0:4:16333106:16334876:-1 gene:fgenesh2_kg.4__1466__AT2G34450.2 transcript:fgenesh2_kg.4__1466__AT2G34450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LH08] MTKKAPKSGPLSPSCSGGSSRNLELAVKSSEGARRSTRLRLQPLRKPKSSPKKKKPVKLHSKMPKKPPTAFFFFLEDFRKQYQEENPEVKSMREVIGKTCGEKWKTMTYEEKVKYYDIATEKREEFHRAMTEYTKRMESGGYDESETDSEYS >fgenesh2_kg.4__1467__AT2G34460.1 pep chromosome:v.1.0:4:16335022:16336390:1 gene:fgenesh2_kg.4__1467__AT2G34460.1 transcript:fgenesh2_kg.4__1467__AT2G34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g34460/T31E10.20 [Source:UniProtKB/TrEMBL;Acc:D7LH09] MATSLLLRHSSAVFFSQSSFFFTKNNSFRSFSSIKMERGEASEEKDAVKTTKKVFVAGATGKTGKRIVEQLLSRGFAVKAGVRDVEKAKTSFKDDPSLQIVRADVTEGPDKLAEAIGDDSQAVICATGFRPGFDIFTPWKVDNFGTVNLVDACRKQGVEKFVLISSILVNGAAMGQILNPAYIFLNLFGLTLVAKLQAEKYIRRSGINYTIVRPGGLKNDPPTGNVVMEPEDTLYEGSISRDLVAEVAVEALLQEESSFKVVEIVARAEAPKRSYKDLFASVKGH >fgenesh2_kg.4__146__AT2G22230.1 pep chromosome:v.1.0:4:852863:854403:1 gene:fgenesh2_kg.4__146__AT2G22230.1 transcript:fgenesh2_kg.4__146__AT2G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSNSVLFLSSDSLSHHHHHHHQQQPLHLPSSRSHSVSLPPNKRSNSLTLRCSTNGDNTSSEKETPIELKFPAFPTVMDINQIREILPHRFPFLLVDRVIEYTPGVSAVAIKNVTINDNFFPGHFPERPIMPGVLMIEAMAQVGGIVMLQPEVGGSQDNFFFAGIDKVRFRKPVIAGDTLVMRMTLLKFQKRFGLAKMEGKAYVGGALVCEGEFMMVSAGSS >fgenesh2_kg.4__1470__AT2G34480.1 pep chromosome:v.1.0:4:16338125:16339604:-1 gene:fgenesh2_kg.4__1470__AT2G34480.1 transcript:fgenesh2_kg.4__1470__AT2G34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L18a [Source:UniProtKB/TrEMBL;Acc:D7LH11] MGAFRFHQYQVVGRALPTEKDVQPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIYEKNPTTIKNFGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVPAKLCKRESTKQFHNSKIKFPLVFRKVRPPSRKLKTTYKANKPNLFM >fgenesh2_kg.4__1473__AT2G34520.1 pep chromosome:v.1.0:4:16356634:16357546:-1 gene:fgenesh2_kg.4__1473__AT2G34520.1 transcript:fgenesh2_kg.4__1473__AT2G34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRGVLLNVISYCQRSLTQSSHSTSELLSRSVVKHPNNLGQIQARHFTTTLMKSGPKHSGTEQGVKRNSADHRRRLLAARFELRRKLYKAFCKDPDLPSEMRDKNRYKLSKLPRNSAFARIRNRCVFTGRSRSVTELFRVSRIVFRGLANKGALMGIKKSSW >fgenesh2_kg.4__1476__AT2G34540.2 pep chromosome:v.1.0:4:16359539:16361399:1 gene:fgenesh2_kg.4__1476__AT2G34540.2 transcript:fgenesh2_kg.4__1476__AT2G34540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LH17] MASTFLLGHTQKLTLPFSNFTSTHKPTLRSQSQNPYIGFPSNGGRSGCLFIRSPITVAKSNSKSDYHDDKKLFTPLKMAAGASLALACALSIFGFKIKNMSYTAAAAANPSAADMIISGKPTSAVSATSGMYPLPAKFALRSLFEVSSMLASAKPIPSQRPFNLHKLPSLPSKEDIDSIKMEAVRKMKEGKCEEAVQLLRDANMRYKNEPEADFNVQMALVEILILLERYQEAAEYSCLNDENAQISDVRIPLYKAIIYTMLDKDTEAKQCWKEFRKSIGEGFDPFSFEE >fgenesh2_kg.4__1477__AT2G34555.1 pep chromosome:v.1.0:4:16364797:16366988:1 gene:fgenesh2_kg.4__1477__AT2G34555.1 transcript:fgenesh2_kg.4__1477__AT2G34555.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-oxidase 3 [Source:UniProtKB/TrEMBL;Acc:D7LH18] MVIVLQPATFDSNLYVNPKCKPSPVLIPVIDLTDSDAKTQIVKACEEFGFFKVINHEVRPDLLSQLEQEAIKFFASPHSLKDKASPPDPFGYGTKRIGPNGDVGWLEYILLNANLCLESHKTTAVFRHTPAIFREAVEEYMKEMKRMSSKVLEMVEEELKIEPKEKLSHLVKVKESDSCLRMNHYPEKEETPAKGEIGFGEHTDPQLISLLRSNDTKGFQICVKDGTWVDVSPDHSSFFVLVGDTLQVMTNGRFKSVKHRVVTNTKRSRISMIYFAGPPLSEKIAPLSCLVPKQDDCLYNEFTWSQYKLSAYKTKLGGYRLGLFERPPPFSLSSV >fgenesh2_kg.4__1479__AT2G34560.2 pep chromosome:v.1.0:4:16368914:16371532:1 gene:fgenesh2_kg.4__1479__AT2G34560.2 transcript:fgenesh2_kg.4__1479__AT2G34560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDEPSQTRWSFLEFKTFYDAKFGRKKLPEEDESNKDQPEDGSSNGDVNTNSSQVTNQDGNTGLANGNVIREKPKKSMFPPFESAETRTLAESLSRDIIRGNPNIKWESIKGLENAKKLLKEAVVMPIKYPTYFNGLLTPWKGILLFGPPGTGKTMLAKAVATECNTTFFNISASSVVSKWRGDSEKLIRVLFDLARHHAPSTIFLDEIDAIISQRGGEGRSEHEASRRLKTELLIQMDGLQKTNELVFVLAATNLPWELDAAMLRRLEKRILVPLPDPEARRGMFEMLLPSQPGDEPLPHDVLVEKSEGYSGSDIRILCKEAAMQPLRRTLAILEDREDVVPEDELPKIGPILPEDIDRALSNTRPSAHLHAHLYDKFNDDYGSQILK >fgenesh2_kg.4__1480__AT2G34570.1 pep chromosome:v.1.0:4:16371577:16373295:-1 gene:fgenesh2_kg.4__1480__AT2G34570.1 transcript:fgenesh2_kg.4__1480__AT2G34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKRQKKNRRTVRFFTVCYGFRQPYKVLCDGTFVHHLVSNEITPADTAISELLGGPVKLFTTRCVIAELEKLGKDFAESLEAAQMLSTATCEHEEAKAADECLSEVIGMKNSEHFFLGTQDAEFRRKLQQESIVPLVFGLRNILLIDQPSDFQRQTAKDSENKRLTMTDTEKKLLVKRTAKIIASNRGEGTIENEEWGMPRVVSTRNGLGVKDRPQFKRNRAKGPNPLSCMKKKKEKLQSKSKADSNSGAQKEKKEGESDTKKRSRKRSKKGKSGPERSE >fgenesh2_kg.4__1482__AT2G34590.1 pep chromosome:v.1.0:4:16376794:16379538:-1 gene:fgenesh2_kg.4__1482__AT2G34590.1 transcript:fgenesh2_kg.4__1482__AT2G34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transketolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LH23] MSAILLQGAGAGAATALSPFNSIDPSKLVVPSRSSLSVRSKRYVVAGSDSKSFGSSLIVRRSEPLIPNAVSAKADTAASSTSSKPGHELLLFEALQEGLEEEMDRDPHVCVMGEDVGHYGGSYKVTKGLADKFGDLRVLDTPICENAFTGMGIGAAMTGLRPVIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFTIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKETIPDEEYICNLEEAEMVRPGEHITILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLTAAINENFHDYLDAPVMCLSSQDVPTPYAGTLEEWTVVQPAQIVTAVEQLCQ >fgenesh2_kg.4__1483__AT2G34600.1 pep chromosome:v.1.0:4:16392676:16393300:1 gene:fgenesh2_kg.4__1483__AT2G34600.1 transcript:fgenesh2_kg.4__1483__AT2G34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LH24] TDTQSSSSSKTTQAYLLTTKRSWRCKQNATWNFAFLLLHMLLLISIARKTSFSQRYLVDKSSSSEISQPKHESQILTIFYNGHMCVSSDLTHLEAKAILSLASRDVEERSLSLKSSDDSEPPTLPKYSPRFHNQKVSMKRSLRSFLQKRNVRIQATSPYSR >fgenesh2_kg.4__1484__AT2G34610.1 pep chromosome:v.1.0:4:16395240:16396363:1 gene:fgenesh2_kg.4__1484__AT2G34610.1 transcript:fgenesh2_kg.4__1484__AT2G34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LH25] YVVGNPIFEPYKPKKQQYKLYSSLLPIILSIFTYILIFHVLDVSPLSIFNDTKILFVISNALIIIIAADYGAFTDKENHDFYGEYTASMTRGARENPRPENLGYRVDMAEEIKNREKQEELTGERDLQLQYLPNKKAKVPERIIQAVSKNQPRNTTIQKFEPMTEKNIPIKAAKEETCMNSKPYGRSKSDKARGSMVTKESRRQDIKHRPKSYDRSQSDSSKWMVVHKVTKAEEMEMATKKWENVREESEEFSKMSNEELNRRVEDFIQRFNRDIKRQI >fgenesh2_kg.4__1485__AT2G34620.1 pep chromosome:v.1.0:4:16397781:16398855:1 gene:fgenesh2_kg.4__1485__AT2G34620.1 transcript:fgenesh2_kg.4__1485__AT2G34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALSSSLYISPKKPSCPQQSQPTILSTKPTSIKTTLHSHPLFSVADQTVTLQMKEKILCLELMGIDSGKALSLNPCLCSASLDSIESVLHFLQSKGIYPNDLPRILGMCPKILTSDVRTELHPVFMFLSNDLHVPENAFRRVIKKCPRLLISSVEDQLKPALFYLQRLGFKDLEALAYQDPILLVSSVEHTLIPKLRFLESIGYSRAEAIGMILRCPALFTFSIENNFKPKLDYFMSGIKGKLENLKEFPQYFAFSLEKRIKPRHLESKERGLELPLSLMLKSTDEEFEQLLTKASSVANG >fgenesh2_kg.4__1488__AT2G34640.1 pep chromosome:v.1.0:4:16402576:16405281:-1 gene:fgenesh2_kg.4__1488__AT2G34640.1 transcript:fgenesh2_kg.4__1488__AT2G34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC12 [Source:UniProtKB/TrEMBL;Acc:D7LH29] MASISTTTWLYRGKVGTEIGKSSNCLVQRRVKCGFPIKTLHVGITSGDRSLRHCIKCKKGDGDGDASEGTRKSEEGFDYVTVERPPYYSYMDSTSGKLEPASGARASIPGEDYWPEGTSSRVRAARAPQPAGESSSFPSYGKNPGSRRKKNRQATEENVTVETNDEVLDSEDSSEEEENDSSDGFVTYNNEFEREEEESGFELDKKLGRPHPFIDPTKKKQIEKTLTGDESWWNWRKPEKEQWSRWQRRRPDVETVFLKAMAETGQVKLYGEEPTLTETSLYRARRHLFKEERLQAERERLAKEGPMAFYSEWVKAWKRDMSREAVQKHFEETGEDENTQLIEMFSHQTDREYRIMMGTDIRIKRDPLAMRMREDQIKQIWGGDPVYPTINYIQDPNAVMDFRGPDFHEPTPNMLSYLKENGKVISREMHEALLTKEKTEQLEVPDIDDAMAQAVDIGENDDEEDDADVEKDDEKVLRNWSVLKSTPELRTAKPKPKKEGRMSLDEAVDDSENLTDFLMDFEEETDP >fgenesh2_kg.4__1490__AT2G34660.1 pep chromosome:v.1.0:4:16434156:16444057:1 gene:fgenesh2_kg.4__1490__AT2G34660.1 transcript:fgenesh2_kg.4__1490__AT2G34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 2 [Source:UniProtKB/TrEMBL;Acc:D7LH32] MGFEFFEWYCKPVPNGVWTKQVANAFGAYTPCATDSFVLGISQLVLLVLCLYRIWLTMKDHKVERFCLRSKLYNYFLALLAAYATAEPLFRLIMGISVLDLDGPGLPPFEAFGLGVKAFAWGSAMVMIFMETKIYIRELRWYVRFAVIYALVGHLVLLNLVLSVKEFYSSYVLYLYTSEVAAQVLFGILLFMHLPNLDPYPGYMPVRSETMDDYEYEEISDGQQICPEKHANIFDKIFFSWMNPLMTLGSKRPLTEKDVWYLDTWDQTETLFTSFQQSWDKELQKPQPWLLRALNNSLGGRFWWGGFWKIGNDCSQFVGPLLLNQLLKSMQEDEPAWMGYIYAFSIFVGVVLGVLCEAQYFQNVMRVGYRLRSALIAAVFRKSLRLTNEGRRKFQTGKITNLMTTDAESLQQICQSLHTMWSAPFRIIVALVLLYQQLGVASLIGALLLVLMFPLQTVIISKMQKLTKEGLQRTDKRIGLMNEVLAAMDTVKCYAWENSFQSKVQTVRDDELSWFRKSQLLGALNMFILNSIPVLVTIVSFGVFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEEVLATEERILLPNPPIEPGEPAISIRNGYFSWDSKGDRPTLSNINLDVPLGSLVAVVGSTGEGKTSLISAILGELPATSDAMVTLRGSVAYVPQVSWIFNATVRENILFGSPFDREKYERVIDVTSLKHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGQQVFEKCIKRELAQKTRVLVTNQLHFLSQVDRIVLVHEGTVKEEGTYEELSNNGPLFQRLMENAGKVEEYSEENGEAEADQAVVQPVANGNTNGLQMDGSDDKKSKEGNKKGGKSVLIKQEERETGVVSWRVLKRYQDALGGAWVVMMLLLCYVLTEVFRVTSSTWLSEWTDAGTPKSHGPLFYNLIYALLSFGQVLVTLTNSYWLIMSSLYAAKKLHDNMLHSILRAPMSFFHTNPLGRIINRFAKDLGDIDRTVAVFVNMFMGQVSQLLSTVVLIGIVSTLSLWAIMPLLVLFYGAYLYYQNTAREVKRMDSISRSPVYAQFGEALNGLSTIRAYKAYDRMADINGRSMDNNIRFTLVNMGANRWLGIRLETLGGLMIWLTASFAVMQNGRAENQQAFASTMGLLLSYALNITSLLTGVLRLASLAENSLNAVERVGNYIEIPPEAPLVIENNRPPPGWPSSGSIKFEDVVLRYRPQLPPVLHGVSFFIHPTDKVGIVGRTGAGKSSLLNALFRIVEVEKGRILIDECDVGKFGLMDLRKVLGIIPQSPVLFSGTVRFNLDPFGEHNDADLWESLERAHLKDTIRRNPLGLDAEVSEAGENFSVGQRQLLSLSRALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDKILVLDSGRVQEFSSPENLLSNEGSSFSKMVQSTGAANAEYLRSLVLDNKRARDDSQHLQGQRKWLASSRWAAAAQFALAVSLTSSHNDLQSLEIEDDSSILKRTNDAVVTLRSVLEGKHDKEIAESLEERNISKEGWLSSLYRMVEGLAVMSRLARNRMQQPDYNFEGNTFDWDNVEM >fgenesh2_kg.4__1493__AT2G34690.1 pep chromosome:v.1.0:4:16465286:16466886:1 gene:fgenesh2_kg.4__1493__AT2G34690.1 transcript:fgenesh2_kg.4__1493__AT2G34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDADKPLRKISTAFKELAVIVNSPSPEVPVAQFSHACSLVSPLFGCLGIAFKFAEMDYVAKVDDLVRASSSISTLVVMMDKDIEANCVRKPGSHTRNLLRVKRGLDMVKVLFEQIIASEGDNSLKDPATKSYAQVFAPHHGWAIRKAVSLGMYALPTRAHLLNMLKEDEAAAKIHMQSYVNSSAPLITYLDNLFLSKQLGIDW >fgenesh2_kg.4__1494__AT2G34700.1 pep chromosome:v.1.0:4:16469641:16471004:-1 gene:fgenesh2_kg.4__1494__AT2G34700.1 transcript:fgenesh2_kg.4__1494__AT2G34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVIKALKYLLLLSISLTFIYTNEVSSTSPVTTPPSSPVKMSRRLVAVEGMVYCKSCKYSGVDTLLEASPLQALCLRSDGKACMQQHKERCDNGDKTDKNGYFFMLAPKKLTTYAFHTCRAWPTNPGPTTATMTCTVPSKLNNGITGAMLKPSKTINIGEHDYVLFSVGPFAFEPACTR >fgenesh2_kg.4__1496__AT2G34720.1 pep chromosome:v.1.0:4:16496073:16497951:-1 gene:fgenesh2_kg.4__1496__AT2G34720.1 transcript:fgenesh2_kg.4__1496__AT2G34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding transcription factor (CBF-B/NF-YA) family protein [Source:UniProtKB/TrEMBL;Acc:D7LH39] MTSSVHELSDNNESHGKKERPDSQTRPQIPSGRSSESIDTTNSVYSEPMAHGLYPYPDPYYRSIFSQQAYLPHPYPGVQLQLMGMQQPGVPLQCDAVEEPVFVNAKQYHGILRRRQSRAKLEARNRAIKAKKPYMHESRHLHAIRRPRGCGGRFLNAKKKNGDHKEEEEETTSDENTSEASSSLRSEKVAMAASGPNGRS >fgenesh2_kg.4__1497__AT2G34730.1 pep chromosome:v.1.0:4:16502277:16505322:1 gene:fgenesh2_kg.4__1497__AT2G34730.1 transcript:fgenesh2_kg.4__1497__AT2G34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVVMSENGSFEFHDDTLSSSVQINGVLKENENPDVDFLEDLDSYWEDINDRLTISRVVSDSIIRGMVTAIESDAAEKIAQKDLELSKIRDTLLLYHVGSEGNESSKSCLMHDNKASLDTQDELTQGSLSSLKKTARKQLLMLVEELTNLREYIHINGSGATVDDSLGLDSSQHETRSKTVDKMLDSLKSILETVLKRKNDMELPSSWQQEHDFQKEIESAVVTSFVRSLKDEYEQRLLDQKAEFGGNRSLILGNIREITGLRQELEAIRKSFLDHENGDEAGEVGDRKRVEQLHRKMSGSLSSVSSVWENGKHEESSTGLMPEYNEALRHMSRDDLISHFKIEMNKMKRDHDYEIQELTEQCFTFKRKYLNLTERGSFSFMGKDKELEALKKKIPFVISKLDKILMEDEKLVSEGKNDADVKRKLESLLLENRQLKDSLSDAAEKMSQLSQATADHQELIRKLKSDVEDSRVEASIYKDVYGCSVTEFVGQIKCAKQETDLEHSMLREAYELLLEDLASKKARESKEEFEDSCVESVIMEECCSVIYKEAVKEAHKKIVELNMHVTEKEGTLRSEIVDKERLKEEIHMLGCLVKEKENLVQTAENNLATERKKVEVVSQQINDLQSQVEQQETEIQDKSEALRVVSARELEKVEGYETKISNLREELELAIESLKEIKDEKRKTEEKLSATKAEKETLKKQLVSLDLVVPPKLIKGFDFLEGLIAEKMQKTNSRLKNMQSQLSDLSHQINEVKGKASTYKQRLEKKCCDLQKAETEVDLLGDEVETLLDLLEKIYIALDHYSPILKHYPGIIEILRLVRRELSGESKRPSD >fgenesh2_kg.4__1499__AT2G34750.1 pep chromosome:v.1.0:4:16506803:16510709:-1 gene:fgenesh2_kg.4__1499__AT2G34750.1 transcript:fgenesh2_kg.4__1499__AT2G34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase I specific transcription initiation factor RRN3 family protein [Source:UniProtKB/TrEMBL;Acc:D7LH42] MGAVELLSDPSSLYTVDYVDNVDLSDTDLVQTVRNALTSVKTGDPDLYSELVGVINKDIKEFRDPDAVAQLETVLKALSGSVACIDIVHHQKLLSSLFGMKLWDHRPVVMDALVNLVISLAVTRGKYLDPCLNMLVSNFVPPPSVVNNLSHPRVLNKKIEVLSRVHAALLKISILVPLTPSRLVPMLFQEMPKIHKKDHSIVIYVESLLKLENSPIGQVGGSMILGVVMERLRDLDLEIGWDDIPQDDSSRGMFDMELEDAVEGTINEGDELPVGPLKQDTSDGSVVSKLLDKLMVVAFEHLESCQNDGRLDQVFDILLESFENFILNTYKSKFTQFLMFYACSLDPENCGVKFASKLVEIFLSSNKHPSTRMSAVAYLASFLARGKFLPVSFVASMLKRLIDECVGYCRTCNDDIRPEEHQIFFSGCQAIMYVLCFRMRSILDVPRFRSQLTPLESILMHKLNPLRVCLPSVVAEFLRQAKAGGLFIVSDSFIFDDLLESELSRAFGGCERLDTFFPFDPCLLKTSNSFISRNFIYWSMVSATYDEDEEDDDYDAEVIVNGDEDSDEGDEADLDYALNKMSITPKHSFKNKMERDRLLRMPSRIRPSTSPESL >fgenesh2_kg.4__14__AT2G21060.1 pep chromosome:v.1.0:4:132896:133707:-1 gene:fgenesh2_kg.4__14__AT2G21060.1 transcript:fgenesh2_kg.4__14__AT2G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDENMSGGERRKGSVKWFDTQKGFGFITPNDGGDDLFVHQSSIRSEGFRSLAAEESVEFEVEVDNTGRPKAVEVSGPDGAPVQGNSGGGSSGGRGGFGGGGRGGGRGGGGYGGGGGYGGRGSGGRGGGGGDNSCFKCGEPGHMARECSQGGGGGGYSGGGGGGGRYGSGGGGGGSCYSCGESGHFARDCTSGGAR >fgenesh2_kg.4__1501__AT2G34780.1 pep chromosome:v.1.0:4:16518327:16523667:1 gene:fgenesh2_kg.4__1501__AT2G34780.1 transcript:fgenesh2_kg.4__1501__AT2G34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1611/MEE22 [Source:UniProtKB/TrEMBL;Acc:D7LH45] MAAANAPPELASGNPCCVAWQGKYIGMKKRRDAFREAVTLLQKAIADANTEKSNLEKKLGEMAVDRDTKANDSTVKASFEKEISGLKSEILSLQQQLVRNLKEKSEETKLLQDQASRREKEINELKDLLKKETLRADNSEEEREHAFKELNKAKALIVKDEEIKPHVPEVRKEISLVKNLLASERQKTESERKKAESEKKKADQYLSELEVLRTSAHKTSSDLLTLTSNLETIKKQLELEKQKTLKEKKRADTESAKARDQMKLAEGLSEKFEIVKARNEELMKEMESQSASSKVKFSENSEKLEEKIRLLEMNKKSAMDWKSRADDLTQQLQEAQLVTEGLKKQVHELSLSQKSIKTHSISPQGVRDLEKAEMRLLKKKLKFERNCAKHSETVAKFEKFRREFQGEELGRLKLEFGSLTNRMNLLNEYFSRGVEGTAGLEKATGCRKLQTLPSQKNRNGEKHSDARCNLVASSGSREQACKLSAHLISKSGRGVSESGSGTISQLESPTGGSRKLQSSGVISSETSFSDGQLLASQGREQFSVTTSAEIAKDKPNIQPTKSSMFQKISDTSKNGNLCLVAENYLQRRQRDSHEVVDENSRKRKRMLEAVVSRKHLSSDDKKKNLQIGEKMSRLQSMVLGTGSRPLEKEETLVPDRQGGSFVVSKKRRVSCKKKTIIQNSLEFNQSGKTPGNIAGKTTCLSTAKGHDVTTLFPEDVAATDYMKLLELDNLEEENYYQMARESLLSPDLPQVDFLGVEIVNDKNPARALDMAASNSMCLRETILSSESPSLNTLNDLVTPLHGHVLKHFVVFSNIEDQKSIIKIFHATNNCVQRCPSVTREQWAVPAILSSLKMEENLLAQERVCVFLSLLLHNFSMVPSTKISNTLNVDSFSCLDSFSKHIYGVMADTEAGVMLSEFSEELLSLLQDLLSAQRVLFSVKSSETSESDLSISVTLNGGYVALVNKIALIDHLVAGSAILAAICTALDRIGYICEASFEILHKYSHEKTSVLLTILHVFAYIAGEKMLLSSEHDISIAVLKSIVMFLENKHFGTVEDNSQLHPGKNKCPFADRSSSLEALASKLMEILQEFTQSNTLHQSLTGSLGSSHLEKTEFRPAHKDFQCVLTRDQSVNLCDILSLVELIACYTAWDWTSANIVAPLLKILGMPLPMNLSVAIVSLLGQLSSVGVDAGGYENKGISNLRAKLSAFLQCETTLKAGFAVQIATVSSLLKTLQLKFPIDFQDKTTMIPGSGDQSLSGSVNVVTKWLSLLSNEQRVFAFEFLQISVVR >fgenesh2_kg.4__1506__AT2G34830.1 pep chromosome:v.1.0:4:16563642:16566286:-1 gene:fgenesh2_kg.4__1506__AT2G34830.1 transcript:fgenesh2_kg.4__1506__AT2G34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFQGDLTDVVRGIGSGHMSSSPGPPEGPSPSSLSPPPTSDLHVDFPSAAASTYCLTNPFGDPFVSMTDPLIHLPANSGYLSGAGDNKSNNSFAIFPKVFEDDHIKSQCSGFPRIRITQSNIIHDASTCNSPAIASAAAAAASPWGMINVDTTNSPRNCLLVDNNNNTSSSSQIQISSFPRNLGIKRRKSQAKKVVCLPAPAAMNSRSSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSSSSSSSLNPSSKSSTAAATSTSPSSRVSHDNNTKNEPNNSHFPSSSTLPPYVAAAVKEENLEERQENMEFDYNDVEDTYRPELLREFQHQPEDFFADLDELEGDSLTKTTIPDVFSDFFDSSSSSRSL >fgenesh2_kg.4__1508__AT2G34860.1 pep chromosome:v.1.0:4:16578075:16579865:1 gene:fgenesh2_kg.4__1508__AT2G34860.1 transcript:fgenesh2_kg.4__1508__AT2G34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSHLFALPTPASFLLAAPNRNRVRVLAQSCPDNQSFDSNDLDSSSETTQKAQGDQKSVSRRQWMTACVCASAALISNSYTFVSVQSAAALDKKPGGSCLMFKRFCYDIGDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPGARELLEKMYNGRLLPDS >fgenesh2_kg.4__1510__AT2G34870.1 pep chromosome:v.1.0:4:16580450:16580992:1 gene:fgenesh2_kg.4__1510__AT2G34870.1 transcript:fgenesh2_kg.4__1510__AT2G34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVTTVALTLILIFHLMPETTVARRLNDQKPSDEVVTTTTDEANNLPFPPGLPFGGVPPLPSLFPPFVPSPFPGNIPRLPFPFPFPTSPPAPSLPGLPGFTFPPLPFLTPPPL >fgenesh2_kg.4__1513__AT2G34900.1 pep chromosome:v.1.0:4:16591451:16594121:-1 gene:fgenesh2_kg.4__1513__AT2G34900.1 transcript:fgenesh2_kg.4__1513__AT2G34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imbibition-inducible 1 [Source:UniProtKB/TrEMBL;Acc:D7LHQ3] MSVHVKEEPVLVPNCDVENTELAVFYVNGETELEDFGTCVDEITDRVNQLEQKVVEVEHFYSSKDGAAQTNTSKSNSGGKKVAISQPNNSKCNSAGKEKSKGKHVSSPDLMRQFATMFRQIAQHKWAWPFLEPVDVKGLGLHDYYKVIEKPMDLGTIKKKMESSEYSNVREIYADVRLVFKNAMRYNEEKEDVYVMAESLLEKFEEKWLLIMPKLVEEEKKQADEEAEKHANKQLTLEAAQAEMARDLSNELYEIDLQLERLRESVVQRCRKLSTQEKKGLSAALGRLSPEDLSKALKMVSESNPSFPAGAPEVELDIDVQTDVTLWRLKVFVQEALKAANKGSGGTNAQNNNNTGTGEINKNNAKRRREISDAINKASTKRAKKA >fgenesh2_kg.4__1516__AT2G34920.1 pep chromosome:v.1.0:4:16597923:16601072:-1 gene:fgenesh2_kg.4__1516__AT2G34920.1 transcript:fgenesh2_kg.4__1516__AT2G34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LHQ5] SFGSILRDRNQRHNDDVVFKKAQVKTAPPAISDESSQNRVDSLIGNKRKKNNKSRLGSPEKPRTRKVNNFSDNVGGASSLVQIWEARLNRSNGGNSPIHGQSIEISSEASVQEIHILAPSIDGESESENESKSPDLTVEIESETLNSVSDIIHRLSNEQKLTASNNGGAADIPIVKTPTQEKSSFPVVTCSPRFRGRQAYSDLLVHLERERHRELELLLGRNAVSRFPQRGRLQSMLRLRSLKRGLAIQDRHRGTTKGDSNRFQPSSTILHLSEKFRENAANTDAEAKQKKGQQYTVETESMGSKEMTFTIDTPSTERLSPQNRNIEEAILRKNETKMNYLQLNKAIVAEVLKRKSDNTSPITSVTHQEPRILGKEQANKVESSTQRTQETPFLETQETSFQSGWEEQEEYEDEQSYYGDMSYDWFTEISRPRTYWEDLRKSRYLEVMNTKSDKGDICRLLERRTVSDFLQSGLREKIDKLIMARVQIHPAHRIPQACKEEEKCDIGEEKDEDRDDLSQSSSQIFAPSPAGSWSSQDTGVTSTPTHNLHSNLQLEMSELRDSVKTCLDVNASLQKSVQRENPLKRKCCVCNETQVETLLYRCGHMCTCLRCANELQYNGGKCPICHAKILDVVRVFVDSRT >fgenesh2_kg.4__1517__AT2G34925.1 pep chromosome:v.1.0:4:16604197:16604463:1 gene:fgenesh2_kg.4__1517__AT2G34925.1 transcript:fgenesh2_kg.4__1517__AT2G34925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPHIIISLVLFFFLSLILQTHQRTTDQTHQIGSNVQHFSDMAVTSPEGKRRERFRVRRPMTTWRKGKMLGANEHGVPSGPNPISNR >fgenesh2_kg.4__1518__AT2G34930.1 pep chromosome:v.1.0:4:16607019:16609842:-1 gene:fgenesh2_kg.4__1518__AT2G34930.1 transcript:fgenesh2_kg.4__1518__AT2G34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKFKLRPRFFISSLFLFLLLKNPNLGSAANPKCISTERQALLTFRASLTDLSSRLLSWSGPDCCNWPGVLCDARTSRVIKIDLRNPNQDVRSDEYKRGSLRGKLHPSLTQLKFLSYLDLSSNDFNGLEIPEFIGQIASLRYLNLSSSSFSGEIPASLGNLSKLESLDLYAESFGDSGTFSLHASNLRWLSGLSSSLKYLNMGYVNLSGAGETWLQDFSRVKVLKELRLFNCELKNLPPSLSSSADLKLLEVLDLSENSLNSPIPNWLFGLTNLRKLFLRWDFLQGSIPSGFKNLKLLETLDLSNNLELQGEIPSVLGDLPRLKFLDLSANELNGQINGFLDAFSRNKGNSLVFLDLSSNKFAGTLPESLGALRNLQILDLSSNSFTGSVPSSIGNMVSLNKLDLSYNAMNGTIAESLGQLAELVDLNLMENAWGGVLQKSHFMNLRSLKSIRLTTEPYRSLVFKLPSAWIPPFRLELIQIENCRIGPSFPMWLQVQTKLNFVTLRNTGIEDTIPDSWFAGISSEVTYLILANNRIKGRLPQNLAFPKLNTIDLSSNNFEGPFPLWSTNATELRLYENNFSGSLPLNIDVLMPRMQKIYLFRNSFTGNIPSSLCEVSGLQILSLRKNRFSGSFPKCWHRQFMLWGIDVSENNLSGEIPESLGMLPSLSVLLLNQNVLEGKIPESLQNCSGLTNIDLGGNKLTGKLPSWVGKLSSLFMLRLQSNSFTGAIPDDLCSVPNLRILDLSGNKISGPIPKCISNLTAIARGTSNEVFQNLVFIVTRAREYEDIANSINLSGNNISGEIPREILGLLYLRILNLSRNSIAGSIPERISELARLETLDLSRNKFSGPIPQSLAAISSLQRLNLSYNKLEGSIPKLLKFQDPSIYVGNELLCGNPLPKKCPKDINSKML >fgenesh2_kg.4__151__AT2G22270.1 pep chromosome:v.1.0:4:878012:879765:1 gene:fgenesh2_kg.4__151__AT2G22270.1 transcript:fgenesh2_kg.4__151__AT2G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERNMALSDPHHSTADLLSWSEVRRPDYSTAANRSNQPSDGMSEVLGGGGQITNAESESLNKNVSYRKNCSGHKLKEMTGSDIFSDNGKDDPNHQTRIHYHQDQLSQISFSGEENAMKPNDNGKDDPNHQSRIHYHQDQRSQISFSGEENVTPKKPTTLNEAAKQKELSRTVETQADLKSKKKQALNTKTKAMSGHDIFASPESQSCRLFGATQQEVKGNKNTGESGPRSSRASVKAFNGQSSSNRLFSEEHVVKSSKKIHNQKSQSQGLTSNGIFKSDKIPPGYSEKMQSSAKKREMSGHNIFADGKSEYRDYYGGARRPPGGESSISLV >fgenesh2_kg.4__1520__AT2G34960.1 pep chromosome:v.1.0:4:16614673:16616513:-1 gene:fgenesh2_kg.4__1520__AT2G34960.1 transcript:fgenesh2_kg.4__1520__AT2G34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEERGYWRWSKSDFFPEESFQSFGSYRAALSQTCSRFKNRLVSRSDDENERFELKKQSEHEMKRCLTWWDLVWFGFGSVIGAGIFVLTGQEAHEQAGPAIVLSYVVSGLSAMLSVFFYTEFAVEIPVAGGSFAYLRIELGDFAAFITAGNILLESIVGTAAVARAWTSYFATLLNRSPNALRIRTDLSSGFNLLDPIAVVVIAASATIASISTRKTSLLNWIASAINTLVIFFVIIAGFIHADTSNLTPFLPYGPEGVFRAAAVVYFAYGGFDSIATMAEETKNPSRDIPIGLLGSMSIITVIYCLMALSLSMMQKYTDIDPNAAYSVAFQSVGMKWGKYLVALGALKGMTTVLLVGALGQARYVTHIARTHMIPPIFALVHPKTGTPINANLLVSIPSALIAFFSGLDVLTSLLSISTLFIFTMMPIALLVRRYYVRQVTPRFHLIKLIICLLFVVASSMGTSAYWGMQLKGSWIGYTITVPFWFLGTLGIVFFVPQQRTPKVWGVPLVPWLPCLSIAINIFLMGSLGAMAFVRFGVCTLAMLLYYFLLGLHATFDMAHQQIVPRS >fgenesh2_kg.4__1521__AT2G34970.1 pep chromosome:v.1.0:4:16616957:16619315:1 gene:fgenesh2_kg.4__1521__AT2G34970.1 transcript:fgenesh2_kg.4__1521__AT2G34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eIF4-gamma/eIF5/eIF2-epsilon domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LHR0] MGAQKKGGGARVSEDAVEQSRQRLQAILLADSFATKFRPVTLERPKVLLPIVNVPMIDYTLAWLESAGIEEVFVFCCAHSMQVIEYLEKSEWYSHPNLLVRTIESHKSISAGDALRYMYEQQTETSQIQGDFVLVSGDTVSNMPLADLIKEHRERKKKDEKAIMTMVIKQSKSSPLTHQSRLGTDQLFIAVDPLTKQLLHYEEDKVDHPSGSVCLEKSLLDTNPSVLVCNDMQDCYIDICSPEVLSLFEDNFDYQHLRRHFVKGVLVDDIMGYKIFTHEIHSSYAGRIDNFRSYDTVSKDIIQRWTYPYVPDINFSGNRPLKLGRQGIYRASDVVQSRSADVGASTVIGYGTKIGNGDKISNSVIGNGCSIGSNVVIEGSYIWNNVTIEDGCEIRNAIVCDGVKIRAGAVLQPGVVLSFNVVVGRDFVVPAYSKVSLLQQPTTEDSDEELEYADSSSGTADHLSGLNLQMESKASELGPDGAGYIWEACEGAHDEEWKHSVAPIPKDKLFEITQAIDDDDTDDESVVPTSGELKSDADSINTDVNDPNDDYYYFEKEVEGTVLRAVEENIKVDLVTLEINGLRLSYNMESADCAGATFYSMIKLAVDTPHNSASELYKNAASIITKWKELLGFYVKKIDEQIEVIMKFEEMCQESPKELGPLFTQILHLLYDKDVLQEDAVLRWAEEKAGADEADKVYLKQCETFIQWLKEASEEEDEDDEDEEDD >fgenesh2_kg.4__1522__AT2G34980.1 pep chromosome:v.1.0:4:16619570:16620471:1 gene:fgenesh2_kg.4__1522__AT2G34980.1 transcript:fgenesh2_kg.4__1522__AT2G34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LHR1] NLAGDSIPRPKWRKVAYGGMQIGYDDNYTDESFLEEMVMNANVVRRDLLKVMKDSVSISQYLCIVALVVLVWVHTLESSLDENSLLLLDLSLLASGFLILLLTEEKMLSLSLLLRYLLNISFFTTGLYILAPIYQTLTRSISSDSIWAVTVSLLLLHLFLHDYSGSTIRAPGALQTPNLTSCISVNASIVASVFVASRLPSRLHVFAVMLFSLQVFLFAPLVTYCIKKFNFGLHLLFSFGLMGLTLYSIYALHRLFFLVFLLLVLLVNVVCPYWLIRMQEYKFEINGPWDEAKLCFDITD >fgenesh2_kg.4__1526__AT2G35010.2 pep chromosome:v.1.0:4:16625586:16627590:1 gene:fgenesh2_kg.4__1526__AT2G35010.2 transcript:fgenesh2_kg.4__1526__AT2G35010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNWSIVRQILHRRFSTLRSSTPSSRLSTSVRPLVLAPNSMSSLIAKNSLFTASTIGPSIHFNFSNTSLPRRRSFGSEAGGENGVVIVKSEEEFINAMGKAQDGSLPSIFYFTAAWCGPCRFISPVIVELSKQYPDVTTYKVDIDEDGISNTISKLNITSVPTLHFFKGGSKKGEVVGADVTKLKNLMEQLYK >fgenesh2_kg.4__1527__AT2G35020.1 pep chromosome:v.1.0:4:16628111:16632043:1 gene:fgenesh2_kg.4__1527__AT2G35020.1 transcript:fgenesh2_kg.4__1527__AT2G35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LHR5] MKEPTTEIEIEASAVTTMLPPTASPHQALVERLKDYGQEDVFALWDELSPEERDLLLQDIENLDLPRIDRIIRCSLQSQGLPVAAIEPVPENCVSTVEERTKEDREKWWKMGLKAIYEGKLGVVLLSGGQGTRLGSSDPKGCYNIGLPSGKSLFQIQAERILCVQRLAAQAMSEASPTRPVTIHWYIMTSPFTHEPTQKFFESHKYFGLEPDQVTFFLQGTLPCISKDGKFIMETPFSLAKAPDGNGGVYAALKSSRLLDDMASRGIKYVDCYGVDNVLVRVADPTFLGYFIDKGAASAAKVVRKAYPQEKVGVFVRRGKGGPLTVVEYTELDQSMASATNQQTGRLQFCWSNVCLHMFTLDFLNQVANGLEKDSVYHLAEKKIPSINGDTVGLKLEQFIFDCFPYAPSTALFEVLREEEFAPVKNANGSNFDTPESARLLVLRLHTRWVIAAGGFLTHSVPLYATGVEVSPLCSYAGENLEAICRGRTFHAPCEISL >fgenesh2_kg.4__1528__AT2G35030.1 pep chromosome:v.1.0:4:16632265:16634388:-1 gene:fgenesh2_kg.4__1528__AT2G35030.1 transcript:fgenesh2_kg.4__1528__AT2G35030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LHR6] MQSRALSRLRSYYRRSSVIPISDNERSVQLRNLVRSIYSSSSKPRVPRPEWLIGKLCKVGKLAEARKLFDGLPERDVVTWTDVITGYIKLGNMREARELFDRVDSRKNVVTWTAMVSGYLRSKQLSVAETLFQEMPERNIVSWNTMIDGYAQSGRIDKALELFDEMPERNTVSWNTMIKALVQRGRIDEAMNLFERMPIKDVISWTAMVDGLAKNGKVDEARRLFDCMPERNIISWNAMITGYTYNNRIDEADQLFQVMPERDFASWNTMITGFIRNREINRACGLFDRMPQKNVISWTAMITGYVENKENEEALKVFSKMLRDGCVKPNVGTYVSILSACSDLAGLVEGQQIHQLISKSVHQENEVVTSALINMYSKSGELIAARKMFDNGLVCQRDLISWNSMIAVYAHHGHGKEAIEMYDQMRKHGFKPSEVTYLNLLFACSHAGLVEKGMEFFKELVRDESLPLREEHYTCLVDLCGRAGRLKDVLNFINCDDARLSRSFYGAILSACNVHSEVSIAKEVVKKVLETGSDDAGTYVMMSNIYAASGKREKAAEMRMKMKEKGLKKQPGCSWVKIGNQTHLFVVGDKSHPQFEALDSIVSDLRNKMRKNKNMTSEAEEDEFLVL >fgenesh2_kg.4__1529__AT2G35035.1 pep chromosome:v.1.0:4:16634829:16636513:-1 gene:fgenesh2_kg.4__1529__AT2G35035.1 transcript:fgenesh2_kg.4__1529__AT2G35035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKVVVEKVGGRSTATSCFSKYPLKFLLPSKAAPAGTDVVWIYSITYGGGIVSGDSILCEFTIGDGCTAVITTQSSTKVYKAIGSKCSEQTLEARIGSEALLVVIPDPVTCFSTARYFQKQIFRLLSDSNLVLVDWITSGRHANGEKWDFEFYKSINNVYLEDDHPLFLDTVLLEKRSIQTIAERMQDYHAIAMVILFGAKLKEIQKQVQENVKNMMSEQLQILCSRRHKSESSSSNRFMKPEFIASCSTFGPEGKGVVIRIASDSTESVYNFLKQQLAELEPLLGQAPYA >fgenesh2_kg.4__1530__AT2G35040.1 pep chromosome:v.1.0:4:16636603:16639744:-1 gene:fgenesh2_kg.4__1530__AT2G35040.1 transcript:fgenesh2_kg.4__1530__AT2G35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AICARFT/IMPCHase bienzyme family protein [Source:UniProtKB/TrEMBL;Acc:D7LHR8] MLSSAATATATSVSARSGDILYGYFRKKSVAPFRFARPVYRTSLRPSFVAVRAMAESQTAQRNQPQSSGSSGEKQALISLSDKRDLASLGNGLQELGYTIVSTGGTASTLENAGVSVTKVEKLTHFPEMLDGRVKTLHPNIHGGILARRDVEHHMEALNEHGIGTFDVVVVNLYPFYDKVTAPGGISFEDGIENIDIGGPAMIRAAAKNHKDVLIVVDSEDYQAVLEYLKGGQSDQQFRRKLAWKAFQHVAAYDSAVSEWLWKQTEGKEKFPPSFTVPVELKSSLRYGENPHQKAAFYVDKSLAEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFENPTCVVVKHTNPCGVASRDDILEAYRLAVKADPVSAFGGIVAFNVEVDEVLAREIREFRSPTDGETRMFYEIVVAPKYTAKGLEVLKGKSKTLRILEAKKNEQGKLSLRQIGGGWLAQESDDLTPKDIRFNCVSDKTPTESELADAKFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRVESLRIAFKKAGEEAKGAALASDAFFPFAWKDAVEEACEKGIGVIAEPGGSIRDQDAIDCCKKYGVSLLFTNVRHFRH >fgenesh2_kg.4__1531__AT2G35050.1 pep chromosome:v.1.0:4:16641170:16646721:1 gene:fgenesh2_kg.4__1531__AT2G35050.1 transcript:fgenesh2_kg.4__1531__AT2G35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LHR9] MDQAKGYEHIRYTAPDPRDEGLGSINQRFSHDSSTNINSNVRPPDYSISTPARPVLNYSIQTGEEFAFEFMRDRVIMKPQFIPNLYGEPSGMPVSVNLSAMGMVHPMSESGSNATVTEEKRQTFEQERKPPSRIEDKTYHELVQSAPVISSKNDTGQRRHSLVSSRTSDSSLNHAKFLCSFGGKIFPRPRDQKLRYVGGETRIIRISKTISFQELMHKMKEIFPEARTIKYQLPGEDLDALVSVSSDEDLQNMMEECTVFGNGGSEKPRVFLFSSSDIEEAQFVMEHAEGDSEVQYVVAVNGMDLSSRKSSIGTSAPGNNLDELLHGNFDKKINRAVTEPAVASVAPLAGNESLPASQSSQPVTGFSTGNEPFSQPYLGQQLQFPGLGNHQIYTSGHMASIGYIYEKMSAPLHVQPQPHYIPYPVNPETPLESQVPHYPKKTEQGVLREEQIFHVQDPEASSKEAKMRRDDSFQKVNDPANVSTVESNLSAKEPKMRRESSTPRVNEYSVSSMPSDLIVPDHLPKEEAPIATQTSSSTPDPKSSALSEKSLRKSQDPVENNLSAKEPKMRKEHSTTRVNEYSVCSVSSDSMVSDNALKEEAPNSMKISNSTPDPKSFVYPEKSLRTSQEKTGALEATSESMKKNQENQFCLLGGFSASGHGTSDGTSSSVSNVDQPMIHQRVFHSERTLRDPTETNRLSKSDDSLASQFVMAQTTSDAFLPISESSETEANMESQNVHSTAPVRPAPESIWTAEGSMSQSEKRNLETNTPEHVSQTETSAKAVPQGHNEKGDIVVDINDRFPREFLADILKTKESMNFPGLGPLHADGAGVSLNIQNNDPKTWSYFRNLAQDEFERKDLSLMDQDHPGFPTSMTNTNGVPIDYSYPPLQSEKVASSQINPQIHFDGNIQPDVSTITIPDLNTVDTQEDYSQSQIKGAESTDATLNAGVPLIDFMAADSGMRSLQVIKNDDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKRSCFIGRSSEQERLTSEFWHEAEILSKLHHPNVMAFYGVVKDGPGGTLATVTEYMVNGSLRHVLLSNRHLDRRKRLIIAMDAAFGMEYLHSKSIVHFDLKCDNLLVNLKDPARPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLSGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTVPNYCDPEWRMLMEQCWAPDPYVRPAFPEIARRLRTMSSSAVHTKPRAVNHQIHK >fgenesh2_kg.4__1532__AT2G35060.2 pep chromosome:v.1.0:4:16646749:16650575:-1 gene:fgenesh2_kg.4__1532__AT2G35060.2 transcript:fgenesh2_kg.4__1532__AT2G35060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:D7LHS0] MAARVEAATMGGEIGEEETDERGSMWDLDQKLDQSMDEEAGRLRNMYREKKFSALLLLQLSFQSLGVVYGDLGTSPLYVFYNTFPHGINDPEDIIGALSLIIYSLTLIPLLKYVFVVCKANDNGQGSGTFALYSLLCRHAKVKTIQNQHRTDEELTTYSRTTFHEHSFAAKTKRWLEKRTSRKTALLILVLVGTCMVIGDGILTPAISVLSAAGGLRVNRPHISNGVVVFVAVVILVSLFSVQHYGTDRVGWLFAPIVFLWFLSIASIGIYNIWKHDTSVLKAFSPVYIYRYFKRGGRDRWTSLGGIMLSITGIEALFADLSHFPVSAVQIAFTVIVFPCLLLAYSGQAAYIRIYPDHVADAFYRSIPGSVYWPMFIIATAAAIVASQATISATFSLVKQALAHGSFPRVKVVHTSRKFLGQIYVPDINWILMILCIAVTAGFKNQSQIGNAYGTAVVIVMLVTTLLMTLIMILVWRCHWVLVLIFTILSLVVECTYFSAMLFKIDQGGWVPLVIAAAFLLIMSVWHYGTLKRYEFEMHSRVSMAWILGLGPSLGLVRVPGVGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKNLPVYTVPEEERFLVKRIGPKNFHMFRCVARYGYRDLHKKDDDFEKRLFESLFLYVRLESMMEGGCSDSDDYSICGSQQQLKDKLGNGNENENLATFDTFDSIESITPVKRVSNTVTASSQMSGGVDEVEFINGCRDAGVVHIMGNTVVRARREVRFYKKIAIDYVYAFLRKICREHSAIYNVPQESLLNVGQIFYV >fgenesh2_kg.4__1534__AT2G35100.1 pep chromosome:v.1.0:4:16666733:16668871:-1 gene:fgenesh2_kg.4__1534__AT2G35100.1 transcript:fgenesh2_kg.4__1534__AT2G35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSLLKRAAIAVVSVIAIYVILNASVSRSLPSSSDLPRQLIREDDDEGRAPIQPKVRVYMYNLPKRFTYGLIDQHSIARGGIKKPVDDVTTLKYPGHQHMHEWYLFSDLNRPEVDRSGSPIVRVLDPDDADLFYVPVFSSLSLIVNAGRPVEPGSGYSDEKMQEGLMEWLEGQEWWRRNGGRDHVIPAGDPNALYRILDRVKNSVLLVADFGRLRHDQGSFVKDVVIPYSHRVNLFNGEIGVQDRNTLLFFMGNRYRKDGGKVRDLLFQVLEKEDDVTIKHGTQSRENRRAATKGMHTSKFCLNPAGDTPSACRLFDSIVSLCVPVIVSDSIELPFEDVIDYRKFSIFVEANAALQPGFLVQMLRKIKTKKILEYQREMQPVRRYFDYDNPNGAVKEIWRQVSQKLPLIKLMSNRDRRLVLRNLTEPNCSCLCTNQTGRITSI >fgenesh2_kg.4__1537__AT2G35120.1 pep chromosome:v.1.0:4:16680385:16682182:-1 gene:fgenesh2_kg.4__1537__AT2G35120.1 transcript:fgenesh2_kg.4__1537__AT2G35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system H protein [Source:UniProtKB/TrEMBL;Acc:D7LHS4] MACRLLWASRVASHLRISVAQRGFSSVVLKDLKYADSHEWVKIDGNKATFGITDHAQDHLGDVVYVELPDVGHSVSQGKSFGAVESVKATSDINSPVSGTVVEVNEELTESPGLVNSSPYEQGWIIKVELSDAGEAEKLMDSDKYSKFCEEEDAKH >fgenesh2_kg.4__1539__AT2G35140.1 pep chromosome:v.1.0:4:16686815:16690593:1 gene:fgenesh2_kg.4__1539__AT2G35140.1 transcript:fgenesh2_kg.4__1539__AT2G35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVNGASGEDPEYGAIFMSNNSTRKECLSRGLFGLPIGLAGFVKQVKAGMMLFLFEFEKRELHGVFQACSDGAINIEPNAFQSSGKQFPAQVKFTEKWRCRPLCESEFGNAIHENYFTSKKFKFGLSKAQVQRLLKLFCLKKVERSRLIETAAPKPFKKSENIVGDRGFGNRDAEETDGDVDREFPIRVTSAGDHRGRRLIENYGCGGESKWTSGLEYDPTKGNEYSRLVDSKLHGLKDRLGCEVSMNNNSFGTDALTKNSYNSLVNDRRVPKSLRHTANGWLENDYHEKDGIAQASSWSNNKERLNFEADPMVPTQSSVSPDLPYGTNTGSYDPYQPSIMGDTTMTSSRYGFGAPNVDLTGSASYTANSNHGLGEDIIPVGDYVSDAFPSKTVQPFPDEHNATRMNTSSLDSGFYIPMPIEHHKYQINTGINGHLRHSQFPGLLTSAGDTENMRQFERPLYSDRNIFPSFVYPSSSRGLSPKDRLNNELQTYQHQEESRGHDSYTNDMVVWGSSIYPSFTNPSTSGDEADLYLENRANNEVQAYQRQKEFGDDAFDSNNRVTEMKNRIKPAELEGNKTRESVFNRLGGRSKERVAEKDMSPDTESVDEVMAFLNDRHKDWMEQKRANMSNSEDFGKPKKKKEKIHTAEVKRENDMMLPFTETTPDNLLDCEGSMEHTVQKLPFIDFKRRSKARRSSLGNPTQGCKDSPEHSASQSKKRKLLRPKLVEDDSEKDRGHKAGPIKIVLASAKDRGNNDPIVKFLASKSATEVPVHGFLGRNEGDSQKDRGENDNPIENVLASQSATEVPVHDFLGRDEGDSQKERGKNDNPIENLLASQSATEVHVHDFFGRDEDDLEKDRGKNDDPVENFLASEFASEVPFHDFLGCDDR >fgenesh2_kg.4__153__AT2G22300.2 pep chromosome:v.1.0:4:889534:894894:1 gene:fgenesh2_kg.4__153__AT2G22300.2 transcript:fgenesh2_kg.4__153__AT2G22300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARRFSPDNELDVGQILSEARHRWLRPPEICEILQNYQRFQISTEPPTTPSSGSVFMFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKAGSVDVLHCYYAHGQDNENFQRRSYWLLQEELSHIVFVHYLEVKGSRVSTSYNRMQRTEDTARSPQETGEALTSEHDGYASCSYNQNDHSNHSQTTDSASVNGFHSPELEDAESAYNQHGSSIVHSHQEFQQPAIGGSLTGFDPYHQISLTPRDSYQKELRTIPVTDSSIMVDKCKTINSPGVTNGLKNRKSIDSQTWEEILGNCGSGVEALPLQPNSEHEVLDQILESYSFTMQDFASLQGSMVKSQNQELNSGLTSDSTVWFQGQDVELNAISNLASNEKAPYLSTMKQHLLDGALGEEGLKKMDSFNRWMSKELGDVGVIADANESFTQSSSRTYWEEVESEDGSNGHNSRRELDGYVMSPSLSKEQLFSINDFSPSWAYVGCEVVVFVTGKFLKTREETEIGEWSCMFGQTEVPADVISNGILQCVAPMHEAGRVPFYVTCSNRLACSEVREFEYKVAESQVFDRETDDESTINILEARFVKLLCSKSESSSPVSGNDSHLSQLSEKISLLLFENDDQLDQMLMNEISQENMKNNLLQEFLKESLHSWLLQKIAEGGKGPSVLDEGGQGVLHFAASLGYNWALEPTIIAGVSVDFRDVNGWTALHWAAFFGRERIIGSLIALGAAPGTLTDPNPDFPSGSTPSDLAYANGHKGIAGYLSEYALRAHVSLLSLNDNNAETVETAPSPSSSSLTDSLTAVRNATQAAARIHQVFRAQSFQKKQLKEFGDRKLGMSEERALSMLAPKTHKSGRAHSDDSVQAAAIRIQNKFRGYKGRKDYLITRQRIIKIQAHVRGYQVRKNYRKIIWSVGILEKVILRWRRKGAGLRGFKSEALVDKMQDGTEKEEDDDFFKQGRKQTEERLQKALARVKSMVQYPEARDQYRRLLNVVNDIQESKVEKALENSEATCFDDDLIDIEALLEDDDTLMLPMSSSMWTS >fgenesh2_kg.4__1540__AT2G35150.1 pep chromosome:v.1.0:4:16690823:16691995:-1 gene:fgenesh2_kg.4__1540__AT2G35150.1 transcript:fgenesh2_kg.4__1540__AT2G35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-responsive 1 family protein [Source:UniProtKB/TrEMBL;Acc:D7LHS7] MIPLIIFFFSLSLSLTSNGQFFDESKNYEGSSDLVDLQYHMGPVISSPVTSLYIIWYGRWNPTHQSIIRDFLYSISSPTPAQYPSVSNWWKTVRLYRDQTGSNITDTLVLSEEFHDSTYSHGSHLTRFSVQSVIRTALTSKLPLNAVNGLYLVLTSDDVEMQEFCRAICGFHYFTFPSVVGATVPYVWVGNSRKQCPEICAYPFAQPKPFPGSGFVAREKMKPPNGEVGIDGMISVIAHELAEVSSNPMLNGWYGGEDATAPTEIADLCLGVYGSGGGGGYMGSVFKDRWRTVYNVKGVKGRKYLIQWVWDLNRNRCFGPNAMN >fgenesh2_kg.4__1543__AT2G35170.1 pep chromosome:v.1.0:4:16702161:16704524:1 gene:fgenesh2_kg.4__1543__AT2G35170.1 transcript:fgenesh2_kg.4__1543__AT2G35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKKSSVTERRLIGKDDSDPNHIPNPIHHHHHHQNPNKTRTAIDKGSGFTVYNHPQGQSHHHTVDIPTGVDHFRRRNARARLGSISSFFPSNLLSFLSSYRPVIARFLRKLLRYTLRARLICFHLRFLLLLAVPPLYIFFLVINLRIFLRLIFAIIALSFILSISLKFALPHLPSIRLFVARLLTFIPARFSSSQPSTTNQVIWSIGSKPVAENKTNSGSWVQKFGTNDVYEGEFHRGKCSGSGVYYYSMKGKYEGEWIDGKYDGYGVETWAKGSRYRGQYRLGLRHGIGVYTFYTGDVYAGEWSNGQCHGCGVYTSEDGSRYDGEFKWGVKHGLGSYHFRNGDAYAGEYFADKMHGFGVYHFANGHKYEGAWHEGRRQGLGMYTFRNGETQAGHWEDGILSCATEQTIRPGSSFTISHSKVVDAVEKARKAAEKAHEVVKVEERIKRAVMAANRAANAARVAAVKAVQSQTFHRNDGDLETKC >fgenesh2_kg.4__1544__AT2G35190.1 pep chromosome:v.1.0:4:16705312:16707787:1 gene:fgenesh2_kg.4__1544__AT2G35190.1 transcript:fgenesh2_kg.4__1544__AT2G35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPSN11 [Source:UniProtKB/TrEMBL;Acc:D7LHT3] MDPISAVSEELAEIEGQINDIFRALSNGFQKLEKIKDANRQSRQLEELTDKMRDCKSLIKDFDREIKSLESGNDANTNRMLNDRRQSMVKELNSYVALKKKYSSNLATNNKRVDLFDGPGEEHMEENVLLASNMSNQELMDKGNSMMDDTDQAIERGKKIVQETINVGTDTSAALKAQTEQMSRVVNELDSIHFSLKKASKLVKEIGRQVATDKCIMAFLFLIVIGVIAIIIVKIVNPNNKDIRNIPGLAPPAMNRRLLWNHY >fgenesh2_kg.4__1545__AT2G35200.1 pep chromosome:v.1.0:4:16708200:16708964:1 gene:fgenesh2_kg.4__1545__AT2G35200.1 transcript:fgenesh2_kg.4__1545__AT2G35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDKTQMNLRFDEGGGSVWQRCSRHEGRFVCVGGVCPYCLHERLSSLCPDCAHDLPCSCTPRASVSSGGGDDVPFAGIGSVGRVANLIESEPAFRRSTSLAVPYFWSSKPETILETESDLKPGRGRWLWRLLRGNREETKIKAATVMKKSKSVAGEELFSPAPVASKGNGWYFPSPIKVFRQSRVSKMIFQQRSPLYRG >fgenesh2_kg.4__1549__AT2G35230.1 pep chromosome:v.1.0:4:16718278:16720446:1 gene:fgenesh2_kg.4__1549__AT2G35230.1 transcript:fgenesh2_kg.4__1549__AT2G35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPRQNDHLGVNKIGRNIRKSPLHQPTFAANASNVAAARPQTQPQVYNISKNDFRSIVQQLTGSPSRECLPRPPQNNPPKPQNTRLQRIRPSPLTQINRPAVPLPTMAPPQSHPQFVRQHPPQPPFPQSTQQPMMGHRDQFWSNTAESPVSEYMRYLQSSLGDSGPNGNQIQPGQEQRPYIPGHEQRPYIPGHEQQPYIPGLEQRPYMPGHEQRPYMPGHEQPQSQSQPQPQPQQHMMPGSQPRMNMQGPLQPNQYLPPPGLVPSPVPRNLPSPRFNAPVPVTPTQPSPMFNQMYGGFASPRYNGFGPLQSPTSQFLLPSPTGYPNMFSPRSPYPLLSPGVQYPQPLTPNFSFSQIAQQGSLGPGAGPGQGPPQPPPSPGLMFPLSPSGFFPIPSPRWSDF >fgenesh2_kg.4__1552__AT2G35270.1 pep chromosome:v.1.0:4:16755569:16756432:1 gene:fgenesh2_kg.4__1552__AT2G35270.1 transcript:fgenesh2_kg.4__1552__AT2G35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTTSRYVHNVDGGGGGQFTTDNHHEDDGGAGGNHHHHHNNHNHHQGLDLIASNDNSGLGGGGGGGSGDLVMRRPRGRPAGSKNKPKPPVIVTRESANTLRAHILEVGSGCDVFECISTYARRRQRGICVLSGTGTVTNVSIRQPTAAGAVVTLRGTFEILSLSGSFLPPPAPPGATSLTIFLAGAQGQVVGGNVVGELMAAGPVMVMAASFTNVAYERLPLDEHEEHLQVQSGGGGGGGNMYSEATGGGGGLPFFNLPMSMPQMGVESWPGNHAGAGRAPF >fgenesh2_kg.4__1553__AT2G35290.1 pep chromosome:v.1.0:4:16758799:16759291:-1 gene:fgenesh2_kg.4__1553__AT2G35290.1 transcript:fgenesh2_kg.4__1553__AT2G35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLISPVMKLRRLSSADSRRFAYRNLTENMEDPVIRVVVGKEKKEFMVEPYVLEEYPFRVLIGSAKDRTKNRLNRTGRVVWLDHVDSILFEHLLWLLRNDASTFSDLDVVEIIDFYAQDC >fgenesh2_kg.4__1554__AT2G35300.1 pep chromosome:v.1.0:4:16760230:16760702:-1 gene:fgenesh2_kg.4__1554__AT2G35300.1 transcript:fgenesh2_kg.4__1554__AT2G35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant group 1 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LHU7] MQSAKEKISDMASTAKEKLHIGGAKAQGHAEKTMARTKKEKKLAQEREKSKEAQAKADLHQSKAEHAADAQVHGHRLPGHSTYPTRATGANYPPGQI >fgenesh2_kg.4__1555__AT2G35310.1 pep chromosome:v.1.0:4:16762798:16764558:1 gene:fgenesh2_kg.4__1555__AT2G35310.1 transcript:fgenesh2_kg.4__1555__AT2G35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein [Source:UniProtKB/TrEMBL;Acc:D7LHU8] MARNSDYDMCKEERKRESFFKVLQRVDFSSENLRALPYDFVRSFSNNELSGKMKIKARWGSSWEVEICKNPRFYFMEKSGWVKFVRDNALGDNEFLTFTHKGKMRFTVNIFKQDGKEMLQPPQSMASMASSRRRRTKTEQGISYLATTITAESNGGENYTRKLNFEKKKAAESQNSKRTEKVFSVRRDSAGASSSSVAEFTTFIKKSYLIFMRIPKSVQSIHMPMQRTIFKIHLPNMKKSWNVVYLVADRGASFSGGWKRLAQEYPVAVGDTCKFSFIKQHELILFVSKP >fgenesh2_kg.4__1558__AT2G35350.1 pep chromosome:v.1.0:4:16791528:16794599:-1 gene:fgenesh2_kg.4__1558__AT2G35350.1 transcript:fgenesh2_kg.4__1558__AT2G35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGFSSVLPCFNQGHRNRRRHSSAANPTHSDPIESLCEPLDETLGHSYCYVPSSNRFISPFPSDRFVSPSGSFRLSPPHEPGRIRGSGSSEQLHTGFRAISGASVSANTSNSKTVLQLEDIYDDATESSFGGGVRSSVVNANGFEGTSSFSALPLQPGPDRSGLFMSGPIERGATSGPLDPSAGAISRSNSAGVHFSAPLGGVYSKKRRKKKKKSLSWHPIFGGEKKQRPWVLPVSNFVVGAKKENIVRPDVEAMAASSGENDLQWALGKAGEDRVQLAVFEKQGWLFAGIYDGFNGPDAPEFLMANLYRAVHSELQGLFWELDEEDDNSTVPNELEQRGKVEAQVDEMASSSCPATDKEEDEMGKRLTSSSLEVVEVKERKRLWELLAEAQAEDALDLSGSDRFAFSVDDAISAGNAASVGSKRWLLLSKLKQGLSKQGISGRKLFPWKSGVEENENEEVDNVGVEERVDKRRKRRKAGTVDHELVLKAMSNGLEATEQAFLEMTDKVLDTNPELALMGSCLLVALMRDDDVYIMNIGDSRALVAQYQVEETGASVETSEKVEERRNDVDRDVENKEPLVVDGSDSTVNNETPLPQTKLVALQLTTDHSTSIEDEVTRIKNEHPDDNHCIVNDRVKGRLKVTRAFGAGFLKQPKLNDALLEMFRNEYIGTDPYISCTPSLRHYRLTENDQFMVLSSDGLYQYLSNGEVVALAMEKFPDGDPAQHVIQELLVRAAKKAGMDFHELLDIPQGDRRKYHDDCTVLVIALGGSRIWKSSGKYL >fgenesh2_kg.4__1559__AT2G35360.1 pep chromosome:v.1.0:4:16800794:16802599:-1 gene:fgenesh2_kg.4__1559__AT2G35360.1 transcript:fgenesh2_kg.4__1559__AT2G35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein [Source:UniProtKB/TrEMBL;Acc:D7LHV6] MVNSIEKVRSDSTNNGTVEITYKTIGPARPSQIRVASHVKVRDLRNAIAEKGKFPVSNLRMILRGKALQDEEDGDDLYVTLKDQDSLIVAVIPNPPAGAETFDDDDDDDDLKFKLPPSASRWKRKFYYFLRNKLKLPDIILMGLFSLSLKMWVIIILWFILAPIAHRWDLGPIFILGTGFSIILLNLGKRQPGDVSAYSIFNEDFRELPGTYNAERIDRDIRAGQI >fgenesh2_kg.4__155__AT2G22310.1 pep chromosome:v.1.0:4:894861:896732:-1 gene:fgenesh2_kg.4__155__AT2G22310.1 transcript:fgenesh2_kg.4__155__AT2G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LDE7] KALGDQFPEGERYLGFENFGNTCYCNSVLQRLLLVLEGLTCTEEPCASSVFKIWIRELLLQHYANDQADAQENLLTCLADLFSQFPFEKSILLGYCYTCWFALFYLASVETMALQLYKFPEEENWSYCSQALALEVIYVFANGVHKLRANCYLGAQDFSGKVLYHKWHISVHFWLFIFDKFCCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIQQLGRYKKLSYRVVFPLELKLSNTVDEYVDIEYSLFAVVTVEMIEESAVQTFFGSSQEYSSNTDHGYILLYESLGTR >fgenesh2_kg.4__1560__AT2G35370.1 pep chromosome:v.1.0:4:16803150:16804264:1 gene:fgenesh2_kg.4__1560__AT2G35370.1 transcript:fgenesh2_kg.4__1560__AT2G35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine decarboxylase complex H [Source:Projected from Arabidopsis thaliana (AT2G35370) TAIR;Acc:AT2G35370] MWASSTANALKLSSSVSKSHLSPFSISRCFSTGLEGLKYANSHEWVKHEGSVATIGITAHAQDHLGEVVFVELPEENTSATKEKSFGAVESVKATSEILSPISGEIIEVNKKLTESPGLINSSPYEDGWMIKVKPSSPAELESLMGPKEYTKFCEEEDAAH >fgenesh2_kg.4__1566__AT2G35410.1 pep chromosome:v.1.0:4:16810010:16811533:1 gene:fgenesh2_kg.4__1566__AT2G35410.1 transcript:fgenesh2_kg.4__1566__AT2G35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEAALCIFSFSSSSSSSNPYLFCKRSSSLKPTALSLRLPSNLSPVFSLRSGGDNSRRLVSVLCSVAEKETSAEEETSQEEKTEETQKSNLKRKLFVFNLPWSMSVNDISELFGQCGTVNNVEIIRQKDGKNRGFAFVTMASGEEAQAAIDKFDTSQVSGRIISVNFARRFKKPTPKPPNDLPSPPPGDTRHKLYVSNLAWKARSTHLRELFTASDFNPVSARVVFADPEGRSSGYGFVSFATREEAEDAIAKLDGKEIMGRPIILKFSLRSASESEDGDTVGDNNTSEDGDTVEDKNTSEEKGVE >fgenesh2_kg.4__1567__AT2G35420.1 pep chromosome:v.1.0:4:16811498:16812460:-1 gene:fgenesh2_kg.4__1567__AT2G35420.1 transcript:fgenesh2_kg.4__1567__AT2G35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LHW0] MSSTTSIPTTDVFPTVSMPITIVLIGVLLFVIFAGFFSLFFWRFLLNRLFSAWNLQQTPYSDLIHVATPPEKPGLDPFIIRSFPVFPYSSATMKNHGTECAICLSEFSDEDTVRLITVCRHPFHSNCIDLWFELHKTCPVCRCELDPGMIGSGSHESLHNAVTITIPDINHDEENPPTTGSSKRLMEASAWRFSRSHSTGHFMVKTTDVNVKSKRRHYQTGSCDSFDELTRYDGAGWFGDSSHISRIEV >fgenesh2_kg.4__1568__AT2G35450.1 pep chromosome:v.1.0:4:16824073:16826521:-1 gene:fgenesh2_kg.4__1568__AT2G35450.1 transcript:fgenesh2_kg.4__1568__AT2G35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g35450 [Source:UniProtKB/TrEMBL;Acc:D7LHW4] MSAIAGSDRETTSSTARVIDSHLHIWASPQEAETYPYFPGQEPTLTGDVDFLLKNMEEARVDGALIVQPINHKFDHSLVTSVLKKYPSKFLGCCLANPAEDGSGIKHLENLVLQSNYRAVRFNPYLWPSGQKMTNDVGKSLFSKAGELGVPVGFMCMKGLDLHIAEIEELCTEFPKTVVLLDHAGFCKVPENGEAKLAYTQLMKLSRFPQVYVKFSALFRISRTGFPYQDLSPLLSQLVSHFGANRVMWGSDFPFVVLECGYKEAKEAVTIIAKQASLSGSQMDWILGKTVMQLFPGQWVLP >fgenesh2_kg.4__1569__AT2G35470.1 pep chromosome:v.1.0:4:16830308:16831306:-1 gene:fgenesh2_kg.4__1569__AT2G35470.1 transcript:fgenesh2_kg.4__1569__AT2G35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSLDSSASKLYSYGGRKDDTYDIDDLKPASSSPSSSSSAADYDDHELKDYKPRRFSSLQSPFATTNKKQEKLVHFIPILTLICFIILYLTSHVPSQSDLAQFNGFMRPSKHLESDENGEISGFIRADTLAIRSSVRNLQETESFAAKSLPRRRTSHRKTADF >fgenesh2_kg.4__1570__AT2G35500.1 pep chromosome:v.1.0:4:16837705:16839762:1 gene:fgenesh2_kg.4__1570__AT2G35500.1 transcript:fgenesh2_kg.4__1570__AT2G35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFASGLASTIFYSPSLNPLTCQATLFSPIRIRSSPRVFRGLHSLRRRGFRRFSQNVTTDRFKSFSCNCLSAVSTSTIDYEFTDGGKEVELRLRLKTGETLSPKDISVDADGTSLAVKEKRNGLLITLLETNQLFEKIMPSETIWYIDEDQLVVNMKKVDGELKWPDIVESWESLTAGMMQLLKGASIYIVGDSTEINQKVSRELAVGLGYSPLDSKELLESFSKQTIDSWIVAEGPDSVAEAESSVLESLSSHVRTVVSTLGGKHGAAGRADQWRHLYSGFTVWVSQTEATDEESAKEEARRNKQEREIGYSNADVVVKLQGWDPTHAKSVAQASLSALKQLIISDKGLPGKKSLYIRLGCRGDWPNIKPPGWDPSSDTGAHPQFT >fgenesh2_kg.4__1571__AT2G35510.1 pep chromosome:v.1.0:4:16839820:16843589:-1 gene:fgenesh2_kg.4__1571__AT2G35510.1 transcript:fgenesh2_kg.4__1571__AT2G35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:D7LHX0] MEAKIVKVSDSSYKDVHGKKRKHPGNYIPYGSGRSYGKLQCVLSPNSSTQKLEKKRNLDGENKANVSENRVGKSLVRYFSYYKKTGVPKRVMFHENGEWIDLPEHILCDIRNDLEAKRAAIEFNWCGRPFLLDFLHMYRLDLETGVKTQLAWIDIAGKCFFPETFDSLERDCCHHICGEDPEQHDQREIKLHIEIDVNGGELPRLNLNVVSDKSGDNMDDIQAVQRSSNGQNDEASEDSCSRELDDAVKKWDKTEIDQFSGVKPAEEELDKNAVKQMFALGTATLGHVELLDVYQFSSETSKARLSLFQKQADITKKRRGDANIRYAWVPAKKEVLSAVMMHGLGVGGAFVKKSMYGVGVHLNAANCSHFSARYCDIDDNGVRHMVLCRVIMGNMEPLRGDNTQFFSGGEEYDNGVDDVESPKHYLIWNMNMNTHIYPEFVVSFKLSIPNAEVNTLPTTQSKHETLELTLEGPKGSPSNDPGRVSNGGGSGSQKTSGSSSSRRPRSPMMPFPLLFKAISTKIARKDMDLISAGYQELREEKVSRKEFYKTLRVIVGDDDLLKSTITSLQRSLG >fgenesh2_kg.4__1572__AT2G35530.1 pep chromosome:v.1.0:4:16845495:16849167:-1 gene:fgenesh2_kg.4__1572__AT2G35530.1 transcript:fgenesh2_kg.4__1572__AT2G35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNETEKSSKEKEPKTPPSSSAAPPSSQEPSSAVSAGMATPDWSGFQAYSPMPPPHGYVASSPQPHPYMWGVQHMMPPYGTPPHPYVAMYPPGGMYAHPSMPPGSYPYSPYAMPSPNGMTEVSGNTTGGTEGDAKQSEVKEKLPIKRSRGSLGSLNMITGKNNEPGKNSGASANGAYSKRHDPFWTYATSLDNIHSIIALRLSEFEGSDGNSQNDSGSGLDGKDAEAASENGGSANGPRNGSAGTPILPVSQTVPIMPMTAAGVPGPPTNLNIGMDYWGAPTSAAIPGMHGKVSTPVPGVVAPGSRDGGHSQPWLQDDRELKRQRRKQSNRESARRSRLRKQAECDELAQRAEVLNEENTNLRAEINKLKSQCEELSAENTSLKDQLSLFPPLEGISMDNDHQEPDTNQTGAAERKVDSYKDTT >fgenesh2_kg.4__1573__AT2G35540.1 pep chromosome:v.1.0:4:16849798:16851579:1 gene:fgenesh2_kg.4__1573__AT2G35540.1 transcript:fgenesh2_kg.4__1573__AT2G35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LHX3] MASVSDSEVEKESIHHKALAESSFNCGDLMSALTHAQKALSLSPNAEGLSAMVTAFEIISSAATVAGGLPEWYKVLKVEPFSHINTIKQQYRKLALVLHPDKNPYVGCEEGFKLLNEAFRVFSDKGEMVSGGCGDDETSTFSTVCSGCRSVHKFVRKNLGQNLMCSSCKKSFEAKEVEKEEEGRDGSANGACTSKIITYSRRKRPLGSDGESLRREVETGEMSEEGAEAVNVSEMLDEEDEGMMTLAEMQSVIKRNKSKVKPKITEKDSIGEENLGRETQKRSSADVSMSETLREMSTNKVNNKREALKNSKNIKKKKMTNHKNLTEIVDLEYVPRVDRKRDRGKLSQEIYMEDEDFELYDFDKDRMPRSFKKGQIWVIYDGGDDKMPRSYCLVNDVVSLNPFKVWISWLDFENEKLISWMKISSSHMPCGRFRVAEKALIEQVKPFSHLVNCERAAREVYQIYPRKGSVWAVYSDTNSGLQRRKTRRYEIVVCLTMYTDAYGLSVAYLEKVNDCSNLFKRRNYGYNAVRWVEKDDVAALLSHQIPAKKLPEDESGADLKESWVLDLASVPPDLVSAT >fgenesh2_kg.4__1574__AT2G35550.4 pep chromosome:v.1.0:4:16852111:16853457:1 gene:fgenesh2_kg.4__1574__AT2G35550.4 transcript:fgenesh2_kg.4__1574__AT2G35550.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAGA-binding transcriptional activator [Source:UniProtKB/TrEMBL;Acc:D7LHX4] MNSFPSQNLMLSATNANKGAGLRTSNAHWLHSCIAVPKTTGIDLSQANPAEGVMVPQSHLFPPPTRDSRNDMETVKQKSVNQSPLKSLKPNPPRKKRSASNKSKKTLSIPETKREKKNLDINIDISSFDTSGVPPPVCSCTGVSRVCYKWGMGGWQSSCCTISISTYPLPMSTTRPRVRLAGRKMSNGAYVKLLARLAGEGYNLSHPVDLKNHWARHGTNKFVTIK >fgenesh2_kg.4__1578__AT2G35605.1 pep chromosome:v.1.0:4:16870156:16871354:1 gene:fgenesh2_kg.4__1578__AT2G35605.1 transcript:fgenesh2_kg.4__1578__AT2G35605.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB complex BAF60b domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LHX8] MATVSRVFGACRVLMAKAASSSAAKNGRQGTGILKVVPVSKPLATFIGENEVSRTTAVKKIWEYIKLNNLQNPENKREILCDEQLKTIFSGKDTVGFLEISKLLSQHFPKSA >fgenesh2_kg.4__157__AT2G22330.1 pep chromosome:v.1.0:4:907831:910399:1 gene:fgenesh2_kg.4__157__AT2G22330.1 transcript:fgenesh2_kg.4__157__AT2G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP79B3 [Source:UniProtKB/TrEMBL;Acc:D7LDE9] MDSLASNSSDLGTKSSPGTSSFTNMYLLTTFQALVAISLLMILKKLKSSSRNKKLHPLPPGPTGFPIVGMIPAMLKNRPVFRWLHSLMKELNTEIACVRLGNTYVIPVTCPKIAREIFKQQDALFASRPLTYAQKILSNGYKTCVITPFGEQFKKMRKVIMTEIVCPARHRWLHDNRAEETDHLTAWLYNMVKNSEPVDLRFVTRHYCGNAIKRLMFGTRTFSEKTETDGGPTLEDIEHMDGMFEGLGFTFAFCVSDYLPILTGLDLNGHEKIMREASAIMDKYHDPIIDERIKMWREGQRTKIEDFLDIFISIKDEAGQPLLTADEIKPTIKELVMAAPDNPSNAVEWAMAEMINKPEILHKAMEEIDRVVGKERFVQESDIPKLNYLKAIIREAFRLHPVAAFNLPHVALSDTTVAGYHIPKGSQVLLSRYGLGRNPKVWSDPLSFKPERHLNECSEVTLTENDLRFISFSTGKRGCAAPALGTAITTMMLARLLQGFKWKLAGSETRVELMESSHDMFLSKPLVMVGELRLSEDLYPTVK >fgenesh2_kg.4__1583__AT2G35630.1 pep chromosome:v.1.0:4:16893271:16907347:1 gene:fgenesh2_kg.4__1583__AT2G35630.1 transcript:fgenesh2_kg.4__1583__AT2G35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEDEKLLKEAKKLPWEDRLGHKNWKVRNEANVDLASLFDSITDPKDPRLRDFGHLFRKTVADSNAPVQEKALDALIAFLRAADSDAGRYAKEVCDAIAAKCLTGRKNTVDKAQAAFLLWVELEAVDVFLDTMEKAIKNKVAKAVVPAVDVMFQALSEFGSKVIPPKRILKMLPELFDHQDQNVRASAKGVTLELCRWIGKDPVKSILFEKMRDTMKKELEAELTNVSGGAKPTRKIRSEQDKEPEAEASSDVVGDGPSEEAVADAPQEIDEYDLMDPVDILTPLEKSGFWDGVKATKWSERKEAVAELTKLASTKKIAPGDFSEICRTLKKLITDVNLAVAVEAIQAIGNLACGLRTHFSASSRFMLPVLLEKLKEKKPSVTEPLTQTLQTMYKAGCLNLVDVIEDVKTAVKNKVPLVRSSTLTWLTFCLETSNKALILKAHKEYVPLCMECLNDGTPDVRDAAFSALAAIAKSVGMRPLERSLEKLDDVRKKKLSEMIAGSGGGDQAGTSSVTVQSSVGSTATGNSEASFVRKSAASMLSGKRPAPSAPASKKVGTGKPGGGKKDGSVRNEGPKSVEPPEDVEPAEMGLEEIENRLGSLVKPETISQLKSSVWKERLEATLALKEEIEGLQELDKSVEILVRLLCAVPGWNEKNVQVQQQVIEIITYISSTAAKFPKKCVVLCITGTSERVADIKTRASAMKCLTAFCEAVGPGFVFERLFKIMKEHKNPKVLSEGLLWMVSAVDDFGVSLLKLKDLIDFCKDVGLQSSTAATRNATIKLLGALHKFVGPDIKGFLNDVKPALLSALDTEYEKNPFEGTAAPKRVVKTSVSTSTSSGGLDSLPREDISSKITPNLLKGFESPDWKMRLESIEAVNKILEEANKRIQPTGTGELFGGLRGRLLDSNKNLVMQTLTTIGGVAAAMGPAVEKASKGILSDVLKCLGDNKKHMRECTLAALDLWLGAVHLDKMIPYIILALTDGKMGAEGRKDLFDWLTKQLTGLSDFVDAIHLLKPASTAMTDKSADVRKAAEGCISEILRVSGQETIEKNLKDIHGPALALVLEKVRPGFVQEPFESSKAMAGPVSKGVSKISKSTSNGTLKQGNRSRAVPTKGSQITSVHDIAIQSQALLNTKDSNKEDRERVVVRRIKFEELRPEQILDLENDMMKFFREDLQKRLLSPDFKKQVDGLEILQKALPSVSKEIIEVLDILLRWFVLQFCKSNTTCLLKVLEFLPELFNTLRDEEYCMTEAEAAIFLPCLAEKLGHNIEKVREKMRELMKQLIQAYSVAKTYPYILEGLRSKNNRTRIECTDLIGYLLETCGTEIGGLLKYLNMVASLTAERDGELRKAALNTMATGYKILGDDIWKYVGKLTDAQKSMIDDRFKWKVKEMEKRREGKPGEARAALRRSVRDNGPEVAEQSGDLSQIVPGPLFPRQNYGISEQILERNPVPRTIAGVNGPTDWNEALDIIMFGSPEQSVEGMKVVCHELAQASNDPEESAIDELVKDADGLVSCLANKVAKTFDVSLMGASSRSCKYVLNTLMQTFQNKKLAHAVKEGTLESLITELLLWLLDERVPRMEDGSQLLKALNVLMLKILDNADRTSSFVVLISLLRPLDPSRWPSPATAEVYAVRNQKFSDLVVKCLIKLTKLLQSTIYEVDLDRLLQSIHVYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSLVPIDMRPQPIILAYIDLNLETLAAARMLTATGPVGQTHWTDSTANNPSPPANSADVQLKQELGAIFKKIGDKQTSKIGLYDLYHITKSYPKVDIFSQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPSSLALPSPDIPSLSSLDAKPLMNPRSDLYTDDIRASNMNPGVMTGTLDAIRERMKNMQLASSGTLEPVSKPLMPTNDNLSMNQQSVPQSQMGQETPHTHPVVLPMDEKALSGLQARMERLKGGSLEHM >fgenesh2_kg.4__1584__AT2G35635.1 pep chromosome:v.1.0:4:16907752:16908915:1 gene:fgenesh2_kg.4__1584__AT2G35635.1 transcript:fgenesh2_kg.4__1584__AT2G35635.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LII8] MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYAIEGGSVLHLVLALRGG >fgenesh2_kg.4__1586__AT2G35650.1 pep chromosome:v.1.0:4:16913327:16916220:1 gene:fgenesh2_kg.4__1586__AT2G35650.1 transcript:fgenesh2_kg.4__1586__AT2G35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPIFHRLPHATFSSFLLSLSQVGSSKTSVAFLNAFKSEDIIARIGLWWQLIRAVVLVPVFKFLVALCLVMSVMFFVEVMYMGIVVLYVKLFKRKPEKIYKWEPMEGDVECGSASYPMVLVQIPMYNEKEVCEQSIAAACKISWPSNRIIIQVLDDSTDPASKELVKRECDRWSKEGVNITFEIRDNRNGYKAGALREGMKHSYVKQCDYVAIFDADFQPDPDFLHRTVPFLIHNPKLALVQGRWEFVNAGQCMMTRLQEMSLSYHFTIEQQVGSSTFAFFGFNGTAGVWRITALNESGGWNDQTTVEDMDLAVRATLRGWKFLYIDDLKVKSELPCSFKALRNQQHRWTCGPANLFRKMAVQIIRSENVSLWKKLYMLYSFFFMRKIVAHILTFCFYCVILPATVLFPEVTVPKWAAFYLPSLITLLIAIGRLRSIHLLAFWVLFENAMSLLRAKALVMGLLETGRVQEWVVTEKLGDTLKTKLIPQVPNVRFRERVHLLELLVGAYLLFCGIYDIVYGKNTLYVYLLFQSVAFFVVGFGFVGKYVPASSYLA >fgenesh2_kg.4__1589__AT2G35660.1 pep chromosome:v.1.0:4:16916368:16918362:1 gene:fgenesh2_kg.4__1589__AT2G35660.1 transcript:fgenesh2_kg.4__1589__AT2G35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLPIYPLQSLGQSNRWFPVRTRSKPVCLALTNAQTNGGDQEENVVIVGAGIGGLTTAVSLHRLGIRSVVLEQVESLRTGGTSLTLFKNGWRVLDAISVGPQLRPQFLEIEGMVVKNEDGRELRSFKFKDEDQSQEVRAVERRVLLETLASQLPPQTIQFSSKLESIQSNANGDTLLQLGDGTRLLGQIVIGCDGIRSKVATWMGFSEPKYVGHCAFRGLGFFPNGQPFQNKVNYIYGKGLRAGYVPVSATKVYWFICFNRPSLGPKITDPAILKKQAKELVSTWPEDLQNLIELTPDETISRTPLVDRWLWPGIAPPASKGRVVLVGDAWHPMTPNLGQGACCALEDSVVLANKLANAINGGTESIEEAMESYGSERWSRAFPLTVRANLVGALLQWENPLVCSIRNNIVIPKLLRLGPMLEHTNFECEPLFVSKS >fgenesh2_kg.4__158__AT2G14365.1 pep chromosome:v.1.0:4:920496:920952:1 gene:fgenesh2_kg.4__158__AT2G14365.1 transcript:fgenesh2_kg.4__158__AT2G14365.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 84 [Source:UniProtKB/TrEMBL;Acc:D7LDF0] MTMTKAFVIFILVATSLCNSNALPSSVVNGFGYDYCIAKCSITFLDDVCKPVCISKGYSDGGCIGGPKLKCCCKK >fgenesh2_kg.4__1597__AT2G35700.1 pep chromosome:v.1.0:4:16933770:16934339:1 gene:fgenesh2_kg.4__1597__AT2G35700.1 transcript:fgenesh2_kg.4__1597__AT2G35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDDCRRFQDSPAQAKERRVKNNPKKKRAKDDDEKVVSKHPTFRGVRMRQWGKWVSEIREPKKKSRIWLGTFSTAEMAARAHDVAALAIKGGSAHLNFPELAYHLPRPASADPKDIQAAAAAAAAAMDVETSSPSPSPTVTETSSPAMTALSDDAFSDLPDLLLNVNHNIDGFWDSFPYEEPFLSQSY >fgenesh2_kg.4__1599__AT2G35710.1 pep chromosome:v.1.0:4:16940610:16944308:-1 gene:fgenesh2_kg.4__1599__AT2G35710.1 transcript:fgenesh2_kg.4__1599__AT2G35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRGFVFLSLVLSFMIIETTAYRERQLLLQPQETAIDTANAVVTVQDRGLKTRRPEHKNAYATMMYMGTPRDYEFYVATRVLIRSLRSLHVEADLVVIASLDVPLRWVQTLEEEDGAKVVRVENMDNPYRRQTNFNSRFKLTLNKLYAWALSDYDRVVMLDADNLFLKKTDELFQCGRFCAVFINPCIFHTGLFVLQPSVEVFKDMLHELQVGRKNPDGADQGFLVSYFSDLLDQPLFRPPSNGSVLGGHLRLPLGYQMDASYFYLKLRWNIPCGPNSVITFPGAVWLKPWYWWSWPVLPLGISWHEQRRTTIGYSAEMPLVIIQAVFYLGIILVTRLARPNITKLCYRRSDRNLTTIQAGFKSVALLSVVAAYIFPFFTIPHTIHPLIGWSLYLMASFALSSISINTLLLPTLPVLTPWLGILGTLLVMAFPWYPDGVVRALSVFAYAFCCAPFVWVSFRKITSHLQVLIEKEVLFPRLGDSGTTAGFSKLY >fgenesh2_kg.4__159__AT2G22360.1 pep chromosome:v.1.0:4:923249:926317:1 gene:fgenesh2_kg.4__159__AT2G22360.1 transcript:fgenesh2_kg.4__159__AT2G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:UniProtKB/TrEMBL;Acc:D7LDF2] MALIQFGSTCVAQWSIRPQFAVRAYYPSRVQSSRQQNPMSQINCLGASRSSMFSHGSLPFLSMTGMSRNMHPRRGSRFTVRADADYYSVLGVSKNATKSEIKSAYRKLARNYHPDVNKDPGAEEKFKEISNAYEVLSDDEKKSLYDRYGEAGLKGAAGMGGMGDFSNPFDLFESLFEGMGGMGGGGMGRGSRSRAVDGQDEYYTLILNFKEAVFGMEKEIEISRLESCGTCEGSGAKPGTKPTKCTTCGGQGQVVSSARTPLGVFQQVMTCSSCNGTGEISTPCGTCSGDGRVRKTKRISLKVPAGVDSGSRLRVRGEGNAGKRGGSPGDLFVVIEVIPDPVLKRDDTNILYTCKISYIDAILGTTLKVPTVDGTVDLKVPAGTQPGTTLVMAKKGVPVLNKSNMRGDQLVRVQVEIPKRLSKEEKKLIEELADMSKNKTANSTSR >fgenesh2_kg.4__1601__AT2G35720.1 pep chromosome:v.1.0:4:16947310:16951251:1 gene:fgenesh2_kg.4__1601__AT2G35720.1 transcript:fgenesh2_kg.4__1601__AT2G35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:J-domain protein [Source:UniProtKB/TrEMBL;Acc:D7LIJ8] MGEEAAPMGPPNRELYALLNLSPEASDEEIRKAYRQWAQVYHPDKIQSPQMKEVATENFQRICEAYEILSDETKRLIYDLYGMEGLTSGLELGPRLSKPDEIKEELERIKRRNEEAKKMAHFQPTGSILFNLSVPDFLGGDGIMRGMVMASQVQSQLSKDDAIAIGGNLAANEKSGGGIATAILRRQISPVSSIEFVASAGLQSLIGMQTTRQLTIHSTATINISKSLSDGSINLTNTWTRQLSETSSGNIELALGLRSAITVGWKKRDENVSAAGDFKIESGALGASARYTRKLSSKSHGRIVGRIGSNALEIEVGGGRKISEFSTVRMMYTIGIKGIFWKLELHRGSQKLIVPILLSSHIAPVFATGAFIVPTSLYFLLKKFVVKPYLRKREKQKALENIEKTWGQVGEARARAEKAQQLLQTVATRKRNRQVETDGLIVTKALYGDPKAIERRDEGVEGVDSGVIDVTVPMNFLVSDSGQLKLHEGVKKSGIMGFCDPCPGQPKQLYIAYTYHSQTFEVTVGDYEELSIPQEGQ >fgenesh2_kg.4__1602__AT2G35730.1 pep chromosome:v.1.0:4:16951562:16952591:-1 gene:fgenesh2_kg.4__1602__AT2G35730.1 transcript:fgenesh2_kg.4__1602__AT2G35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPMMRRRTLMICEKLSLPSFQVIEINADVGCVCCQDRVSRIVSKMTGIEEYVVDLKKKLVMARGDFKPRLVSSHQQQVKDVVSQTPSQNAKRLLRPLNLFLRSIFCLCLRPRTL >fgenesh2_kg.4__1606__AT2G35740.1 pep chromosome:v.1.0:4:16955466:16957378:-1 gene:fgenesh2_kg.4__1606__AT2G35740.1 transcript:fgenesh2_kg.4__1606__AT2G35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATINT3 [Source:UniProtKB/TrEMBL;Acc:D7LIK2] MGEEAAKTEEINFTEVWTTTWETPYIMRLALSAGIGGLLFGYNTGVIAGALLYIKEEFGDVDNKTWLQEIIVSMTVAGAIVGAAIGGWYNDKFGRRTSVLIADVLFLVGALVMVVAHAPWVIILGRLLVGFGVGMASMTSPLYISEMSPARIRGALVSTNGLLITGGQFLSYLINLAFVHTPGTWRWMLGVSAIPAIIQFCLMLTLPESPRWLYRNDRKAESRDILERIYPAEMVEAEIAALKESVLAETADEDIIGHTFSDKLRGALSNPVVRHGLAAGITVQVAQQFVGINTVMYYSPTILQFAGYASNKTAMALALITSGLNAVGSVVSMMFVDRYGRRKLMIVSMFGIISCLIILAAVFNEASNHAPKIDKRDSRNFARNATCPAFAPFTASRAPPSNWNCMKCLRYDCGFCSNGAQEYAPGACVVQSADMKALCHSKGRTFFKDGCPSKFGYLAIVFLGLYIIVYAPGMGTVPWIVNSEIYPLRYRGLAGGIAAVSNWMSNLVVSETFLTLTNAVGSSGTFLLFAGSSAIGLFFIWLLVPETKGLQFEEVEKLLEGGFRPSLLRPTTRENQVETP >fgenesh2_kg.4__1607__AT2G35760.1 pep chromosome:v.1.0:4:16962631:16963988:1 gene:fgenesh2_kg.4__1607__AT2G35760.1 transcript:fgenesh2_kg.4__1607__AT2G35760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 2B2 [Source:UniProtKB/Swiss-Prot;Acc:D7LIK3] MSYLGVGVSPGNVTGSSTKMKLIDRKVRVTELILRSLVCAFALVAAILVATDVQVREIFTIQKKAKFTDMKALVFLVVINGIAAGYSLVQAVCCLVGLMKGSVLLSEPLAWAIFFGDQAVAYLCVAGVAAAAQSAAFAKLGQPELQWMKICDMYGKFCNQVGEGIASALFACIGMVLISCISAFGVFRLYGGSKPRQSSRW >fgenesh2_kg.4__1608__AT2G35765.1 pep chromosome:v.1.0:4:16964264:16964755:1 gene:fgenesh2_kg.4__1608__AT2G35765.1 transcript:fgenesh2_kg.4__1608__AT2G35765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVALVMALMLLMSVLISAEEAPTIGQRIDSATTDFTKFFNEHARPAVDSVSSTVKSVYNWFGDKAKEWGL >fgenesh2_kg.4__1610__AT2G35780.1 pep chromosome:v.1.0:4:16968376:16970800:-1 gene:fgenesh2_kg.4__1610__AT2G35780.1 transcript:fgenesh2_kg.4__1610__AT2G35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7LIK6] MSRFLLLFFLILLPYTFCSRHEQEKDRISHLPGEPNDVSFSHFSGYITVNESAGRALFYWLTESPPSQNPESKPLVLWLNGGPGCSSVAYGAAEEIGPFRINPDGKTLYHNPYSWNKVANLLFLESPAGVGFSYSNTTSDLYTAGDKRTAEDAYVFLVKWFERFPQYKHREFYIAGESYAGHYVPQLSQIVYEKRNPVINFKGFIVGNAVIDDYHDYVGLFEYWWTHGLISDLTYHNLRITCEFGSSEHPSPECSKAMEAADLEQGNIDPYSIYTVTCKKEAAALRSRFSRVRHPWMWRAYDPCTDRYSGMYFNSPEVQKAMHANITGLSYPWKGCSDIVGEKWADSPLSMLPIYKELIAAGLRIWVFSGDTDSVVPITGTRYSIRALKLPPLSKWYPWNDDGQVGGWSQVYKGLTLVTIHGAGHEVPLHRPRRAFLLFQSFLDNKPLPM >fgenesh2_kg.4__1611__AT2G35795.1 pep chromosome:v.1.0:4:16973076:16974430:1 gene:fgenesh2_kg.4__1611__AT2G35795.1 transcript:fgenesh2_kg.4__1611__AT2G35795.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LIK8] MATPFIAGVAVAATALAGRYGIQAWQAFKARPPRPKIKKFYDGGFQPTMTKREAALILGIRQSVAAEKVKEAHRKVMVANHPDAGGSHFLASKINEAKDVMLGKTKNSGSAF >fgenesh2_kg.4__1612__AT2G35800.1 pep chromosome:v.1.0:4:16974851:16979311:1 gene:fgenesh2_kg.4__1612__AT2G35800.1 transcript:fgenesh2_kg.4__1612__AT2G35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7LIK9] MVSKNDHIGTFFNSIQVVKDVLLPIELGVKKAARDIENCWISKERDLGLVLRSSGRNRKKRICASPEFDDNATNNVQCVVSDERKKGLSIKIPVKSLFGMFSPNLASDKLSRRNDVVVVKKDKSLEKDDDSCTNCFKFAMTWSLLVSGFVHAFPIPFKIGKKRIHKMGDDENSLRKHCLKSKAVFVNRKEVRRQSVESVEKEGNPFSIECAVGFVVEMLAQNLQKLDQFIQDSSENESCCSKEASPNDGPLIFNIWEARKLDVNGFLGNLMFARVGDVVSGIGGLTSHVSEDGDESNVSTAGKEESAVDSPQNLATGLLSIPLSNVERLKSTLSTISLTELIELLPQLGRPSRDHPDKKKLISVQDFFRYTESEGRRFFEELDRDGDGKVTLEDLEIAMRRRKLPRRYAKEFMRRARSHLFSKSFGWKQFLSLMEQKEPTILRAYTSLCLTKSGTLQKSEILASLNNAGLPANEENAIAMMRFLKADTEESISYGHFRNFMVLLPYERLQDDPRNIWFEAATVVAVAPPVALPAGDVLKSALAGGLASALSTSLMHPIDTIKTRVQASTLSFPEVIAKLPEIGVRGVYRGSIPAILGQFSSHGLRTGIFEASKLVLINFAPNLPEFQVQSIASFCSTLLGTAVRIPCEVLKQRLQAGMFNNVGEAIVGTWKQDGPSGFFRGTGATLCREVPLYVVGMGLYAESKKMVAQALGRELEAWETIAVGAVSGGIAAVVTTPFDVMKTRMMTATPGRPISMSMVVVSILRNEGPLGLFKGAVPRFFWVAPLGAMNFAGYELAKKAMQKNEDAVLADQLGQKKLC >fgenesh2_kg.4__1616__AT2G35850.1 pep chromosome:v.1.0:4:16985657:16985902:1 gene:fgenesh2_kg.4__1616__AT2G35850.1 transcript:fgenesh2_kg.4__1616__AT2G35850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LIL3] MESSIGFMTVFAVSGSVVLLAAQLHKRLLSDYMDKLEPQHSNKERKKTKKKKVSFAEDMVEPSGNNEEYRRSFRKSKLEDER >fgenesh2_kg.4__1618__AT2G35880.1 pep chromosome:v.1.0:4:16996312:16999286:-1 gene:fgenesh2_kg.4__1618__AT2G35880.1 transcript:fgenesh2_kg.4__1618__AT2G35880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDLSVVAESKKEEENVIVDNSKDMTRPENLDLSTEKTDNVNENVPKDEASTLLKEADLPESGTSVKSKTAKDSKPVKRKSGTFSRSPRFMSQSSSFPTKGAYTDITRKSIDATTSKTSLKPVVAGGSKPKATPSYSSGVSTKRTGVVSAPLKKQTLPVKPISRDSASGPTSKLGDEGSKSIKEETAGKDIEETGSTTAVVADKVSKPMKVEMANKEDEDTRSTTTSTSTPRGRRSSVGSASGFSFRLEERAEKRKEFYMKLEEKIHAKEVEKTNLQAKSKESQEEEIKRLRKSLTFKAGPMPSFYKEPPPKVELKKIPTTRPKSPKLGRRKSSSDATGGEAAPRVTKPKDSSSSTLKKPITKSQPKLETQEKSVKAKEKKKEVKKEEVEKRGEEEKASPAVAAKAGEMKPNSNNIQVKAEIMASEVAVGG >fgenesh2_kg.4__1621__AT2G35910.1 pep chromosome:v.1.0:4:17006584:17007643:-1 gene:fgenesh2_kg.4__1621__AT2G35910.1 transcript:fgenesh2_kg.4__1621__AT2G35910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFQPPPPPEMPDYNGLLGTDDIGGFRYGIGVSIGVLLLITTITLTSYYCTRNQLSSSPSQTSQDLTRIHHHHHHVIIDVVPGLDEDTIQSYPKILYSEAKGPTTASCCAICLGDYKGKHLLRQLPDCNHLFHLKCIDTWLRLNPTCPVCRTSPLPTPLSTPLAEVVPLASSVAATRMS >fgenesh2_kg.4__1622__AT2G35920.1 pep chromosome:v.1.0:4:17012095:17017855:1 gene:fgenesh2_kg.4__1622__AT2G35920.1 transcript:fgenesh2_kg.4__1622__AT2G35920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LIL9] MPPHGPNSQGGRRGGGFSSGRAGGRRGGRGGGGRGGGEQRWWDPVWRAERLRQQQVEMEVLDENEWWNKIEQWKTGGEQELLIKRNFSRGDQQTLSDMAYQMGLYFHAYNKGKALVVSKVPLPDYRADLDERHGSTQKEIKMSTETERKLGSLLKTTQESGSSSAKASPFNGQQDRTSTLGLKRPDSASNLPDSLQKEKFSVALKDRQEKLKATESVKALHAFREKLPAFKMKEGFLNSVSENQVLVVSGETGCGKTTQLPQFLLEEEISSLRGADCNIICTQPRRISAISVASRISAERGESIGESVGYQIRLESKRSDQTRLLFCTTGVLLRRLIEDPNLTNVSHLLVDEIHERGMNEDFLLIILRDLLPRRPDLRLILMSATINADMFSTYFGNSPTMHIPGFTFPVAELFLEDVLEKSRYSIKSSDSGNYQGNSRGRRRDSESKKDDLTTLFEDIDINSHYKSYSSATRNSLEAWSGAQIDVDLVEATIEYICRLEGGGAILVFLTGWDEISKLLEKINGNNLLGDSSKFLVLPLHGSMPTVNQREIFDRPPPNKRKIVLATNIAESSITIDDVVYVVDCGKAKETSYDALNKVACLLPSWISKASAHQRRGRAGRVQAGVCYRLYPKVIYDAFPQYQLPEIIRTPLQELCLHIKSLQVGSIGSFLAKALQPPDALAVENAIELLKTIGALNDMEELTPLGRHLCTLPVDPNIGKMLLIGAIFQCVNPALTIAAALAYRSPFVLPLNRKEEADEAKRYFAGDSCSDHIALLKAYEGYRDAKRGGNEKDFCWQNFLSPVTLRMMEDMRNQFLDLLSDIGFVDKSKPNAYNQYSHDMEMISAILCAGLYPNVVQCKRRGKRTAFYTKELGKVDIHPGSVNARVNLFSLPYLVYSEKVKTTSVYIRDSTNISDYALLMFGGNLMPSKTGEGIEMLGGYLHFSASKNVLDLIQRLRGEVDKLLNKKIEDPSLDITVEGKGVVSAVVELLRSQNIRY >fgenesh2_kg.4__1623__AT2G35930.1 pep chromosome:v.1.0:4:17020114:17021749:-1 gene:fgenesh2_kg.4__1623__AT2G35930.1 transcript:fgenesh2_kg.4__1623__AT2G35930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7LIM0] MDEEIEIPPFFLCPISLEIMKDPVIVSTGITYDRDSIEKWLFAGKKNSCPVTKQDIITDADLTPNHTLRRLIQSWCTLNASYGVERIPTPRPPICKSEIEKLIRDSASSHQNQVKSLKRLRQIVSENANNKRCLEAAGVPEFLAKIVSNESENESLTDEALNLLYHLETSETVLKNLLNNKKGNDIVKSLTKIMQGGIYESRVYATLLLKNILEVADPMQIMTLKPEVFTEVVQILDDRISQKATKAAMHILVNICPWGRNRHKAVEAGVISVIIELLMEESFTSERRGPEMAMVVLDLLCQCAEGRADFLNHGAAIAVVCKKILRVSQTASDRAVRVLLSVGRFCATPALLHEMLQLGVVAKLCLVLQVSCGGKTKEKAKELLKLHARVWKDSPCLPKNMILAYPC >fgenesh2_kg.4__1624__AT2G35940.3 pep chromosome:v.1.0:4:17030265:17033780:-1 gene:fgenesh2_kg.4__1624__AT2G35940.3 transcript:fgenesh2_kg.4__1624__AT2G35940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYFHGNPPEISAGSDGGLQTLILMNPTTYVQYTQQDNDSNNNNNNNSNTNNNNSFVFLDSHAPQPNASQQFVGIPLSGHEAASITAADNISVLHGYPPRVQYSLYGSHQVDPTHQQAACETPRAQQGLSLTLSSQQQQQQQHHQQHQPIHVGFGSGPGEDIRVGSGSTGSGVTNGIANLVSSKYLKAAQELLDEVVNADSDDMNAKSQLFSSKKGSSGNDKAVGESSAGAGGEGSGGGGEAAGKRTVELGTAERQEIQMKKAKLNNMLHEVEQRYRQYHQQMQMVISSFEQAAGIGSAKSYTSLALKTISRQFRCLKEAIAGQIKAANKSLGEEDSVSGVGRFEGSRLKFVDHHLRQQRALQQLGMIQHPSNNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEMKEQGKNMGSMEKTPLDQSNEDSASKSTSNQEKSPMADTNFHMNPNHNGDLEGVTGMQGSPKRLRTSDETMMQPINADFSSNEKLTMKILEERQGIRSDGGYPFMGNFGQYQMDEMSRFDVVSDQELMAQRYSGNNNGVSLTLGLPHCDSLSSTHHQGFMQTHHGIPIGRRVKIGETEEYGAATINGGSSATTAHSSAAAAAAYNGMNIQNQKRYVAQLLPDFVA >fgenesh2_kg.4__1627__AT2G35960.1 pep chromosome:v.1.0:4:17056406:17057159:1 gene:fgenesh2_kg.4__1627__AT2G35960.1 transcript:fgenesh2_kg.4__1627__AT2G35960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDCGNHGGGGGGGTASRICGAIIGFIIIVLITIFLVWVILQPTKPRFILQDATVYAFNLSQPNLLTSNFQITIASRNRNSRIGIYYDRLHVYATYRNQQITLRTAIPPTYQGHKEDNVWSPFVYGNSVPIAPFNAVALGDEQNRGFVTLIIRADGRVRWKVGTLITGKYHLHVRCLAYINLADKAAGVHVGENAVKYMLVNKCSVNV >fgenesh2_kg.4__1628__AT2G35980.1 pep chromosome:v.1.0:4:17058749:17059629:1 gene:fgenesh2_kg.4__1628__AT2G35980.1 transcript:fgenesh2_kg.4__1628__AT2G35980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yellow-leaf-specific gene 9 [Source:UniProtKB/TrEMBL;Acc:D7LIM5] MAAEQPLNGAFYGPSVPPPAPKGYYRRGHGRGCGCCLLSLFVKVIISLIVILGVAALIFWLIVRPRAIKFHVTDASLTRFDHTSPDNILRYNLALTVPVRNPNKRIGVYYDRIEAHAYYEGKRFSSISLTPFYQGHKNTTVLTPTFQGQNLVIFNAGQSRTLNAERISGVYNIEIKFRLRVRFKLGDLKFRRIKPKVNCDDLRLPLSTSNGTTTTSTVFPIKCDFDF >fgenesh2_kg.4__1630__AT2G35990.1 pep chromosome:v.1.0:4:17063311:17066833:1 gene:fgenesh2_kg.4__1630__AT2G35990.1 transcript:fgenesh2_kg.4__1630__AT2G35990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:D7LIM7] MEETKSRFRRICVFCGSSSGNKTTYHDAALQLAHQLVERNIDLVYGGGSVGLMGLISQAVHDGGGHVLGIIPKSLAPREITGESIGEVITVSTMHQRKAEMVRQADAFIALPGGYGTFEELLEVITWSQLGIHTKPVGLLNVDGFYDSLLTFIDKAVDEGFVSSTARRIIVSAPNAPQLLQLLEEYVPKHDDFVSKMVWDDTTDAFTLEGDSF >fgenesh2_kg.4__1631__AT2G36000.1 pep chromosome:v.1.0:4:17067156:17068719:1 gene:fgenesh2_kg.4__1631__AT2G36000.1 transcript:fgenesh2_kg.4__1631__AT2G36000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEALSFLSSSFPSLHHNFPTLSRLRFHNFPALSFKPNTSSSSLFKSPNIPSLSSTTATTETLESSIHEKLIYLDSLGIDFLTLINRHPPLLSTALSAVESVVDYMTTPPINFTLQDFRRLVSMCPELLTSPLTSHTIPVITFLLREVGVDSIFDLRQALRRRPRLLACSVDHQLRPTLYFLQRIGILDPHKHTYLLSCSVEHKLVPRIDFFEKLGFSRRSATAMFKRFPQLFNYSIAENYEPKLKYLMVEMERDVREVLEFPQYFSFSLENRIKPRHEACAAKGVRFPLPVMLKTNEAGFRDTLEVCCDSSPPLKTSRLVTVQKILDL >fgenesh2_kg.4__1634__AT2G36010.3 pep chromosome:v.1.0:4:17069601:17073271:1 gene:fgenesh2_kg.4__1634__AT2G36010.3 transcript:fgenesh2_kg.4__1634__AT2G36010.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F transcription factor-3 [Source:UniProtKB/TrEMBL;Acc:D7LIM9] MSGLVRSSPGSSHPPPPPPHHPPSSPVPVTSTPVVPPIRRHLAFASTKPPFHPSDDYHRFNPSSLTNNNDRSFVNACGVVDREEDAVVVRSPSRKRKSTMDMVVAPSNNGFTSSGFTSIPSSPCQTPAKGGRVNIKSKAKGNKSTPQTPISTNAGSPVTLTPSGSCRYDSSLGLLTKKFVNLIKQAKDGMLDLNKAAETLEVQKRRIYDITNVLEGIDLIEKPFKNRILWKGVDASPGDEDADVSVLQAEIENLALEEQALDNQIRQTEERLRDLSENEKNQKWLFVTEEDIKSLPGFQNQTLIAVKAPHGTTLEVPDPDEAVDHPQRRYRIILRSTMGPIDVYLVSEFEGKFEDTNGSVAAPPACLPIASSSGSTGHHDIEALTVDNTGTAIEHQVSHDHPHPQPGDTSDLNYLQEQVGGMLKITPSDVENDESDYWLLSSAEISMTDIWKTDSGIDWDYGIADVSTPPPGMGEIAPTAVDSTPR >fgenesh2_kg.4__1636__AT2G36020.1 pep chromosome:v.1.0:4:17073242:17075943:-1 gene:fgenesh2_kg.4__1636__AT2G36020.1 transcript:fgenesh2_kg.4__1636__AT2G36020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:D7LIN0] MLGDFIIRLLVLILGYTYPAFECFKTVEKNKVDIEELRFWCQYWILLALISSFERVGDFFISWLPLYGEMKVVFFVYLWYPKTKGTRHVYETLLKPYMAQHETEIDRKIMELRARAWDFFIFYFHNFAQAGQSTLIQGFQYVLAQSVRFSAAAANQPPMERNVNMNAQSPVEMDNDPPSPRAPRPLNKSLSALRSLEKQTSRGRKWPPPTPPPTPGRDSAGTFNGEDGVNIPDTIPGSPLTDARAKLRRSNSRTQPAA >fgenesh2_kg.4__1639__AT2G36050.1 pep chromosome:v.1.0:4:17097312:17098386:-1 gene:fgenesh2_kg.4__1639__AT2G36050.1 transcript:fgenesh2_kg.4__1639__AT2G36050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOFP15/OFP15 [Source:UniProtKB/TrEMBL;Acc:D7LIN2] MKLPFLNKNHSTSSYSSNSSSSSWPWPSCNQNPKTLSFRATITFTNPIHDQDDDELDPPEITDSVESVIKGLRSSERLIFESKGETNSILEGATSKREEEEDEEEGFMLFSLESNDPYSDFKRSMEEMVEAHELHHDWKSLEKLLLQFLKVNAKTSHRYIFAAFVDLLMNLALNTKKPITNSDISKDDGVSASRAAASGEASTSCCNSMTLGESPSSPLSFYTSCSSSSSSDETSSTSVRFLPLSSLLEMDENTKDIMV >fgenesh2_kg.4__163__AT2G22420.1 pep chromosome:v.1.0:4:938616:939926:1 gene:fgenesh2_kg.4__163__AT2G22420.1 transcript:fgenesh2_kg.4__163__AT2G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LE03] MSLLPHLILYLTLFTVAVTGETLRPRFYRETCPEAESIVRKEMKKAMIKEARSVASVMRFQFHDCFVNGCDASLLLDDTPNMLGEKLSLSNIDSLRSFEVVDDIKEALEKACPATVSCADIVIMAARDAVALTGGPDWEVKLGRRDSLTASQKDSDDIMPSPRANATFLIDLFERFNLSVKDMVALSGSHSIGQGRCFSIMFRLYNQSGSGKPDPALEPSYRKKLDKLCPLGGDENVTGDLDATPQVFDNQYFKDLVSGRGFLNSDQTLYTNRVTREYVKMFSEDQGEFFRAFEEGMVKLGDLQSGRPGEIRFNCRVVNRRPIDVLLVS >fgenesh2_kg.4__1641__AT2G36060.2 pep chromosome:v.1.0:4:17105129:17106690:-1 gene:fgenesh2_kg.4__1641__AT2G36060.2 transcript:fgenesh2_kg.4__1641__AT2G36060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPTVRFHSRINMTCVNHETGVVDPKKFGVLANWQRQYTMEDILTQLKKEMTASHNRKLVQPPEATFF >fgenesh2_kg.4__1643__AT2G36070.1 pep chromosome:v.1.0:4:17107880:17111171:-1 gene:fgenesh2_kg.4__1643__AT2G36070.1 transcript:fgenesh2_kg.4__1643__AT2G36070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Attim44-2 [Source:UniProtKB/TrEMBL;Acc:D7LIN4] MASRKLVRDLLITKQPLFQQLVHQRRVGARLGLLSGNGFASHRRFSVFSEFSKKIRGEADSNPEFQKTVKEFKERAGELKDIREDLKARTKQTTEQLYKQGHGVWTEAESVAKKVSSSVKDKFSAATEEVKESFKLGKEENTESASSSGTGTTEGVKQQQQSGTTEEEDTFFGKFKSSISSPKISEAFHKPLDFAKKGLDIVKEELRGNPSKRKHLEYTPPPPFTGERSTRTEIVITPTKQSKWQKKWESLREKMQGYPVFKRLSGMSEPVVNKSQEIAEDVMEKWETSDNPIVHKIQDMNEKIFEETGSASTYKEIRRRDPSFSLPDFAVEIQEAIRPVLNAYSKGDAETLKKYCSKELIERCTAEHRAFQSHGYFFDHKLLHVSEVEIKETKMMGTSPVIIVRFQTQEIFCVRDQNGNIKEGGQDTIHTVYYDWAMQQVEAAELGEDAIYPIWRLREMLRAGVQALI >fgenesh2_kg.4__1645__AT2G36090.1 pep chromosome:v.1.0:4:17133987:17135023:1 gene:fgenesh2_kg.4__1645__AT2G36090.1 transcript:fgenesh2_kg.4__1645__AT2G36090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LIN6] MASSSSTTVTDLISTVHHDIIESHILTRLDGATLASVSCASSYLHHLASNEILWSKICRSTWPSCSDGSRSFFSDAYSMVETAGSVSDLDRAFPELISAVDLHYKGKLIFSRVVKTETTTAWFKSSPLRIDLVDTKDTVATPIKRRRRTEDTCRDLEKDLTLSWIVIDPIGKRAANLSSHRPVSVQRNWISGEVEAQFATVVETVECVITVVTCGEEEMHVREVSLKVEKMEGTHLNGRDSLVILRSVMEGKRVNGRRREVESKRRHEEFMEKKREVKEKKMRVESVFDILTVAFGILGFVSFVVLCLWRTSI >fgenesh2_kg.4__1646__AT2G36100.1 pep chromosome:v.1.0:4:17135002:17136123:-1 gene:fgenesh2_kg.4__1646__AT2G36100.1 transcript:fgenesh2_kg.4__1646__AT2G36100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casparian strip membrane protein 1 [Source:UniProtKB/Swiss-Prot;Acc:D7LIN7] MAKESTTIDVGEPSTVTKSSSHVVKKKGFVAAAAGGGAKRGLAIFDFLLRLAAIGVTIGAASVMYTAQETLPFFTQFLQFQAGYDDLPAFQYFVIAVAIVASYLVLSLPFSIVTIVRPLAVAPRLILLIFDTLVVTLNTSAAAAAASIVYLAHNGNQSTNWLPICQQFGDFCQNVSTAVVAASIAILFFIVLIIISAIALKRH >fgenesh2_kg.4__1647__AT2G36120.1 pep chromosome:v.1.0:4:17141553:17142724:1 gene:fgenesh2_kg.4__1647__AT2G36120.1 transcript:fgenesh2_kg.4__1647__AT2G36120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein family [Source:Projected from Arabidopsis thaliana (AT2G36120) TAIR;Acc:AT2G36120] MASHKNLFFLCFIIGLGLCSARRALLSSSESEAEVAAYGVKSGLSVGVGVGIGGGAGGGSGYGGGSGEGGGAGGHGEGHIGGGGGGGHGGGAGGGDGGGPGGGYGGGSGEGGGAGYGGGEAGGHGGGGGSGAGGGGGGGGAHGGGYGGGQGGGAGGGYGGGGAGAGGHGGGGGGGNGGGGGGGSGEGGAHGGGYGAGGGAGEGYGGGAEAGGHGGGGGGGGGAGGGGGGGGGYAAASGYGHGGGAGGGEGSGGYVP >fgenesh2_kg.4__164__AT2G22425.2 pep chromosome:v.1.0:4:940900:941340:1 gene:fgenesh2_kg.4__164__AT2G22425.2 transcript:fgenesh2_kg.4__164__AT2G22425.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase [Source:UniProtKB/TrEMBL;Acc:D7LE04] MDWQGQKLVEQLMQILLVISGVVAVVVGYTTESFRTMMLIYAGGVVLTTLVTVPNWPFYNLHPLKWLDPSEAEKYPKPEVVAVASKKKFSKK >fgenesh2_kg.4__1650__AT2G36145.1 pep chromosome:v.1.0:4:17150316:17151317:1 gene:fgenesh2_kg.4__1650__AT2G36145.1 transcript:fgenesh2_kg.4__1650__AT2G36145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAATSLFFPPPVVTVRSPAIFLRRLSIPCLLPTNGAMSRRHIKRAIVANASPGNGGFKAEGEDGVSLGTMKLPVNTDLARFETLLFQWANSLCQGANLPLPVPLKVDRISGGARLGFIVMEDEGKTDVPVYIDCLVFQTTENGLVFQATRNGRKKDKAPPGEERIMRSLLGALKKAVEIARVT >fgenesh2_kg.4__1651__AT2G36160.1 pep chromosome:v.1.0:4:17151923:17153397:1 gene:fgenesh2_kg.4__1651__AT2G36160.1 transcript:fgenesh2_kg.4__1651__AT2G36160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S14 [Source:UniProtKB/TrEMBL;Acc:D7LIP2] MSSKRKTKEPKVDVVTLGPSVGEGEQVFGVVHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITAMHVKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >fgenesh2_kg.4__1653__AT2G36200.1 pep chromosome:v.1.0:4:17162869:17168407:-1 gene:fgenesh2_kg.4__1653__AT2G36200.1 transcript:fgenesh2_kg.4__1653__AT2G36200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRHDKEKGVNVQVLLRCRPFSDDELRSNAPQVLTCNDLQREVAVSQNIAGKHIDRVFTFDKVFGPSAQQKDLYDQAVVPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECRRSKTAPCGGLPAEAGVIPRAVKQIFDTLEGQQAEYSVKVTFLELYNEEITDLLAPEDISRVAAEDKQKKPLPLMEDGKGGVLVRGLEEEIVTSANEIFTLLERGSSKRRTAETFLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVISALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIRNKPEVNQKMMKSTLIKDLYGEIERLKAEVYASREKNGVYMPKERYYQEESERKAMAEQIEQMGGQIENYQKQLEELQDKYVGQVRECSDLTSKLDITEKNLSQTCKVLASTNEELKKSQYAMKEKDFIISEQKKSENVLVQQACILQSNLEKATKDNSSLHQKIGREDKLSADNRKVVDNYQVELSEQISNLFNRVASCLSQQNVHLQGVNKLSQSRLEAHNKAILEMKKKVRASRDLYSSHLEEVQNVVRLHKANSNACLEEVSALTTSSASSIDEFLASGDETTSSLFDELQSALSSHQGEMALFARELRQRFHTTMEQTQQMSEYTSTFFQKLMEESKNAESRAAEANNGQINSIIDFQKTYEAQSKSDADKLIADLTTLVSSHIRRQHELVDTRLHNFKDAVSSNKIFLDEHVSAVNNLTKDAKRKWETFSMQAENEAREGADFSAAKHCRMELLLQQSVGHAESAFKHCKITHESLKEMNSKQVTDVSSLVRSACDSNERHDAEVDSARTAAEKDVTKNSDDIIQQIDRMSEDEKASVSQILENVRSHEKTLESFQQDQCCQARCIEDKAQETFQQKYMEYEPTGATPTKNEPEIPTKATIESLRAMPIETLVEEFRENNSYESFATKETKPQQLTRSPLSQRGFVLKHHHNANLGPFLLMLGCDMCMHIPLDHFLYMTQP >fgenesh2_kg.4__1654__AT2G36220.1 pep chromosome:v.1.0:4:17175040:17176218:1 gene:fgenesh2_kg.4__1654__AT2G36220.1 transcript:fgenesh2_kg.4__1654__AT2G36220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLEAFVSSVCVGGSDHRKIACETLADDQTIPPYYNNSAVSPSDFPPESYFLSKDAQLEWLSDNAFFDRKDSQRGNSGILNSNPNSNPSSQRFLLKSKASIIGLPKPQKTCFNEAKQRRHGGKNRVILKRVGSRIKTDTSFLEPSSPIVSCIGRVKSRRERSRRMHRQKSSRVEPANRVKKSGFMASFRAIFRIKGGCKDVSARETHTSPSNTHDIRSRLPVEAVEKSSFDGGEPVVPGLGGMTRFASGRRADLLAGGGC >fgenesh2_kg.4__165__AT2G22430.1 pep chromosome:v.1.0:4:954783:956497:-1 gene:fgenesh2_kg.4__165__AT2G22430.1 transcript:fgenesh2_kg.4__165__AT2G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSSSDSVGGLISLCPTTSTDEQSPRRYGGREFQSMLEGYEEEEEAIVEERGHVGLSEKKRRLSINQVKALEKNFELENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLEKDYGVLKTQYDSLRHNFDSLRRDNESLLQEISKLKTKLNGGGEEEEENNLAATTTESDISVKEEEVSLPEKITEPPSSPPQFLEHSDGLNYRSFTDLRELLPLKAAASSFAAAAGSSDSSDSSALLNEESSSNVTVAAPVTVPGSNFFQFVKMEQTEDHDDFLSGEEACEFFSDQQPPSLHWYSTVDHWT >fgenesh2_kg.4__1660__AT2G36290.1 pep chromosome:v.1.0:4:17190417:17192595:-1 gene:fgenesh2_kg.4__1660__AT2G36290.1 transcript:fgenesh2_kg.4__1660__AT2G36290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7LIQ3] MATGSTDSPRSPSSGVLQKLLLGFFVCIAASTYKAIQPPPPKLCGSHDGPSITGPRIKLRDGRHLAYKEHGVPRDEATHKIIVVHGSDSCRHDNAFAALLSPDIKEGLGVYMVSFDRPGYAESDPDPNRTPKSLALDIEELADQLSLGTKFYVIGYSMGGQATWACLKYIPHRLAGVTLVAPVVNYWWKNFPSEISTEAFNQQARNDQWAVRVAHYAPWLTHWWNSQNWFPGSSVVARNLGMLSKSDKEIMFKLGAARRQHEAQIRQQGTHETLHRDMIVGFGTWEFDPMELENLFPNNEGSVHLWQGDDDVLVPVTLQRYIAQKLPWIHYHEIPGAGHLFPFAPGMVNNIVKTLLTNDGVKN >fgenesh2_kg.4__1661__AT2G36295.1 pep chromosome:v.1.0:4:17193604:17194104:1 gene:fgenesh2_kg.4__1661__AT2G36295.1 transcript:fgenesh2_kg.4__1661__AT2G36295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCVGLVNRGTEEEEKQEPERDGEGSSEEDSEDDLFEINLEAVSDTTTSPRYDWQIFPARTGSVLLANCLLPAADISCAVPATSRGWSDLVWFRGVLYVGNLGVESKKA >fgenesh2_kg.4__1662__AT2G36300.1 pep chromosome:v.1.0:4:17194964:17196068:-1 gene:fgenesh2_kg.4__1662__AT2G36300.1 transcript:fgenesh2_kg.4__1662__AT2G36300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:D7LIQ5] MTKDFAVPPVVFPSGGSSAGPNVQQRRFPATPFQPPRPSSSAIPFMSFDIGSAAASSATPAGPFGGTIASSSSFGGGSASFEDEEPLLDELGIHPDQIWKKTRSILNPFRINQTVHKDSDLSGPIFLYLALCLFQLLAGKIQFGVILGWIVVSSIFLYVVFNMLAGRNGNLNLHTCTSLVGYCLLPVVVLSAVSLFVPQGAGPVRFVLAAVFVLWSTRACSTLVVSLADGGEEHRGLIAYACFLIYTLFSLLVIF >fgenesh2_kg.4__1663__AT2G36305.1 pep chromosome:v.1.0:4:17196440:17198552:1 gene:fgenesh2_kg.4__1663__AT2G36305.1 transcript:fgenesh2_kg.4__1663__AT2G36305.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyl-dependent CAAX protease [Source:UniProtKB/TrEMBL;Acc:D7LIQ6] MAIDGESISMSLAVAACVAMALFYVLILYAPTVILRLPSASSYTEFMIRRFICAAICTVASLVFTAFILPIKSWEASVILGVYGIRTDHLWQGVVCPLLLTSLVYAGSLVLKLLLLLESLKENGGGCSSFDYIRSFFQTIPASVLTGASNVSVWRNFIVAPVTEELVFRACMIPLLLCAGFRIYTAIFLCPVLFSLAHLSHFREMYIRHNRSYLRASLIVGLQLGYTVIFGAYASFLFIRTGHLAAPLFAHIFCNYMGLPVLYAQGKGLVSAAFLGGVVGFVSLLFPLTKPLMYSDRTNDCPCWLGYCLWN >fgenesh2_kg.4__1664__AT2G36307.1 pep chromosome:v.1.0:4:17201237:17202598:-1 gene:fgenesh2_kg.4__1664__AT2G36307.1 transcript:fgenesh2_kg.4__1664__AT2G36307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNSKLYLQNCYIIKENERLRKKAQILNQENQQLLFELKQKLSKTKNSNGSNQGNNNNLSSSSSASGQS >fgenesh2_kg.4__1665__AT2G36310.1 pep chromosome:v.1.0:4:17209116:17211434:-1 gene:fgenesh2_kg.4__1665__AT2G36310.1 transcript:fgenesh2_kg.4__1665__AT2G36310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine-uridine preferring nucleoside hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LIQ8] MENCNGGISNGDVLGKREKLIIDTDPGIDDSMAIMMAFQTPELEILGLTTVFGNVSTQDATRNALLLCEIAGFPDVPVAEGSSEPLKGGIPRVADFVHGKNGLGDVSLPPPSRKKCEKSAAEFLDEKVSEYPGEVTILALGPLTNLALAIKRDSSFASKVKKIVILGGAFFSLGNVNPAAEANIYGDPEAADVVFTSGADITVVGINITTQLKLSDDDLLELSNCKGKHSKLISDMCKFYRDWHVKSDGVYGVYLHDPVSFVAVVRPDLFTYKKGVVRVETQGICVGHTLMDQGLKRWNGSNPWVGYSPISVAWTVDVEGVLEYVKAKLMKP >fgenesh2_kg.4__1666__AT2G36325.1 pep chromosome:v.1.0:4:17218933:17221357:1 gene:fgenesh2_kg.4__1666__AT2G36325.1 transcript:fgenesh2_kg.4__1666__AT2G36325.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, acting on ester bonds [Source:UniProtKB/TrEMBL;Acc:D7LIR1] MNITKLTPWFLFSCLILLSDYIKVNGSISPSSEQTQKDGIFGFKPKKLFVFGDSYADTGNTPVLIAPSWRFPNGITFPGWPTGRFADGRVSTDYLAKYVGLRTPITHKWGKYGRPRLVVKRGMNFAYGGAGVFETMFKLIPNASVQIDFFEQLLRRNVYSPADLNSSVAFFSIVGNDYLTYNRRNGTEQGRPALIRRVVKQILLDVKRIKDFGVRKVLVALSPPQKCLPLLVTPKGCDTNDTSTHLHNSLLRKGLIKLNDKKINNDDKSFLMFDLYNAFVTIFKNKGVPGVSVFPDPLKACCATKRGTSCGDISLSGKKLYSLCEDPKSFFFWDNVHITDQGWRSVFSLLLPDSQF >fgenesh2_kg.4__1667__AT2G36330.1 pep chromosome:v.1.0:4:17221633:17223551:1 gene:fgenesh2_kg.4__1667__AT2G36330.1 transcript:fgenesh2_kg.4__1667__AT2G36330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 4A3 [Source:UniProtKB/Swiss-Prot;Acc:D7LIR2] MPSMSPSSISTEKSPPPSDTSMAIVAFDNSTTHLSSSPSPPHSLDHSSDSEKEDEKRRPESRRNKNPVKIEETPSPIVVVHNHNRSVKEVVPTRKTARVGSGRSSGQRSGAVLAILRRSRREEIVKFVALGFRLSEVVLALISFSIMAADKTKGWSGDSFDRYKEYRFCLSVNVVAFIYASFQACDLAYHLVKEKHLISHHLRPLFEFIIDQVLAYLLMCASTAAVTRVDDWVSNWGKDDFTEMASASIAMSFLTFLAFAFSSLISGYNLFNQDSL >fgenesh2_kg.4__1668__AT2G36350.1 pep chromosome:v.1.0:4:17225963:17229828:1 gene:fgenesh2_kg.4__1668__AT2G36350.1 transcript:fgenesh2_kg.4__1668__AT2G36350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFAGSCEIVEEKDVVRLAKHSSRYCMSPLGSSKDMEQRPALKSGYQGSMEYDIDQLFQSITIKPSPRRVMGSSFHHLETSASAGTSRSTSPSKKCAMKKPYPMGTPRSPRVGPSDSISLKQALRDLCISKASEMASQKRLSKSAAASPRVSEADRIKSLYRQVLSESTGRSGLPVDKGKSLVEISLTPVNDIPSSSQSVPQRFDVLETEPFNFISEPSQAEILLHVLGNSSGLKTVGYEMLENASMCKSNKSGSCLSSGSGDYEIEIDENPTSPPHVVIEDQLVEIDKHVTSLPSCSGSKVDAEELDRSIVSSARVKSEPTALGSGLKGKLDNSPSSGTEKSKPVSKVTRNIPRPKPRPKKKILLTKKLKIVVASATKMVEEVDTSLEPSASQLLCQRCHCAVKSTSTENHPPSNTSHSTDKSVSIEADQESLANARLIRIVKCNKEANKGSSDSCEVSDSGEAVIVKKQEVSPSNYSGKGDADEQIRENPNSNEKFEFSLSSKNSLGDYSSSTSMSEESNLSRISCGNKPHMSMDVRWEAIKHVKLQYGSLGLRHFNLLKKLGCGDIGTVYLAELVGTNCLFAIKVMDNEFLARRKKTPRAQAERAILKMLDHPFLPTLYAQFTSDNLSCLVMEYCPGGDLHVLRQKQLSRCFSEPAARFYVAEILLALEYLHMLGVIYRDLKPENILVREDGHIMLTDFDLSLRCAVNPTLLRSTSPPEKDPARMSGPYNTSNCIQPLCIEPSCRVPCFSPRLLSTQARNQKPRKPKRPDLLTQQFRSLPQLVAEPTEARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGVLLYELLYGKTPFKGYDNEETLSNVVYQNLKFPDSPLVSFQAKELIRRLLVKDPESRLGSEKGTAEIKRHPFFEGLNWALIRCAIPPELPDIYDNGATEATSPEGNNRYLECKAIGDHLEFELF >fgenesh2_kg.4__1669__AT2G36355.1 pep chromosome:v.1.0:4:17230188:17231244:1 gene:fgenesh2_kg.4__1669__AT2G36355.1 transcript:fgenesh2_kg.4__1669__AT2G36355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTNSGATASTKSKIPMDENQEEEIWRKFQAREEEIERKKMAVKDKIQQRLGFAEEATRSLTQTLEGLEIMGDPMRKEVGMVRKKIEMANREIKSLSQSCQKKEKEYKEIQEAFDEKNKEKSHLVSILMELLAESERVRVKKLEDINKTVGSLR >fgenesh2_kg.4__166__AT2G22460.1 pep chromosome:v.1.0:4:961219:962243:-1 gene:fgenesh2_kg.4__166__AT2G22460.1 transcript:fgenesh2_kg.4__166__AT2G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKVIASMEFQSTVVTTVDCQKQVRSWRLLRSFIQLLIPTCNCTLVQELEQDNTNLRGKNLYNDIKSRTSSFRSSSSLSSSTVTGTIFGYRKGKINFCIQTPRKSTNLDLLLELAVPTTVLAREMREGALRIVLERNNEKEDDDSILSKPFWNMYCNGKRVGYARKRSPSQDDMTALTALSKVMVGAGVVTGKELGRFDDELMYLRASFRRVNGSKESESFHLIDPAGNIGQELSIFIVPSSV >fgenesh2_kg.4__1673__AT2G36380.1 pep chromosome:v.1.0:4:17247890:17254299:1 gene:fgenesh2_kg.4__1673__AT2G36380.1 transcript:fgenesh2_kg.4__1673__AT2G36380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPDR6/PDR6 [Source:UniProtKB/TrEMBL;Acc:D7LIR7] MLGRDEDLVRTMSGRGSLASSSHRSLAGAASKSFRDVFAPPTDDVFGGSERREEDDVELRWAALERLPTYDRLRKGMLPQTTVNGKIGLEEVDLTNLAPKEKKHLMEIILKFVEEDNEKFLRRLRERTDRVGIEVPKIEVRYENISVEGDVRSASRALPTLFNVTLNTIESILGIFHLLPSKKRKIQILKDISGIIKPSRMTLLLGPPSSGKTTLLQALAGKLDDTLQMSGRITYCGHEFREFVPQKTCAYISQHDLHFGEMTVRETVDFSGRCLGVGTRYQLLTELSRREREAGIKPDPEIDAFMKSIAISGQETSLVTDYVLKLLGLDICADTLVGDVMRRGISGGQRKRLTTGEMLVGPATALFMDEISTGLDSSTTFQICKFMRQLVHIADVTMVISLLQPAPETFELFDDIILLSEGQIVYQGPRDNVLEFFEYMGFQCPERKGIADFLQEVTSKKDQEQYWNRREQPYNYVSVHDFASGFNSFHTGQQLASEFRVPYDKAKTHPAALVTQKYGISNKDLFKACFDREWLLMKRNSFVYVFKTVQITIMSLIAMTVYFRTEMHVGTVQDGQKFYGALFFSLINLMFNGMAELAFTVMRLPVFFKQRDFLFYPPWAFALPGFLLKIPLSLIESVIWIALTYYTIGFAPSAARFFRQLLAYFCVNQMALSLFRFLGALGRTEVIANSGGTLALLLVFVLGGFIIAKDDIPSWMTWAYYISPMMYGQTALVMNEFLDERWGSPNSDTRINAKTVGEVLLKSRGFFTEPYWFWICIGALLGFTVLFNFFYIIALMYLNPLGNSKATVVEEGKDKQKGSHRGTGGSVVELTSTSNHGPKRGMVLPFQPLSLAFNNVNYYVDMPAEMKAQGVEGDRLQLLREVGGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGSINISGYPKNQATFARVTGYCEQNDIHSPHVTVYESLIYSAWLRLSGDIDAKTREMFVEEVMELVELKPLRNSIVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLMKRGGQVIYAGTLGHHSQKLVEYFEAIEGVPKIKDGYNPATWMLDVTTPSMESQMSMDFAQIFANSSLNLRNQELIKELSTPPPGSSDLYFPTKYAQPFATQTKACFWKMYWSNWRYPQYNAIRFLMTVVIGVLFGLLFWQTGTKIEKEQDLNNFFGAMYAAVLFLGATNAATVQPAVAIERTVFYREKAAGMYSAIPYAISQVAVEIMYNIIQTGVYTLILYSMIGYDWTVVKFFWFYYYMLTSFIYFTLYGMMLVALTPNYQIAGICMSFFLSLWNLFSGFLIPRPQIPIWWRWYYWASPVAWTLYGIITSQVGDKDSIVHITGVGDMSLKTLLKTGFGFEHDFLPVVAAVHIAWILVFLFVFAYGIKFLNFQRR >fgenesh2_kg.4__1676__AT2G36400.1 pep chromosome:v.1.0:4:17260362:17262999:-1 gene:fgenesh2_kg.4__1676__AT2G36400.1 transcript:fgenesh2_kg.4__1676__AT2G36400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLKQWRSQQQQTESEEQPSAAKIPKHVFDQIQSHSATSTALPLFAPEPTSSKLSSLSPESSSRFPKMGSFFSWAQWQELELQALIYRYMLAGAAVPQELLLPIKKSLLHLSPSYFLHHPLQHLPHYQPAWYLGRAAMDPEPGRCRRTDGKKWRCSRDVFAGHKYCERHMHRGRNRSRKPVETPTTVYATATSMATAAAATATTTTTTSSTFAFGGGGDSGEKVVGQGGSFFFSGSSNSSSSELLHLSQSCSEMKQESNNMNNKRPYESHNGFSNNRSDGGHILRPFFDDWPRSSLQEADNSSSPMSSATCLSISMPGNSSSDVSLKLSTGNEEEARSNNNGRDQQNMSWWSGGGSNHHHHHMGGPLAEALRSSSSSSPTSVLHQLGVSTQAFH >fgenesh2_kg.4__1677__AT2G36410.1 pep chromosome:v.1.0:4:17308629:17310516:1 gene:fgenesh2_kg.4__1677__AT2G36410.1 transcript:fgenesh2_kg.4__1677__AT2G36410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSQTNDGAGAGAVTTVEAVPPQPQPQPQQQSNEMVLHTGSLSFSSHMSREDEEMTRSALSAFRAKEDEIEKRRMEVRERIQAQLGRVEQETKRLSTIREELESMADPMRKEVSVVRKKIDSVNKELKPLGSTVQKKEREYKEALDTFNEKNREKVQLITKLMEMEQLVGESEKLRMIKLEELSKSIETV >fgenesh2_kg.4__167__AT2G22470.1 pep chromosome:v.1.0:4:968937:969633:-1 gene:fgenesh2_kg.4__167__AT2G22470.1 transcript:fgenesh2_kg.4__167__AT2G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:arabinogalactan protein 2 [Source:Projected from Arabidopsis thaliana (AT2G22470) TAIR;Acc:AT2G22470] MNSKAMQALIFLGFLATSCLAQAPAPAPTTVTPPPTALPPVTAETPSPVASPPVPVNEPTPAPTTSPTTSPVASPPQTEAPAPGPSAGLTPTPAPAPGPDGAADAPSAAWANKAFLVGTAVAGALYAVALA >fgenesh2_kg.4__1680__AT2G36420.1 pep chromosome:v.1.0:4:17321124:17323593:1 gene:fgenesh2_kg.4__1680__AT2G36420.1 transcript:fgenesh2_kg.4__1680__AT2G36420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKKKHLHEFLEDDQEPFHLNHYIGDLRSQMGCSDLRVKKRKSDNVAAFPPGLFSCENSCFFAAHKSPDPRKSPLFELRSPGKKKTREGRVFLQIPARTAAILLDAAARIQKQQSEKANTNKARSRGNGFGMFGSVLKLLTNRKTKPRLDNTDGNAVSLERGSEPTSSSSSSSRGERFVEIDDKCFCESPFHFVLHTTPSSSGHRTPHFTSTASSPARRSTEDEDSDETESLEKVRAQGEEDKEEEDKEQCSPVSVLDPLEEEEDDEDHHQHEPDHPNILSCSFEIVQRAKRRLLKKLRRFEKLAGLDPIELEGKMSEEEDEEEEEYEESEEDDNIRIYDSDEEYEDVDEAMARDRGCAEEEKRKKNDERQKKWRMMNAWRVGLGAEEDVDAVVRKDLREEAGEWTRHGGEMEEAVSDLELSIFFVLIDEFSHELVSSSL >fgenesh2_kg.4__1682__AT2G36440.1 pep chromosome:v.1.0:4:17327769:17327999:1 gene:fgenesh2_kg.4__1682__AT2G36440.1 transcript:fgenesh2_kg.4__1682__AT2G36440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCETSFTKPSRPNEIQRLIDHKEMPQDHQLERRIDIHTTRSRGGSLVEEVMEKLERFKVRTVNILVGGEDDEPKK >fgenesh2_kg.4__1685__AT2G36460.1 pep chromosome:v.1.0:4:17331978:17333782:-1 gene:fgenesh2_kg.4__1685__AT2G36460.1 transcript:fgenesh2_kg.4__1685__AT2G36460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:D7LJ40] MSCFTTKFADELIANAAYIGTPGKGILAADESTGTIGKRLASINVENVESNRRALRELLFTTPGALPCLSGVILFEETLYQKSSNGTPFVDMLKSAGVLPGIKVDKGTVELAGTNAETTTQGLDGLGDRCKKYYEAGARFAKWRAVLKIGQNEPSELAIHENAYGLARYAVICQENGLVPIVEPEILVDGSHDIHKCAAVTERVLAACYKALSDHHVLLEGTLLKPNMVTPGSESAKVAPEVIAEHTVRALQRTVPAAVPAIVFLSGGQSEEEATRNLNAMNKLKTKKPWSLSFSFGRALQQSTLKTWGGKEENVKKAQEAFLVRCKANSEATLGTYKGDAKLGEGAAESLHVKDYKY >fgenesh2_kg.4__1686__AT2G36470.1 pep chromosome:v.1.0:4:17334608:17336304:-1 gene:fgenesh2_kg.4__1686__AT2G36470.1 transcript:fgenesh2_kg.4__1686__AT2G36470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLAACYSEHAIKVSDAYCSGPSNHSYISPALPPSIPDTVTTTYQSNLPSSDNPVSVSLTWSDNLTVVISTPPKSYSVSLRKPKGSRKLTSSSGSLNAEILWDLSEAEYENNGPEPIRRFFVVVVVNSEITLRIGDVDRKRDTSSSSWRVSKTERFSGTCWLTTKAQFSDVGTKHEIQIQCDGGGGGGEEGYLWKLKSPETMSVYVDKRKVFSVKKIKWNFRGNQTMFFDGMLIDMMWDLHDWFYKETASSSSTTSSSKTASSSSSSSTSSSSPPCAVFMFRRRSGLDSRLWIDEDEEESKMKKKIGSRDEKHSFSLIICASKK >fgenesh2_kg.4__1687__AT2G36480.1 pep chromosome:v.1.0:4:17338061:17341536:-1 gene:fgenesh2_kg.4__1687__AT2G36480.1 transcript:fgenesh2_kg.4__1687__AT2G36480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C2H2-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7LJ42] MQVPSDQKLPTLYLLDSIVKNIGRDYIKYFGARLPEVFVKAYRQVDPPMHSNMRHLFGTWKGVFHPQTLQLIEKELGFNAKSDGSAAVISTARAEPQSQRPPHSIHVNPKYLERQRLQQSGRTKGMVTDTPEIAPNLTRDSDRLERVSSIASGGSWVGPAKTIRRPQRDLLSEPLYEKDIESIAGEYDYASDLPHNSRSVIKKVGSAMITDDGCEKQWYGAMSRSPDLISDQRDGLHTKSRTSNYAMARLANLESSGPSRNIGAPCDSWKNSEEEEFMWDMHSRLSETDVATINPKNELHAPDESERLETENHHLKRPRFSALDPRFDPANSSEQQDPSIFGHWTSSPRSLHDSEVFSSTNATSTAARKGIQPQPRVASSGILPSSGSGSDRQSPLHDSTSKQNVTKQDVRRAHSLPQRDPRASRFPAKNVPRDDSVRIPSSSSQFKNTNMRELPDASQVEIFDSKLAAENAPGLTIASEATGQPNMSDLLEAVMKSGILSNNSTHGAIKEEISQDEVNPGALTLSAASKPKNLPSALPISVAGDNLLARLKVEQSSAPLVSCAASLTGITSVQTSNENSKASDPLSCLLSSLVSKGLISASKTEQPSAPSITQEHSPDHSTNSSMSVSVVPSDAQPSVLVKKGPSTAPKVKGLTASETSKSEPEDLIGLKFRADKIRELHPSVISSLFDDLPHLCTSCGVRLKQKEELDRHMELHDKSKGELSGKNSKCRVWFPKVENWIAAKAGELEPEDEEVLSEPESAIEDGPAVAADETQCACVLCGEVFEDYFSQEMAQWMFKGASYLTNPPANSEASGPIVHTGCLTTSSLQSLEVGNAIKEEIVE >fgenesh2_kg.4__1688__AT2G36485.1 pep chromosome:v.1.0:4:17342315:17343119:-1 gene:fgenesh2_kg.4__1688__AT2G36485.1 transcript:fgenesh2_kg.4__1688__AT2G36485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRRPFDRSRDPGPMKKPRLSEESIRPVNSNARQFLSQRTLGTATAVTVPPASSRFRVGGREIESSIASDPSREAYQPQPVHPHYELVNQYKSALAELTFNSKPIITNLTIIAGENVHAAKAVVATICNNILEVNTQFSCPLL >fgenesh2_kg.4__1689__AT2G36490.1 pep chromosome:v.1.0:4:17351911:17358302:-1 gene:fgenesh2_kg.4__1689__AT2G36490.1 transcript:fgenesh2_kg.4__1689__AT2G36490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQGREGSSFQQPPWIPQTPMKPISPICPYTVEEQSHRNQVEERRFVGNKDMSGLDHLSFGDLLALANTASLIFSGQTPKPTRNTEMMQKGNEEVESLSSACNNVDEQIIKTPEKPKRKKHRPKVIREAKPKREPKPPTPRKSVVAEGQETKTPKRKYVRKKVEVNKDQVSTPVESSAAVETSTRSKRLCRRVLDFEAETGENQSNSDIRQAGEMESILKEKHLDSGNQELKDCFLSAPSTPKRKRSQGKRKGIEPKNNGSNLEEVDISMAQAAKRRQGKPTCGDINLSGIQYDEQCDYQKVHWLYFPNLQQEGIRSDAICSKAFTGQLHKDVSAFHAKCYSSTSQPSANRVLTIEERREGIFQGRQESEFSFLSDKIDMQIKKRTGHARFRNLSSMNKLVEVSEQLSSGCYSKPQQNNKILVDTRVTVSKKKPTTTSEKSQTKQKTLLPNPCQFPASFSGLSPDELWKRLNSIETISEQLRLLDINRENSETALIPYSMRSQGNQIILFGGGAGAIVPVTPVKKRRPRPKVDLDDETDRVWKLLLENINSEGVDGSDEQKAKWWEEERNVFRGRADSFIARMHLVQGDRRFTPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAAEFPAPSIPSSNFDVGTSLMPSIQITYLDSEESMSNPPDHNQSSVILKNTQPDEEKEYVHSNETSRSSSEIASSAHESVGKTTDSKTNVDSDQKGSEVDKTDHKGLVLDLFPSEDSALTCQHSMVSDAPQQNTERARSSSTSEINLEGEYRTSYMKLLQGVQVSLEESNQKNQYDNSGQEVGVSPNLSPGDCSSEIKDFQSLKGPTKSSDDSNEPCCCYQQDGDVLSCQKPEMPESSTSTLIPDINESTSIPDVQEAKGKKVLKEKKEAFDWDSLRREAQGREGIREKTARTMDTVDWEAIRAADVSEVAETIKSRGMNHKLAERIQGFLDRLVDDHGSIDLEWLRDVPPDKAKEYLLSFNGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLEMYPILESIQKYLWPRLCKLDQKTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPSTEKGMATPDKNPLPLHLPEPLQREQGSEVVQHSEPAKKVTCSEPIIEEPASPEPESAQVSIADIEDAFFEDPEEIPTIRLNMDAFTSNLKKLMEHNKELQDGNMSSALVALTAEAASLPIPKLKNISQLRTEHQVYELPDEHPLLVHLEKREPDDPCSYLLAIWTPGETADSIQPAVSKCIFQANGKLCDEETCFSCNSIKEARTQIVRGTILIPCRTAMRGSFPLNGTYFQVNEVFADHASSLNPIDVPREWIWDLPRRTVYFGTSIPTIFKGLPTETIQQCFWKGYVCVRGFDRTTRGPKPLIARLHFPASKLKANQANLA >fgenesh2_kg.4__168__AT2G22475.1 pep chromosome:v.1.0:4:971459:974166:1 gene:fgenesh2_kg.4__168__AT2G22475.1 transcript:fgenesh2_kg.4__168__AT2G22475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPKGDTEVKTDVPVKDPSLSVVEDASTEISLSDEVLSKGSDTTPVKAPSRTSSGSKKSVHWSPELVSGSQEPDQKAASSSSSAGSNPYIARSPAETSSDASLDTMESVKGVLGRWGKKVAEAAKKTESLAGNTWQHLRTAPSFADAAMGRIAQSTKVFAEGGYEKIFRQTFETVPEEQLLNSFACYLSTSAGPVMGVVYISTAKLAYCSDNPLSYKNGDQTEWSYYKVVIPLHQLKAVNPSTSIVNPAEKYIQVISVDNHEFWFMGFLNYEGAVTSLQDSLQAGALRSV >fgenesh2_kg.4__1690__AT2G36500.1 pep chromosome:v.1.0:4:17363939:17366109:1 gene:fgenesh2_kg.4__1690__AT2G36500.1 transcript:fgenesh2_kg.4__1690__AT2G36500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LJ47] MTTTPTSSGRRSISSIRRTSSASKKPVLQSEESESGSGSLSENISKPDSPLAQPVSDGERTVKKLRLSKALTINEGTTVFDACRRMAARRVDAVLLTDSSALLSGIVTDKDIATRVIAEGLRPEHTLVSKVMTRNPIFVTSDSLAIEALQKMVQGKFRHLPVVENGEVIALLDITKCLYDAISRMEKAAEQGSALATAVEERHWGSGNFAFIDTLRERMFKPALSTIVTENTKVALVSASDPVFVASKRMRDLRVNSVIIAVGNKIHGILTSKDILMRVVAQNLSPELTLVEKVMTPNPECASIETTILDALHIMHDGKFLHLPVLDKDGFAVACLDVLQITHAAISTVENNSSGAVNEMANTMMQKFWDSALALDPPEDYETHSDMSAMLINSEGKQSCPSQGLVSSFAFKFEDRKGRVHRFNSTGESLEELMSVVMQRCEADSGLQIMYQDDEGDKVLISRDSDLVAAVTFARSLGQKVLRLHLDFTETMVPLETIADLSEGNGGCVWWRTGVMAGAIVLTSIGLLVYLKRSKK >fgenesh2_kg.4__1692__AT2G36540.1 pep chromosome:v.1.0:4:17372778:17374007:1 gene:fgenesh2_kg.4__1692__AT2G36540.1 transcript:fgenesh2_kg.4__1692__AT2G36540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor family protein [Source:UniProtKB/TrEMBL;Acc:D7LJ49] MAEEKLKKSIIASDDSDDEYSGDTVSEQTELSSILGKLSIEPEKEKKKLLVLSLSGLLLHRVHKKEMRKKPKNRSPDASCGPNLVYKRPFSEEFMKFCLERFEVGIWSSACELDQEECTDSGFKTLENRYKPLFFKDLSKVFQCFKGFSASNTIFIDDEPYKALRNPDNTGLFPMSYDPSNKSDSLLDPEGEFCSYLDGLAKSSDVQAYIKEHSFGQPKIDSSHPDWSFYRKVSKIVS >fgenesh2_kg.4__1693__AT2G36560.1 pep chromosome:v.1.0:4:17374308:17377287:-1 gene:fgenesh2_kg.4__1693__AT2G36560.1 transcript:fgenesh2_kg.4__1693__AT2G36560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTPISPFPVTETAASAPATVVEGVNDASRKRERPKTYDRDYKGRFTTKSGTFTPRSSLRNRRGDMSMGFGGGDFKPHMFTVNKGEDIIKRIMSFTENGSRGISVLSANGAVANVKIQLHSSSRRVVTYKDEYEIVSLSNTMAISESGGVKHKTGGWRIMIGGAPGASVFGGTLAGSLIAASPVQVVIGSFWPLVSKPPQTRKYVLASSTTPNLVASSSTGKVQQPDMIRPSRSQKRNDESNRAMVVFSPTTPNLLASSSNGQAQEPDMIGPSHSQERNDESNKAMVVFSPTTPNLLASSSTEQDQQPDMIGPFHSQNRNDESNDVILLPTVQYLLASSFTGQLQRQPEMMRNDEPQAVVVPPGIPNLLASSFTGPEMIEPSHSENYDESYPSQFLTLGWYNSTPEQDK >fgenesh2_kg.4__1696__AT2G36590.1 pep chromosome:v.1.0:4:17388999:17391264:-1 gene:fgenesh2_kg.4__1696__AT2G36590.1 transcript:fgenesh2_kg.4__1696__AT2G36590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKNRINNVGEDVDIEIPDTAHQISSDSWFQAAFVLTTSINSAYVLGYSGTVMVPLGWIGGVVGLILATAISLYANTLVAKLHEFGGKRHIRYRDLAGFIYGRKAYCLTWVLQYVNLFMINCGFIILAGSALKAVYVVFRDDHVMKLPHFIAIAGLICAVFAIGIPHLSALGIWLAVSTILSLIYIVVAIVLSVKDGVKAPSRDYEIQGSSLSKLFTITGAAATLVFVFNTGMLPEIQATVRQPVVKNMMKALYFQFTVGVLPMYAVVFIGYWAYGSSTSAYLLNNVNGPVWVKALANISAILQSVISLHIFASPTYEYMDTKFGIKGNPLALKNLLFRIMARGGYIAVSTLLSALLPFLGDFMSLTGAVSTFPLTFILANHMYYKAKNNKLNPLQKLWHWLNVVFFSLMSVAAAIAALRLIALDSKNFHVFADL >fgenesh2_kg.4__169__AT2G22480.1 pep chromosome:v.1.0:4:984017:987065:1 gene:fgenesh2_kg.4__169__AT2G22480.1 transcript:fgenesh2_kg.4__169__AT2G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:D7LE10] MDALSQAITSGISSVPYKKNNSSLVPCHGLSSLILRNTRSPANPSSRISSARASAIQHSKTSASSIDLSDPDWKLKYEKDFEQRFNIPHITDVLPDAEAIRSTFCLKMRSPTEDFVGGYPSDEEWHGYINNNDRVLLKVIRYSSPTSAGAECIDPDCSWIEQWIHRAGPREKIYFRPEEVKAAIITCGGLCPGLNDVIRHIVITLEIYGVKNIVGIPFGYRGFSDKDLTEMPLSRKVVQNIHLSGGSLLGVSRGGPSVSEIVDSMEERGINMLFVLGGNGTHAGADAIHNECRKRKIKVAVVGVPKTIDNDILHMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGVVKLMGRNSGFIAMQASLASGQVDICLIPEVPFNLHGPNGVLKHLKYLIETKGSAVICVAEGAGQNFLEKTNAKDASGNTVLGDFGVYIQQETKKYFKEISTPIDVKYIDPTYMIRAVRANASDGILCTVLGQNAVHGAFAGYSGITVGIINTHYAYLPIPEVIAYPKSVDPNSRMWHRCLTSTGQPDFI >fgenesh2_kg.4__16__AT2G21070.1 pep chromosome:v.1.0:4:136859:139459:1 gene:fgenesh2_kg.4__16__AT2G21070.1 transcript:fgenesh2_kg.4__16__AT2G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 small nuclear RNA (adenine-(43)-N(6))-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LKZ6] MRNGKKRGRSEPGSTKKPRNKYSDNPPDFASLASLYPSFKPFVFFSGGRARIDWTDYNATRELTRILLLHDHGVNWWIPDGQLCPTVPNRSNYIHWINDLLSSEVIQSLGGEVKGFDIGTGANCIYPLLGASLFGWSFVGSDFTAVALEWAEKNVKSNPHISDLIEIRDSKVLPQSSSVPESELTIHEEAEISATVQSINLVDDNQTYTEPAVLLGVVKENETFDFCMSNPPFFETFEESGLNPKTSCGGTPEEMVCNGGEQAFVSCIIEDSTVLRQRFRWYTSMLGKKANLKLLISKLWQVGVTIVKTTEFVQGQTSRWGLAWSFMPTARKIIAPPVVKKSVLSFMLEGIKRQYSAVDVLQSVEEFFKSCGASCKLNSSTFSVDIVASDDQCNSISKNGITDVDSVRSYGYDKQSLDGSSLQVPEDNLSFRILVFQQMPGTLLIKGSLQQKDSPLSGLFSVVFGCLEESLKSKFCR >fgenesh2_kg.4__1700__AT2G36620.1 pep chromosome:v.1.0:4:17398805:17400236:-1 gene:fgenesh2_kg.4__1700__AT2G36620.1 transcript:fgenesh2_kg.4__1700__AT2G36620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPL24A [Source:UniProtKB/TrEMBL;Acc:D7LJ56] MVLKTELCRFSGQKIYPGRGIRFIRSDSQVFLFANSKCKRYFHNKLKPSKLTWTAMYRKQHKKDAAQEAVKRRRRATKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKVEYASKQQKSQVKGNIPKSAAPKAAKMGGGGGRR >fgenesh2_kg.4__1702__AT2G36640.1 pep chromosome:v.1.0:4:17409434:17411000:-1 gene:fgenesh2_kg.4__1702__AT2G36640.1 transcript:fgenesh2_kg.4__1702__AT2G36640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQQKAERAEVAARLAAEDLHDINKSGGGDVTMYKVTERTTEHPPEQERPGVIGSVFRAVQGTYEHARDAVVGKTHDAAESTKEGAQIASEKAVGAKDATVEKARDTADYTADKMGEYKDYTVDKAKEAKDKTAEKAKETASYTADKAVEAKDKTAEKMGEYKDYAVDKAVEAKDKTAETANYTADKAKEAKDKTTEKMGEYKDYTVDKAVEAKDYTAAKAIEAKDKTAEKTGEYKDYTVEKATEGKDVGVSKLGELKDSAVETAKRAMGFLSGKTEEAKEKAVETKDTAKENIEKAGEVTRQKMEEMRLEGKELKDEAGAKAHEASQKTRESTESAAEKAQETKDSAAVRGNEAKGTILGTLGNVTEAIKSKLTMPSDIVEETRAAREHGGTGRTVVEVKVEDTKPGKVATSLKASDQMTGQTFNDGGRMDDGARKDKGKL >fgenesh2_kg.4__1704__AT2G36660.1 pep chromosome:v.1.0:4:17414150:17417265:-1 gene:fgenesh2_kg.4__1704__AT2G36660.1 transcript:fgenesh2_kg.4__1704__AT2G36660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:D7LJ60] MATVHAALSAADASSSGSSSPVTASLYVGDLHPSVTEGILYDAFAEFKSLTSVRLCKDASTGRSLCYGYANFLSRQDANLAIEKKNHSLLNGKMIRVMWSVREPDARRNGVGNVFVKNLPESITNAVLQDMFKKFGNIVSCKVATFEDGKSRGYGFVQFEQEDAAHAAIEKLNSTTVAGKEIYVGKFMKKTDRAKAEEKYTNVYMKNLDADVNEDLLREKFSEFGKIVSLAIAKDENGLCKGYAFVNFDKPEDARWAAETMNGTRFGSKCLYVGRAQKKAEREQLLREQFKEKHEEQMMKAKVSNIYVKNINVGVTEEELRKHFSQCGTITSTKLMCDEKGKSKGFGFVCFSTPEEAIDAVKTFHGKMFHGKPLYVATAQKKEDRKMQLQVQFGNCVEGGGSSSSASFIPGTYAPLYYTNTHPGMVYQSYPPTWKSANMISSSYPNSQALTYPSVVGNAPRKIKQNRKGKLDRNAVSYVPNVYQSTQMLPLSRDFSNQQLNRTYGRGKEMKKPIQQRQSETIVMEKQLLGELLYPLVEKLEPQLANKITGMLLEMDKSELLLLLKSPQELAVRVEEAFEVLKSAKTNVTGPNTLRSDFLASGIAGVSIK >fgenesh2_kg.4__1705__AT2G36670.1 pep chromosome:v.1.0:4:17417627:17421103:-1 gene:fgenesh2_kg.4__1705__AT2G36670.1 transcript:fgenesh2_kg.4__1705__AT2G36670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7LJ61] MRIFRSLMLAVALALALAVTELAASPLPSVFAKYAAGPAKILPLQRAFPLDEPVELSELRARDRVRHARILLGGGRQSSVGGVVDFPVQGSSDPYLVGLYFTKVKLGSPPTEFNVQIDTGSDILWVTCSSCSNCPHSSGLGIDLHFFDAPGSFTAGSVTCSDPICSSVFQTTAAQCSENNQCGYSFRYGDGSGTSGYYMTDTFYFDAILGESLVANSSAPIVFGCSTYQSGDLTKSDKAVDGIFGFGKGKLSVVSQLSSRGITPPVFSHCLKGDGSGGGVFVLGEILVPGMVYSPLLPSQPHYNLNLLSIGVNGQILPIDAAVFEASNTRGTIVDTGTTLTYLVKEAYDPFLNAISNSVSQLVTLIISNGEQCYLVSTSISDMFPPVSLNFAGGASMMLRPQDYLFHYGFYDGASMWCIGFQKAPEEQTILGDLVLKDKVFVYDLARQRIGWANYDCSMSVNVSVTSGKDIVNSGQPCLNISTREILLRFFFSILVALLLCIFFSLT >fgenesh2_kg.4__170__AT2G22490.1 pep chromosome:v.1.0:4:998173:1000552:-1 gene:fgenesh2_kg.4__170__AT2G22490.1 transcript:fgenesh2_kg.4__170__AT2G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCD2_1 [Source:UniProtKB/TrEMBL;Acc:D7LE11] MAENLACGETTESWIIDNDDDDINYGGGFTNEIDYSHQLFTKDDNFGGNGSIPMMGSSSSSSLSEDRIREMLEREIEFCPGTDYVKRLLSGDLDLSVRNQALDWILKVCAHYHFGALCICLSMNYLDRFLTSYELPKDKDWAVQLLAVSCLSLAAKMEETDVPQIVDLQVEDPKFVFEAKTIKRMELLVLNTLNWRLQALTPFSFIDYFVDKISGHVSENLIYRSSRFILNTTKAIEFLEFRPSEIAAAAAVSVSISGETECIDDEKAMSNLLYVKQERVKRCLNLMRTLTGENVPGTSLSQEQPRLAVRVVPASPIGVLEATCLSYKSEERTVESCTNSSQSSPDNNNNSNKRRRKQ >fgenesh2_kg.4__1712__AT2G36720.1 pep chromosome:v.1.0:4:17449993:17456258:-1 gene:fgenesh2_kg.4__1712__AT2G36720.1 transcript:fgenesh2_kg.4__1712__AT2G36720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEFVPESMGGGISSVWQSPVKRLALDGECGEAGDRSRTSCKRIKTTQVNGFIVYTRTRKTKFTKLHEQGDENAGLLENRMSNHLEESKPTIGVTNGSIGETNVSGNSCIKNTFVESPAGKIAVEERLVTGSLAESPAVETDSSSLVDVVIDDINFVELLHEEIPVEILSEGSLDFEVKRLGTKVRTMGKSYSVSEKKRHGSFKRTAQIYKSILRMKKVNNLVPENVEVLSEPDFGREGLDEQSHSVSLADKSILIRRRPETVRELFETGILDGLSVVYMGTVKSQAFGLRGIIKDGGILCSCSSCDWAHVISTSKFEIHACKQYRRASQYICFENGKSLLDVLNISRNTPLHALEATILDAVDYASKEKCFTCKRCKGAFPFSSLGHRGFLCMSCSEVETSQASPAAMWTSTSSPACIASPVKSRLKITRKPSESMSISPVFMSPLGNSTRNITRKALRQALVGKAYLSASTNISSQNKCRSKFKKMLTQYSVTPKAVKSVSLSVSSKKRSYRLTRKDQGLHKLVFERGGLPEGTELGYYARGQKLLGGYKMGAGIYCYCCKSEVSPSLFEAHAGWASRRKPYFYIYTSNGVSLHEWATTFSQGRKYSANDNNDLCVICADGGNLLLCDSCPRAFHIECVSLPSIPRGNWHCKYCENKFTSEIAGEYNVNSSAVGQLEGVDPVDQSAGRCIRVVKNMEAETNGCVLCSGSDFCRSGFGPRTIIICDQCEKEYHIGCLSSQNIVDLKELPKGNWFCSMDCTRINSTLQKLLLGGAETLSDSSLGIIQRKQERTDVYSISDLDIRWRLISGKVTSPESRMLLSQALAIFHDCFDPIVDPLSGRNLIPRMVYGKTMQGQDYGGICCAVLTVNATVVSAGLLRVFGREVAELPLVATRMCSREKGYFQLLFSCIEKLLSSLNVESIVVPAAEEAEPLWMNKFGFRKLAPEQLSKYIKICYQMVRFKGASMLQKPVHAHQIIDKKIETSVSLEENFDMKQANELYVHPLC >fgenesh2_kg.4__1713__AT2G36710.1 pep chromosome:v.1.0:4:17458358:17460067:1 gene:fgenesh2_kg.4__1713__AT2G36710.1 transcript:fgenesh2_kg.4__1713__AT2G36710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7LJ68] MPIKPVLTTLIALLSIILALYTIQFPSSRSLSSIATNIGALAIHSTFYGHKHHHHHHHHHHHHHYEPIKCCEKWTSRLTHQYKTSLVLTVDLHGCGNFSNVQSAIDAVPDLSPSKTLIIVNSGCYREKVTVNENKTNLVIQGRGYQNTSIEWNDTAKSAGNTAESFSFVVFAANFTAYNISFKNNAPEPDPGEADAQAVSLRIEGDQAAFYGCGFYGAQDTLLDDKGRHFFKDCFIQGSIDFIFGNGRSLYKDCTINSIAKGNTSGVTGSITAQGRQSEDEQTGFSFVNCKIAGSGEILLGRAWGAYATVVFSDTYMSGIISPEGWNNWGDPDKEKTVTFGEHKCYGPGADYKERVLFGKQLTDSEASSFIDISFIDGDEWLRHTNIVSEFTSKDIRDDLIEFY >fgenesh2_kg.4__1715__AT2G36724.1 pep chromosome:v.1.0:4:17464051:17464458:1 gene:fgenesh2_kg.4__1715__AT2G36724.1 transcript:fgenesh2_kg.4__1715__AT2G36724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNLSMMLMMVMIISAIVIGGEAKSEVECSILCRPHCKSSSSAGECSDCHRKCDQSPPSVKKQILKNQHMSKNI >fgenesh2_kg.4__1717__AT2G36740.1 pep chromosome:v.1.0:4:17468774:17471741:-1 gene:fgenesh2_kg.4__1717__AT2G36740.1 transcript:fgenesh2_kg.4__1717__AT2G36740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7LJ73] MESDKEEPMVFLDRTTRATRGKRMTKLLDDEVEEDEQFWNQEALKEEEHDDEYEAEREVADEFDSDFNDDEPEPDAVAQNEKEERDLPKKRLIYPGKIASKKKKKKTKVVSKLQDIPGDEKPGEELGSKEQDEKEENEAQEDMEGEKVIRKSTRTSVVVRQAERDALRAAIQATTKPIQRKKVGEEKRMTQEEMLLEAAQTEIMNLRNLERVLAREEEVKKKAIVHKAVYKGPQIRYHSKDGCNYLEFCNGASFNSELSTKSVPYPEKALCVITGLPAKYRDPKTGLPYATRDAFKAIRERFMDEHDGLRKKMEMGDLFDTLIAKGFTVKQKRTKIPKSNKSFSLRSSARFLSSESEEESEDSD >fgenesh2_kg.4__1718__AT2G36750.1 pep chromosome:v.1.0:4:17472131:17473688:-1 gene:fgenesh2_kg.4__1718__AT2G36750.1 transcript:fgenesh2_kg.4__1718__AT2G36750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LJ74] MASEFRPPLHFVLFPFMAQGHMIPMVDIARLLAQRGVTITIVTTPHNAGRFKNVLSRAIQSGLPINLVQVKFPSHESGSPEGQENLDLLDSLGASLAFFKASSLLEEPVEKLLKEIQPRPSCIIADMCLPYTNRIAKNLGIPKIIFHGMCCFNLLCTHIMHQNYELLETIESEKEYFPIPNFPDRVEFTKSQLPMVLVAGDWKEFLDEMTEADNTSFGVIVNTFEELEPAYVRDYKKVKAGKVWSIGPVSLCNKVGKDKAERGNKAAIDQDECIKWLDSKEVGSVLYVCLGSICNLPLSQLKELGLGLEESQRPFIWVIRGWEKYNELFEWISESGFKERIKERGLIIRGWSPQMLILSHPAVGGFLTHCGWNSTLEGITSGVPLLTWPLFGDQFCNEKLAVQILKAGVRAGVEESMRWGEEEKVGVLVDKEGVKNAVEELMGDSNDAKERRKRVKELGELAHKAVEEGGSSQSNITFLLQDITQLAQSKK >fgenesh2_kg.4__171__AT2G22500.1 pep chromosome:v.1.0:4:1019434:1020899:-1 gene:fgenesh2_kg.4__171__AT2G22500.1 transcript:fgenesh2_kg.4__171__AT2G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFAEGGIASIVAGCSTHPLDLIKVRMQLQGESAPIQTNLRPALAFQTSTTVNAPPLRVGVIGVGSRLIRDEGLRALFSGVSATVLRQTLYSTTRMGLYDILKGKWTDPETKTMPLTKKIGAGAIAGAIGAAVGNPADVAMVRMQADGRLTLAERRNYKSVLDAITQMIRGEGVTSLWRGSSLTINRAMLVTSSQLASYDSVKETILEKGLLEDGLGTHVLASFAAGFVASVASNPVDVIKTRVMNMKVEAGVAPPYKGAVDCALKTVKAEGIMALYKGFVPTVSRQAPFTVVLFVTLEQVRKLFKDYDF >fgenesh2_kg.4__1720__AT2G36800.1 pep chromosome:v.1.0:4:17478520:17480071:-1 gene:fgenesh2_kg.4__1720__AT2G36800.1 transcript:fgenesh2_kg.4__1720__AT2G36800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LJ76] MVSETTNESYPLHFVLFPFMAQGHMIPMVDIARLLAQRGVTITIVTTPHNAARFKNVLNRAIESGLPINLVQVKFPYQEAGLQEGQENIDSLETMERMISFFKAVNLLEEPVQKLIEEMNPRPNCLISDFCLPYTSKISKKFNIPKILFHGMGCFCLLCMHVLRKNREILDNLKSDKEYFTVPYFSDRVEFTRPQVPVETYVPAGDWKEIFDGMIEANETSYGVIVNSFQELEPAYAKDYKEVRSGKAWTIGPVSLCNKVGADKAERGNKSDIDQDECLKWLDSKEPGSVLYVCLGSICNLPLSQLKELGIGLEESQRPFIWVIRGWEKYKELVEWFLESGFEDRIKDRGLLIKGWSPQMLILSHPSVGGFLTHCGWNSTLEGITAGLPLLTWPLFADQFCNEKLVVQVLKAGVRAGVEQPMKWGEEEKIGVLVDKEGVKNAVEELMGESDDAKERRRRAKELGELAHKAVEEGGSSHSNISFLLQDIMQLAQSKN >fgenesh2_kg.4__1721__AT2G36810.1 pep chromosome:v.1.0:4:17480821:17492346:-1 gene:fgenesh2_kg.4__1721__AT2G36810.1 transcript:fgenesh2_kg.4__1721__AT2G36810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LJ77] MVGLITRTKLKSALPRLIPAILELYKKDHDDALLATCSLHNLLNASLLSESGPPLLDFEDLTIVLSTLLPVIGINSERKRCSDISVGRKTYNEVQRCFLTVGLVYPEDLFTFLLNKCKLKEDPLTFGALCILKHLLPRLFEAWHSKQPLLVDTARSLLDEQSLAVRKALSELIVVMASHCYLAGPSGELFVEYLVRHSAIGESDDLKAKAEPVSPTQLRAVCGKGLLLLTVTIPEMEYILWPFLLKVIIPKVYTGAVASVCRCISELCRRRSSTTPMLIECKARADIPSPEELFTRLVVLLHNPLAKEQLASQILTVLGYLSPLFPKNISMFWQDEIPKMKAYVFDTEDLKLDPTYQETWDDMIINFLAESLDVTQDADWVISLGNAFAKQYILYSPDDDHAALLHRCIGILLQKVNDRAYVRDKIDWMYEQADISIPANRLGLAKAMGLVAASHLDTVLEKLKIIVDNVGQSIFQRILSLFSESYKTEDSDDIHAALALMYGYAAKYAPSSVIEARIDALVGTNMLSRLLHVRQQTAKQAVITAIDLLGRAVINAAESGATFPLKRRDQMLDYILTLMGRDENEGFAESSLEVLHTQALALNACTTLVSVEPKLTVETRNRVMKILDQFFSISLSLPKAVLTSGLDSEDSYKALSSLEDVIAILKSLVAALHSCTAAICDKIRQSAEGAIQAVTEFVSRRGSQLSDNDISRVLLTSFQAFCECVGDLEMGKILARNGEQREKEKWVDLIGHIAGCISIKRPKENLSFNTGVTGSTYLHDSNESTKPSTKISAGSCCRCIVRVYTLQMPSDCMNHYTTQVIGVILVLLDDLEESVQLTAVACLLMVTESASNDAVEPILLNLSIRLRNLQVSMDPKMRANAFAALGALSKYAIGGQREGFVEQGTLKRFAPLVDIINHSSLYDSRAFASEDRTDYENFVRDLSKHLVQESERVDTYMASTIQAFDAPWPVIQANAIHFSTTMLSLSEDQHIISLYYPQVFETLVSKMTRSQDSVVRAACSSAFGLLLRSSKSTLWRGARLDRTDSGRKSNDPESVKK >fgenesh2_kg.4__1723__AT2G36830.1 pep chromosome:v.1.0:4:17505307:17506436:1 gene:fgenesh2_kg.4__1723__AT2G36830.1 transcript:fgenesh2_kg.4__1723__AT2G36830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast intrinsic protein gamma [Source:UniProtKB/TrEMBL;Acc:D7LJ80] MPIRNIAVGRPDEATRPDALKAALAEFISTLIFVVAGSGSGMAFNKLTENGATTPSGLVAAALAHAFGLFVAVSVGANISGGHVNPAVTFGAFIGGNITLLRGILYWIAQLLGSVVACLILKFATGGLAVPAFGLSAGVGVLNAFVFEIVMTFGLVYTVYATAIDPKNGSLGTIAPIAIGFIVGANILAGGAFSGASMNPAVAFGPAVVSWTWTNHWVYWAGPLVGGGIAGLIYEVFFINTTHEQLPTTDY >fgenesh2_kg.4__1724__AT2G36835.1 pep chromosome:v.1.0:4:17511223:17513060:-1 gene:fgenesh2_kg.4__1724__AT2G36835.1 transcript:fgenesh2_kg.4__1724__AT2G36835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGGATLAEDAPWRVSSGRPVPKISRSPVLSISQNPESDYAISVMKHPNPVGGGFAMEAVLESAGPECVVPGQVTPLRLLGVKVWPVEVDLKFLEPVGKELKMLGKFMDNAVELMNKSFIDR >fgenesh2_kg.4__1725__AT2G36840.1 pep chromosome:v.1.0:4:17513304:17515364:-1 gene:fgenesh2_kg.4__1725__AT2G36840.1 transcript:fgenesh2_kg.4__1725__AT2G36840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLSDDVVIISQSEKEGDPSVITINCPDKTGLGCDLCRILLFFGLNIVRGDVSTDGKWCYLVFWVIGKPNTRWNLLKMRLVEASPSFSWAFGISRCYLSDSESQPPKLPDLFLLKLACSDRTGLLYDVTEVLYKLEINIEKVKISTTPDGKVMDLFFVTDTRELLGTVKRRDEVYEYLRDAIGDSMISYDIELVGPEITARSQASSSVAETLFSSDVSGEHPSGLQTSSNVSITVDNLLSSAHTLIHITCQDHKGLLYDIMRTFKDFNIQISYGRFTIKRGRNCEIDLFIVQSDGRKILDSSKLNALITRLRAELQQPLRVVMMNRGPDTELLVTNPVELSGKGRPQVFHDIALALKKINTCIFSAEIGRHVTGDREWEVYKVLINEEDSLPIPRSKIEEEVWNTLMGWE >fgenesh2_kg.4__1726__AT2G36850.1 pep chromosome:v.1.0:4:17517275:17532185:-1 gene:fgenesh2_kg.4__1726__AT2G36850.1 transcript:fgenesh2_kg.4__1726__AT2G36850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNLDPNSDGRGVLQFKTGLMSVIKQKLAKRDGASIDRDRDIERLWEFYKLYKRRHRVDDIQKEEQKWRESGTTFSSNVGEILKMRKVFATLRALIEVLEVLSRDADPNGVGRSIRDELGRIKKADATLSAELTPYNIVPLEAQSMTNAIGVFPEVRGAVQAIRYTEHFPRLPDDFEISGQRDADMFDLLEYIFGFQRDNVRNQREHLVLTLSNAQSQLSIPGQNDPKIDENAVNEVFLKVLDNYIKWCKYLRIRFVYNKLEAIDRDRKLFLVSLYFLIWGEAANMAKELDAKLDHGEAVRADSCLTGTDTGSVSFLERIICPIYETISAEAARNNGGKAAHSEWRNYDDFNEYFWTPACFELGWPMKTESRFLSKPKGRKRSLTIIAFRKEHLDIDTFKILLSAGPTYAIMNFIECLLDVVLMYGAYSMARGMAISRLVIRYVAFWLIVLASKFTFAYFLQARSSYSSNNHALTIVSLWAPVLAIYLMDIHIWYTLLSAIIGGVMGAKARLGEIRSIEMVHKRFESFPEAFAQNLVSPVVKRVPLGQHTSQVSDKLKLSVPSLCVFITILALLPYIATPMFCSLLILHYILSVLLFFNLSMVGHCADCISLSNFSFLDGQDMNKAYAAMFSPFWNEIIKSLREEDYLSNREMDLLSIPSNTGSLRLVQWPLFLLCSKILVAIDLAMECKETQEVLWRQICDDEYMAYAVQECYYSVEKILNSMVNDEGRRWYSISICLNLSTCLICQYHISNSIEQGSLAITLNLKKLQLVVSRFTALTGLLKIRNETPDLAKGAAKAMFDFYEVVTHDLLSHDLREQLDTWNILARARNEGRLFSRIAWPRDPEIIEQVKRLHLLLTVKDAAANVPKNLEARRRLEFFTNSLFMDMPQARPVAEMVPFSVFTPYYSETVLYSSSELRSENEDGISILFYLQKIFPDEWENFLERIGRSESTGDADLQASSTDALELRFWVSYRGQTLARTVRGMMYYRRALMLQSFLERRGLGVDDASLTNMPRGFESSIEARAQADLKFTYVVSCQIYGQQKQQKKPEATDIGLLLQRYEALRVAFIHSEDVGSGDGGSGGKKEFYSKLVKADIHGKDEEIYSIKLPGDPKLGEGKPENQNHAIVFTRGEAIQTIDMNQDNYLEEAIKMRNLLEEFHGKHGIRRPTILGVREHVFTGSVSSLAWFMSNQETSFVTLGQRVLAYPLKATFHFELFFIVELVSSLVFRVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRIGQLFDFFRMMSFYFTTVGFYVCTMMTVLTVYVFLYGRVYLAFSGADRAISRVAKLSGNTALDAALNAQFLVQIGVFTAVPMVMGFILELGLLKAIFSFITMQFQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVQHIKFADNYRLYSRSHFVKAFEVALLLIIYIAYGYTDGGASSFVLLTISSWFLVISWLFAPYIFNPSGFEWQKTVEDFEDWVSWLMYKGGVGVKGELSWESWWEEEQAHIQTLRGRILESILSLRFFMFQYGIVYKLDLTGKNTSLALYGYSWVILLVIVFLFKGVASLTFIALIVVAIALTPLSIPDMFACVLGFIPTGWGLLSLAITWKQVLRVLGLWETVREFGRIYDAAMGMLIFSPIALLSWFPFISTFQSRLLFNQAFSRGLEISIILAGNRANVET >fgenesh2_kg.4__1727__AT2G36870.1 pep chromosome:v.1.0:4:17535914:17537913:-1 gene:fgenesh2_kg.4__1727__AT2G36870.1 transcript:fgenesh2_kg.4__1727__AT2G36870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7LJ84] MGNSLMSLLSIFHLLVLWGSSVNAYWPPSPGYWPSSKVSSLNFYKGFRNLWGPQHQRMDQNALTIWLDRTSGSGFKSVKPFRSGYFGANIKLQPGYTAGVITSLYLSNNEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGKIIGREMKFRLWFDPTKDFHHYAILWSPREIIFLVDDIPIRRYPKKSASTFPLRPMWLYGSIWDASSWATEDGKYKADYKYQPFTAKYTNFKALGCTAYSSARCYPLSASPYRSGGLTRQQHQAMRWVQTHSMVYNYCKDYKRDHSLTPECWR >fgenesh2_kg.4__1730__AT2G36885.1 pep chromosome:v.1.0:4:17547882:17549552:-1 gene:fgenesh2_kg.4__1730__AT2G36885.1 transcript:fgenesh2_kg.4__1730__AT2G36885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITGFSALSSPISPPPSLPVSRLLNSTQCLSRFSNVSPFPALSTSRRRKIPLTPACSSIRNGDESVEARGDDENEIKETLMLSVSPLPLLLVASLPGGNNETVTSVFGPVVEIVKSLNLPDWLVHWGHPGNMAVVLFAMGGYGTYLGFRIRYSDDIEEKAKAKDLHPKLLAGMFFFFALGATGGVISLLTSDKPIFESPHAVTGLIGLGLLTIQTILPSLFKEKPELRNVHGILGSGIMALFLVHAAFGLQLGLSF >fgenesh2_kg.4__1732__AT2G36890.1 pep chromosome:v.1.0:4:17552038:17553532:1 gene:fgenesh2_kg.4__1732__AT2G36890.1 transcript:fgenesh2_kg.4__1732__AT2G36890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKENVKRGPWSPEEDAKLKDYIEKQGTGGNWIALPHKAGLRRCGKSCRLRWLNYLRPNIRHGDFTEEEDKIIYSLYASIGSRWSVIAAHLQGRTDNDIKNYWNTKLKKKLIASMAPPSHHHLATATSSSPSPSHYNMINSLLPYNPSVSTNQLLTPQGMMMTMMGQQQQQLLYQEDMGSLVNSANSNKFIMSHQEHSQEQSTNNGIMLLSDVRSGSSTTSTVTRVKMEHLDHHHHHEEDERSMSSVVMEDYGMEEIKQLISSSCTSSNNSLWFDENKTEDKFMLYY >fgenesh2_kg.4__1733__AT2G36900.1 pep chromosome:v.1.0:4:17569488:17570745:-1 gene:fgenesh2_kg.4__1733__AT2G36900.1 transcript:fgenesh2_kg.4__1733__AT2G36900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrin [Source:UniProtKB/TrEMBL;Acc:D7LJ92] MASGIVGGGGSLSDVYSSAKRILLKARDGIERLERFESSSMDSPDLASSVKRDITEVRSLCSNMDALWRSIPVKSQRDLWRRKTEQVGEEAEYLNQSLEKYMSRNQRKMLEAKERADLLGRASGEGAHILQIYDEEAQAMNSVKNSKRMLEESFSSGVAILSKYAEQRDRLKSAQRKALDVLNTVGLSNSVLRLIERRNRVDTWIKYAGMIATLVILYLFIRWTR >fgenesh2_kg.4__1735__AT2G36920.1 pep chromosome:v.1.0:4:17590289:17591996:1 gene:fgenesh2_kg.4__1735__AT2G36920.1 transcript:fgenesh2_kg.4__1735__AT2G36920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LJ95] MSFSDDPTSPTEKNRARTSQEPRERPQDLSCLSSKETEKSQEPNETSGSSVSPMNRYKEALKNRHGPVSKNQNYDFESNNITINTENLLNPFGVGGGSLDSEPIQMMSTSGYVSGSTNNKNNNGQSYLGVEASKNSVGLRTGMQQQMSNRSVIGVDSGTSFTDTARNLMSLHFSACDFLYLFVSAYQNCQCYVHLLFQYFITSGEEHDTNNSDTLGDSSNISLGCFGSIESILKATRDGKEVPTMPTPRPVNNAPQWTIKKRLTKKDVNPYGQLSLPSSSFDQHIRRHLPEEDLPKIVGAGLIVN >fgenesh2_kg.4__1736__AT2G36950.1 pep chromosome:v.1.0:4:17600050:17601816:1 gene:fgenesh2_kg.4__1736__AT2G36950.1 transcript:fgenesh2_kg.4__1736__AT2G36950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVQEGAKVEQEKKPAATVVPVETTDGKPKSGGGDSAAAAAPAAAAFVYKVDLHCEGCAKKIKRMVKHFDGVKDVTADTGGNKLMVVGKIDPVQLREKLEEKTKRKVVLTNPPPPSPPKVEGPVAAAVGEKKADGGDKAAGPPPPTPAAPKESLVPLKIRLHCEGCILKIKKIILKIKGVETVAIDGAKDVVTVKGTMDVKELVPLLTKKLKRTVEPLVPAKKDDGAAEKKKTEAAAPDAKKEAPATGVNEAKKEGSDGGEKKKEAGDGGEKKKEAGDGGEKKKETGDGGEKKEGGGGGGVPAPVAMVNKMDYYGYSSYPTAPMYWQEGHVYGQSYSMGGQSYPVAGQSYPGSGYNYASESYVPYSQPNVNAPGMFSDENPNGCSVM >fgenesh2_kg.4__173__AT2G22530.1 pep chromosome:v.1.0:4:1029509:1034017:-1 gene:fgenesh2_kg.4__173__AT2G22530.1 transcript:fgenesh2_kg.4__173__AT2G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase [Source:UniProtKB/TrEMBL;Acc:D7LE17] MTTAMTCTRLTIFTVAGIFLQIIGLSIFVFGFFPVKPTLSGVSGSESYRDPFCDSSPISNESELHHPEKLKLLYQVIDGLPAEFVLGKDGKPPWKVWKESMPYTQSLLANGDAIGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQALLDDNLLGQFFRIGWKMVMLGDETWLKLFPGLFMRHDGVSSFFVKDTVQVDRNVSRHLPDELNSDDWNLLILHYLGLDHVGHTGGRNSPLMPAKLKEMDDIVRTMHLRALMDRSHDQGQTLLIIVSDHGMTENGNHGGSSYEETDSLMLFIGLNSNISDYASATNNVAFQVDLAPTLALLFGVPIPKNNVGVLVPGTLSSLRDFEQLRALELNSWQLLRLMQAQIQNSSFPGFSCNCFLDGTCEGLELDISECSGDKEKQLICLFRNAAVLHGIWKSKKSTESSSAMEDFSRALDAYNTFLKTASEWLASKTTEKPVLLLGLGVSAMLISCFICATVFLSLFKDVYHEPKDQVCSLSFLLNLEEMFIFALLLILVISMGSSSMVEEEHYIWHFMVSTFYLLLLFKTSKSFNFSEGMNILRDFKFGSIFSLLISGRLLRGWHQGGVNWTYLPDISKWLQQAGSGYVKWIQLISNFLVIVLGLYTLFRTESNRKSVRILAFGFSACGFLTLLHAGRYQDEMSTDFGATVTVKVIYYLLSISAIGASLVLPWSALNKDKSFLAEVGDCLYSIGSAYILCWCLLQLLLQQPINSGPILLLLLQILAILCLSSNDLQVNEWVEIAALYYMGMAGHFALGNSNTLATIDVAGAFIGISSHSTILSGILMFMITYASPMLFLLSLVMYIGAKLRNHSHSTISTHPETSLGQILKLKLGFPCLVPLCINSILLTAYTVVLLLMRNHLFVWSVFSPKYLYVCATTLCTYIGVFIVAATVTYACSVTTFLKSNRTRHNNS >fgenesh2_kg.4__1740__AT2G36980.1 pep chromosome:v.1.0:4:17630692:17632566:1 gene:fgenesh2_kg.4__1740__AT2G36980.1 transcript:fgenesh2_kg.4__1740__AT2G36980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LJA1] MSVLVRLTSKIASLAKSGRITSARQMFDEMTDRDTVAWNTMLTSYSHLGLHQEAIALFTQLRFSDSKPDDYSFTAILSTCGSLGNVRLGRKIQSLVIRSGFCASSPVNNSLIDMYGKCSDTLSANKVFRDMCCHSRNEVTWCSLLFAYMNAEQFEAALDVFVEMPKRVPFAWNIMISGHAQCGKIESCLRLFKEMLESEFEPDCFTFSSLMNACADSSNVVYGWMVHAVMVRNGWYSAVEAKNSVLSFYAKLGCKDDVMRELESIEVLTQVSWNSIIDACVKVGETDKALEVFRLAPEKNIVTWTTMIAGYGRNGDGEQALRFFVEMMKSGVDSDHFAYGAVLHACSGLALLGHGKMIHGCLIHCGFQGYAYVGNALVNLYAKCGDIKESNRAFGDIANKDLVSWNTMLFAFGVHGLADQALELYDNMIASGIKPDNVTFIGLLTTCSHSGLVEKGCAIFESMVKDYGIPLEVDHVTCMIDMFGRGGHLAEAKDLATTYNSLVINASNNSSWEALLGACSTHWHTELGREVSKVLKIAEPSEELSFVLLSNLYCSSGRWKEAEEVRREMVERGMRKTPGCSWIEVGNRVSTFVVGGSSHPRLEELSETLNCLQHEMRNPETFGP >fgenesh2_kg.4__1741__AT2G36985.1 pep chromosome:v.1.0:4:17635052:17635372:-1 gene:fgenesh2_kg.4__1741__AT2G36985.1 transcript:fgenesh2_kg.4__1741__AT2G36985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEEKGTCEPCKTFGQKCSHVVKKQRAKFYIVRRCIAMLVCWHDHNHDRKDS >fgenesh2_kg.4__1742__AT2G36990.1 pep chromosome:v.1.0:4:17637902:17640788:-1 gene:fgenesh2_kg.4__1742__AT2G36990.1 transcript:fgenesh2_kg.4__1742__AT2G36990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor [Source:UniProtKB/TrEMBL;Acc:D7LJA3] MEATRNLVSSSPSFQTKTHLKSYSSPSSVVMLHEQTTTPVVNSRHLNSLSRHFPASVLSQEPREESRPLSHALREDRTSQLTLERRQFDELVSSREDEKFEQQLLHSAGLWNLLISPLTAETKLPPVVPPLADAELCDIVALAQKALSASKQAALLADDTEANPSDNTRGSLSTSSSMSLPEKGAIVRSKRQLERRAKNRRAPKSNDVDNEGYVPQKINAKKKFKQGVDTDDALQLFLWGPETKQLLTAKEEAELISHIQHLLKLEKVKTKLESQNGCEPTIGEWAEAMGISSPDLKSEIHRGRSSREKLITANLRLVVHIAKQYQNRGLNFQDLLQEGSMGLMKSVEKFKPQSGCRFATYAYWWIRQSIRKSIFQNSRTIRLPENVYMLLGKVSEARKTCVQEGNYRPSKEQLAGHVGVSTEKLDKLLYNTRTPLSMQQPIWSDQDTTFQEITPDSGIETPTMSVGKQMMRNHVRNLLNVLSPKERRIIKLRFGIDGGKQRSLSEIGEIYGLSKERVRQLESRALYRLKQHMNSHGLHAYADLLV >fgenesh2_kg.4__1743__AT2G37000.1 pep chromosome:v.1.0:4:17640952:17641705:1 gene:fgenesh2_kg.4__1743__AT2G37000.1 transcript:fgenesh2_kg.4__1743__AT2G37000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQKLCTNESNLNAIASKSRFQTQIGVSKSSFGGCGRISARSKDRHTKVEGRSRRVMMPALVAARIFQLTRELGHKTDGETIEWLLSQAEPSIIAATGSGTKPISNSVGVAAVDSSSPMMFAMMQTQTQESPSCTLDLCQPIGTQYPVNGYSHMPFTAMLLQPMTTAADSDVEIAAEEEPHHQ >fgenesh2_kg.4__1744__AT2G37010.1 pep chromosome:v.1.0:4:17642354:17646926:1 gene:fgenesh2_kg.4__1744__AT2G37010.1 transcript:fgenesh2_kg.4__1744__AT2G37010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATNAP12 [Source:UniProtKB/TrEMBL;Acc:D7LJA5] MRFRVDVCWTQHILLFFVFALSLMALALCLDGDDYSKTGNPKVLISVTNLIYTRLQNLKNVLKADVDRDLGYCIKNLKGDWNEAFNFDKNLDFLSNCVKKNDGDLTVRLCTAAEIKFYFSSFVRRDEATTVHVKPNINCNLAKWVSGCEPGWSCNADDEKRFDLNNGKILPSRTRKCQPCCEGFFCPQGLACMIPCPLGAYCPLAKLNKTTGFCEPYNYQIPPGKLNHTCGSADSWVDAESSGDMFCSPGITADKVLLHKNLATCNPNTANQNIHAYGAILIASLSLLMIMVYNCSDQVLATREKRQAKSREAAARHAKETTQARERWKTAKGVAKNQKMGLSAQLSQTFSRMKSARKDATPAKASGKSKDKKKEPSNLTKMMKSMEENPSNNEGFNVGTGSKPGKKPQAPKGKQLHTQSQIFKYAYGQIEKEKAMEQNNKNLTFSGVISMATDTEMRTRPVIEVAFKDLTLTLKGKHKHILRSVTGKIMPGRVSAVMGPSGAGKTTFLSALAGKATGCTRTGLILINGRNDSINSYKKITGFVPQDDVVHGNLTVEENLRFSARCRLSAYMSKADKVLIIERVIESLGLQHVRDSLVGTIEKRGISGGQRKRVNVGVEMVMEPSLLILDEPTTGLDSASSQLLLRALRREALEGVNICMVVHQPSYTMYKMFDDMIILAKGGLTVYHGSVKKIEEYFADIGITVPDRVNPPDHYIDILEGIVKPDGDITIEQLPVRWMLHNGYPVPHDMLKFCDGLPSSSTGSAQEDSTHNSFSNDLWQDVKTNVEITKDQLQHNYSNSHDNSNRVTPTVGRQYRYFVGRVGKQRLREARLQALDFLILLVAGACLGTLAKVNDETIDTLGYTYTIIAVSLLCKISALRSFSVDKLQYWRESAAGISSLAHFMAKDTMDHLNTIMKPLVYLSMFYFFNNPRSSFEDNYIVLVCLVYCVTGMAYIFAILYSPSAAQLLSVLVPVVMTLIANQDKESMVLKYLGSFCYPKWTLEAFVLSNAQRYSGVWVVTRCSSLAQNGYDLSDWILCLIVLILMGLICRFIAYFCMVTFQKK >fgenesh2_kg.4__1746__AT2G37025.1 pep chromosome:v.1.0:4:17690702:17693874:1 gene:fgenesh2_kg.4__1746__AT2G37025.1 transcript:fgenesh2_kg.4__1746__AT2G37025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFGMDTTPEFPDTFAGFKSGSVDEILGHSMEDKMSDMKHFLMDPNHDNTAVNSFLGLGMENMGLSTKIEEFSHGFDFSFLPDYGGLNSYSTKDVEAGLKFDILDGFLDGVDEVEDIYASHDLSSIGNHFLPETEVKKKVSELDGDPYGLVNLSSESFSPGISGSIGLSEWSKETVPHAESQNVSSEKFKDSLDSNGSEDDKKPLSTFIGSWVKRGKNRKKNLLNTACRRLDSSRERMSCVSYDFRPRKGPMKKYIHTSTENTFSQSYTPILTRSDRRKNQRMWTVDEVMKLVDGISHFGVGKWTDIKNHFFHSAAHRTPVDIRDKWRNLLKASYNDREAEEKRKSVARSIPKEILHRVRELASLHPYPFSKSSCFVHDSSRSRSTSRKKKKRS >fgenesh2_kg.4__1748__AT2G37030.1 pep chromosome:v.1.0:4:17695563:17695937:1 gene:fgenesh2_kg.4__1748__AT2G37030.1 transcript:fgenesh2_kg.4__1748__AT2G37030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7LJB4] MKSKFIKSCEKKLKKMTTKVIIPCASCEACYDRICWAFKKEAEVIPRDVPKGHLVVYVGEEYKRFVIKINLLKHPLFQALLDQAQDAYGFSADSRLWIPCNESTFLDVVRCAGAPQHQNNCICI >fgenesh2_kg.4__1749__AT2G37035.1 pep chromosome:v.1.0:4:17696816:17698581:-1 gene:fgenesh2_kg.4__1749__AT2G37035.1 transcript:fgenesh2_kg.4__1749__AT2G37035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHHHHHHHARLSRLRTTTQLLRQTTASFSSHPLTFIFLTFLLFSFHSLVDHCSLLLTSFVDSDPSLRSLLSRLPLYSHSHTPTRFHHHRRAPFLQLTRLGTLDDDFFSTDENDPHRRSLQGSSFRSPINATTVFLSGFESISGLSRPIADNGLLLPQIIRSGVVLRQLEKDDLGGDEEDMKLDESELDRETEKKDKEFESFVDLKMFFKGLELGRHDAAALFFLVSFLSAAYGWVILGFTTVYSLVLAIMFVTVINDLLGRFPSFLGVVWSGSRLGFKRVTGFVLMRWAVRDALTQLLGLWYFGEVEDQFSFFRLFVRLKLMPFTVMPPWIRGFEKEISGFLFAWFLLDTLVGLILAVDAFVAIVDSRRRGREIVKEGKSFASVIQSALEVYFMAAWLVFYLAAKCKDAHADGRRFGRREMENLIDGLR >fgenesh2_kg.4__174__AT2G22540.1 pep chromosome:v.1.0:4:1038043:1043496:1 gene:fgenesh2_kg.4__174__AT2G22540.1 transcript:fgenesh2_kg.4__174__AT2G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short vegetative phase protein [Source:UniProtKB/TrEMBL;Acc:D7LE18] MAREKIQIRKIDNATARQVTFSKRRRGLFKKAEELSVLCDADVALIIFSSTGKLFEFCSSSMKEVLERHNLQSKNLEKLDQPSLELQLVENSDHARMSKEIADKSHRLRQMRGEELQGLDIEGLQQLEKALETGLTRVIETKSDKIMNEISELQKKGMQLMDENKRLRQQGTQLTEENERLGMQICNNVHEYGGIAESENAAVYEEGHSSESITNAGNSTGAPVDSECSDTSLRLGLPYGG >fgenesh2_kg.4__1750__AT2G37040.1 pep chromosome:v.1.0:4:17700573:17703510:-1 gene:fgenesh2_kg.4__1750__AT2G37040.1 transcript:fgenesh2_kg.4__1750__AT2G37040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:D7LJB6] MEINGEHKANGGSIDAMLCGGDIKTKNMVINAEDPLNWGAAAEQMKGSHLDEVKRMVVEFRKPVVNLGGETLTIGQVAAISTIGNGVKVELSETARAGVNASSDWVMESMNKGTDSYGVTTGFGATSHRRTKNGVALQKELIRFLNAGIFGSTKETSHTLPHSATRAAMLVRINTLLQGFSGIRFEILEAITSFLNNNITPSLPLRGTITASGDLVPLSYIAGILTGRPNSKATGPNGEALTAEEAFKLAGISSGFFDLQPKEGLALVNGTAVGSGMASMVLFETNVLSVLAEILSAVFAEVMSGKPEFTDHLTHRLKHHPGQIEAAAIMEHILDGSSYMKLAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRYATKSIEREINSVNDNPLIDVSRNKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLTASRNPSLDYGFKGAEIAMASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISSRKTSEAVDILKLMSTTFLVAICQAVDLRHLEENLKQTVKNTVSQVAKKVLTTGVNGELHPSRFCEKDLLKVVDREQVYTYADDPCSATYPLIQKLRQVIVDHALINGESEKNAVTSIFHKIGAFEEELKAVLPKEVEAARAAYDNGTSAIPNRIKECRSYPLYRFVREELGTELLTGEKVTSPGEEFDKVFTAICEGKIIDPMMECLNEWNGAPIPIC >fgenesh2_kg.4__1759__AT2G37100.1 pep chromosome:v.1.0:4:17747037:17748050:1 gene:fgenesh2_kg.4__1759__AT2G37100.1 transcript:fgenesh2_kg.4__1759__AT2G37100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSSSRPVSSPGRTENPPLLMRFLRTKSRSRTRSRSRRPIFFRRKNAAAAAETQQEPTSPKVTCMGQVRINRSKKPKPGSARVSGGATESRRRSRRCGWVWVKNAFSCQSFTGKIKPTCFSPVWRKWKSFSHASFSRKSEKRSSSSRSEPIFGRSTVEPEEPEETRKEENQEEEASSCKSFTATPPRNAFLLTRCRSAPYRSPSLANSFFEDQEELKEAPFRRHASSENVSVSEEPKTSVTETTAAASEEPKRSVLGSPRQCLVLTRCNSEPARIGEKLVPDMGYRQNPRLGFT >fgenesh2_kg.4__175__AT2G22560.1 pep chromosome:v.1.0:4:1047708:1050660:1 gene:fgenesh2_kg.4__175__AT2G22560.1 transcript:fgenesh2_kg.4__175__AT2G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLEQNLQDIEEKVQYVLKLLQEDGDSFAKRAEMYYKKRPELISFVEESYRAYRALAERYDHISTELQNANTTIASVFPDQVPNFAMDDDDDVSRFAKRSNISGANVPNVPKLPVKDLKSAVKVATKKLQPRKSMKYTGGSTNVVVKSSGLSKPEAMGEIDKLQKEILALQTEKEFVKSSYEIGLSKYWEFEKGIKEKQERICGLQDEFGESVAIEDDEARRLMTETAIKSCQEKLVELQEKQEKSYEEAREEHVKIKESKEKLRSMASQFLGDESVFAKDDGDEVRRTEELEHEIKEMSRKKKELESVKEKIREHFESGANSSLNATDMAEKVDELVNKVISLESAVSSQTALIQRLRNETNGLQTQISTLETDKALLADDKSDLRNKLREMEEKLKALQDLDRNVLDKSSNLQTHFDDACHNLDNLSGGNLHEVKPESESGNLAMNLESQKDLEGEKRTLDISEETKEHQKEMGEEKKEAPEKSVKFEQTHNATIEAEDIIIPSTNPETVSESTEKVDSDLEKQGASDNTDSVLDNVLEKQGASDTTDSVPNNVVEKESDITFNSEEQEDRKEKESEPDWKEMFMKGMENREKHLLTEYTAILRNYKDMKKTLDETKTKMKTENATKDDEIKLLREKMSLLQKGLGDSNDLMENQLSNDDYSIGFMAAENQNMSLVEEQFRLNIDELLEENLDFWLRFSTAFGQIQSYDTSIEDLQAEISKLEQRRKQDGSSTAKYALRSDVRPLYVHLREINTDLGLWLEKGASLKEELKSRFESLCNIQDEITKALKSSAEDDDFRFTSYQAAKFQGEVLNMKQENNKVADELQAGLDHITTLQLEVDKTLGKLIEEFALSGSKNKSDLDLQHSDSRSRVPLRSFIFGSKQKRAKPSIFSCMHPSLYRKMKTST >fgenesh2_kg.4__1764__AT2G37150.1 pep chromosome:v.1.0:4:17765107:17770045:-1 gene:fgenesh2_kg.4__1764__AT2G37150.1 transcript:fgenesh2_kg.4__1764__AT2G37150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LJP7] MGHRNFLGSSQFFDDEHDQGWNHTHPEHPYTSLARSGTSENRSHVYPAENMLNEGMPVSSHWNSSPGTNAYTASGHSVERPHYNPGASGPSHDPFVNSTVPTFSAPNEDYLTFASSSNCNSQAWTNASYVDQSMENVRGAQKRKRPCPSSIYEMGSSSQYHRDRTPTDTHFPSEFHLGKSITHDHDPHYMPWLMNPTYSSNNLSIRGESSSRNVRSRSTLDLETSLGRNNLPRSLSLDSHSTHRHSVDHSGSGQFAGQTSHGNKDWNCARLSSVPRDTNGFSSETNNFLPARSVVNSLSVDTSGYHHGLTGNRNHTVSHGFPGTSAQSTSSSRFSHHRSTPTYRTSSQGSRLGHVASSSGDRSHLVTETYPSRHLRPPPHISWRSGDRPGRRRSSYERFQPPFDEVSLHERFSSEGFMVVDRQPHYYESRNMLDHHRDMRLDIDNMSYEELLALGERIGSVNTGLSDSAISSCLLATTYYPAYQKEDQRKCAICLEEYKEKEELGEVKGCGHDYHGRCIKKWLSMKNSCPICKSPALPDASKNSS >fgenesh2_kg.4__1765__AT2G37160.1 pep chromosome:v.1.0:4:17770408:17774463:1 gene:fgenesh2_kg.4__1765__AT2G37160.1 transcript:fgenesh2_kg.4__1765__AT2G37160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide binding protein [Source:UniProtKB/TrEMBL;Acc:D7LJP8] MMNSSNGMISAPSSSSANPQSPGIKTYFKTPEGKYKLHYEKTHPSGLLHYTHGKTVSQVTLAHLKDKPAPSTPTGTSSSYTASSGFRSATARLLGGGNGNRALSFVGSNGGTKNVGASSRIGASFPASSSSTSATNTNFDGRGTYLVFNVGDAIFISDLNSQDKDPVKSIHISNSNPMCHAFDPDAKDGHDLLIGLNSGDVYTVSLRQQLQDVGKKLVSAQHYNKDGSVNNSRCTSIAWVPGGDGSFVAAHADGNLYVYEKNKEGATDSSFSAIRDPTQFSVDKAKYSKSNPVARWHIGQGAINCIAFSNDGAYLATVGRDGYLRIFDFSTQKLVCGVKSYYGALLCCAWSMDGKYLLTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDSYWSSPNTEGSGENVMYRFGSVGQDTQLLLWDLEMDEIVVPLRRPPGGSPTYSTGSQSAHWDNIVPMGTLQPALCMRDVPKLSPVVAHRVHTEPLSGLIFTQESLITACREGHLKIWTRPDTQSSSSEATNPTTSKPLLTSKVGSSS >fgenesh2_kg.4__1767__AT2G37170.1 pep chromosome:v.1.0:4:17775096:17776402:-1 gene:fgenesh2_kg.4__1767__AT2G37170.1 transcript:fgenesh2_kg.4__1767__AT2G37170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEGPEGFQTRDYEDPPPTPFFDAEELTKWSLYRAVIAEFVATLLFLYVTVLTVIGYKIQSDKTAGGVDCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVLYMVAQCLGAICGVGFVKAFQSSYYVRYGGGANSLADGYNTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIFNESKPWDDHWIFWVGPFIGAAIAAFYHQFVLRASGSKSLGSFRSAANV >fgenesh2_kg.4__1773__AT2G37210.1 pep chromosome:v.1.0:4:17786227:17789213:-1 gene:fgenesh2_kg.4__1773__AT2G37210.1 transcript:fgenesh2_kg.4__1773__AT2G37210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:D7LJQ4] MEIKGETMQKSKFRRICVFCGSSQGKKSSYQDAAVDLGNELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGIIPKTLMPRELTGETVGEVRAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPNAREIIVSSPTAKELVKKLEEYAPCHESVATKLCWEMERIGYSSEE >fgenesh2_kg.4__1774__AT2G37220.1 pep chromosome:v.1.0:4:17800576:17802900:-1 gene:fgenesh2_kg.4__1774__AT2G37220.1 transcript:fgenesh2_kg.4__1774__AT2G37220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASSLALSSFNPKSLPFCVSRPASVSLLPPSLSFKLNSDSVSFSIAAKWNSPASRFVRNVAITSEFEVEEDGFADDAAPQQQSFSADLKLFVGNLPFNVDSAQLAQLFESAGNVEMVEVIYDKVTGRSRGFGFVTMSSVSEVEAAANQFNGYELDGRPLRVNAGPPPPKREDGFSRGPRSSFGSSGSGYGGGGGSGAGSGNRVYVGNLSWGVDDMALESLFAEQGKVVEARVIYDRDSGRSKGFGFVTYNSSQEVQNAINSLNGADLDGRQIRVSEAEARPPRRQF >fgenesh2_kg.4__1776__AT2G37240.1 pep chromosome:v.1.0:4:17808603:17810398:-1 gene:fgenesh2_kg.4__1776__AT2G37240.1 transcript:fgenesh2_kg.4__1776__AT2G37240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSSSSTITPITLQPNLKTIHGLGTVSPFYSIKSRFRSVSLRRSAVVVSAITGGASGTGIGKDTADLLDTVKILDLRGNEIPISDLWKDRKAVVAFARHFGCVLCRKRAAYLAEKKDVMDASGVTLVLIGPGSIDQANTFMEQTKFKGEVYADPNHASYEALEFVSGVTVTFTPKAAMKILESYMEGYRQDWKLSFMKDTVERGGWQQGGILVAGPGKDNISYIRKDKEAGDDPPVEEILKACCA >fgenesh2_kg.4__1777__AT2G37250.1 pep chromosome:v.1.0:4:17810553:17812471:1 gene:fgenesh2_kg.4__1777__AT2G37250.1 transcript:fgenesh2_kg.4__1777__AT2G37250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADK/ATPADK1 [Source:UniProtKB/TrEMBL;Acc:D7LJQ9] MARIVRVARSSSLFGFGNRFYSTSAEASHASSPSPFLHGGGARRDVAKDRNVQWVFLGCPGVGKGTYASRLSTLLGVPHIATGDLVREELASSGPLSQKLSEIVNQGKLVSDEIIVDLLSKRLEAGEAKGESGFILDGFPRTMRQAEILGDVTDIDLVVNLKLPEEVLVDKCLGRRTCSQCGKGFNIAHINLKGENGKPGISMDPLLPPPHCMSKLITRADDTEEVVKARLRIYNETSQPLEEYYRSKGKLMEFDLPGGIPESWPRLLEALRLDDYEEKQSAVA >fgenesh2_kg.4__1778__AT2G37260.1 pep chromosome:v.1.0:4:17813521:17815687:1 gene:fgenesh2_kg.4__1778__AT2G37260.1 transcript:fgenesh2_kg.4__1778__AT2G37260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDGERVVIAKPVASRPSSSPSFRTFTELLTDSVTVSPQSNCHAIVDAAIRPKTLRFNQPVAASVSCPRAEGNGIGNEKSCDDSNSRNYVVYKPKAKLVSKATVSALANMLQGNRQQTWRQTEALSYGKSVSQGTHRADPNLVQKVPSFTESETSIGDRSSVDGYNWRKYGQKQVKGSECPRSYYKCTHPKCPVKKKVERSVEGQVSEIVYQGEHNHSKPSCPLPRRASSSISSGFQKPPKGIASEGSMGQDSNNNLYCPVWNNQGNDSTKNRTEKMNEGCVITPFEFAVPRSTNSNPGTSDSGKSSQCDEGELDDQSRSKRRKNEKQSSEAGVSQGSVESDSLEDGFRWRKYGQKVVGGNAYPRSYYRCTSANCRARKHVERASDDPRAFITTYEGKHNHHLLLSPPTSSTLPFNSPQLSNSPQLSKQTI >fgenesh2_kg.4__1779__AT2G37270.2 pep chromosome:v.1.0:4:17816557:17818060:-1 gene:fgenesh2_kg.4__1779__AT2G37270.2 transcript:fgenesh2_kg.4__1779__AT2G37270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRPS5B [Source:UniProtKB/TrEMBL;Acc:D7LJR1] MATSVEIDAEIQQQLTNEVKLFNRWSFDDVSATHISLVDYIGVQPAKHATFVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLSDLNPIQVIIDAIVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIFLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >fgenesh2_kg.4__1781__AT2G37290.1 pep chromosome:v.1.0:4:17827730:17832557:-1 gene:fgenesh2_kg.4__1781__AT2G37290.1 transcript:fgenesh2_kg.4__1781__AT2G37290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RabGAP/TBC domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LJR3] MLLSLVSKRSLEVDSRDAYGFALRPQHVQRYQEYLSIYTEEETERAEKWKNFLDRQENRAAEPCSSEEEFQDSFQADGLESGEESDSEEGSRNGKHEDCELSGTRVLQHLEQDRTETAGDVSKEKEAAEEAQVLDEHQYLREKSLRRDTEPVKDEDEEKFESDKDKESSVESESESDKEQQSQAVKEPVDHVHLVAEEDKCESGHDKAEKETKARSVIEWAHIRPCLGSIEDMMCARVKNVKYMKNNQKTIVGDHISPRKESLPSIEESEQNSGENDRDSETSTSRSHSMKEEQGSVSPEPFFPWYEELEVLVRLGVPKDLRGEVWQAFVGVKARRVERYYQDLLAQITNSDESSSDVQRKWKKQIEKDIPRTFPGHPALNENGRDSLRRILLAYACHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYYTEEMIESQVDQLVFEELMRERFPKLVNHLDYLGVQVAWISGPWFLSIFVNIIPWECVLRMWDVLLFEGNRVVLFRTAFAIMELYGPAIVATKDAGDAITSLQSLASSTFDSSQLVLTACMGYISTNEARLEELRKIHRPAVLEIVEERIQKGRVWKDKKGLASKLYSFKHEGSILDHEQKSTQRNDGEDPDDDDESCSPSLNLDGANVDSEVDSLPDLQEQVVWMKVELCRLLEEKRSAVMRAEELEIALMEMVKEDNRLELSARIEQLEREVRELKQVLSDKKEQETAMLQVLMKVEQDQKLTEDARISAEQDAAAQRYEVHVLQEKNEKLVTQLAQMEKKLVTAETTLEATLQYESGQNKALSSSPRFTRTTQESPKKKTGFLSFGLGWRDRNKAKQTDESNVDNTSNASSESKSPSKESKSEDLLNPETRR >fgenesh2_kg.4__1782__AT2G37300.1 pep chromosome:v.1.0:4:17833492:17834224:-1 gene:fgenesh2_kg.4__1782__AT2G37300.1 transcript:fgenesh2_kg.4__1782__AT2G37300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELERNLKEEIRLREKAEKRLKFLMKKLESIKGSRSSEGSNSSEVSCISSVCTSASKEEEEETHENGAVDEEKTDHATGNVASMEEADSKSKLKDVASTSSHEEESQAGNEFSWYSDAT >fgenesh2_kg.4__1784__AT2G37320.1 pep chromosome:v.1.0:4:17837961:17839535:1 gene:fgenesh2_kg.4__1784__AT2G37320.1 transcript:fgenesh2_kg.4__1784__AT2G37320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LJR6] MSCLSNYYCRAVRYIQSRSCYSRSLNRDIANESSEVERRARSLRVLDIISSKSGGVSNRQNHFGFVQEFLQTDSRQFRGQAISEDFDLSRTKNGVSSVLEEVMLEDSSSSVKRDGWSFDAYGLSSAVRSCGSNRDFRTGSGFHCLALKGGFISDVYLGSSLVVLYRDSGEVENAHKVFAEMPDNNVVSWTAMISGFAQEWRVDICMKLYSEMRNSTSDPNDYTFTALLSACTGSGALGQGRSVHCQTLQMGLKSYLHISNSLISMYCKCGDLKDAFRIFDQFSNKDVVSWNSMIAGYAQYGLATQAIELFELMMPKSGIKPDAITYLGLLSSCRHAGLVIEGRKFFNLMAERGLKPELNHYSCLVDLLGRFGLLQEALELIENMPMKPNSVIWGSLLFSCRVHGDVWMGIRAAEERLILEPECAATHVQLANLYASVGYWKEAATVRKLMKDKGLRTNPGCSWIEIDNNIFMFKAEDGSNCRMLEIVHVLHCLIDHMEFL >fgenesh2_kg.4__1789__AT2G37360.1 pep chromosome:v.1.0:4:17844978:17847397:-1 gene:fgenesh2_kg.4__1789__AT2G37360.1 transcript:fgenesh2_kg.4__1789__AT2G37360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7LJR8] MSGLGKLSPAKRVDGNNDLPLFYVNTMSMEPQRRPRDTPRVSVTFAEHLMNVEDARNDESASSRALGIASPITSSASSFNSWTSAPASSISSSPFVLSFNDLTYSVKIQKKFNPLACCRKSRNGSSVNTKILLNGISGEAHEGEMIGGSWSKWANRIAKDSLRGSITLNGEVLESRLQKVISAYVMQDDLLFSMLTVEETLMFSAEFRLPRSLSKKKKKARVQALIDQLGLRSAAKTVIGDEGHRGVSGGERRRVSIGNDIIHDPIILFLDEPTSGLDSTSAYMVIKVLQRIAQSGSIVIMSIHQPSYRIMGLLDQLIFLSRGNTVYSGSPTHLPQFFSEFEHPIPENENKTEFALDLIRELENSAEGTKPLVEFHKKWRAKQAQSPSYSNGNKKNNNASLKEAITASISRGKLVSGATNNSSNLTPSFQTFANPFWIEMIVIGKRAILNSMRQPELLGMRLGAVMVTGIILATMFTNLDNSPKGAQERLGFFAFAMSTTFYTCAEAIPVFLEERYIFMRETAYNAYRRSSYVLSQSIISIPALIFLSASFAATTFWAVGLSGGTSGFLFFFLTILASFWAGSSFVTFLSGVVANVMLGFTIVVAILAYFLLFSGFFISRDRIPVYWLWFHYISLVKYPYEGVLQNEFEDPTKCFVRGVQIFDNSPLGEFPNDVKLNLLKSMSGVLGTNVTAETCVTTGIDILKQQGITDLSKWNCLWITVAWGFFFRVLFYFTLLIGSKNKRR >fgenesh2_kg.4__178__AT2G22590.1 pep chromosome:v.1.0:4:1054211:1056024:1 gene:fgenesh2_kg.4__178__AT2G22590.1 transcript:fgenesh2_kg.4__178__AT2G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g22590/T9I22.3 [Source:UniProtKB/TrEMBL;Acc:D7LE22] MTNFKYNNGDETKLHVVMSPWLAFGHMVPYLELSKLIAQKGHRALNFRKDLVASKSFGPALSTPRNIDRLLPRLPENLSSIINFVKLPLPVGDNKLPEDGEATTDVPFELIPYLKIAFNGLKVPVTEFLESSKPDWVLQDFAAFWLPPISPLSTARRSGFLNRRDSKSTPPKWVPFETPVAFKLFECRYIFRGFMAETTEGNISDIHRAGGYEAEWLGLMQDLHRKPVIPVGVLPPKPEEKFEDTDTWLTVKKWLDLRKSKSVVYVAFGSEAKPSQTELNEIALGLELSGLPFFWVLKNRRGPWDTEPVELLEGFEERTADRGMVWRGWVEQLRTLSHDSIGLVLTHPGWGTIIEAVRFAKPMAMLVFVYDQGLNARVIEEKKIGYMIPRDETEGFFTKESVAKSLRLVMEEDEGEVYRENVKEMKGVFGDMDRQDHYVDSFLDYLVANR >fgenesh2_kg.4__1791__AT2G37380.1 pep chromosome:v.1.0:4:17876090:17877306:1 gene:fgenesh2_kg.4__1791__AT2G37380.1 transcript:fgenesh2_kg.4__1791__AT2G37380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LJS1] MDLHESESRTHVLSNDGDDGYIDLEVNLSSSSSSSSSFFSFPVTSSPPQSREFEFQMCSSAVASGESTTSPADELFYKGQLLPLHLPPRLKMVQKLLLASSSTAATETPISPRATVSSPRRFSSSEIGQDEQCFFEISTELKRFIESSENHLGNSWSKKIKHSSITQKLKASRAYIKALFSKQTCSDSSEINLRFKIEPCKVSRKKNPFINSEKPQLFHRRSFSGVIQRHSQAKCSTSSSSSSSASSLSSSFSFGSNGSLDLQTLMRSSNASDNSIEGAIEHCKQSFTSRKSNVTESELCSSRTSVSTCGDL >fgenesh2_kg.4__1792__AT2G37400.1 pep chromosome:v.1.0:4:17900187:17901414:-1 gene:fgenesh2_kg.4__1792__AT2G37400.1 transcript:fgenesh2_kg.4__1792__AT2G37400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGKLHLHQQPNHLSFTHFSSSFPKTPSSFSLRSLPKSTSSLKCVSIKASSSKSQDSRLSFLKSTCVTFTAAAALFLVNLQLKPSPAIAAPVAATPSVESLKQSNNGDVSLEEEERSLEDHLASHPEDVEALRSLMEVRIKSRKLIEAIELIDRLIELEPEEKEWPILKANIFSYSGDLESAKTGFEEILVKDPLRVDAYHGLVMAYSDSGADLNAVEERIEEAMVRCKKEKNRKDLRDFKLLVAQIRVIEGKHNEALKLYEELVKEEPRDFRPYLCQGIIYTVLKKQDEAEKQFEKFRRLVPKNHPYREYFMDNMVATKLFAEKAQREMAG >fgenesh2_kg.4__1793__AT2G37410.1 pep chromosome:v.1.0:4:17901932:17903417:-1 gene:fgenesh2_kg.4__1793__AT2G37410.1 transcript:fgenesh2_kg.4__1793__AT2G37410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFIKGTYNSPKGSRFVGGTQAVSMNAPRLGGSFAVWGGLFSTFDCSMVYLGRRRIRGTLSSLGVGAASRSAAFGGVLLALIEGAGIMLNKLLAQPQNMMMEDPGMQGMPGMPGMPGMQGMQGIQGMQGMQMGQMQNQAQMMSESQNQSTASSSSWFGGLFGKKNEEVKPGSGSKTEVLESFDAPPVPSFEYK >fgenesh2_kg.4__1795__AT2G37420.1 pep chromosome:v.1.0:4:17906440:17911597:1 gene:fgenesh2_kg.4__1795__AT2G37420.1 transcript:fgenesh2_kg.4__1795__AT2G37420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTPEVVSRKSGVGVVPSPAPFLTPRLERRRPDSFSNRLDRDNKEVNVQVILRCRPLTEEEQKSNVPRVISCNEMRREVNVLHSVANKQVDRVFNFDKVFGPKSQQRSIYDQAISPIVHEVLEGFSCTVFAYGQTGTGKTYTMEGGMRKKGGDLPAEAGVIPRAVRHIFDTLEAQNADYSMKVTFLELYNEEVTDLLAQDDSSRYSEDKQKKPISLMEDGKGSVVLRGLEEEVVYSANDIYALLERGSSKRRTADTLLNKRSSRSHSVFTITVHIKEESMGDEELIKCGKLNLVDLAGSENILRSGARDGRAREAGEINKSLLTLGRVINALVEHSSHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSAHSLEETLSTLDYAYRAKNIKNKPEANQKLSKAVLLKDLYLELERMKEDVRAARDKNGVYIAHERYTQEEAEKKARIEKIEQLENELNLSESEVSKFCDLYETEKEKLLDVESDLKDCKRNLDNSNKELLDLKVNYIQVTSKLKEREFIISRMKASETTLIDRAKGLSSDLQHASNDINSLFTRLDQKDKLESENQSMLLKFGSQLDQNLKDLHRTVLGSVSQQQQQLRTMEEHTHSFLAHKYDATRDLESRIGKTADTYTSGIAALKELSEMLQKNASSDLEKMNTSIVSQIKAVEKFLTTSATEASAVAQDIHNSLNEQKKLLAVAARQQEQGLVRSMRSAQEISNATSTMFSNIYNQAHGVVEAIRGSQAEKARQLDAFEMKFKEEAEREEKQAINDISLILSKLTSKKTAMISDASSNIRENDRQEEKRLYEQMSGMQQVSIGAKEELCDYLKKAKTHFTENTIASAESITVMDSYLEDCLGRANDSKKLWETTERGIKNLNTKYQQELNVTMEDMAKENEKVQDEFASTFSSMDAKFVSRTNELYAAVNDSLMQDRENKETTEAIVETCMNQVTLLQENHGQAVSNIRNKAEQSLIKDYQVDQHKNETPKKQSINVPSLASIEEMRTLFSQNTLSEDHTSNLEKRSIKEGLDEANNRTPFLEVNK >fgenesh2_kg.4__1796__AT2G37430.1 pep chromosome:v.1.0:4:17913995:17914827:1 gene:fgenesh2_kg.4__1796__AT2G37430.1 transcript:fgenesh2_kg.4__1796__AT2G37430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LJS8] MKRERSDFEESIKNLDIAKCLMILAQTSMVKQIGLSQHTESHTSNRFECKTCNRRFSSFQALGGHRASHKKPKLTLEQKDVKPLSNNYKGNHTHECSICGQSFGTGQALGGHMRRHRSSMTVEPSFISPVIPTMPVLKRCSSSKRVLCLDLNLTPLENDLEYIFGKTFVPKIDMKFAL >fgenesh2_kg.4__1797__AT2G37440.1 pep chromosome:v.1.0:4:17942503:17946598:1 gene:fgenesh2_kg.4__1797__AT2G37440.1 transcript:fgenesh2_kg.4__1797__AT2G37440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILKSKSSWPRTVVRKWLNLRSGSYEFHSDYPVKGMEPRRKSCSDMIVPEDFPGWLGQGNGDLKHSTGEQHVTRVDDKLDLKMFVGTWNVGGKSPHEGLDLKDWLKSPADADIYVLGFQEIVPLNAGNVLGAEDNGPAAKWLSLIREALNNNNDLAQNELDHTKSSQQPRFSFSGLSDDNRIIPCNSTPPRGYSLAASKQMVGIFLCVWVRDDLRKRITNLKVSCVGRGIMGYLGNKGSVSISMSLHETSLCFVCTHLTSGEKEGDELRRNLDVSEIFKRTRFSRSSKDSRPETIMDHDKVIWLGDLNYRLSASSDVHEQLRNHDWEALLEKDQLKIEQRAGRIFKGWEEGKIYFAPTYKYRINSDNYVVQTEKSKEKRRTPAWCDRILWKGDGMKQLWYVRGESKFSDHRPVQSLFSVHIDLTQNQSNRKTKPVNQNHRPNPVLPYTCHGKVQAEEILLLTRAQSCIDTQPRLISS >fgenesh2_kg.4__179__AT2G22600.1 pep chromosome:v.1.0:4:1059078:1061589:1 gene:fgenesh2_kg.4__179__AT2G22600.1 transcript:fgenesh2_kg.4__179__AT2G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LE23] MSNRRGVTTAVSKRRPVIHVLPDETAIRVVCHASVIGGIIGSNGYVVSKLRRETGTKIHCESPVNGSDHWVVFIVGSTAVNKSVLLTDRVGDFSGGEHEDWVTCDVSAAQTALIRVLERSWVVLAAKDGGGVVDGEDEEAYCGILADRNQIGAVLGLGGKNVEWMRRSSGAMIRVLPPPICGTNTDELIQITGDVLAVKKALVMVSTCIQDNPPVNGYPQPLCIKAYESSTDGNSEDPHSEFFPNLRSSVPNASDSASSNRHLPAVYDEGNGTERKVVFKIIFTSVVAGGIIGKQGTIIRALQNETGASISIGAPLKVSGERVVTISARENLESRYSHAQNALALVFARSVEIDVEKGLRPGLHNGAVVKTKLLVPSQFANSLVGNGNREAIIATGADVHIPVDNQILEWISENEVVIEIKGEYGHVQKALTHVSSKLRENLLPKKVLGEMRARVSNPYESAGTSQIYNLKQSQQNSSRGDSLSVSDGEQNFKMVRSGAEVMKLNSVMHTEVLKEVDELKGFTLPQSLLEDDLTQEMKQLQMSRNGDVSSLPQRRGKGVYVRKITLELTVEKDALGSLYGRDGTGVDNLRQISGAGVDVKDPTGIEATVLIISGNPEQTRTAMSLIESILDDQ >fgenesh2_kg.4__17__AT2G21080.1 pep chromosome:v.1.0:4:139635:141367:1 gene:fgenesh2_kg.4__17__AT2G21080.1 transcript:fgenesh2_kg.4__17__AT2G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGENEYFHHHLPILSNTPLQPSKSLPSDDLRNFRLLLKWCALDHSSSSGKAVSYMMFVVFTLLVPLISCLSIKTPRTWPSSVMDVNSFNVLVQFPESGLAVIGFLTLICFFRIYSLTQLLFLDDSTLVRLGYSRELDKALCYLAYILVPSFLVELVHKSIFFSSAEVSFPFIKSSCAALNFVMFFLVLFSWVYRTGVFLLVCILFRLTCELQILRFRGLHKLFDRCGSDTIEDVCKEHVRIKKQLSATSHRYRFFIIAAFVVISTSQFVALLLVLASKSDRSFLSSGDLVVCSAVQLSGFFLCVLGAARITHRAQGVVCIATRWHMALTCASEAVSPESDTDSSDNIYINVSPSLDLSSFFQARQALVEYLRHNNKGITLYGYALDRGLLHTLFAFEFSLVMWILSKVVVLS >fgenesh2_kg.4__1800__AT2G37470.1 pep chromosome:v.1.0:4:17965211:17965762:1 gene:fgenesh2_kg.4__1800__AT2G37470.1 transcript:fgenesh2_kg.4__1800__AT2G37470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:D7LJT8] MAPKAAEKKPAEKKPVGKAPAEKLPKAEKKISKEAGASVETYKIYIFKVLKQVHPDVGISGKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTS >fgenesh2_kg.4__1804__AT2G37500.1 pep chromosome:v.1.0:4:17974755:17977756:-1 gene:fgenesh2_kg.4__1804__AT2G37500.1 transcript:fgenesh2_kg.4__1804__AT2G37500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine biosynthesis bifunctional protein ArgJ, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7LJU3] MHSCFQTHFVSFKLPHFFAPKSFVASSRRELRVFAVATTVEEASGNIPAAPISLPEGSWKQIAGGVTAAKGFKAAGMYAGLRAAGKKPDLALVTCDVEAVAAGVFTTNVVAAAPVVYCKKVLETSKTARAVLINAGQANAATGDAGYQDMLDCVGSIATLLKVKPEQVLIESTGVIGQRIKKEELLQALPTLVNSRSDAVEEADSAAVAITTTDLVSKSVAVESHVGGIKIRVGGMAKGSGMIHPNMATMLGVITTDALVESDIWRKMVKVAVNRSFNQITVDGDTSTNDTVIALASGLSGSPSISSLNCNEAAQLQACLDAVMQGLAKSIAWDGEGATCLIEVTVKGAETEGEAAKIARSVASSSLVKAAVYGRDPNWGRIAAAAGYAGVSFQMDKLKISLGDFSLMESGQPLPFDRDGASNYLKKTGEVHGTVTIDISVGDGAVIGKAWGCDLSYDYVKINAEYTS >fgenesh2_kg.4__1807__AT2G37520.1 pep chromosome:v.1.0:4:17980319:17985198:-1 gene:fgenesh2_kg.4__1807__AT2G37520.1 transcript:fgenesh2_kg.4__1807__AT2G37520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LJU5] MGEGTICLEMPMEENSSMGQFKRERLDESNKGDHFPNKKQAKEASNDDITSEISNPVASPVESTSLFRDVSSQPVKSGLGECSGSDFGSEETVSDDASVAGSSQTEQSSDVLPSRFVLEIPKHLSSTGITKITFKLSKPKKEFDDLPVIKDHTCDVGVVKMPKKIVALSYPSNVKKLLETGILEGAPVKYISTPPVRELQGIIHSGGYLCGCTTCSFSKVLSAYEFELHAGAKTRHPNNHIFLENGRAVYNIVQELKTAPRDVLEEVIRNVAGSALNEEGLQAWKGDGQQVSNRVIACLTGTISRNIPLSVIYLDPVVTYHFVLLSETDSCESCSYLGSGTGPGLDESQSLTPCSVENHYFPEKTYAKDTLDEPKRIAKKLTSHVSGTGCHKKVSEGSNRKRDNDLHRLLFMPNGLPDGTELAYYVKTQKLLHGYKQGSGIVCSCCSREISPSQFEAHAGMAARRQPYRHIFISSGLSLHDIAMSLANGHVITTGDSDDMCSICGDGGDLLLCAGCPQAFHTACLKFQSVPEGTWYCSSCNDGPISSKKATATDPSGNARPIVIRLSRVVKAPESEIGGCVFCRSHDFSIGKFDDRTVILCDQCEKEYHVGCLRENGLCDLKEIPQEKWFCCSDCSRIHTAVQNSVSCGPQTIPTPLLDMICRKDREKGIFTDNGDIVEWRILSGKSRYPEHLPLLSRAAVIFRECFDPIVAKSGRDLIPVMVYGRNISGQEFGGMYCLVLIVNSLVVSAALLRIFGQQVAELPIVATSREYQGRGYFQGLYACVENLLSSLNVENLVLPAAEEAESIWTKKFGFTKMSDQQLQEYQKEVQLTIFKGTSMLEKKVPKTTSLSESTTLISSDH >fgenesh2_kg.4__1810__AT2G37550.1 pep chromosome:v.1.0:4:17992569:17995010:-1 gene:fgenesh2_kg.4__1810__AT2G37550.1 transcript:fgenesh2_kg.4__1810__AT2G37550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRLRTLQSQPENKVCVDCSQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMDAGGNERLNKFLAQYGISKETDIISKYNSNAASVYRDRIQALAEGRQWRDPPIVKESVGGGGMIKKPPLSQGGGRDSGNGGWDSWDNDDSFRSTDMRRNQSASDFRSSGSRGAPAKSKSSEDIYSRSQLEASAANKESFFAKRMAENESKPEGLPPSQGGKYVGFGSSPGPAPRSNQQSGGGDVFSVMSEGFGRLSLVAASAANVVQTGTMEFTSKVKEGGLDHTVSETVNVVASKTTEIGQRTWGIMKGVMAIASQKVEEFTKEEASTWNQQNKTEGNGYYQNSGIGNKTANSSFGGSQSSSSGHNNSYRNSNSWDDWGEENNTKKEPAPKVSTSNDDDDGGWAGWDDNDAKDDDFYYQSASDKKSVGHNGKSDTAWTGGGFL >fgenesh2_kg.4__1812__AT2G37560.1 pep chromosome:v.1.0:4:17999534:18001261:1 gene:fgenesh2_kg.4__1812__AT2G37560.1 transcript:fgenesh2_kg.4__1812__AT2G37560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATORC2/ORC2 [Source:UniProtKB/TrEMBL;Acc:D7LJU9] MEDIENMEEDEYGFSRNYFLAKELGGASKRSAHKLSDIHIVDEQELRETAIEMKHAKEISELMSDYKTMYSKWVFELRCGFGLLMYGFGSKKALIEDFASASLTEYSVIVINGYLPSVNLKQVLLALAELLSELLKCKRKSSGSLSKGQETFPSRSIDDILSFLHGPQSEDKDCFICLVVHNIDGPALRDPESQQTLARLASCSHIRIVASIDHVNSPLLWDKKMVHKQFNWLWHHVPTFAPYNVEGVFFPLVLAQGSTAQTAKTAAIVLQSLTPNAQNVFKILAEYQLSHPDEDGMPTDDLYSASRSRFFVSSQVTLNSHLTEFKDHELVKTKRNSDGQECLNIPLSSDALRQLLLDLNQ >fgenesh2_kg.4__1813__AT2G37570.1 pep chromosome:v.1.0:4:18001358:18016970:-1 gene:fgenesh2_kg.4__1813__AT2G37570.1 transcript:fgenesh2_kg.4__1813__AT2G37570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium-and lithium-tolerant 1 [Source:UniProtKB/TrEMBL;Acc:D7LJV0] MENHHPSTLLSMDSSASSHEELDLEMNNNNRQSLLSGPPDINLPLSAERSPPPQPWNLDVCDILDVGLGSQAYETENYMSVVPKVGRKCAKRVDSVWGAWFFFSFYFKPALNEKSKAKIVRDSNGISGFDKSDLKLDVFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGDRLFPFSVEKGFVRSHRMQRKHYRGLSNPQCVHGIELVPLPNLTCLDEEERKRWMELTGRDLNFTIPPEASDFGSWRNLPNTDFELERPTPLKNPTPSHSKKLLNGSGLNLSTQPSNHSNGEASDLSPSSHKKRKDLFSNGIHEEECCLTVNPPPPVIEAHQNEPPTWSNEFSGAMKNVYGPVTAAKTIYEDEEGYLIIISLPFVDLNSVKVSWRNTLTHGIIKVSCLSTSRIPFIKRHDRTFKLTDSASEHCPPGEFVREISLSTRIPEDANIEAYYDGPGSVLEILVPKLRAGPEEHEVRVCLRPNLSGNDLMLT >fgenesh2_kg.4__181__AT2G22620.1 pep chromosome:v.1.0:4:1067329:1073604:-1 gene:fgenesh2_kg.4__181__AT2G22620.1 transcript:fgenesh2_kg.4__181__AT2G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGAPANKPGRIIVQVLLLHLLLLLLLLQSVHSQRSQNKLNITKPGQNIPALTVQLRRVGHDDTVVIDNGIVQVTFSSPQGLITGIKYHGIDNVLDDEIEDRGYWDVVWYEPGKKSETDKLEGTKFEIITQNEEQIEISFTRTWTISKRGSLVPLNVDKRYIIRSGVSGIYMYGILERLGGWPDVDMDQIRIVFKLNPKKFDFMAISDDRQRSMPSMADRDNSKTLAYKEAVLLTNPSNPMLKGEVDDKYMYSMEDKDNNVHGWITSDPPVGFWMITPSDEFRLGGPIKQDLTSHAGPITLSMFTSTHYAGKEMRMDYRNGEPWKKVFGPVLVYLNSVSPKESTLRLWRDAKRQMAAEVKSWPYDFVTSEDYPLRHQRGTIEGQFLIKDSYVSRLKIYGKFAFVGLAPIGEAGSWQTESKGYQFWTKADRKGRFIIENVRAGNYSLYAWGIGFIGDYKYEQNIIITPGSEMNVGPIVYEPPRNGPTLWEIGVPDRTAGEFYIPDPYPTLMNKLYVNPLQDRFRQYGLWDRYADLYPQNDLVYTIGVSDYRRDWFFAHVTRNFGNDTYQPTTWQIIFNLKNVNRIGLYTLRLALASAASSELQIRINDPKSGHIFTTGLIGKDNAIARHGIHGLYRLYSIDIAGNLLSVGDNTIYLTQTRSIGMFQGVMYDYIRLESPFRT >fgenesh2_kg.4__1821__AT2G37640.1 pep chromosome:v.1.0:4:18074243:18076381:-1 gene:fgenesh2_kg.4__1821__AT2G37640.1 transcript:fgenesh2_kg.4__1821__AT2G37640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXPA3 [Source:UniProtKB/TrEMBL;Acc:D7LJW3] MTATAFGIGLWLAVTASFLFTASNAKIPGVYSGGPWQNAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGFSCGACFEIKCTDDPRWCVPGNPSILVTATNFCPPNFAQPSDDGGWCNPPREHFDLAMPMFLKIGLYRAGIVPVSYRRVPCRKIGGIRFTVNGFRYFNLVLVTNVAGAGDINGVSVKGSKTDWVRMSRNWGQNWQSNAVLIGQSLSFRVTASDRRSSTSWNVAPSTWQFGQTFSGKNFRV >fgenesh2_kg.4__1822__AT2G37390.1 pep chromosome:v.1.0:4:18099242:18105251:-1 gene:fgenesh2_kg.4__1822__AT2G37390.1 transcript:fgenesh2_kg.4__1822__AT2G37390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy-metal-associated domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LKC4] MKGRMFCASQASTAICSSMDHVHKSTTTEDDEPSSGRAIDRHNPIIKDGRRSFAEDFIKLPASGGDGEMSNKKLEIYKGRISITGRRSTGGGGGGGAAALLKLITNDIGLARKSFSCVARPACDLIKTPVGSTRYLLESDPDSISGSTGRNPAKTVEAEAPAGEDITLTEKKTTCGGSDQQVVNLKVSLHCRGCEAKVRKHLARMQGVTSFNIDFAAKKVTVTGDITPSEILDSISKVKNAQFWTTPTIPKPNVETQNP >fgenesh2_kg.4__1824__AT2G37380.1 pep chromosome:v.1.0:4:18120668:18121826:-1 gene:fgenesh2_kg.4__1824__AT2G37380.1 transcript:fgenesh2_kg.4__1824__AT2G37380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LKC8] RDRDWRNQNQEHMFCPTMVMMGYIDLEVNLSSSSSTSSSSFFSFPVTSSPPQSREFEFQMCSSAVASGESTTSPADELFYKGQLLPLHLPPRLKMVQKLLLASSSTAATETPISPRATVSSPRRFSSSEIGQDEQCFFEISTELKRFIESNENHLGNSWSKKIKHSSITQKLKASRAYIKALFSKQTCSDSSEINLRFKIEPCKVSRKKNPFINSEKPQLFHRRSFSGVIQRHSQAKCSTSSSSSSSASSLSSSFSFGSNGSLDLQTLMRSSNASDNSIEGAIEHCKQSFTSRKSNVTESELCSSRTSVSTCGDLEKD >fgenesh2_kg.4__1827__AT2G37678.1 pep chromosome:v.1.0:4:18228796:18230032:-1 gene:fgenesh2_kg.4__1827__AT2G37678.1 transcript:fgenesh2_kg.4__1827__AT2G37678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVEVDNNNEKPSEINSNILTFSIMIISSSKNVLKMEEEVEVSKKRKFQTDQSDELSLLPLSKHACFANVACSDNTNVSSEIDTAYSMSYVNSTTSMECNNDIEMKEESSGSCGEDKMISFESHLDYIYGTQNLEDFSDKVIENILYLDEQEEEEEAKGCSSNAAKFVLSSGRWTVNQDSSTLHETKKPTIDQEFEQYFSTLML >fgenesh2_kg.4__1828__AT2G37680.1 pep chromosome:v.1.0:4:18230589:18232490:-1 gene:fgenesh2_kg.4__1828__AT2G37680.1 transcript:fgenesh2_kg.4__1828__AT2G37680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red elongated hypocotyl 1 [Source:UniProtKB/TrEMBL;Acc:D7LKF2] MPVRVVESNTPAQVSGTDPGNRSPLPPSSLLGAGQAFSGTQNVSNQQKEEAWRVNVQIQGIDLEHGYLCGTMEALNVPMADTPVITFWEGEIVDGKNYTFYTGKWEATREDDMRHWSKFPSFSPLQGQVESDGGRQLDLNNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCSDGSISGFYYDPNSSPFQKLELKTVNEGRSGFSFSSYELQ >fgenesh2_kg.4__1833__AT2G37710.1 pep chromosome:v.1.0:4:18242171:18244378:-1 gene:fgenesh2_kg.4__1833__AT2G37710.1 transcript:fgenesh2_kg.4__1833__AT2G37710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKLFTIFFFSLLFQSHSLNFAYNNGFNPPTDISIQGITTVTPNGLLKLTNTTVQKTGHAFYTKPIRFKDSPNGTVSSFSTTFVFAIHSQIAILSGHGIAFVVAPNSSLPYATPSQYLGLFNISNNGNDTNHVFAVELDTILSTEFNDTNDNHVGIDINSLKSVQSFRAGYWDEKNQFKNLTLISRKPMQVWVDYDAPTTKIDVTMAPFNEDKPKRPLVSAVRDLSSVFLQDMYVGFSSATGSVLSEHYVLGWSFGLNEKAPPLALSRLPKLPRFEPKRISEFYKIGMPLISLFLIFSFIFLVCYIVRRRRKFAEELEDWEKEFGKNRFRFKDLYYATKGFKEKGLLGTGGFGSVYKGVMPGTKLEIAVKRVSHESRQGMKEFVAEIVSIGRMSHRNLVPLLGYCRRRGELLLVYDYMPNGSLDKYLYNTPEVTLNWKQRIKVILGVASGLFYLHEEWEQVVIHRDVKASNVLLDGELNGRLGDFGLARLYDHGSDPQTTHVVGTLGYLAPEHTRTGRATTATDVFAFGAFLLEVSCGRRPIEIQHETDETFLLVDWVFGLWNKGNILAAKDPNMGSECDEKEVEMVLKLGLLCSHSDPRARPSMRQVLHYLRGDAKLPELSPLDLSGSGMMFGVHDGFSELGMSYSSSVFKGFTGGSSIADSLLSGGR >fgenesh2_kg.4__1834__AT2G37720.1 pep chromosome:v.1.0:4:18246896:18248077:1 gene:fgenesh2_kg.4__1834__AT2G37720.1 transcript:fgenesh2_kg.4__1834__AT2G37720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LKF7] GVLSSIQSKMFGGKSYILKGSVSLALIILILLVITLPVTEKNPPRISLIEVKKCNVAKGKWVEDRKRPLYSGFECKQWLSTIFSCRVMGRPDFSFEAYGWQPEGCNIPEFDRVNFLRRMQNKTIAFIGDSLGRQQFESLMCMATGGKERPEVQNVGWEYGLVKPKGAPRPDGWAYRFPTTNTTILFYWSSTLTDLVPMNNTNPPHLVAMHLDRPPAFIKNYLHRFHVLILNTGHHWSRGEIEEHHWVMHVNGTRVQGGYLKEVGNAKDFTIHRLVKWLDAQIPLHPRLKAFFTTISPRHGKCNNTVPLSRGSKITGHVGSMDTIVESAVNGTKVKILDITALSELRDEAHIAGSKLKPKKVSNVTSTPTINDCLHWCLPGIPDTWNELLIAQL >fgenesh2_kg.4__1837__AT2G37750.1 pep chromosome:v.1.0:4:18261921:18262375:1 gene:fgenesh2_kg.4__1837__AT2G37750.1 transcript:fgenesh2_kg.4__1837__AT2G37750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLVYKAVMQIRYGNESQQLSSSYYVRLPGDSGRFGRSDFDVSGLGSSSNTASSSTTTTTFAVYTGVQSPVSRQVVT >fgenesh2_kg.4__1843__AT2G37770.2 pep chromosome:v.1.0:4:18265916:18301540:1 gene:fgenesh2_kg.4__1843__AT2G37770.2 transcript:fgenesh2_kg.4__1843__AT2G37770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7LKP8] MANAITFFELNTGAKFPSVGLGTWQASPGLVGDAVAAAIKIGYRHIDCAQIYGNEKEIGAVLKKLFEDRVVKRDDLFITSKLWCTDHDPQDVPEALNRTLKDLQLDYVDLYLMHWPARMKKGSVGIKPENILPVDIPSTWKAMEALYDSGKARAIGVSNFSTKKLADLLELARVPPAVNQVECHPSWRQTKLREFCNSKGVHLSAYSPLGSPGTTWLKSDVLKNPILNMVAEKLGKSPAQVALRWGLQMGHSVLPKSTNEGRIKENFNVFDWSIPDDMFAKFSEIEQARLVNGSFFVHETLSPYKCIEELWDGEI >fgenesh2_kg.4__1849__AT2G37840.1 pep chromosome:v.1.0:4:18313360:18317734:1 gene:fgenesh2_kg.4__1849__AT2G37840.1 transcript:fgenesh2_kg.4__1849__AT2G37840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LKQ0] MAQFTGRVVGDYLVGRQIGSGSFSVVWEARHRVDGTEVAIKEIAMDRLNKKLQESLMSEIFILRRINHPNIIRMIDMIKSPGKVHLVLEYCKGGDLSVYVQRHGIVPEATAKYFMQQLAAGLQVLRDNNIIHRDLKPQNLLLSTDENDADLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGRTPFTGNSQIQLLQNIIRSTGLHFPGDCRDLSLDCIDLCQKLLRRNPVERLTFEEFFNHPFLSDRQSYDFSRSRLGLRTMDGFLSSGSSPSRNMEESSQDDCLPFLLDYDSSGPEGSPSYLKKTSSMKSSSGFKVDTKIERKEAESSPLKYTEFTSGYSSVDQKVENNRFRFETQINSDRRNRREPTGLTDSRSLIAPGGVDDSQDSMDQDFVLVSGPPVDMPSSSSSSSKPYNFPFKSQSPPVELFNRSISSTAPMPIIGATGNSIGRFGSLDSQNSAPSTSHGSLDLGDAFEQPSTHSLTRIRSLRKCAASIAELVHERIESDKHLEAFSIQLAILAIWKQALHICHTQAISGLEGSPSQDINKLRSSSLKHDTHSSDKVTDLSHDGSEEISSQIQRQFIQEIELAEELAKSIEPGNIKMPDAMETIFEAALDLGKLGGVKEVMGDIENAGNQYSKAVRLLVFLLIEAPTLIMNPPLSLTNSVRYRLRTYIDFLSRRLKHLQSHRKSSGGQMQGSSLAMMNRQS >fgenesh2_kg.4__1853__AT2G37860.3 pep chromosome:v.1.0:4:18318226:18320562:1 gene:fgenesh2_kg.4__1853__AT2G37860.3 transcript:fgenesh2_kg.4__1853__AT2G37860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCAMNFQFSSVVKVRNEISSLRICNRDFVFRDLAKAMKVPVLRIRGASGRQRSRLLMVNMSQSPVEPQSGVSVTEQSKGEGDDSILGKDNVRNLGTDQLENLDHDGNVGDGFNGSDGNGGGGGGGNGGEGDGEGEDYEEKEFGPILKFEEVMKETEARGATLPSDMLEAAKTYGIRKVLLLRYLDLQSSAGLLGFAIRSWAMLRNRMLADPSFLFKIGAEIVIDSCCATVAEVQKRGKDFWAEFELYVADLLVGTVVNIALVGMLAPYVRFGQPSASPGFLGRMVFAYNALPSSVFEAERPGCRFSAQQRLATYFYKGIMYGAVGFGCGIVGQGIANLIMTAKRNINKSEENIPVPPLIKSAALWGVFLSVSSNTRYQIINGLERVVEASPFAKKLPPAAMAFTVGVRLANNIYGGMQFVDWARLSGCQ >fgenesh2_kg.4__1854__AT2G37880.1 pep chromosome:v.1.0:4:18328359:18329422:1 gene:fgenesh2_kg.4__1854__AT2G37880.1 transcript:fgenesh2_kg.4__1854__AT2G37880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIDSLRRFLLPCITPPTNSTTVSTTSTGASKKRLSTSLRDDIDVQDSASSSASSSEATSAAADYNLSAVTVPQRPSKTMVIGTIFGRRKGHVWFCVQHDRLSVKPILLLELSIATSQLVHEMGSGLVRVALECPTRPELKSCLLRSVPVWTMFCNGRKLGFAVRRSANEETRLMLKRLESMTVGAGVLPSGSGLGGSGESDTDEVMYMRANYEHVVGSSDSESFHLINPDANSAQELSIFLLRTSS >fgenesh2_kg.4__1856__AT2G37900.1 pep chromosome:v.1.0:4:18332433:18334473:-1 gene:fgenesh2_kg.4__1856__AT2G37900.1 transcript:fgenesh2_kg.4__1856__AT2G37900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKKIGAEFQDSCDDQQKWVLDSSLDSRGRVPLRARTGAWKAALFIIAIEFSERLSYFGLATNLVVYLTTILHQDLKMAIRNVNYWSGVTTLMPLLGGFIADAYLGRYSTVLVATTIYLMGLVLLTMSWFIPGLKPCHEDVCNEPRKAHEIAFFIAIYLISIGTGGHKPSLESFGADQFDDDHIEERKMKMSFFNWWNVSLCAGILTAVTAVVYIEDRVGWGVAGIILTVVMAISLVIFLIGKPFYRYRTPSGSPLTPILQVFVAAIAKRNLPYPSDPSLLHEVSKAEFTSGRLLCHTQHLKFLDKAAIIEDSSPLALEKHSPWRLVTLTKVEETKLIINVIPIWLSTLAFGICATQGSTFFIKQAMTMDRHIAGFKLPPAAMFTLTALTLIISLTLYEKILVPILRSITQNQRGINILQRIGIGMVFSLITMIIAALVEKQRLDSTNNNNKPMSVTWLAPQFMVIGFADAFTLVGLQEYFYHQVPDSMRSLGIALYLSVIGVASFLNNLLITAIDTLAEDFSGKSWFGKDLNSSRLDRFYWFLAGVISANICVFVIVAKRCPFKSVQPSQGSADSSMSVA >fgenesh2_kg.4__1857__AT2G37910.1 pep chromosome:v.1.0:4:18338923:18339598:1 gene:fgenesh2_kg.4__1857__AT2G37910.1 transcript:fgenesh2_kg.4__1857__AT2G37910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKAQLSAPLQVELGFQLLHLPHFVLRTHLPLFPRNPNLKNFEMGKRGSSSGSTKLVIESRYKGLTVEEIVDDLRSKNREYVRLRQQRNSDLCISSSSPSSASSSGNVLTSEDNMQFDITNDGLRVSYSNKSKTPFRAETMKMKVFLVKREPLRTWVG >fgenesh2_kg.4__1860__AT2G37940.1 pep chromosome:v.1.0:4:18349421:18352036:1 gene:fgenesh2_kg.4__1860__AT2G37940.1 transcript:fgenesh2_kg.4__1860__AT2G37940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYIRRESSKLWKRFCSEISTEIGLLAENWKYLLAGLICQYIHGLAAKGVHYIHRPGPTLQDLGFFLLPELGQERSYISETVFTSVFLSFFLWTFHPFILKTKKIYTVLIWCRVLAFLVACQFLRVITFYSTQLPGPNYHCREGSKVSRLPWPKSALEVLEINPHGVMYGCGDLIFSSHMIFTLVFVRTYQKYGTKRFIKLFGWLTAIVQSLLIIASRKHYSVDVVVAWYTVNLVVFCLDKKLPELPDRTAVLLPVISKDRTKEENHKLLNGNGVDPADWRPRAQVNGKIDSNGVHTDNSMNGA >fgenesh2_kg.4__1861__AT2G37950.1 pep chromosome:v.1.0:4:18358342:18359921:-1 gene:fgenesh2_kg.4__1861__AT2G37950.1 transcript:fgenesh2_kg.4__1861__AT2G37950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIDLEQGGAFGYHHRRSLDGSEVSVYYSDGEDLASCYSYFYSTTGGSYEYEGDQSRKVSSVMSPSSEIDNDDASAPPEKDCRICHMGVETSGGGAIELGCSCKDDLAVAHRQCAETWFKIKGDKICEICQSVARNVGGANEMVVSTMEERELRNSDQETAAVGGGGATVVENRWQPQRVVNLVLACMVFGFFISWIFHFHVSSSS >fgenesh2_kg.4__1864__AT2G37980.1 pep chromosome:v.1.0:4:18368773:18372341:-1 gene:fgenesh2_kg.4__1864__AT2G37980.1 transcript:fgenesh2_kg.4__1864__AT2G37980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGASPLAVAPITAPTTTTRRRVGDSLETTSERPSISSDYCNNNTVNIAVSPDLDDGETGLQGGACSSPSSIGSSSSGSHYHHDHHYHHHPTIRYLLLRKLRLPFLFDGGGSTAVVGQGWFFCSGRNMGRRILGLLMILVVASLFLRVSLMSGRVVDQAHRRDLNELVVVRTLHEDWSMAQRAMTENVVIEKLPIPEIWQKPENGNYRQCASRPKNRSRLSRKTNGYLLVHANGGLNQMRTGICDMVAAAKIMNATLVLPLLDHESFWTDPSTFKDIFDWRHFMNVLKDDVDIVEYLPPRYAAMRPLLKAPVSWSKASYYRSEMLPLLKKHKVVKFTHTDSRLANNGLPPSIQRLRCRANYQALGYSKEIEEFGKVLVNRLRNNSEPFIALHLRYEKDMLAFTGCSHNLTAGEAEELRIMRYNVKHWKEKEIDSRERRIQGGCPMSPREAAIFLKAMGYPSSTTVYIVAGEIYGGNSMDAFREEYPNVFDHSTLATEEELEPFKPYQNRLAALDYIVALESDVFVYTYDGNMAKAVQGHRRFEGFKKTINPDRLNFVRLIDHLDEGVMSWDEFSSEVKRLHNNRIGAPYARHPGEFPRLEENFYANPQPDCICNKSQPEQLWKSRESDRWKKSA >fgenesh2_kg.4__1865__AT2G37990.1 pep chromosome:v.1.0:4:18374820:18377632:1 gene:fgenesh2_kg.4__1865__AT2G37990.1 transcript:fgenesh2_kg.4__1865__AT2G37990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis regulatory protein family protein [Source:UniProtKB/TrEMBL;Acc:D7LKR9] METEMETEQIYQVDVGNLLAFNPNHRFPSAPSSREELVNECIREGTKLVQAIANTLFNFPSTETNDGPIVQLPPPTTKLPREKHIPRPKPPTKWEEFALKKGIQKRKKDKLVYDEQTDQFKRRHGYDRVNDDNDVPIIEAKATDEPGVDPFAKRLDDKKKRVGKQEKNRLQNLKAAEKAGALPSHVQLAATSLPISGTKAQPKKIGKDELGDVAGLAATSTASGGKFDKKLPGEKPPKKQGKHHKYLPVVAGRGDANAEKEQTNNVLSKIFSKHSHEILNVGKAINMYNVKKEKKKSGRSDKSGRSDKLKPKKDITKKSANKAK >fgenesh2_kg.4__1866__AT2G38000.1 pep chromosome:v.1.0:4:18377569:18379781:-1 gene:fgenesh2_kg.4__1866__AT2G38000.1 transcript:fgenesh2_kg.4__1866__AT2G38000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPLLSGSEKTNESERLDSYQYLQRNSSSARNPSFAGAGVTVEEIRTASAVSSDPPSLYPPVIKTPVSLPIPQAIGYPSASGAGHELQRQFLDEIEIRELLIDHIGHRCCWGSRPARTWKIHAVEDCNVYVGTLDTFIEEREALTQTVPFTGGDFNGKKHGSEPELWKLDLRSQFPTLFVPYKETQVPVPNSETVEKCTGCTGRGDVVCPTCNADGEPGFYKENQMLKCSTCYGRGLVAHKDGSDTICTNCSGKGKLPCPTCQSRGLIKCQTCNSTGSLLTSSIAVVRWKTLSKRKVSATRGAGSVPEEVFDRAEGVQLCNTQAYQCTPAYFADSYFLNRFSSEVISLRAEVPPTANVVCERHTISVVPVTRVTMEDRGKAFSFYIIGFGKEIYLKDYYPARFCWGLCPCLEWLKV >fgenesh2_kg.4__1867__AT2G38010.2 pep chromosome:v.1.0:4:18381257:18384382:1 gene:fgenesh2_kg.4__1867__AT2G38010.2 transcript:fgenesh2_kg.4__1867__AT2G38010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramidase family protein [Source:UniProtKB/TrEMBL;Acc:D7LKS1] MAASLPLFQLLLFLLLLLYLIGVGSYDITGPAADVNMMGYANSDQIASGIHFRLRARAFIVAEPQGNRVAFVNLDACMASQIVTIKVLERLKARYGELYTEKNVAISGIHTHAGPGGYLQYVTYIVTSLGFVRQSFDVVVNGIEQSIVQAHESLRPGSAFVNKGDLLDAGVNRSPSSYLNNPAAERSKYKYDVDKEMTLVKFVDSQFGPIGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFENAQKNSDSLRNIPRRVSSIVSDFSRNRESNPFLELPNVAILYIAVAARLMWKVMVLFLFVSESRLLDIAATYKSSRGHSVDKSLDVKTRVRNTSKRKFVSAFCQSNCGDVSPNTLGTFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIGEKQFKMAVELFNKATEKLQGKIGYQHAYLDFSNLDVTVPKAGGSSETVKTCPAAMGFGFAAGTTDGPGAFDFKQGDDKGNVFWRLVRNVLRTPGPEQVQCQKPKPILLDTGEMKEPYDWAPSILPIQILRVGQLVILSVPGEFTTMAGRRLRDAIKSFLISLDPKEFSNNMHVVIAGLTNTYSQYIATFEEYEVQRYEGASTLYGPHTLTAYIQEFKKLATALVNGLTLPRGPQPPDLLDKQISLLSPVVVDSTPLGVKFGDVKADVPPKSTFRRGQQVNATFWSGCPRNDLMTEGSFAVVETLREGGKWVPVYDDDDFSLKFKWSRPAKLSSESQATIEWRVPESAVAGVYRIRHYGASKSLFGSISSFSGSSSAFVVV >fgenesh2_kg.4__1869__AT2G38025.1 pep chromosome:v.1.0:4:18394204:18395820:-1 gene:fgenesh2_kg.4__1869__AT2G38025.1 transcript:fgenesh2_kg.4__1869__AT2G38025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSSSNNNILEQLRNGFARFELVSSPTASISDSISSISLPASFMSATKGNSYVFFARIGSSMNRSPAAKKVEQYAVDRVKGDGRCLFRALVKGMAFNKGVTLNPQRERDDADELRMAVKEVICNDPKEKEKYKEALVAITVDESLKRYCQRIGRHDFWGGESELLVLSKLCKQPIIVYIPEHEHGRGGYGSGFIPIQEYGGEFRGGWGKGKTNKNVVRLLYSGKNHYDLLR >fgenesh2_kg.4__1870__AT2G38040.2 pep chromosome:v.1.0:4:18396246:18400217:1 gene:fgenesh2_kg.4__1870__AT2G38040.2 transcript:fgenesh2_kg.4__1870__AT2G38040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl co-enzyme A carboxylase carboxyltransferase alpha subunit [Source:UniProtKB/TrEMBL;Acc:D7LKS5] MASISHSSLALGGASSASASDYLRSSSNGVNGVPLKTLGRAVFTTIRRKDLAVTSRLKKGKKFEHPWPANPDPNVKGGVLSYLSEFKPLGDTQKPVTLDFEKPLVELEKKIVDVRKMANETGLDFTEQIITLENKYRQALKDLYTHLTPIQRVNIARHPNRPTFLDHIHNITDKFMELHGDRAGYDDPAIVTGIGTIDGKRYMFIGHQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIANNLRTMFGLKVPILSIVIGEGGSGGALAIGCANKMLMLENAVFYVASPEACAAILWKTSKAAPEAAEKLRITSKELVKLNVADGIIPEPLGGAHADPSWTSQQIKIAINENMNEFGKMSGEELLKHRMAKYRKIGVFIEGETIEPSRKVNMKKREAVFSDSRKLQGEVDKLKEQILKAKETSSEDEPSSEVLNEMIEKLKSEIDDEYTEAAIAVGLEERLTAMREEFSKASSEEHLMHPVLIEKIEKLKEEFNTRLIDAPNYESLKSKLNMLRDFSRAKAALEATSLKKEINKRFQEAVDRPEIREKVEAIKAEVASSGASSFDELPDALKEKVLKTKGEVEAEMAGVLKSMGLELDAVKLNMKDPAEQTFAANENLQEKLEKLNQEITNKIAEVVRTPEIKSMVELLKVETAKASKTPGVTEAYQKIEALEQQIKQKIAEALNMSGLQEKQEELEKEIAAARELAAEESDGSVKEDDDDHEDSSESGKSEMVNPSFA >fgenesh2_kg.4__1873__AT2G38060.1 pep chromosome:v.1.0:4:18402522:18405547:-1 gene:fgenesh2_kg.4__1873__AT2G38060.1 transcript:fgenesh2_kg.4__1873__AT2G38060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATGSLKLLQHSSCSLSFHRNPIATKKALLRFGFGPRKNQIRCDNLLSSSESDGKRRGEAVVAAAKKRNQSPERCAAEGRLIGGGASEAITEVRTMMPERIKVVILTACMMCLCNADRVVMSVAVVPLADKLGWSSSFLGVVQSSFLWGYIFSSVIGGALVDRYGGKRVLAWGVALWSLATLLTPWAAAHSTLALLCVRAFFGLAEGVALPSMTTLLSRWFPTDERASAVGISMAGFHMGNVVGLLLTPLLLSSIGISGPFILFASLGLLWVSTWSSGVTNNPQDSPFITRSELRLIQAGKPVQPSTNSTKPNPSLRLLLSKLPTWAIILANVTNNWGYFVLLSWMPVYFQTVFNVNLKQAAWFSALPWATMAISGYYAGAASDFLIRTGHSVTSVRKIMQSIGFMGPGLSLLCLNFAKSPSCAAVFMTIALSLSSFSQAGFLLNMQDIAPQYAGFLHGISNCAGTLAAIVSTIGTGYFVQWLGSFQAFLTVTAFLYFATTVFWILFATGERVF >fgenesh2_kg.4__1874__AT2G38070.1 pep chromosome:v.1.0:4:18408655:18410854:1 gene:fgenesh2_kg.4__1874__AT2G38070.1 transcript:fgenesh2_kg.4__1874__AT2G38070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPANNNPVAALSAVALAPPPHPPQPHRPSTSCDRHPDERFTGFCPSCLFDRLSVLDITGKNTVASSSRKPPSSSAALKAIFKPSSSSGSLFPELRRTKSFSATKAEAFSLGAFEPQRRSCDVRVRNTLWSLFHEDAEHNSQTKEGLSVNCSEVDLERINSIVKSPVFEEETIIESEQDNEKDINFGTFKEPRSVIDEIVEEEEEEETKKVEDFQMEINPQTTKKTNRDFKEIAGSFWSAASVFSKKLQKWRQKQKLKKHRTGAGSAALPVEKSIGRQLRDTQSEIAEYGYGRRSCDTDPRFSIDAGRFSLDAGRVSVDDPRYSFEEPRASWDGYLIGRTAAPPRMPSMLSVVEDSPVRNHVFRSDTQIPVEKPPPVPGRVNEEIVPGGSAQTREYYLDSSSRRRKSLDRSSSTRKISASVMAEIDELKLTQDREAKDLISHSNSLRDDCCSVENNYEMGVRENVGTIECNKKRTKKSRWSWNIFGLLHWKNGNKYEEEEERRSGVDRTFSRSWNVESRNGFDPKMIRSNSSVSWRSSGTTGGGYQRNSVDGYISGKKKVSKAENGMLKFYLTPGKGRRRGSGNSAAPTNRPVPGSQPFGSRNVMNFY >fgenesh2_kg.4__1875__AT2G38080.1 pep chromosome:v.1.0:4:18414351:18418163:1 gene:fgenesh2_kg.4__1875__AT2G38080.1 transcript:fgenesh2_kg.4__1875__AT2G38080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:D7LKS9] MGYMVWFLFLISFFFVFPAPSESIIRHYKFNVVMKNTTRLCSSKPIVTVNGRYPGPTIYAREDDTLLIKVVNHVKYNLSIHWHGVRQVRTGWADGPAYITQCPIQPGQVYTYNYTLTGQRGTLWWHAHILWLRATVYGALVILPKRGVPYPFPKPDHEKVIVLGEWWKSDTENIINEALKSGLAPNVSDAHMINGHPGPVKNCPSQGYKLSVENGKTYLLRLVNAALNEELFFKVAGHIFTVVEVDAVYVKPFKTDTVLIAPGQTTNVLLTASKSAGKYLVTASPFMDAPIAVDNVTATATVHYSGTLSSSPTILTLPPPQNATSIANNFTKSLRSLNSKKYPALVPTTIDHHLFFTVGLGLNACPTCKAGNGSRVVASINNVTFIMPKTALLPAHYFNTSGVFTTDFPKNPPHVFNYSGGSVTNMATETGTRLYKLPYNATVQLVLQDTGVISPENHPVHLHGFNFFEVGRGLGNFNSTKDPKNFNLIDPVERNTIGVPSGGWVVIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVENGKGPNQSILPPPKDLPKC >fgenesh2_kg.4__1876__AT2G38090.1 pep chromosome:v.1.0:4:18427132:18429623:1 gene:fgenesh2_kg.4__1876__AT2G38090.1 transcript:fgenesh2_kg.4__1876__AT2G38090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LKT0] MHRGIQVMSPATYLETSNWLFQENRGTKWTAEENKKFENALAFYDKDTPDRWSKVAAMLPGKTIGDVIKQYRELEEDVSDIEAGLIPIPGYVSDSFTLDWGGYDGAGGNNGFNMNGYYFSAAGGKRGSAARTAEHERKKGVPWTEEEHRQFLMGLKKYGKGDWRNIARNFVTTRTPTQVASHAQKYFIRQVNGGKDKRRSSIHDITTVNIPDSPDAAAADNATANAPCSPPSIGGSQRETSEWEGQTLYDETTAAFYSQNAFSETLLGMSSTPYMAKLQEQSFLNASQFESYNAYLQM >fgenesh2_kg.4__1878__AT2G38110.1 pep chromosome:v.1.0:4:18435313:18438071:-1 gene:fgenesh2_kg.4__1878__AT2G38110.1 transcript:fgenesh2_kg.4__1878__AT2G38110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 6 [Source:UniProtKB/TrEMBL;Acc:D7LKT2] MGAQEKRRRFEPISKCDVKDRSNHTVAADLDGTLLISRSAFPYYFLVALEAGGLLRALILLVSVPFVYLTYLTVSETLAINVFVFITFAGLKIRDVELVVRSVLPRFYAEDVRPDTWRIFNTFGKRYIVTASPRIMVEPFVKTYLGVDKVLGTELEVSKSGRATGFTRKPGILVGQHKREVVLREFGSLASDLPDLGLGDSKTDYDFMSICKEGYMVPRTKCEPLPRNKLLSPIIFHEGRLVQRPTPLVALLTLLWLPIGFLLSLIRVYTNIPLPERIARYNYKLTGIKLIVNGHPPPPPKPGQPGHLLVCNHRTVLDPVVTAVALGRKISCVTYSISKFSELISPIKAVALTRQREKDAANIKRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSMFNGTTTRGYKLLDPYFAFMNPRPTYEITFLKQIPAELTCKGGKSPIEVANYIQRVLGGTLGFECTNFTRKDKYAMLAGTDGRVPVKKEKT >fgenesh2_kg.4__1879__AT2G38120.1 pep chromosome:v.1.0:4:18460141:18464311:1 gene:fgenesh2_kg.4__1879__AT2G38120.1 transcript:fgenesh2_kg.4__1879__AT2G38120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGVEAIVANDNGTDQMNGNRTGKDNEEHDGSTGSNLSNFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGIVLQIFYGLLGSWTAYLISVLYVEYRARKEKEGKSFKNHVIQWFEVLDGLLGSYWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIASIIHGQTEGVKHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLMATLYVFTLTIPSAAAVYWAFGDALLDHSNAFSLMPKNAWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRSASARQNAAEKPPFFMPSWTAMYVLNAFVVIWVLIVGFGFGGWASVTNFVRQVDTFGLFAKCYQCKPAAAAAHAPVSALHHRL >fgenesh2_kg.4__1881__AT2G38140.1 pep chromosome:v.1.0:4:18471460:18472170:1 gene:fgenesh2_kg.4__1881__AT2G38140.1 transcript:fgenesh2_kg.4__1881__AT2G38140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-specific ribosomal protein 4 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LKT6] MASLILGAPPRVTVALSSSRLSSSHSETAGVSLSCSTHQFSLSTSSSSSIPLVYCGRGDRKTAKGKRFNHSFGNARPRNKNKGRGPERVPVPPAPPRKDKFENDEKIKIDIDES >fgenesh2_kg.4__1885__AT2G38170.1 pep chromosome:v.1.0:4:18483492:18487881:-1 gene:fgenesh2_kg.4__1885__AT2G38170.1 transcript:fgenesh2_kg.4__1885__AT2G38170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVTEPWSVAENGNASMTAKGSSRELRLGRTAHNMSSSSLRKKSDLRVIQKVPYKGLKDFLSNLQEVILGTKLAILFPAIPAAIICTYCGVSQPWIFGLSLLGLTPLAERVSFLTEQLAFYTGPTLGGLLNATCGNATELIIAILALTNNKVAVVKYSLLGSILSNLLLVLGTSLFCGGIANIRREQRFDRKQADVNFFLLLMGFLCHLLPLLVEYLANGKTSAAVLSDMQLSISRGFSIVMLISYIAYLVFQLWTHRQLFDAQDQEDEYDDDVEEETAVISFGSGFVWLVGMTLVIALLSEYVVATIEEASDKWNLSVSFISIILLPIVGNAAEHAGAVIFAFKNKLDISLGVALGSATQIGLFVVPLTIIVAWILGINMDLNFGLLETGCLAVSIIITAFTLQDGSSHYMKGLVLLLCYFIIAICFFVDKLPQKQNAIHLGHQAMNNVVTATGGGVFSS >fgenesh2_kg.4__1893__AT2G38240.1 pep chromosome:v.1.0:4:18504738:18506900:-1 gene:fgenesh2_kg.4__1893__AT2G38240.1 transcript:fgenesh2_kg.4__1893__AT2G38240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7LLG3] MATCWPEPIVSVQSLSQTGVPTVPNRYVKPAHQRPVFNTTQSDAEMEIPVLDMDDVWGKPEGLRLVRSACEEWGFFQMVNHGVNHSLMESVRGAWREFFELPLDEKRKYANSPDTYEGYGSRLGVVKDAKLDWSDYFFLNYLPSSIRSPSKWPSQPPKIRELIEEYGEEVKKLCERLTETLSESLGLEPNHLMKALGGEDKVGASLRTNYYPKCPQPHLTLGLSSHSDPGGITILLPDEKVAGLQVRRCDGWITVKSVPNALIVNIGDQIQILSNGIYKSVEHQVIVNSGMERVSLAFFYNPRSDIPIGPIEELVTENRPALYKPIRFDEYRSLIRQKGPCGKNQVDSLLLSR >fgenesh2_kg.4__1897__AT2G38280.2 pep chromosome:v.1.0:4:18532346:18554525:-1 gene:fgenesh2_kg.4__1897__AT2G38280.2 transcript:fgenesh2_kg.4__1897__AT2G38280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNIYQLALAALFGASFVAVSGFFMHFKALNLVLERGKERKENPEGDEPQNPTLVRRRSQVRRKGIDQYGRSPASLPDATPFTDGGGGDTGRSNGHVYVDEIPPGLPRLHTPSEGRASVHGASSIRKTGSFVRPISPKSPVASASAFESVEESDDDDNLTNTEGLDASYLQANGNNELVKPVDANEEQISMVASSMIRSHSVSGDLHGVQPDPIAADILRKEPEQETFVRLNVPLEVPTSDEVEAYKCLQECLALRKRYVFQETVAPWEKEVISDPSTPKPNTEPFAHYPQGKSDHYFEMQDGVVHVFANKDTKEELFPVADATAFFTDLHHVLKVIAAGNIRTLCHRRLVLLEQKFNLHLMLNADKEFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLREVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGEITKQVFSDLEASKYQMAEYRISIYGRKMSEWDQLASWIVNNDLYSENVVWLIQLPRLYNIYKDMGIVTSFQNILDNIFIPLFEATVDPDSHPQLHVFLKQVVGFDLVDDESKPERRPTKHMPTPAQWTNAFNPAFSYYVYYCYANLYVLNKLRESKGMTTITLRPHSGEAGDIDHLAATFLTCHSIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPVFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSACDLCEIARNSVYQSGFSHALKSHWIGKDYYKRGPDGNDIHKTNVPHIRVEFRDTIWKEEMQQVYLGKAIISDEVVP >fgenesh2_kg.4__1901__AT2G38300.1 pep chromosome:v.1.0:4:18559871:18561920:-1 gene:fgenesh2_kg.4__1901__AT2G38300.1 transcript:fgenesh2_kg.4__1901__AT2G38300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7LLH2] MEGGEKTNREDEEEAEEEEEGEESKVSSNSTVEESDKKTKVRPYVRSKVPRLRWTPDLHLRFVRAVERLGGQERATPKLVRQMMNIKGLSIAHVKSHLQMYRSKKIDDQGQAIADHKHLFETSTDRNIYKLSQLPMFRGYNRNYDSPFRYGSKFSNASLWNSSSHGTDRSLIEQIRPGLIRSSSVSNNIRGSEYWTNNRSFKNIYSSSISNHLPKLRHDHQERTNPVTFNSMQGHSRTFQKFHIGVEESTNHAYFSKTTGKRNASTSIDLDLDLSLKLRQPEKTILEETETATTTTDQTLSLSLCPGSSSWKKSRLIKKDEEDRTVKIGQASTLDLTL >fgenesh2_kg.4__1903__AT2G38320.1 pep chromosome:v.1.0:4:18601827:18605649:1 gene:fgenesh2_kg.4__1903__AT2G38320.1 transcript:fgenesh2_kg.4__1903__AT2G38320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRQLLMLGIRTSFHTIAAVLVAGLIVTAVFMSRNGLLKENPQRDSVPDLSGDSSKECNLFEGKWVFDNESYPLYKEEDCKFMSDQLACEKFGRKDLSYKFWRWQPHTCDLPRFNGTKLLERLRNKRMVYVGDSLNRGQWVSMVCMVSSVITNPKAMYMHNNGSNLITFKALEYNATIDYYWAPLLVESNSDDPTNHRFPDRIVRIQSIEKHARHWTNSNIIVFNSYLWWRMPHIKSLWGSFEKLDGIYKEVEMVRVYEMALQTLSQWLEVHVNPNLTKLFFMSMSPTHERGEEWGGKLDQNCYGETSLIDKEGYTGKGSDPKMMRVLENVLDGLKNRGLHMQMINITQLSEYRKEGHPSIYRKQWGTVKENELSNPSSNADCIHWCLPGVPDVWNELLYAYIPDHHSS >fgenesh2_kg.4__1904__AT2G38330.1 pep chromosome:v.1.0:4:18613815:18627148:1 gene:fgenesh2_kg.4__1904__AT2G38330.1 transcript:fgenesh2_kg.4__1904__AT2G38330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7LLI9] MAAVATPFCFSPLRSPSLFQLHNLSLNPNSSIRRTIFCKSSPRDESPAVTASSRRPEKQQNLVESPKPDPDHKPEPGIGKIGMEIMSIALPAALALAADPITSLVDTAFVGHIGSAELAAVGVSVSVFNLVSKLFNVPLLNVTTSFVAEEQAIAAKDDSDSIETSKKVLPSVSTSLVLAAGVGIAEAIALSLGSDFLMDVMAIPFDSPMRIPAEQFLRLRAYGAPPIVVALAAQGAFRGFKDTTTPLYAVGKSMTDIYMIVNCCYFNLAGNVLNAILDPILIFVLGFGISGAAAATVISEYLIAFILLWKLNENVVLLSPQIKVGRANQYLKSGGLLIGRTVALLVPFTLATSLAAQNGPTQMAGHQIVLEVWLAVSLLTDALAIAAQSLLATTFSQGEYKQAREVIFGVLQVGLATGTGLAAVLFITFEPFSSLFTTDSEVLKIALSGTLFVAGSQPVNALAFVLDGLYYGVSDFGFAAYSMVIVGFISSLFMLVAAPTFGLAGIWTGLFLFMALRLVAGAWRLGTRTGPWKMLWSAPEKPE >fgenesh2_kg.4__1905__AT2G38340.1 pep chromosome:v.1.0:4:18627144:18627998:-1 gene:fgenesh2_kg.4__1905__AT2G38340.1 transcript:fgenesh2_kg.4__1905__AT2G38340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEDNGSKQSSSASVVSSRRRRRRVVEPVEATLQRWEEEGLDKARRVQAKGSKKGCMRGKGGPENPVCRFRGVRQRVWGKWVAEIREPVSHRGANSSRSKRLWLGTFATAAEAALAYDRAASVMYGPYARLNFPEDLGGEMKKDEEAETSGGYWLETEKSGHGVIETKDRKDYVVYNEDAIELGHDKTENPINENPSVKSEENYSFERFKLENGLLYNEPQGSSYHQGGGFDSYLEFFRF >fgenesh2_kg.4__1906__AT2G38360.1 pep chromosome:v.1.0:4:18629446:18630411:-1 gene:fgenesh2_kg.4__1906__AT2G38360.1 transcript:fgenesh2_kg.4__1906__AT2G38360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7LLJ1] MASSAPPVLPISNPQTVPSAAPSSVESQPPIATPAFRNFINQITETVKNGLSKRRPWAELADRSALSKPESISDAAVRIRKNYSYFKVNYLTVATAIVGFSLVTHPFSLVFLLCLLASWLFLYLFRPTDQPIVVFGRTFSDRETLGCLILFSIFVIFLTDVGSVLVSAMMVGVALICAHGAFRAPEDLFLDEQEPAATGFLSFLGGAASSAAPAVIAARV >fgenesh2_kg.4__190__AT2G22690.1 pep chromosome:v.1.0:4:1139540:1141226:-1 gene:fgenesh2_kg.4__190__AT2G22690.1 transcript:fgenesh2_kg.4__190__AT2G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNVKDAFDRVAKKQKLCYSKTQEVVDRLSQEINKALDTIHEDNHESVVADLKKTFEEISPINQLEASQKEVNGALTKYPKALDKTLNPDISTAYRNIEFDRHTVHQIIAQFFYRQGMYEIGDSFVSEIGEPELVESSVTKAFMEMNMILEAMGKRDLGPALKWVASNSEKIKEAKSDLELKLHSLHFLEIAKDKNSKEAINYARKHFAAYSDSCLPEIQKLMCSLLWNRNLVKSPYSDFLSPVLWTNAAKELTRQYCKLLGESSESPLSVTVAAGSQVLPTFLKYLTVMPEKRQEWQTMKQLLVPVELSEEYRFYSVFVCPVSKEHSSEDNPPMRLGCGHVLCKQSINRMSRNGSRSFKCPYCPTDIDASQCKQLYF >fgenesh2_kg.4__1912__AT2G38420.1 pep chromosome:v.1.0:4:18670729:18672141:1 gene:fgenesh2_kg.4__1912__AT2G38420.1 transcript:fgenesh2_kg.4__1912__AT2G38420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LLK1] MSNFMRKYRKIPQSSFKTKWNENLKQKYAMEELRSNLLADSENGSVMRTLVSSFQLHNCEPTPQAYRFVIETLAKTSQLENIASVLDHLEVSEKFDTPESIFRDVIAAYGFSGRIEEAIDVFFKIPNFRCVPSAYTLNALLLVLVRKRQSLELVPEILVKASRMGVRLEESTFGILINALCRIGEVDCATELVRYMSEDSVIVDPRLYSLLLSSVCKHKDSSCFDVIGYLEDLRKTRFLPGLRDYTVVMRFLVEGGRGKEVVSVLNQMKCDRIDPDVVCYTIVLLGVIADEDYPKADKLFDELLLLGLDPDVYTYNVYINGLCKQNDIEGAIKMMSSMNKLGSEPNVVTYNIVIKGLVKAGDLSRAKTLWKEMEMNGVNRNSHTYDIMISAYIEVDEVVCAQGLLEEAFNMNLFVKSSKIEEVISRLCEKGLMDKAVELLAHLV >fgenesh2_kg.4__1916__AT2G38465.1 pep chromosome:v.1.0:4:18690048:18690632:-1 gene:fgenesh2_kg.4__1916__AT2G38465.1 transcript:fgenesh2_kg.4__1916__AT2G38465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAETSQRPSKSLTDRVKTNCLSMAVSCQEGFSYVKAFFVGQTKRLTAKNEKEATEAHLTETKMQVDATDEAENAKKRLHQSS >fgenesh2_kg.4__1917__AT2G38470.1 pep chromosome:v.1.0:4:18691660:18694027:1 gene:fgenesh2_kg.4__1917__AT2G38470.1 transcript:fgenesh2_kg.4__1917__AT2G38470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor 33 [Source:UniProtKB/TrEMBL;Acc:D7LLK7] MDNSRTRQNMNGSANNWSQQSGRTSTSSLDDLEIPKFRSFAPSSISISPSTCFSPSVFLDSPAFVSSSANVLASPTTGALITNGSNQKVINEGEKSNNNNINFFDFSFHTQSSGVSAPTTTTTTTTTTNSSIFQPQEQQKNNQSEQWNQTETRPNNQAVSYNGREQRKGEDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVERSLEGQITEIVYKGSHNHPKPQSTRRSSSSSSTFHSAVYNASLDHNRQASSDQPNSNNSFHHSDSFGMQQEDNTTSDSVGDDEFEQGSSIVSREEEDCGSEPEAKRWKGENETNGGNGGGSKTVREPRIVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTIGCPVRKHVERASHDMRAVITTYEGKHNHDVPAARGSGYATNRAPQDSSSVPIRPAAIAGHSNYTTSSQAPYTLQMLHNNNTNSGAFGYAMNNNNNNSNLQTQQNFVGGGFSRAKEEPNEETSFFDSFLP >fgenesh2_kg.4__1919__AT2G38490.1 pep chromosome:v.1.0:4:18700615:18702338:-1 gene:fgenesh2_kg.4__1919__AT2G38490.1 transcript:fgenesh2_kg.4__1919__AT2G38490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7LBN6] MAEDSNSSDSIIVNVIGDDNKSALFGKYDLGKLLGSGAFAKVYQAEDLQNGGESVAIKVVQKKRLKDGLTAHVKREISVMRRLRHPHIVLLSEVLATKTKIYFVMELAKGGELFSRVTSNRFTESLSRKYFRQLISAVRYCHARGVFHRDLKPENLLLDENRDLKVSDFGLSAMKEQIRPDGMLHTLCGTPAYVAPELLLKKGYDGSKADIWSCGVVLFLLNAGYLPFRDPNIMGLYRKIHKAQYKLPDWTSSDLRKLLRRLLEPNPELRITVEEILKDPWFNHGVDPSETIGIQADDYDLEENGKILNAFDLISSASSSNLSGLFGNFVTPDHCDQFVSDESTAEIMRKVEEVAKQLNLRIAKKKERAIKLEGPHGVANVVVKVRRLTKELVMVEMKNKQRDVGLVWADALRQKLRRLINQPVFRVPDKP >fgenesh2_kg.4__1923__AT2G38540.1 pep chromosome:v.1.0:4:18716890:18720354:1 gene:fgenesh2_kg.4__1923__AT2G38540.1 transcript:fgenesh2_kg.4__1923__AT2G38540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:D7LBP0] MAGVMKLACLVLACMIVAGPITSNAALSCGSVNSNLAPCIGYVLQGGTIPAGCCSGVRNLNNIAKTTPDRQQACNCIQGAARALGSGLNAGRAAGIPKACGVNIPYKISTSTNCKTVR >fgenesh2_kg.4__1924__AT2G38550.1 pep chromosome:v.1.0:4:18721628:18723998:1 gene:fgenesh2_kg.4__1924__AT2G38550.1 transcript:fgenesh2_kg.4__1924__AT2G38550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPMELMSIRNPNSTLLYRAHSRPPVTPPRPLLPSRRHFNAPRAVISCTGLRFGFSSPFCPEVLLNRSVVAFAASHEDLEESGVEVGKEKSDIDVEDDTSKEAWKQTLESFKEQVSKMQSVSSEAYSVNSQKAMTVLKETSEQLRIQAEKAKEVLGTKAKVVGEEGREYILKAAEESPSDVKEIVEAFASTEDLKDVSRANDFHVGIPYGLLLLVGGFINFMVSGSIPAIRFGVILGGALFALSLASLKSHRKGESSTKFLKGQMAIVAIIFLRELRLLLSQKSTFLGFFTTLTSGGVLAFYLYKMVGKRGKGPNLEDGGEDESGDGVVTSEG >fgenesh2_kg.4__1925__AT2G38560.1 pep chromosome:v.1.0:4:18724237:18726313:1 gene:fgenesh2_kg.4__1925__AT2G38560.1 transcript:fgenesh2_kg.4__1925__AT2G38560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor S-II domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LBP2] MESDLIGLFEGAKKAADAAALDGVASSGPEVSQCLDALKQLKKFPVTYDTLVATQVGKKLRSLAKHPIEEIKSVATDLLEIWKKVVIGETAKAKKTEGTNGCKEAKVNKMDVDKPSNPAPVKVQKLQRGDSAKSIKVERKEPDNKGVTGVKIERKELDNKVTNGTKIDYRGQAVKDEKVSKDNQSSMKAPAKAPNAPPKLTAMLKCNDPVRDKIRELLVDALCRVAGEADDYERKSVNASDPLRVAVSVESLMFEKLGRSTGAQKLKYRSIMFNLRDGNNPDLRRRVLTGEISPEKLITLSAEEMASDKRKQENNQIKEKALFDCERGLAAKASTDQFKCGRCGQRKCTYYQMQTRSADEPMTTYVTCVNCDNHWKFC >fgenesh2_kg.4__1926__AT2G38570.1 pep chromosome:v.1.0:4:18726635:18728267:-1 gene:fgenesh2_kg.4__1926__AT2G38570.1 transcript:fgenesh2_kg.4__1926__AT2G38570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNCSSSFVSLALPVLNARLVKPNSVNCRWRLRRIQHSILNCSWRRAQCYFDSNNSAFLGQSSNRIVFCLSSSSLPNEEDVVHQTVESDSAELPGESYLIRLVGDNDLTNTGSKGFKQTMTRSNLVAKQVISIQSALSLGFISQLWVDTSSWLVLVVDVKPSLLSGEYERFLLKDIVRVLLCLVSPMLKVVSFGNVFTYILGFILRSLLQSSDTRTAKHWKGYSFNINSGIVESLELDSFGVTIIPSSLVSTYRLDVEDIIEVLQDIVVVHEDAASRKQRLTKGLWDGQFDSEYSDVEELESSSDRRRRRRNNRFNRKKRDLDDEEWDIFR >fgenesh2_kg.4__1928__AT2G38590.1 pep chromosome:v.1.0:4:18732796:18733967:1 gene:fgenesh2_kg.4__1928__AT2G38590.1 transcript:fgenesh2_kg.4__1928__AT2G38590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMISNLPTVLIEEIFVRVPLKSLRAVRLTCKSWNTLSKSRSFLKLYISKTATREEESMMIAMMNFDLYLMRVVVDDVDPSKAFKKKRKRRKKKESIAFKRKPCFLDEQVKISQVFHCEGLLLCILKEDDTRIISEEHPKNNFFAVVTVVFLSTETLTGVLKKGTQKTILSITSYVLILQERDLGRFCLCRLALYMDHEREALQLYFSITNQIGMSLIYGLRLRLMLKWCRGAYVPHICDGFFIDEEKKIAMGFEEEFYRKTFIIIGEDGYQSTCRQKILVQIKKPARGKRKRQSSFEKRLFDQNMLRLEAFKKLDFF >fgenesh2_kg.4__1930__AT2G38610.2 pep chromosome:v.1.0:4:18737835:18740601:-1 gene:fgenesh2_kg.4__1930__AT2G38610.2 transcript:fgenesh2_kg.4__1930__AT2G38610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LBP7] MSGLYNNSSYFSPARAASPQIRSTPEIDSSQYLTELLAEHQKLTPFMQVLPICSRLLNQEMFRVSGMMSNQGFGDFDRLRHRSPSPMASSNLMSNVSNTGLGGWNGLSQERLSGTPGMTMDWQGAPGSPSSYTVKRILRLEIPVDNYPNFNFVGRLLGPRGNSLKRVEATTGCRVFIRGKGSIKDPEKEDKLRGRPGYEHLNEQLHILIEADLPASIVEIRLRQAQEIIEELLKPVDESQDFIKRQQLRELALLNSNNLREESPGPSGGGSVSPFNSSGKRPKTGC >fgenesh2_kg.4__1933__AT2G38640.1 pep chromosome:v.1.0:4:18746764:18747714:-1 gene:fgenesh2_kg.4__1933__AT2G38640.1 transcript:fgenesh2_kg.4__1933__AT2G38640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPKFPNTCDESLCDSKAAVVLTVWKKSLLFNCDGFTVYNANGELVFRVDNYMNCPRDNIVLMDASGFPLLSIRRKKLSLGDCWMVYDGETERDPILTARKNVNILTNRRSLAWVSAKKTVLYEIEGSYSQRSCKILDERRNKKKTAEIKRKETVIGGVAFGKDVFKLIVESEMEPRVAMALTIILDQMFRSS >fgenesh2_kg.4__1934__AT2G38650.1 pep chromosome:v.1.0:4:18750460:18754398:-1 gene:fgenesh2_kg.4__1934__AT2G38650.1 transcript:fgenesh2_kg.4__1934__AT2G38650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LBQ2] GGGGGGGGGGGGKRRWKVLVIGVLVLVILSMLVPLAFLLGLHNGFHSPGFVTVQPASSFESFTRINATKHTQRDVSERVDEVLQKINPVLPKKSDINVGSRDMNVTSGTDSKKRGLPVSPTVVANPSPANKTKSEASYEGVQRKVVSGDETWRTCEVKYGSYCLWREENKEPMKDTKVKQMKDQLFVARAYYPSIAKMPSQSKLTRDMKQNIQEFERILSESSQDADLPPQVDKKLQKMEAVIAKAKSFPVDCNNVDKKLRQILDLTEDEASFHMKQSVFLYQLAVQTMPKSLHCLSMRLTVEHFKSASLEDPISEKFSDPSLLHFVIISDNILASSVVINSTVVHARDSKNFVFHVLTDEQNYFAMKQWFVRNPCKQSTVQVLNIEKLELDDSDMKLSLPAEFRVSFPSGDLLASQQNRTHYLSLFSQSHYLLPKLFDKLEKVVVLDDDVVVQQNLSPLWDLDMEGKVNGAVKLCTVRLGQLKSLKRGNFDTNACLWMSGLNVVDLARWRELGVSETYQKYYKEMSGGDESSEAIALQASLLTFQDQVYALDDKWALSGLGYDYYINAEAIKNAAILHYNGNMKPWLELGIPKYKNYWRKHLNREDRFLSDCNVNP >fgenesh2_kg.4__1936__AT2G38660.3 pep chromosome:v.1.0:4:18754586:18756985:1 gene:fgenesh2_kg.4__1936__AT2G38660.3 transcript:fgenesh2_kg.4__1936__AT2G38660.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylenetetrahydrofolate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7LBQ3] MIARKALASAHTKAIRLTTRDVHCFSSILVSPPLVSLDLPENWIPYSDPPPPVSFETEQKTVVIDGNVIAEEIRTKISSEVRKMKKAVGKVPGLAVVLVGQQRDSQTYVRNKIKACEETGIKSILAELPEDCTEGQILSILKKFNEDTSIHGILVQLPLPQHLDESKILNMVRLEKDVDGFHPLNVGNLAMRGREPLFVSCTPKGCVELLIRAGVEIAGKNAVVIGRSNIVGLPMSLLLQRHDATVSTVHAFTKDPEQITRKADIVIAAAGIPSLVRGSWLKPGAVVIDVGTSPVEDSSCEFGYRLVGDVCYEEALGVASAITPVPGGVGPMTITMLLCNTLDAAKRIFL >fgenesh2_kg.4__1939__AT2G38680.1 pep chromosome:v.1.0:4:18766271:18767656:-1 gene:fgenesh2_kg.4__1939__AT2G38680.1 transcript:fgenesh2_kg.4__1939__AT2G38680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPVIAHPRALTDKITLIRDAGPSKFQVFPTPISESMSNKETHITMLRGKHYMITIILLRFLLLFPLMRKPNSWKWWSKTHELLIEGGLTYEAIKKSVANSSIAFREGVTELFEFLEKKEIPVLIFSAGLADSYSPTSAASIMTSAASIMNISLSYQVLRQNLGRTFKNVKIVSNWMVFNDDGQLVSFKGKLIHVLNKNEHALDMAAPLHDRLGVDIGEEDEENVNMKERRNVLLMGDHLGDLRMSDGLDYETRISIGFL >fgenesh2_kg.4__1941__AT2G38700.1 pep chromosome:v.1.0:4:18777846:18781052:-1 gene:fgenesh2_kg.4__1941__AT2G38700.1 transcript:fgenesh2_kg.4__1941__AT2G38700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diphosphomevalonate decarboxylase [Source:UniProtKB/TrEMBL;Acc:D7LBQ9] MAEEKWVMMVTAQTPTNIAVIKYWGKRDEVRILPINDSISVTLDPDHLCTLTTVSVSPSFDRDRMWLNGKEISLSGSRYQNCLREIRSRADDLEDKEKGIKIEKKDWQKLHLHIASHNNFPTAAGLASSAAGFACLVFALAKLMNVNEDPSQLSAIARQGSGSACRSLFGGFVKWNMGNKEDGSDSVAVQLVDDKHWDDLVIIIAVVSSREKETSSTSGMRESVETSLLLQHRAKEVVPVRILQMEEAIKNRDFTSFTKLTCSDSNQFHAVCMDTSPPIFYMNDTSHRIISLVEKWNRSAGTPEIAYTFDAGPNAVLIARNRKVAVELMQGLLYCFPPKPDTDMKSYVLGDTSIVKEAGLEGELPQGIKDKIGNQDQKGEVSYFICSRPGRGPVVLQDQTQALLHPQTGLPK >fgenesh2_kg.4__1943__AT2G38710.1 pep chromosome:v.1.0:4:18781413:18784303:-1 gene:fgenesh2_kg.4__1943__AT2G38710.1 transcript:fgenesh2_kg.4__1943__AT2G38710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMMECR1 family [Source:UniProtKB/TrEMBL;Acc:D7LBR0] MVSANREMAVYCFDTLVSHYNNEETPPPAFQEANHPLFVTWKKIVNGGEPRLRGCIGTLEARRLISGFKDYALTSALRDRRFPPIQAKELPSLQCTVSVLTDYEDAEDYLDWEVGKHGIIIEFTEPETNTKRSATYLPEVPAHEGWTKIEAIDSLVRKAGYNGVITEAVRRRINLTRYQSTLFSMHYSEYLSYVKATRGVGPGINGINKPSFA >fgenesh2_kg.4__1945__AT2G38730.1 pep chromosome:v.1.0:4:18794995:18796848:-1 gene:fgenesh2_kg.4__1945__AT2G38730.1 transcript:fgenesh2_kg.4__1945__AT2G38730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7LCB2] MNSGGGIVAAAAPSSGGGNVEWHVRPPNPKNPVVFFDVSIGGIPAGRIKMELFADIAPKTAENFRQFCTGEHRKAGKPLGYKECQFHRVIKDFMVQSGDFLKNDGSGCVSIYGHKFEDENFTAKHTGPGLLSMANSGPNTNGCQFFITCAKCDWLDNKHVVFGRVLGDGLLVMRKIENVAIGPNNRPKLAVVITECGEM >fgenesh2_kg.4__1947__AT2G38750.1 pep chromosome:v.1.0:4:18805660:18807913:-1 gene:fgenesh2_kg.4__1947__AT2G38750.1 transcript:fgenesh2_kg.4__1947__AT2G38750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANNAT4 [Source:UniProtKB/TrEMBL;Acc:D7LCB4] MALPLEFESLTEAISGMGVDENALISTLGKSQKEHRKLFRKASKSFFVEDEERAFEKCHDHFVRHLKLEFSRFNNAVVMWAMHPWERDARLVKKALKKGEEAYNLIVEVSCTRSAEDLLGARKAYHSLFDQSMEEDIASHVHGPHRKLLVGLVSAYRYEGNKVKDDSAKSEAKILAEAVASSGEEVVEKDEVVRILTTRSKLHLQHLYKHFNEIKGSDLLGGVSQSSLLNEALLCLLKPALYFSKILDASLNKDADKTTKKWLTRVFVTRADHSDEMNEIKEEFNNLYGETLAQRIQEKIKGNYRDFLLTLLSKSD >fgenesh2_kg.4__1949__AT2G38770.1 pep chromosome:v.1.0:4:18813261:18820690:-1 gene:fgenesh2_kg.4__1949__AT2G38770.1 transcript:fgenesh2_kg.4__1949__AT2G38770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVYGTGTYDFKRHRVAEYPLELPSHPAEKPLEAKPGSNLPSSITLSEIQQDRLTKIAEESWIKTGGKLPEKPFDPEVVKEIYVTELKVTSGRKPVPLQRVMILEVSQYLENYLWPNFDPETATFEHVMSMILMINEKFRENVAAWICFHDRDDLFKKFLQKVLRLKVGRDLTIAEKTNYLVFMINAFQSLEDAVVNEIVLSLAGLQSWHSLSYGRFQMELCLQPDLIKKWKRSSKKWAAEAKSKGEKFDLSSSPEANFVRGIIEEFVEVLDHGVFADEVDDTAGSQLVDDSSVLYCERFMEFLIDMLNQLPTRRYLRPLVADIAVVAKCRLSALYKHEKGKLFAQLVDLLQFYEKFEIKDHDGTQLTDDEALQFHYDRFMAFQLLAFKKIPKLQDLSLANIGSVHKSSDLRRRLSVLSLEDLRDVVCSKLKLVSRHDPWADSKDFLTEVVVSSFEKQQSQKEAINALPLYPNEQIMWDESVIPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLAHINNEGDTAFRGWSRMAVPINDFKIAQVKQPNIGEEKPSSVTAEVTFSIKSYRTQIRSEWNSLKEHDVLFLLCIRPSFEPLGPDEADKATVPQRLGLQYVRGCEIINIRDEEGNLMNDFTGRVKRDEWKPPKGEMRTVTVALDAAQYHIDVTDMAEKGAEDVYGTFNVLMRRKPKENNFKAILESIRDLMNEYCIVPEWLHNVFLGYGNPSAAQWPNMPNLLETVDFKDTFLDANHLSESFPDYEVSFINAEGAEALDPSPPFRITLPKTLKGNAAISGNKISEVNPADNVNMVDASPKEKLIVEAYTPPDPGPYPQDQPKQNSVKFTPTQVGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMERDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLNEVERLGRSLQLPEDVGYTCETAGYFWLLHVYSRWELFLAACAGNEDNQSFVRDRFPFKDFFSDTPKPVFSGESFEKDMRAAKGCFSHLKTVFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRRDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPTLAKLYNWRYRDLGDLSIVKEAPIFQRANAGFSYEYQLVNVPDYEGKGESTPSPWFYQNQGEAEYIVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVINRRCVPYPFIGPPSKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDRLGLNLNENTTYTDRAVEEVGNPYLVHDVEEMAHIVHDRMNQFYQAQGVYEQYQNNMPQMEDGNHDMESDSVVGAVDGDESEKNMQQIKQAPDIDGELSKEVVGMEVDNNGFSSENGKADEKN >fgenesh2_kg.4__1951__AT2G38790.1 pep chromosome:v.1.0:4:18825047:18826044:-1 gene:fgenesh2_kg.4__1951__AT2G38790.1 transcript:fgenesh2_kg.4__1951__AT2G38790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGKKHLNINSLNHQSTKDEGNNCKNSLFFGLFDDPESTRLRSGSMKRQYSDMGDSYHRVYEEQNDDVDYDGDGDEGSRMDMKVLMVLEYMRELYVGQLQLLKKMFPGAAKEEFLGFFNKIGDAVSQFKQDSRPHTKSMTMQRSLSAGSPRFTSKGVNLGPSDLKNDRFKVTTVNAGGAGGAGSSAGGGKGGSAAGQGQTKK >fgenesh2_kg.4__1952__AT2G38800.1 pep chromosome:v.1.0:4:18827807:18829991:1 gene:fgenesh2_kg.4__1952__AT2G38800.1 transcript:fgenesh2_kg.4__1952__AT2G38800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKTCLTIESSSNLQPETRFGQEHLKNLGPEMMMMKRTKPRRKLKDNHVSSQSGKSQELPKHDLVVKVIGGSPNYMKGTSSSEARKENKKRLNLSRNQKNQAGLKHDSRYGVNKEKSYNKPSSRIGRGLTKAPSFKRCSQRATCSSTLKDSKFPEYLMLNHGETFDQINGTSVLKVCPYTYCSLNGHLHSVQYPPLKSFISSRRQSLKSQKSVKMEAYKEEYVKMDIEEKKEFEDVNGGACEVDIDSQISETVSEGAPRSETDSDDYSDSAEMVSLSEGDHDIELKESGLEETLVDDSVNEVQEKVNRDGDADLLKESDLEETLVDDSMNEIQDEGNRDGDSDHSGCFDSEVICIIKNSEADNAIEETLVDDSVKDLEETANIDGDANLFGCFSSEVIDMMKNKEADNAIEETLVDDSMKEIQEKENKDEDADVSSCFVSEVIDMIKNSAASNAIEDKDDAGEETLKDEAEDCKEEFQDQTEVILMTEEKAKVPYNRTRKPCNQEEPDSSISWTIIKCKKPVAETEDLRAFNPREPNYLPVVVEEDSEKVDLKHQDIDERRNSEDWMFDYALQRAVSKLAPARKRKVALLVEAFETVQPHGREPAEVLSYGRHLQACN >fgenesh2_kg.4__1954__AT2G38810.2 pep chromosome:v.1.0:4:18830285:18831830:-1 gene:fgenesh2_kg.4__1954__AT2G38810.2 transcript:fgenesh2_kg.4__1954__AT2G38810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:D7LCC0] MAGKGGKGLIAAKTTAAANKDSGKKKSISRSSRAGIQFPVGRIHRQLKQRVSAHGRVGATAAVYTASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLVNKVTKD >fgenesh2_kg.4__1956__AT2G38820.1 pep chromosome:v.1.0:4:18833216:18834922:1 gene:fgenesh2_kg.4__1956__AT2G38820.1 transcript:fgenesh2_kg.4__1956__AT2G38820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHMKIQPIDDSDVSEEVPFPETMRQMPKSRLKRLFERQFTNKNVSEKFSGSDVEAPLPRGNSGDFEPSSVCLAKMVLNFMEDNNGGDKQRCGRSRCNCFSGSGTESSDDESEWSDGFKCSSGEACEILKSLVLCKSIRERNLLSDVTKIVETSYDAALCKSRWEKSPSCPAGEYEYVDVIMKGDRLLIDIDFKSKFEIARATKTYKSMLQTLPYIFVGKADRLQRIIILICKAAKQSLKKKGLHVPPWRRTEYVKSKWLSSHVRADQHSIEKDKQESVDMITESVGSIVFGV >fgenesh2_kg.4__1957__AT2G38823.1 pep chromosome:v.1.0:4:18835273:18836904:1 gene:fgenesh2_kg.4__1957__AT2G38823.1 transcript:fgenesh2_kg.4__1957__AT2G38823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPQGINKVSTSVIDNDNKLKKHNLEAEKRLKKVEQDYLHISQKYTETRSQWWSLNDERYRAVSSCHSAANLINRCNKLVEKLQKALDKLLKGETTEETIDHNLQFSLLQQKAQFQELEYHGKYEFREFSRELDCIRKKMPRSDRRKAVHDLLKDVCNPTSHDSLRKSVELEIKVLKKLIRALQKDWEEKLHIKQNAKNIYKDFEQKVKHLEKKKEQLARQRDEERKIMLGTKKTHDRKIGTYPEAYYIKMLNDNLP >fgenesh2_kg.4__1959__AT2G38840.1 pep chromosome:v.1.0:4:18839068:18844276:1 gene:fgenesh2_kg.4__1959__AT2G38840.1 transcript:fgenesh2_kg.4__1959__AT2G38840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7LCC4] MEIPRRTFLFFLSLCLLSSTSLSIDNFHQAFPIVEPAPGHTKLQLSREGLEAISRITTPISAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPLELEIDGVKTSVIYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETVSYPTSLFAQIAYASRYIILIIKESKALQGTLSTISLSDMSSHQIREADISRLSFAVELAEEFYGRVKVHPNEIAGEDVAFEPSKLLWLIQRDFLLFSVFYASDLVMINTIPTLCRREIGEANGNKNIDQVCSHLFCIVQVNQIRDSLAIMGDNSTAFSLPQPHLMRTKLCDLKDEDLDSTYVARRDQLKKLVASIIRPKIVQGKTLNGKEFISFLEQILDALNKGEIPSTGSLVEVFNKDIVERCVKLYNERMVGLRLPMSEESLQSSHETAHNEAIKAFDAQHFGRQHAKKSVDQLDEQMQEVYKNFVLANEYQSSKLCEALYTSCEDDMDHLQALRLPSMAKFNAGFVYCNKTFEQQCVGPSKQNYEQRLTKMMGKSRSLFIKEYNNRLFNWLVGFSLVMVVVGRFIIKFILLEMAAWILFIFLETYTRMFWTAEALYYNPVWHFIVGTWENVVYSPVLDLDRWAIPIVCIIALCVLYWRCYGKRKHGARWLLPLYNNQKNGRNRERSE >fgenesh2_kg.4__1961__AT2G38860.2 pep chromosome:v.1.0:4:18845671:18847476:-1 gene:fgenesh2_kg.4__1961__AT2G38860.2 transcript:fgenesh2_kg.4__1961__AT2G38860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yellow-leaf-specific gene 5 [Source:UniProtKB/TrEMBL;Acc:D7LCC6] MASAVQKSALLLCGDYMEAYETIVPLYVLQSFGVSVHCVSPNRTAGNRCVMSAHDFLGLELYTELVVDQLTLNANFDDVTPENYDVIIIPGGRFTELLSADEKCVDLVSRFADSKKLIFTSCHSQVMLMAAGILAGGVKCTAFESIKPLIEFSGGEWWQQPGIQSMFEITDCVKDGNFVSTVGWPTLGHGIKVLLESLGAKVSSLKKNQASVLFLIGDYVEDYGINVPFRALQALGCKVDAVTPNKKKGEVCATAVYDLEEGRQIPAEKRGHNFFVTASWDDISVDDYDCVVVPGGRSPELLVMNEKAVALVEKFAEKDKVFAAMGQGKLLLAATGVLKGKRCASGKGMKVMVKVAGGEAVMGKGCVTDGKLVTAASATDLPAFLSDLSTALGLTVMF >fgenesh2_kg.4__1963__AT2G38870.1 pep chromosome:v.1.0:4:18849694:18850537:-1 gene:fgenesh2_kg.4__1963__AT2G38870.1 transcript:fgenesh2_kg.4__1963__AT2G38870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSECPRKNSWPELRGTNGDYAATVIERENPTVDAVVILDGSPVTADFRCDRVRVFVDRHRIVVKTPTSG >fgenesh2_kg.4__196__AT2G22750.1 pep chromosome:v.1.0:4:1167064:1168795:1 gene:fgenesh2_kg.4__196__AT2G22750.1 transcript:fgenesh2_kg.4__196__AT2G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7LE33] MNSLVGDVPQSLSSLDDTTTCYNFDASYNDDLVEEKPSKILKTTLISPKLHPFSSSNPPPPKDQPSSTIISFEKTGLNVMNHNSPNLIFSTKEEEIGLPNQKKTELIIRGTKRAQPLTRSQSNAQDHILAERKRREKLTQRFVALSALVPGLKKMDKASVLGDAIKHIKYLQESVKEYEEQKKEKTMVVVKKSQLVLDENHQSSSSSSSNLPEIEVRVSGKDVLIKILCEKQKGNVIKIMGEIEKLGLSITNSNVLPFGPAFDISIIAQNNNFDMKIDDVVKNLSCGLSKLT >fgenesh2_kg.4__1970__AT2G38890.1 pep chromosome:v.1.0:4:18855894:18857436:1 gene:fgenesh2_kg.4__1970__AT2G38890.1 transcript:fgenesh2_kg.4__1970__AT2G38890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LCD0] KESKRNHRKSLAWDTAFFTNPGVLDPEELFGSLKIDENETDVEVNHKHTIKTLPSETDARPSFAWDNAFFTDPGVLDAEELSLMNNGFTSNTKLRKSADSMTTTTQGSRFSVASIEFDLFHELRASLRNSPNVKQTVTRESQRKLPDGKKRTKGSKQKDQPLSLIPQPKVSSSSSSSSFTISKPLTPCQATREKKCVASELGKTRGKHKNHGFEEQSGSKSNIRSSYSSYAFKDLTSSSSGLRLPLPKMSFFDSENGEKENREPNAVEANRRRRHNSKLETSSSTPENRSILGQRKMRK >fgenesh2_kg.4__1971__AT2G38905.1 pep chromosome:v.1.0:4:18860353:18860748:-1 gene:fgenesh2_kg.4__1971__AT2G38905.1 transcript:fgenesh2_kg.4__1971__AT2G38905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETFLEIILAILLPPVGVFLRYGCGVEFWICLLLTILGYIPGIIYAIYVLVG >fgenesh2_kg.4__1973__AT2G38940.1 pep chromosome:v.1.0:4:18890331:18893606:1 gene:fgenesh2_kg.4__1973__AT2G38940.1 transcript:fgenesh2_kg.4__1973__AT2G38940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQLQVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGAEKPGTLPPNVAAAVNGVAFCGTLAGQLFFGWLGDKLGRKKVYGMTLMVMVLCSVASGLSFGHEPKAVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFVSAVFAMQGFGIMAGGIFAIIISSAFEAKFPAPAYADDALGSTVPQADLVWRIILMVGAIPAAMTYYSRSKMPETARYTALVAKDAKQAASDMSKVLQMEIEPEQQKVDEISKEKSKAFSLFSKEFMSRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAKSMNAIQEVFKIARAQTLIALCSTVPGYWFTVAFIDVIGRFAIQMMGFFFMTVFMFALAIPYNHWTHKENRIGFVIMYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISAASGKLGAMVGAFGFLYLAQSPDKNKTDAGYPPGIGVRNSLIVLGVVNFLGILFTFLVPESKGKSLEEMSGENEDNESSISDNRTVPIV >fgenesh2_kg.4__1974__AT2G38950.1 pep chromosome:v.1.0:4:18895426:18899881:1 gene:fgenesh2_kg.4__1974__AT2G38950.1 transcript:fgenesh2_kg.4__1974__AT2G38950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji family protein [Source:UniProtKB/TrEMBL;Acc:D7LCD7] MGIEGVPTYSKSGNMDIISTPPGFVSQTSFVLRNVRRDKESSRSVSTQEQTTGFGTDGEDSCNMFLTNRPWIVHGHTIPSSEALRPRKTEVRRRRPLKVSETEVLEEAPVFNPTEEEFSDTLSYISSLRDRAEPYGICCVVPPPSWKPPCLLKEKKIWEASTFFPQVQLFGSHTENPNIKKEADADSDDAAPEEVQFCRIERGPGYTLETFKVFADSYKKRHFSMKDEVLGSENSSTSLKPEELTVAEIEKEYRQLVESPLVEIGVLYGNDLDTTTFGSGFPLSAPSESCKYPSGWNLNSTAKLPGSLLSLEDCESICVPRLSVGMCLSSQFWKSEKERLYTLCYLHVGGAPRVWYSVAGCHRSKFTAAMKSLIPEMSGEQPKKNHNFDVMIMSPYQLSMEGIPVTRCVQNPGQYVIIFPGSYYSAFDCGFNCLEKANFAPLDWLPHGDIAVQLNQEKSKKSLISYDKLLLSAAREAVKCLKEYALSKKNTACYTRWNDSCGTDGLFSNIVKSRIKQEKNRREFLSNTLESQRMDKSYDAVSKRECCVCLGDLYLSAVKCSCSADRYSCLSHMRKLCACPSDRKSFLYRYTIEELNLLVEALEGKKLSSMFRWAGIEQKYCASPATTSSQPEEDKGKETDEVTPCNITRKDVAAGTKEQMRVKARSMAEILNVKDGNTDAKETLKSCSKKSNRPCDNDSSEANTPKKQKQ >fgenesh2_kg.4__1979__AT2G39000.1 pep chromosome:v.1.0:4:18915762:18917392:-1 gene:fgenesh2_kg.4__1979__AT2G39000.1 transcript:fgenesh2_kg.4__1979__AT2G39000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTPLGTTAVSPASIKHNSYGRFVSSSGVSNFSLHRRRRLSSFSVSQAPSQIKSGACNASQIVDLFPAVSPEIVVREARLEDCWEVAETHCSSFFPGYSFPLDVVLRVDRLMAMVMGFSIPPGCQRTCLVAVIGSSVDETICFGSEDFKIGAFDAKISLNKGYVAGILTVDTVADYLPRKGPLRQRRTGIAYISNVAVRENFRRKGIAKRLIWKAEALAKNWGCRAIGLHCDLNNLGATKLYKDQGFRSIKIPEGATWPQPKTSPDTRFNFMMKLVKQQQYTSS >fgenesh2_kg.4__197__AT2G22760.1 pep chromosome:v.1.0:4:1175525:1176924:1 gene:fgenesh2_kg.4__197__AT2G22760.1 transcript:fgenesh2_kg.4__197__AT2G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7LE34] MDEDFFLTDFSLEDIDFDFNIYEEKNLSPDESADQSSKFDHQMHLEFLREQPKPVVKINNKQQLISFDFSSNVSSSPATEEIIMDKLVGRGTKRKTCFHGTRSPVLAKEHVLAERNRREKLSQKFIALSALLPGLKKADKVTILDDAISRMKQLQEQLRKLKEEKEATREIQSRILVKKSKLLFDAEPNLSSSTLDHDQFDQALPEIDAKISQNDILIRIHCEKSKGCMINILKTVENLQLRIENSIVLPFGDSTLDITVLAQMDKDFSVSVLKDLVRDLRLAMV >fgenesh2_kg.4__1980__AT2G39010.1 pep chromosome:v.1.0:4:18926016:18929461:1 gene:fgenesh2_kg.4__1980__AT2G39010.1 transcript:fgenesh2_kg.4__1980__AT2G39010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIP2_6/PIP2E [Source:UniProtKB/TrEMBL;Acc:D7LCE1] MTKDELTEEESLSGKDYLDPPPVKTFEVRELKKWSFYRAVIAEFIATFLFLYVTVLTVIGFKSQTDINAGGGACASVGLLGISWAFGGMIFILVYCTAGISGGHINPAVTFGLFLASKVSLVRAVSYMVAQCLGATCGVGLVKVFQSTYYNRYGGGANMLSEGYNVGVGVGAEIIGTFVLVYTVFSATDPKRNARDSHIPVLAPLPIGFSVFMVHLATIPITGTGINPARSFGAAVIYNNQKAWDDQWIFWVGPFVGAAIAAFYHQFVLRAGAMKAYGSVRSQLHELHA >fgenesh2_kg.4__1981__AT2G39020.1 pep chromosome:v.1.0:4:18941948:18942866:1 gene:fgenesh2_kg.4__1981__AT2G39020.1 transcript:fgenesh2_kg.4__1981__AT2G39020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPPPPTAAPEPNMVPPLTSPIGHPIFSRIRLATPSDVPFIHKLIHQMAVFERLTHLFSATESGLTSTLFTSRPFQSFTVFLLEVSRSPFPTTITSPSPDFTPFLKTHNLDLPIEDPESYNFSPDMLNDVVVAGFVLFFPNYSSFLSKPGFYIEDIFVREPYRRKGFGSMLLTAVAKQAVKMGYGRVEWVVLDWNVNAIKFYEQMGAQILQEWRVCRLTGDALEAFDQVNI >fgenesh2_kg.4__1982__AT2G39030.1 pep chromosome:v.1.0:4:18944455:18945852:1 gene:fgenesh2_kg.4__1982__AT2G39030.1 transcript:fgenesh2_kg.4__1982__AT2G39030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTAAPEPNTVPETSPTGHPMFSRIRLATPTDVPFIHKLIHQMAVFERLTHLFVATESGLASTLFNSRPFQAVTVFLLEISPSPFSTNDVSSPEFTPFLETHKVDLPIEDPDKDKFLPDKLNDVVVAGFVLFFPNYPSFLAKQGFYIEDIFVREPYRRKGFGKLLLTAVAKQAVKLGVGRVEWIVIDWNVNAINFYEQMGAQVFKEWRLCRLTGDALQAIDKLSI >fgenesh2_kg.4__1988__AT2G39090.1 pep chromosome:v.1.0:4:18967083:18971724:-1 gene:fgenesh2_kg.4__1988__AT2G39090.1 transcript:fgenesh2_kg.4__1988__AT2G39090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LCE9] MEVPKDQIANLIEHGLYDSAEMLGCFLVSSSTVSAETSPQLKAENLILLGDALFHQREHRRAIHTYKQALHHYTRIPKQSSGISRSSLSLSTRSSVNASSISAINENEVRFKIASSHFALNETKAAIAEMESVKTRSLEMNILMAKLHRNSGYNRGAIAFYKECLRQCPYVLEAVIGLAELGVSAKDIISSFTQTSNRSAKVSLDQIDPTRWLQRYVEAQCCVASHAYKGALELFAELLQRFPNNLHLLTETAKVEAIIGKNDEAIMRFEKVRSIDPYTTTSMDEYAMLLQIKCDYSRLNKLVHDLLSIDHTRAEVFVALSVLWERKDARTALSYAEKSIRVDERHIPGYIMKGNLLLQAKRPEAAAIAFRAAQNLRSDLRSYQGLVHSYLAFGKTKEALYTAREAMNAMPQSAKALKLVGDVHASTSSGREKAKKFYESGLRLEPGYLGAALALAELHLMEGRNGDAVSLLERYLKDWADDSLHVKLAQVFAATNMLQDSLSHYQAALRINPQNEAAKKGLDRLEKQMKGIDPDATDENDENDVEDVDGDTEEAELM >fgenesh2_kg.4__198__AT2G22770.1 pep chromosome:v.1.0:4:1197084:1199063:1 gene:fgenesh2_kg.4__198__AT2G22770.1 transcript:fgenesh2_kg.4__198__AT2G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSFMDLMIDTDEYLIDDWESDFPIYGETNTKPGSESGSGTGFELVPERPTKQMKTNNNINSTSSSPSSSSSSSCSRTSQVISFGSPDTKTNPVETSLNFSNQVSMDEKVGSKRKDCVHNGGRREPHLLKEHVLAERKRRQKLNERLIALSALLPGLKKTDKATVLEDAIKHLKQLQERVKKLEEERVGTKNMDQSVILVKRSQVYLDDDSSSYSSTCSTASPLSSSSDEVSILKQTMPMIEARVSGKDLLITVHCEKNKGCMIKILSSLENFRLEVVNSFTLPFGNSTIVITILSKMDNKFSRPVEEVVKNIRLALAE >fgenesh2_kg.4__1990__AT2G39110.1 pep chromosome:v.1.0:4:18974150:18976097:1 gene:fgenesh2_kg.4__1990__AT2G39110.1 transcript:fgenesh2_kg.4__1990__AT2G39110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFYFSKDKTQDDEAKTRKFGSITMARGGSGSEFNSDTSTATSITSSLHVLSETHSNNLKVFALDDLKTATKNFSRSLMIGEGGFGGVFRGVIQNPQDSRKKIEIAGHKEWVTEVNVLGVVEHPNLVKLIGYCAEDDERGIQRLLVYEYVPNRSVQDHLSNRFIVTPLPWSTRLKIAQDTARGLAYLHEGMEFQIIFRDFKSSNILLDENWNAKLSDFGLARMGPSDGITHVSTAVVGTIGYAAPEYIQTGHLTAKSDVWSYGIFLYELITGRRPFDRNRPRNEQNILEWIRPHLSDIKKFKMIIDPRLEGNYYLKSALKLAAVANRCLMVKAKARPTMSQVSEMLERIVETSDDAPSGLPLMKSLTPKAAFEASRRERVKRRFVELLIGENGCPNLPTWSPKLVTST >fgenesh2_kg.4__1993__AT2G39140.1 pep chromosome:v.1.0:4:18985558:18988597:1 gene:fgenesh2_kg.4__1993__AT2G39140.1 transcript:fgenesh2_kg.4__1993__AT2G39140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:UniProtKB/TrEMBL;Acc:D7LCF4] MASVAASSPISFAASFLKIKAFPLSPRFFPIRTLRCSVSSSSSSEPIEFDISFAPPKPKPSSTRGGATPQQLFIPWIVRGDDGTLKLQSQPPARLIHNLAIDATTQNPKKKDKPKKKQPQATSSSASATASASSPASHSEVKPKLSKAARRFYNENFKEPPQRLSKVLAAAGVASRRTSEELIFDGKVTVNGILCNTPQTRVDPSRDIIYVNGNRIPKKLPPKVYFALNKPKGYICSSGEKEIKSVISLFDEYLSSWDKRNPGTPKPRLFTVGRLDVATTGLIVVTNDGDFAQKLSHPSSSLPKEYITTVVGDIHKRHLMAISEGTIVEGVHCVPDSVELMPKQHDIPRARLRIVVHEGRNHEVRELVKNAGLEVHSLKRVRIGGFRLPSDLGLGKHVELKQSELKAMGWKN >fgenesh2_kg.4__1995__AT2G39170.1 pep chromosome:v.1.0:4:18990891:18992617:-1 gene:fgenesh2_kg.4__1995__AT2G39170.1 transcript:fgenesh2_kg.4__1995__AT2G39170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEFSTVDGFAEINESLAEMIKYIANEPSVGLYYIQQHVRNAAPNVLNLNNNVLGKSRETGLHTEDLEDSIAMVKSMKECGSPIADEMIGDIKNSLAIMSSKQPRRGVILNSTNPWSRSSSITTTTRGSDYSQDNSESSNYFTSVFKTAKEKASNIKWPQLDFKEQKSEVDSNVQSNELKEEEEEDSVKGEHIVETTKFEEFKAGKEASLKAWLGDMDGNVDVGGRVAERI >fgenesh2_kg.4__1996__AT2G39180.1 pep chromosome:v.1.0:4:19001614:19004054:-1 gene:fgenesh2_kg.4__1996__AT2G39180.1 transcript:fgenesh2_kg.4__1996__AT2G39180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LCF6] MQPNSHFFFFTISSFIVTVSGYGSTGTIAAAFGENGFFCAIDASGKQEAICWDRGNTNRSLNRPPGEISGYSPPMTSLSGGEGFLCAITSNTSRAFCWNLEDPSENLVPRAFQYNSYLQIASGSNHVCAISGLYYSGPDYGPVHCWEYSDNTNFTSGLLWNSSFHNPYIDSLMFRKIVSGDGFSCGVTKDGDLVCWGPKSNLLNFSNNEEFEVLASGRNSVCGVSKDSGQLQCFGDETEFGLLPNRPRFIALSAGANHYCGIREDDHGVECWGRNLNSSSSASAPNTSGFVAISSSDSTTCGVRELDLVLDCWRVHDSSKADYSPPLELCSPGMCSPRGNCGDGWFAFNASILKESELTSLCSFHNLNICLRCGISCLEGYFPSSTCNPNADRVCTPCSLCQNSSCYGICKIRVAKSKEHEQKEQREVRRLVIIIGCSVLGFLVMLIGLSFIPKMTQDSKRDDEERSKMTCCFCFDKNSVEADPDPVPQSVLLPTAVSLGETKIFRLSELKDATHGFKEFNELGRGSFGFVYKAVLSDGIHVAVKRANAATIIHSNNRGFESELEILCKIRHNNIVNLLGYCSEMGERLLVYEYMPHGTLHDHLHGDLSQLDWSMRLKIMLQAARGLDYLHNEVDPPIIHRDVKTSNILLDGEMCARIADFGLVSSNERDSSNSDREGDVYDFGIVLLEILSGRKAIDRESDPPGIAEWAVPLIRKGKAAAIIDRNIGLPRNVEPLLKLAELAELAVRENPNERPNIKNILSFLDLIVKSGLTF >fgenesh2_kg.4__199__AT2G22780.1 pep chromosome:v.1.0:4:1201348:1203990:-1 gene:fgenesh2_kg.4__199__AT2G22780.1 transcript:fgenesh2_kg.4__199__AT2G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7LE36] MDPNQRIARISAHLNPPNLDNQMVDGSGLNRVACRAKGGSPGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVANAPGVTADISHMDTSAVVRGFLGQPQLEEALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVRTLSEAIAKCCPKAVVNIISNPVNSTVPIAAEVFKKAGTFDPKKLMGVTMLDVVRANTFVAEVMSLDPREVDVPVVGGHAGVTILPLLSQVKPPCSFTQKEIEYLTDRIQNGGTEVVEAKAGAGSATLSMAYAAVEFADACLRGLRGDANIVECAYVASHVTELPFFASKVRLGRCGIDEVYGLGPLNEYERMGLEKAKKELSGSIEKGVTFAKK >fgenesh2_kg.4__19__AT2G21100.1 pep chromosome:v.1.0:4:143776:144558:-1 gene:fgenesh2_kg.4__19__AT2G21100.1 transcript:fgenesh2_kg.4__19__AT2G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7LKZ9] MAKEEYVSRMLVMLIMIMPLAARGSRLYSWANRLEETGKEKVTNLQFYFHDTLSGKNPTAVKVAQGTDTDKSPTLFGAVFMVDDALTETADPKSKLVGRAQGLYGSSCKEEVGLIMAMSFCFEDGPYKDSTISMIGKNSAMNPIREMPIVGGTGMFRMARGYAIAKTNWFDPKTGDAIVGYNVTVVH >fgenesh2_kg.4__1__AT2G20920.1 pep chromosome:v.1.0:4:92641:93959:1 gene:fgenesh2_kg.4__1__AT2G20920.1 transcript:fgenesh2_kg.4__1__AT2G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALSAARPNRLSSASSDVPLHSLYLPTKLQFPSRKTQLWRSAAILLPTRRRCAAPRASSRADDSPPFDMSVETALKVLGVSEGASFDEILRAKKSILASRKDDPNAISQAEAAYDMLLMQSLNQRRAGKVVSNNIRYADVKSSNPLGTSAVSQWLKNPPVSVDMPSTSDLGIQAGVYGAMMVLTYVNGSSLESSGMPYAGADVPGLILASSFGASLYFMTRKNVKLGKAAALTAGGLVAGAVVGSAIETWLHVDVVPFLGLHSPAAVVSEFIVFSQFLVSLCLR >fgenesh2_kg.4__2006__AT2G39270.1 pep chromosome:v.1.0:4:19062420:19064170:1 gene:fgenesh2_kg.4__2006__AT2G39270.1 transcript:fgenesh2_kg.4__2006__AT2G39270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LD24] MAVSHRLFRPATTTVKNTFSSFLIRSISSSSSSSSGSSLDPKIDLEEAASQLEKSSSTSTSPSPYKGRNFHWVFLGCPGVGKGTYASRLSSLLGVPHIATGDLVREELSSSGLLSSQLKELVNHGKLVPDEFIISLLSKRLQAGKEKGESGYILDGFPRTVTQAEILEGVTNIDLVINLKLREEALLAKCLGRRICSECGANYNVACIDIKGDDDSPRMYMPPLLPPPNCESKLISRADDTEEVVKERLRIYNKMTQPVEEFYKKRRKLLEFELPGGIPESWPRLLRALHLENDKQSAIA >fgenesh2_kg.4__2007__AT2G39280.1 pep chromosome:v.1.0:4:19064087:19069070:-1 gene:fgenesh2_kg.4__2007__AT2G39280.1 transcript:fgenesh2_kg.4__2007__AT2G39280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RabGAP/TBC domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LD25] MIADAVSKSDHKRDAYGFSVRPQHVQRYREYVDIYKEEEEERSARWNNFLEVHAESGVNRSSENNHVHPSESDKKKEEESNKGAERKDLETDKPSSDLTPGNAREEDEVPSAEKNVHKFQLWAEIRPSLQAIEDLMSVRVKMKGDSTNGDQEAQKLNSLPSTDETKSSKGVSENDSEDEFYDVERSDPIQDGSSDGASVSSMSAAADATSLVSACPWKDELEVLVHGGAPMALRGELWQAFAGVKKRRVKNYYQNLLAADSLGNDIEQEHMQHADEKGSSTDPLAVVQKWKGQIEKDLPRTFPGHPALDDDFRDALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWSLIGIIDDYFHDYYSEEMIESQVDQQVLEELLRERFPKLVHHLDYLGVQVACVTGPWFLSIFINMLPWESVLRVWDVLLFEGNRVMLFRTALALMEFYGPALVTTKDTGDAVTLLQSMTGSTFDSSQLVFTACMGYQSVHESRLQELRSKHRPAVIAAFEERLKGLQAWRDSKGPATKLHNSKQDPNSVLASKASSSNGSLSRSESGSSYADDVFISLTGDGEIDCFQDLQGQVLWLKGELHKLLEEKRSALLRAEELEVALVEMVKQDNRRQLKAKIEQLEKEVTELRRLVSDKREQEGAMIQVLMRMEQEHKVTEDARRLAEQDAAAQRYAAEVLQEKYEEAVAALAEMEERAVMAESMLEATLQYQSGQVKAQPSPRQLKQDLPVMVSP >fgenesh2_kg.4__2009__AT2G39300.1 pep chromosome:v.1.0:4:19080588:19083405:-1 gene:fgenesh2_kg.4__2009__AT2G39300.1 transcript:fgenesh2_kg.4__2009__AT2G39300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFKSSSGYGTDHNKQLHKQKDDQFQRNLNSPKGFNKSQSEVSGAALRRSRSLSSAAFVVDGTSSNDATRTSQHHRLRNHSSRCFTPERQLKESGSMSNCSSNVSSQVLDRYIDGEEHLERSKQKSGSSNSSLSGSRRRLPPRAQSPSPLSDSGKDKRKSKGLRDESARSLARSVIERLSHNTQGKSKALSYEPIRIQDVCGGGYNGKTLDSNSDVLANVVVPLIEEYEPVNEYYADDQTEQHQQFLLHGKDMCMGTDGVRKEGGVSSELERRYKEAEKRVKLLSEELEEKKFLSDCDFDISSLVGDIRQMEEERVGLAFEVLSLLRSQMDERASTREDIRRVKNDWDLHIKRLERDKSELQAELEKELDRRSSEWTLKIESFKVEEKRLRERVRELAEHNVSLQREISTFHEKETERIDMIRHLDEAVAELSATAEETREENLYLMQNLSKLQESYTGSTDDLDCVRRNFEEKDMECKELHKSVTRFLRTFKEQEKTIQGLRDGFSEEIKKQPIEHVDKKLQMEQIRLIGVELSLRKEVESMKLEFDALRRENNSLLNRVKGNGEEAYITTFKLDNEMKMRVCHLQDQGISMLNESTQLCYKFLKIIKEKSVNNGLSEQFLIESEMRVHGIRRGTESLKRSLQTVTSLLLEKSNEMMASNSESSCSSAARPSSHSGEMVKKDENIKRLEINLQEAAKDSVTLPKVLEEREEMWKEVKECRKRNMDLESEKEMLKKKVEKLEEDTLFKEGQITILKDTLGSRHLDLLLSSPEFSYNDFLVQ >fgenesh2_kg.4__2010__AT2G39310.1 pep chromosome:v.1.0:4:19084660:19087282:-1 gene:fgenesh2_kg.4__2010__AT2G39310.1 transcript:fgenesh2_kg.4__2010__AT2G39310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin lectin family protein [Source:UniProtKB/TrEMBL;Acc:D7LD31] MARMYRKLALCGGEGGKEWDDDVYEGVQKVYVGQDLNRITYIKFEYVKEDGEVVTTEYGTINQHPKEFVLQYPDEHIIAMEGSYHPVALIATEVITSLVFKTSKGRCSPTFGPNLFGITSGTKFVFENEGKKIVGFHGRAGDALDALGVYFVLDSTPFPLYKLDAQGGTDGRVWDDGSYDGLKTLRIGKDNSRITYLESEYEKGGESKTCNHGVKGDTPSEFVLGYPDEYIKSVEATYQKPNIFSNTVITSLKFETSKGRTSFFGYNVGKKFVLEQKGHRLVGFHGKEDAAIDAIGAYFGPVPTPTPLIPSKKLPAIGGNEGVSWDDGVYDGVRKILVGQGNDGVSFVKFEYSKGKELVSGYDHGKKTLLGAEEFVLEDGEYLITIDGYYDKIFGVGEPIIVCLQFKTNKRESMPFGMDSGKKFSLGEEGHKIVGFHGQASDVVHSIGVTIVPITTTE >fgenesh2_kg.4__2012__AT2G39320.1 pep chromosome:v.1.0:4:19087436:19088515:-1 gene:fgenesh2_kg.4__2012__AT2G39320.1 transcript:fgenesh2_kg.4__2012__AT2G39320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LD32] LEWEGYTEIKMKSDGNCQFRALADQLYQTSDSHKRVRQEIVNQNMSTNSEWGDEVTLRVAADVYGVKIVLITSIKLTPFMEFLPKSQKEPDRVIHLSYLAGIHFNSIHKKRGSGLSSSSGSASMKLQRKKEKEAKKMEEEEKERKEKEKEEKKKEKEEKKKDKEDKKKAKAKVKKEKNEKKNRNHHFHFSDVMSMLEQENDLLY >fgenesh2_kg.4__2013__AT2G39330.1 pep chromosome:v.1.0:4:19089345:19091604:-1 gene:fgenesh2_kg.4__2013__AT2G39330.1 transcript:fgenesh2_kg.4__2013__AT2G39330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin lectin family protein [Source:UniProtKB/TrEMBL;Acc:D7LD33] MAKIYRKLTRYGGEGGREWDDDVYEGVRKVYVGQDINRITYVKFEYVKEDGKVVTSEYGTINQQPKEFALQYPDEHIIAVEGNYRGVALCATDVITNLVFKTSKGRKSPLFGPNLLGITTGTKFVIEDGGKKIVGFHGRSGNALDALGVYFVHGSLTTSPPVYKLDAQGGPGGRVWDDGSYDGVKSLRIGQDNSRITYLEFEYEKGGKLETRHHGVKQERSLKFELNPDEYIKSVEATYDKPDFFRNVVITSLSFETSKGRTSFSGYKGGKKFKLEQKGRRLVGFHGKEGSAIDALGAYFAPVPTPTPIIPAKKLPAVGGNGGVAWDDGVYDGVRRILVGQGNDGVAFVKFEYNKGKDVVSGEDHGKMTLLGTEEFVLEDGEYLTAIDGYYDKIFGVETPMIICLQFKTNKRESTPFGMDSGEKFSLGEIGHKIVGCHGQASDVVHSIGVTVVPITTTK >fgenesh2_kg.4__2015__AT2G39350.1 pep chromosome:v.1.0:4:19100732:19103170:-1 gene:fgenesh2_kg.4__2015__AT2G39350.1 transcript:fgenesh2_kg.4__2015__AT2G39350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7LD35] MARIVAANDDDSMELNAISSLHDSTLGQLLKNVSDVRKMAIGDETPVHESLNQDFNDGYMRTVPFVLSFDNLTYNVSVRRKLEFRNLFPRRRTEDPEIAQTARPKSKTLLNNISGETRDGEIMAVLGASGSGKSTLIDALANRIAKGSLKGTVKLNGETLHSRMLKVISAYVMQDDLLFPMLTVEETLMFAAEFRLPRSLPKSKKKLRVQALIDQLGIRNAAKTIIGDEGHRGISGGERRRVSIGIDIIHDPILLFLDEPTSGLDSTSAFMVVKVLKRIAQSGSIVIMSIHQPSHRVLGLLDRLIFLSRGHTVYSGSPASLPRFFTEFGSPIPENENRTEFALDLIRELEGSAGGTRGLVEFNKKWQEMKKQNNRQPPLTPPPSPYPNLTLKEAIAASISRGKLVSGGESVAHGGTTTNTTTLAVPAFANPMWIEIKTLSKRSMLNSRRQPEIFGIRIASVVITGFILATVFWRLDNSPKGVQERLGFFAFAMSTMFYTCADALPVFLQERYIFMRETAYNAYRRSSYVLSHAIVSFPSLIFLSVAFAATTYWAVGLDGGPMGLLFYCLIILASFWSGSSFVTFLSGVVPSVMLGYTIVVAILAYFLLFSGFFINRNRIPDYWIWFHYMSLVKYPYEAVLQNEFSDATKCFVRGVQIFDNTPLGELPEVMKLRLLGTVSKSLGVTISSSTCLTTGSDILTKQGVVQLSKWNCLFITVAFGFFFRILFYFTLLLGSKNKRR >fgenesh2_kg.4__2016__AT2G39370.1 pep chromosome:v.1.0:4:19116985:19118089:-1 gene:fgenesh2_kg.4__2016__AT2G39370.1 transcript:fgenesh2_kg.4__2016__AT2G39370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLERCDSVEEDYIDMEVTSFTNLVRKTLNNNYPREFEFQMSHLCPLEIDKTTSPADELFYKGKLLPLHLPPRLQMVQKILEDYTFDDEFYSTPLATGTVTTPVTSNTPFESCTVSPADSCQVSKELNPEDYFLEYPDSLGEEDEKKKPWTTKLRLMKQSSLGTKIKASRAYLRSFFGKTSCSDESSCASSAARVADEDSVLRYSRVKPFGQIKTERPKKQSNSSVSGSHRRSFSVSMRRQAAKSSNNKSSSSLGFRPLQFLKRSTSSSSEIENSIQGAILHCKQSHQQKQKQYSVNEVGFCSLSASRIAARDDQERAQMFRG >fgenesh2_kg.4__2017__AT2G39380.1 pep chromosome:v.1.0:4:19120279:19122323:-1 gene:fgenesh2_kg.4__2017__AT2G39380.1 transcript:fgenesh2_kg.4__2017__AT2G39380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXO70H2 [Source:UniProtKB/TrEMBL;Acc:D7LD39] MAKKTKSVHFSTSSPKSLLSSFSSLTSLPASPLNQTFSQSMMEETVEAAESIIKKWDLNSPSYTRIISLFSHSRKEAKEFIRCIRDLRRAMHFLISQHSQSAKLVLAQHLMQIGMARLEKEFFQILSSNRDQLDPESVSGHSSISSNSEFEDVMQSDDDEEDELKKAGETITKVEKAAALVMSDLKVIAETMISCGYGKECIKSYKLIRKSIVDEGLHLLGIEKCKISRFNRMDWGVLEHMIKNWIKAAKIGVITLLRGEKLLCDHVFSASSTIRESCFYEIVNEAGINLFRFPELVANKEKKSSPERIFRLMDLYAAISDLRPDIELIFHFDSVAAVKTIVISSLKKLKQAIHTSLTEYESTIQKDSSKALTAGGGIHKLTRSTMSFISSLSEYSRVLSEILAEHPLKKNARMLESYFTAPILEDEHNNHAVSVHLAWLILVFLCKLDIKAESYKDVSLSYLFLVNNIQFVVDTVRSTHLRNLLGDDWLTKHETKLRSYAANYEIAAWANVYISLPEKTSSTLSPEEAKAHFKRFHAAFEEAYMKQSSCVITDAKLRNELKVSIAKKIVPEYREFYGKYLPTLSKERNIEMLVRFKPDNLENYLSDLFHGTPILSGSSSSSSSSSSSCISLGCVRN >fgenesh2_kg.4__2018__AT2G39390.1 pep chromosome:v.1.0:4:19124091:19125310:-1 gene:fgenesh2_kg.4__2018__AT2G39390.1 transcript:fgenesh2_kg.4__2018__AT2G39390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Os04g0376000 [Source:UniProtKB/TrEMBL;Acc:D7LD40] MARIKVHELREKSKADLSSQLKEFKAELALLRVAKVTGGAPNKLSKIKVVRKSIAQVLTVISQKQKSALREAYKNKKLLPLDLRPKKTRAIRRRLTKHQASLKTEREKKKEMYFPIRKYAIKV >fgenesh2_kg.4__2019__AT2G39400.1 pep chromosome:v.1.0:4:19126512:19128757:1 gene:fgenesh2_kg.4__2019__AT2G39400.1 transcript:fgenesh2_kg.4__2019__AT2G39400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7LD41] MVMYEEDFVLNSRGMKLFTCLWKPVKQESKALVFLCHGYAMESSITMNSSVRCTATRLAKAGFAVYGMDYEGHGKSEGLNGYISNFDDLVGDVSNHYSTICEKEENKGKMRFLLGESMGGAVVLLLARKNPHFWDGAVLVAPMCKLADEIKPHPVVISILIKLAKFIPTWKIVPGNDIIDIAIKEPHIRNQVRENKYCYKGRPRLNTAYQLLLVSLDLEKNLHQVSIPFIVLHGEDDKVTDKSVSKMLYEVASSSDKTFKLYPKMWHALLYGETSENSETVFGDIINWLEDRATDSNRGLESQLKHKHDGFLKHK >fgenesh2_kg.4__201__AT2G22795.1 pep chromosome:v.1.0:4:1210637:1213315:-1 gene:fgenesh2_kg.4__201__AT2G22795.1 transcript:fgenesh2_kg.4__201__AT2G22795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSSPRRGQRSKGFKVKHCIQLTLLLSVGIWLLYQVKHSHEKKSQFEESAKIVVGGGGGDKVVKLGRKDLIPRVVEDEAEDEGSKNVVESFNGGGDDKENEIVEGGEESKEKESEGIEEKRDNGGGAEESEVEEKRDNGGSTEENEKKESEERKEKSGTEESEVEEKKDNGGTEESEVEEKKENGGTDESEESKEKSGGTEEKDVDEKAIIEEARENNYKGDDASSEVVHESEEKTSESENGEKLEDKSGIKTEEVEDSVIKSVLPNATDNGESSSDEKTGSSSGHESDSSEGIKSEGESMEKNELLEKEFHDSNGESSVTGKSTGSGDGSSQETRKDEDEKEKVESSELSSQEESKDKESETKEKEESSSQEESKDKETETKEKEESSSQEETKDKETEAKEKEESSSQEKNEDKETETKEKEEKPSLRPKRKRKKKKPRRRGKKSLLPKKKLKTRKPRQRKTKSLRLKRKLKRKKTRRLRKKSLRLKRKLKRKKTRRLRKKSLHLKRKLKAKKTRTLRKKEHEKIEKEESASQEEDKETETKEKEESSSNDSQENGSTESEKKEQVEKKTDEDTSESSKENSNSDTEQKRSEETSETSEKEESNKNGETEVTQEHSDSSSDTNLPQEVKDVRPDLETLPDSGNGGSNESVAAE >fgenesh2_kg.4__2021__AT2G39420.1 pep chromosome:v.1.0:4:19136124:19138835:1 gene:fgenesh2_kg.4__2021__AT2G39420.1 transcript:fgenesh2_kg.4__2021__AT2G39420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7LD44] MASETENIKYEESFIKNTRGMKLFTCKWVPANQEPKALVFICHGYAMECSITMNSTARRLVKAGFAVYGIDYEGHGKSDGLSAYVPNFDHLVDDVSTHYTSICEKEENKGKMRFLLGESMGGAVLLLLHRKKPQFWDGAVLVAPMCKIAEEMKPSPLVISILSKLSGVIPTWKIIPGQDIIETAFKQPEIRKQVRENPYCYKGRPRLKTAYELLRVSTDLEKRLNEVSLPFMVLHGEDDKVTDKAVSRQLYEVASSADKTFKLYPGMWHGLLYGETPENIEIVFADIIGWLDKKVSDGHGGFESELKRKNDGISLKG >fgenesh2_kg.4__2022__AT2G39430.1 pep chromosome:v.1.0:4:19139212:19140369:1 gene:fgenesh2_kg.4__2022__AT2G39430.1 transcript:fgenesh2_kg.4__2022__AT2G39430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7LD45] MAKALHITIFLFLISSNLLAFINSARLLDEIQPQPQLVPTGQIPIVAPTEAEEDDGTDDNTGPATTTTTASAITVPAGPAGATEPLLEFFMHDVLGGSHPSARVVTGIVAQTEVNGIPFSKASNSIFPVDNGVPLVNSNNINSVINPNTAPLLTGLGGAQTSTVIQNTNGNSNDALSANNLPFVTAGNLPPGAALQHLMFGTITVVDDELTESHELGSAVIGRAQGFYLASSLDGTSQTLSLTVLLHGEHDHQDTLDDAISFFGVHRTASHASQIAVIGGTGKFEHAKGYAIVETLHNQDNQHITDGQDTILHFSVYLTYKA >fgenesh2_kg.4__2026__AT2G39450.1 pep chromosome:v.1.0:4:19150161:19152288:-1 gene:fgenesh2_kg.4__2026__AT2G39450.1 transcript:fgenesh2_kg.4__2026__AT2G39450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:UniProtKB/TrEMBL;Acc:D7LD49] MVEPASQDSDEGISLLEFHGNGDRSWQLNFDDFQVSQEHKEKKTPSKLHNCLGCLGPEDNVADYYQQQVEMLEGFTEMDELAERGFVPGMSKEEQDNLAKSETLAIRISNIANMLLFAAKVYASVTSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESLRTMLSSHKEFNLTKEQESWVVGIMLSVTLVKLLLVLYCRSFTNEIVKAYAQDHFFDVITNIIGLIAVILANYIDDWIDPVGAIILALYTIRTWSMTVLENVNSLVGKSARPEYLQKLTYLCWNHHKAIRHIDTVRAYTFGSHYFVEVDIVLPADMPLQAAHDIGESLQEKLELLEEIERAFVHLDYEYTHKPEHARSHR >fgenesh2_kg.4__2028__AT2G39470.2 pep chromosome:v.1.0:4:19154436:19156107:1 gene:fgenesh2_kg.4__2028__AT2G39470.2 transcript:fgenesh2_kg.4__2028__AT2G39470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein [Source:UniProtKB/TrEMBL;Acc:D7LD51] MAAVSSLSIRYGVSPTISHKTEILCPNPSLKACLLSSAYQKGSGSNWKRRQALVGVGTLVATSIPAALLLAEEIPKSYSPFVDREDGYSYYYPSDWREFDFRAHDSAFKDRYLQLQNVRVRFIPTEKNDIREVGPMEEVVYDLVKHKFAAPNQVATIYDMKERVEDGRNYYTFEYGLRTPIYATTSFATVAVGNNRYYTLIVGANERRWRKVKKQLQVVADSLKILEI >fgenesh2_kg.4__202__AT2G22800.1 pep chromosome:v.1.0:4:1234713:1236149:-1 gene:fgenesh2_kg.4__202__AT2G22800.1 transcript:fgenesh2_kg.4__202__AT2G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein 9 [Source:UniProtKB/TrEMBL;Acc:D7LE40] MGFDDSCNTGLVLGLGLSPTPNNYSSAIRRSSGCKLEPSLTLSLSGDPSVTVVTGADQLCRQTSSHSGVSSFSSGRVVKRERDGGEESPEEEDTTEKVTSEYNEDEEGISARKKLRLTKEQSALLEDSFKHHSTLNPKQKQVLARQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENMRLQKEIQELKTLKLTHQPFYMHMPASTLTMCPSCERIGAGGGNGGGGGSVATAVVVDGSTAKGAFSISSKPHFFNPFTNPSAAC >fgenesh2_kg.4__2031__AT2G39480.1 pep chromosome:v.1.0:4:19156057:19163422:-1 gene:fgenesh2_kg.4__2031__AT2G39480.1 transcript:fgenesh2_kg.4__2031__AT2G39480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g39480/F12L6.14 [Source:UniProtKB/TrEMBL;Acc:D7LD52] MMISRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLDPGAENGGSGTAAQADDEEDMEEPEEMEPPPAAVPFSQLFACADRFDWVLMVFGSVAAAAHGTALIVYLHYFAKIVQVLAFPSESDHLISDDQFNRLVELSLTIVYIAGGVFVSGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFISGLVIGFVNCWEIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYVRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWIGRFFVIHHRANGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSSSGTNQEGTILSAVLGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRDATLDQIEEAAKKAHAHTFISSLEKGYETQVGKTGLTLTEEQKIKLSIARAVLLDPTILLLDEVTGGLDFEAERIVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLLEMGTHDELINLGNLYAELLKCEEATKLPRRMPVRNYNDSAAFQVERDSSAGRGFQEPSSPKMAKSPSLQRGHNVFRSQEVCFNSEESPNDHSPAPEKMGENGSSLDVGDKEPSIKRQDSFEMRLPELPKIDIQCPQRQKSNGSDPESPISPLLISDPQNERSHSQTFSRPLGHSDDTSANVKVAKDGQHKEPPSFWRLAQLSFPEWLYAVLGSIGAAIFGSFNPLLAYVIALVVTTYYKSTGSHLREEVDKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWYDEEENSPDTLSMRLANDATFVRAAFSNRLSIFIQDSFAVIVAILIGLLLGWRLALVALATLPVLTLSAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLQQILRQSFFHGMAIGFAFGFSQFLLFACNALLLWYTALSVDRRYMKLSTALTEYMVFSFATFALVEPFGLAPYILKRRRSLASVFEIIDRVPTIEPDDTSALSPPNVYGSIELKNIDFCYPTRPEVLVLSNFSLKVSGGQTVAVVGVSGSGKSTIISLIERYYDPVAGQVLLDGRDLTSYNLRWLRSHMGLIQQEPIIFSTTIRENIIYARHNASEAEMKEAARIANAHHFISSLPHGYDTHIGMRGVELTQGQKQRIAIARVVLKNAPILLIDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRVAMMRHVDNIVVLNGGKIVEEGTHDSLASKNGLYVRLMQPHFGKNLRRHQLI >fgenesh2_kg.4__2032__AT2G39490.1 pep chromosome:v.1.0:4:19167172:19168965:-1 gene:fgenesh2_kg.4__2032__AT2G39490.1 transcript:fgenesh2_kg.4__2032__AT2G39490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LD53] MEEHKPDILSSLPLELLLYIISFLPFESARLIPLVSTRFRSVWNQALLVAHSHNGSIEDISHVVSRFINNFDEHDPTKNTRRLELHVDKSTFVSTILAPHNLMHMSFFFSGGSNKEESFCWRLEINDQIPRREYSSSGFLVKTLCLASVNSLTYEVVSSMVLEFSLLDSLKICGCKGLTSLTIDSPTKLLHLSISGCPKLRYLEIRSLKLKTFQYQGSLPLIKIHEHFNLTRAIFDVRQGPCYYNNALDMGPLLLIIKNSQSLTLCRWMFEEVIKPSISSSWRSFQFYKLQELQWIDNSMNQENINSMISFLKLCPSIERLFISIDSNTYSSNEEVSVDAVHACEHARVLRDLKLVKLEGFESEDNKNQLILALQQIVSNDQLLLVLSSIS >fgenesh2_kg.4__2033__AT2G39510.1 pep chromosome:v.1.0:4:19178470:19180527:-1 gene:fgenesh2_kg.4__2033__AT2G39510.1 transcript:fgenesh2_kg.4__2033__AT2G39510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7LD58] MALKTWKPFITVVSLQFGYAGLSIIAKFALNQGMSPHVLAAYRHIVATIFIAPFAYFLDRKIRPKMTLPIFFKILLLGLLEPTIDQNLYYTGMKYTSATFTAAMTNVLPAFAFIMAWIFRLEKVNVKKIHSQAKILGTVVTVGGAMLMTVVKGPLIPLPWANPHDIHQDPSNTGVQQDLTKGASLIAIGCICWAGFINLQAITLKSYPVELSLTAYICFMGSIESTIVALFIERGNPSAWAIQLDSKLLAAVYGGVICSGIGYYVQGVIMKTRGPVFVTAFNPLSMVIVAILGSIILAEVMFLGRILGAIVIVLGLYSVLWGKSKDEPSSSFSDTDKELPLSTPQIVTLPSKANAKMDTHDASVVISRPNTNESV >fgenesh2_kg.4__2036__AT2G39540.1 pep chromosome:v.1.0:4:19192280:19193183:1 gene:fgenesh2_kg.4__2036__AT2G39540.1 transcript:fgenesh2_kg.4__2036__AT2G39540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLASTFFVLSSADCVTCGGKCNVRCSKAGQHEECLKYYNICCHKCNCTRHCPSDTFGNKDECPCYRDMKNSKGGSKCP >fgenesh2_kg.4__2037__AT2G39560.1 pep chromosome:v.1.0:4:19196772:19197892:-1 gene:fgenesh2_kg.4__2037__AT2G39560.1 transcript:fgenesh2_kg.4__2037__AT2G39560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LD63] MRSLSSVGLALSIVFGCLLLALLAELYYLLWCKKRSTTRRPDFRNDYSTPGTRELIFIFCCSSSTNPSSSPSSSSFSDPKPIETQQQCLPNNGFENVGGPGLVPRFLFTIMEETVEEMESEDVVSTKGKTLNDLFLNMESGAITPPYLTPRASPSLFTPPLTPLLMESCNGRKEEMSSFFESSSDAEFNRLVRSSPLSSSHSPSSSPLSRFKFLRDAEEKLYKKKV >fgenesh2_kg.4__2038__AT2G39570.1 pep chromosome:v.1.0:4:19199540:19201841:1 gene:fgenesh2_kg.4__2038__AT2G39570.1 transcript:fgenesh2_kg.4__2038__AT2G39570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LD64] MGILNDDAVLIEPGKISGDPTIVTVNCPDESGLGSTLCRIILEFGLSITRADFSTDGRWCYIVFWVTPDISSPRIDWDSLKNRLLSACPSCLGSFYFCLQSNVSKPPSLYLLKFFCRDRKGLLHDVTKVLTELEFTIQRVKVMTTPDGRVLDMFFITDAMDLLHTKQRQTKTCDHLTAVLGEHGVSCELELAGPELESVQRFSSLPPVAADELFGPDGFDNSGSSSNKAVLTVDNQLSPAHTVLQIRCVDQKGLFYDILRTSKDCDVHIAYGRFSSKVKGYRNLELFVRGTDGKKIVDPKHQANFCARLKEEMMCPLRVIIVNRGPDTELLVANPVELSGKGRPRVFYDVTLALKSLGICIFSAEIGRHSTLDRQWEVYRFLLDESREFPLASLRARNQVVDRVTKTLMGW >fgenesh2_kg.4__2039__AT2G39580.1 pep chromosome:v.1.0:4:19206918:19213882:1 gene:fgenesh2_kg.4__2039__AT2G39580.1 transcript:fgenesh2_kg.4__2039__AT2G39580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LD65] MTTPITAKHAPVTGKEEGELSASDDEVQPMQTSTRAPPTEHVSVPSANTNIQRPQAGNGGSLIKPSDATPTRLTHPGGRIFEKKQAIAAIHGKKFPARGNNSNLVINFSDDDSGSESDGNRRTQTSNIQSKGTMSGNRNPSTFSQTKLKGPRQTDNRAITKKPLPTSTFSHAATSKVSNLSSAKEMKANKNIHTSERTVSKDTRLPEQIVNPNNIKLQDLKQQIALRESELKLKAAQPKKDAINPKISPARRVSIISDDTRQLEPNEPAKKRLKVSGIDTSQPVTDYRVPASAAAPMDVPDIRKSLLPGVNANPSCKHLGSNSDEIVPPVVSQHTVEGNTSSSVVQKSAGKANCYEGGRELETMKNSMETIRFFLDPQIMTWRQLSCLNNSGLWNIPGNTTAPGHSQLDMLSLTNLEESLDKELEEAQERKRLCEIEERNALKVYRKAQRSLIEANARCAELYSKREILSAHYGSLLVRDSRLLWPSIHGESPETGFHFLNNSTGNIDLATKTDIAQHTQLESNHRYNSDCGGSQPPPHSRSGQNLGSEPYSDLGASTSDGLPCSNKQTASRLCSPSSDANILPDDESFPVDHESTEGNLGHQTENLDQTLGNQNALLLEASLRSKLFEHLGMRAESKGVTCFNGETVIDRGDERDVASERTQRDDGSPFSEIYQHNDSREPGANKLQGNPSEAPVERRSIEEFQSSVDMESHRSSPENDLLSSVALSGPLFRSTIYHLKVPGSSITSLGPEYTLQNKSYSLYSDKRQCRSLTQTTVYETKIGCYTCNLKVDPSWPLCMYELRGRCNNDECPWQHFKDFSDDSLHQSLHDPPDGRVGSSSHQKKHNSSKGSQILDTVVSPTYLVSLDTMKVDSWSYESVLAQRHGQIWWKHFSACLASSNSLYRNVPAKENEGRIEVLGNSKTYSSYFRIKHSLMALSLLSQCLEGDPTSEILWTVYLLIYHVYEGSDGKDMFSYGVKHSSRSYVIWLMYISSRGQLNDQFFAYDAALSALCNHASGSIDRNHASACILDVLLQMFNLLCISGNVSKAIQRTSKLQAPAAVSDDPDFSLMSHILTCLTYSDKCVFWVCCVYLVIYRKLPDSIVQRLEMEKELLEIEWPSVNLDGDVKQMALRLFDKGMRSVEHCTNGLSENGIQKRPAGLFALNHALFMVAVDELESCRDILKASVKLYPTCLELKLLAVRMQSKELKDMFSSGFEELLKQEAKEASCIQCIWNQYAEYALQGGSYDLARELMSRWYVSVWDVLSHKNKTVLANEEEGDDSLLESALSDLNVASDQVDVMFGYLNLSLHNLLQSNWTGARLAIDQALKATAPEHFMYCLREHAVFQLIDELQATGEFSINLQMRLLNSYLDRASSLPVKEPLSWKFISNSAEKPRVRKLVTNLLAPVSSELLVVNVVLEAWHGPSLVPEKLSKQKELVDFVETILGLVPCNYPLALSVSKLLRKEEKHSDSGSSSGIHFWAGLNLVSTISCAIPVAPEYIWVEAGEIVSNINGFKTRAERFLKKALSVYPMSVKLWRCYRSLCKSIEERRGIEIEEAAIKKGITLD >fgenesh2_kg.4__203__AT4G06570.1 pep chromosome:v.1.0:4:1239008:1241140:-1 gene:fgenesh2_kg.4__203__AT4G06570.1 transcript:fgenesh2_kg.4__203__AT4G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LE41] LNRRPRGLPIQYEFTPANRQAPLQDSEQEPIAQPPTGPTIRDYPPPTQLFQSGEGSPRRSGSTPFRASGSTQPRSGGSVHRL >fgenesh2_kg.4__2041__AT2G39620.1 pep chromosome:v.1.0:4:19216280:19218790:-1 gene:fgenesh2_kg.4__2041__AT2G39620.1 transcript:fgenesh2_kg.4__2041__AT2G39620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LD67] MPNTCTTLLRMLRECKNFRLLLQIHGSLIVAGLKPHNQLINAYSLFQRPDLSRKIVDSVPYPGVVLWNSMIRGYTRAGLHKEALEVFGYMSEAKGIDPDKYTFTFALKACAGSMDFEEGLRIHDLIAEMGFESDVYIGTALVEMYCKAGDLVSARQVFDKMPVKDIVTWNTMVSGLAQNGCSSEALRLFRDMHSSFVDIDHVSLYNLIPAVSKLEKNDVCRCLHGLVIKKGFTSAFSSGLIDMYCKCADLYAAECVFEEVFSKDESSWGTMMAAYAHNGSFEEVLELFDVMRNYDVRMNKVAAASALQAAAYVGNLEKGIAIHEYTVQQGMMSDISVATSLINMYSKCGELELAEQLFIKIKDRDVVSWSAMIASFEQAGQHDEALSLFRDMMRTHFKPNAVTLTSVLQGCAGVAASRLGKSIHCYAIKADVESELETATAVISMYAKCGLFSPTLKAFERLPIKDAIAFNALAQGYTQIGDASKAFDVYKNMKLHGVCPDSGTMVGMLQTCALCSDYARGSCVYGQIIKHGFDSECHVAHALIDMFTKCDALAAAKSLFDKCGFEKSTVSWNIMMNGYLLHGQAEEAIATFRQMKVEKFQPNAVTFVNIVRAAAELAALSLGMSVHSSLIQFGFCSHTPVGNSLVDMYAKCGMIESSKKCFIEIRNKNMVSWNTMLSAYAAHGLANCAVSLFLSMQENELKPDSVSFLSVLSACRHAGLAEEGKRIFKEMEERHKIEAKVEHYACMVDLLGKSGLFDEAVEMVRRMRVKASVGVWGALLNSSRMHCNLWLSNAALCQLVKLEPLNPSHYGQDQRLGEANNVSRIKKVPACSWIQV >fgenesh2_kg.4__2043__AT2G39630.1 pep chromosome:v.1.0:4:19219233:19221892:-1 gene:fgenesh2_kg.4__2043__AT2G39630.1 transcript:fgenesh2_kg.4__2043__AT2G39630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 2 protein [Source:UniProtKB/TrEMBL;Acc:D7LD68] MEFLVTVAEFGLLLLLIVFFGFLSVVVFEAWRRRNSNVSVETVTTLEDPKSIKPIPCPHITDPAEKYLSLIVPAYNEELRLPAALEETMDYLQDRASRDTSFSFEVVIVDDGSVDGTKRVAFDFVRKHTVDNIRVIPLGKNQGKGEAIRKGMLHSRGQLLLMLDADGATKVTDLEKLENQINAVAREEYSIRNPASKDMDFRIGDVQVSAFGSRAHLEEKALATRKWYRNFLMKGFHLVVLLAAGPGIRDTQCGFKMFTRAAARRLFTNVHLKRWCFDVELVYLCKRFNIPMVEISVKWSEIPGSKVSMLSIPNMLWELALMSVGYRTGMWKIHQV >fgenesh2_kg.4__2046__AT2G39660.1 pep chromosome:v.1.0:4:19230233:19232521:1 gene:fgenesh2_kg.4__2046__AT2G39660.1 transcript:fgenesh2_kg.4__2046__AT2G39660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Botrytis-induced kinase1 [Source:UniProtKB/TrEMBL;Acc:D7LDQ2] MGSCFSSRVKADIFHNGKSSDLYGLSLSSRKSSSTVAAAQKTEGEILSSTPVKSFTFNELKLATRNFRPDSVIGEGGFGCVFKGWLDETTLTPTKPGTGLVIAVKKLNQEGFQGHREWLTEINYLGQLSHPNLVKLIGYCLEDEHRLLVYEFMQKGSLENHLFRRGAYFKPLPWFLRIKVALDAAKGLAFLHSDPVKVIYRDIKASNILLDADYNAKLSDFGLARDGPMGDLSYVSTRVMGTYGYAAPEYMSSGHLNARSDVYSFGVLLLEILSGKRALDHNRPAKEENLVDWARPYLTSKRKVLLIVDTRLDTQYLPEEAVRVASIAVQCLSFEPKSRPTMDQVVRALQQLQDNLGKPSQINPVKDTKKLGFKTGTKSSEKRFTQKPFGRHLV >fgenesh2_kg.4__2048__AT2G39670.2 pep chromosome:v.1.0:4:19232969:19235967:1 gene:fgenesh2_kg.4__2048__AT2G39670.2 transcript:fgenesh2_kg.4__2048__AT2G39670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LDQ3] MLQNLVFSVPISRMVVRRHSLAIAAAATTVVPSPKPASAKPARTPHVDSHVLIGMSEPELQQLAINLVLIFQEGYRGKQLHHLIYKRKVNKVEDFSNLPQTFRKELVEGGFKVGRSPIYQTVTATDGTIKLLLKLEDNLLIETVGIPVQDDEKGITRLTACVSSQVGCPLRCSFCATGKGGFSRNLQRHEIIEQVLAIEDVFKHRVTNVVFMGMGEPMLNLKSVLDAHRCLNKDIEIGQRMITISTVGVPNTIKKLASHKLQSTLAVSLHAPNQSLREKIVPSAKAYPLEAIMKDCRDYFQETNRRVSFEYALLAGVNDQVEHAVELAELLREWGKTYHVNLIPYNPIEGSEYKRPYKKAVLAFASALESRKITASVRQTRGLDASAACGQLRNKFQKSPLVTETDGQESQPAAEAVSC >fgenesh2_kg.4__2049__AT2G39690.2 pep chromosome:v.1.0:4:19242499:19244884:1 gene:fgenesh2_kg.4__2049__AT2G39690.2 transcript:fgenesh2_kg.4__2049__AT2G39690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTEQKRTLARQSHVRQSTLPLRHDLHQRSLSHCYQRSTLDTASTTHSRLSFSYAPDFLDTTSSGCFTDEFDAVSRMQMGRVRKGLRLVEAKTKDDPNEVSEQLINCLIGIYLELNHVSSKTKGDVSLSRRPSSCSRKSNTYSYYQNAMNLDPYHVLQDSSGGVTRDIGPYKNFIHISRSSIDVTRFTHYCSPAVPRLSILMEKLSEVDLSFLTYKQKLAFWINIYNACIMHAFLEYGLPSSHNRLLTLMNKASLNVGGIVLNALAIEHFVLRHPCEPEHKDSLDEKETLLRHTYGLGYSEPNVTFALCRGSWSSPALRVYTAEEVVNDLGRARVEYLEASVGVSSKKKIVVPQLLQWHMKDFADDIESLLEWIYSHLPRSGNLKGMIMECLKRKAKVPLAKMVEIQTYGHEFRYLLSL >fgenesh2_kg.4__2051__AT2G39700.1 pep chromosome:v.1.0:4:19245400:19247121:-1 gene:fgenesh2_kg.4__2051__AT2G39700.1 transcript:fgenesh2_kg.4__2051__AT2G39700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXPA4 [Source:UniProtKB/TrEMBL;Acc:D7LDQ6] MAIKLAILFTTFVLFSLADARIPGVYSGSAWQNAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGMSCGACFELKCANDPQWCHSGSPSILITATNFCPPNLAQPSDNGGWCNPPREHFDLAMPVFLKIAQYRAGIVPVSYRRVPCRKRGGIRFTINGHRYFNLVLITNVAGAGDIVRASVKGSRTGWMSLSRNWGQNWQSNAVLVGQSLSFRVTGSDRRTSTSWNMVPSNWQFGQTFVGKNFRV >fgenesh2_kg.4__2052__AT2G39705.1 pep chromosome:v.1.0:4:19265295:19265980:1 gene:fgenesh2_kg.4__2052__AT2G39705.1 transcript:fgenesh2_kg.4__2052__AT2G39705.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LDQ7] MASSSSLTRSGSVHLDEKWKLSKKDGGASRITRSSSTSSSSFNGKKQGRCAFTRKCARLVKEQRARFYIMRRCVI >fgenesh2_kg.4__2053__AT2G39710.1 pep chromosome:v.1.0:4:19272796:19274270:-1 gene:fgenesh2_kg.4__2053__AT2G39710.1 transcript:fgenesh2_kg.4__2053__AT2G39710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7LDQ9] MASSSSSISNLFLRISILLLIFPLTLCKTSSSDQTLLFSLKTQKLPRSSSDKLSFRHNVTLTVTLAVGSPPQNISMVLDTGSELSWLHCKKSPNLGSVFNPVSSSTYSPVPCSSPICRTRTRDLPIPASCDPKTHFCHVAISYADATSIEGNLAHDTFVIGSVTRPGTLFGCMDSGLSSDSEEDAKSTGLMGMNRGSLSFVNQLGFSKFSYCISGSDSSGILLLGDASYSWLGPIQYTPLVLQTTPLPYFDRVAYTVQLEGIRVGSKILSLPKSVFVPDHTGAGQTMVDSGTQFTFLMGPVYTALKNEFIAQTKSVLRIVDDPNFVFQGTMDLCYRVGSSTRPNFTGLPVISLMFRGAEMSVSGQKLLYRVNGAGSEGKEEVYCFTFGNSDLLGIEAFVIGHHHQQNVWMEFDLAKSRVGFAGNVRCDLASQRLGLRD >fgenesh2_kg.4__2056__AT2G39725.1 pep chromosome:v.1.0:4:19280299:19281507:1 gene:fgenesh2_kg.4__2056__AT2G39725.1 transcript:fgenesh2_kg.4__2056__AT2G39725.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 family protein [Source:UniProtKB/TrEMBL;Acc:D7LDR1] MGASKLSGMQKQVLSLYRGFLRAARSRPTEDRKRIEMIVSTEFRHNSKEVDRKNFQYIEYLLRLGTKQLDQLKSPDIVSLSSIKVVTSKT >fgenesh2_kg.4__2058__AT2G39730.1 pep chromosome:v.1.0:4:19281823:19284710:-1 gene:fgenesh2_kg.4__2058__AT2G39730.1 transcript:fgenesh2_kg.4__2058__AT2G39730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSTVGAINRAPLSLNGSGSGAASAPASTFLGKKVVTVSRFAQSNKKSNGSFKVLAVKEDKQTDGDRWKGLAYDTSDDQQDITRGKGLVDSVFQAPMGTGTHHAVLSSYEYISQGLRQYNLDNMMDGFYIAPAFMDKLVVHITKNFLTLPNIKVPLILGIWGGKGQGKSFQCELVMAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADMIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENARVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDKIKDEDIVTLVDQFPGQSIDFFGALRARVYDDEVRKFVEGLGVEKIGKRLVNSREGPPVFEQPEMTLEKLMEYGNMLVMEQENVKRVQLADTYLSQAALGDANADAIDRGTFYGKGAQQVNLPVPEGCTDPVAENFDPTARSDDGTCVYNF >fgenesh2_kg.4__2061__AT2G39750.1 pep chromosome:v.1.0:4:19290211:19293577:-1 gene:fgenesh2_kg.4__2061__AT2G39750.1 transcript:fgenesh2_kg.4__2061__AT2G39750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7LDR4] MKPLPNGDLLKSPTLIKISALVFVTVAFFYLGKHWSDDGYQQLVFFSSSTSRSSIPEVSVSPNSNRVFNLSAIIPTNHTEIEIPATIQQQPPSVVKVEANPPPPPPSPPPPSPPPPGPVKSFGIVDENGVMSDDFEVGEVESDTVEDWGNQTEIVEAKRDGDSKARVRIKKFGMCPESMREYIPCLDNTDAIKKLKSTERGERFERHCPEKGKGLNCLVPPPKGYRQPIPWPKSRDEVWFSNVPHTRLVEDKGGQNWISRDKNKFKFPGGGTQFIHGADQYLDQMSKMVSDITFGKHIRVAMDVGCGVASFGAYLLSRDVLTLSVAPKDVHENQIQFALERGVPAMAAAFATRRLLYPSQAFDLIHCSRCRINWTRDDGILLLEINRMLRAGGYFAWAAQPVYKHEPALEEQWTEMLNLTTSLCWKLVKKEGYVAIWQKPFNNDCYLSREAGTKPPLCDESEDPDNVWYTNLKPCISRIPENGYGGNVPLWPARLHTPPDRLQTIKFDSYIARKELFKAESKYWNEIIGGYVRALKWKKMKLRNVLDMRAGFGGFAAALNDHKLDCWVLSVVPVSGPNTLPVIYDRGLLGVMHDWCEPFDTYPRTYDFLHASGLFSIERKRCEMSTILLEMDRILRPGGRAYIRDSIDVMDEIQEITKAMGWHTSLRDTSEGPHASYRILTCEKRLLRA >fgenesh2_kg.4__2064__AT2G39770.1 pep chromosome:v.1.0:4:19318969:19321343:1 gene:fgenesh2_kg.4__2064__AT2G39770.1 transcript:fgenesh2_kg.4__2064__AT2G39770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKAVGVDEVVLAINYQPEVMLNFLKDFETKLEIKITCSQETEPLGTAGPLALARDKLVDGSGEPFFVLNSDVISEYPLKEMLEFHKTHGGEASIMVTKVDEPSKYGVVVMEESTGKVEKFVEKPKLYVGNKINAGIYLLNPSVLDKIELRPTSIEKETFPKIAAAQGLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKSPAKLTSGPHIVGNVLVDETATIGEGCLIGPDVAIGPGCIVESGVRLSRCTVMRGVRIKKHACISSSIIGWHSTVGQWARIENMTILGEDVHVSDEIYSNGGVVLPHKEIKSNILKPEIVM >fgenesh2_kg.4__2067__AT2G39782.1 pep chromosome:v.1.0:4:19324410:19324883:-1 gene:fgenesh2_kg.4__2067__AT2G39782.1 transcript:fgenesh2_kg.4__2067__AT2G39782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLQKRRLIREGKRKIDHHVETKLIVKILRACTIDDKNLLQHPNYYKVIAWTDPGDPYTTQVWVSKGNYWKYNTELVIPLDFPAKYLYLELFRKYSCRDPATSDGDVAIGRAKIRLPTDDKFSGAARLVDFNSDRCIVDRGTLELSLELIHTVVVI >fgenesh2_kg.4__2072__AT2G39800.1 pep chromosome:v.1.0:4:19336265:19341376:-1 gene:fgenesh2_kg.4__2072__AT2G39800.1 transcript:fgenesh2_kg.4__2072__AT2G39800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate synthase [Source:UniProtKB/TrEMBL;Acc:D7LDS7] MEELDRSRAFARDVKRIVVKVGTAVVTGKGGRLALGRLGALCEQLAELNSDGFEVILVSSGAVGLGRQRLRYRQLVNSSFADLQKPQTELDGKACAGVGQSSLMAYYETMFDQLDVTAAQLLVNDSSFRDKDFRKQLNETVKSMLDLRVIPIFNENDAISTRRAPYQDSSGIFWDNDSLAALLALELKADLLILLSDVEGLYTGPPSDPNSKLIHTFVKEKHQDEITFGDKSRLGRGGMTAKVKAAVNAAYAGIPVIITSGYSAENIDKVLRGLRVGTLFHQDARLWAPITDSNARDMAVAARESSRKLQALSSEDRKKILLDIADALEANETRIKAENELDVAAAQEAGLEESMVARLVMTPGKISSLAASVRKLAEMEDPIGRVLKKTEVADGLVLEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEARRSNAILHKVITDAIPETVGGKLIGLVTSREEIPDLLKLMDVIDLVIPRGSNKLVTQIENTTEMRVLVHADGICHVYVDKSCDTDMAKRIVSDAKLDYPAACNAMETLLVHKDLEQNAVLNELIFALQSNGVTLYGGPRASAILNIPEARSFNHEYCAKACTVEVVEDVYGAIDHIHRHGSAHTDCIVTEDHEVAELFLRQVDSAAVFHNASTRFSDGFRFGLGAEVGVSTGRIHARGPVGVEGLLTTRWIMRGKGQVVDGDNGIVYTHQDIPIQA >fgenesh2_kg.4__2078__AT2G39840.1 pep chromosome:v.1.0:4:19368522:19370368:1 gene:fgenesh2_kg.4__2078__AT2G39840.1 transcript:fgenesh2_kg.4__2078__AT2G39840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LDT2] MATTTQGQQTAMDTAVLDDIIRRLTEVRLARPGKQVQLSEAEIKQLCTTARDIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPSANYLFLGDYVDRGKQSLETICLLLAYKIKYPGNFFLLRGNHECASINRIYGFYDECKRRFNVRVWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLDHLDEIRNLPRPTMIPDTGLLCDLLWSDPGKDVKGWGMNDRGVSYTFGPDKVSEFLTKHDLDLVCRAHQVVEDGYEFFADRQLVTVFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKKTKFMMSTKI >fgenesh2_kg.4__2079__AT2G39850.1 pep chromosome:v.1.0:4:19371693:19375573:1 gene:fgenesh2_kg.4__2079__AT2G39850.1 transcript:fgenesh2_kg.4__2079__AT2G39850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase [Source:UniProtKB/TrEMBL;Acc:D7LDT3] MAIAFHTFLLQLLLFFFASFADANDTRKTYLVQIKVGGHPYGSSSGHKELLGEVLDDDSTVADAFIYSYKESFTGFSASLTESERQKLMRRREVLEVSRSRNLKLQTTRSWDFMNLTLKAERNLENESDLVVAVIDSGIWPYSELFGSDSPPPLGWENKCENITCNNKIVGARSYYPKKEKYKWVEEKSVIDVTGHGTHVASIVAGRKVEKAGYFGLAEGTMRGGVPNAKIAVYKTCWRVIRKDGRADSVCREDNILKAIDDAIEDKVDIISYSQGFISRLQKDKVSWAFLRALKNGILTSAAAGNDGNYYYTVANGAPWVMTVAASLKDRYLETKLELEGEDKPIIVYDTINTFETQDSFYPLLDEKASAESTRKRELIAESNGYSILSNYEKDEGKDVFFEFAQINLLDKAIKEREKGAIVLGSRSYDFNESKKLQFPITSIFLDEQKQGKLWEYYKKDQSKERLAKIHKTEEIPREEGWVPTVAHLSSRGPNCDSFLANILKPDIAAPGLDIIAGWPENVKLSSERPSDDYRHLRFNIMSGTSMACPHATGLALYLKSFKRWSPSAIKSALMTTSTEMTDEGYEFAYGSGHLNATKVRDPGLVYETHYQDYIDYMCKLGYNTEKLRSHVGSDKIDCSKTEIDHDADLNYPTMTARVPLPLDTPFKKVFHRTVTNVNDGEFTYLGEINYRGDKDFDEIIVDPPQLTFSELGETKTFTVTVTGISKRNWKKNKAFMTRNTWLTWTEKDGSRQVRSPIVIYSINGPKACM >fgenesh2_kg.4__207__AT2G22840.1 pep chromosome:v.1.0:4:1284206:1286757:1 gene:fgenesh2_kg.4__207__AT2G22840.1 transcript:fgenesh2_kg.4__207__AT2G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGVRVSGHENGSSPGQTELGSGFSNKQERSGFDGEDCWRSSKLSRTSSTGGFSSSSASAKTLSFHQGIPLLRSTTIDPRKGQEHMLSFSSTSDKSDVSPYLQYCRNSGYGLGGMMNASNMHGNLFTGVKGPFSLTQWAELEQQALIYKYITANVPVPSSLLLSLKKSFFPYGSLPPNSFGWGSFHLGFSGGNMDPEPGRCRRTDGKKWRCSRDAVPDQKYCERHINRGRHRSRKPVEGQNGHNTNAAAASVAASTAAAVSKAAAGTSAIAMRGSDNNNILTAAIGTHHHANNQSTDSLANRVQNSRGASAFPATINLQSKETHPKQSNNPFEFGLISSDSLLNPSHKQASYANSSKGFGSYLDFSNQAKHAGNHHNDDSWPEELKSDWTQLSMSIPMAPSSPVQDKLALSPLRLSREFDPAIHMGLGVNTEFLEPGKKTSNWIPISWGNNNSMGGPLGEVLNSTTNSPKFGSSPTGVLQKSTFGSLSNSSSGSSTIIGDNNNKNGDGKDPLGPTTLMNTSATAPSL >fgenesh2_kg.4__2080__AT2G39851.1 pep chromosome:v.1.0:4:19376240:19377671:1 gene:fgenesh2_kg.4__2080__AT2G39851.1 transcript:fgenesh2_kg.4__2080__AT2G39851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQILLIFLAFSVSVVCSSRALGPSPDNPLMKVYLVHVDFELYHGDCKQYQQLLKKVVHGRSPKDALIYCYKEVVSGFAAKLTDEEAKKLIGEKGIYGVDEDEVYSMNVEPYSHRLAKDINN >fgenesh2_kg.4__2083__AT2G39870.1 pep chromosome:v.1.0:4:19386546:19388524:1 gene:fgenesh2_kg.4__2083__AT2G39870.1 transcript:fgenesh2_kg.4__2083__AT2G39870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLPPPPYLSVNLPIMEKTPSSELTPTRLGFPNEFPYEFDSPSFSPGFTSPGDSTETEDESSDDEEDFLAGLTRRLAPSTQRLPPPPPLYKTKEKRQVAATSPQSTLSGLGSFSNSGSRSPILPSPPAPMTSFRRDNAWDVISAAAGEVARLKLGSHEPHHLPLQTPESLLRRQNAAFHAELQQQRLIEQMWLCSSQSRIKLSENHHPRRVMNDEVVFENPRYVRHNNPTWLSTQQAVAPLKRPSAGTGVFLPRRYPTTPPSDSLKKSVNTPAMLQSKVNQQNLNFDEFTNVVGPRRSQFDYECMLARSSLLARQGNFRSVSGGGGCLNQERRLPQDWMY >fgenesh2_kg.4__2084__AT2G39890.2 pep chromosome:v.1.0:4:19401629:19404425:1 gene:fgenesh2_kg.4__2084__AT2G39890.2 transcript:fgenesh2_kg.4__2084__AT2G39890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTATEAKNRKINVGDGDDVVDIEIPDTAHQISSDSWFQVAFVLTTGINSAYVLGYSGTIMVPLGWIGGVVGLILATAISLYANTLIAKLHEFGGRRHIRYRDLAGFIYGRKAYHLTWGLQYVNLFMINCGFIILAGSALKAVYVLFRDDHTMKLPHFIAIAGLICAIFAIGIPHLSALGVWLAVSTFLSLIYIVVAIVLSVRDGVKTPSRDYEIQGSSLSKLFTITGAAANLVFAFNTGMLPEIQATVRQPVVKNMMKALYFQFTAGVLPMYAVTFIGYWAYGSSTSTYLLNSVNGPLWVKALANISAILQSVISLHIFASPTYEYMDTKFGIKGNPFAIKNLLFRIMARGGYIAVSTLISALLPFLGDFMSLTGAVSTFPLTFILANHMYYKAKNNKLNAMQKLWHWLNVVFFSLMSVAAAIAAVRLIAVDSKNFHVFADL >fgenesh2_kg.4__2087__AT2G39900.1 pep chromosome:v.1.0:4:19406425:19408319:1 gene:fgenesh2_kg.4__2087__AT2G39900.1 transcript:fgenesh2_kg.4__2087__AT2G39900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LIM domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LDT9] MSFTGTQQKCRACEKTVYPVELLSADGISYHKSCFKCSHCKSRLQLSNYSSMEGVVYCRPHFEQLFKESGSFSKNFQSPAKPLTDKPTPELTRTPSRLAGMFSGTQDKCATCSKTVYPIEKVTVESQCYHKSCFKCSHGGCPISPSNYAALEGILYCKHHFAQLFKEKGSYNHLIKSASIKRATAAATAAAAAVAAVPES >fgenesh2_kg.4__2088__AT2G39910.1 pep chromosome:v.1.0:4:19408543:19410741:1 gene:fgenesh2_kg.4__2088__AT2G39910.1 transcript:fgenesh2_kg.4__2088__AT2G39910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LDU0] MLSTNSDLHGQLLRLSEPIAEILRRTQYTPQESSKVSTKDILFSLLPNTSSSRLTNEESIKSLALACALLASSRSSTHELLSWIPDNLSVMGESTFWEISRDCFSDFSSNSTAEKLVELVEDSEKMEMLPIVLPELKDGIEKSSLGKGSDAEDVSAAMARTPVGYAILAAHQLRWFVTQVEKPNLVKFCNLVVPCALTALDHWSPEVKGQGMITFVHLAKNVSSGDLGLYGDVVLDACCQNIASDDEIWIHVVELSVLLVTKIHPNNPRSPWYEKIMNEMLGHLERQPRNKERRITWLRFVEPLLNSLGLFLLAHFRRIFPLFFQWMHSDDAETVLLVLERLETVLRLTWIRNSPVFPRLVDELVSLYKESSMRKDRDDIRPLILRILMLLRQCKGLQFESAWSQYQEDPNLSTVSQHIWTSSS >fgenesh2_kg.4__2089__AT2G39920.1 pep chromosome:v.1.0:4:19410721:19411888:-1 gene:fgenesh2_kg.4__2089__AT2G39920.1 transcript:fgenesh2_kg.4__2089__AT2G39920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase class B family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LDU1] LGSRYSMESGCYMTSLAASIFIASLVTFGVLMITLFIALSTMLQTCENRNIGIVEAQRLDESFGYCKILSIHSQLNSLGDESELPLLCREVALRRIKQGIYVRELNFTIQLALTYFQTIKPMNDNRDVVVIDIDDTNLLEQDSYYMKYIEEAKHQKSILTLELYSKLRSQGYSMVLLSRRPETERNATTEQLKSRGYSDWSHLIMSREDTRQKEELERGHRVIGFIGNHMDVLRGQWNWQSKRLFKLPSLTYDDVLDYS >fgenesh2_kg.4__2090__AT2G39930.1 pep chromosome:v.1.0:4:19413991:19420502:1 gene:fgenesh2_kg.4__2090__AT2G39930.1 transcript:fgenesh2_kg.4__2090__AT2G39930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATISA1/ISA1 [Source:UniProtKB/TrEMBL;Acc:D7LDU2] MDSIKCSSSFLHRTKLNILFSNHAFPKISAPNFKRLFRPISISAKARRSSEAESIAVVEKPLKSERFNVSNGLPSPFGATVRDDGVNFSVYSTNSVSATICLISLSDLRQNKVTEEIQLDPSRNRTGHVWHVFLKGDFKDMLYGYRFDGKFSPEEGHYYDSSNILLDPYAKAIISRDEFGVLGPDENCWPQMASMVPTRDEEFDWEGDMHLKLPQKDLVIYEMHVRGFTRHESSKIEFPGTYQGVAEKLDHLKELGINCIELMPCHEFNELEYYSYNTILGDHRLNFWGYSTIGFFSPMIRYASASSNSFAGRAINEFKILVKEAHKRGIEVIMDVVLNHTAEGNEKGPIFSFRGVDNSVYYMLAPKGEFYNYSGCGNTFNCNHPVVRQFIVDCLRYWVTEMHVDGFRFDLGSIMSRSSSLWDAANVYGVDVEGDLLTTGTPISCPPVIDMISNDPILRGVKLIAEAWDAGGLYQVGMFPHWGIWSEWNGKFRDVVRQFIKGTDGFSGVFAECLCGSPNLYQGGRKPWNSINFICAHDGFTLADLVTYNNKNNLANGEENNDGENHNYSWNCGEEGDFASISVKRLRKRQMRNFFVSLMVSQGVPMIYMGDEYGHTKGGNNNTYCHDNYMNYFRWDKKEEAHSDFFRFCRLLIKFRDECESLGLNDFPTAKRLQWHGLAPEIPNWSETSRFVAFSLVDSVKREIYVAFNTSHLATLVCLPNRPGYRWEPFVDTSKPSPYDCITPDLPERETAMKQYRHFLDANMYPMLSYSSIILLLSPIEDT >fgenesh2_kg.4__2091__AT2G39940.1 pep chromosome:v.1.0:4:19420549:19423803:-1 gene:fgenesh2_kg.4__2091__AT2G39940.1 transcript:fgenesh2_kg.4__2091__AT2G39940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coronitine insensitive 1 [Source:UniProtKB/TrEMBL;Acc:D7LDU3] MEDPDIKKCRLSCVATVDDVIEQVMTYITDPKDRDSASLVCRRWFKIDSETREHVTMALCYTATPDRLSRRFPNLRSLKLKGKPRAAMFNLIPENWGGYVTPWVTEISKSLKQLKSVHFRRMIVSDLDLDRLAKARADDLEALKLDKCSGFTTDGLLSIVTHCRKIKTLLMEESSFIEKDGKWLHELAQHNTSLEVLNFYMTEFAKISPKDLETIARNCRSLVSVKVGDCEILELVGFFKAAANLEEFCGGSLNEDIGMPEKYMNLVFPRKLCRLGLSYMGPNEMPILFPFAAQIRKLDLLYALLETEDHCTLIQKCPNLEVLETRNVIGDRGLEVLAQYCKQLKRLRIERGADEQGMEDEEGLVSQRGLIALAQGCQQLEYMAVYVSDITNESLESIGTYLKNLCDFRLVLLDREERITDLPLDNGVRSLLIGCKKLRRFAFYLRQGGLTDLGLRYIGQYSPNVRWMLLGYVGESDEGLMEFSRGCPNLQKLEMRGCCFSERAIAAAVTKLPSLRYLWVQGYRASMTGQDLMQMARPYWNIELIPSRKVPEVNQLGEIREMEHPAHILAYYSLAGQRTDCPTTVIVLREPI >fgenesh2_kg.4__2092__AT2G39950.1 pep chromosome:v.1.0:4:19425343:19429354:-1 gene:fgenesh2_kg.4__2092__AT2G39950.1 transcript:fgenesh2_kg.4__2092__AT2G39950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRKRDSGDDHRDDQPNDDGEDVSTIDDSRFNGSEGENESTRIESRVSDPLTDATGGDYLVGEDRVLRWLQALDMQVMGACRGDERLKPLLKLNVSNGMAEDRLLAHLSQHFEPAEIGMLARCFCIPLVSVRVGKIKKEGTLMRPTPIRGNLSLMVLPTSDLRLSFIGDNGHSEQLFTYTSKSQCSAVSIEEITADSSGRSFVIRIADGNAFYYWCSEKSKLLGTELRRKMKDLIKKKPSISELTGIEESRLGSVASHLRLYLMGSVVPNIKGCPVSSPDSSSSSGSSETADSSSSSASSKSLRARHGGTQQTKTQGSLSPRASSFKENTLRNSSLRISSRDKSKRRSEGHFSIFDNLLSITSIPTNVEGFIQSEGEGEEATENNNGISQIIAFEEGESTPSTMTGPPQFPLKMGPPVFSPYYCWCPPTTSSLHAPSASYQFPPLSIELPSLPPLSSLLPASGSDGFLIPSSPLDLSDIPPLPLVHHITIPGSSSSSSQQQMMIPIMCDPIVHIPVIDIYSSGQGYLVSTGPTGMISTGIPPLPVENDSLVEKGARETLRLLISGANATTSTPLNHHGSRGLYSGSRDVSGVSLFGPIGLQQPSSVDGGDGGGESVSSGEAVPAPPRETSG >fgenesh2_kg.4__2094__AT2G39960.1 pep chromosome:v.1.0:4:19430496:19432460:-1 gene:fgenesh2_kg.4__2094__AT2G39960.1 transcript:fgenesh2_kg.4__2094__AT2G39960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKIESSNKNVKKANLLDHHSIKHILDESVSDIVTSRGYKEDVRLSNLKLILGTIIIVVALVAQFYNKKFPENRDFLIGCIALYVVLNAVLQLILYTKEKNAILFTYPPEGSFTSTGLVVSSKLPRFSDQYTLTIDSADPKSISAGKSVELTKSVTQWFTKDGVLVEGLFWKDVEALIKNYAEEEPKKKK >fgenesh2_kg.4__2095__AT2G39970.1 pep chromosome:v.1.0:4:19432671:19435518:-1 gene:fgenesh2_kg.4__2095__AT2G39970.1 transcript:fgenesh2_kg.4__2095__AT2G39970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein [Source:UniProtKB/TrEMBL;Acc:D7LDU6] MSDALINGLAGAGGGIIAQLLTYPLQTVNTRQQTERDLKREKRKLGTIEHMCQVVKQEGWERLYGGLAPSLAGTAASQGVYYYFYQVFRNQAEATALARKKKGLGDGSVGMFASLLVAAFAGSVNVLMTNPIWVIVTRMQTHRKMTKDQTAASVSPSSDAEALVTVEPRPYGTFNTIQEVYDEAGVTGFWKGVIPTLIMVSNPAMQFMLYETMLTKLKKKRALKGSNSVTALETFLLGAVAKLGATVTTYPLLVVKSRLQAKQVTTGDKRHQYKGTLDAILKMIQYEGLYGFYKGMSTKIVQSVLAAAVLFMIKEELVKGAKLLLSNATSS >fgenesh2_kg.4__2098__AT2G39990.1 pep chromosome:v.1.0:4:19449469:19451512:-1 gene:fgenesh2_kg.4__2098__AT2G39990.1 transcript:fgenesh2_kg.4__2098__AT2G39990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit F [Source:UniProtKB/TrEMBL;Acc:D7LDU9] MAAASEHTVLQFVAPSSTATATTSVLTARIHPLVIFNVCDCFVRRPDSAERVIGTLLGSILPDGTVDIRNSYAVPHNEFSDQVAVDIDYHHNMLASHLKVNPKEIIVGWYSTGAGVNGGSALIHEFYAREVTNPIHLTVDTGFTNGEGTIKAFVSSNLSLGDRQLAAQFQEIPVDLRMVDAERVGFNVLKATSVDKLPNDLEGMELTMERLLTLINDVYKYVDSVVEGQTAPDNNIGRFIADAVASLPKLPPQVFDDLVNDSLQDQLLLLYLSSITRTQLSLAEKLNTAAQML >fgenesh2_kg.4__209__AT2G22870.1 pep chromosome:v.1.0:4:1321770:1323820:1 gene:fgenesh2_kg.4__209__AT2G22870.1 transcript:fgenesh2_kg.4__209__AT2G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2001 [Source:UniProtKB/TrEMBL;Acc:D7LE52] MVLLLRYRSLTINLTPLIPKSHKFHTLQSFRNPNFISIPKISASSNNPATTTNRSISDAAKFAKSVLFIPPGVEIEELTDDMVLPGSNIVIGPFAGHSQIKEVEFVKSSARARDCPKDDRPEIAILGRSNVGKSSLINCLVRKKEVALTSKKPGKTQLINHFLVNKSWYIVDLPGYGFAKVSDAAKTDWSAFTKGYFLNRDTLVCILLLIDASVPPQKIDLDCANWLGRNNVPMTFVFTKCDKMKAAKGKRPDENIKAFQQIIRENFKVHPPWILTSSVSGLGRDELLLHMSQLRNYWDQ >fgenesh2_kg.4__20__AT2G21110.1 pep chromosome:v.1.0:4:145676:146239:-1 gene:fgenesh2_kg.4__20__AT2G21110.1 transcript:fgenesh2_kg.4__20__AT2G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7LL00] MEKKIGLVGFSLYLCLTFALGEYYSKTRPFTPKQQVVTNLHFFFHDTLTAPNPSAVLVAKPTLTGGDKDSSPSPFGSLFALDDPLTIRPDPKSKKIGNARGMYVSSGKHVPTLTMYVDFGFTAGKFNGSSIAVFSRNTITEKEREVAVVGGRGRFRMARGVGQLNTYYVNLTSGDAIVEYNVTLYHY >fgenesh2_kg.4__2100__AT2G40000.1 pep chromosome:v.1.0:4:19455223:19456657:-1 gene:fgenesh2_kg.4__2100__AT2G40000.1 transcript:fgenesh2_kg.4__2100__AT2G40000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMDWKRKMASSDLPNSPKLSSKLHVTIPSPFKIVPVSSPISCSAPALCSAYELYLRLPELRKLWSSRDFPTWTSEPILKPALQALEISFRLVFAVCSDTRPYINHREWNRRLDSLVTKQIQLVAAICEEDDEEEGDSAAPVSDGRSSLSLLPQLATWRRSEALGKKILSTVDNEMSRCKYTLGLGEQNIAGKPNLRYDAICRPNEIYSLKDNPFADHIDNQENQTLYIIHQILESWIYASGNLLNRIVSSIEEEKFEKASNDVYLLEKIWKLLAEIEDLHMLMDPEDFLKLKKQLQIKSTGKNDAFCFRSKGLVEMMKMSKDLRQKVPAVLAVEVDPTGGPRLQEAAMKLYATKRECDKIHLLQGMQAVEAAAKSFFFSYRQLVAAMMGSAETNATASQESCDSLSQIFMEPTYFPSLDAAKTFLGEFWSHLG >fgenesh2_kg.4__2101__AT2G40010.1 pep chromosome:v.1.0:4:19480139:19481976:-1 gene:fgenesh2_kg.4__2101__AT2G40010.1 transcript:fgenesh2_kg.4__2101__AT2G40010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P0 [Source:UniProtKB/TrEMBL;Acc:D7LEE5] MAVKGTKAEKKIVYDSKLCQLLNEYPQILVVAADNVGSTQLQNIRKGLRGDSVVLMGKNTMMKRSVRLHADKTGNKAFLNLLPLLQGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVQPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLVVESVYDNGSVFNPEVLNLTEDDLVEKFAAGVSMITAISLAISYPTVAAAPHMFLNAYKNVLAVALATEYSFPQAENVKEFLKDPTKFAVAAAAPVLGESCGAVVAVAVEEEAAEESDEDMGFDLFG >fgenesh2_kg.4__2102__AT2G40030.1 pep chromosome:v.1.0:4:19496611:19505676:1 gene:fgenesh2_kg.4__2102__AT2G40030.1 transcript:fgenesh2_kg.4__2102__AT2G40030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:D7LEE8] MEEESSSEILEGEIVGIKFALATHHEICIASISGSAINHPSQLTNSFLGLPLEFGKCESCGATEPDKCEGHFGYIQLPVPIYHPAHVNELKQMLSLLCLKCLKIKKAKSTSGGLADRLLGVCCEEASQISIRDRASDGASYLELKLPSRSRLQAGCWNFLERYGYRYGSDYTRPLLAREVKEILRRIPEETRKKLTAKGHIPQEGYILEYLPVPPNCLSVPDVSDGYSSMSVDPSRIELKDVLKKVIAIKSSRSGETNFESHKAEANDMFRVVDTYLQVRGTAKAARNIDMRYGVSKISDSSSSKAWTQKMRTLFIRKGSGFSSRSVITGDAYRHVNEVGIPIEIAQRITFEERVSVHNIGYLQKLVDDKLCLSYTQGSTTYSLRDGSKGHTVLKPGQVVHRRVIDGDVVFINRPPTTHKHSLQALRVYVHEDNTVKINPLMCSPLSADFDGDCVHLFYPQSLSAKAEVMELFSVEKQLLSSHTGQLILQMGCDSLLSLRVMLEGVFLDKATAQQLAMYGSLTLPPPALRKSSKSGPAWTVFQILQLAFPERLSCKGDRFMVDGSDLLKFDFGVDAMASIINEIVTSIFLEKGPKETLGFFDSLQPLLMESLFAEGFSVSLEDLSMSRADMDVIHNLIIREISPMVSRLRLSYRDELQLENSLHKVKEVAANFMLKSYSMRNLIDIKSNSAITKLVQQTGFLGLQLSDKKKFYTKTLVEDMALFCKRKYGRISSSGDFGIVKGCFFHGLDPYEEMAHSIAAREVIVRSSRGLAEPGTLFKNLMAVLRDIVITNDGTVRNTCSNSVVQFTYGVDSERGHQGLFEAGEPVGVLAATAMSNPAYKAVLDSTANSNSSWEQMKEVLLCKVNFQNTTNDRRVILYLNECHCGKRFCQENAAYTVRNKLKKVSLKDTAVEFLVEYRKQQTISEIFGIDSCLHGHIHLDKTLLQDWNISMQDILQKCEDVINSLGQKKKKKATDDFKRTSLSVSECCSFQDPCGRKDSDMPCLMFSYSATDPDLERTLDVLCNTIYPVLLETVIKGDPRICSANIIWNSSDMTTWIRNCHASRRGEWVLDVTVEKSAVKQSGDAWRVVIDACLSVLHLIDTKRSIPYSIKQVQELLGLSCAFEQAVQRLSASVRMVSKGVLKEHIILLANNMTCSGNMLGFNSGGYKALTRSLNIKAPFTEATLITPRRCFEKAAEKCHTDSLSTVVGSCSWGKRVDVGTGSQFELLWNQKETGLDDKEETDVYSFLQMVRSTTNADAYVSSPGFDVTEEEMAEWAESPERDSALGEPKFEDSAEFQNLHDEGKPSESNWEKSSSWDNGCSGGSEWGVSKNTGGEANPESNWEKTTNVEKEDAWSSWNTKKDAQESSKSDSGVAWGLKTKDDDADTTPNWETRPAQTDSIVPENNEPTSDVWGHKSGSDKSWDKKNGGTESAPAAWGSTDAAVWGSSDKKNSETESDAAAWGSRDKKNSEVGSGAGVLGPWNKKSSKTESDGATWGSSDKTKSGAAAWSSWDKKNMETDSEPAAWGSQSKNKPETESGPSTWGAWDTKKSETESGPAGWGIVDKKNSETESGPAAMGNWDKKKSNTESGPAAWGSTDAAVWGFSDKNNSETESDAAAWGSRDKKTSETESGAAAWGSWGQPTPTAANEDANEDDENPWVSLKETKSRDKDDKERIQWGNPAKKFPSSGGWSNGGGADWKGKRNHTPRPPRSEDNLAPMFTATRQRLDSFTSEEQELLSDVEPVMRTLRKIMHPSAYPDGDPISDDDKTFVLEKILNFHPQKETKLGSGVDFITVDKHTIFSDSRCFFVVSTDGAKQDFSYRKSLNNYLMMKYPDRAEEFIDKYFTKPRPSGNRDRNNQDATPPGEEQSQPPTQSIGNGGDDFNTQTQSPSQTQAQAQAQAQAQSPSQTQTQSPSPSQTQTQSPSQTQAQAQSPSQSPSQTQTYS >fgenesh2_kg.4__2103__AT2G40060.1 pep chromosome:v.1.0:4:19508956:19510560:1 gene:fgenesh2_kg.4__2103__AT2G40060.1 transcript:fgenesh2_kg.4__2103__AT2G40060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain [Source:UniProtKB/TrEMBL;Acc:D7LEE9] MSVFEDDSFVILNDDASESVPVSGSFDAIDSFSAYDGSVQVDDSVDDVFAAPSSDYGAYSNGDGVFGSNGEHDGPILPPPSEMESDEGFALREWRRHNAIQLEEKEKREKELLKQIIEEANQYKEEFHKKIEVTCQNNKAANREKEKLYLENQEKFYAESSKNYWKAIAELVPKEVPTIEKRRGKKEQQDPKKPSISVIQGPKPGKPTDLTRMRQILVKLKHNPPSHLKLTSQPPSDEAAAPPKNVLETKPTEAVTAA >fgenesh2_kg.4__2107__AT2G40085.1 pep chromosome:v.1.0:4:19527613:19528332:-1 gene:fgenesh2_kg.4__2107__AT2G40085.1 transcript:fgenesh2_kg.4__2107__AT2G40085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDAVPKPVVVDPPLYDEAPPSASRRRPVMIAADAAPPEENHLIQRIQRALVELRELRNQFNAILRELEVEVGEEEEQAESSVEDPEDSDS >fgenesh2_kg.4__2108__AT2G40090.1 pep chromosome:v.1.0:4:19528566:19531743:-1 gene:fgenesh2_kg.4__2108__AT2G40090.1 transcript:fgenesh2_kg.4__2108__AT2G40090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLWRTRTKLLVVGTSLCGGSGAAFIASSDDPSTTLKLCTSIPVRLYRNTVTAASIAFDYEYSLLGLAEGSSERAKVKHEVHLRSAQKLQELCFKNGGIYIKLGQHIGQLEYLVPEEYVCTMRESMLNKCPVSSYEQVCEVFKKEVGEMPDKVFAEFDPVPIASASLAQVHVARTHDGKKVAVKVQHAHMTDTAAADTAAVGVLVNTLHRIFPSFDYRWLLDEMSESLPKELDFLVEAKNNEKCLDNFRKLSPHIAEYVYAPTIYWNLSTSKLLTMEFMDGAQVNDVAKIRKLGIQPYEVSKLVSQTFAEMMFKHGFVHCDPHAANLIVRPDPSGKRNIYGKRKPQLVILDHGLYKELDFNTRYHYASLWKALVFSDAKAIKEHSAKLGAGDDLYVLFAGILTMRPWKQVIDTSVDHLVIQGSKEDVSELQMYASQYFSEISELLRRLPRVILLMLKTNDCLRSVNNELMQGSSLESFLIIGKVSSQAVLEAKRSEKKSLMKWLKVWLEGFSVEARLWVMQFALWVLQVRKSLTL >fgenesh2_kg.4__2109__AT2G40095.1 pep chromosome:v.1.0:4:19535021:19537088:1 gene:fgenesh2_kg.4__2109__AT2G40095.1 transcript:fgenesh2_kg.4__2109__AT2G40095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKEEISKTRKLSSSLWRGIKTVFVLFTMFLSFLLVSAPIFLAVADALLPSALLHRFSSPANLSSHLTNYDFRHSLIDIPLISIIRSAVILCVYGLCDGPKLSRGPYLTVTMICSVSSLVYVSLKAAFVFGEPSIGDGGGNYFRAAEVALFLCSSVLAISHIIVAYRTSCRERKKLLVFKIDIEAVSACKNVYPRYQKILQQERLK >fgenesh2_kg.4__210__AT2G22880.1 pep chromosome:v.1.0:4:1323901:1324251:-1 gene:fgenesh2_kg.4__210__AT2G22880.1 transcript:fgenesh2_kg.4__210__AT2G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LE53] MEATSQPCFSQNYHSSLHSTRKQPAKPWKKPVSGLPQRMHPKVYRVEPVNFKELVQRLTGAHDHEQEVHQVEAKPVKISDDTTTAKDNPFAFDLSPSSSRFWEAFPLLSPANLSRW >fgenesh2_kg.4__2110__AT2G40100.1 pep chromosome:v.1.0:4:19539305:19540877:1 gene:fgenesh2_kg.4__2110__AT2G40100.1 transcript:fgenesh2_kg.4__2110__AT2G40100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7LEF5] MATTTAAAASGIFGIRIQDPRPGTGRVQARFGFSFGKKKPAPPPKKSRQVQDDGDRLVWFPGANPPEWLDGSMIGDRGFDPFGLGKPAEYLQYDFDGLDQNLAKNVAGDIIGIIQDSSEIKPTPFQPYTEVFGIQRFRECELIHGRWAMLGTLGAIAVEALTGIAWQDAGKVELVEGSSYLGQPLPFSLTTLIWIEVLVVGYIEFQRNSELDPEKRIYPGGYFDPLGLAADPEKLDTLKLAEIKHSRLAMVAFLIFALQAAFTGKGPISFLATFNN >fgenesh2_kg.4__2113__AT2G40113.1 pep chromosome:v.1.0:4:19543943:19544955:1 gene:fgenesh2_kg.4__2113__AT2G40113.1 transcript:fgenesh2_kg.4__2113__AT2G40113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFFILRCFLLLSFFFFNGAFTASSKLWSIREMSDMAGYGEHKLSSVVITGSLLCNTPISGATVAIKCHTGFQKRSKWIKGVTNDFGEFVIHLPSHLHAIPQLEKACFIKPIHVPKHYHRCYHTFSKSNIHKGIKLVSSRNGFRVYTSGTIKLHGGHSSRTSQQPHKANM >fgenesh2_kg.4__2115__AT2G40120.1 pep chromosome:v.1.0:4:19553384:19556187:-1 gene:fgenesh2_kg.4__2115__AT2G40120.1 transcript:fgenesh2_kg.4__2115__AT2G40120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40120) TAIR;Acc:AT2G40120] WSMAVDVKSVLEFLRRNGLTEAESALRDDINEKNKLASFDFEKFLFPIPPPIRIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSLSDGQSQFGTARTYPEWSEFYLHNETEDEDEFMSPAFRESDFFILPENAQDKFITDNQFENMLGVYDKSSSQGSQTEASLDYLDKPFLLDIGLDDKTDELDLKTGDQVNVTDEEVDVVHEVEDEYEVFNLRIIHWKNRTGFEENKDLPIVINSVIGGRYYITEYIGSAAFSKVVQAHDLHNGVDVCLKIIKNDKDFFDQSLDEIKLLKHVNKHDPADEHHILRLYDYFYHQEHLFIVCELLRANLYEFQKFNQESGGEPYFNLSRLQVITRQCLDALVFLHGLGIIHCDLKPENILIKSYKRCAVKIIDLGSSCFRSDNLCLYVQSRSYRAPEVILGLPYDEKIDLWSLGCILAELCSGEVLFPNEAVALILARIVAVLGPIETEMLEKGQETHKYFTKEYDLYHLNEESNEIEYIITEESCLEEQLHVSDELFLDFVRSLLEINPLRRPTALEALNHPWLSSS >fgenesh2_kg.4__2118__AT2G40130.2 pep chromosome:v.1.0:4:19567717:19571041:1 gene:fgenesh2_kg.4__2118__AT2G40130.2 transcript:fgenesh2_kg.4__2118__AT2G40130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAVNVAKQCLTAEAAYALEEAVNVARRRGHSQTTSLHAISALLSLPTSVLRDACARVRNSAYSPRLQFKALDLCLSVSLDRIQSGQQLGSDDSPPVSNSLMAAIKRSQAHQRRLPENFRIYQEMSQSQNSNSLSCVKVELRQLILSILDDPVVSRVFGEAGFRSSELKLSIIRPVPHLLRYSSQQPLFLCNVTGNPEPNPVRWGFTVPNRNFNGDSDYRRISAVFMREKGRNPLLVGVSAYGVLTGYLNSLEKNRTDGMILPTKLHGLTAVDIGSEISDQIIVKFDKTYTDTRFHDLGKLAEQGSGPGLILNYGDLRVFTDGEGNVPAANYIVNRVSELLRRHGRRVWLIGATTSNDVYEKMLRKFPNVEKDWDLQLLTITSLKPCLPHHKSSLIGSFVPFGGFFSTTPSDLKLPFSGFNKEITGPVSSISDQTQSTLPPWLQMTTRADLNQKSGVKTKEGLESVCGDKSTSSASASTGSAKSVTTDLNLRICSVTAGSGLKKHLDSKDFSQPQSVSSYCLDNPRDLSAESFKIIYRRLTDRVSGQDEAARVISCALSQPPKIVTRRDVWLNLVGPDTVGKRRMSLVLAEIVYQSEHRYMAVDLGAAEHGMDGCDDAMRLRGKTMVDHIFEVMCRNPFCVVFLENIEKADEKLQISLSKAIETGKFMDSHGREVGIGNTMFVMTSSSPEDSGIRTSYSEEKLLRAKGRQVEIWIETVPSLPMVRSVYGPTSVNKRKLMGLGKLQETKDTVETVKRWNRTTNGVLDLNLPAQETEIEEKDHCEENSNVWLMNLKNHERLIEVPFKPFDFEGLAEKIKKSVKEIFDKCVRSDCLLEVDPKIIERLLAAVYFSDSRKDIKELMEKIMSRVFLKIKERYEITTSCVVKLVGRDLDIFLEDQMDLFFVKSQ >fgenesh2_kg.4__211__AT2G22890.1 pep chromosome:v.1.0:4:1325152:1326063:-1 gene:fgenesh2_kg.4__211__AT2G22890.1 transcript:fgenesh2_kg.4__211__AT2G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLQTKYTLSPITNNIPRSHRPSLLRVTSTTNSQPNHEKFVLEQRLVNPPLSNDTTLQSTWTHRLWVAAGCTTVFVSFAKSIIGAFGSHLWLEPTLAGFAGYFLADLGSGVYHWATDNYGDESTPLVGTHIEDSQDHHKWPWTITRRQFANNLHFMARGTTLIVLPLDLAFEDHVFHGFVSMFAFSVLFCQLFHTWAHGTKSKLPPLVVGLQDIGLLVSRVHHVNHHRAPYNNNYCVVSGVWNKVLDESKVFEAMEMVLYFKMGVRPRSWTESNS >fgenesh2_kg.4__2121__AT2G40150.1 pep chromosome:v.1.0:4:19583549:19588014:1 gene:fgenesh2_kg.4__2121__AT2G40150.1 transcript:fgenesh2_kg.4__2121__AT2G40150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRKKSNLSIFVIVFSLFLLGIFMYNDNLKSSIADFTSSNPFSSSSVELPPEECDLFTGQWVFDNKTYPLYKEEECEFLTEQVTCLRNGRKDSLFQNWRWQPRDCSLPKFKARVLLEKLRNKRLMFVGDSLNRNQWESMVCLVQSVIPPGRKSLNQTGSLTVFKIQDYNATVEFYWAPFLVESNSDDPRKHSIIDRIIMPESIEKHGVNWIGVDFLVFNSYIWWMNTVSIKVLRGSFDDGDTEYDEIKRPMAYERMLRTLGDWVNHNIDPISTTVFFMGMSPLHIKSLDWDNPEGIMCALETTPILNMSFNVKTNYRLFSAVGTDYRLFSVVENVTQSLKVPIHFLNITALSEYRKDAHTSVYTIKQGKLLTREQQTDPANFADCIHWCLPGLPDTWNEFLYTHIISQR >fgenesh2_kg.4__2122__AT2G40160.1 pep chromosome:v.1.0:4:19588495:19590358:1 gene:fgenesh2_kg.4__2122__AT2G40160.1 transcript:fgenesh2_kg.4__2122__AT2G40160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIDGRERKAYLSLLYFAVILLPVFLLGCYLYNEKQLRIGQFQEFKTHNLQEHISPPQQSKEDKDKKTDVVPLEACDVFTGKWVLDNITHPLYKEDECEFLSEWVACTRNGRPDSKYQKWRWQPRDCSLPRFDGKLLLEKLRGKKLMFVGDSIHYNQWQSMVCMVQSLIHSGKKTLKHTAQMSIFNTEEYNATIAFYWAPFLVESNTDPPDKRDGKTDPVIIPQSISKHGENWKDADYLVFNTYIWWTRHSKIKVLKQESFNKGDSKDYDEIGIYIVYKQVLSTWTNWLEQNINPNQTSIFFSSMSPTHIRSSDWGFNEGNKCEKETEPILNMSRPIDVGTNRRLYEIAVNVTKSTKVPIHFLNITTMSEYRKDGHTSFYGSRSGKLITPEQKLDPRTFADCYHWCLPGLPDTWNELLSLYIIYKS >fgenesh2_kg.4__2125__AT2G40190.1 pep chromosome:v.1.0:4:19603020:19605339:1 gene:fgenesh2_kg.4__2125__AT2G40190.1 transcript:fgenesh2_kg.4__2125__AT2G40190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7LEH1] MAICFILYTLLTIIFAVSLSLSLSVINARKSRKRAVGFFHPYTNDGGGGERVLWCAVKAIQEENPDLDCVIFTGDHDSSSDSLARRAVDRFGVHLQSPPKVIHLSKRKWIEERTYPHFTMIGQSLGSVYLAWEALRKFTPLYFLDTSGYAFTYPLARIFGCKVVCYTHYPTISLDMISRVRQRNSMYNNDASIAKSNWLSTCKLVYYRAFSWMYGMVGSCTHLAMVNSSWTKSHIEVLWRIPERITRVYPPCDTSGLQAFPLERSSDPPKIISVAQFRPEKKLDADVPRPKLQFVGSCRNNSDEERLQKLKDRAVELKVDGDVEFYKNAMYRELVELLGNAVAGMHGMIDEHFGISVVEYMAAGAIPIAHNSAGPKMDIVLEEDGQRTGFLAETVEEYAEAILEIVKMSEKERIKMAESARKRAVRFSEQRFCEDFKTAIQPIFTGPLK >fgenesh2_kg.4__2126__AT2G40200.1 pep chromosome:v.1.0:4:19609142:19610092:1 gene:fgenesh2_kg.4__2126__AT2G40200.1 transcript:fgenesh2_kg.4__2126__AT2G40200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7LEH2] MENSYDSSKWSDSTTPYMVSWSLQSESPDSDWSRFNLGFSFSSSGYFPADDCVGGIEKAESLSRSHRQAEKRRRGRINSHLTALRKLVPNSDKLDKAALLASVIEQVKELKQKATESPSFEDLPTEADEVTVQPETISDFESNTNTIIFKASFCCEDQPEAISEIIRVLTKLNLETIQAEIMCVGERMRINFILKDSNCNETTNIAASAKALKQSLCAALNRITSSSSTTSSVCRIRSKRQRWFLSSHYSHNE >fgenesh2_kg.4__2128__AT2G40230.1 pep chromosome:v.1.0:4:19624147:19628442:-1 gene:fgenesh2_kg.4__2128__AT2G40230.1 transcript:fgenesh2_kg.4__2128__AT2G40230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LEH4] MGSLVHVKEATVITPSDQTPSSVLPLSALDSQLFLRFTIEYLLVYPPVSDPDYSLSGRLKSALSRALVPYFPFSGRVRENPDGGGGLEVNCRGQGALFLEAVSDILTCLDFQKPPRHVTSWRKLLSLHVIDVLAGAPPLVVQLTWLRDGGAALAVGVNHCVSDGIGSAEFLTLFAELSKDSLSLTELRRKHLWDRQLLMPSPTRDSLSHPEFNRVPDLCGFVNRFNAERLVPTSVVFKRQKLNELKKLASRLGEFNSKPTSFEVLSAHVWRSWARSLNLPSNQILKLLFSINIRDRVKPSLPSGFYGNAFVVGCAQTTVKDLTEKGLSYATMLVKQAKERVGDDYVRSVVEAVSKERASPDSVGVLILSQWSRLGLEKLDFGLGKPVHIGSVCCDRYCLLLPVPERSDAVKVMVAVPSSAVDTYENLVTSPNA >fgenesh2_kg.4__212__AT2G22900.1 pep chromosome:v.1.0:4:1328584:1338995:-1 gene:fgenesh2_kg.4__212__AT2G22900.1 transcript:fgenesh2_kg.4__212__AT2G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyl transferase GMA12/MNN10 family protein [Source:UniProtKB/TrEMBL;Acc:D7LE55] MAKYAGARTRPVICFSDVVLFLGGAFMSLILVWSFFSFYSISPNLTVKTNETSAKCSPEIDMKYDPTDPVYYDEPDLTYTIEKPVKNWDEKRRRWLNLHPSFIIGAENRTVMVTGSQSAPCKNPIGDHLLLRFFKNKVDYCRIHGHDIFYSNALLHPKMNSYWAKLPAVKAAMIAHPEAEWIWWVDSDALFTDMDFTPPWHRYKEHNLVVHGWPGVIYNDRSWTALNAGVFHMGNCQWSMELIDTWTGMGPVSPEYAKWGQIQRSIFKDKLFPESDDQTALIYLLYKHREVYYPKIYLEGDFYFEGYWLEIVPGLTNVTERYLEMEREDATLRRRHAEKVSERYAAFREERFLKGERGGKGSKRRPFVTHFTGCQPCSGDHNKMYDGDTCWNGMIKAINFADNQVMRKYGFVHSDLGKTSPLQPLPFDYPDEPW >fgenesh2_kg.4__213__AT2G22905.1 pep chromosome:v.1.0:4:1341091:1341776:1 gene:fgenesh2_kg.4__213__AT2G22905.1 transcript:fgenesh2_kg.4__213__AT2G22905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRSRPPCVGTLSRGEVSENSGFRSRPPGSWGCPKQMRQNHVSSSTRQQRRSWTNTNSGERKSHSYFGDSGGVVAAEEAELVAVLREAHPYVNLHRDSKFVVMLSAELLDSGSTLDGILKVITIYCFSHY >fgenesh2_kg.4__2141__AT2G40290.1 pep chromosome:v.1.0:4:19674186:19676537:-1 gene:fgenesh2_kg.4__2141__AT2G40290.1 transcript:fgenesh2_kg.4__2141__AT2G40290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTPNLECRMYEAKYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQTCEERYNKSKLVHSIMRHVAETLSIDLEELYVNIGWPLYRRHGHAFEAFKILVTDPDSVLGPLTREIKEVGPDGQEVTKVVPAVTEEVKDALVKNIRRRMTPQPMKIRADIELKCFQFDGVVHIKEAMRNAEAAGNEDCPVKIKLVAPPLYVLTTQTLDKEQGIEILNKAIAACTETIETHKGKLVVKEGARAVSERDDKMLTEHMAKLRLDNEEISGDEESGDEEEDTGMGEVDLDGGAGIIE >fgenesh2_kg.4__2143__AT2G40300.1 pep chromosome:v.1.0:4:19676843:19684297:-1 gene:fgenesh2_kg.4__2143__AT2G40300.1 transcript:fgenesh2_kg.4__2143__AT2G40300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin [Source:UniProtKB/TrEMBL;Acc:D7LF25] MLLKTVSSSSSSALSLVNFHGVKKDVSPLLSSISWNLRVSSGKSGNLSFSVRASKSSTTDALSGVVFEPFKEVKKELDLVPTSSHLSLARQKYSDECEAAINEQINVEYNVSYVYHAMYAYFDRDNVALKGLAKFFKESSVEEREHAEKLMEYQNKRGGRVRLQSIVMPLSEFEHVDKGDALYGMELALSLEKLVNEKLLNLHSVASKNNDVHLADFIESEFLNEQVEAIKMISEYVAQLRRVGKGHGTWHFNQMLLEG >fgenesh2_kg.4__2146__AT2G40320.1 pep chromosome:v.1.0:4:19691093:19693203:1 gene:fgenesh2_kg.4__2146__AT2G40320.1 transcript:fgenesh2_kg.4__2146__AT2G40320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSPISLATSSIARKTRLSPYLFTLLAFILFVSVLYGEDFMCIFGQLEPNFVLPPSRTTEKNKKSEKLAFSIGKTEESCDVFSGKWVRDEVSRPPYEEWECPYIQPQLTCQEHGRPDKDYQFWRWQPNHCDLPSFNASLMLETLRGKRMMYVGDSLNRGMFVSMICLLHRLIPEDQKSIKTNGSLTVFTAKEYNATIEFYWAPFLLESNSDDAIVHRISDRVVRKGSINKHGRHWKGVDIIIFNTYLWWMTGLKMNILQGSFADKEKNIVEVSTEDAYRMGMKSMMRWVKNNMDRKKTRVFFTSMSPTHAKGIDWGGEPGQNCYNQTTLIEDPSYWGSDCRKSIMKVIGEVFGRSKTPITLLNITQMSNYRKDAHTSIYKKQWSPLTAEQLENPTSYADCVHWCLPGLQDTWNELLFAKLFYP >fgenesh2_kg.4__2147__AT2G40330.1 pep chromosome:v.1.0:4:19696022:19697104:-1 gene:fgenesh2_kg.4__2147__AT2G40330.1 transcript:fgenesh2_kg.4__2147__AT2G40330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I allergen family protein [Source:UniProtKB/TrEMBL;Acc:D7LF30] MPSSIQFQRSSTAAEAANATVRNYHHHHNKQVQKVSLTRGMADVPEHVELSHTHVVGPSQCFSVVVQDVEAPASAVWSILSRFEHPQAYKHFVKSCHVAIGDGREIGSVREVRVVSGLPAAFSLERLEIMDDEHHVISFSVVGGDHRLMNYKSVTTVHESESSDDGKKRTRVVESYVVDVPAGNDKEETCSFADTIVRCNLQSLAKLAENTSKFS >fgenesh2_kg.4__214__AT2G22910.1 pep chromosome:v.1.0:4:1344626:1347625:1 gene:fgenesh2_kg.4__214__AT2G22910.1 transcript:fgenesh2_kg.4__214__AT2G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERGAMVVSSSTSYLPFRCPQTRNDFSFFAPSKKLNPNRQLIKSPSSISSPPMTPAKCNMFDYAETGENLVGDKQFVRWFREAWPYLWAHRSCTFVVTISGDVLDGPYCDLVLKDIAFLHHLGIKFVLVPGTQVQIDQLLAERGREPTYVGRYRVTDSASLQAAKEAAGAISVMIEAKLSPGPSIYNIRRHGDSSRLHETGVRVDTGNFFAAKRRGVVDGVDFGATGLVKKIDVDRIRERLDSGSVVLLRNLGHSSSGEVLNCNTYEVATACALAIGADKLICIMDGPILDENGHLVRFLTLQEADTLVRKRAQQSEIAANYVKAVGDGGISSFPEPLGYNGMVTTPNNHIGRPIWEKHTLTFQNGVGFDNGNGLWSGEQGFAIGGEERISRLNGYLSELAAAAFVCRGGVNRVHLLDGTISGVLLLELFKRDGMGTMVASDVYEGTREAKVEDLAGIRQIITPLEESGALVRRTDEELLRALDSFVVVEREGQIIACAALFPFFEDKCGEVAAIAVASDCRGQGQGDKLLDYIEKKASAFGLEMLFLLTTRTADWFVRRGFQECPIEMIPEARRERINLSRRSKYYMKKLLPDRSGISVVRTFQYGS >fgenesh2_kg.4__2150__AT2G40360.1 pep chromosome:v.1.0:4:19708359:19711967:-1 gene:fgenesh2_kg.4__2150__AT2G40360.1 transcript:fgenesh2_kg.4__2150__AT2G40360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein BOP1 homolog [Source:UniProtKB/TrEMBL;Acc:D7LF32] MTKKKKGANEDKIIETKSKTVSGKSQKQKKPVEIEESLKEEDLLQESGTDSDYDGDSLPESLDSDDFDSDFFDSEYDGTQEGTEDGDVEFSEDDVLGNLLEHEGSIDNVDDEESEQVESDNGEEDGSDEGSERDEAVEESDSSEDEVPSRNTVGDVPLEWYKDEKHIGYDITGKKITKKEKQDKLDSFLATMDDSKNWRKIYDEYNDEEVELTKEECNLMRRILKGEAPHADFDPYAPYVDWFKWDDSIHPLSSAPEPKRRFIPSKWEAKKVLKLVRAIRKGLIKFDKPEEEPNVYLLWGDDSTSDQKSKHLTYIPPPKLKLPGHDESYNPSLEYIPTEEEKASYELMYEEDRPKFIPKRFTSLRSIPAYENALKESFERCLDLYLCPRVRKKRINIDPESLKPKLPSRKDLRPYPNSCYLEYKGHTGAVTTISTDSSGEWIASGSTDGSVRMWEVETGRCLKVWQFDEAIMCVAWNPLSRLPVLAVAMGRDLFFLNTELGTDEEQEITKERLHSGNIPEPEASVAAIVTWLPDELYGGIKIRHFKSISSIDWHRKGDYLSTVMASGETRGVVLHQLSKQKTQRLPFKIRGLPVCTLFHPSLSYFFVATRKDVRVYNLLKPGEATKKLETGLREISSMAIHPGGDNLIVGSKEGKMCWFDMDLSSKPYKTLKNHPKDITNVAVHRSYPLFASCSEDSTAYVFHGMVYNDLNQNPLIVPLEILRGHSSKGGVLDCKFHPRQPWLFTAGADSIIKLYCH >fgenesh2_kg.4__2152__AT2G40380.1 pep chromosome:v.1.0:4:19722960:19723806:-1 gene:fgenesh2_kg.4__2152__AT2G40380.1 transcript:fgenesh2_kg.4__2152__AT2G40380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7LF34] MSSSPAILPVTNQQAATQSQPPINSHAFRTFLSRLSSSLRESLSQRRPWLELVDRSSFARPDSLTDSFSRIRKNLAYFKVNYSAIVSLVLAFSLLSHPFSLLVLLSLLGSWMFLYLFRSSDQPLVLFGRTFSDRETLLALVLTTIVVVFMTSVGSLLTSAFTIGIAIVCLHGAFRVPDDLFLDDQEPANAGLLSFIGNSAAASVVAARV >fgenesh2_kg.4__2153__AT2G40390.1 pep chromosome:v.1.0:4:19724611:19726278:1 gene:fgenesh2_kg.4__2153__AT2G40390.1 transcript:fgenesh2_kg.4__2153__AT2G40390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDTPDAFAWLQTLPPLSQWKRNSMSMCICSPNSSHPSLNFSLTRTPQSPNFFTFSIVANFKIPITLFVSKPFRTITTNSTTFLNENVISTLLMGFVDVVLNYNVKRATCSIQLQNLGSTSNLKDVFNLAFFTFVFLICIYEAPTSLRTTCLKTVKDQLVTCRSRQGSKLLMVQLGSNLEEQWMRSLNLAITNWIIEIKAFQHLKSPTPLFSYAFSTQGLWKVHMYCPVVAMEMESVNSALHDERLFFSLNYHQLEGVIQFNHKIYVREKWFNIAVNIDNVRCDIIRLVNEKLLSERGMGTEEKHFPSRISLLLTPTIQSNILMVSVQKSSENPLTEFEVEKGIEATIDPPNTFFGLKVSANETTTKSMKPWKFEEWVHGYSANLTWFLHDLDDGREVSSSKPSKVSMMNPRAWFKNRYSSAFRPFTKQGGVVFAGDSYGQSVLWKVDKTAIGKVMEFEVKGCVWLTYWPNKHHTFYSDTRKLEFKEMLYLNLP >fgenesh2_kg.4__2155__AT2G40400.1 pep chromosome:v.1.0:4:19726566:19729899:1 gene:fgenesh2_kg.4__2155__AT2G40400.1 transcript:fgenesh2_kg.4__2155__AT2G40400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTNGLLASSFPFPRFRGQLPITFSANNNQKKKKYLPNPNVVTLCLHSDSDVSSSQIAVTRRAILVAPPLFAAAASLFLSISSAASAETSTESVALPPPPPVAVTAPPPPPVEKEELITSRIYDASVLGEPMAVGKDKKRVWEKLLNARIVYLGEAEQVPTRDDKVLELEIVRNLRKRCIESDRQLSLALEAFPLDLQEQLNQYMDKRMDGDVLKSYVSHWPVQRWQEYEPLLSYCRDNAVKLIACGTPLKVLRTVQAEGIRGLSESERKLYTPPAGSGFISGFTSFSRSSSLNTNPLTQIVPFGPSSYLSAQTRVVEDHTMSQVILQAVADGGGTGLLVVVTGANHVEYGSRGTGLPARISRKIPKKSQLVVLLDPERQFLRKEGESPVADFLWYSAARPCSRNCFDRAEIARVMNAAGRRRDALPQDIQKGLDLGLVSPEILQNFFDLEHYPLISELTQRLQGFRERLLADPKFLNRLAIEEAISITTTLVAQYEKRKENFFEELDYVITDSVRASVVDFFTVWLPAPTLSFISYADEKIGPNSIDALKGLLGSIPDNAFQKSLGQQEWTLNLRIASVIVGGLKLAGVGVVSSFAAVGSSNALYAIRKFIKPELGVGEQAKRSPMLKTALVYGGYLGTSSNIRYQIIAGLIEHRISDELSSQPLLVNMISFVVRVANSYFGTQQWIDLARSTGLQTQKSVATSNQIPEVASQSTVEYSTTEEASIDDLKNQ >fgenesh2_kg.4__2156__AT2G40410.2 pep chromosome:v.1.0:4:19731152:19732872:1 gene:fgenesh2_kg.4__2156__AT2G40410.2 transcript:fgenesh2_kg.4__2156__AT2G40410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALTFLYGKCCKPTTTDDSLGPHGISAATVGVSALAHDLFNFEITSQVPEGLGRYVQSSRKAQANWYRKIHEAWKQAKPPPQTAEEASRLVIEILKRNQKADVEGLLSFYGLPWSHTLVEVYVEAPVSSLPEGVRFEFQTLPVDPKAVADGDTITVYVRASEPVVSSYVPREVNLAAVQRAKAREKRNYPKADELHQKIIDSGYRVLNIDNEEVLARKFRIRLRGIDAPESQMPFGKEAQQGLLKIVGRKSLKVLVYGEDQYGRCVADLYCNGIFVQEAMLKKGLAWHYVAYDKRPVLAKARLWEKEARQKRIGLWASSNPEKPWDWRKNNRRE >fgenesh2_kg.4__2158__AT2G40420.1 pep chromosome:v.1.0:4:19735803:19737683:1 gene:fgenesh2_kg.4__2158__AT2G40420.1 transcript:fgenesh2_kg.4__2158__AT2G40420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7LF39] MSPAIKAPLLPNQEPSSSSSENHGSFAGAVFNISTSIVGAGIMAIPAAFKVLGVIPSLSIIVIIAWLSNVSAGFLMKSSLAGESTTYAGVMKESFGKSGAVAVTIVTMVVTFGSMIIFSIIIGDVLSGNEKDGIIHLGLLQEWFGSHWWNTRFFGLLFIFVFLLLPLVLCRRVERLALSSAISFLLALLFVVISSVLAIIALVQGKTKPPRLFPELNDGGLSFFSLFTASPVIVTAFTFHFNVHPVAFELKDPLDVLSATRISVILCAAIYSATGLFCYLLFGDSTMTDVLMNFDQSTSSSIGSLLNDIVRLSYAIHLMLVFPLLNFSLRANLDELLFPMKLSLVEDNKRFFGITFPLLISCFLGAIAIPDIWYFFQFLGSTSTVSIAFIFPAAIVLRNVNGFSTLREKIVASVMLVLAVATSIIAISTNIYTFTATEET >fgenesh2_kg.4__2161__AT2G40435.1 pep chromosome:v.1.0:4:19746536:19748392:1 gene:fgenesh2_kg.4__2161__AT2G40435.1 transcript:fgenesh2_kg.4__2161__AT2G40435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREQKRGSLQEKFQLLRSITNSHAENDTSIIMDASKYIQKLKQKVERFNQDPTAEQSSSEPTDPTTPMVTVETLEKGFMINVFSGKNQPGMLVSVLEAFEDIGLNVLEARVSCTDSFSLHAMGLENEDGENMDAEAVKQAVTDAIRSWGESNDPQN >fgenesh2_kg.4__2164__AT2G40470.1 pep chromosome:v.1.0:4:19764007:19765312:-1 gene:fgenesh2_kg.4__2164__AT2G40470.1 transcript:fgenesh2_kg.4__2164__AT2G40470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRRPMSGPPGTLNTITPCAACKLLRRRCAQECPFSPYFSPHEPHKFASVHKVFGASNVSKMLMEVPESQRADAANSLVYEANVRLRDPVYGCMGAISALQQQVQALQAELTAVRSEILKYKQREAVATLIVPSNSQVAGFHNSGGVSVIAPPPQRPSTPPQPTTAHPPPPSSCILSQPTTRALEYGDIESENNSYFG >fgenesh2_kg.4__2166__AT2G40480.1 pep chromosome:v.1.0:4:19776851:19778988:1 gene:fgenesh2_kg.4__2166__AT2G40480.1 transcript:fgenesh2_kg.4__2166__AT2G40480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQHQNYPVAEAIPGTPGIHEVRIQPGSENFGFCVDSVGVSDVPGIRRVGLRAEIDTSPPFGSVQEAVTRFGGRGYWVPFKLEDSFNGEFDIKRMEEHAAELEKDLIVKELETLDVLEALGSTKRIVEDLKRQLQQEALRCTEHPSSDIKEMNDEHCHHNPIPMSSPDLILMELKQAKMNLGKTMDDLVLIQSSVESLNKKMKEEKDFLEKTRAKLTYGFGGPVSLAEELSRIKVKPQVPDEPLREHVKMVAETDETGLNLQNKNRLRTAEMRLVAARKMEEAARAAEALAIAEITMLSSNGESQDDDSEFCFPEPPRSPVTPRGLRIDNDFSADNSSRRGILKKLEEATEGVKQSKQALEAALNRAEIANVKQLAAENAFRGWTKDSSKGDNFTPLHHTRRSFFSHLNKHHEPLDNLPKPVLKSNVSMRDVLRRKQVPKEDVVAPQRQSLEGQIPRRNANLSQMLKELKQDVKFSTRAEKEEVHEEKQYVTQRRKFGFIHITLPLQKQSKKKSSL >fgenesh2_kg.4__2169__AT2G40530.1 pep chromosome:v.1.0:4:19792299:19792966:1 gene:fgenesh2_kg.4__2169__AT2G40530.1 transcript:fgenesh2_kg.4__2169__AT2G40530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARKIKFDVILLSFLLISGIPSNLGMSKSMRGNTRSESEAFQGGNFPGMKIRKLMATNMEVDYSSDYYDGGSSSSSSTSPSPPVPDYDDIYRRQGDVPSPGIGH >fgenesh2_kg.4__2170__AT2G40540.1 pep chromosome:v.1.0:4:19796643:19800309:1 gene:fgenesh2_kg.4__2170__AT2G40540.1 transcript:fgenesh2_kg.4__2170__AT2G40540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:D7LF53] MDLNLGKCCGSRSSKKESWRSVLLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIYGVMSFVFWTLTLVPLLKYVFIVLRADDNGEGGTFALYSLICRHVKVSLLPNRQVSDEALSTYKLEHPPEKNHDSCVKRYLEKHNWLHTALLLLVLLGTCMVIGDGLLTPAISVFSAVSGLELNMSKEHHQYAVIPITCFILVCLFSLQHFGTHRVGFVFAPIVLTWLLCISGIGLYNIIQWNPHIYKALSPTYMFMFLRKTRVSGWMSLGGILLCITGAEAMFADLGHFNYAAIQIAFTFLVYPALILAYMGQAAYLSRHHHSAHAIGFYVSVPKCLHWPVLAVAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVIHTSDKIHGQIYIPEINWMLMILCIAVTIGFRDVKHLGNASGLAVMAVMLVTTCLTSLVIVLCWHKPPILALAFLLFFGSIELLYFSASLTKFREGAWLPILLSLIFMIIMFVWHYTTIKKYEFDLQNKVSLEWLLALGPSLGITRVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPPAERYLVGRVGPVDHRSYRCIVRYGYRDVHQDVDSFETELVSKLADFIRYDWHKRTQQEDDNARSVHSNESSSESRLAVIGTVAYEIEDNLQPESVSIGFSTVESMEDVIQMAEAAPTATIRRVRFALEENSYEDEGSSSSAEAEAELRSELRDLLAAQEAGTAFILGHSHVKAKQGSSVMKRLAVNFGYNFLRRNCRGPDVALKVPPVSLLEVGMVYVV >fgenesh2_kg.4__2172__AT2G40550.1 pep chromosome:v.1.0:4:19800657:19803881:1 gene:fgenesh2_kg.4__2172__AT2G40550.1 transcript:fgenesh2_kg.4__2172__AT2G40550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPAYDCLTNPLGAVRFSFVNALSSGYDPASSVGKDWGVVDLFRHYFSDESAISQVPVLDSSSIKWVEPKTLVRFRGMIQDMLGNEFYAGAYKDDSTWRTNKYSDVSQFPEGSSTEMQVWERRLLYCVPVPGQNQWTECSSQELKNRFLDLTGQNREKRVRVDEEMTDSMDSNTLEAGLNGSPFKKMKVGEATSSASESQVPQSSGIPPATSTESLPCLVKMYDSPESDLKLNDVVEFLGVLTFDPIVMMDTDSLDENTDDLSEAESVQMPSGKVPRLHCLIHRKLETQHFLHGSSLLPEPKSPQIFKEIRESLMKYLTSLLGNDHIAAQFLLLHLLSKVHGRVDNVAVGKLSLNFIHLNKESMSIFGTQLSDALKSLLPFTQSIPLTIEYLNTASLGPKKDYRINRLMPGVLQIADGTHLILDETELQPGTLNSVGVENANLLKNLLECQKVEYDFQYYKMEMTTDVQMLIFSEGKSNIMPADLVLPFQPSQVNSLEVITPETAEAWRCYLATCKSLSHSIGQELQQVVENDLVAARQTDRSLGSQDLSRLLTMARMMSVSYGETTLSLEHWQMVLELERLRKERLK >fgenesh2_kg.4__2173__AT2G40570.1 pep chromosome:v.1.0:4:19806154:19808590:1 gene:fgenesh2_kg.4__2173__AT2G40570.1 transcript:fgenesh2_kg.4__2173__AT2G40570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiator tRNA phosphoribosyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LF56] MVANSEEPTMATRDSIYRAARSIKRRDNSLYNALRSIYQDSIFVHEISQLWPKLPLVANLRCGLWYSPKFDATCYFKSTDGHTNNLSFNTCRLNLHLPLLAGEKGGCIIIDSTRKGKRFPDSMSKTIPIWSCVLNRSIVNHWKRLCNIDAGLTSDDGEKIRELLDKWDCSLHLPLWVSNSERASIEARLDEWTRQLDESGADIATLASSLRKPLRPLWVSQKTVIWLNEVPEHDSWDFTPLVLVSASASGELQNRTSSEFSWNYIPGAGDDEESWARGLSPNDFWTHVDDLIHSGPDLCNQKVAEIVENDRVYRAQRGHEAPQVVVKCSKSNGGVNHAKSGEIISLTAQKPKVDEESLVFWLASTNLAVGASQVAGKVTSIDCILNCDQNPISVPVSYLEEYLHLPMKGSKFDRFSISRNLRSAVNFAKLKMSSGKKVLVCCQVGEDISICVCLAILMSLFNEEGDFDGGKSFEEKSITKMDMRRMLIFICKYAVNARPSRGNLKQVFGFLSSQRENSD >fgenesh2_kg.4__2175__AT2G40580.1 pep chromosome:v.1.0:4:19809241:19810353:1 gene:fgenesh2_kg.4__2175__AT2G40580.1 transcript:fgenesh2_kg.4__2175__AT2G40580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LF57] MDIIEDLKESSLQTVNVLGKLGPFGFVSLQNDTNLGKSYVKKTSILEQSKNLEKELRIMLRFHNNPFIVRASSDHLHFVTNTKSMSLCYIYMEYASLGNLNKMISDAGGRLPEDSVRRATRMILQGLKALHSEGYVHCDLKPSNVLVFPSNTLGEPWDLKLAGFCLSKEPTMDYKLLFPGTLEEYMPPEAIEQDRFVGQDKLIGPACDIWSLGRIVLRMFGDISPDATDFLRRCLAWRPSNRATVDELLDHPFAAEKLPFLLSFLRVPSFIRRIAMEKLYVRHEELIPKPQGLLW >fgenesh2_kg.4__2176__AT2G40590.1 pep chromosome:v.1.0:4:19810417:19811728:-1 gene:fgenesh2_kg.4__2176__AT2G40590.1 transcript:fgenesh2_kg.4__2176__AT2G40590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:D7LF58] MTFKRRNGGRNKHNRGHVKPIRCSNCGKCCPKDKAIKRFIVRNIVEQAAIRDVQEASVYEAYTLPKLYAKTQYCVSCAIHSHVVRVRSRTNRRVRTPPPRFARRKEDTPKPGQPGQAPRPAGPGAAAAPRA >fgenesh2_kg.4__2177__AT2G40600.1 pep chromosome:v.1.0:4:19815464:19817077:-1 gene:fgenesh2_kg.4__2177__AT2G40600.1 transcript:fgenesh2_kg.4__2177__AT2G40600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWLTCGVLSLLPRLLHSSSILRPTSSSSRASLISATSLVASSSSTLSSPTRTSRLTTVSSMASKDEGAVFNLSDSSLLKILKGDITKWSVDSSSDAIVTPANERMLGGGGADGAIHRAAGPQLRAACYEVPEVRPGVRCPTGEARVTPGFNLPASRVIHTVGPIYDSDVNPQESLTNAYKNSLRVAKENNIKYIAFPAISCGIYGYPFDEAAAIGISTIKQFSNDFKEVHFVLFADDIFSVWVNKAKEVLQKA >fgenesh2_kg.4__2179__AT2G40620.1 pep chromosome:v.1.0:4:19824689:19827279:-1 gene:fgenesh2_kg.4__2179__AT2G40620.1 transcript:fgenesh2_kg.4__2179__AT2G40620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7LF63] MEDPSNPKSNQSNLSQCPPLATAPTPAPFRGPYHRRAHSEVQFRLPEDLDLSEPFGGFDELGSEDDLFCSYMDIEKLGSGSGSASDSAGPSAPRSDNPFSADNGGAEAGNSRPRHRHSLSVDGSSTLESIEAKKAMAPDKLAELWVVDPKRAKRIIANRQSAARSKERKARYILELERKVQTLQTEATTLSAQLSLFQRDTTGLSSENTELKLRLQVMEQQAKLRDALNEQLKKEVERLKFATGEVSPADAYNLGMAHMQYQHQPQQSFFQHHQQQTDAQNLQQMTHQFHLFQPNNNQNPNSSSRSNPPTAHQLMHHATSNAPAQSHSYSEAMHEDPLGRLQGLDISSCGRGSNFGRSDTVSESSSTM >fgenesh2_kg.4__217__AT2G22942.1 pep chromosome:v.1.0:4:1361685:1362037:-1 gene:fgenesh2_kg.4__217__AT2G22942.1 transcript:fgenesh2_kg.4__217__AT2G22942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKINIVIFAALLLSFMVIASVARPDLTLQSKSTEMEKNCETEECLMKTTSDAHLDYIYTQNPPPIPHASKVIP >fgenesh2_kg.4__2180__AT2G40630.1 pep chromosome:v.1.0:4:19828518:19831480:1 gene:fgenesh2_kg.4__2180__AT2G40630.1 transcript:fgenesh2_kg.4__2180__AT2G40630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRGISSAVVSEPASNSGISGEKRNGNGLVEKDELGSKRVKVPDLASDAKNSNLQSHGNSKSVQQPNLSSEKLSKVSEMLVAPDAEGLGRVVRDKDVLAKDIKPSTVVEARTYLPKAKSISTDDNRCVVNSGKQALLENHTVKNDSSNCESRPGQVDGVSKNSSLLKPRETTESVGSPRGAAEPSVSVSVGEKVGPFQMCSSAEGSLGESDSMRRWREMKRNGFLSGPLGGVAAPSSTVVSTPVEVPAQKQQKNKRRSDSLKKRNDVPRKEQQLVDRFANVTAPSGLLTELNPGIINHVRTKKQVCSIIEALIRSANDNATVGERRTDLNVRESIRQDGALAFKLPSTGVPDNAISITNPEQATSLAVEAATVASQWLEFLQQDLSGRLSAVQDSRNRVQNILTTELPLLVSSRESSSNQANTLEMATLNTSGDASSDKAATETHQKRWSAKFDQINKALYDEQRDLERSLNQVKEMQSRCNEGLRQMEEYSPFSSQSSESSFRKDGNQETSMAVQAAAASIFSTCSFLLSMMKPPPTGS >fgenesh2_kg.4__2181__AT2G40640.1 pep chromosome:v.1.0:4:19831499:19833557:-1 gene:fgenesh2_kg.4__2181__AT2G40640.1 transcript:fgenesh2_kg.4__2181__AT2G40640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNDSIDPRFLFHVEDESLRFRVGDSGSKIRELETVGDRRFRISGIQQGFCGNRLEKDETMYSDGDDDEDDVSIRRRTALIQPGIDSGNNYDSAAAAEETNRESKNPVGWEMVVREDDEEGKSSIDRHEMEFKVMITNPDGNVSNSRHNIQPKRDFASVEKERVTTSSVSSWESLKAILSDPVTGALMNDATILPCGHSFGAGGLIQVQKMKACFTCSQPTLEGSEKPNLSLRIVVHAFRQEEESDHIHTLKRKKERSDQKRSFCIPNITETPKSSRGIQFPFSIGDHIIIEGNKRTPPRFVGRKAVIMTQCLNGWYVVKTVDNAESIKLQHCSLAKISDNSSAKVTVAEMAPSWL >fgenesh2_kg.4__2184__AT2G40650.1 pep chromosome:v.1.0:4:19834300:19836666:-1 gene:fgenesh2_kg.4__2184__AT2G40650.1 transcript:fgenesh2_kg.4__2184__AT2G40650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDPLAKNIRGTNPQNLVEKIVRTKIYQHTFWKEQCFGLTAETLVDKAMELDHLGGTFGGSRKPTPFLCLILKMLQIQPEKEIVVEFIKNDDYKYVRILGAFYLRLTGTDVDVYRYLEPLYNDYRKVRQKLADGRFSLTHVDEVIEELLTKDYSCDIAMPRLKKRWTLEQNGLLEPRKSVLEDDFEEEEEKEENEGIADGSEDEKNHQRKSPERERERDRDRRRDSHRHRDRDYDRDYDMDRDHDRDYERERGRGRDRDRERDRDHYRERDRDREQGRDRERDRRDRARRRSRSRSRDRKRHETDDVRDREEPKKKKEKKEKMREDGTDHPDPEIAEANRLRASLGLKPLRP >fgenesh2_kg.4__2185__AT2G40660.1 pep chromosome:v.1.0:4:19836880:19839999:1 gene:fgenesh2_kg.4__2185__AT2G40660.1 transcript:fgenesh2_kg.4__2185__AT2G40660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-binding region domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LFT2] MDSKTKQMILSALCKHFSLDPEPFLGGAGDSDVRTLYSNVLKASGKEVSAQNDEVLKWLDFAEGFSANSKDCYAALEKLNLELATKSVLLGNGLTPSAADVAVFSALHSSVLGLSDSNKEKVPHVIRWVNYIQNKEELSTLFAPIPVKLPEFDFEVSKPAIKVEANSNTKKAAEGVKPVDKSDAQPQPITKKTEPEEPKKNATKEKDAKKEKKKPAESEPAKKEAELSVSLLNIQVGLIRKAWKHPSADSLLVEEIDVGEDKVRQVVSGLAKFCNPDDLTNRLVALITNVKPGKLRDVMSQGLVLCASSEDHSVVEPLLPPAGAKPGERVSFSGIEGKPEDVLNPKKKQLEKITPGLYTDENGVATYKGIPFMTSAGPCTSSIPKATIK >fgenesh2_kg.4__2186__AT2G40670.1 pep chromosome:v.1.0:4:19841298:19842254:1 gene:fgenesh2_kg.4__2186__AT2G40670.1 transcript:fgenesh2_kg.4__2186__AT2G40670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSGGSCSSLMDVVTYDPHHHGHDEELHVLAVDDNLIDRKLVERLLKISSCKVTTAENAIRALEYLGLGDQNQHIDALTCNVMKVNLIITDYCMPGMTGFELLKKVKESSNLRELPVVIMSSENIPTRINKCLASGAQMFMQKPLKLSDVEKLKCHLMNCRS >fgenesh2_kg.4__2187__AT2G40690.1 pep chromosome:v.1.0:4:19844050:19846481:1 gene:fgenesh2_kg.4__2187__AT2G40690.1 transcript:fgenesh2_kg.4__2187__AT2G40690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:D7LFT4] MAASVQPACLDLHFSGKHPPLLKHNAIFVRCVSSPNVIPEADSISGPPDIINTSRDQRKVVRIAWEKLVRWSRSWRAKAKTDVLERTRKVVVLGGGSFGTAMAAHAARRKEGLEVTMLVRDSFVCQSINENHHNCKYFPEHKLPENVIATTDAKAALLDADYCLHAVPVQFSSLFLEGIAGYVDPGLPFISLSKGLELNTLRMMSQIIPTALKNPRQPFVALSGPSFALELMNNLPTAMVVASKDKKLANAVQQLLASSYLRINTSSDVTGVEIAGALKNVLAIAAGIVDGMNLGNNSMAALVSQGCSEIRWLATKMGAKPTTITGLSGTGDIMLTCFVNLSRNRTVGVRLGSGETLDDILTSMNQVAEGVATAGAVIALAQKYNVKLPVLTAVAKIIDNELTPTKAVLELMNLPQIEEV >fgenesh2_kg.4__2188__AT2G40700.1 pep chromosome:v.1.0:4:19846840:19849645:1 gene:fgenesh2_kg.4__2188__AT2G40700.1 transcript:fgenesh2_kg.4__2188__AT2G40700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTQQSARETKQEAKDASKAKSGLFASCSFSSLGLDPKLSDQLQERMGFEAPTLVQAQAIPVILSGRDVLVNAATGTGKTIAYLAPLIHHLQGYSPKVDRSHGTFALVIVPTRELCLQVYETLEKLLHRFHWIVPGYVMGGEKKAKEKARLRKGISILIATPGRLLDHLKNTASFVHKNLRWVIFDEADSILELGYGKEIEQIIKLLGSGQYEEGETDDIVPKGIQKQNLLLSATLNEKVNDLAKLSLDDPVMIGLDNSKLQQNLSIESPASPDSDADDMVIHVNKSVNPSSEDYGIPSQLVQKYVRVPCGARLVALLSVLKNLFEREASQKVVVFFSTRDAVDFHYSLLTEFQWPPNSETEEEATKQLFLKCKTFRLHGSMEQEDRRSAFGTFKTEKQALLLSTDVAARGLDFPKVRCIIQYDCPGEATEYVHRVGRTARIGEKGEALLFLQPIEIDYLKELKKHGASLTEYPLLKVLDKFPIPGNMPRIKKVISLESHPWVISLQRALESFNYAEPKMKSLAKNAFVSWVRGYAAHKGELKSIFVVKKLHLGHVAKSFALREQPSLVGKSHHKETMKRKRDERQKGQQGKKRKKMSGSGNRSTQKT >fgenesh2_kg.4__2192__AT2G40745.1 pep chromosome:v.1.0:4:19878013:19878754:-1 gene:fgenesh2_kg.4__2192__AT2G40745.1 transcript:fgenesh2_kg.4__2192__AT2G40745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKKIIITDEDVKLLVTIIGTIGVTNGRPYQYKVEAWTNENEKYETKVVPTEGDPEFDEELQIFQDKNFPAQSLYVDVFKTNSIGTYFVGRGVTLLPTVKGVDFYREVELSGPEETGFLQLSLNLMEFEILGYVST >fgenesh2_kg.4__2193__AT2G40760.1 pep chromosome:v.1.0:4:19879665:19881616:1 gene:fgenesh2_kg.4__2193__AT2G40760.1 transcript:fgenesh2_kg.4__2193__AT2G40760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFCHWRFPPSLAVARMLSSPPPLHSHSPFSGGVGNSSSIGGNSKPELLFPQSQPQNLSSSPSSSLKSTVACSNAGAIRRSMTTVSQSFSDRTESSDSDLGSLVVVSFYKFADFPDHADFRKPLKDLCEELRVSGGIILAPEGINGSICGIRESVERVLAFIQSDIRLNGLRQVETPVSPEQEAIHHGHSSSSPLAAGEDAPFRWDHVRVKLKKEIVTLGMPSVSPIERVGTYVSPEEWNELISDPETVVIDVRNTYETRIGKFKGAVDPCTTAFRNFPTWVENQFALKQEGNETQANVEQEECSETTEKPKTLPRIAMYCTGGIRCEKASSFLLSQGFEEVYHLKGGILKYLEEVPKTESLWEGECFVFDKRVSVEHGLAQGTHKLCYGCKQPISDEDMEAPEYEYGVSCPYCYSKKSEEEKERARARQTQFEEWGVIGGPDKGRRPVTKPDSPRKKSNAKLGSSI >fgenesh2_kg.4__2194__AT2G40765.1 pep chromosome:v.1.0:4:19881720:19882790:1 gene:fgenesh2_kg.4__2194__AT2G40765.1 transcript:fgenesh2_kg.4__2194__AT2G40765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSGLLNAVKPKIQTIDIQAAAGWGIAAAAGAIWVVQPFGWIKKTFIDPPPTEEK >fgenesh2_kg.4__2195__AT2G40770.1 pep chromosome:v.1.0:4:19882943:19890923:-1 gene:fgenesh2_kg.4__2195__AT2G40770.1 transcript:fgenesh2_kg.4__2195__AT2G40770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LFU4] MGRRKQSKPQRSVGLITQTGSESDRKDLPGDEAEGSGEKNVEDIDKPYYVNICSSSRISEQQQHFDIAEVVLTNFSLRERVGSSSTVTTPIEVDHDLDCSLRFRLCNVTNFVDRIKLGHWPVLSSSDITLELVDNKVSDDEAGSVIWSASFDGPGEGVSGLAHLASIKFLTLRLMPGNQGLLSPRVRVEMLQQAFDSCDSLLENTRQIWKKSMIHVMSWLRPEVMTSEARYGTRFNVKDIESSVASEAETLDSSKQSGFDAAAFYEAIKPSKTNTMLGDDITDLLPELRPYQRRAAYWMVQRERGDPITVGDKEDNQFISPLSISVGFLDSATKMFFNPFSTDAADEMGLGKTVELLACIFSHRKPAEDEISVSNGSSFTDDLNAGLRRLKRERVECICGAVSESRKYKGVWVQCDLCDAWQHADCVGYSPKGKGKKASQHVDEKVSQKKSKKDATEIIDREGEYICQMCSELLQVTASPISTGATLIVCPAPILPQWHSEITRHTRLGSLVTCIYEGVRNASLSEEPMIDITELLNADIVLTTYDVLKEDLTHDFDRHDGDRHCLRFQKRYPVIPTPLTRIFWWRICLDEAQMVESNAAAATEMALRLYTKHRWCITGTPIQRKLDDLFGLLKFLKANPFDVSRWWIEVIRDPYERRDTKAMEFTHKFFKQVMWRSSKVHVADELQLPPQEECVSWLKFSAIEEHFYSRQHETCVSYAREVIETLKRDILKRGHTSSDNPLITHAEAAKLLNSLLKLRQACCHPQVGSSGLRSLQQTPMTMEEILMVLVKKTQKEGEEALRVLIVALNGIAAIAMLKQEFSEAVSLYKEALSITEEHAEDFRLDPLLNIHILHNLAEILPMAKSYGVKLSASGRPEIKIDVQDDDHHRASKRQRINELESLTHDSPDSGLKKDGEYHEECKTLNIVCDTMKVKYLSAFNSKLSAAQQEFKKSYNQVSESLSNMGKQRSVWWLDALQLTEQNKDFSSELTRKIEEILHGSLNNSSSSRASSRFRTIHGMKLHLQTCMDMLESSRKKVIDRILEIDQTMEKPKLEDIERISNCKYCKKKDDGPTCIHCELDELFQEYEARLFRLNKSRRGVMEIAAAEETVHLQKKRDALNLFFIGLSSRSKDLNAPRGDDEEPTKRNAGDTVVVSKSPSETEIVLGVIRNHCKTHLDRESKLAATKHLHTLEVMRKEYAHARALARAQAQLLRAYDEINMSTMRLQLKESEDDTSIYALSRDELDVASVLNTNDKFMAQSSVLSIKGKLRYLKGLIKSKQKQESESPDLSSPIHETLEASDPVEQEGENLLKRDEACPICQEILRNQKMVFQCGHSTCCNCFFAMTERKSVQETLQKWVMCPICRQHTDVRNIAYADDRRNSSSSDQDHKDNEASLVVQGSYGTKIEAVTRRILWIKSSDPQAKVLVFSSWNDVLDVLQHAFAANSITCIRMKGGRKSQTAISKFKGSEKETQKTNQKEENPIQVLLLLVQHGANGLNLLEAQHVILVEPLLNPAAEAQAVGRVHRIGQEKPTLVHRFLVTGTVEESIYKLNRNKNTNLSSFSSRNTKNQDQQFLTLRDLESLFASPAAETAEMEENPGERQENLRDLPPSVAAALAAERRIKESTASSSATNAS >fgenesh2_kg.4__2196__AT2G40780.1 pep chromosome:v.1.0:4:19891127:19892438:1 gene:fgenesh2_kg.4__2196__AT2G40780.1 transcript:fgenesh2_kg.4__2196__AT2G40780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7LFU5] MNRGRRNLKQAASEQDFTLEECQSIAQVVSLRGSNQIEIMDAKGENSLALFPAKFRESMWIRRGSFVVIDHTGKEKAQESGSKVTSIVCKVLFFEQVRLLQKSPEWPEIFKDTKPIPADKSSPIEQHEDDGEIDSSDDDDGMPPLEANTNRLRPFGVQCDAETDSGSDSDS >fgenesh2_kg.4__21__AT2G21120.1 pep chromosome:v.1.0:4:146756:149448:-1 gene:fgenesh2_kg.4__21__AT2G21120.1 transcript:fgenesh2_kg.4__21__AT2G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:D7LL01] MESDNGKGLILAVASSVFIGSSFILKKKGLKRAGAIGTRAGYGGYTYLLEPLWWAGMVTMIVGEAANFVAYIYAPAVLVTPLGALSIIISAVLAHFLLKEKLKKMGVLGCVSCIVGSVVIVIHAPKEQTPNSVEEIWNLATQPAFLIYVAITMSIVLALILHFEPLCGQTNILVYIGICSLMGALTVMSIKAIGIAIKLTMEGVSQIGYPQTWLFVMVAVTCVVTQLIYLNKALDTFNAAIVSPVYYVMFTTLTIVASAIMFKDWSGQDAASVASELCGFITVLTGTMILHGTREEEQQQASSEQVRWYDSRKSMNEEHLISLYSPEY >fgenesh2_kg.4__2202__AT2G40820.1 pep chromosome:v.1.0:4:19904651:19917047:-1 gene:fgenesh2_kg.4__2202__AT2G40820.1 transcript:fgenesh2_kg.4__2202__AT2G40820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich family protein [Source:UniProtKB/TrEMBL;Acc:D7LFU9] MTKVCPKTEEKRVMCEALVEPISADVSFASNHFPLYKLGPDDQIVDEPEQDDKGPSVKDVVHRETGDLSDQHKKLSVRDLACKFDKNLAAASKLVDEAKLNEVTSLEGHVMLKKLRDALETMRGRMDGRNREAVENAISMVEALAVKLTQNEGELIQDKFEVKKLASFLKKASDDAKKLVNQEKSFACAEIESARALVMKLGGEFQEQELCFKASRDQGPNVEKLVEEVQEARRIRRMHKPTKVIGMQHELRDLKSQIQEKSAYSVKLQREITIIKKAEGSKSCPYVLDGAQSLGSCLRIRASSDSGLDISKCSIQWYRAASESSRREAISGANRSVYAPEPFDVGRVIQADIVSNGQKFTVTTDGPINTAAGLQSRVEALLRKSNSEFTVVISQMNGQDHASRSHVFTVGKARIKLSRGWITKAREIYSTSMQLYLKFLSFKGNANVPAKALFWQLRKGLTFLLTFESEQERNSAIVLARTYAYDCNVSKILFGPSLLIRLFWSVKLKSTFNFKLLSSREFDSKSMGKILVEICLISARGLRVGIGIGSSLLKHQWYAVGWLDPEDKYCTTIDASRSDNPVWRTKFATLLDDSSIQDTKLALQVEVYSREPLFLRKRLHGSATVSLKEFLTKYKQQQSSSKPVIEETGSYQLRKTNSSKPQGFVDVSIRISAEREDFGGFTGDFGGVMLSNNSDYNTSGQDYMAGSSQYPFASLDQSNPFSVPPSYNHHSSMPNPPMNNTNPQMQQPYYPPPMQPPPPMSSGYMPTYIPKSENVTNIPSSSGGVPGGAGRGYARPGPGFAAGLGAGAALYGGEYMSGIDLPSSLPHPSVSISIDPPF >fgenesh2_kg.4__2205__AT2G40830.1 pep chromosome:v.1.0:4:19919777:19921944:1 gene:fgenesh2_kg.4__2205__AT2G40830.1 transcript:fgenesh2_kg.4__2205__AT2G40830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring-H2 finger C1A [Source:UniProtKB/TrEMBL;Acc:D7LFV0] MSSSRNTHWCHRCQRAVQLHGQDPVCSYCGGGFVEELDMAEASPFDMFRAHSHRGVVERDPTFDLMDAFSAFMRNRLAERSHDREIRGRTISSGPENFPGLAPLLIFGGQVPYRLSGDNAVEALFNGGSPGIGITRGNTGDYFFGPGLEELFEQLSAGTTRRGPPPAPRSSIDALPTIKIAQRHLRSSDSNCPVCKDEFELGSEAKQMPCNHIYHSDCIVPWLVQHNSCPVCRQELPSARGPSSSQNRTTTRNYRSNSSNSSSNSRENGNERRNPFSSFWPFRSSGSSSSSTQNRGGPRNSDTTDENHNYHQQQQQQSYMGYSGWPFDY >fgenesh2_kg.4__2206__AT2G40840.1 pep chromosome:v.1.0:4:19922067:19928112:1 gene:fgenesh2_kg.4__2206__AT2G40840.1 transcript:fgenesh2_kg.4__2206__AT2G40840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLGSLSLSTTKSSKPMVSLSFSIPYFTHWGESLLVCGSAPGLGSGNVKKGLLLKPSQQDDQLIWSGSVSVPPGFSCDYCYYVVDDSKNVLRSEFGMKRKLVVPETLTGGESVHLRDLWQSGDQALPFRSAFKDVIFRHSFDVKVEKPLGVFMNKSDQDDSVVVQFKICCPDIGEGTSVYVLGTPAKLGKWKVENGLRLNYVDDSIWEADCLIPKADFPIKYRYCKVQKEGSVGFESGGNRELSLHSIGSKQEYIVMSDGLFRAMPWRGAGVAVPMFSVRSEDDVGVGEFLDLKLLVDWAVDSGLHLVQLLPVNDTSVHKMWWDSYPYSSLSVFALHPLYLRVQALSERLPEDIKEEIQKAKKQLDKKDVDYEATMETKLSIAKKIFDLEKDQTLNSSSFQKFFSENEGWLKPYAAFCFLRDFFETSDHSQWGTFSDYTDDKLEKLISKDSLHYNTICFHYYIQYHLHVQLSAAAEYARKRGVVLKGDLPIGVDRNSVDTWVYRNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMGKYFTAYRIDHILGFFRIWELPAHAMTGLVGKFRPSIPLSQEELEKEGIWDFDRLSKPYIQKKFLEEKFGDFWPFIASNFLNETQKDIYEFKEVCNTEKKIAAKLKSLAEKSLLLENEDKVRRDVFDILRNVVLIKDPEDARKFYPRFNIEDTSSFQDLDDHSKNVLKRLYYDYYFQRQEDLWRKNALKTLPALLNSSNMLACGEDLGLIPSCVHPVMQELGLVGLRIQRMPSESDVKFGIPANYDYMTVCAPSCHDCSTLRAWWEEDEERRQQYFKEVIGVDEIPPSQCVPEITHFILRQHVEAPSMWAIFPLQDMMALKEEYTTRPATEETINDPTNPKHYWRYRVHVTLDSLLKDTDLKSSIKNLVSSSGRSVPAGEDIQQKPRRSYSQWLD >fgenesh2_kg.4__2207__AT2G40850.1 pep chromosome:v.1.0:4:19928281:19930449:1 gene:fgenesh2_kg.4__2207__AT2G40850.1 transcript:fgenesh2_kg.4__2207__AT2G40850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-and 4-kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LFV2] MAVAIDPFTDQFPYFNRSSQRCRLQSLTNLDFNFLAQSFNHTVEDDNIHRSVSSPCFSIAASANMEEDLKATTAPRIEILGGQRVPTVRALVAEVTMAIVSGAQPLLLPSGMGGAYLLQTGKGHNIAVAKPVDEEPLAFNNPKKSGNLMLGQPGMKHSIPVGETGIRELAAYLLDYQGFSGVPPTALVSISHVPFHVSDAFSFSSMPYKVASLQRFVAHDFDAGELGPGSFTVTSVHRIGILDVRLLNLDRHAGNMLVKRCDKKEAYNRLGTAELVPIDHGLCLPECLDDPYFEWLNWPQALVPFSDTELEYISNLDPFKDAELLRTELHSLPESAIRVLVVCTVFLKQAAAAGLCLAEIGEKMTRDFSKGEESFSLLETICTKAKTSVVGKTGEGSDYTHEGNEVNTELHCGMLKFDGGDTPYEAEISEVFHVSKPPLVPRGPRANTIPTNVTAPMLSSQNQRITHHEKNAKEKKRGGKQERCTMRSKSPPICANHDESKGVFFVDMTTVEWDMFLQSFQTLLQDALSKGSTPRLGCSCEI >fgenesh2_kg.4__2208__AT2G40860.1 pep chromosome:v.1.0:4:19930718:19934220:-1 gene:fgenesh2_kg.4__2208__AT2G40860.1 transcript:fgenesh2_kg.4__2208__AT2G40860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LFV3] MVMEIVKPNTCIRGCCTSESIPLHLPSSSFTLLSPIAKGSESVVYEAILDGRRVAAKKPILSTSDDLDKFHRNLQLLCNLDHPGVAKLLAAHAKPPNYMFFFELYESGTLAEKLHVEEWSPSIDQVLVITLHLAKALQYLHNNGIVHRDVKPANVLLDEKFFPYLADFGLAEYKKNLREVNLQNWRSSGKPTGGFHKKNMVGTLIYMAPELLRKDMYTEKSDIYSFGILINELLTGVVPYTDLRAEAQAHTVLEMNYTEQQLTAAIVSSGLRPALAETGLHLPKNLLSLIQNCWEADPSKRPSSDNVGLELESIWEQVRGKQQGHLLEKTYNSQSDTDGADIIKNSGEYRDIVNWSSQGECLSKKSSVSTVFDVKLWSTSIDDPSSYVPVISCGSFATCGRRESMEDTHFLMPHMCNEESIHLFAIFDGHRGIPTATAAEFSAQVLPGLVQSLCSTSAGEALSQAFVRTDLAFRQELDSHRQSKRVSQKDWHPGCTAIASLLVENKLFVANVGDSRAILCRAGHPFALSKAHLATCIDERNRVVGEGGRIEWLVDTWRVAPAGLQVTRSIGDDDLKPAVTAEPEISETILSADDEFLVMASDGLWDVVNDEEVIGIIRDTVKEPSMCSKRLATEAAARGSGDNITVIVVFLRPVSTAERIY >fgenesh2_kg.4__2209__AT2G40880.1 pep chromosome:v.1.0:4:19934457:19935186:1 gene:fgenesh2_kg.4__2209__AT2G40880.1 transcript:fgenesh2_kg.4__2209__AT2G40880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:D7LFV4] MESKTFWIVALLICGTIQLAICRSEEKSTEKTMKLGGVHDLRGNQNSGEIESLARFAIQEHNKQQNKVLEFKKIVKAREQVVSGTMYHLTLEAKEGDHTKNFEAKVWVKPWMNFKQLQEFKESSS >fgenesh2_kg.4__2214__AT2G40920.1 pep chromosome:v.1.0:4:19956280:19958079:-1 gene:fgenesh2_kg.4__2214__AT2G40920.1 transcript:fgenesh2_kg.4__2214__AT2G40920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LFV8] MKRRRRDLKQAAESEQEECQRIPQVVSLGDSDQIQPSPNKKEGEHICELLQDMQDLVLEILMRLPTQSLMRFKCVSKHWSSLIFSRYFCNLLFTTVTRQQPRLYMCLVDDGGHRILLSISSPSPDNTCYVVVDQDLSIPGMGGFFLNIVRGLMCFSRSKKACIYNPSTQGRTKQLLTLPAIKSDIVAQQGQKKHLTRYYIGHDPVSDQYKLVCTVAISSPLPRLANLKSEHWVFALEAGGSWKKVVPLENYRHHAPSPLGRSTSGSVVRYMAWPDNYNCVVVSFDIRSEQMTIIPVPGEIAPHEHVPAVTMRADLIEYGGKIAIFYHTYLKDKGSADLWVLEDIGKNEWSKKTLVLQPCQRHLVKDIELIVKGTTQDGKVILAPLEMHSRFYILYYDLQSNDLRKVEIKGVPRLWPTHKECYFYLNSMDESESFIYLET >fgenesh2_kg.4__2216__AT2G40925.1 pep chromosome:v.1.0:4:19959644:19962202:-1 gene:fgenesh2_kg.4__2216__AT2G40925.1 transcript:fgenesh2_kg.4__2216__AT2G40925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMSKTMKKKGRRRGSHMCKKHDCEIPPDLVTEILIRLPKKSLMRFNNLPHEVQVRLKAVVIPHLLSIILQPFIYYRHTHTTTTTTTTSIHVFSDERQPRSTTIINVTGQHFFCSYFLDAVPGLMCFQFRTKACIYNPSTKQILTLPSVKSDITAQQGQLKSTQYVIGRDPVNDQYKLFCTIEISSQWFANMRSEHWVFTLEARGSWKKVVPLGDYHPHAPATAGRSIHGVVHYLAWVDLYNCAVVSFDIMSEEVTTFLLPQKIRDVPVPALMMKADLIEYDGKLAIFNHSYLKDECSVDLWVLKDAGMKKWSNKRLVLQPCQRHLVHDIDLIVKGTTQDGKVMLAPLEMCSQFYILFHDVQSNDLRKVEIKGVPRLWFHKECYFDLKFVDESESFIYVEI >fgenesh2_kg.4__2218__AT2G40935.1 pep chromosome:v.1.0:4:19971845:19973216:1 gene:fgenesh2_kg.4__2218__AT2G40935.1 transcript:fgenesh2_kg.4__2218__AT2G40935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENKGHYVPPSYIPLTQSDADTEVETTTPNLEIAASESTKDDPRPWSSGICACFDDIQSCLVGLFCPCYIFGKNAELLGSGTFAGPCLTHCISWALVNTICCFATNGALLGLPGCFVSCYACGYRKSLRAKYNLQEAPCGDFVTHFFCHLCAICQEYREIREHSSGSYPPDMKLAITNAPLAQTMESAN >fgenesh2_kg.4__221__AT2G22970.3 pep chromosome:v.1.0:4:1385748:1390229:1 gene:fgenesh2_kg.4__221__AT2G22970.3 transcript:fgenesh2_kg.4__221__AT2G22970.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCPL11 [Source:UniProtKB/TrEMBL;Acc:D7LEL7] MKLTLKLLLLLLFILNHHVDSGSIVKFLPGFEGPLPFELETGYIGIGEEEESQLFYYFIKSENNPKEDPLLLWLNGGPGCSSITGLFFENGPLALKLEVYNGSVPSLISTTYSWTKMANIIFLDQPVGTGFSYSRTPLIDKPSDTSEVKRIREFLQKWLSKHPQFSSNPFYASGDSYSGMIVPALVQEISKGNYICCNRPINLQGYILGNPITYFEEDRNYRVPFSHGMALISDELYESIRRACNGNYFNVDQRNTKCLKLVEEYHKCTNKLNRFHILSPDCDITSPDCFLYPYYLLSYWANDESVRDALHVNKWSIGEWVRCNRSKPYDKDIKSSVPYHMNNSINGYRSLIYSGDHDLVVPFQATQAWIKSLNYSIIHEWRPWMIKDQIAGYTRTYSNKMTFATVKAIENKPNESFIMFQRWINGQPL >fgenesh2_kg.4__2223__AT2G40960.1 pep chromosome:v.1.0:4:19983523:19985408:1 gene:fgenesh2_kg.4__2223__AT2G40960.1 transcript:fgenesh2_kg.4__2223__AT2G40960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTALTSETGCTVMADKGFTVDPFLVEALQNPRHRPTILRMELDIQRFFQSPDQQLFEFQQFPTSYLRLAAHRVANHYGLITSVRDGGADGNGSRIVVTKSTESRFPAVRLSEIPAKQSEIGKFEHMKVAIKPRPSKGSGMEAGELEKKGGLPKSVEERKEDYDRARARIFNGLADLDCNDSSSETNPWSVKCSPSRDENQVLKNGNIEADKNHIPRESNPTSRIAILRDREKDRYDPDYDHSYDRYIRNLPVDQNFCLAHFNSQEMGTPIYDLGFSGYSQNPSGAASLKLGQHSVMSPYVTTGLNQPSMDAAMYMQWPNAAAVMYTHSYDHFRNAPFQAQFYPQPLSFEYMQNG >fgenesh2_kg.4__2225__AT2G40990.1 pep chromosome:v.1.0:4:19993252:19994926:-1 gene:fgenesh2_kg.4__2225__AT2G40990.1 transcript:fgenesh2_kg.4__2225__AT2G40990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LGH9] MRFYCGGRLVFGPDASSLLLTTAMIGGPALTFCIRMAFLIGKRYPLFHSLVLLGALLLTVLDFIFLFLTSSRDPGIIPRNKEAPEAEGLDMITQSSEWVNNKLGNTKIPRTKDILVNGYTVKVKFCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIALRNYPYFICFISTSTLLCLYVFVFSWVSMLEVHGKMLLMVITNDLVFVVLILYCFVVVWFVGGLTTTYENFRYRYDKKENPYGKGLFKNLYELFFARIPPPMTNFRDWAPEEPDEEVGSIASELDRTFGPRGDKYDMEMEIGGCKNSKGGLRLQTLEYDNNNREETVKKKGLDEGTAGTTTAFYIPGIQEPTNITRNSSIDVRSR >fgenesh2_kg.4__2228__AT2G41010.1 pep chromosome:v.1.0:4:20006815:20007678:-1 gene:fgenesh2_kg.4__2228__AT2G41010.1 transcript:fgenesh2_kg.4__2228__AT2G41010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Atcambp25-binding protein OF 25 kDa [Source:UniProtKB/TrEMBL;Acc:D7LGI4] MVTSEGLASVDPWLYRQGFNVDSWLLSDAFSHDNDLLARALHTTVTATPHTLTPSSAFFDSAAVSHPSSTTNTLSSNVSGGSDPEIIGGGAKRKRNCLLTDGKTAKRRARASKKSQTTFITADPSNFRQMVQQVTGSRYIDDSPFGMFDPIVKPEPLRLVNKLPCGLSDRSTAVPMLDTSAFLSNHHQENLAVGNAYSAATGVGLTSGKPNATADAGVSADDFENYPTFPTLESWKVM >fgenesh2_kg.4__2229__AT2G41020.1 pep chromosome:v.1.0:4:20012774:20016291:1 gene:fgenesh2_kg.4__2229__AT2G41020.1 transcript:fgenesh2_kg.4__2229__AT2G41020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LGI5] MGEELQHQQNNQTSNSGYGSSLAYDQSQDIESAATNALLREQEIETQKIIQGQREAGTSVAGDAEHNTDILRDRSDPNALKEHLLKFTAHHRAEAAAKRGGSVSTCGEGNVDVGNGYGIPGGVAYAGHSELTGKPEPTDASNNLPEYLKQKLRARGILRDGTGAVTSNTQDTSAVSWNRQTTSPFTANASTLPLGWVDAKDPASGATYYYNQHTRTCQWERPVELSYTTSSAPPVPPKEEWIETLDEASGHKYFYNTRTHVSQWEPPASLQKPAPTNSNNAVTQSTANGKGEHPPSQMPRCSGCGGWGVGLVQRWGYCVHCTRVFNLPEQQFLPANLNHFTNAGDSGQKDPNQRSSSKPPMKKVIGKKRAHADDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRRNAEVASSQKKKPNSHFTEITKRGDGSDGLGDAD >fgenesh2_kg.4__2235__AT2G41070.2 pep chromosome:v.1.0:4:20026222:20027810:1 gene:fgenesh2_kg.4__2235__AT2G41070.2 transcript:fgenesh2_kg.4__2235__AT2G41070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRGNVEEPISQSLTRQNSLYSLKLHEVQTHLGSSGKPLGSMNLDELLKTVLSPAEEGLVRQGSLTLPRDLSKKTVDEVWRDIQQDKDGNSTSTTTTHKQPTLGEITLEDLLLRAGVVTETIVPQENVVNIASNGQWVEYHHQPQQQQGFMTYPVCEMQDMVMMGGLSDTPQAPGRKRVAGEIVEKTVERRQKRMIKNRESAARSRARKQAYTHELEIKVSRLEEENEKLRRLKEVEKILPSEPPPDPKWKLRRTNSASL >fgenesh2_kg.4__2238__AT2G41080.1 pep chromosome:v.1.0:4:20028355:20030052:1 gene:fgenesh2_kg.4__2238__AT2G41080.1 transcript:fgenesh2_kg.4__2238__AT2G41080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LGJ0] MSMYSKLGDLPSAVALYERMRKKNFMSSNILINGYVRAGDLVSARKVFDEMPDRKLTTWNAMIAGLIQFEYNEEGLSLFREMHGLGFSPDEYTLGSVFSGSAGLRSVSIGQQIHGYAIKYGLELDLVVNSSLAHMYMRNGKLQDGEIVIRSMPVRNLVAWNTLIMGNAQNGCPETVLYLYKMMKISGCRPNKITFVTVLSSCSDLAIRGQGQQIHAEAIKIGASSVVAVVSSLISMYSKCGCLGDAAKAFSEREDEDEVMWSSMISAYGFHGQGDEAIKLFNSMAEQTEMEVNEVAFLNLLYACSHSGLKDKGLELFDMMVEKYGFKPGLKHYTCVVDLLGRAGCLDQAEAIIKSMPIKPDPVIWKTLLSACNIHKNAEMAQKVFKEILEIDPNDSACYVLLANVHASAKRWRDVSEVRKSMRDKNVKKEAGISWFEHKGEVHQFKMGDRSQSKSKEIYSYLKELTLEMKLKGYKPDTASVLHDMDEEEKESDLVQHSEKLAVAFALMILPEGAPIRIIKNLRVCSDCHVAFKYISVIMNREITLRDGSRFHHFINGKCSCGDYW >fgenesh2_kg.4__2240__AT2G41120.1 pep chromosome:v.1.0:4:20034502:20035673:-1 gene:fgenesh2_kg.4__2240__AT2G41120.1 transcript:fgenesh2_kg.4__2240__AT2G41120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPKHFQVPSSFTLPPSSSFSSLIPSSPLSVTASTIRVSTKFSSIRVRFSRRSIVRYNDQAREDEEDSDEDKEEDWSFEEAVTLFNKRDYYKSHDALEALWIQAEEPTRTLIHGILQCAVGFHHLFNNNHKGAMMELGEGVCKLRKMNFEDGPFHEFERDVSAVLEFVYQTQLELAACSEDMCLTMDQSDRSYQLLGGYAAGQSIYSLETVLDFNNGMSEKTSILFSPSSSSSEPTRVKLPTLSATDKHLLAFTYDQRF >fgenesh2_kg.4__2242__AT2G41140.1 pep chromosome:v.1.0:4:20045452:20048976:1 gene:fgenesh2_kg.4__2242__AT2G41140.1 transcript:fgenesh2_kg.4__2242__AT2G41140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICHGKPIEQQQSKSLPVSGETDEAPTNSQPPAKSSGFPFYSPSPVPSLFKSSPSVSSSVSSTPLRIFKRPFPPPSPAKHIRAFLARRYGSVKPNAVSIPEGKECEIGLDKSFGFSKQFASHYEIDGEVGRGHFGYTCSAKGKKGTLKGQEVAVKVIPKSKMTTAIAIEDVSREVKMLRALTGHKNLVQFYDAFEDDENVYIVMELCKGGELLDKILQRGGKYSEDDAKKVMVQILSVVAYCHLQGVVHRDLKPENFLFSTKDETSPLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRTYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPNFEEAPWPSLSPDAVDFVKRLLNKDYRKRLTAAQALCHPWLVGSHELKIPSDMIIYKLVKVYIMSTSLRKSALAALAKTLTVPQLAYLQEQFTLLGPSKNGYISMQNYKTAILKSSTDAMKDSRVLDFVHMISCLQYKKLDFEEFCASALSVYQLEATETWEQHARRAYELFEKDGNRPIMIEELASELGLGPSVPVHVVLQDWIRHSDGKLSFLGFVRLLHGVSSRTLQKA >fgenesh2_kg.4__2244__AT2G41150.2 pep chromosome:v.1.0:4:20049161:20051098:1 gene:fgenesh2_kg.4__2244__AT2G41150.2 transcript:fgenesh2_kg.4__2244__AT2G41150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSKTHHRSKATPRSQRLVLLCIVAVAFLFLFTSVISTGGLALPYRTTLIGYFVRSSRSKRQHSLSDKYLYWGNRIDCPGKNCETCAGLGHQESSLRCALEEAMFLNRTFVMPSRMCINPIHNKKGILNRSDNETTEESWEVSSCAMESLYDIDLISEKIPVILDDSETWHIVLSTSMKLKERGSAHVYGANRNELNNSSHFTNLLLINRTASPLAWFVECKDRGNRSDVMLPYSFLPNMAASRLRDAAEKIKAQLGVYDAIHVRRGDKLKTRKDRFRVERTQFPHLDRDTRPEFIIGRIQKQIPPGRTLFIGSNERTPGFFSPLAIRYKVAYSSNFSEILDPIIENNYQLFMVERLIMMGAKTFFKTFREYETDLTLTDDPKKNKNWEIPVYTMDKGKEAAS >fgenesh2_kg.4__2247__AT2G41180.1 pep chromosome:v.1.0:4:20061611:20062483:1 gene:fgenesh2_kg.4__2247__AT2G41180.1 transcript:fgenesh2_kg.4__2247__AT2G41180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSSTLLINQRKSSSSPTRIPPKQKRKSTTTNKPIKVRYISNPMRVETCPSKFRELVQELTGQDAADLPPSPTTFVAADPHRPCESEMNSEPLDGEVREYYSPLDEEVFNAPQMSAGLSGFFSSGFYNVNALGSIGSL >fgenesh2_kg.4__2249__AT2G41200.1 pep chromosome:v.1.0:4:20070821:20072336:1 gene:fgenesh2_kg.4__2249__AT2G41200.1 transcript:fgenesh2_kg.4__2249__AT2G41200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALDTAKGKGEVDGKDLEAMVENCYRKRLEEQNDDQEWSFGDFYRIVAEAVEEINRRLGGTQLKVPSVEKLQQAYEIHNLGEGKKLSKDEFQKLLQEVLIGAGFTGVGGVKEFLLFIFGVPAIAVFIKNRIAPTSIPNDLFIPAITSATVFLLAKLNKI >fgenesh2_kg.4__2251__AT2G41220.1 pep chromosome:v.1.0:4:20076971:20088147:1 gene:fgenesh2_kg.4__2251__AT2G41220.1 transcript:fgenesh2_kg.4__2251__AT2G41220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSPGAAGASSSVSRLLTSAKLSSTKTIFSVDFVGSYCISKGTKRRNELSGFRGYSPLLKSSLRSPFSAKAILNSDRAAGDASASFSDLKPQVAYLEDIISERGACGVGFIANLENKATHKIVNDALIALGCMEHRGGCGSDNTSGDGSGLMTSIPWDLFNEWAEKQGMASFDKTHTGVGMLFLPRDDNIRAEAKKVITSIFEKEGLEVLGWRDVPVEASIVGHNAKQTMPNTEQVFVRIVKDDKVDDVERELYICRKLIERAVASESWASELYFSSLSNQTIVYKGMLRSEVLGLFYPDLQNDLYKSAFAIYHRRFSTNTSPRWHLAQPMRFLGHNGEINTIQGNLNWMTSREASLRSPVWHGRENDIRPISNPKASDSANLDSAAELLIRSGRTPEESLMILVPEAYKNHPTLMIKYPEAVDFYDYYKGQMEPWDGPALVLFSDGKTVGACLDRNGLRPARYWRTSDNVVYVASEVGVLPMDESKVTMKGRLGPGMMISVDLESGQVYENTEVKRRVASYNPYGKWVSKNLRNLKPSNFLSSAIMETDETLRRQQAFGYSSEDVQMVIESMAAQGKEPTFCMGDDTPVAVLSQKPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILEVGPQNVSQVVLSGPVLNERELEGLFSDPQLKSQVLPTFFDIHRGIEGSLKKGLLKLCEAADEAVRSGSQVLVLSDRSDNPEPTRPAIPMLLAVGAVHQHLIQNGLRMSASIIADTAQCFSTHHFACLIGYGASAICPHLALETCRQWRLSNKTVNMMRNGKMPTVTMEQAQKNYRKAVNTGLLKVLSKMGISLFSSYCGAQIFEIYGLGNEVVEFSFRGSASQIGGLTLDELARETLTFWVRAFSEDTAKRLENFGFIQFRPGGEYHGNNPEMSKLLHKAVREKSETAYAVYQQHLANRPITVFRDLLEFKSDRNPIPVGKVEPASSIVERFCTGGMSLGAISRETHETIAIAMNRLGGKSNSGEGGEDPIRWKPLTDVVDGYSSTLPHLKGLRNGDTATSAIKQVASGRFGVTPTFLVNADQLEIKVAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQVNPKAKVSVKLVSEAGIGTVASGVAKANADIIQISGYDGGTGASPISSIKHAGGPWELGLAETQKTLIGNGLRERVIIRVDGGFKSGVDVLIAAAMGADEYGFGTLAMIATGCIMARICHTNNCPVGVASQREELRARFPGLPGDLVNFFLYIAEEVRGILAQLGYEKLDDIIGRTDLLKARDISLVKTHLDLSYLLSSVGLPKRSSTSIRKQEVHSNGPVLDDTLLQDPEIMDAIENEKTVHKTMSIYNVDRSVCGRIAGVIAKKYGDTGFAGQLNLTFTGSAGQSFACFLTPGMNIRLVGEANDYVGKGMAGGEVVILPVESTGFRPEDATIVGNTCLYGATGGLLFVRGKAGERFAVRNSLAQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDNTLLPKMNKEIVKIQRVTSPVGQTQLKSLIQAHVEKTGSSKGAMIVEEWDKYLAMFWQLVPPSEEDTPEANSDHILKTTTGDEEQVSNILAEK >fgenesh2_kg.4__2252__AT2G41225.1 pep chromosome:v.1.0:4:20091743:20092237:-1 gene:fgenesh2_kg.4__2252__AT2G41225.1 transcript:fgenesh2_kg.4__2252__AT2G41225.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LGK4] MFLIGVVMVLLVVLPAVLPPLPPPPMILMGIPVVLMLMLIYLAIHYPPHQSDHLLSSSSFDTTPRHVM >fgenesh2_kg.4__225__AT2G22980.2 pep chromosome:v.1.0:4:1390909:1395837:1 gene:fgenesh2_kg.4__225__AT2G22980.2 transcript:fgenesh2_kg.4__225__AT2G22980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTLEFLLLLIVLVLSYHAHSGSIVKFLPGFEGPLPFELETGYIGIGEEEEVQLFYYFIKSEKNPKEDPLLLWLTGGPGCSSLTGLLFENGPVALKFEVYNGSVPSLVSTTYSWTKMANIIFLDQPVGAGFSYSRTPLVHKISDTGEVKRIYEFLQKWLSKHQQFFSNPFYVGGDSYSGMVVPALVQEIAKGNYQINLQGYILGNPITDTESEQNYQIPYAHGMTLISDELYESMKRICKENYVNVDALNTKCYKLIKDYQKCIHKLNKYHILLPDCDITSPDCFLYMYSLMTFWANDKSVRGALQVTKGSIGEWVQCNYKNISYNYDIKSSVAYHMKNSIDGYRSLIYNGDHDMMVPFLATQAWISSLNYSITDDWRPWMINDQIAGYTRTYSNKMTFATIKASLLVST >fgenesh2_kg.4__2260__AT2G41290.1 pep chromosome:v.1.0:4:20120655:20123157:-1 gene:fgenesh2_kg.4__2260__AT2G41290.1 transcript:fgenesh2_kg.4__2260__AT2G41290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLLLVVAISFALLFSLTDFSGERPKHGDSMLTVQFPDFRLIPTTGALGPESFVFDFSGDGPYTGLSDGRIVKWLANDSRWIDFAVTTSTREGCEGPHEHQRTEHVCGRPLGLAFDKSTGDLYIADAYMGLLKVGPTGGVANQVLPRELNEALRFTNSLDIDPQTGVIYFTDSSSVYQRRNYIGAMMSGDRTGRLMKYDPDTKEVTTLLSNLAFPNGVVLSQNGDYLLVVETATCRVLRYWLSATSTTCKSRENYEIFAEGLPGFPDNIKRSPRGGFWVGLNTKHSKLTKFAMSNAWLGRAALGLPVDWMKIHSVWAKYNGNGMAVRLSEDSGVISEVFEGQKGNKWISISEVEERDATLWVGSVNTPFAGMYKI >fgenesh2_kg.4__2262__AT2G41310.1 pep chromosome:v.1.0:4:20132806:20138292:1 gene:fgenesh2_kg.4__2262__AT2G41310.1 transcript:fgenesh2_kg.4__2262__AT2G41310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMETESKFHVLAVDDSLFDRKMIERLLQKSSCQVTTVDSGSKALEFLGLRVDDNNPNALSTSPQIHQEVEINLIITDYCMPGMTGYDLLKKVKESAALRSIPVVIMSSENVPARISRCLEEGAEEFFLKPVKLADLTKLKPHMMKTKLKNESEKPVAIEEIVVSKPEIEKEEASSVIEILPLHQELEPMLSSNKRKAMEEVISTDRSRPKYNDITTSV >fgenesh2_kg.4__2264__AT2G41340.1 pep chromosome:v.1.0:4:20150536:20151937:1 gene:fgenesh2_kg.4__2264__AT2G41340.1 transcript:fgenesh2_kg.4__2264__AT2G41340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic rpb5 RNA polymerase subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7LH55] MVGKGKEIAVVQSIDKPSVECHKYYLARRTTMEMLRDRGYDVSDEDVNFSLEQFRALYGERLDVDRLRISAKHRFDSSKKIMVVFCGTGMVKVNAMRAIAADVLNRESITGLILVLQSHITNQALKAVELFSFKVELFEITDLLVNVTKHVLRPKHQVLNDKEKESLLKKYSIEEKQLPRLSSKDPIVRYYGLETGQVMKVTYKDELSESHVTYRCVM >fgenesh2_kg.4__2265__AT2G41342.1 pep chromosome:v.1.0:4:20152008:20152516:-1 gene:fgenesh2_kg.4__2265__AT2G41342.1 transcript:fgenesh2_kg.4__2265__AT2G41342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTSDRRWQTGDDNYLSDTSTFSVKEQEHQLKAARLEEQRLGREAEKVNTWVKHESARFDHRP >fgenesh2_kg.4__2272__AT2G41390.1 pep chromosome:v.1.0:4:20186952:20191773:1 gene:fgenesh2_kg.4__2272__AT2G41390.1 transcript:fgenesh2_kg.4__2272__AT2G41390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSNVFFLSILLMASLFSISHSFTLWGYTIDRVSIRGVVYCSLDGDPSAPPVSNATVYIECPGCSNSTLAQAVTNAVGVFTLVINPAYTPLVNPSKCEIKANLPTNSCFIYPPGGVLRASVNDLVSISLQNLIVIATYAATTFLSSS >fgenesh2_kg.4__2273__AT2G41415.1 pep chromosome:v.1.0:4:20197268:20197880:-1 gene:fgenesh2_kg.4__2273__AT2G41415.1 transcript:fgenesh2_kg.4__2273__AT2G41415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSHASLICILLLSLFALHQCVRLQRSNKIDMSVCVHDICGGVFDGGCYCCPKTPALCWADNQFCTTYCHAQS >fgenesh2_kg.4__2274__AT2G41420.1 pep chromosome:v.1.0:4:20199721:20200896:-1 gene:fgenesh2_kg.4__2274__AT2G41420.1 transcript:fgenesh2_kg.4__2274__AT2G41420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LH70] RTMSQYNQPPVGVPPPQGYPPEGYPKDAYPPQGYPPQGYPQQGYPPQGYPQQGYPPPYAPQYPPPPQHQQQQNSPGFLEGCLAALCCCCLLDA >fgenesh2_kg.4__2275__AT2G41430.2 pep chromosome:v.1.0:4:20202596:20203969:1 gene:fgenesh2_kg.4__2275__AT2G41430.2 transcript:fgenesh2_kg.4__2275__AT2G41430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSGRRSTLNPDAPLFVPAAVRQVEDFSPEWWQLVTTSTWYHDYWISQHQGPDGFYDNGENENGGGQVDVADLLPESFDFDDMEDFFDTDATEFDQGFDGRMYYQAPSEFGFGKNGEMVRKSTGNRSPKSIVEPAKYAEKPAKWGNQRVAAPRNIHQPR >fgenesh2_kg.4__2283__AT2G41460.1 pep chromosome:v.1.0:4:20212481:20215582:1 gene:fgenesh2_kg.4__2283__AT2G41460.1 transcript:fgenesh2_kg.4__2283__AT2G41460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:UniProtKB/TrEMBL;Acc:D7LH73] MNKVLQLGLQSSVINVAKFLVVPLRSLRVGSSIVGGTRSFNKRLMSNATVTVKSVPFSINNSKGKELKIVGAATDQNCLQMGSDIGRAEMGTLQDDRKDIEAMTVQELRATLRKILVHCLNMKLGLPVKGRKQKLISTLRLHMDSNLPDQKETTSSTRSESVTIKRKIRNTEEPTEDDCSNSEAYGTEQGEKRVKQSTEKNLKAKVFAKAVSKEQKSLTKTGKQQIHSKEEASSTISSELLKPEEMISSLSQSEPWTVLAHKKPQKDWKAYNPKTMRPPPLPEGTKCVKVMTWNVNGLRALLKLESFSALQLAQRENFDILCLQETKLQVKDVEEIKNTLIDGYDHSFWSCSVSKLGYSGTAIISRIKPLSVRYGTGLSGSDHDMEGRIVTAEFDSFYLINTYVPNSGDGLKRLSYRIEEWDRTLSNHIKELEKSKPVVLTGDLNCAHEEIDIFNPAGNKRNAGFTIEERQSFGANFLDKGFVDTFRKQHPGVVGYTYWGYRHGGRKTNRGWRLDYFLVSESIAANVHDSYILPDINGSDHCPIGLILKL >fgenesh2_kg.4__2284__AT2G41475.1 pep chromosome:v.1.0:4:20217208:20218846:-1 gene:fgenesh2_kg.4__2284__AT2G41475.1 transcript:fgenesh2_kg.4__2284__AT2G41475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAISLFLFALCSLTSFSSARSFITTKPLPIDSFLPKPKLENAGVCSYTVIIKTSCSSVSYTRDKISISFGDVYGNEVYVKRLDDPSSRTFEKCSSDTYKISGPCMRDVCYLYLLRQGSDGWKPENVKIYGSSIRSVTFYYNLFLPNSVWYGFNVCNGISNTKPSQPISTASSVAAM >fgenesh2_kg.4__2286__AT2G41490.1 pep chromosome:v.1.0:4:20225603:20228056:1 gene:fgenesh2_kg.4__2286__AT2G41490.1 transcript:fgenesh2_kg.4__2286__AT2G41490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKRASSMSISNKQDPVEPNSAPPEQKMTRKTVSASGEEFRLAPPKLGVIFVISTLLCSLDLYLLCFHYKVDNELKRSILINAGLSLVGFFVTLKMIPVAARYVLRRNMFGFDINKRGTPQGDIKVPESLGIVVGIVFLIVAIIFQYFNFTEDSNWLVEYNAALASICFMILLGFVDDVLDVPWRVKLVLPSFATLPLLMAYAGHTTIVIPKPLVAYIGLEVLDLGRIYKLYMGLLAVFCTNSINIHAGLNGLEIGQTVVIAAAILIHNVMQIGASVDPEYHQAHAFSIFLTQPLMATSLAMLAYNWYPSSVFVGDTYTVFAGMTMAVVGILGHFSETLLIFFLPQVLNFLLSLPQLAGIVKCPRHRLPKYDPATGLLTGTKDGTLVNVYLRLFGPKSEKSLCIHLLVFQALACAFCFILRHFLAGWYK >fgenesh2_kg.4__2287__AT2G41500.1 pep chromosome:v.1.0:4:20228026:20231319:-1 gene:fgenesh2_kg.4__2287__AT2G41500.1 transcript:fgenesh2_kg.4__2287__AT2G41500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNKEDNVSLPATAQITAPPILQDAASQPGFSAIPPVVPPPMAPIPMMPHPPVARPPTFKPPVSQNGRAKTSDSDSESDDEHFEISEESRQVRERQEKALQDLLVKRRAAAMAVPTNDKAVRDRLRRLGQPITLFGEQEMERRARLTQLLARLDMDGQLDKLLIAQEEDVAPKEEVDDEVLEYPFFTEGPKELREARIEIAKFSVKRAAVRIQRAKRRRDDPDEDMDAETKWALKHAKNMVLDCSNFGDDRPLTGCSFSRDGKILATCSLSGVTKLWEMPQVTNTIAVLKDHKERATDVVFSPVDDCLATASADRTAKLWKTDGTLLQTFEGHLDRLARVAFHPSGKYLGTTSFDKTWRLWDINTGAELLLQEGHSRSVYGIAFQQDGALAASSGLDSLARVWDLRTGRSILVFQGHIKPVRFSVNFSPNGYHLASGGEDNQCRIWDLRMRKSLYIIPAHANLVSQVKYEPQEGYFLATASYDMKVNIWSGRDFSLVKSLAGHESKVASLDITADSLCIATVSHDRTIKLWTSSGNDEDEEKEKETMDIDL >fgenesh2_kg.4__2288__AT2G41510.1 pep chromosome:v.1.0:4:20239208:20241635:1 gene:fgenesh2_kg.4__2288__AT2G41510.1 transcript:fgenesh2_kg.4__2288__AT2G41510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCKX1/CKX1 [Source:UniProtKB/TrEMBL;Acc:D7LH80] MGLTSSLRFHRRNNKTFLRIFMILVLSCIPGRTNLCSNHSVSTPKELPPSNPPDIRSSLVSLDLEGCISFDDVHNVAKDFGNRYQLPPLAILHPRSVSDISSMMKHIVHLGSTSNLTVAARGHGHSLQGQSLAHQGVVIKMESLRSPDIRIYKGKQPYVDVSGGELWINILRETLKYGLSPKSWTDYLHLTVGGTLSNAGISGQAFKHGPQINNVYQLEIVTGKGEVVTCSEKKNSELFFSVLGGLGQFGIITRARISLEPAPQMVKWIRVLYSDFSAFSRDQEHLISKEKTFDYVEGFVIINRTDLLNNWRSSFSPNDSTQASRFKSDGKTLYCLEVVKYFNPEEANSMHQETGKLLSELNYIPSTLFSSEVPYIEFLDRVHIAERKLRAKGLWEVPHPWLNLLIPKRNIFQFATEVFNNILTSNNNGPILIYPVNQSKWNKHTSLITPNEDIFYLVAFLPSAVPNSSGKNDLEHLLKQNQRVISFCGAANLNVKQYLPHYETQQEWKSHFGNRWETFAQRKHAYDPQGILAPGQRIFQKTTRQLSPIQLSKSKATGSPQRYH >fgenesh2_kg.4__228__AT2G22990.1 pep chromosome:v.1.0:4:1399725:1403307:1 gene:fgenesh2_kg.4__228__AT2G22990.1 transcript:fgenesh2_kg.4__228__AT2G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKIKFLLLLLLVFCHHVYCASIVKFLPGFEGPLPFELETGYIGIGEDENVQFFYYFIKSENNPKEDPLLIWLNGGPGCSCLGGILFENGPVGLKFEVYNGSAPSLFSTTYSWTKMANIIFLDQPVGSGFSYSKTPIDKTGDISEVKRTHEFLQKWLRRHPQYFINPFYVVGDSYSGMIVPALVQEISQGNYICCEPPINLQGYMLGNPVTYMDFEQNFRILYAYGMGLISDEIYEPMKRSCNGNYYNVDPSNTKCLKLTEEYHKCTDKINIHHILTPDCDVTNVTSPDCYYYPYHLIECWANDESVREALQIKKGSKGKWARCNRTIPYNHDIESSIPYHMNNSIRGYRSLIYSGDHDIAVPFLATQAWIRSLNYSPIHNWRPWMINNQIAGYTRAYSNKMTFATIKGGGHTAEYRPNETFIMFQRWISGQLL >fgenesh2_kg.4__2292__AT2G41540.2 pep chromosome:v.1.0:4:20252459:20255244:1 gene:fgenesh2_kg.4__2292__AT2G41540.2 transcript:fgenesh2_kg.4__2292__AT2G41540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:D7LH84] MVGSIEAKSLQSNGSVHHNGLNLEEKLDEFRRLLGKSDKDPLRIVSVGAGAWGSVFAALLQESYGGFRDKFQIRIWRRAGRAVDRETAEHLFEVINSREDILRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMVDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISKYWKERITVPIIISLSKGIETALEPVPHIITPTKMIHQATGVPIDNVLYLGGPNIAAEIYNKEYANARICGAAKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTKESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGEINRDMGDSISGKGMIQGVSAVGAFYQLLSQSSLSILPSEEKKLVAPVESCPILKTLYKILITREQSTQAILQALRDETLNDPRDRIEIAQSHAFYRPSLLGQP >fgenesh2_kg.4__2295__AT2G41550.1 pep chromosome:v.1.0:4:20255320:20257648:-1 gene:fgenesh2_kg.4__2295__AT2G41550.1 transcript:fgenesh2_kg.4__2295__AT2G41550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEEDLWGPPRVVQQDDTFRKQTLRRCEFWFDCRTDVLEENFQNEKFCELVWRILTEKKKKQVAEIAELERVSVSLQNNDTASSESGGDLHEIDSSDSDKTLSATLPDSDSSSAKLPLYDDDNTSSISDAQTIQTRDSSNDDTEEIEPETRLITHDTAANDPLTVEVAEDADTSSSPVLASKIDEAEEHSCSSLVTDQVFDPIKQAPENKSSSAISRENTEGSTLRPLLLETRKANATNEDGDILKDHQQNDSLQDIVTASEPFTTESLLEMCDEPDKGREISCGERSKKSQPEAVVKTVVKDELIISLLTEARKKAESKNPGSVLIKKRQRQSNSSDSNGVNTSFIRNIKQKVQGGAAEINIDPVKNSSRSTPKIIRGSSVPTQHADSLLDSIDGKIQRGGHNGSDSVISLSISELKKKTGKELRSIAKDLKVTHYYKLKKEDLLQRITNQLNPS >fgenesh2_kg.4__2296__AT2G41560.1 pep chromosome:v.1.0:4:20258997:20264103:-1 gene:fgenesh2_kg.4__2296__AT2G41560.1 transcript:fgenesh2_kg.4__2296__AT2G41560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7LH86] MSNLLRDFEVEAKNPSLEARQRWRSSVSIVKNRTRRFRNIRDLDKLADYETKRHEIQEKIRVAFYVQKAALQFIDAAARPEYKLSDEVKQAGFSIEADELASMVRKNDTKSLAHKGGVEEIAKKISVSLDEGVRSSEVPTRAKIFGENRYTEKPARSFLMFVWEALHDITLIILMVCAVVSIGVGVATEGFPKGMYDGTGILLSILLVVMVTAISDYKQSLQFRDLDREKKKIIVQVTRDGSRQEISIHDLVVGDVVHLSIGDQVPADGIFVSGYNLEIDESSLSGESEPSHVNKEKPFLLSGTKVQNGSAKMLVTTVGMRTEWGKLMETLVDGGEDETPLQVKLNGVATIIGKIGLSFAVLTFVVLCIRFVLEKATSGSFTNWSSEDALTLLDYFAISVTIIVVAVPEGLPLAVTLSLAFAMKKLMSDRALVRHLAACETMGSSTCICTDKTGTLTTNHMVVNKVWICDKVQERQEGSTESFELELPEEVQSILLQGIFQNTGSEVVKDKDGNTQILGSPTERAILEFGLLLGGDFNTQRKEHKILKIEPFNSDKKKMSVLITLPGGGARAFCKGASEIVLKMCENVVDSNGESVPLTEERITSISDVIEGFASEALRTLCLVYKDLDEAPSGDLPDGGYTMIAVVGIKDPVRPGVREAVQTCQAAGITVRMVTGDNISTAKAIAKECGIYTEGGLAIEGSEFRDLSPHEMRAIIPKIQVMARSLPLDKHTLVSNLRKIGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFKTIVNVARWGRAVYINIQKFVQFQLTVNVVALIINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNEGLMKRAPIARTASFITKTMWRNIAGQSVYQLIVLGILNFAGKSLLKLDGPDSTAVLNTVIFNSFVFCQVFNEINSREIEKINVFTGMFNSWVFTWVMTVTVVFQVIIVEFLGAFASTVPLSWQHWLLSILVGSLSMIVAVILKCIPVESSHHHDGYDLLPSGPSSSNSA >fgenesh2_kg.4__2299__AT2G41600.3 pep chromosome:v.1.0:4:20266372:20267814:-1 gene:fgenesh2_kg.4__2299__AT2G41600.3 transcript:fgenesh2_kg.4__2299__AT2G41600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLNPLLKRGLKAVENGDLVKILQTEIRHEISHPRFLGVETGSLGDFKLDWDSPESQDIVLKRQFVSGEEVVVSALLQPEPIELDDDLVFPREALAKVCIKKPGLSSILQFHCRVYETGSGSSDFDVEKARFIRSLVSASSSTYRDNFLRPIDFKLGHELRHYLISKGISEGLTNFIVCHLNKKEQDQYVNWLRRLESTMSHSPKP >fgenesh2_kg.4__22__AT2G21130.1 pep chromosome:v.1.0:4:156684:157484:-1 gene:fgenesh2_kg.4__22__AT2G21130.1 transcript:fgenesh2_kg.4__22__AT2G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7LL02] MATHPKVFFDMTIGGAPAGKIVMELYTDKTPKTAENFRALCTGEKGVGRSGKPLHFKGSAFHRVIPNFMCQGGDFTNGNGTGGESIYGSKFEDENFERKHTGPGILSMANAGANTNGSQFFICTVKTDWLDGKHVVFGQVIEGLDVVKAIEKSGSSAGKPTKPVVIADCGEISS >fgenesh2_kg.4__2301__AT2G41620.1 pep chromosome:v.1.0:4:20268377:20278503:-1 gene:fgenesh2_kg.4__2301__AT2G41620.1 transcript:fgenesh2_kg.4__2301__AT2G41620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore protein [Source:UniProtKB/TrEMBL;Acc:D7LH88] MSGWTDLLHSSSKLLEQAAPSSQFPPLQRNLDQLEALSKKLKAKTLRNEAPSQSIAATRLLAREGINAEQLARDLKSFELKTTFEDVFPAEATSVEEYLQQVHEMAIVSAIQEAQKDNVRSFNDYMMKVLEEDWRKEKRDFLQSLSRISMLPKTNMIDTSREAHAGQLVPVASSPRVSSTPGKELVALANIPIHEKKAYVYGEVVKKLNSSRERGLPFRPAMCFKEAYDTLGAEVTRGKSVNMQKIWQLVQAITGEDSAVRQGVSKRMALAIGARHHLQHGHEKFIMDTIQSHPTQAALGGSVGNLQRIRAFLRIRLRDYGVLDFDSTDARRQPPVDTTWQQIYFCLRTGYYEEAREIARATRSSQQFAPLLTEWITTDGMVAAESAAIASEECEKMLRMGDRLGRTAYDKKKLLLYTIISGSRRQVERILRDLSTLFNTIEDFLWFKLSCIRDVTGVSSSLVLNDGLAPYSLDDLQAYLNKFEPSYYTKNGKDPLVYPYVLLLSIQLLPAIMHLSKEAGDGGYNIDAIHIAISLVDHSVLSEGSGTGHKLSVMDSNAEASSMIRQYGSMFLHHGDLQMTVEYYAQAAAAVGGGQLAWSGRSNVDQQRQRNLMLKQLLTEILLRERGIYFLLGARGSGEEGQLGRFFPDSRLRQQFLVEAAHQCQEAGLYDKSIEIQKRVGAFAAALETINKCLSEAICSLARGRLDGESRTSGLILAGNDILETYKYYPEVSLQERERVMEQETILRELEAILSIHKLGRLGNHLDALREIAKLPFLHLDPRVPDATADVFQSASPYFQACVPDLLKVALTCLDNVPDTDGSIRAMRSKIAGFLASNTHRNWPRDLYEKVARSF >fgenesh2_kg.4__2302__AT5G52140.1 pep chromosome:v.1.0:4:20278857:20281065:-1 gene:fgenesh2_kg.4__2302__AT5G52140.1 transcript:fgenesh2_kg.4__2302__AT5G52140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVNQSSVDENIAIYLQYGLLIQQDEEACVYSCLHDEGLARTLQDLEGKLQPSLSDDEILARYLQEQDESANNTDNDIQETHHRQYQPMQVNRSNIPQRDALSTSKAFFHYDGGENFSDHYTHSRSPSNISHNPPDNENIDPASMTYEEISELEDSIGDFSKGLSQKMISRLRTHKYGIQTKTWCCWLKKKKFVADDSQCSICLVEYAKGDKITTLPCKHVYHKDCISRWLKQNKVCCVCKAVVYP >fgenesh2_kg.4__2304__AT2G41640.1 pep chromosome:v.1.0:4:20290996:20334181:1 gene:fgenesh2_kg.4__2304__AT2G41640.1 transcript:fgenesh2_kg.4__2304__AT2G41640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYQRLIIHHGRKEEKFRVSAEESGGGGCYSKRAKQKFRCLLFLSILSCCFVMSPYYLFGFSTLSLLDSFRREIEGLSSYEPFITPLCSEISNGTICCDRTGLRSDICEMKGDIRTNSASSSIFLFTSSTKNNTKPEKIKPYTRKWETSVMDTVQELNLITKDSNSSSDRVCDVYHDVPAVFFSTGGYTGNVYHEFNDGIIPLFITSQHYNKKVVFVIVEYHDWWEMKYGDIVSQLSDYPLVDFSGDARTHCFKEATVGLRIHDELTVNSSLVIGNQTIVDFRNVLDRGYSHRIQSLIQEETEANVTALDFKKKPKLVILSRNGSSRAILNENLLVELAEETGFNVEVLRPQKTTEMAKIYRSLNTSDVMIGVHGAAMTHFLFLKPKTVFIQIIPLGTDWAAETYYGEPAKKLGLKYIGYKIAPKESSLYEEYGKDDPIIRDPDSLNDKGWEYTKKIYLQGQNVKLDLRRFRETLTRSYDFSIRRRFREDYLLHRED >fgenesh2_kg.4__2306__AT2G41790.1 pep chromosome:v.1.0:4:20342241:20350749:1 gene:fgenesh2_kg.4__2306__AT2G41790.1 transcript:fgenesh2_kg.4__2306__AT2G41790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M16 family protein [Source:UniProtKB/TrEMBL;Acc:D7LH96] MAIEKSNTTVGGVEILKPRTDNREYRMIVLKNLLQVLLISDRDTDKCAASVSVSVGSFSDPQGLEGLAHFLGHMLFNASEKYPEEDSYSKYITEHGGSTNAYTASEETNCHFDVNADCFDEGLDRFAQFFIKPLMSADATMREIKSVVVPIGTWIHFMSELIKFYKEHYSANIMHLVVCGKVLIKFKILWKGCSRESKTPTKLSLDFLASHILVKPIPIKQGHKLGVSWPVTPSIHHYDEAPSQYLGHLICHEGEGSLFHALKTLAWEDFIESLIESGRLCLLESSILVGQLDCLLVKESGLKIMLSSRLRLILEDAGHEHMQEILGLLFNYIQLLQQTGVCQWIFDVLSAICETKFHYQDKIPPMSYIVDIASNMQIYPTKDWLVGSSLPAKFNPAIAQKVVDELSPSNVRIFWEAQKFEGHTDKAEPWYNTAYSLEKMTSSTIQEWVQSAPDVHLHLPAPNIFIPTDLSLKDANDEETVPVLLRKTPFSRLWYKPDTMFSKPKAYVKMDFKFSIAHLQSALLTRQFLVLTDIFTRLLMDYLNEYACYAQVAGLYDGLSLADNGFELTLLGYNHKLRILLEIVVGKIAHFEVKPDRFAVIKETVTKEYQNYKFRQPYHQAMYYCSLILQDQTWPWTEELDVLPHLEAEDVVKFVPMLLSRTFIECYIAGNVENNEAESMVKHIEDVIFNDPKPICRPLFPSQHLTNRVVKLGEGLKYFYHQDGSNPSDENSALVHYIQISRDDFAMNIKLQLFGLVAKQATFHQLRTSNVTALIDMKLKKHKNLKEESRFYWREIQSGTLKFNRKEAEVAALKQLQKQELIDFFDEYIKVGAARKKSLSIRVYGSKHLKEMTSDKDEVPSPSVETEDIVGFRKSKPLHGSFRGCGQPKM >fgenesh2_kg.4__2307__AT2G41460.1 pep chromosome:v.1.0:4:20354422:20356866:-1 gene:fgenesh2_kg.4__2307__AT2G41460.1 transcript:fgenesh2_kg.4__2307__AT2G41460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LH98] FLVVPIRSLSVGSSIVGVGVGTRSVNKRLMSNATVKSIPFSINNSKGKEVLEIVGAATDQNCHQMGSDSGRAEMGTLQDDRKDIEAMTVQELRATLRQETWATCERTQTRTYLNFTTSYGQQFTWYVTAHQEHTLFYFIKFIQKEEASSIISSEFLKTKEIIVSPSQTSEPWAVLAHTKPQKDWKAYNPKTMRPPYQRITKCVKVNGLRALLKLESFSALQLAQRKNIDILCLQETKIQVKDVEEIKKTLIDGYDHSFWSCSVSKLGYSGTAIISRLDIALGLIVTAEFDSFYLINTYVPNSGDGLKRLSYRIEEWDRTLSNHIKELEKSKPVVLTGDLNCAHEEIDVFNPAGNKRSAGFTIEERQSFGANFLAKGFVDTFRKQHLGVVGYTYWGYRHPWWPQNQQRFICLVSKLVKWSIIASIGLILKL >fgenesh2_kg.4__2309__AT2G41700.1 pep chromosome:v.1.0:4:20387440:20400776:-1 gene:fgenesh2_kg.4__2309__AT2G41700.1 transcript:fgenesh2_kg.4__2309__AT2G41700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, coupled to transmembrane movement of substances [Source:UniProtKB/TrEMBL;Acc:D7LHA5] MGSSMRQLKAMLRKNWLLKTRHPFVTSAEILLPTLVMLLLIAVRTRVDTTIHPARSNIEKDTIVEVGKGNSPSFPQVLKLLLAEGEFLAFAPDTDETNNMIDILSLKFPELRLVTKIFKDDIELETYITSAHYGLCTEVRNCSNPKIKGAVVFHEQGPHLFDYSIRLNHTWAFAGFPNVKSIMDTNGPYINDLEMGINTIPTMQYSFSGFLTLQQVVDSFIIFASQQNIDLPLSHSNLGSALSFELPWTLFSPSVIRMVPFPTREYTDDEFQSIVKSVMGLLYLLGFLFPISRLISYSVFEKEQKIREGLYMMGLKDEIFHLSWFITYALQAAFSVVNNIDFSWKKQTLYFFRYIVFALCSGIITACTMGSLFKYSDKTLVFTYFFLFGLSAIMLSFMISTFFTRAKTAVAVGTLTFLGAFFPYYTVNDESVSMVLKVVASLLSPTAFALGSINFADYERAHVGLRWSNIWRASSGVSFFVCLLMMLLDSILYCALGLYLDKVLPRENGVRYPWNFIFSKCFGRKKKNFQNRIPGLETDMFPEDVELNQGEPFDPVIESISLEMRQQELDGRCIQVRNLHKVYASRRGNCCAVNSLRLTLYENQILSLLGHNGAGKSTTISMLVGLLPPTSGDALILGNSIITNMDEIRKELGVCPQHDILFPELTVREHLEMFAVLKGVEEDSLKSTVVDMAEEVGLSDKISTLVRALSGGMKRKLSLGIALIGNSKIIILDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEAEELGDRIGIMANGSLKCCGSSSIFLKHHYGVGYTLTLVKTSPAVSVAARIVHRHIPSATCVSEVGNEISFKLPLASLPCFENMFREIESYMKNSVDRSKISEIEDSDYPGIQSYGISVTTLEEVFLRVAGCNLDIEDKQEEIFVSPDTKASLVCIGSNQKSIMQPKLLESCNEGARVIITSVAKACRLIVVAVWTLIGFISMQCCGCSIISRTMFWRHCKALFIKRARSASRDRKTVAFQFIIPAVFLLFGLLFLQLKPHPDQKSITLTTAYFNPLLSGNGGGGPVPFDLSEPIAKEVSQYIEGGWIQHLRNTSYKFPNPKEALADAIDAAGPTLGPTLLSMSEFLMSSFDQSYQSRYGAILMDGQHPDGSLGYTVLHNGTCQHAGPIYINVMHAAILRLATGNKNMTIQTRNHPLPPTKTQRLQRHDLDAFSAAIIVNIAFSFIPASFAVPIVKEREVKAKHQQLISGVSVLSYWLSTYVWDFISFLFPSTFAIILFYAFGLEQFIGIGRFLPTVLMLLEYGLAIASSTYCLTFFFTEHSMAQATSSYSNVILMVHFFSGLILMVISFVMGLIPATASANSYLKNFFRLSPGFCFSDGLASLALLRQGMKDKSSHGVFEWNVTGASICYLGLESIFYFLVTLGLELMPFQKVMSFSIGEWWQNFKAFKQGAGSSSTEPLLKDSPGAISADMEDDIDVQEERDRVISGLTDNTMFYLQNLRKVYPGDKHHGPKVAVQSLTFSVQAGECFGFLGTNGAGKTTTLSMLSGEETPTSGTAFIFGKDIVASPKAIRQHIGYCPQFDALFEYLTVKEHLELYARIKGVVDYRIDNVVTEKLVEFDLLKHSHKPSFTLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPVAKRFMWDVISRLSTRSGKTAVILTTHSMNEAQALCTRIGIMVGGRLRCIGSPQHLKTRYGNHLELEVKPNEVSSEELENFCQIIQQWLFNVPTQPRSLLGDLEVCIGVSDSITPDTASASEISLSPEMVQSIAKFLGNEQRVSTLVPPVPEEDVRFEDQLSEQLFRDGGIPLPIFAEWWLTKEKFSALESFIQSSFPGATFKSCNGLSIKYQLPFGEGGLSLADAFGHLERNRNRLGIAEYSISQSTLETIFNHFAANS >fgenesh2_kg.4__2310__AT2G41705.1 pep chromosome:v.1.0:4:20402327:20405007:1 gene:fgenesh2_kg.4__2310__AT2G41705.1 transcript:fgenesh2_kg.4__2310__AT2G41705.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Camphor resistance CrcB family protein [Source:UniProtKB/TrEMBL;Acc:D7LHA6] MDSGQSRVEQPYLTKSFSRESSVASSLSLSRSLPHLMDNDVDSESVSEAGDIGDRSLRRRHSAGRSTRLSADDLMEQGTHDTSRQEQDVLHDLRAFNTASVNKPLPEDITASPLPTKSLLSPEINNPEKEEERVLPKSLEYISCLIHLAVFGIFGAITRYLLQKLFGPTGARVTSDGSILYLDLPSNMVGSFLMGWFGVVFKADIARVSEFVAIGLSTGYLGSLTTFSGWNQKMLDLSADGQWVYAVLGFLLGLFLTSYSIILGVETAKGFKWLLHRRASSEEKHSCLKVNTFQSHIVSMTLMLLLLVALLTASSILLVKEFDKGTSEAQLWLGCLVAAPGVWLRWFLARLNGRGLGKDRQNLRWVPFGTLIANVAAACVMAALATVKKSVNTRTCNTVASSIQFGLLGCLSTVSTFMAEFNAMRESDYPWRAYAYASFTIAVSFAIGTVIYSVPVWVVGFN >fgenesh2_kg.4__2311__AT2G41710.2 pep chromosome:v.1.0:4:20404962:20407942:-1 gene:fgenesh2_kg.4__2311__AT2G41710.2 transcript:fgenesh2_kg.4__2311__AT2G41710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSSDQGPKTEAGCSGGGGGGGGESSETVAASDQMLLYRGFKKAKKERGCTAKERISKMPPCTAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYIGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNLSREEYLASLRRYPFGRKSSGFSRGIAKYRGLQSRWDASASRMPGPEYFSNIHYGAGDDRGTEGDFLGSFCLERKIDLTGYIKWWGVNKTRQPESSSKASEDANVEDAGTELKTLEHTSQATEPYKAPNLGVLRGTQRKEKEISSPSSSSALSILSQSPAFKSLEEKVLKIQESCNNDNDENANRNIINMEKNHGKAIEKPVVSHGVALGGAAVLSLQKSMYPLTSLLTAPLLTNFNTLDPLADPILWTPFLPSGSSHTSEVTKTETSCSTYSYIPQEK >fgenesh2_kg.4__2315__AT5G24640.1 pep chromosome:v.1.0:4:20412558:20413004:1 gene:fgenesh2_kg.4__2315__AT5G24640.1 transcript:fgenesh2_kg.4__2315__AT5G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEGRHQGIVRTGMIHPRGFNPRTTNRLDSPPAFGDFTKAPSKTTNHSNITKESERSKYSNCHVLPAIKSGHKSNGRRKLQPTSWWSEDKLDRLIGSDSSSAKDILDTLCGEDDDEH >fgenesh2_kg.4__2317__AT2G41740.1 pep chromosome:v.1.0:4:20414101:20422918:-1 gene:fgenesh2_kg.4__2317__AT2G41740.1 transcript:fgenesh2_kg.4__2317__AT2G41740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKVLDPAFQGAGQKPGTEIWRIENFEVVPVPKSEHGKFYMGDTYIVLQTTQNKGGAYLFDIHFWIGKDTSQDEAGTAAVKTVELDAVLGGRAIQHRELQGHESDKFLSYFKPCIIPLEGGVASGFKTPEEEVFETRLYTCKGKRAIRLKQVPFARSSLNHDDVFILDTEEKIYQFNGANSNIQERAKALEVVQYLKDKYHEGTCDVAIVDDGKLDTESDSGAFWVLFGGFAPIGRKVANDDDIIPESTPPKLYCTTDGKIEPIDGDLSKSMLENTKCYLLDCGAEVFIWVGRVTQVDERKAASNSAEEFLASENRPIATRVTRVIQGYESHSFKSNFDSWPSGSATPGNEEGRGKVAALLKQQGVGLKGIAKSAPVNEDIPPLLEGGGKLEVWYVNGKAKTLLPKEDIGKLYSGDCYLVLYTYHSGERKDEYFLCCWFGKKSIQEDQDTAIRLANTMSNSLKGRPVQGRIYEGKEPPQFVALFQPMVVLKGGLSSGYKNNVGEGSTDETYTPESIALIQVSGTGVHNYKAVQVELVATSLNSYECFLLQSGTSMFLWHGNQSTHEQLELATKVAEFLKPGSTLKHAKEGTESSTFWFALGGKQNFTSKKASSETIRDPHLFSFSFNREVENVLYSNCIIFLLNVSAWIPAGKFQVRYWRNYTTFFNREVEEIYNFAQDDLLTEDIYLLDTHAEVFVWVGQCVEPKEKQTVFEIGQKYIDLAGSLEGLHPKVPLYKINEGNEPCFFTTYFSWDATKAIVQGNSFQKKAALLLGTHHVVEDKSNGGNQGLRQRAEALAALNSAFNSSSNRPAYSSQDRSNESHDGPRQRAEALAALSSAFNSSSSSTKSPPPPRPVGTSQASQRAAAVAALSQVLVAENKKSPDTSPTRRSTSSNPADDTPLTEAKDEEEASEVAGHEAKEEEEVSPATDETEAKEETEEQGDSEIEPSGATFTYEQLRAKSENPVTGIDFKRREAYLSEEEFQSVFGMEKEAFNNLPRWKQDLLKKKLDLF >fgenesh2_kg.4__2319__AT2G41770.1 pep chromosome:v.1.0:4:20428300:20431211:-1 gene:fgenesh2_kg.4__2319__AT2G41770.1 transcript:fgenesh2_kg.4__2319__AT2G41770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDRAAPSPAKPPKSQIRELPTHQQIRRRFSEPKNLDFSTWFSENLSRIAVFSLLIVTIVALFFLYNTTDTASLLCFQSQSTQSLQSLSRPQIKWNSIRVVPDKTSPYANFLTEKWIVVSVTKYPTEELKSLVKIRGWQVLAIGNSVTPKDWSLKGSIFLSLDAQAELGYRVLDHLPYDSFVRKSVGYLFAIQHGAKKIYDADDRGEVIDGDLGKHFDVELVGVDSKQEPILQYSHENPNRTVVNPYIHFGQRSVWPRGLPLENVGEINHEEYYTEVFGGKQFIQQGISNGLPDVDSVFYFTRKTTLEAFDIRFDEHSPKVALPQGVMVPVNSFNTLYHSSAFWGLMLPVSVSCMASDVLRGYWGQRLLWELGGYVAVYPPTAHRFDRIEAYPFVEEKDLHVNVGRLIKFLLAWRSEKHSFFETILDLSFAMAEEGFWTEQDLKFTAAWLQDLIAVGYQQPRLMSLELDRPRANIGHGDRKEFVPRKLPSVHLGVEETGTVSTEIGNLIRWRKNFGNVVLVMFCSGPVERTALEWRLLYGRIFKTVVILSSQKNSDLYIKEAKLDHIYKHLPKIFDRYSSAEGFLFVEDDTVLNYWNLLQADKSKIWTTDKVSKSWTSVKPTGNSDWFSVQAELVKKTVYTMPAHFQVNYKDATKNNHETLTVCSSEVFYVPKRLVTDFIDLVDLVGDMDLHYKVAVPMFFLSMDTPQNFDPVLGSMVYKRKSASFNTSSSLYSAKAPAVHPWSISSEQDFIKLVQQMAEGDPLLMELV >fgenesh2_kg.4__2320__AT2G41790.1 pep chromosome:v.1.0:4:20434564:20441477:-1 gene:fgenesh2_kg.4__2320__AT2G41790.1 transcript:fgenesh2_kg.4__2320__AT2G41790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M16 family protein [Source:UniProtKB/TrEMBL;Acc:D7LHY1] MAVEKSNTTVGGVEILKPRTDNREYRMIVLKNLLQVLLISDPDTDKCAASMSVSVGSFSDPQGLEGLAHFLEHMLFYASEKYPEEDSYSKYITEHGGSTNAYTASEETNYHFDVNADCFEEALDRFAQFFIKPLMSADATMREIKAVDSENQKNLLSDGWRIRQLQKHLSKEDHPYHKFSTGNMDTLHVRPQAKGVDTKSELIKFYEEHYSANIMHLVVYGKESLDKIQDLVEGMFQEIQNTNKVVPRFPGQPCTPDHLQILVKAIPIKQGHKLGVSWPVTPSIHHYEEAPSQYLGHLIGHEGEGSLFHALKTLGWATGLSAGEGEWTLDYSFFKVSIDLTDAGHEHMQEILGLLFNYIQLLQQTGVCQWIFDELSAICETKFHYQDKIPAMSYIVDIASNMQIYPTKDWLVGSSLPTKFNPAIVQKVVDELSPSNVRIFWESQKFEGQTDKAEPWYNTAYSLEKITSSTIQEWVQSAPDVHLHLPAPNVFIPTDLSLKDANDKETVPVLLRKTPFSRLWYKPDTMFSKPKAYVKMDFNCPLAVSSPDAAVLTDIFTRLLMDCLNEYAYYAQVAGLYYGVSLSDNGFELTLLGYNHKLRILLETVVGKIANFEVKPDRFAVIKETVTKEYQNYKFRQPYHQAMYYCSLILQDQTWPWTEELDVLSHLEAEDVAKFVPMLLSRTFIECYIAGNVENNEAESMVKHIEDVLFNDPKPICRPLFPSQHLTNRVVKLGEGMKYFYHQDGSNPSDENSALVHYIQVHRDDFAMNIKLQLFGLVAKQATFHQLRTVEQLGYITALAQRNDSGIYGVQFIIQSSVKGPGHIDSRVESLLKNFEGKLYEMSDVDFKSNVTALIDMKLEKHKNLKEESRFYWREIQSGTLKFNRKEAEVAALKQLQKQELIDFFDEYIKVGAARKKSLSIRVYGSQHLKEMASDKDEVPSPSVEIEDIVGFRKSQPLHGSFRGCGQPKL >fgenesh2_kg.4__2321__AT2G41800.1 pep chromosome:v.1.0:4:20444767:20446200:-1 gene:fgenesh2_kg.4__2321__AT2G41800.1 transcript:fgenesh2_kg.4__2321__AT2G41800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYHSLSLFLLFSLCHGSYSLQPRRVPHLDGLLPNGNFEQTPLKSNMKGRQIIGANSLPHWQIGGHMELVSGGPQPGGFYFPVPRGVHAIRIGSLGTISQDVRVKSGLVYSLTFGATRTCPQDENIKVSVPGQANELPIQTVFSSDGGDTYAWAFKAMSDVVKVTFHNPGVQEDRTCGPLLDVVAIKEILPLRYTRGNLVKNGGFEIGPHVFANFSTGILIPARIQDFISPLPGWIVESLKPVKYIDRRHFKVPYGQGAVELVAGRESAIAQIIRTIAGNAYILSFAVGDAQNGCHGSMMVEAFAGREPFKLPYMSEGKGAFKTGHFRFVADSNRTRLTFYSAFYHTKLHDFGHLCGPVLDSVVVTPAR >fgenesh2_kg.4__2322__AT2G41810.1 pep chromosome:v.1.0:4:20447699:20449639:-1 gene:fgenesh2_kg.4__2322__AT2G41810.1 transcript:fgenesh2_kg.4__2322__AT2G41810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLSLCLAFLCSFVHGSHSLPAQRTLHLDGLLPNGDFEKIPNKSNMRKRQIIGKYSLPHWEISGHVELVSGGPQPGGFYFAVPRGVHAARLGNLASISQYVKVKRGLVYSLTFGVTRTCAQDENIRISVPGQTNELPIQTLFSTNGGDTYAWAFKATSDLVKVTFHNPGVQEDPTCGPIVDAVAIKEILPLRYTKGNLVKNGGFETGPHVFSNFSTGILIPAKIQDLLSPLPGWIVESLKPVKYIDNRHFKVPSGLAAIELVSGRESAIAQIIRTVSGRNYILSFAVGDAHNGCHGSMMVEAFVGKSAFKVAFESNDKGAFKAGRFAFRADSNRTRITFYSGFYHTKLHDFGHFCGPVLDNVRVFLAH >fgenesh2_kg.4__2323__AT2G41820.1 pep chromosome:v.1.0:4:20457228:20460616:1 gene:fgenesh2_kg.4__2323__AT2G41820.1 transcript:fgenesh2_kg.4__2323__AT2G41820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWCMSILLILVAFLSKSEFCEAQLSDEATLVAINRELGVPGWSSNGTDYCTWVGLKCGLNNSFVEMLDLSGLQLRGNVTLISDLRSLKHLDLSSNNFNGPIPASFGNLSELEFLDLSLNRFVGAIPVEFGKLRGLKAFNISNNLLVGEIPDELKVLERLEEFQVSGNGLNGSIPHWVGNLSNLRVFTAYENDLVGEIPNGLGSVSELELLNLHSNQLEGKIPKGVFEKGKLKVLVLTQNRLTGELPEAVGICSGLSSIRIGNNELVGVIPKTIGNISGLTYFEADNNNLSGEIVAEFSNCSNLTLLNLAANGFAGTIPTELGQLINLQELILSGNSLFGEIPKSFLGSGNLNKLDLSNNRLNGTIPKELCIMPRLQYLLLDQNSIRGDIPHEIGNCVKLLQLQLGRNYLTGTIPPEIGRMRNLQIALNLSFNHLHGSLPPELGKLDKLVSLDVSNNLLTGSIPQLLKGMMSLIEVNFSNNLLNGPVPVFVPFQKSPNSSFSGNKELCGAPLSSSCGNSEDLEHLRYNHRVSYRIVLAVIGSGVAVFVSVTVVVLLFMMREKQEKAAAKNVDVEENVEDEQPAIIAGNVFLENLKQGIDLDAVVKATMKESNKLSTGTFSSVYKAVMPSGMIVSVKKLKSMDRAITHHQNKMIRELERLSKLCHDHLVRPIGFVIYEDVALLLHQHLPNGNLTQLIHESTKKPEYQPDWPMRLSIAVGVAEGLAFLHQVAIIHLDVSSSNVLIDSGYKAVLGEIEISKLLDPSRGTASISSVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTSRAPVEEEFGEGVDLVKWVHGASARGETPEQILDAKLSTVSFAWRREMLAALKVALLCTDITPAKRPKMKKVVEMLQEVKQIK >fgenesh2_kg.4__2324__AT2G41830.1 pep chromosome:v.1.0:4:20460658:20467583:-1 gene:fgenesh2_kg.4__2324__AT2G41830.1 transcript:fgenesh2_kg.4__2324__AT2G41830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSGVISRQVLPVCGSLCILCPALRARSRQPVKRYKKLIADIFPRNQEEGINDRKIGKLCEYAAKNAVRMPKISDSLEQKCYKELRNENFHSAKIAMCIYRRLLVTCKEQIPLFSSGFLRTVQALLDQTRQDEMQIVGCQSLFEFVINQKDGSSLFNLEGFLPKLCQLGLEGGDDDRSRSLRAAGLQALSAMIWLMGEYSHIPSDFDNVVSAVLENYGHPKILTNANDSGRKWVDEVLKNEGHVAYADSLINVPSWRTVVNDKGELNVKMEDSLDPSFWSKVCLHNMAKLGEEATTMRRILESLFRYFDEGCLWSTENSIAFPVLRDLQFLMEISGQRTHFLLSMLIKHLDHKSVLKHPSMQLNILEVTSSLSENAKVEHSAAIVSAISDLMRHLRKCMHSSLDEANIGTDAANCIRMVSVAVDKCLVQLTKKVGDAGPILDAMALMLENISAVTDVARTTIAAVFRTAQIIASIPNLQYQNKAFPEALFHQLLQAMVHPDHNTRIGAHRIFSVVLVPTSVCPRPSSTTTDLKKGMGLPRSLSRTASVFSSSAALFEKLKKDKFSSMLTSDQSQNGMPEEECGSTTGEILDRLKSSYSQAYSTWNQPVTSVADNSVDLLNSELDAVHIRLSSHQIGLLLSSIWAQSISPANTPDNYEAIANTYSLVLLFSRVKNSSHDALIRSFQMALSLRDISLMEGGPLPPSRRRSLFTLAASMVLFSSKAFNLFSLADFTKVTLQGPRLDPFLNLVDDHKLKAINSDQLKGSYGCEKDDASALDTLSNIALSTEHSRGNLVYEIVKSLESMCNSEMDKMREQLLTEFMPDDACPLGTRFLEDTQKTYQVDSGDVKSQKVDAEDQEFGDGTETVAKNHPVTFSEIPDLLTVNQILESVVETTGQVGRISFHTAADASYKEMTLHCENLLMGKQQKISSLLNSQLRHESSVNCSPRQHDEEIKIASFHPMLNPTFHTEVEVPLLSNSFDMKSPRTPVGTIQSPCFAELQNNPQAFRLPASSPYDNFLKAAGC >fgenesh2_kg.4__2326__AT2G41840.1 pep chromosome:v.1.0:4:20470274:20472001:-1 gene:fgenesh2_kg.4__2326__AT2G41840.1 transcript:fgenesh2_kg.4__2326__AT2G41840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S2 [Source:UniProtKB/TrEMBL;Acc:D7LHY8] MAERGGERGVERGGERGGHGRGFGGGRGDRGGRGRGGRGRRGGRTSEEEKWVPVTKLGRLVADGRIKQIEQIYLHSLPVKEYQIIDMLIGPTLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVVPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLQKTYGFLTPEFWKETRFSKSPYQEYTDFLASKALPSSKTETLVEDQA >fgenesh2_kg.4__2327__AT2G41850.1 pep chromosome:v.1.0:4:20472159:20474599:-1 gene:fgenesh2_kg.4__2327__AT2G41850.1 transcript:fgenesh2_kg.4__2327__AT2G41850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSKLVTVFLLWALLMFSWCKGSRISPNGYDHSYKRFKSDSLINRKQDITGLRSFVRASLRTPTTVSVSDYGAKGDGKTDDTQAFVNAWKKACSSNGAVNLLVPKGNTYFLKSIQLTGPCSSIITVQMFGTLSASQKRTDYKDISKWIMFDGVNSLSVDGGGTGVVDGNGETWWQNSCKRNKAKPCTKAPTALTFYNSKSLIVENLRVRNAQQIQISIEKSSNVQVSNVVVTAPADSPNTDGIHITNTQNIRVSDSIIGTGDDCISIESGSQNVQINDITCGPGHGISIGSLGDDNSKAFVSGVTVDGAKLSGTDNGVRIKTYQGGSGTASNIIFQNIQMENVKNPIIIDQDYCDKSKCTSQQSAVQVKNVVYRNISGTSASDKAITFNCSKNYPCLGIVLDKVNIKGGKATCTNANVVDKGAVFPQCNST >fgenesh2_kg.4__2329__AT2G41860.2 pep chromosome:v.1.0:4:20477917:20480132:-1 gene:fgenesh2_kg.4__2329__AT2G41860.2 transcript:fgenesh2_kg.4__2329__AT2G41860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 14 [Source:UniProtKB/TrEMBL;Acc:D7LHZ0] MGNCCGTAGSLIQNDKQKKGFKLPNPFSNDYGNHHDGLKLIVLKEPTGHEIKQKYKLGRELGRGEFGVTYLCTEIETGEIFACKSILKKKLKTSIDIEDVKREVEIMRQMPEHPNIVTLKETYEDDKAVHLVMELCEGGELFDRIVARGHYTERAAASVIKTIIEVVQMCHKHGVMHRDLKPENFLFANKKETASLKAIDFGLSIFFKPGERFNEIVGSPYYMAPEVLRRSYGQEIDIWSAGVILYILLCGVPPFWAETEHGVAKAILRSVIDFRRDPWPKVSGNAKDLIKKMLHPDPRRRLTAQQVLDHPWIQNGKNASNVSLGETVRARLKQFSVMNKLKKRALRVIAEHLSVEETSCIKERFQVMDTSNRGKITINELGIGLQKLGIVVPQDDIQILMDAGDVDKDGYLDVNEFVAISVHIRKLGNDEHLKEAFTFFDKNKSGYIEIQELRDALADDIDTTSEEVVEAIILDVDTNKDGKISYEEFTTMMKTGTDWRKASRQYSRDRFKSLSLKLMQDGSLQSNGDTK >fgenesh2_kg.4__232__AT2G23010.2 pep chromosome:v.1.0:4:1414681:1455890:1 gene:fgenesh2_kg.4__232__AT2G23010.2 transcript:fgenesh2_kg.4__232__AT2G23010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LEM2] LQFLLLLLLVLSHVDSGSTSIVKFLPGFEGPLPFELETGYIGIGEDENVQFFYYFIKSEKNLEEDPLLIWLNGGPGCSCLSGLFFENGPLALKNEVYNGTVPSLVSTTYSWTKTANIIYLDQPVGSGFSYSRIPIEKTSDTSEVKRIHEFLQKWLSKHPQFFSNPFYVVGDSYSGMIVPALVQEISNGNYICCNPPINLQGYVLGNPITHIEFEQNFRIPYAHGMSLISDELYKSMKRICKGNYFNVDPRNTECLKLVEEYHMCTDKINSHHTLIADCDDSNTIHISPDCYYYPYHLVECWANTDSVRKALHVINASIGEWIRDNRGIPYNRDIMSSVPYHMNNSINGYRSLIFSGDHDITMPFQATQAWIKSLNYSITDDWRPWMIKDQIAGYTRTFSNKMTFATGGGHTAEYLPNESSIMFQRWLSGQPL >fgenesh2_kg.4__2330__AT2G41870.1 pep chromosome:v.1.0:4:20482548:20484562:-1 gene:fgenesh2_kg.4__2330__AT2G41870.1 transcript:fgenesh2_kg.4__2330__AT2G41870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein [Source:UniProtKB/TrEMBL;Acc:D7LHZ1] MLTLYHHERSPDATSNHQDDETTETVVRDIHALTPAPEDNSRTMTATTLPPPPAFRGYFSPPRSTTTMSEGASSGENFTTISREFNALVIAGSSMENNEPTARDVTQREDERQHDLLRIHEERDQEDETNPLAIVPDQYPGSGLDHGSEIGPGQGRVGMTVQIVKREEVEAKITAWQTAKLAKINNRFKREDTVINGWVNEQVHKANSWMKKIERKLEERKAKAMEKTQNNVAKAQRKAEERRATAEAKRGTEVAKVVEVANLMRAVGRPPAKRSFFSFS >fgenesh2_kg.4__2334__AT2G41905.1 pep chromosome:v.1.0:4:20514185:20514611:1 gene:fgenesh2_kg.4__2334__AT2G41905.1 transcript:fgenesh2_kg.4__2334__AT2G41905.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LHZ7] MEMKKIACGVVFAAASMTAVMAADVGAPAPGPAASGASIAVPALGSLVGASLVSLFAYYLN >fgenesh2_kg.4__2336__AT2G41920.1 pep chromosome:v.1.0:4:20518588:20519617:1 gene:fgenesh2_kg.4__2336__AT2G41920.1 transcript:fgenesh2_kg.4__2336__AT2G41920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LHZ9] MVALDLEYERYLGKGSFGSVSLFKYKGRRDGETLYAAVKTSDDKNAKSLHKEFQILSEFKGCSRIVQCYGNGVKERFNNKGYVEYKIAMEYAAGGSLSNFMDRFKDRKLPDPMIRDFTRMLLEGLATIHKHGYVHCDLKPENILVFPSSVYKNGAWRSSYELKFSDFGLSKRDGDTKWWHPRQPFAGTPIYMSPESISHGEIGKGLDLWSLGCVVLEMYTGKRPWWHNNYDLEDLMKCYEPLFPRDLSCDAKLFLMTCFAVEPDERKDALTLLRQSFLRGDDNKFKKLQMNVKIENPDDFTLQLEKCRQMLSEIRSMC >fgenesh2_kg.4__2339__AT2G41930.1 pep chromosome:v.1.0:4:20520050:20521104:1 gene:fgenesh2_kg.4__2339__AT2G41930.1 transcript:fgenesh2_kg.4__2339__AT2G41930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEMELVKVLGKGTYGSVELFSHKQNDGSLLYNAVKIMDSENYGSIDQEFRILSELRGCPCIVQLCGNSLVQGTDCNGRKVYKMSMEYAAAGTLTNFIQRNRTKLSDSVIKDFTRMILKGLVSIHSHGYVHCDLKPDNILLFPIYDKETWNCSYELKISDFGISTRAGDKSDCWRIDEPWVGTSIYMSPESVRDGTTVEKTLDLWSLGCIVLEMYTGKRPWLGFDKDVQSLLLDNKAPEIPETVPCDARLFLEKCFARKPEERGTASELLLHPFFDGDGVRLRNPPPILKDIPTKPLKLKVISQKPQQFKKVSNKPLKVKIVPPRPPRSDFVPVQ >fgenesh2_kg.4__2345__AT2G41970.1 pep chromosome:v.1.0:4:20538667:20541300:-1 gene:fgenesh2_kg.4__2345__AT2G41970.1 transcript:fgenesh2_kg.4__2345__AT2G41970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCGGADEEPAGPPANQYAAPPNKAGNPNFGGGNRGEPRNPNAPRSGAPAKVLPIEIPSVALDELNRMAGNFGNKALIGEGSYGRVFCGKFKGEAVAIKKLDASSSEEPDSDFTSQLSVVSRLKHDHFVELLGYCLEANNRILIYQFATKGSLHDVLHGRKGVQGAEPGPVLNWNQRVKIAYGAAKGLEFLHEKVQPPIVHRDVRSSNVLLFDDFVAKMADFNLTNASSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCIDPKLNNDFPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNSKPTGPESTS >fgenesh2_kg.4__2347__AT2G42005.1 pep chromosome:v.1.0:4:20550038:20551340:-1 gene:fgenesh2_kg.4__2347__AT2G42005.1 transcript:fgenesh2_kg.4__2347__AT2G42005.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7LI10] MGLEEQGRAREDTPLLGKGRPLSSKFKTFANVFIAIVGAGVLGLPYAFKRTGWLMGLLTLFSVAALINHCMMLLVHIRRKLGVSNIGSFGDLGFAVCGHVGRFVVDILIILSQAGFCVGYLIFIGTTLANLFNPTTTTTLMSLRHFMGVSPKSLYIWGCFPFQLGLNSIKTLTHLAPLSIFADVVDLGAMAVVIVEDIKITVVQRPQVVAFGGMSVFFYGMGVAVYAFEGVGMVLPLESETKDKDKFGKVLALSMLFIAVMYGSFGVLGYMAFGDETMDIITANLGAGVVSSLVQLGLCINLFFTFPLMMNPVFEIVERRFWSGMYCVWLRWLLVLAVTLVALLVPNFADFLSLVGSSVCCALGFVLPSLFHLMVFKDEMGWKQRALDVGILLLGVILGVSGTWSSLSEIFQE >fgenesh2_kg.4__2349__AT2G42030.1 pep chromosome:v.1.0:4:20558417:20560400:-1 gene:fgenesh2_kg.4__2349__AT2G42030.1 transcript:fgenesh2_kg.4__2349__AT2G42030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LI12] MGEEISSTVNLDLNLGLGPELFLEPATNYARSDWGNGPARESESLRRFRSRHRSRFRRIDMLPVLAETHSPGMELSQLLITSANVVALPAGGERVSEDSKKYENGSKVMEEDNLAEEKRDVEKSVGSDGSFFDCYICLDLSKDPVVTNCGHLYCWSCLYHWLQVSEAKECPVCKGEVSVKTVTPIYGRGKQKRESEEVSNTKIPSRPQARRTESLRTTLNRSGYIPTEMIRHLQDRLERESSTGERHARPFLNRFMTSRGVRAEQNQSSEAFVAPSDEINDIDLILNTSPEHEEENENLRSSRALSIRRQWAQRPGRMSSFTLSSAERLVDAYLITHGLGRNQEQNNNPPVGVEDRDSFSSIVGVINSESQVDTAAEIDSMLTVSTSSSVRRHENSSRVSDVDSADSRPLRRR >fgenesh2_kg.4__234__AT2G23030.1 pep chromosome:v.1.0:4:1457456:1460263:-1 gene:fgenesh2_kg.4__234__AT2G23030.1 transcript:fgenesh2_kg.4__234__AT2G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEMVKDLGFGNFGLARLMRNKQTNELVAVKFIDRGYKIDENVAREIINHRALNHPNSVVLTPTHLGIVMEYAAGGELFDRISSAGRFSEAEARYFFQQLICGVHYLHAMKICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSNPKSTVGTPAYIAPEVFCRSEYDGKSVDVWSCGVALYVMLVGAYPFEDPKDPRNFRKTVQKIMAVQYKIPGYVHISEDCRKLLSRIFVANPLHRSTLKEIKSHAWFVKNLPRELKESAQAIYYQRNVNLINFSPQRVEEIMKIVGEARTIPNLSRPVESLGPGKIDDEEEEYLDANDEEWYDDYA >fgenesh2_kg.4__2350__AT2G42040.1 pep chromosome:v.1.0:4:20564627:20566735:1 gene:fgenesh2_kg.4__2350__AT2G42040.1 transcript:fgenesh2_kg.4__2350__AT2G42040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRQVPLPLASLLPVPLSDLYFNRSPTATARYFRGGYRDGGEGFGSLHLSLPPPSPISDRLIQRDLMKKKEVEALDDNGRDGDVEVMSRTDASGSKNANLRGESDSSTQVVEKNEKVASLRKRRGFINFEDYEDEEDEEASGGGGGNKGKKKGKKNGGALEEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRVRSMNKSGGGRGGEKKAVVVEVKKKRVKLGMVKARSISSLLGQTSSSGGSGDVEGEISAPADQFAACDK >fgenesh2_kg.4__2351__AT2G42060.1 pep chromosome:v.1.0:4:20569304:20570324:1 gene:fgenesh2_kg.4__2351__AT2G42060.1 transcript:fgenesh2_kg.4__2351__AT2G42060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKHFSHPHSLKLQQIQPHGSSDSLVICSGCESAISDSETAYICSTCDFNLHEQCGNAVRGMLHPSHAGLHHLTLVPYTTYSAGIFLCRACGCTGGKGFSYCCPLCDFDLHVQCAHLPQVLVHESHPLHSLLLVYNSAPAMPFTQFGYANQFVCNLCNMAMDGRFWSYNCYACNYHIHASCAVNKPKPVAPSAEKCGTSDEGKIPTPESLPVQGLETEQTEQVAATTEQVEDPALRQQLELQKLQLELDMSSAIANMIGSFNLSSFV >fgenesh2_kg.4__2352__AT2G42070.1 pep chromosome:v.1.0:4:20570363:20572440:-1 gene:fgenesh2_kg.4__2352__AT2G42070.1 transcript:fgenesh2_kg.4__2352__AT2G42070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAVQILGWSSGLTISQRLTKTRKSSSVSFISTSLNLSTFSSVTSISPRKIFSFNPTRMSSSLPGSDPVANSPTFVSVQSAGDVRKIKFCQWCGGPTKHEIPAGEEKLRAICTHCGKIAYQNPKMVVGCLIEHEEKVLLCKRNIQPSHGLWTLPAGYLEVGESAAQGAMRETWEEAGASVEVISPFAQLDIPLIGQTYVIFLAKLKNPHFAPGPESLECRLFALDEIPFDSLAFSSIYVTLNLYLEDLKKGKLKFHYGTINKRPGSSPSDIRAFSLDYHLQP >fgenesh2_kg.4__2353__AT2G42080.1 pep chromosome:v.1.0:4:20574582:20576814:-1 gene:fgenesh2_kg.4__2353__AT2G42080.1 transcript:fgenesh2_kg.4__2353__AT2G42080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LI16] MNAAIRAAILRPQSYSSQLKVALFHSTPVLERKRRTSWESKSNVHKKRFRRMREKQELLRNVNAFAANMFTSWHDEFDDDGPSSRKQTSWFKKQYSKEPKGNQNNKHGPYSWGKRNFDFCEVDEDFDVDYVFRTAFGGSRGFSFSFTHEEDEPRWRHHSSRFSNNSKRSWRSKYRLDEDDEEEDYTSDSSDSESEPNQVSHRQALGLSPSGPLNLKDVKHAYRTCALKWHPDRHQGSTKEAAEAKFKLCSVAYQSLCEKLSVN >fgenesh2_kg.4__2357__AT2G42110.1 pep chromosome:v.1.0:4:20587532:20588113:-1 gene:fgenesh2_kg.4__2357__AT2G42110.1 transcript:fgenesh2_kg.4__2357__AT2G42110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVVIETSIPTKITHVNKKSSDELLRKFADPDDVDESKSTKRRKKSAKNSSRENGVDIESNSISLVERKRLLLAPASKRRSLFLRQLASGKSHLRNKSLVRTIGKTWRKTMEGASRVFIEKHYNRHRRLINDVV >fgenesh2_kg.4__2359__AT2G42120.1 pep chromosome:v.1.0:4:20588537:20591323:-1 gene:fgenesh2_kg.4__2359__AT2G42120.1 transcript:fgenesh2_kg.4__2359__AT2G42120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSEKIHERKHSDYNSLQDERFEIQKEMYRGQQYSQIYFVRLHLMRTLLYSLAPTWKPHLPVCKVLGLEKGKECVIVGTLFKHMKLKPCVLDEYSKERSVTPLVKPHNFMHPDDNLILEDESGRVKLAGSALSPAIYVTGVVVALHGKETNAGEFFVEDVLEAGLPPQIERPIDLQDDKYVVLLSGLCIGSKSAIPLQFQLLVDHITGHLGDEEEQGLAAQIVHVVIAGNSVEFPRKLINGQNLASKDQSTLYEPIKELDIMLNQIAAGVSVDIMPGTNDPANFALPQQPLNRCLFPGSSPYNTFRSCTNPHSFDLDNIRFLGTSGQNIDDLDKYSEAKSKLDFVERTLRWRHLAPTAPNTLGCYPFTDRDPFLIETCPHVYFVGNQEKYDNRLLKGSEGQLVRLICIPKFCETGIAVAVNLRNLDCHTLSFSTQINQS >fgenesh2_kg.4__2362__AT2G42130.4 pep chromosome:v.1.0:4:20591539:20593521:1 gene:fgenesh2_kg.4__2362__AT2G42130.4 transcript:fgenesh2_kg.4__2362__AT2G42130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHGSIPGTSAVRLGFSTSASPSKVCLNVPVVKQGWRNSCRRRVLRAMVQETVLGSPSVYAREMERLSAKESLVLALKDAGGFEALVTGKTTNMQRIDVNERITSLERLNPTPRPTTSPCFEGRWNFEWFGSGSPGLLAARVIFERFPSTLANLSRMEILIKDANAKATANIKLLNSIESKIILSSKLTVEGPLRLKEEYVEGMLESPTVIEEAVPEQLKGALSQAATTLQQLPALIKDTLASGLRIPLSCSFERFFMISYLDEEILIVRDTEGVPEVLTRIETPSSTVVETLEYDS >fgenesh2_kg.4__2366__AT2G42180.1 pep chromosome:v.1.0:4:20602799:20603790:-1 gene:fgenesh2_kg.4__2366__AT2G42180.1 transcript:fgenesh2_kg.4__2366__AT2G42180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKRSSSSSHSMNLKTLFLNLITHSLYRLLRSLSRAKSVLIEISKHNKKRLFMMMFYTTKSSMNHHNIFFGSSHVVVPVTKPFPFSVHGHEDEDNLESQYLEWLEEKVDENNNINDDHQSVGDEDIDRLADIFIARCHEKFLLEKVESYRRFQDMLARSL >fgenesh2_kg.4__2371__AT2G42220.1 pep chromosome:v.1.0:4:20613922:20615314:1 gene:fgenesh2_kg.4__2371__AT2G42220.1 transcript:fgenesh2_kg.4__2371__AT2G42220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIISPSPTALYFTSNVGGRRLKAVSWAGKSVSGNTIRRRSLRIAAEVKFVNAEEAKQLIAEEGYSVVDVRDKTQFERAHIKSCSHIPLFIYNEDNDIGTIIKRTVHNNFSGLFFGLPFTKVNPDFLKSVKNEFSQDRKLLLVCQEGLRSAAAASRLEEAGYENIACVTSGLQSVKPGTFESVGSTELQNAGKAGLITIQGKISAVLGTVLVCAYLFIQFFPDQAEKLFPPTS >fgenesh2_kg.4__2372__AT2G42230.1 pep chromosome:v.1.0:4:20615248:20618468:-1 gene:fgenesh2_kg.4__2372__AT2G42230.1 transcript:fgenesh2_kg.4__2372__AT2G42230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEELIDQSPPPDPDPIQSPNLVIHPRRVPFEHGLLPIQKLVFTDPIQTLAPIKQKLAASATNNRVGSAAISDALSISNDHARLVLETLGSVLHCETDPLVLAKPEEVDSVGADLRDLLLFLFIQSYKKLLPRTHKDSASVADVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSPADEEAHQLSYLQKHIANIVALLAEPGEGEGDESLVLSMGSLEHIGFLVHYGDKGFDVPSLSQASPFFANSDSNMPAVPVPASQVNDWLLKDIASALESISDRISGKENGASNASDQDAAMANSFGALNKVSSNDRGPCIIEGVSKTSLFKQASDLKGRSVKVANCHDSVIYLLAPLRYATVYGCSDSTIVLGAAGKAVRVEHCERVHVIVATKRICIANCRECVFFLGVNQRPLIVGDNHKLQVAPYNTFYSHLEEHITEVGIQPTINKWNESLALGAVDPHDSLSHPTGASDKQSEPASCVDPDQFTTFLIPNWFEGEALGSTKDNPFPLPDAYKAVQQTNLKNLEETRQSLRETSLEENRKRELTTAFHMHFKDWLYGNQIKSTF >fgenesh2_kg.4__2378__AT2G42270.1 pep chromosome:v.1.0:4:20625447:20632430:1 gene:fgenesh2_kg.4__2378__AT2G42270.1 transcript:fgenesh2_kg.4__2378__AT2G42270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLGGGGAEEQARLKQYGYKVNSSLVLNSDERRRDTHESSGEPESLRGRIDPKSFGDRVVKGRPHELDERLKQSKKKEREDDMVSTQESKRPRLREVIVLTDTDDGVYQPKSKETRAAFETMLSLIQQQLGGQPLNIVCGAADEILAVLKNESVKNHEKKVEIEKLLNIIPDKVFNQFVTIGKLITDYQEEGGDSLSGQACEDEGLDYDVGVALEFEEDDEESDLDMVHDEKDEDDEDDVEPNKTRGVQVGMTINGEDARHAKEDMSLNVQDIDAYWLQRKISQEYEQKIDAQECQELAEELLKILAEGSDRDAEIKLLEHLQFEKFSLVKFLLQNRLKVVWCTRLARARDQEERNQIEEEMTGSGSELAAIVKELHAKRATAKEREEKREKDIKDEARHLMDDDSDGDRERGLRDVDDIDLENGWLKGQRQVLDLESLAFYQGGFTRENNKCELPDRSFRICGKEFDEVHVPWVSKKFDSNEKLVKISDLPEWAQPAFGGMQQLNRVQSKVYSTALFKAENILLCAPTGAGKTNVAVLTILHQLGLNMNPDGTFNHGNYKIVYVAPMKALVAEVVDSLSQRLKDYGVTVKELSGDQSLTGQEIKETQIIVTTPEKWDIITRKSGDRTYTQLVRLLIIDEIHLLHDNRGPVLESIVARTLRQIETTKEHIRLVGLSATLPNCDDVALFLRVDLKNGLFKFDRSYRPVPLSQQYIGVNVKKPLRRFQLMNDICYQKVVAVAGKHQVLIFVHSRKETAKTARAIRDTAMANNTLSRFLKEDSQSREILQSLTELLKNSDLKELLPYGFAIHHAGLTRTDREIVENQFRLGNLQVLISTATLAWGVNLPAHTVIIKGTQVYNPERGAWMELSPLDVMQMIGRAGRPQYDQQGEGIIITGYSELQYYLRLMNEQLPIESQFISKLADQLNAEIVLGTIQNAREACRWLGYTYLYVCMVRNPTLYGVPPDALAKDLLLEERRADLIHSAATILDKNNLIKYDRKSGHFQVTDLGRIASYYYITHGTIAAYNENLKPTMNDIELCRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPVKETLEDPSAKINVLLQVYISKLKLEGLSLTSDMVYITQSAGRLLRAIFEIVLKRGWAQLSEKALNLSKMVGKRMWSVQTPLWQFPGIPKEILMKVEKNNLVWERYYDLSSQELGELIRNPKMGRPLHKHIHQFPKLNLAAHVLPISRSVLQVKLTVTPDFHWDDKAHKYVEPFWIIVEDNDGEKILHHEYFLLKKHYIDEDHTLNFTVPISEPIPPQYFIRVVSDKWLDSPTVLPVSFRHLILPEKYPPPTELLDLQPLPVMALRNPSYETLYQDFKHFNPVQTQVFSVLYNTSDNVLVAAPTGSGKTICAEFAILRNHLEGPDSTMRVVYVAPLEAIAKEQFRDWEKKFGKGLGLRVVELTGETALDLKLLEKGQIIISTPEKWDALSRRWKQRKYIQQVSLFIVDELHLIGGQGGPVLEVIVSRMRYISSQVGNKIRIVALSTSLANAKDLGEWIGASSCGFFNFPPNVRPVPLEIHIHGVDILSFEARMQAMTKPTYTAIVQHARNKKPAIVFVPTRKHVHLTDVDLIAYSHMDNMQNPHFLLGNLEELEPFVKQICEETLKETLRHGVGYLHEGLSNLDQEIVTQLFEAGRIQVCVMSSSLCWGTPLKAHLVVVMGTQFYDGRENSHLDYPISDLLQMMGRGSRPLLDNAGKCVIFCHAPRKEYYKKFLYEAFPVESHLQHFLHDNFNSEVVARVIENKQDAVDYLTWSFMYRRLPQNPNYYNLLGVSHRHLSDHLSELVENTLSDLEVSKCIEIENELDLSPLNLGMIASYYYINYTTIERFSSLLASKTKMKGLLEILTSASEYDLIPIRPGEEDAVWRLINHQRFSFENPKCADPRVKTNALLQAHFSRQKISGNLAMDQREVLLSATRLLQAMVDVISSNGTLDLAILAMEVSQMVTQGMWDRDSMLLQLPHFTKDLAKRWQENPGNNIETIFDLVEMEEDKRQELLQMSDAQLLDIARFCNRFPNIDLTYEIVGSNEVSPGKDITLQVILERDMEGRTKVGPVDAPRYPKTKEEGWWLVVGETKTNQLMAIKRISLQRKAKVKLGSEPGEKSYTLYFMCDSYLGCDQEYSFTVDVKDSDAADHMEE >fgenesh2_kg.4__2380__AT2G42290.1 pep chromosome:v.1.0:4:20638539:20641257:-1 gene:fgenesh2_kg.4__2380__AT2G42290.1 transcript:fgenesh2_kg.4__2380__AT2G42290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LI38] MKLLWIFSLLVSSIFLCISFCSSLNSDGLSLLALKSAVDNDPTRVMTHWSESDPTPCHWSGIVCTNGRVTSLVLFAKSLSGYIPSELGLLNSLTRLDLAHNNFSKTVPVRLFEATKLRYIDLSHNSLSGPIPAQIKSMKSLNHLDISSNHLNGSLPESLESLVGTLNLSFNQFTGEIPPSYGRFPAHVSLDFSQNNLTGKVPQVGSLLNQGPNAFAGNSHLCGFPLQTPCEEIETPNFANAKPEGTQELQKPNPSVISNDDAKQKKQQITGSVTVSLISGVSVVIGAVSVSVWLLIRRKRSSNGYKSETKTTTMVSEFDEEGQEGKFVAFDEGFELELEDLLRASAYVIGKSRSGIVYRVVAAESSSTVVAVRRLNDGNATWRFKDFVNEVESIGRINHPNIVRLRAYYYAEDEKLLITDFISNGSLYSALHGGPLNTRPTLSWAERLCIAQGTARGLMYIHEYSSRKYVHGNLKSSKILLDNELHPHISGFGLTRLVSGYPKVDDHSPSTKTQSKDQAFATRLSVSAPAAAYLAPEARVSSGCKSFQKCDVYSFGVILLELLTGRLPNGSSENEGEELVNVLRNWHKEERSLAEILDPKLLKQDFADKQVIATIHVALNCTEMDPDMRPRMRSVSEILGRIK >fgenesh2_kg.4__2381__AT2G42300.1 pep chromosome:v.1.0:4:20642845:20646694:1 gene:fgenesh2_kg.4__2381__AT2G42300.1 transcript:fgenesh2_kg.4__2381__AT2G42300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTQGFGARSGVVGPVTGLESLNFSDEFRHLVTTMPPENTGGSFTALLEMPVTQAMELLHFTDSSSSQARTVTGDIAPTTLHPFGALTFPSNSLLLDRAARFSVIATEQNGNISGETANSLPSNSGANLDRVKAEPAETDSMVENQNQNYSSGKRKDREKKVKSSTKKTKSSVESDKLPYVHVRARRGQATDNHSLAERARREKINARMKLLQELVPGCDKIQGTALVLDEIINHVQSLQRQVEMLSMRLAAVNPRVEFNLDSILASENGSLMDGNFNGESYHQLQQWPFDGYHQPEWGREEDHHQANFSMGSATLHPNQVKMEL >fgenesh2_kg.4__2384__AT2G42320.2 pep chromosome:v.1.0:4:20649193:20657480:1 gene:fgenesh2_kg.4__2384__AT2G42320.2 transcript:fgenesh2_kg.4__2384__AT2G42320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKARTTNNVQSKRSTKSVRKDQKLQKTNSQKKTEQEKHKDLDAKEESSNISTVASDSTTQSDPSEVYETVDVRYLDDDQGSDSSRVVDKTEKEHNLSGSLCDLEKDVAENVCKVANIDKEIDTDVREGINADVWEDASNGALSAGSENEAADVTENNGGNFEDGSSEEKIERLETRIEKLEEELREVAALEISLYSVVPDHCSSAHKLHTPARRISRIYIHACKHFTQGKRATIARNSVSGLVLVAKSCGNDVSRLTFWLSNIIALRQIISQAFGRSRITQISEPNERGNGDSGKKTNLRWKNGFQQLLEDWQETETFTTALEKIEFWVFSRIVESVWWQVFTPHMQSPENDSSASKTNGKLMGPSLGDQNQGTFSISLWKNAFRDALQRLCPMRGAGHECGCLPVLARMVMDKCIGRFDVAMFNAILRESEHQIPTDPVSDPILDSKVLPIPAGDLSFGSGAQLKNAIGNWSRCLTEMFGMNSDDSSAKEKRNSEDDHVESKAFVLLNELSDLLMLPKDMLMEIYIREEICPSITLPLIKRILCNFTPDEFCPDHVPGAVLEELNASESIGDRKLSEASFPYAASSVSYMPPSTMDIAEKVAEAAGKLSRNVSMIQRKGYTSDEELEELDSPLTSIVDKASDFTGSETSNARYKLLRQVWV >fgenesh2_kg.4__2386__AT2G42330.1 pep chromosome:v.1.0:4:20657534:20660321:-1 gene:fgenesh2_kg.4__2386__AT2G42330.1 transcript:fgenesh2_kg.4__2386__AT2G42330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LI42] EEKRTVEVTVSENHGDGRRNLWKKKNVRKEVYITAEEFLGKKQEEGLGCGQTIIDKRGPQGRVVKSLRNLYAEEKARDANVPQPELQHNLRFIVESVEHGILKIDKDLRNEKESALSLQQEKEKFKMGEKKQKILFDNLGYIAEEIDRIEMENASGNLTLDSLANCFKDLRSSYPDDYKSCNLSCIACSLALTLFIRMFQGWDPLSDAEHGIEAISSWKMLLEVEDNQSISTPFSQLVSEVILPAVRVSGINTWEPRDPEPMLRFVETWEKMLPSLIFEMILTTVVLPKLSTAIESWEPRLETVPIHVWVHPWLPVLGQKLESAYQIIQMKFGNLLDAWHPSDVSVHTILSPWKTVFDAASWEQLMRRYIVPKLQLALQEFQINPADQNLDQFNLVMGWVSSVPIHLMTDLMERFFFPKWLDVLYHWLCSERKFDEIMKWFLGWKGSFPHELSANRRIEIQFKRGLDMAREAVELMEMSQPGARENISYHKAQEQRQPEGRAKVQAQVDDPEELSFKEAVELFAQEKELLLKPKPHRMHNGLQIYRFGNVSVLLDSANSKLLAQEAGGWFPVDLDSLLKMHYSAVAGKQ >fgenesh2_kg.4__2388__AT2G42350.1 pep chromosome:v.1.0:4:20681392:20682202:1 gene:fgenesh2_kg.4__2388__AT2G42350.1 transcript:fgenesh2_kg.4__2388__AT2G42350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LI49] MSSDNKTNDDSDRSFWQSSTSYDASSKIMLVTTVSFSVIILIVFVYYLYAKFVLRRRRSTFQDLSFSVVSHPPKRGLDTLVIASLPTFVVGVKNEVAGTECAVCLSLLEEKDNARMLPNCKHVFHVTCVDTWLTTQSTCPVCRTEAEPSPRLEPEPREGPVGDVAPPLDFVGVDNKTGGSSVLRLDSFRRILTRERSLNRLDHSRVEQDRELDIERQ >fgenesh2_kg.4__2389__AT2G42360.1 pep chromosome:v.1.0:4:20682962:20683936:1 gene:fgenesh2_kg.4__2389__AT2G42360.1 transcript:fgenesh2_kg.4__2389__AT2G42360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LI50] MSSNDRDPHWFNSQHHSFWPNPSSYDLNSKIMLAAVASLSGVILIVFALHLYARFVLRRRREAFRGLPVVFRHSFEMPKRGLNPAVIASLPTFTVRTTDGVATSATECAVCLSVLEEQDTARELPNCKHIFHVDCVDTWLTTCPTCPVCRTEVEPRPRLEPEPREGPVGTAPPLLEETRLNLTVEAGTSSSSDNKTVASSASRLNSFRKILTRERSSNRINHSCVDQDRVADLERH >fgenesh2_kg.4__238__AT2G23070.1 pep chromosome:v.1.0:4:1570295:1573443:-1 gene:fgenesh2_kg.4__238__AT2G23070.1 transcript:fgenesh2_kg.4__238__AT2G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPCTGFTISSLRNASAANDNLFSLLSFSSSSSPAKRNLLFSSLRDHLRRFASSASLYRQHLRNQQQQQQQQQSRVKEKSETLAQKIGKSIRRAGAPSKARVYADVNVVRPKDYWDYESLAVQWGVQDDYEVVRKVGRGKYSEVFEGIHATDNEKCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEHVNNKDFKVLYPTLSDYDVRYYIFELLKALDFCHSRGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYRIELDPNLTSLVGRHSRKPWTKFINSENQHLAVPEAVDFVDKLLRYDHQERPTAKEAMAHPYFYPIRNAESSRTPRSQ >fgenesh2_kg.4__2390__AT2G42380.2 pep chromosome:v.1.0:4:20688667:20690865:-1 gene:fgenesh2_kg.4__2390__AT2G42380.2 transcript:fgenesh2_kg.4__2390__AT2G42380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7LI53] MAQLPPKIPNMTQHWPDFSSQKLSPFTTPTATAIAAATTAVQNPSWVDEFLDFSASRRGNHRRSISDSITFLEAPTVSIEDHHFDRFDDEQFMSMFTDEDGLHNNPSHINNNNNNVGPTGSSSNTSTPSNSINDDNKELPPADHNMNNNNNNNNNDEVQSQCKIEPEDGTASNNNSGDSSGNRILDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQAEVSVLSPRVAFLDHQRLLLNVDNSALKQRIAALSQDKIFKDAHQEALKREIERLRQVYNQQSLKTMENANHLPATGAGATSAVDIKPSIEQEQLLNVS >fgenesh2_kg.4__2394__AT2G42400.1 pep chromosome:v.1.0:4:20701450:20704148:-1 gene:fgenesh2_kg.4__2394__AT2G42400.1 transcript:fgenesh2_kg.4__2394__AT2G42400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNPKITCVSSAHQNVEEKLRELQERFCHLQAARKEGRHGDLALLEAQISQNIREWQAELTAPSPESSLLGEGISQFLEEFAPLLKLDEEDDVTSTLKEHAAPKPQPDGFAQSLCPPEWTSENFSQSPFNGNFSCGIEDALNSTETHGQQLHYAFEGFDPSVNTAPDFHDQKLNSNLDITSQYDSIFSEVRQELYNSPSIKLDSSEEIDNFAEFTTPSSVRVPPSAFLGPKCALWDCTRPAQGSEWYLDYCSNYHGTLALNEDSPGTAPVLRPGGISLKDNLLIDALRAKTQGKNVGIPVCEGAVNTKCPWNAAELFHLELVEGETIREWLFFDKPRRAYDSGNRKQRSLPDYSGRGWHESRKVPMKEQEGQKRSYYMDPQPPGPFEWHLFEYQINESDACALYRLELKVGNGKKSPKGKISKDPLADLQKKMGQFKVASDKPSPPTKGRKE >fgenesh2_kg.4__2404__AT2G42510.1 pep chromosome:v.1.0:4:20781679:20784836:1 gene:fgenesh2_kg.4__2404__AT2G42510.1 transcript:fgenesh2_kg.4__2404__AT2G42510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFGSEKTSISRDEFAEDSLKIVSLPPVENDDSVEEMISPKKQVKSSSFTSGCEKDGEIIHSDSIEVSFSETSLEETVAMNVECPGVVSVPETSSTFLEENKIEDFVETDGCVVFISDMKESLNSEKKGQDFDGQRTMEKRRLLAEIEDEGDQFRDDVHKIEDVVKTNGCVVSISDMKESLNSQKKGQDSDAQILLESEKKRLLAEIGDGSIFKKKDDVDTLARASDIHKIEKNGNGSKDQREKVERVRVKDNAFVGRSVNIDLVDDTALFDVVPFYKKGKDHPKRPGTDKDAPKKHKKVGGEKPIDRGNASSIVERSASTKVSDFRNRGEMSGKQLRIMYSRNQMELMSDLYAKLLPELVTEYEGLKNHRRESGISILEDYVVKAKNTEDNDDYNSILRPAFAVDGEPDFDSGPPEDGLEYLRRLFPQFRWEAKRIPKVKVAKVNGSKYREKEQSVYMPQISEIPKCPEHLLPVKEWEESLLSDFSHIRLVFLSSNLHTTSVSLNGQDMNGKIQGMDSVTRVSKLRKRICLVEKESGLESSDCKWVVALCASVDTPLDADTCACLRALVRKCASLRALEVEDEQVITMANMLITIAGRYFGQME >fgenesh2_kg.4__2405__AT2G42520.1 pep chromosome:v.1.0:4:20785502:20789082:1 gene:fgenesh2_kg.4__2405__AT2G42520.1 transcript:fgenesh2_kg.4__2405__AT2G42520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASWADVADSENTGSGSFNQNSHPSRPAYVPPHLRNRPATSEPVAPLPANDRVGFGGPPSASRWAPGGSSVGVGGGYRADAGRPGSGSGYGGRGGGGWNNRSGGWDRREREVNPFDNDDSEPEPAFSEQDNTVINFDAYEDIPIETSGDNVPPPVNTFAEIDLGEALNLNIRRCKYVKPTPVQRHAIPILLEGRDLMACAQTGSGKTAAFCFPIISGIMKDQHVQRPRGSRTVYPLAVILSPTRELASQIHDEAKKFSYQTGVKVVVAYGGTPINQQLRELERGVDILVATPGRLNDLLERARVSMQMIRFLALDEADRMLDMGFEPQIRKIVEQMDMPPRGVRQTLLFSATFPREIQRLAADFLANYIFLAVGRVGSSTDLIVQRVEFVLDSDKRSHLMDLLHAQRENGIQGKQALTLVFVETKRGADSLENWLCINGFPATSIHGDRTQQEREVALKAFKSGRTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNDGNTSLARPLAELMQEANQEVPAWLTRYASRSSFGGGKNRRSGGRFGGRDFRREGSFGRGGGGGGGYGGGGGYGGGGGYGGGGGYGGGYSGAPSGGYGGEPPSAWD >fgenesh2_kg.4__2406__AT2G42530.1 pep chromosome:v.1.0:4:20789833:20790922:-1 gene:fgenesh2_kg.4__2406__AT2G42530.1 transcript:fgenesh2_kg.4__2406__AT2G42530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7LIT5] MAMSLSGAVLSGMGSSFHNVGAKQSSVGAVGVGRKSEFVVVAQRKKSLIYAVKGDGNILDDLNEATKKASDFVTDKTKEALADGEKTKDYVVEKTIEANETATEEAKKALDYVADKGKDAGNKAAEFVEGKAGEAKDATKP >fgenesh2_kg.4__2409__AT2G42550.1 pep chromosome:v.1.0:4:20796177:20797211:1 gene:fgenesh2_kg.4__2409__AT2G42550.1 transcript:fgenesh2_kg.4__2409__AT2G42550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LIT9] MQPKTEFVKFLGKGSYGSVDLVKYIKRNDSSLPLYAAVKTAECEDYDSLQREIQILSKLKGCQRIVQCYGNYTLEEDFDVSGFRVYKIVMEYAAAGNLTTFMDSYKDRKLPETMIKDFTRMILQGLVSVHSLGYVHCDLKPDNLLVFPCRQSYELKISDFGSSRKVGEYSDCWEVDLPFVGTPIYMSPESVHNGVAEKTLDLWSLGCIVLEMYTGVSPWSEVEFEDLAPILLKGKAPEIPESVPCDARKFLETCFARNPKERGSASDLLFHWFLRGEVISGFSLPPLKLKIKLAPEKPTNFSKKPLKLKIIPPKPPQFKKVEIKPLKVKIMPLKPPASSFVSVQ >fgenesh2_kg.4__2410__AT2G42560.1 pep chromosome:v.1.0:4:20797699:20800130:-1 gene:fgenesh2_kg.4__2410__AT2G42560.1 transcript:fgenesh2_kg.4__2410__AT2G42560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g42560 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LIU0] MASEQAKRENKVTEREVQVEKDRVPKMTSHFESMAEKGKDFDTQRHQTEGGGTQFVSLSDKGSNMSVSNEGEGETKMKRTQMPHSVGKFVTSSESGPGKKKDEKEHEKASLEDIHGYRANAQQKSMDTIRAAEERYNKAKESLSHGGQEGRGGQMEGKGRDSGVRVSHVGAVGGDGGEEGKESGVHGFHGEKARHAELLAAGGEEMREREGKESAGGVGGRSVKDTVAEKGRQAKESVGQGAQKAGSAASEKAQRASEYATEKGKEAGNVTAEQAARAKDYALQKAVEAKETAAEKAQRASEYMKETGSTAAEQAARAKDYTLQKAVEAKDVAAEKAQRASEYMTETGKQAGNVAAQKGQEAASMTAKAKDYTVQKAGEAAGYIKETTVEGGKGAAHYAGVAAEKAAAVGWTAAHFTTEKVVQGTKAVAGTVEGAVGYAGHKAVEVGSKAVDLTKEKAAVAADTVVGYTARKKEEAQHRDQEMHQGGEEEKRPGFVTEAKRGYGEEYGEERGSEKDVYGYGPKGTAGEARRDVGEAEYGGGRGSEKDVFGYGSKGTVGESRRHVGEEYGGGRGSERYVEEEGVGAGGVLGAIGETIAEIAQTTKNIVIGDDPVRTHEHGTTDPDYMRHVQG >fgenesh2_kg.4__2411__AT2G42570.1 pep chromosome:v.1.0:4:20800541:20803363:-1 gene:fgenesh2_kg.4__2411__AT2G42570.1 transcript:fgenesh2_kg.4__2411__AT2G42570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTSRGNPSFLFFFFFLCLSTVSAYINNTSSNNGEARRELASGRCNWFRGNWVYDAKYPLYDPYKCPFIDPQFNCKKYGRPDNAYLKFRWQPSSCSLPRFNGLYFLRRMRGKKIMFVGDSLSTNMWQSLACLIHSWVPNTRYTLLRQKGLASLTFEEYGVTLLLYRTQFLVDLNVEKVGRVLKLDSIKQGNMWRGMDVLIFNSWHWWTHTEHIQPWDYMEDGNRLYKDMNRLVAFYKGMTTWARWVNTYVDPSKTKVFFNGVSPTHYEGKDWGEPMNSCRSQTQPFYGRKYPGGTPMAWVVLNKVMRRLKKPVHWLDITGLSQLRKDGHPSAFSGNHPGNDCSHWCLPGLPDTWNLLFYSTLFSY >fgenesh2_kg.4__2412__AT2G42580.1 pep chromosome:v.1.0:4:20825523:20828485:1 gene:fgenesh2_kg.4__2412__AT2G42580.1 transcript:fgenesh2_kg.4__2412__AT2G42580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSRRLSLEPAIDSITGRFRDLQRNDDVNKPDFRELDLGSPVSTLMPRGSASSSAAATPTSSSGSSGSASGKPSVSSQMGKRLENGYRSHSGELSSPGSGMPTTRNLKPGHRRSSSTGTPLIFSGSSFTSAMSHTSPQGGGSGATSAVSPSPGVLPAGNICPSGRILKTGMASRTSSRTETLCTGTGNYGHGNVVRSGAGGSSGKTVRAAENGENPEELKRMGNDMYRRGNFSEALSLYDRAISISPENAAYRSNRAAALTALRRLGEAVRECLEAVRLDPSYSRAHQRLASLYLRLGEAENARRHICFSGQCPDQADLQRLQTLDKHLRRCWEARKIGDWKTAIKETDAAIANGADSSPQLVACKAEAFLRLNQIEDSDFCLSCIPRLDHHYHSQPQAKLFGMVVEAYVLCIQSQVDMALGRFENAVVKAERAAMLDQTNPEVASVLNNVKMVVRARTRGNELFSSGRFLEASVAYGDGLKHDESNSVLYCNRAACWYKLGLWEKSVEDCNHALKMQPSYIKALLRRAASYGKLGRWEDAVKDYEFLRRELPGDSEVAESLERAKTVLMNRSQESKSLGFNNEVEVVSTLDKFKNSVSLPGVSVFHFKSSSNRQCEEISPFINTLCLRYPLVHFFKVDVEESMALAKAESIRKVPTFKIYKNGDKVKEMVCPSHQFLEDSIKHFLL >fgenesh2_kg.4__2415__AT2G42590.2 pep chromosome:v.1.0:4:20828776:20830831:-1 gene:fgenesh2_kg.4__2415__AT2G42590.2 transcript:fgenesh2_kg.4__2415__AT2G42590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKERDTFVYLAKLSEQAERYEEMVESMKNVAKLNVDLTVEERNLLSVGYKNVIGSRRASWRIFSSIEQKEAVKGNDVNVKRIKEYMEKVELELSNICIDIMSVLDEHLIPSASEGESTVFFNKMKGDYYRYLAEFKSGNERKEAADQSLKAYEIATTAAEAKLPPTHPIRLGLALNYSVFYYEIMNAPERACHLAKQAFDEAISELDTLNEESYKDSTLIMQLLRDNLTLWTSDIPEGGADDAHKTNGSSKAAAGGDDAEVK >fgenesh2_kg.4__241__AT2G23090.1 pep chromosome:v.1.0:4:1576263:1577157:-1 gene:fgenesh2_kg.4__241__AT2G23090.1 transcript:fgenesh2_kg.4__241__AT2G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNAQKSAMARAKNLEKAKAAGKGSQLEANKKAMSIQCKVCMQTFICTTSEVKCREHAEAKHPKADVDACFPHLKK >fgenesh2_kg.4__2424__AT2G42690.1 pep chromosome:v.1.0:4:20880705:20882144:-1 gene:fgenesh2_kg.4__2424__AT2G42690.1 transcript:fgenesh2_kg.4__2424__AT2G42690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSWEELLGSKNWDTLLDPLDQSLRELILRCGDFCQATYDAFVNDQNSKYCGASRYGKSSFFDKVMLESASAYEVANFLYATARVSLPEGLLLQSQSRDSWDRESNWFGYIAVTSDERTKALGRREIYIAMRGTSRNYEWVNVLGARPTSADPLLHGPEQDGSAGVVEGTTFDSDSEDEEGCKVMLGWLTIYTSNHPESKFTKLSLRSQLLAKIKELLLKYKDEKPSIVLTGHSLGATEAVLAAYDIAENGSSDDVPVTAIVFGCPQVGNKEFRDEVMRHKNLKILHVRNTIDLLTRYPGGLLGYVDMGTNFVIDTKKSPFLKESRNPGDWHNLQAILHIVAGWNGKKGEFKLMVKRSIALVNKSCEFLKDECLVPGSWWVEKNKGLIKNEDGEWVLAPVEEEPVPEF >fgenesh2_kg.4__2425__AT2G42700.1 pep chromosome:v.1.0:4:20884559:20888532:1 gene:fgenesh2_kg.4__2425__AT2G42700.1 transcript:fgenesh2_kg.4__2425__AT2G42700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIDVAISCLNSIREIEEDVKDAIVYIDAGCTECFQFVGAFPLFLELGARAVCSLENMTSLDAVADWNSKTDCAKRIVIMTSRLLNDAHRYMLRCLSTHEGVQHCTVFTSISEGSHAAIPDLPLGPDAYREYETLLVQDYNEHTKKSDKISKDKGVSKFSSALESLTMEPIASENVDISSGGAEGLVVSVHHFPLIICPFTPRAFVLPSQGSVAEASLSRQHEDSLSFGLPPISTGSMSDADDVPSGATLTAHFLYQLALKMELKLEIFSLGDLSKSVGKILTDMSSVYDVGRRKRSAALLLVDRTLDLITPCCHGDSLFDRIFSSLPRAERFSSQAQFKQGVPSINRPSLDVQVPLGELLNEEPSKIRDSGLPEGIEAFLRGWDSYTSDPQNEGLLNECDKKSTTNWTELLNGSLVATECFRGTPYLEAMIDRKTKDGSVLVKKWLQEALRRENISVNVRARPGYATKPELQAMIRALSQSQSSLLKNKGIIQLAAATAAALGESQSAKWDTFSSAEMMLNVSAGDTSQGLAAQISDLINKSALAELQAKKNEKPDSSSRGLLSFRNALLLTVVGYILAGENFPTSGSGGPFSWQEEHFLKEAIVDAVLENPSVGNLKFLNGLTEELEGRLNRLKSEETKEIPSDDQLDIDALDDDPWGKWGDEEEEEVDNSKADESYDDMQLKLDLRDRVDSLFRFLHKLSSLRTRNLPLREGSLASESSFPGDPCGNKGLLYRLITKVLSKQEIPGLEYHSSTVGRFIKSGFGRFGLGQARPSLADQSVILVFVIGGINGREVLEAQEAVSESGRPDINLVIGGTTLVTPDDMFELLLGQLSHF >fgenesh2_kg.4__2426__AT2G42710.1 pep chromosome:v.1.0:4:20888754:20891595:1 gene:fgenesh2_kg.4__2426__AT2G42710.1 transcript:fgenesh2_kg.4__2426__AT2G42710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1 family protein [Source:UniProtKB/TrEMBL;Acc:D7LJC2] MAAMKLLLSQARRQGLIKPFSSPFQQIPRLFSSSSSSDSNESRKKPVTIEPVSYAAKPKDPKSEPTNVESTENLQSPESSNWTREEIRYVKDSPSINPVSYAQRVAPLPEDRVAGENEGERTPEEMERERKRIELENRARRKFLRANAVEEDTSSLPLPTLLKPELKHGKKPIFDLMEAIREIKANAKAKFDETLEAHVRLGIEKGRSELIVRGTLALPHSVKKDVKVAFFAEGADAEDAKAAGADVVGGLELIEEILKSGKIDFDRCLATPKMMPRVYKISRILNNHGLMPNPKQGSVTKDVTKAVKDAKAGHTKFRMDKTSILHVPLGKMSFPEEALRENVGAFMNALLLAKPAGLKKTSKYAGYVNAFHLCSTMGKGYPVSIQSLSRAADLHTKLQLK >fgenesh2_kg.4__2428__AT5G42325.1 pep chromosome:v.1.0:4:20895193:20895936:-1 gene:fgenesh2_kg.4__2428__AT5G42325.1 transcript:fgenesh2_kg.4__2428__AT5G42325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQEFLELFDAALRAAKSVKGVKNSPEVSRFVDAMNRLKEAPESLACDVVCTTSMGKGLRFFKDHKNPQIRSEGKLLWDLWTKIIHASGREKSRDRDTPVKIPTDSTIKKTGDSKRDKVREILQTSLAKVATEVVDTEMKTRVTACDPWVVAISVESAMFESNNPDLRRKVLIGEINGERLVTMERQEMGSEKIQKEVQRIKENARFKEESRMKMLQSADMIMT >fgenesh2_kg.4__242__AT2G23093.1 pep chromosome:v.1.0:4:1596626:1599221:1 gene:fgenesh2_kg.4__242__AT2G23093.1 transcript:fgenesh2_kg.4__242__AT2G23093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIETFIWEPSSSFFIFFFVSTFLSIFLFPYFAKNRTFGSFDHSISSSFARFQRWFLAIYTLSSVMEGLWSVYGELELASYGVSKESMVFYLCVGYSTALVLGPLLGVLSDLIGQKRICLLYCVLHLVVGVWKRITMSPSAWFPNICLSLAGLVYSFGFETWLVVEHEKQSQRNDSLNETFWLMAFLESASLIGGQVLANWLVDENVQHGIALSATASLFLSIVTIICIVQTAKEPLKTLPFRDYSAAFYAYVLGDKRIWFLGTSQACLQFSTAVFWILWAPTIVADGREVNLGLIYPCFLGSRMLGSTVFPWLMSGQSLLRLEDCLVYIYAILGVVFSIVAYDYQEIRILVVLFCLFHGFAGLSLPLLARLRTMYVPNELRGGMISLSQFPANAAIVFLLIQRGYSNKIENSTVMAFGAISLFTASGCIYLLRRWGKSPHQDWHKL >fgenesh2_kg.4__2431__AT2G42740.1 pep chromosome:v.1.0:4:20899336:20900632:1 gene:fgenesh2_kg.4__2431__AT2G42740.1 transcript:fgenesh2_kg.4__2431__AT2G42740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L11 [Source:UniProtKB/TrEMBL;Acc:D7LJC6] MASEKKLSNPMRDIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGTRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVARRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQNITG >fgenesh2_kg.4__2433__AT2G42760.1 pep chromosome:v.1.0:4:20910284:20911427:-1 gene:fgenesh2_kg.4__2433__AT2G42760.1 transcript:fgenesh2_kg.4__2433__AT2G42760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEELLKLFERNWSERSIIKKDKENLNGKSREKRRETETTEEKEEEVLKNFPVSFLVERAMSDETMMTTSSKTSLFSSSSDDLFLSPRSVLPVKPTPMKLQTILSGKEVNAFTIAERERVLSEKEEQRKKKKKRNVRTRKGKSMSDLEYEELKGFMDLGFVFSEEDHKDSNLVSILPGLQRLVKKDDGVVQVTKEEEDKSSGNRVARPYLSEAWDHCGGRKGKITTEIKWRVPAPVANEVDLKDNLRHWAHAVASTIRR >fgenesh2_kg.4__2434__AT2G42770.1 pep chromosome:v.1.0:4:20912403:20916241:-1 gene:fgenesh2_kg.4__2434__AT2G42770.1 transcript:fgenesh2_kg.4__2434__AT2G42770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa family protein [Source:UniProtKB/TrEMBL;Acc:D7LJC9] MDALGGCGGAGGFWGWNGFEQRRKKKSSGDRDRKRNTGSSDSVDVSRDAGGYRFPLKQAVTAGALTFTGDTIAQLSGRWKKRTALKQSSSELDEGELWNIFSEHDWIRALRMSSYGFLLYGPGSYAWYQFLDHSLPKPTATNLVLKVLLNQVILGPSVIAVIFAWNNLWLGKLSELGNKYQKDALPTLLYGFRFWVPVSILNFWVVPLQARVAFMSMGSVFWNFYLSSTMSK >fgenesh2_kg.4__2436__AT2G42790.1 pep chromosome:v.1.0:4:20917632:20922207:-1 gene:fgenesh2_kg.4__2436__AT2G42790.1 transcript:fgenesh2_kg.4__2436__AT2G42790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase [Source:UniProtKB/TrEMBL;Acc:D7LJD0] MEISERVRARLAVLSAHLAEGKQDSPAIERWCTSADTSVAPLGSLKGTLTIVDERTGKKYKVPVSDDGTVKAVDFKKIATGKEDKGLKLYDPGYLNTAPVRSSISYIDGDEGILRYRGYPIEEMAENSTFLEVAYLLMYGNLPSETQLSDWEFAVSQHSAVPQGVLDIIQSMPHDAHPMGVLVSAMSALSIFHPDANPALRGQDIYDSKQVRDKQIIRIIGKAPTIAAAAYLRMAGRPPVLPSGNLPYADNFLYMLDSLGNRSYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVYTAVAGAVGALYGPLHGGANEAVLKMLSEIGTVENIPEFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIKNLADEVFSIVGKDPLIEVAVALEKAALSDDYFVKRKLYPNVDFYSGLIYRAMGFPPEFFTVLFAIPRMAGYLSHWKESLDDPDTKIMRPQQVYTGVWLRHYTPVRERIVTDDSKESDKLGQVSTSNASRRRLAGSSV >fgenesh2_kg.4__243__AT2G23096.1 pep chromosome:v.1.0:4:1599259:1600737:-1 gene:fgenesh2_kg.4__243__AT2G23096.1 transcript:fgenesh2_kg.4__243__AT2G23096.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LEN9] MRSYGKEKKLGFPYVFLACCFFLAIFGFCFFNLLSQIISFSEISTRRSVNEETESLDHGSVSNIPFHGLSWNPRVFYLPNFATKQQCEAVIDMAKPKLKPSLLALRKGETAETTQNVRTRLKKTDEDESGILAAIEEKIALATRIPIDYYESFNILRYQLGQKYDSHYDAFHPAEYGPQISQRVVTFILFLSSVEEGGETMFPFENGRNMNGRYDYETCIGLRVKPRQGDAIFFYNLLPNRTIDQTSLHGSCPVIKGEKWVATKWIRDQTYD >fgenesh2_kg.4__2446__AT2G42880.1 pep chromosome:v.1.0:4:20961781:20965774:-1 gene:fgenesh2_kg.4__2446__AT2G42880.1 transcript:fgenesh2_kg.4__2446__AT2G42880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:D7LJD7] MQQDNHKKNNLEMEFFSDYGDANRFKIQEVIGKGSYGVVCSAIDTLTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRREFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLMGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLTSMRKKPPIPFSQKFPNADPLSLKLLERLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPITKMEFEFERRKVTKEDIRELISREILEYHPQLLKDYMNGADKASFLYPSAVDQFRRQFAHLEENSGKTGPVAPLERKHASLPRSTVIHSTAVARGGQPKLMNNTNTLNPETTQNIPFNHATLQAQQRNLSAAKPSTFMGPVAPFDNGRISRDAYDPRSFIRSTNLPFAQQSAATVAMGKQQERRTTMEPEKQTRQISQYNRYAPDVAINIDNNPFIMARTGMNKAENISDRIIIDTNLLQATAGIGVAAAAAAAAPGGSAHRKVGAVRYGMSKMY >fgenesh2_kg.4__2450__AT2G42900.1 pep chromosome:v.1.0:4:20993372:20994316:1 gene:fgenesh2_kg.4__2450__AT2G42900.1 transcript:fgenesh2_kg.4__2450__AT2G42900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTLLQPFLAKPTTKGLASESDSGIILRLFSVLLVGAISLWANHEASKGFSISIINEAKDSPSGKQFALFFESEVTAVRILLDTSFFVERFLYEGVSHRLRKPVNHVTVRFCGNSSDRVDRFSVTSGASHGEYVIRLSSSLMERSKFRNAVESALRRSMVRIWAIWLWGDESGAPPELSRKRRHFERLGGHWKDKEFAKSVSVVSFLDYCERRSEGFIRRLNHGMRLRWDDRTVDIALSGACGSRKDVWRELKMDDSGMIIERRT >fgenesh2_kg.4__2451__AT2G42910.1 pep chromosome:v.1.0:4:20995547:20997965:1 gene:fgenesh2_kg.4__2451__AT2G42910.1 transcript:fgenesh2_kg.4__2451__AT2G42910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 4 [Source:UniProtKB/TrEMBL;Acc:D7LJE3] MSENAANNIMETKICTEAIVSELQKKKVHLFYCLECEELARNIAAESDDITLQSINWRSFADGFPNLFINNAHEIRGQHVAFLASFSSPAVIFEQISVIYLLPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARIVSNIPISRGGPTSVVIYDIHALQERFYFADQVLPLFETGIPLLTKRLQQLPETEKVIVAFPDDGAWKRFHKLLDQYPTVVCTKVREGDKRIVRLKEGNPAGCHVVIVDDLVQSGGTLIECQKVLAAHGAAKVSAYVTHGVFPKSSWERFTHKNNGLEEAFAYFWITDSCPQTVKAIGNKAPFEVLSLAGSIADALQI >fgenesh2_kg.4__2452__AT2G42920.1 pep chromosome:v.1.0:4:20998120:20999797:1 gene:fgenesh2_kg.4__2452__AT2G42920.1 transcript:fgenesh2_kg.4__2452__AT2G42920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LJE4] MSPTILCFSGVTVPAIPSSGFVSGNTCLRLIDTRCSTMRELKQIHANLIKTGLISDTVAASRVLAFCCASPSDRNYAYLVFTRINHKNPFVWNTIIRGFSRSSFPEMAISIFIDMLCSSPSVKPQRLTYPSVFKAYASLGLARDGRQLHGRVIKEGLEDDSFIRNTMLHMYVTCGCLVEAWRLFVGMMGFDVVAWNSIIMGLAKCGLIDQAQKLFDEMPQRNGVSWNSMISGFVRNGRFKDALEMFREMQERDVKPDGFTMVSLLNACAYLGASEQGRWIHKYIVRNRFELNSIVITALIDMYCKCGCFEEGLKVFECAPTKQLSCWNSMILGLANNGCEERAMDLFLELERTGLEPDSVSFIGVLTACAHSGEVHKAGEFFRLMREKYMIEPSIKHYTCMVNVLGGAGLLDEAEALIKKMPVEGDTIIWSSLLAACRKNGNVEMAKRAANCLKNLDPDETCGYVLMSNAYASYGLFEEAVEQRLLMKERQMEKEVGCSSIEVDFEVHEFVSCGKKHPKSTEIYSLLGILNWDVSTIKSGFA >fgenesh2_kg.4__2454__AT2G42940.1 pep chromosome:v.1.0:4:21001121:21002311:-1 gene:fgenesh2_kg.4__2454__AT2G42940.1 transcript:fgenesh2_kg.4__2454__AT2G42940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:D7LJE6] MAGGTALTPTSVGSKSVPMRNNEAAERGNNNNNNNNLKALPKAVQPVSSIEGEMAKRPRGRPAGSKNKPKPPIIVTHDSPNSLRANAVEISSGCDICETLSDFARRKQRGLCILSANGCVTNVTLRQPASSGAIVTLHGRYEILSLLGSILPPPAPLGITGLTIYLAGPQGQVVGGGVVGGLIASGPVVLMAASFMNAVFDRLPMDDDEAASMQNQQYYQNGRSRPLDDIHGLPQNLLTNGNSASDIYSWGPAQRAMSKP >fgenesh2_kg.4__245__AT2G23120.1 pep chromosome:v.1.0:4:1610442:1610897:1 gene:fgenesh2_kg.4__245__AT2G23120.1 transcript:fgenesh2_kg.4__245__AT2G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKTPSTTEKKTEQVKDNDLPTNSPYMATGTLEDYKLKAYGAEGHQEPTPGLGGGSTDAPTPSGDKPAATTTGAKAP >fgenesh2_kg.4__2460__AT2G43000.1 pep chromosome:v.1.0:4:21025200:21027390:-1 gene:fgenesh2_kg.4__2460__AT2G43000.1 transcript:fgenesh2_kg.4__2460__AT2G43000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC042 [Source:UniProtKB/TrEMBL;Acc:D7LJF2] MSGEGNLGKDHEEDEAPLPGFRFHPTDEELLGYYLRRKVENKTIKLELIKQIDIYKYDPWDLPRVSSVGEKEWYFFCMRGRKYRNSVRPNRVTGSGFWKATGIDKPVYSNLDCVGLKKSLVYYLGSAGKGTKTDWMMHEFRLPSTTKTDSPAQQAEVWTLCRIFKRVTSQRNPTIVPPNRKPVITLTDSCSKTSSLDSDHTSHRIVEHLSHEPPLPQPQNPYWNQHMVGFNQPTYTCNDNNLLSFWNGNGGDFIGDSASWDELRSVIDGNTKP >fgenesh2_kg.4__2461__AT2G43010.2 pep chromosome:v.1.0:4:21031703:21034511:1 gene:fgenesh2_kg.4__2461__AT2G43010.2 transcript:fgenesh2_kg.4__2461__AT2G43010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQGWSFEENYSLSTNRRSIRPQDDLVELLWRDGQVVLQSQTHREQTQTQKQDHHEGTQRSNIFLEDQETVSWIQYPPDEDPFETDDFSSHFFSTVDPLQRPTSETIKPKSGPDPPHVMVKPKACPDPPQVMPPPKFRLTNSSSGIRETEMEQYSETTIGPSHCGSNPSQNDFDASMSQDRSKNIEEKLNPNASSSSGGSSGCSFGKDIKEMACGRSITTDRKRKHIMDTDDSVSLSDVIGNKSNQRSGSNRRSRAAEVHNLSERRRRDRINERMKALQELIPHCSKTDKASILDEAIDYLKSLQLQLQVMWMGSGMAAAPMMFPGVQPPPFIRQMQSPVQLPRFPVMERSAIQNNPGLVCQNPIQNQIISDRFARYIGGFPQMQAAAQPMEMLRFGSPAGQQSQQPPAPTKTTDGSRLGH >fgenesh2_kg.4__2463__AT2G43018.1 pep chromosome:v.1.0:4:21038483:21041593:1 gene:fgenesh2_kg.4__2463__AT2G43018.1 transcript:fgenesh2_kg.4__2463__AT2G43018.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPAO2 [Source:UniProtKB/TrEMBL;Acc:D7LJW9] MESRKNSDRQMRRANCFSAGERMKTRSPSVIVIGGGFGGISAARTLQDASFQVMVLESRDRIGGRVHTDYSFGFPVDLGASWLHGVCKENPLAPVIGRLGLPLYRTSGDNSVLYDHDLESYALFDMDGNQVPQELVTQIGVTFERILEEINKVRDEQDADISISQAFSIVFARKPELRLEGLAHNVLQWYVCRMEGWFAADAETISAKCWDQEELLPGGHGLMVRGYRPVINTLAKGLDIRVGHRVTKIVRRYNGVKVTTENGETFVADAAVIAVPLGVLKSGTIKFEPKLPEWKQEAINDLGVGIENKIILHFEKVFWPKVEFLGVVAETSYGCSYFLNLHKATGHPVLVYMPAGQLAKDIEKMSDEAAANFAVLQLQRILPDALPPVQYLVSRWGSDVNSMGSYSYDIVGKPHDLYERLRVPVDNLFFAGEATSSSFPGSVHGAYSTGLMAAEDCRMRVLERYGELDLFQPVMGEEGPASVPLLISRL >fgenesh2_kg.4__2465__AT2G43030.1 pep chromosome:v.1.0:4:21041875:21042959:1 gene:fgenesh2_kg.4__2465__AT2G43030.1 transcript:fgenesh2_kg.4__2465__AT2G43030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L3 [Source:UniProtKB/TrEMBL;Acc:D7LJX0] MAMAMAVVSFPSLLNKSTLSSSLFTPTFLPAKSSSLLIKSSPKTRFVVSASMEAGIGVMGSKLGMMSFFEEDGTVVPVTVVGFREGNIVTQIKTLATDGYDAVQIGYRRVRDKKLTKPETGHLQKAGTIPMRHLQEFRLTNIEGFEPNQKLVFDEIFKEGDLVDVAGTTIGKGFQGGIKRHHFKRGQMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTRTKIRKLKIVKVDKELNVVMIKGALPGKPGNLLRITPAKIVGVNIPKN >fgenesh2_kg.4__2466__AT2G43040.1 pep chromosome:v.1.0:4:21043198:21046550:-1 gene:fgenesh2_kg.4__2466__AT2G43040.1 transcript:fgenesh2_kg.4__2466__AT2G43040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNQSADFSENGDDEIIRQLCANGICMKTTEVEAKLDEGNIQEAESSLREGLSLNFEEARALLGRLEYQRGNLEGALRVFEGIDLQAAIQRLQASVPPEKPATKKNRPREPQQSVSQHAANLVLEAIYLKAKSLQKLGRITEAARECKSVLDSVEKIFQQGIPDAQVDNKLQETVSHAVELLPALWKESGDYQEAISAYRRALLSQWNLDNDCCARIQKDFAVFLLHSGVEASPPSLGSQIEGSYIPRNNLEEAILLLMILLKKFNLGKAKWDPSVFEHLTFALSLCSQTSVLAKQLEEVMPGVFSRIERWNTLALSYSAAGQNSAAVNLLRKSLHKHEQPDDLVALLLAAKLCSEEPSLAAEGAGYAQRAINNAQGMDEHLKGVGLRMLGLCLGKQAKVPTSDFERSRLQSESLKALDGAIAFEHNNPDLIFELGVQYAEQRNLKAASRYAKEFIDATGGSVLKGWRFLALVLSAQQRFSEAEVVTDAALDETAKWDQGPLLRLKAKLKISQSNPTEAVETYRYLLALVQAQRKSFGPLRTLSQMEEDKVNEFEVWHGLAYLYSSLSHWNDVEVCLKKAGELKQYSASMLHTEGRMWEGRKEFKPALAAFLDGLLLDGSSVPCKVAVGALLSERGKDHQPTLPVARSLLSDALRIDPTNRKAWYYLGMVHKSDGRIADATDCFQAASMLEESDPIESFSTIL >fgenesh2_kg.4__2467__AT2G43050.1 pep chromosome:v.1.0:4:21051788:21053622:1 gene:fgenesh2_kg.4__2467__AT2G43050.1 transcript:fgenesh2_kg.4__2467__AT2G43050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7LJX2] MASSSSISNHKIPKTLMFLLILNFLYLIQPTSAVSTSSNSNSHFSRFSRHRSSPSSKPKQGFLASVQESMNHALLARSLAFNLTLSHRTVQTHTFDPVHDCLELLDDTLDMLSRIHADNDEEDVHTWLSAALTNQDTCEQSLQEKSKSYKHGLAMDFVARNLTGLLTNSLDLFVSVKSKHRKLLSEQKYFPTFVPSSEQRRLLEAPVEELKVDVVVAADGSGTHKTIGEALLSTSLASSGGRTTIYLKAGTYHENINIPTKQKNVMLVGDGKGKTVIVGSRSNRGGWTTYKTATVAAMGEGFIARDMTFVNNAGPKSEQAVALRVGADKSVVHRCSVEGYQDSLYTHSKRQFYRETDITGTVDFIFGNSAVVFQSCNIAARKPLPGQRNFVTAQGRSNPGQNTGISIQNCRITAESMTYLGRPWKEYSRTVVMQSFIGGSIHPSGWSPWSGGFGLKSLFYGEFENSGPGSSVSGRVKWSGYHSSLTLTEAEKFTVAVFIDGNMWLPSTGVSFDSGLVK >fgenesh2_kg.4__2468__AT2G43060.1 pep chromosome:v.1.0:4:21060506:21061361:1 gene:fgenesh2_kg.4__2468__AT2G43060.1 transcript:fgenesh2_kg.4__2468__AT2G43060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LJX4] MASADKLINTDVPEKDVFALHFLRSLSNLRTQNPLNSPDKSIDRVRKIKKAAYVSMARAAGGNSRLWSRALLRRAAKDDNKSVRFSRRKRKISSKRRRSNQRAPVVEEAAERLRNLVPGGGGMETSKLMEETAHYIKCLSMQVKVMQCLVDGLSQK >fgenesh2_kg.4__246__AT2G23140.1 pep chromosome:v.1.0:4:1616088:1619035:-1 gene:fgenesh2_kg.4__246__AT2G23140.1 transcript:fgenesh2_kg.4__246__AT2G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7LEP3] MEILKVLIGSISSFLNLSSSKHIDLDPFEKYYKRVEELLKVLKPIADVVVNSDLVLDEKLGKAFEELTQDVDQSIDLFRSWQAFSSKVYFVLQIESLIPKMRDTIVDTFKFLMSSKNHLPDELSPASLEQCLEKIKHLSYEEISSVIDGALRDQRDGVGPSPEILVKIGENTGLRSNQEILIEAVALERQKEMAEQSENNAEVEFLDQLIVIVNRMHERLLLIKQTQTSSVAILADFFCPLSLEVMTDPVIVSSGQTYEKAFIKRWIDLGLKVCPKTRQTLTHTTLIPNYTVKALIANWCETNDVKLPDPNKSTSLNELSPLLSCTDSIPSTGADVSAHKVSNKSHDWDASSSETGKPSFSSRATGREGASPSRPASALGASSPGVSGNGYGLDARRGSLNDFEDRSNDSREMKTDAPGRSSVSSTTRGSVENGQTSENHHHRSPSATSTVSNEEFPRADANENSEESAHATPYSSDASGEIRSGPLAATTSAATRRDLSDFSPKFMDRRSRGQFWRRPSERLGSRIVSAPSNETRRDLSEVETQVKKLVEELKSSSLDTQRQATAELRLLAKHNMDNRIVIGNSGAIVLLVELLYSSDSATQENAVTALLNLSINDNNKTAIADAGAIEPLIYVLENGSSEAKENSAATLFSLSVIEENKIKIGQSGAIGPLVDLLGNGTPRGKKDAATALFNLSIHQENKATIVQSGAVRYLIDLMDPAAGMVDKAVAVLANLATIPEGRNAIGQEGGIPLLVEVVELGSARGKENAAAALLQLSTNSGRFCNMVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRNQRHGNAGRG >fgenesh2_kg.4__2470__AT2G43090.1 pep chromosome:v.1.0:4:21070129:21071640:1 gene:fgenesh2_kg.4__2470__AT2G43090.1 transcript:fgenesh2_kg.4__2470__AT2G43090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase C-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LJX7] MAASLQSANPTLSRTLASPNKSPSFATFRSPFLRFNSTSVASNFKPLVSREASSSFVTRSAAEPQERKTFHGLCYVVGDNIDTDQIIPAEFLTLVPSNPEEYEKLGSYALVGLPASYKERFVQPGEMKTKYSIIIGGENFGCGSSREHAPVCLGAAGAKAVVAQSYARIFFRNSVATGEVYPLDSEVRVCDECKTGDVATVELREGDSILINHTTGKEYKLKPIGDAGPVIDAGGIFAYARKAGMIPSAAA >fgenesh2_kg.4__2471__AT2G43100.1 pep chromosome:v.1.0:4:21071904:21072671:1 gene:fgenesh2_kg.4__2471__AT2G43100.1 transcript:fgenesh2_kg.4__2471__AT2G43100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase C-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LJX8] MASSLPTLPQALPSSLTKTSSSATFRSPFLIFSGSTSFIPSSISLTSRGTSSATIIPRAAAAESDSNEALANTTFHGLCYVLKDNIDTDQIIPAGAACTFPSNQQERDEIAAHALSGLPDFHKTRFVEPGENRSKYSIIIGGENFGCGSSREHAPVCLGAAGAKAIVAESYARIFFRNSVATGEVFPLESEVRVCEECKTGDTVTIELSDSGGLLTNHTTGKNYKLKSIGDAGPVIDAGGIFAYARMMGMIPSLA >fgenesh2_kg.4__2472__AT2G43110.1 pep chromosome:v.1.0:4:21079001:21081256:1 gene:fgenesh2_kg.4__2472__AT2G43110.1 transcript:fgenesh2_kg.4__2472__AT2G43110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEVKPSAVKNPKRNRRPSHGPKKDLKKKSKKTKKSKAPTFDKTIEKSIRNDQKTENEDDEQLYSEPVSASEQLSYFLNQLESAIGIKVSSLELEPIKDTCIVELSQRLDQDVSNLGEHIKLSCGSSWRETLCEGETLERNVEPGNPSVLVISSSALRSLELLRGLHSLTKHCPAVKLFSKHLKVEEQVSLLKKRVNIGSGTPNRIKKLIDIEALGLSRLDMIVVDMHPDVKGFSLFTLPQVRDEFWDLYKNCFHQRVLEGRLRICMYGPKPAPNLKKKKKN >fgenesh2_kg.4__2475__AT2G43140.1 pep chromosome:v.1.0:4:21092234:21095610:-1 gene:fgenesh2_kg.4__2475__AT2G43140.1 transcript:fgenesh2_kg.4__2475__AT2G43140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPNSSKSTAPDGGDAYRNQYDSAAGATRDFSSLAPQSHHSSPPQRQQHNPNLLVVGHYLSGEPSSIGFDSGASSSSLFRHRSSPAGFYDQHLPTDPNGTGFSLGQPNRGYSGGGGGERAPSRLKSELRFSGGSSSHQEHKSLPRILEAEAAAAAINGVASSSMSFGNHNHNNNWDNSSSHISFTIDQPGKRSKNSDFFTLETQFSMPQTSLEMARMENLMNIPEDSVPCKARAKRGFATHPRSIAERERRTRISGKLKKLQELVPNMDKQTSYADMLDLAVEHIKGLQHQVEVRP >fgenesh2_kg.4__2476__AT2G43150.1 pep chromosome:v.1.0:4:21122594:21123759:1 gene:fgenesh2_kg.4__2476__AT2G43150.1 transcript:fgenesh2_kg.4__2476__AT2G43150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LJY5] MATPAWSHAKAQWVVAMLALLVGSAMATEPYYYSSPPPPYEYKSPPPPVKSPPPPYEYKSPPPPVKSPPPPYYYHSPPPPVKSPPPPYVYSSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYHYSSPPPPVKSPPPPPPPVYIYASPPPPTHY >fgenesh2_kg.4__2478__AT2G43160.3 pep chromosome:v.1.0:4:21124911:21130696:1 gene:fgenesh2_kg.4__2478__AT2G43160.3 transcript:fgenesh2_kg.4__2478__AT2G43160.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein [Source:TAIR;Acc:AT2G43160](projected from arabidopsis_thaliana,AT2G43160) MKKVFGQTVRDLKREVNKKVLKVPGVEQKVLDATSNEPWGPHGSLLADLAQASRNYHEYQLIMVVLWKRLSDTGKNWRHVYKALTVLEYMVGHGSERVIDEIRERAYQISTLSDFQYIDSSGRDQGSNVRKKSQSLVALVNDKERIAEVRQKASANRDKYRSSAPGGMYKPSGGYGDKYDYGSRDEERSSYGREREYGYRDDDRNSRDGDRHSRDSEDRYGRDGNRDDDYRGRSRSVDNYQYGSRGRSSEREREDDGHSSSRGSGARADDNSQDGRGGLQRKFSEQNIGAPPSYEEAVSESRSPVYSERDGGETPQVTAPGAASPPPPQVAATSPPTGTNTDNKAATFVNESLSQKVETFDEFDPRSAFSAGPPAYASTDGVTAPPTVTSMSAPPTSNSVEMDLLGSLADVFSSNALAIVPADSAYVETDGQANAGPAPSFSTSQPSTQPFDDPFGDSPFKAFTSTDTDSIPQQNFGASFQPPPPAFTSEVSHPDTAHNFGFGDSFSAVANPDPASQNVQPPSNSPGFPQEQFATSQSGIDILAGILPTSEPPVQSGXXXXXXXXXXXXXTEPGTRSSQPPVSTAPNMPGQTPFGQAVQPYNMVPHSQNMTGAMPFNSGGFMHQPGSQTPYSNPSGPAGQFIAHQGHGMPPSRGPQRTQSGPVTLQGNNNVMGDMFSQAAPNSLTSSSSHPDLTPLTGAIEIVPPPQKKFEPKSSVWADTLSRGLVNFNISGSKTNPLADIGVDFEAINRREKRLEKPTNTPATSTINMGKAMGSGTGLGRSGATAMRPPPNPMRGSGMPMGGGMGVGSYGGMNQNQPMGMGMGAGMNQHQPMGMGMGPGMNMNMGGYGQGYPMQPQNPGMVPGPNTGNNYNPMMGQGGYNPQQSYGGGYR >fgenesh2_kg.4__2486__AT2G43200.1 pep chromosome:v.1.0:4:21135370:21137610:1 gene:fgenesh2_kg.4__2486__AT2G43200.1 transcript:fgenesh2_kg.4__2486__AT2G43200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7LJY8] MNPSQQHLFKLCPKRLFFFFTPFLFFFLYYILTTIKTITFSSQVPHHPPQIQVPSISHYSSLPETSENRSPPPPSSSSSSSSSSSPSYFPLCPKNFTNYLPCHDPSTARQYSIQRHYRRERHCPDIAQEKFRCLVPKPTGFKTPFPWPESRKYAWFKNVPFKRLAELKKTQNWIRLEGDRFVFPGGGTSFPGGVKDYVDVILSVLPLASGSIRTVLDIGCGVASFGAFLLNYNILTMSIAPRDIHEAQVQFALERGLPAMLGVLSTYKLPYPSRSFDMVHCSRCLVNWTAYDGLYLMEVDRVLRPDGYWVLSGPPVASRVKSKNQKRDSKELQNQMEQLNGVFRRLCWEKIAESYPVVIWRKPSNHLQCRQRLQALKFPGFCSSSDLESAWYKEMEPCITPLPDVNDTHKIVLRNWPERLNNVPRRIKTGLIKGTTIASFKSNNNMWQRRVLYYDTKLKFLSNGKYRNIIDMNAGLGGFAAALNKYTMWVMNVVPFDLKPNTLGVVYDRGLIGTYMNWCEAFSTYPRTYDLIHANGVFSLYLDKCDIVDILLEMQRILRPEGAVIIRDRLDVLIKVKAITSQMRWNGTVYPDDNSGFDHGTILIVDNSVK >fgenesh2_kg.4__2487__AT2G43210.2 pep chromosome:v.1.0:4:21137773:21141009:1 gene:fgenesh2_kg.4__2487__AT2G43210.2 transcript:fgenesh2_kg.4__2487__AT2G43210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LJY9] MEALSSLTFKGSVPEAIFEAKGQKKLFVVYISGEDEESDKLNRLTWTDASVAESLSKYCILVHIQAGSVDATNFSAIYPYSSVPCIAAIGFSGTQVWKNEGFIAAEDIASSLEKAWLGLHIQETTASIFSAALASQNSEKPASSASNVVLPSESGPLDAPVASPSTASSVQPSETKSTVTSASTKENNDGTVAVKGKESAEPSNLCDTTKNQPAPSVDGTKANVEHEATGAPSHVQAEKEPIRPAAPGTNDNASSVRSSVDSKRKKGTVINKDDSGLGVSERDINLTKSVGTEEVMKPKDEGGEEEVGEKSKKSSDVHLNIRLPDGSSLQEKFSVTSILRMVKDYVNSNQTIGLGAYDLAVPYPRKVYSDQDMDKSLSELGLFDRQALVVVLRKRATVYQRGPSYSESNNNTDPNDGGYFAYVRRVLSYANPFSYFGGGTANTSSSGPEPQSGPQAELRNNLGQGGSSFQDSSEGRSNVRNRRPTTSRIGSNIHTLNHTEDDAPFGDGNAFWNGNSTQYGGGSGGDSNDRR >fgenesh2_kg.4__2489__AT2G43230.1 pep chromosome:v.1.0:4:21143520:21145918:1 gene:fgenesh2_kg.4__2489__AT2G43230.1 transcript:fgenesh2_kg.4__2489__AT2G43230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDFHRRGQVANDRTQSNFVRLDKPRAVDDIDIGKRGKMRRWLCCSCRVQESYPTAEHNRLKTPPTRHYDYGRNNKKAPAPVKPPVLKEPPPIDVPAMSLVELKEKTQNFGSKALIGEGSYGRVYYANFNDGKAVAVKKLDNSSEPETNVEFLTQVSKVSRLKSDNFVQLLGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPTLEWMQRVRVAVDAAKGLEYLHEKVQPPVIHRDIRSSNVLIFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKAVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLRSATAVAPPTHQA >fgenesh2_kg.4__248__AT2G23170.1 pep chromosome:v.1.0:4:1666339:1668732:-1 gene:fgenesh2_kg.4__248__AT2G23170.1 transcript:fgenesh2_kg.4__248__AT2G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3.3 [Source:UniProtKB/TrEMBL;Acc:D7LEP8] MTVDLPLRSPMNYSPSDKDVKALRFIEEMIRNVDFVQNKVIREILRRNSETEYLKRFGLKGFTDRKAFKTKVPVVTYDDLKPEIQRIANGDRSMILSSHPITEFLTSSGTSAGERKLMPTIEEDMDRRQLLYSLLMPVMNLYVPGLDKGKALYFLFVKTESKTPGGLPARPVLTSYYKSEQFKRRPYDPYNVYTSPNEAILCPDSSQSMYTQMLCGLLMRHEVLRLGAVFASGLLRAIGFLQTNWKELANDISTGTLSSRISDPAIKESMSKILTKPDQELADFITSVCGQDNNWEGIITKIWPNTKYLDVIVTGAMAQYIPMLEYYSGGLPMACTMYASSESYFGINLKPMCKPSEVSYTIMPNMAYFEFLPHEVPTGKSELVELADVEVGKEYELVITTYAGLNRYRVGDILQVTGFYNSAPQFKFVRRKNVLLSIESDKTDEAELQKAVENASVLLGEQGNRVIEYTSYAETKTIPGHYVIYWELLVKDQTNPPNDEVMARCCLEMEESLNSVYRQSRVADKSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVSFTPIMELLDSRVVSTHFSPALPHWSPERRC >fgenesh2_kg.4__2493__AT2G43250.1 pep chromosome:v.1.0:4:21156061:21158813:1 gene:fgenesh2_kg.4__2493__AT2G43250.1 transcript:fgenesh2_kg.4__2493__AT2G43250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFFFANSLQNIWPFSIFVSSDLKESKELVHRLSLPESTKNFVFAIRVPEHDSTIYILAAQNLSERSASDAECLIREIRPGAVVAQVDKTAFGEAQVEESVLGDGSSDSIPTSAFKVLIQCFVDKVNKEKYEGIAGIVVLREIFGTSFNGHLLAAKRVAGEVGSSFMVLESPFVNIAAVEDAGGKMQSLANSLVPQLSGSTIFSSSRRFLITNDVQARMLKLISLQMNQVNKELSPSSCVASGVSNEIQSCSHEVPPFAQSIYPLLVDLHDIFIDLPSIGKALANARRMLSDVNRGESMDTGVISEVYLFQIAVEGLRIALNNAGRLPIKNTGSSSRTEVQFSQLSSEDKSYALMADLLRSQAKKFKNIVAVVDACSLAGLRKHWKTCVPQEVKDMSENMLQDFDNDEKTNDSKLKRLLSDKPVVAVGAGATAIWGASSLSKAISASPFFKIVTFKVPASLNLFLTHTHKALTFAFTKVAVPSKAMAPGFASSGAKSTSLIKASLSAEKIRAVTHSIIASVEKTSLSAMRTAFYEIMRKRRAKPIGTLPLATFGASLATCAGLFAYGDGIECAAMSLPSAPSIANLGRGIQNLHEASLEVRMREGNRIQNAIESLRQRLKKVKF >fgenesh2_kg.4__2495__AT2G43280.1 pep chromosome:v.1.0:4:21173254:21174551:1 gene:fgenesh2_kg.4__2495__AT2G43280.1 transcript:fgenesh2_kg.4__2495__AT2G43280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7LJZ7] MEDEDDSATKNSNNTDDFAIEPREGIEFESEDAAKMFYDDYSRRLGFVMRVMSCRRSEKDGRILARRFGCNKEGHCVSIRGKFGSVRKPRPSTREGCKAMIHVKYDRSGKWVITKFVKEHNHPLVVSPREARHTLDEKDKRIQELTIELRNKKRLCAAYKEQLDAFAKIVEEHSNQIAKKVENVVNNLKEFEPLEHALLRSKQDAI >fgenesh2_kg.4__2497__AT2G43310.1 pep chromosome:v.1.0:4:21179064:21179711:1 gene:fgenesh2_kg.4__2497__AT2G43310.1 transcript:fgenesh2_kg.4__2497__AT2G43310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTHRHHLLQLVLSCRKITAQVTQPGSSTIVAMASSSEQEFLVKSRANLYRFPHSNNFWDSKTASRVGEKLGLRLRDLGVDVVSIDADEEISRPIQHRKRVLPLFDSVRRTGIRVDGTDQLNNIGVTVIPRN >fgenesh2_kg.4__2499__AT2G43320.1 pep chromosome:v.1.0:4:21179811:21183075:-1 gene:fgenesh2_kg.4__2499__AT2G43320.1 transcript:fgenesh2_kg.4__2499__AT2G43320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLLAQCLPGLLPQDRGGVSALSEKDLQLPTPAVEIIPSKTAAHHRYSGENLDDARFTSFQESREETLLVKHGKVSVADIMGLSGSETALKNEGSLKSWESSIVLVNVLKNEIRDGQLSFRGKRVLELGCNYGVPGIFACLKGASSVHFQDLSAETIRCTTIPNVLANLEQARDRQSRQPESPLTPSRQAISTSVRFYAGEWEELSTVLSIIRTDVFEPAIPTMNLSFSEEDFMDGCSSQDGSIAGQQDFSSRRSRKLSGSRAWERANETDQGGECGYDVILMTEIPYSVTSLKKLYSLIKKCLRPPYGVMYLAGKKQYVGFNSGAKHLRNLVDEETILGAHLVKETTDRDIWKFFLK >fgenesh2_kg.4__249__AT2G23171.1 pep chromosome:v.1.0:4:1668779:1668991:-1 gene:fgenesh2_kg.4__249__AT2G23171.1 transcript:fgenesh2_kg.4__249__AT2G23171.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LEP9] CYGHDNKLPNHSNMPTWLRHMSPTCLPKTSQRLRVHGPSCPLSRSNHNKPSPLLCPRGISLTLAFSFLPL >fgenesh2_kg.4__24__AT2G21150.1 pep chromosome:v.1.0:4:163539:166233:1 gene:fgenesh2_kg.4__24__AT2G21150.1 transcript:fgenesh2_kg.4__24__AT2G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XAP5 family protein [Source:UniProtKB/TrEMBL;Acc:D7LL04] MSGMGDGYVGTAQDAVRIRRLQKQREAERKKIQDLKSKSASGKDQSGLLQFGTSSCEILDTAFKKETVGLVTREEYVEKRVNIRNKFEEEEKEKLQKLQQEEEELQLEKRNKKRKIKGSSRLSFAEDFENGSDEDDGENKSSGTVNLRCGKLGKDPSVETNFLPDSEREAEEQAERERLKKQWLREQEQIKNEPLEITYSYWDGTGHRRVIQVRKGDPIGNFLRAVQQQLAPDFREIRTASVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTVHGD >fgenesh2_kg.4__2501__AT2G43340.1 pep chromosome:v.1.0:4:21191273:21192210:1 gene:fgenesh2_kg.4__2501__AT2G43340.1 transcript:fgenesh2_kg.4__2501__AT2G43340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSDFLSRCSSYEADVDSDSEISTSSFSSCSYSESEEEEIDNGFGVVESKKKTKKLEKKKSNVLLEGYVVDSGVNDDLKRTKSLTDDDLEELKGCVDLGFGFNYEEIPELCNTLPALELCYSMSQKFIDHQDHHHSSSSSSPEKKLSVLDSPVSPIASWKISSPGDNPDDVKARLKFWAQAVACTVRLCT >fgenesh2_kg.4__2502__AT2G43350.1 pep chromosome:v.1.0:4:21192189:21194292:-1 gene:fgenesh2_kg.4__2502__AT2G43350.1 transcript:fgenesh2_kg.4__2502__AT2G43350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LK03] MPRSSRWVNHRATSKTKKLILFLLVAFVFYLYRYPSSPSTVEQSSSSIYNVSVKDIEGKDVSLSKFTGKVLLIVNVASKCGLTHGNYKEMNILYAKYKTQGFEILAFPCNQFGSQEPGSNKEIKETVCNIFKAEFPIFDKIEVNGKNTCPLYNFLKEQKGGLFGDAIKWNFAKFLVDRQGNVVDRYAPTTSPLEIEKDIVKLLASA >fgenesh2_kg.4__2504__AT2G43370.1 pep chromosome:v.1.0:4:21197221:21199302:1 gene:fgenesh2_kg.4__2504__AT2G43370.1 transcript:fgenesh2_kg.4__2504__AT2G43370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGNNVVNKVLYATSYHPIQAGSIDGTDVAPHDNGVRRALLCYNAGLCDPSGDSKAIGDPYCTLFVGRLSHHTTEDTIREVMSKYGWIKNLRLVRHIVTGASRGYAFVEYETEKEMLCAYEDAHHSLIDGREVIVDYNRQQLMPGWIPRRLGGGLGGRKESGQLRFGGRDRPFRAPLRPIPHVDLKKLGIQLPPEGRYMSRTQIPSPPRRKGNVSDREEEYYREKRSVERDEEFKERSSLRSYHSHRTSSHTHSSHRRRSKDRDERSRTESRSDRKERSRGMEDRYGDNKGEVSGSKRSKRLEEDRCHKRHKHMHSHHHRRSSSQDQHSSD >fgenesh2_kg.4__2505__AT2G43386.1 pep chromosome:v.1.0:4:21208058:21208226:1 gene:fgenesh2_kg.4__2505__AT2G43386.1 transcript:fgenesh2_kg.4__2505__AT2G43386.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LK09] MTFGKKIAIVSMAIFLMIVATTATEVDGRIHVRRSLADFGGGCCNVFIHTCCFPKH >fgenesh2_kg.4__2507__AT2G43400.1 pep chromosome:v.1.0:4:21218242:21222415:1 gene:fgenesh2_kg.4__2507__AT2G43400.1 transcript:fgenesh2_kg.4__2507__AT2G43400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron-transfer flavoprotein:ubiquinone oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7LK13] MHRFLVKLSSSSSNPLRSLKNQRLILPLSPSSKSFTSSSVSPPPSPSNASNRFGYPYSAELFRNLSPLSSNSRTLGVNGISSRCISSEPGRESIEYDVLIVGAGPAGLSAAIRLKQLSQEKNIDLSVCVVEKGAEVGGHIISGNVFEPVALDELLPHWRQEHAPIEIPASSDKFWFLTKERAISLPSPFDNKGNYVISLSQLVRWLGGKAEELGTEIYPGFSASEVLYDASDKVVGIATKDMGISKDGSKKENFQPGVDIKGRVTLFAEGCRGSLSERIIKKYKLREEVNAQHQTYALGIKEVWEIDESKHNPGEVIHTLGWPLDPKTYGGSFLYHMNDRQVALGLVVALNYHNPFLNPYEEFQKLKHHPAIKRILEGGTVLQYGARTLNEGGFQSIPYPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEAAFGVIHEGLHMNTYWDNLRDSWVWKELYAARNYRPAFEYGLLPGLAVSAMEHYVLKGKVPFTLKHGKADHEATDLARKCTPIVYPKPDGVLSFDVPTSLYRSNTNHDHDQPSHLRLRDPKIPEKVNFPEYAAPESRYCPARVYEYIEDEEGKPKLQINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPAYSLM >fgenesh2_kg.4__250__AT2G23180.1 pep chromosome:v.1.0:4:1700430:1702096:1 gene:fgenesh2_kg.4__250__AT2G23180.1 transcript:fgenesh2_kg.4__250__AT2G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP96A1 [Source:UniProtKB/TrEMBL;Acc:D7LEQ1] MALITLLEVSISLLFISFLYGYCLISKKPHRSFLTNWPFLGMLPGLLVEIPRVYDYVTELLEASNLTYPFKGPCFGGLDMLITVDPANIHHIMSSNFANYPKGSEFKKIFDVLGDGIFNADSELWKDLRKSAQSMMTHQDFQRFTLRTSMSKLEKGLVPLLDYVAEKKLVVDLQDVFQRFTFDTSFILATGVDPGCLATEMPQIEFARALDEAEAAIFFRHVKPEMVWKMQRFIGFGDEMKMRKAHSTFDRVCSKCIASKRDEITNGVINIDSSSKDLLMSYMSVDTTKYKLLNPSDDKFLRDMILSFMIAGRDTTGSALTWFFWLLSKNPKAITKIRQEINTKLSPRTNDFDSDSFSSQELNKLVYLHGALCEALRLYPPVPFQHKSPTKPDVLPSGHRVDASSKIVFCLYSLGRMKSVWGEDASEFKPERWISESGRLIHMPSFKFLSFNAGPRTCLGKEVAMTQLKTVAVKIIQNYEIKIVDGHKIEPVPSIILHMKHGLKVTVTKRCNMV >fgenesh2_kg.4__2511__AT2G43410.3 pep chromosome:v.1.0:4:21223027:21228364:-1 gene:fgenesh2_kg.4__2511__AT2G43410.3 transcript:fgenesh2_kg.4__2511__AT2G43410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMKPFRADDSGFQSNNLWVGSLTPETTESDLTELFGRYGDIDRITVYSSRGFAFIYYRRVEEAVAAKEALQGANLNGSQIKIEYARPAKPCKSLWVGGIGPSVSKDDLEEEFSKFGKIEDFRFLRERKTAFIDYYEMDDALQAKSMNGKRMGGSFLRVDFLRSQAPRKEQWAGSYDNRNGNMNHKPQHPHSYDDGKGDVQPSKVLWIGYPPNATQCNDEQMLHNAMILYGEIERIKCYPSSHFSLVEFRSAEEARHAKEGIQGRLFNNPRIKIMYSNDELPPEPDDTSFYSGMKRSRTDMFNNDPSFISSPHSTGIPGSMRPLRGSNERSYNGSEYNDVVGKEPNWRRPSANGTGILPSPTGPGILPSPAQGMRHPMRSNPGSWEEYDPAQLDRESKRTRRDGSVDGFTPMGVDERSFGRVSVAARPIRGPPDSDYIWRGMIAKGGTPVCCARCVPMVKGIETKLPEVVNCSARTGLNMLAKHYTDAIGFEIVFFLPDRQEDFASYTEFLRYLSSKDRAGVAKLDDGTTLFLVPPSDFLTDVLKVSGPERLYGVVLKLPPPAVPVTASYIQESQSNPLHYMDQARDSPANASHSLYPPRENYNRVALEHLTAASKPSVSEPLRIPNNAAPQAGVSLTPELLATLASILPATSQPAAPESHQPISGPSTVVSTVPQSNGLYNGEAPSQAWKRGPQTVHDATNQSFQQYGNQYTPAGQLPPPPARYPPASNNPNYSSGMVHGNMQYQGQSVNIPQLSPLPNMPHNNYSMYTQGSTNHPVSQPMTQQYQPEASVPNQNYGPIPSYQQANYHGVTTNQAHNLNPSQFQAVMQPPVDKANLEPQNQAPQLQPMISGAGQGTTDDGEVDKNQRYQSTLQFAANLLLQIQQKQQQQSSGTPAGQGP >fgenesh2_kg.4__2512__AT2G43420.1 pep chromosome:v.1.0:4:21228623:21232338:-1 gene:fgenesh2_kg.4__2512__AT2G43420.1 transcript:fgenesh2_kg.4__2512__AT2G43420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:D7LK15] MDEDSVRGGDSHLKTCVVLGGRGFTGRSLVSRLLRLGNWTVRVADSGHTLHLDASDSVLEDALSSGRASYHSVDVRDKPQIVKATEGSYVVFYMGATDLPSHDYFDCYKVIVQGTRNVISACRESGVRNLIYNSSADVVFDGSQPIRDGDESLRRPLKFQSMLTDFKAQAESLIKFANNRDGLLTCALRSSIVFGPGDTEFVPFLVNLAKSGYAKFIIGSSENMSDFTYSENVCHAHICAAEALDSNMEFVAGKDFFITNLKPVRFWDFVSHIVEGLGYPRPSIKLPVRLVLFVFSLLKWTHEKEGLGSNYDTAHQYALLASSTRTFNCNAAKKHLGYTPVVTLEDGIASTLQWFSRDLEKFDDTIIQSTADQLLGCGKVADLLLWRNEKKTFLSFLFLNLFYYWFFFSGNTFTSSAAQLLFIFAVALYGVAFVPSKIFGFQVKKIPPWRFEISESAVRDLSRDIVVVWNQGVRGFKSLSIGGDWIKFFKIAGSLYLLKLIVSRSLAAFLFTVMSFSFTAFFIYEQYELELYHLARIFIECLTVLKRMVIPVSGASSKPMFM >fgenesh2_kg.4__2513__AT2G43430.1 pep chromosome:v.1.0:4:21232606:21235687:-1 gene:fgenesh2_kg.4__2513__AT2G43430.1 transcript:fgenesh2_kg.4__2513__AT2G43430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase 2-1 [Source:UniProtKB/TrEMBL;Acc:D7LK16] MPVISKASSTTTNSSIPSCSRIGGQLCVWPGLRQLCLRKSLLYGVMWLLSMPLKTLRGARKTLKITHFCSISNMPSSLKIELVPCSKDNYAYLLHDEDTGTVGVVDPSEAAPVIEALSRKNWNLTYILNTHHHDDHIGGNAELKERYGAKVIGSAVDKDRIPGIDILLKDSDKWMFAGHEVRVLDTPGHTQGHISFYFPGSATIFTGDLIYSLSCGTLSEGTPEQMLSSLQKIVSLPDDTNIYCGRENTAGNLKFALSVEPKNETLQSYATRVAHLRSQGLPSIPTTVKVEKACNPFLRTWSKDIRKSLSIPDSATEAEALRRIQRARDRF >fgenesh2_kg.4__2515__AT2G43445.1 pep chromosome:v.1.0:4:21238844:21239983:1 gene:fgenesh2_kg.4__2515__AT2G43445.1 transcript:fgenesh2_kg.4__2515__AT2G43445.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LK17] MEEERKNTNSIYIVPELLEEIFLGLPLKSILRFKTVSKQWRSILESKLFVERRRTLQKNRRKILAAYNCNYCRRPSIHPESRFEGDEEIVYLHCDAAQPSMTCDGLVCITEPSWFNVLNPSTGQLRRFPLNRFSGTQGNWLLGFGRDKVTGMYKIVRLCFRDFYEFGILDIETGEWSKLRTPPSVYVNGSIYWLQISASYIILALDLHKESYYGIHHLPATWVTQETQLVNLEDRLAIAMTTKVGPEWILEIWSMDIEGKGWSKRYSWSKTYSISLAHRVVVSWLWQRRWFTPVSVSKQGNLVFYDNHKRLFKYYSGRDEIRCLSSNICVISSYLENLAPLPLKPSH >fgenesh2_kg.4__2516__AT2G43480.1 pep chromosome:v.1.0:4:21254810:21256278:1 gene:fgenesh2_kg.4__2516__AT2G43480.1 transcript:fgenesh2_kg.4__2516__AT2G43480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LK21] MVMIHIFLTAMVVGGVSLFPETAEATVMGPSMQKLTWHYYKVYNTCENAENFVRHQVEIFYKNDKSIAPKLLRLLYSDCFVSGCDASVLLEGPNSERMAPQNRGLGGFVLIDKIKIVLEQRCPGVVSCADILNLATRDAVHLAGAPSYPVFTGRRDGLTSDKHTVDLPSPSISWNQAMSYFKSRGLSVLDMATLLGSHSMGRTHCSYVVDRLYNYNKTGKPSPTMNKYFLSEMAKQCPPRTRKGQTDPLVYLNPDSGSNHSFTNSFYSRILSNKSVLEVDQQLLYNVDTKQISKEFSESFEDFRKSFALSISKVGAINVLTKTEGEIRKDCRRRN >fgenesh2_kg.4__2522__AT2G43500.1 pep chromosome:v.1.0:4:21267499:21271397:1 gene:fgenesh2_kg.4__2522__AT2G43500.1 transcript:fgenesh2_kg.4__2522__AT2G43500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWP-RK domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LK24] MDGLSTTFGSGVRSLLSDDMLNSSSELMNFDSFAAWCNSPSATDILFAQYGLSNSQPMPFGAFTSFHAAEPKATSLTRSFHDLESSYYGQERSSVQELSSQFHRSSDSDELSGKRRKVVNQKIGFPNVLNCTIPRSLSHSLDEKMLKALSLFMESSGSGEGILAQVWTPIRTGDQYLLSTCDQAYLLDPRLSQYREVSRKFTFASEANQSSFPGLPGRVFISGVPEWTSNIMYYKTDEYLRMKHAIDNEVRGSIAIPILEASGTSCCAVMEFVTSKEKPNFDMEMDSVCRALQAVNLRTSAIPRPQYLSSSQRDALAEIQDVLRAVCHAHKLPLALAWIPCRKDQSIRVSGPKSGENYILCIEETACYVNDMEMKGFVHACLEHCLREKEGIVGKAFISNQPFFSSDVKSYDISEYPIVQHARKYGLNAAVAIKLRSTYTGEDDYILELFLPVSMKGSLEQQLLLDSLSGTMQRICRTLRTVSEVGSTKKEVSNFPQITSLGNLGNFQTRSLDSEVNSTRSIFSGMSSDKENSITISQGALEQDMSKARTLEKKKSTTEKNVTLSALQQHFSGSLKDAAKSLGGETSTFFPGMDLIFCPTTLKRICRQHGIMRWPSRKINKVNRSLRKIQTVLDSVQGVEGGLKFDSTTGEFIAVGPLIQEFDTQKGLSSHGNDAHARRSQEDMTDDTSFELHEAKFVDNAIKLEEDMIMNQARTGSFMEINASGQPWGWMAEQSGLNGSEGIHSVCNLSSLEISDGMDPTIRCSGSIVEPNQSMSCSISDSSNGSGAVMRGSSSTSMEDWNQMKTQNNNSGESRSTTLIVKATYREDTVRFKFEPSVGCPQLYKEVGKRFKLQDGSFQLKYLDDEEEWVMLVTDSDLQECLEILYGMGKHSVKFLVRDLPVLIGSSAGSNGYLGTGL >fgenesh2_kg.4__2523__AT2G43510.1 pep chromosome:v.1.0:4:21279606:21280245:1 gene:fgenesh2_kg.4__2523__AT2G43510.1 transcript:fgenesh2_kg.4__2523__AT2G43510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIVSIFVVFFIFFLVISDVPEIEAQGNECLKEYGGDVGFRFCAPRIFPTFCYTRCREDKGAKGGRCRWGQGSNVKCLCDSCDDTPR >fgenesh2_kg.4__2524__AT2G43520.1 pep chromosome:v.1.0:4:21281044:21281688:1 gene:fgenesh2_kg.4__2524__AT2G43520.1 transcript:fgenesh2_kg.4__2524__AT2G43520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSVSTLAVSVILFLVIFEMPEIKAQDSKCLKEYGGNVGFSYCAPRIFPSFCYRNCRKNKGAKGGRCRSGGAGAGGMICLCDYCSDKP >fgenesh2_kg.4__2525__AT2G43540.1 pep chromosome:v.1.0:4:21285323:21285866:1 gene:fgenesh2_kg.4__2525__AT2G43540.1 transcript:fgenesh2_kg.4__2525__AT2G43540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISGSKRVTTILSNSPEFNSACDSAYEESLSLAQHAFAGVRPYQLVSAAAHIHRNLSSLGFSLITRWVPSPPSQSQVDSALRVTVSRVAAAEEEEILGPEEFKEWAVEVFAEAVVGNARKTIASQIPLGIVGIAGIGAVTRSGQNLIGAAIGVYAIGVATSVFLSLSD >fgenesh2_kg.4__2527__AT2G43560.1 pep chromosome:v.1.0:4:21286835:21288546:-1 gene:fgenesh2_kg.4__2527__AT2G43560.1 transcript:fgenesh2_kg.4__2527__AT2G43560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7LK31] MAASSPSLLLPLGSVSRNGLAIKNPYSSRYIVARVIASETREQSCKINSLSSRREAMLLVLGVSGGLSFSSLAAYAAGLPPEDKPRLCEAECEKELENVPMVTTESGLQYKDIKVGTGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGLPYLFRVGSGQVIKGLDEGILSMKAGGKRRLYIPGPLAFPKGLTSAPGRPRVAPNSPVVFDVSLEFIPGLDSEEE >fgenesh2_kg.4__2529__AT2G43580.1 pep chromosome:v.1.0:4:21306132:21307372:-1 gene:fgenesh2_kg.4__2529__AT2G43580.1 transcript:fgenesh2_kg.4__2529__AT2G43580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKIFLILLLSLLGFYSETVKSQNCNCAPNLCCSQFGYCGTTADYCGSTCQSGPCRVGGPPTGAGLVGSIVTQNFFNNIINQTGNGCGGKRFYTRDSFINATNTFSSFANTVTRREIATMFAHFTYETGNFCYIEEINGASRVMCDQNNRQYPCAPGKSYHGRGPLLLSWNFNYGACGQSLGLDLLRQPEQVGSNPIVAFRAALWFWVKGVRPVLNQGFGATVRAVSGLDCDGRNLGGVNARIGYYRDYCGQLGVDPGTNITC >fgenesh2_kg.4__252__AT2G23200.1 pep chromosome:v.1.0:4:1705985:1708611:1 gene:fgenesh2_kg.4__252__AT2G23200.1 transcript:fgenesh2_kg.4__252__AT2G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFCFQNSVSLFVSIMVLVLLPRLTLSATSTYTRPEKFYVNCGSDSNVFYGGQTFVGDKNFSGNSVSFTNRTEVIDNQSSVVPEIYRTVRIFRRPSSYEFKLDSLGLHFVRLHFSVVFSRADLLTARFTVSAISGSNHHLKSFPLQNFTETPRVEEFLLMMDSLEFEIRLVPDHSSLAFVNAIEVFPAPNDLEIQPDFDKNLHMIYRLNVGGEKITPDNDTLGRTWSPDDEDFLYRKDSARNINSTQTPNYSATEFTAPAFVYKTAKAMNRSSNERVGMLTNVTWSFKVKSNYRHFIRIHFSDILSNFSNSDSDFYLYVNGYWRVDVKPSEQPKLATPFFIDVVNVSDGSGLLNISIGTKEADKDAGFLNGLEMMEFLIKSGSDSSNRSSSRVHIIAGCVSAAASALVLSLLFMVFLKRRRSKKTKPDVEGTVWSPLPLHRGGSSDNRPISQYHNSPLRNLHLGLTIPFTDILSATNNFDEELLIGKGGFGDVYKAILPDGTKAAIKRGKTGSGQGILEFQTEIQVLSRIRHKHLVSLTGYCEENSEMILVYEFMEKGTLKEHLYGSNLPPLSWKQRLEICIGAARGLHYLHSCAEGVIIHRDVKSTNILLDENTIAKVADFGLSKLTIRNQDPTNISLNIKGTFGYLDPEYLQTHILTEKSDVYAFGVVLLEVLLARPALDCTLRYEEANLAEWALFCKSEGKIDEILDPSLIGQIETNSLKKFMEIAEKCLKECGDERPSMGDVIWDLEYVLQLQMMTIRREAHEEDSTAIVSSGGSLVAPRLMVSDSFSTNSFVQKDDESKNRFGFTDSSETRVFSQLKISDAR >fgenesh2_kg.4__2530__AT2G43590.1 pep chromosome:v.1.0:4:21309800:21311239:-1 gene:fgenesh2_kg.4__2530__AT2G43590.1 transcript:fgenesh2_kg.4__2530__AT2G43590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTKISLVLLLCLLGLYSETVKSQNCGCAPNLCCSQFGYCGTDDAYCGAGCRSGPCRGSGTPTGGSVGSIVTQGFFNNIINQAGNGCAGKRFYTRDSFVNAANTFPNFANSVTRREIATMFAHFTHETGHFCYIEEINGASRNYCQSSNTQYPCAPGKGYFGRGPIQLSWNYNYGACGQSLGLDLLRQPELVGSNPTVAFRTGLWFWMNSVRPVLNQGFGATIRAINGMECNGGNSGAVNARIGYYRDYCGQLGVDPGPNLSC >fgenesh2_kg.4__2531__AT2G43600.1 pep chromosome:v.1.0:4:21315746:21316786:-1 gene:fgenesh2_kg.4__2531__AT2G43600.1 transcript:fgenesh2_kg.4__2531__AT2G43600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 19 protein [Source:UniProtKB/TrEMBL;Acc:D7LKG2] MTSQNAILKNALVVFLFNLAILAETVFSQNCMDTNGVSVAPKMITADSFVSVALAISRENPTDMTTTLMPPRGKIETVITPALFDSIMSKVESNCSAKGFYTYEAFITAFKSFGAYKGKVAKREIAAILAHFSYGSKSFCDKEEISNERYCSKSKKYPCEPGKNYFGRGLLQSITWNEYYGAAGKHLGLPLLKDPDLVARSPEVAFKFAMWFWNRNVRPALYLGFGEITKRVDGRECGNWRRDDTKNKVKQYIEFCEMLGVTPDQGLDC >fgenesh2_kg.4__2532__AT2G43610.1 pep chromosome:v.1.0:4:21317692:21319050:-1 gene:fgenesh2_kg.4__2532__AT2G43610.1 transcript:fgenesh2_kg.4__2532__AT2G43610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 19 protein [Source:UniProtKB/TrEMBL;Acc:D7LKG3] MATQNGISKNALIIFLFTLTIMTETAFSQNCGTTGCKGNMCCSRWGYCGTTKAYCGTGCQSGPCNSKPKPTPTPSGSGGLNAGPRGTIASVVTPAFFNSIMSKVGSGCPAKGFYTRQAFIAAAESFAAYKGTVAKREIAAMLAQFSHESGSFCYKEEIARGRYCSPSTTYPCQPGKNYYGRGPIQITWNYNYGAAGKFLGLPLLTDPDMVARSPTVAFKCAMWFWNKNVRPVLSQGFGATTRRINGGECNGGRPAAVQSRVNHYLDFCKKLGVTPGTNLSC >fgenesh2_kg.4__2533__AT2G43620.1 pep chromosome:v.1.0:4:21322726:21324016:-1 gene:fgenesh2_kg.4__2533__AT2G43620.1 transcript:fgenesh2_kg.4__2533__AT2G43620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQRAMLKNALILFLFTLTIMAKTAFSQRCSTTGCAANLCCSRYGYCGTTAAYCGTGCRSGPCSSPTTPIPPTPSGGAGGLNADPRDTIENVVTPAFFDGIMSKVGNGCPAKGFYTRQAFIAAAQSFEAYKGTVAKREIAAMLAQFSHESGSFCYKEEIARGKYCSASTAYPCAPGKDYYGRGPIQITWNYNYGAAGKFLGLPLLTDPDMVARSPQVAFQCAMWFWNLNVRPVLDQGFGATTRKINGGECNGRRPAAVQSRVNFYLEFCRMLGITPGANLSC >fgenesh2_kg.4__2534__AT2G43630.1 pep chromosome:v.1.0:4:21324867:21326501:1 gene:fgenesh2_kg.4__2534__AT2G43630.1 transcript:fgenesh2_kg.4__2534__AT2G43630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTQANCFRPSYYPARITRPNCVSSVSIRSSVRFDYCPRTSFTLRATTAVSTQFSPLLNHRRRLPTGKSKQSPAVCLFGGKDKPDGSDEISPWKAIEKAMGKKSVEDMLREQIQKKDFYDTDSGGNIPPRGGSGGGGGNGEERPEGSGGEDGGLAGIADETLQVVLATLGFIFLYTYIITGEELVKLARDYIRFLMGRPKTVRLTRAMDGWNGFLEKMSRQRVYDEYWLEKAIINTPTWYDSPEKYRRVIKAYVDSNSDEAYVDSNSDEVSY >fgenesh2_kg.4__2536__AT2G43640.1 pep chromosome:v.1.0:4:21326564:21328180:-1 gene:fgenesh2_kg.4__2536__AT2G43640.1 transcript:fgenesh2_kg.4__2536__AT2G43640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 14 kDa family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LKG6] MVLLQLDPFLNELTSMFEKSKEKGSVWVTLKRSSLKSKVQKRKLSSAGESIEYRCLIRATDGKKTVSTSVGAKDHQRFQASYATILKAHMTALKKRERKDRKKSTEAEKKEGTSTTKS >fgenesh2_kg.4__2538__AT2G43660.2 pep chromosome:v.1.0:4:21333185:21334269:-1 gene:fgenesh2_kg.4__2538__AT2G43660.2 transcript:fgenesh2_kg.4__2538__AT2G43660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein 17 [Source:UniProtKB/TrEMBL;Acc:D7LKG8] MTKAQICFSFIILLYISSVGNFMRVNAQAPGQGSWCVAKPGTPIEQLVKNLNYVCSNSGIHCEVVSKGGTCYDPINLYNSASVAMNLYYQNQGRHYSKCDFEGSGIITVTDPSEFYGCCIYEFYK >fgenesh2_kg.4__2540__AT2G43670.1 pep chromosome:v.1.0:4:21335119:21335945:1 gene:fgenesh2_kg.4__2540__AT2G43670.1 transcript:fgenesh2_kg.4__2540__AT2G43670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein 17 [Source:UniProtKB/TrEMBL;Acc:D7LKG9] MAKAQICLCFIIILYLWSEGNLMKVAKADRSGDWCVAKPSTANERLQENINFACSKIDCQIILEGGACYLPDNLISRASVAMNLYYQAQGRHFWNCNFEGSGLIGITDPSYGSCIYQFRK >fgenesh2_kg.4__2546__AT2G43720.1 pep chromosome:v.1.0:4:21356267:21357201:1 gene:fgenesh2_kg.4__2546__AT2G43720.1 transcript:fgenesh2_kg.4__2546__AT2G43720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETAEEKMVRERIRKKVNEVSSVSQSLLSPIQDHINFTLQKAYFKCAYECFDRTRTHAEISRCAESCSVPITNSQNHFDNEMSVFQERLNRSLVVCQDKFESAKLKKTRNEAVNDLEHCVNQTVDEAVKTLPNLATRMKKALSITD >fgenesh2_kg.4__2547__AT2G43740.1 pep chromosome:v.1.0:4:21366973:21368507:-1 gene:fgenesh2_kg.4__2547__AT2G43740.1 transcript:fgenesh2_kg.4__2547__AT2G43740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGREMFKVGPIGSKRDYHKNLEWDEKGRNMISSIYVAFDRDAINHIQFSYRQNGGHVVSEKYGASDAIKRKYGTSKGQSHVMFVFVMVTDDHVKTNLCNIQARLNDDEFVTGLSAIDCIGVTTLNIHTNQGKHGPICDIFQSVTNMDKYKREIDVKIRDRREFGGFFGSFDDYGNLTSIGIYVRPITRINDAALRTNYKVTEVTDDEDDQSTLYQSYGPLTTINHNRTLEYQMPHEVSDGYHVNPIVQKPKFEDKISLYQSSDRLARSTNNRTLEYESPEFLDAFHHVKPIGRKPKLKYGIFSKLRRLFRNLLN >fgenesh2_kg.4__254__AT2G23230.1 pep chromosome:v.1.0:4:1722810:1725507:1 gene:fgenesh2_kg.4__254__AT2G23230.1 transcript:fgenesh2_kg.4__254__AT2G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase/cyclase family protein [Source:UniProtKB/TrEMBL;Acc:D7LEQ8] METLTIFGQKCGTQVSLLSPSAVSKLSRFPLTSFARKPTKQVCLKTTDCDLDESKRTFNKFPRSDWGDHFLRLPINVSDMDTLTREMNALKPTIRKMLMYSQDVEETKKRILLIYLLVALGVAYHFEDEIDDNLKHSFEKIETIMAGEIDLSTVSVMFWVFRTYGYNLSSDVFRRFKGKDGKFEKCLKEDVKGLLILYEATQLGTSTEDILDEAMSFASSHLECLLAGGTCPPHISRLIRNALYMPQHHNCEILFASEYIWFYEQEDVHNKVLLEFAKLNFKFLQLHWIHELKILTKWWNDQDLLSKLPPYFRDRLVECHLYGVIMYFEPKYSFGRIILAKLLVLLTVVDDTCDRYGSVPEVAKLLDCVERWDPDLGESLPDYMKTVFKFTLDVFEDCERAGRCEEGQSFNVEGALAEFKILQRTHLSFAEWAAAEKVPTVEEYLEVGGVGVTMYATIALGFLGLGPRAREQGYEWLKSRPKLVHDLATKGRLMNDMGGFKDDIGRGFIANAVNYYMKEYGATEEETYKEFHKIVRDLEKSVNSEFLKINKGVPREILSRAINCGKMIDVTYRSGDGYTRPKGKFTEYVESLFVDHMDASLKHLVPL >fgenesh2_kg.4__2551__AT2G43760.3 pep chromosome:v.1.0:4:21373534:21374910:1 gene:fgenesh2_kg.4__2551__AT2G43760.3 transcript:fgenesh2_kg.4__2551__AT2G43760.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase catalytic subunit [Source:UniProtKB/TrEMBL;Acc:D7LKI3] MSAEEKNLIEILEEGHTVDVVKYIDYVSAPQAGAIATFSGTTRDMFEAKTVLELRYEAYVPMATRCLSSICTTARSTWDIHKIAVAHRLGPVPVGETSVFIAVSSVHRADGLDACKFLIDELKASVPIWKKEVYTNGEIWKENSEFMEKRLELAEKRDSIVEKPVVEEHRRRGCCGSKVRVEEDEEHKDITGDNKSSS >fgenesh2_kg.4__2554__AT2G43770.1 pep chromosome:v.1.0:4:21375220:21377132:-1 gene:fgenesh2_kg.4__2554__AT2G43770.1 transcript:fgenesh2_kg.4__2554__AT2G43770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7LKI4] MEIMPRENETALSGPRPMEWSTVPHSAPQGPGPNGKNRTSSLEAPIMLLSGHPSAVYTMKFNPAGTLIASGSHDREIFLWRVHGDCKNFMVLKGHKNAILDLHWTSDGSQIVSASPDKTVRAWDVETGKQIKKMAEHSSFVNSCCPTRRGPPLVISGSDDGTAKLWDMRQRGAIQTFPDKYQITAVSFSDAADKIFTGGVDNDVKVWDLRKGEATMTLEGHQDTITGMSLSPDGSYLLTNGMDNKLCVWDMRPYAPQNRCVKIFDGHQHNFEKNLLKCSWSPDGTKVTAGSSDRMVHIWDTTSRRIMYKLPGHTGSVNECVFHPTEPIIGSCSSDKNIYLGEI >fgenesh2_kg.4__2557__AT2G43800.1 pep chromosome:v.1.0:4:21388046:21391243:1 gene:fgenesh2_kg.4__2557__AT2G43800.1 transcript:fgenesh2_kg.4__2557__AT2G43800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:D7LKI8] MTKLPLSFFCLLFVAFFFSSSTADQRFHSRHLLHQPFFPVVTAAPPPYQPPVSSQPPSPSPHIHHHHKKQLATPPPPPHEKHLFSSVANPPPPPPSPPHPNPFFPSSDPTSTASHPPPAPPPPASLPTFPANISSLLFPTHNKPSKPPSNGHIARLVTITASVICAAALLSLFAVFIIFIRRTRHRRRSSPADDTKSTRSDALQLFNASPSDGTKKHKQHQQPPKYTSSHTSSEFLYLGTLVNSRSGGLEQQKSPISLSGGITGVLELPPPASSSSTSSYSQYHKLGSPELRPLPPLPKLQSFTPVYKSTEQLNPKRQYFDGDDNENDEFFSPRGSSGRKQDVDQIGDRSINGSGSNSCSPTNSVPSLNASPGTSLKPKSVSPPVSLHSQNSSNNGVSKRLCPARPPPPPPPPPQFLEIPATMSHSPPDGDSDPEKKVETMKPKLKTLHWDKVRARSSRVMVWDQIKSNSFQVNEEMIETLFKVNDTNSRTRDGVVQSANQENRFLDPRKSHNIAILLRALNVTADEVCEALVEGNSDTLGPELLECLLKMAPTKEEEDKLKELKDNDDGSPSKIGPAEKFLKALLNIPLAFKRIDAMLYIVKFESETEYLNRSFDTLEAASGELKNTRMFLKLLEAVLKTGNRMNIGTNRGDAHAFKLDTLLKLVDIKGADGKTTLLHFVVQEIIKFEGARVPFTPTQSHIGNDMAEQSAFQDDLELKKLGLQVVSGLSSQLINVKKAAAMDSNSLINETAETARGIAKVKEVLAELKEETGVERFLESMNSFLNKAEKEITEIQSHGDNVMKMVKEVTEYFHGNSETHHFRIFAVVRDFLTILDQVCKEVGRVNERTVYGSVPRHSPSNQTATPLFPVVNNNNSRLSPSGSLDEDDDSF >fgenesh2_kg.4__2558__AT2G43820.1 pep chromosome:v.1.0:4:21395132:21396649:1 gene:fgenesh2_kg.4__2558__AT2G43820.1 transcript:fgenesh2_kg.4__2558__AT2G43820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LKJ0] MEGHVLAVPYPTQGHITPIRQFCKRLHSKGLKTTLALTTFVFNSIKPDLSGPISIATISDGYDHGGFESAGSIADYLENFKTSGSKTIADIIRKHQTSDSPITCIVYDAFMPWALDVAREFGLVATPFFTQPCAVNYVYYLSYINNGSLKLPIEDLPFLELQDLPSFFSVSGSYPAYFEMVLQQFINFEKADFVLVNSFQELELHENALWSKACPVLTIGPTIPSIYLDQRIESDTDYDLNLIESKDDSFCTNWLDTRPQGSVVYVAFGSMAQLTNEQMEELASAVSNFSFLWVVRSSEEAKLPSGFLDTVNKDKSLVLKWSPQLQVLSNKAIGCFLTHCGWNSTMEALTFGVPMVAMPQWTDQPMNAKYIQDVWKAGVRVKTEKESGIAKREEIEFSIREVMEGERSKEMKKNVKKWRDLALKSLNEGGSTDINIDTFVSRVQSK >fgenesh2_kg.4__2560__AT2G43840.1 pep chromosome:v.1.0:4:21398111:21399720:1 gene:fgenesh2_kg.4__2560__AT2G43840.1 transcript:fgenesh2_kg.4__2560__AT2G43840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LKJ1] MEKMRGHVLAVPFPSQGHITPIRQFCKRLHSKGFKTTHTLTSFIFNTIHLDPSSPISIATISDGYDQGGFSSAGSVPEYLQNFKTFGSKTVADVIRKHQSTDNPITCIVYDSFMPWALDLAREFGLAAAPFFTQSCAVNYINYLSYINNGRLTLPIKDLPLLELQDLPTFVTPTGSHLAYFEMVLQQFTNFDKADFVLVNSFHDLDLQEEELLSKVCPVLTIGPTVPSMYLDQQIKFDNDYDLNLFDLKEAALCTDWLDKRPQGSVVYIAFGSMAKLSSEQMEEIASAISNFSYLWVVRASEESKLPPGFLETVDKDKSLVLKWSPQLQVLSNKAIGCFMTHCGWNSTMEGLSLGVPMVAMPQWTDQPMNAKYIQDVWKVGVRVKAEKESGIAKREEIELSIKEVMEGEKSKEMKENAGNWRDLAVKSLSEGGSTYININAFVSKIQIK >fgenesh2_kg.4__2564__AT2G43870.1 pep chromosome:v.1.0:4:21411044:21412722:-1 gene:fgenesh2_kg.4__2564__AT2G43870.1 transcript:fgenesh2_kg.4__2564__AT2G43870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLVLSVFFFLSSCSAQSYNVLSFGAKPDGKTDATKAFMAVWETACASSRPVTIVVPKGRFLLRSVTFDGSKCKPKPVTFRIDGTLLAPADYRVIGNEDYWIFFQHLDGITVYGGVLDARGASLWDCKKSGKNCPSGATTIGFQSSSNVVVSGLTSLNSQMFHVVINGCNNVKLQGVKVLAAGNSPNTDGIHVQSSSTVSIFNTKISTGDDCVSIGPGTNGLWIENVACGPGHGISIGSLGKDSVESGVQNVTVKTVTFTGTDNGVRIKSWARPSSGFAKNIRFQHCVMNNVENPIIIDQNYCPDHDCPRQVSGIKISDVLFVDIHGTSATEVGVKLDCSSKKPCTGIRLEDVKLTYRNKPAASACTHAGGIEAGFFQPNCL >fgenesh2_kg.4__2569__AT2G43910.1 pep chromosome:v.1.0:4:21430227:21432760:-1 gene:fgenesh2_kg.4__2569__AT2G43910.1 transcript:fgenesh2_kg.4__2569__AT2G43910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQENSGQSNGGNVIPTPEEVATFLHKTVEEGGWEKCWEEEITPWDQGRATPLIVHLVDTSSLPLGRALVPGCGGGHDVVAMASPERFVVGLDISESALTKANETYGSSPKAKYFSFVKEDVFTWRPDELFDFIFDYVFFCAIEPEMRPAWAKSMYELLKPDGELITLMYPITDHVGGPPYKVDVSTYEDVLVPAGFKAVSVEENPHAIPTRKGKEKLGRWKKIN >fgenesh2_kg.4__2570__AT2G43940.1 pep chromosome:v.1.0:4:21442511:21444206:-1 gene:fgenesh2_kg.4__2570__AT2G43940.1 transcript:fgenesh2_kg.4__2570__AT2G43940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAGKATSLQSSRDLFHRLMSENSSGGGWEKSWEAGATPWDLGKPTPVIVRLVETGSLPNGRALVPGCGTGYDVVAMASPDRHVVGLDISKTAVERSTKKFSSLPNSKYFSFLSEDFFTWEPAEKFDLIFDYTFFCAFEPGVRPLWAQQMEKLLKPDGELITLMFPIDERSGGPPYKVSVSEYEKVLIPLGFEAISIVDNELAVGPRKGMEKLGRWKKSSTFHSTL >fgenesh2_kg.4__2571__AT2G43945.1 pep chromosome:v.1.0:4:21444379:21446723:-1 gene:fgenesh2_kg.4__2571__AT2G43945.1 transcript:fgenesh2_kg.4__2571__AT2G43945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPLRLLLLPLTTSLISPPPPDSFHAPSPFRSKNPNFNRRFSSSSSSSSTSCPSQSQLLSGRFRSSSPCVTMCLPEHTRNQENTEILTDQDDHIECVLESDEDSGLRIPTQAQAIVEGSGSVAVSELKPAADVDYIQELLAIQQQGPRSIGFFGTRNMGFMHQELIEILSYAMVITKNHIYTSGASGTNAAVIRGALRAERPELLTVILPQSLKKQPPESQELLSKVQNVVEKPHNDHLPLLEASRLCNMDIISQVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >fgenesh2_kg.4__2573__AT2G43950.1 pep chromosome:v.1.0:4:21446899:21449273:-1 gene:fgenesh2_kg.4__2573__AT2G43950.1 transcript:fgenesh2_kg.4__2573__AT2G43950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSSQNPNLTTPPPPPPPSSSPSPTHQIQSGTSELSPPSRPPCSTLSFLKTANRPKLRVTSEFDSDSLLFLNKVSCKLFDNLAKLKLSFQNNSQREISQPQVSFTSKHVSVLYDVEEKNTFIKSTLDVHPRLQLRALHNVKAQQGEVAMEANLTEPGYSLELSSPVPIGYPRATLKFPLGEISLQEKEDEEEEKQKRTLSVNGILKRQVMNGVCTALYTDEELRLRYAYKDDALSFIPSISLPSNAASFAFKRRFSPSDKLSYWYNFDSNMWSAVYKRTYGKDYKLKAGYDSDVRLGWASLWVGDEAGKVKTTPMKMKVQFMLQVPQDDIKSSVLMFRVKKRWDI >fgenesh2_kg.4__2577__AT2G43980.1 pep chromosome:v.1.0:4:21457345:21460725:-1 gene:fgenesh2_kg.4__2577__AT2G43980.1 transcript:fgenesh2_kg.4__2577__AT2G43980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LKU7] MMKGVLLDESVLFSPTSEDSSPSLRESVPSLLRLLRYSMIRTGISYGLDLPENKVDLLRKTAAEYSINCLPLETSLTSVTFGDTLKAWYSDGSILYVASSRKEETLRELSPSQLVVLLDVVQGDSHEDPNMIHIHSLEELPMTICCINKKAMGDGAAIVAYIMKPSRIEDFAKRGALPMYPTSCGLIFLPLMFEFPLASQLKHADIIFHKATDEILSIELNCSDSKSSVAVTFSTGMEELRKYMEDQNACAVVDPIQNIYSVLDRLKMQHILLGLEDLTAAGRKIRGACFLKIDSYDEPDLAQNLSKAGLSLPSIVKPQVACGVADAHSMAIVFRVEDFKDLNTPVPAIIQEYVDHSSRIFKFYVLGEKIFHAIKKSIPSSSSLRKTAEQNGLKPILFDSLKSLPVSSANQNPVNEIDLELVTEAATWLRKKLDLTIFGFDVVIQEGTGDHVIVDLNYLPSFKEVPDNIAVPAFWEAIRNRFDQHVQEKH >fgenesh2_kg.4__2578__AT2G43990.1 pep chromosome:v.1.0:4:21461057:21463012:1 gene:fgenesh2_kg.4__2578__AT2G43990.1 transcript:fgenesh2_kg.4__2578__AT2G43990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRITSSSNAQTKKFPQSQPQPPEKKKKNLKMERFPVNPLRGFSTISSGSSSSSNVSGSASTSGEASNGCHRFLHSHSFSSSSSLGVFPRRPVNSVAKTPKSAPVVSKPLIRKKPSSLEEVKLKSTLTEKPNLQKSQRCKTNPVSGKRPTCKITMKPEKVSVLKKQSSVSRNVKLRDRQTTIRVDDSIAQSTPVSKLGTGSDLIYRSNSEATDDGRLSSNSSSYQDRTPPVQASVSPEIQCGSSMNLSASAQSQACYAAGHLLSGVSDKRKCKPKGILTVGENGFEVGKGKILNDSDEFDEGDFGNDGSCDNISIMPLPADASVHWLLSPCDEEKEHENEKSDDGFSQLQQIVECVGHETPSPVSDRSASSDLCNISSGRSLSPMDIYKETTRRISSSLSPNELFRFRRFIHLSACDGEASAFDASPTCELDPSEHLKGDKSSPLSVDTLGSENVIQTPESNSSFDNYFGLSCSQAEIQKKHDVGSYLEALTMNFQSAGLSPRIQASSREPSRSSFNFDSLATSSNSIDLSQFQRALVDRSSRHPYVTLDTVSRTHVRVEQTNSHMPEIKSQQITDTEFDMQNHKESAAPLGIERELLPFSAAESISTDGGGLICSEDSNWMACYKN >fgenesh2_kg.4__2579__AT2G44000.1 pep chromosome:v.1.0:4:21463480:21464800:1 gene:fgenesh2_kg.4__2579__AT2G44000.1 transcript:fgenesh2_kg.4__2579__AT2G44000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYVETTYGRTSKDVDEAHRIYQPKQSDITGKMIIFILAGLCMLLFIFIVIGFFFIAKPLKASLTSVAIRNLRYKNDTSSSSSLYFNATLAMEIRIENPNLGFFEFPTSKGDILYNGHVVGEMRINGQRVASYGAMRTEVRTQVGYRGNQTSPVWLKNDIERRLIILEARAKLRGEVHLKALNKRTVNLKCLMHLNLIDEVIHRFWCK >fgenesh2_kg.4__2580__AT2G44010.1 pep chromosome:v.1.0:4:21466144:21466874:1 gene:fgenesh2_kg.4__2580__AT2G44010.1 transcript:fgenesh2_kg.4__2580__AT2G44010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LKV0] MALKAVKKNLARRRYERLSSSATTRESYDFVSDIEMNVDGRHHRRGWSVGDFSSLSSRETKRNGGVAPEKGFTPPREGQLVRFKSHRLFSCIS >fgenesh2_kg.4__2582__AT2G44040.1 pep chromosome:v.1.0:4:21469094:21471407:-1 gene:fgenesh2_kg.4__2582__AT2G44040.1 transcript:fgenesh2_kg.4__2582__AT2G44040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrodipicolinate reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LKV2] MATNGLMASSSVFLHRPRIAFASRTNQTAGKCGKGRVSFMGIGTRRLPVILSMTATAESGEEAVKSVLPGNGISIMVNGCSGKMGKAVIKAADSAGVNIVPISFGSAGEDGQRVEVCGKEITVHGPTEREKVLSSVFEKHPELIVVDYTIPSAVNDNAKLYSKVGVPFVMGTTGGDRNKLYETVEEAKIYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLDVMESHQASKLDASGTAKAVISCFQELGVSYDMDQIQLIRDPKQQVEMVGVPEEHISGHAFHLYHLTSPDETVSFEFQHNVCGRSIYAEGTVDAVLFLAKKIRLKADQRIYNMIDVLREGNMR >fgenesh2_kg.4__2584__AT2G44065.1 pep chromosome:v.1.0:4:21476461:21478128:1 gene:fgenesh2_kg.4__2584__AT2G44065.1 transcript:fgenesh2_kg.4__2584__AT2G44065.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 family protein [Source:UniProtKB/TrEMBL;Acc:D7LKV5] MSALVALCRARATASSSLFNSVIRPAFRNFSTGFADAQNKSLVAQMKEEMLHMDINSMIGSSMPLGMMRIGTIIHNIEMNPGQGAKMVRAAGTNAKILKEPASGKCLIKLPSGNTKWINAKCRATIGTVSNPSHGTKKLYKAGQSRWLGIRPKVRGVAMNPCDHPHGGGEGKSKSSGSRGRTSVSPWGKPCKGGYKSASVKKKKKRLAEAAAKM >fgenesh2_kg.4__2586__AT2G44070.1 pep chromosome:v.1.0:4:21481066:21482533:-1 gene:fgenesh2_kg.4__2586__AT2G44070.1 transcript:fgenesh2_kg.4__2586__AT2G44070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2B family protein [Source:UniProtKB/TrEMBL;Acc:D7LKV6] MHPAVYKVGLQYLSGDISGGNARCIAMLQAFQEVVKDYSTPPEKTLNRNMAAKISSYVSFLRDCRPLSVSMENAIRFVKNRIAKLPITLSESEAKAALKSDIARFINEKIIGADTVIVKHAVTKIRDGDVLLTYGSPTAVEMVLLHAHELGKKFRVLVVDSRPKLQGQLLLRRLIKRGINCAYTHINAISYIMHQVTKVFLGASSVFSNGTVYSRVGTACVAMVATAFRVPVLVCCEAYKFHERVLLDYSICSSNELGDPNAISKVHGREDINYFDGLTNNANLKFLNLMYDATPSDYISMIITDYGMVPPTSVPVIVRECQKEHNCFESPKIVI >fgenesh2_kg.4__2587__AT2G44080.1 pep chromosome:v.1.0:4:21494067:21495012:1 gene:fgenesh2_kg.4__2587__AT2G44080.1 transcript:fgenesh2_kg.4__2587__AT2G44080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREISSLQNDIINIQEHCSLNNNNNRKNMTFRGSAPAPIVGKQELFRTLSSQNSPRRLISASYFSLESMVVLVGLTASLLILPLILPPLPPPPFMLLLIPIGIMVLLMVLAFMPSSNSKHVTSCSTFM >fgenesh2_kg.4__2589__AT2G44090.2 pep chromosome:v.1.0:4:21495265:21498148:-1 gene:fgenesh2_kg.4__2589__AT2G44090.2 transcript:fgenesh2_kg.4__2589__AT2G44090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENDDMYPVGGEGSDVVFAREAPLIGRNQQSNGSSAKKLKCRGLGQQKKLNRQDRIELGRLFQGACISLDSIWFLTTEHELRGITELIAKIICHGAHDYTRATLRTSFLASCVSSCQSRTVSLADTVTVMAQRLHERLQECNGDEVLKAEAGAKVQKFTEWALKCIGFHSRCQGPRDKSNQDSAAEIQLQLSAFKMFLDLAGNHLSGKDFTEAFDAACFPLTLFSTSFNPGWASGISATVIHGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYSKIETMDCLVEEGHAIAFLGPLMRAAERGCMQVVQWFVKRGCREMELCLALTAATSSSQVEVAAYLLPHVPRPVLTALSIEILKAAGERSGGSLHGVEFLLKSDFLGDPVATYSVADTIAKSEDESIPSDLKSFLQEHWSEAAFNQGLRESRENFMNFMRVLKLGESAISIMDLPAPLRVAIAYMPLYRECVNAGGWLLSQKLRGQLVEAVKQLQGFDVETEEVKKGHHQLMAVLEHHLPLFLVKASSH >fgenesh2_kg.4__258__AT2G23260.1 pep chromosome:v.1.0:4:1730702:1732198:-1 gene:fgenesh2_kg.4__258__AT2G23260.1 transcript:fgenesh2_kg.4__258__AT2G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LER1] MGSSEGQETHVLMVTLAFQGHINPMLKLAKHLSLSSKNLHVTLATVEPARDLLSTVEKSRSPVDLVFFSDGLPKDDPRAPETLLKSLNKVGAKNLSKIIEEKIYSCVISSPFTPWVPAVAAAHNIPCAILWIQACGAYSVYYRYYMKTNSFPDLEDLNQTVELPALPLLEVRDLPSFMLPSGGSHFNNLMAEFADCLRYVKWVLVNSFYELESEIIESMADLKPVIPIGPLVSPFLLGADEDETLDGKNLDLCKSDDCCMEWLDKQARSSVVYISFGSMLESLENQVETIAKALKNKEVPFLWVIRPKEKAQNVDVLQEMVKEGQGVVLEWSPQERILSHVAISCFITHCGWNSTIETVVAGVPVVAYPSWTDQPINARLLVDVFGIGVRMRNDTVDGELKVEEVERCIEAVTEGPAAADIRRRVAELKHVARSALAPGGSSARNLDLFISDITIA >fgenesh2_kg.4__2590__AT2G44100.1 pep chromosome:v.1.0:4:21499354:21504145:1 gene:fgenesh2_kg.4__2590__AT2G44100.1 transcript:fgenesh2_kg.4__2590__AT2G44100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine nucleotide diphosphate dissociation inhibitor [Source:UniProtKB/TrEMBL;Acc:D7LKV9] MDEEYEVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLNQLWKKFRGEEKAPAHLGSSRDYNVDMMPKFMMANGKLVRVLIHTDVTKYLSFKAVDGSYVFVKGKVQKVPATPMEAFKSPLMGIFEKRRAGKFFSYVQEYDEKDPKTHDGMDLRRVTTKDLIAKFGLGEDTIDFIGHAVALHCNDNHLHQPAYDTVMRMKLYSESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDEEGKVTGVTSEGETAKCKKVVCDPSYLSNKVRKIGRVARAIAIMSHPIPNTNDSHSVQVILPQKQLGRKSDMYVFCCSYSHNVAPKGKFIAFVSTDAETDNPQSELKPGIDLLGPVDELFFDIYDRYEPVNEPTLDNCFISTSYDATTHFDTTVVDVLNMYKLITGKELDLSVDLNAASAAEEE >fgenesh2_kg.4__2594__AT2G44120.2 pep chromosome:v.1.0:4:21506783:21508231:1 gene:fgenesh2_kg.4__2594__AT2G44120.2 transcript:fgenesh2_kg.4__2594__AT2G44120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L7 [Source:UniProtKB/TrEMBL;Acc:D7LKW0] MAESKVVVPESVLKKIKRQEEWALAKKEETVAAKKKSVDTRKLIFKRAEQYAKEYAEKDNELIRLKREAKLKGGFYVDPEAKLLFIIRIRGINAIDPKTKKILQLLRLRQIFNGVFLKVNKATVNMLRRVEPYVTYGYPNLKSVKELIYKRGYGKLNHQRIALTDNSIVDQALGKHGIICVEDLIHEIMTVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNRENFINELVRRMN >fgenesh2_kg.4__2597__AT2G44130.1 pep chromosome:v.1.0:4:21511388:21512770:1 gene:fgenesh2_kg.4__2597__AT2G44130.1 transcript:fgenesh2_kg.4__2597__AT2G44130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKKNAGDFQKCHDDLIPGLPSELALECLVRVPYQFQSAMKSVCRSWRSLLSDSSFIRERHRCGKTELLLCLVQPLTPPISASKSVGETFMVDVKKSEDESQPRVFCTPRFGLSVYNSALSTWHRIAFPEKQQIPLFCECVVLQDAGKILLIGGWDPETLQPTRDVYVLEFAGRKWKRGAPMKESRSFFACASVGSTKVYVAGGHDDQKNALRSAEVYDVEKDEWSTVPPMTEGRDECQGFAIGTDLRFCVLSGYGTESQGRFRADGEIYDPATNSWSKIENIWRFPDTSPRGRTVGDFRSSSKLWCFTDTDLQSELRWETKDDSRNWKLELETIQLPMTGSSVFAGSLGGESVVMIGGKRESEGDGGVMMKMTTEKKMGQWSHVHIPSDFSTLPFSHASIYV >fgenesh2_kg.4__2598__AT2G44150.1 pep chromosome:v.1.0:4:21516457:21519261:1 gene:fgenesh2_kg.4__2598__AT2G44150.1 transcript:fgenesh2_kg.4__2598__AT2G44150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LKW4] MPATKKISDRNHLGQVFNKLLNQIGESEEFELPDWLNKGKPTPYIFIRRNIYLTKKVKRRVEDDGIFCSCSSSSPGSSSTVCGSNCHCGMLFSSCSSSCKCGSECNNKPFQQRHVKKMKLIQTEKCGSGIVAEEEIKPGEFIIEYVGEVIDDKTCEERLWKMKHRGETNFYLCEITRDMVIDATHKGNKSRYINHSCNPNTQMQKWIIDGETRIGIFATRGIKKGEHLTYDYQFVQFGADQDCHCGAVGCRRKLGVKPSKPKLASDEAFNLVAHEVAQTMPKVHQNGHIHNHVSPGISWNNLSQRETCSRNCIGVVIRLSRPTSDRCFGLVRHFDEYSRKHSVMFEDGVTEFVDMSREDWEIV >fgenesh2_kg.4__2599__AT2G44160.1 pep chromosome:v.1.0:4:21520160:21523123:1 gene:fgenesh2_kg.4__2599__AT2G44160.1 transcript:fgenesh2_kg.4__2599__AT2G44160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylenetetrahydrofolate reductase [Source:UniProtKB/TrEMBL;Acc:D7LKW5] MKVIDKIQSLADEGKTAFSFEFFPPKTEDGVDNLFERMDRMVAYGPTFCDITWGAGGSTADLTLDIASRMQNVVCVESMMHLTCTNMPVEKIDHALETIRSNGIQNVLALRGDPPHGQDKFVQVEGGFGCALDLVNHIRSKYGDYFGITVAGYPEAHPDVIGENGLASNEAYQSDLEYLKKKIDAGADLIVTQLFYDTDIFLKFVNDCRQIGINCPIVPGIMPINNYRGFLRMTGFCKTKIPVEVMAALEPIKDNEEAVKAYGIHLGTEMCKKMLAHGVKSLHLYTLNMEKLALAILMNLGMIDESKISRSLPWRRPANVFRTKEDVRPIFWANRPKSYISRTKGWEDFPQGRWGDSRSASYGALSDHQFSRPRARDKKLEQEWVVPLKSVEDIQEKFKELCLGNLKSSPWSELDGLQPETKIINEQLVKINSKGFLTINSQPSVNAERSDSPTVGWGGPVGYVYQKAYLEFFCSKEKLDAVVEKCKALPSITYMSVNKGENWVSNTAQADVNAVTWGVFPAKEIIQPTIVDPASFKVWKDEAFETWSRSWANLYPEADPSRNLLEEVKNSYYLVSLVENDYINGNIFAVFADI >fgenesh2_kg.4__2600__AT2G44170.1 pep chromosome:v.1.0:4:21523223:21525089:-1 gene:fgenesh2_kg.4__2600__AT2G44170.1 transcript:fgenesh2_kg.4__2600__AT2G44170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LKW6] MAKINFLCVHKKLRSKGLAPVMIKELTRRVHLQNIWQAAYTSRHILSRPVTTCRHWVRMLNPKKLIDAGLTRLRDRMTLSRTVKLYKLPDAPITPGFREMERRDVPAVTELLRKYLCQFGVATDFDENYVKHWLLPRENIIYSYLVVSPETHDVTDFCSFYTVSITIPGNQKYTTVKGVYSYCNVATLTSFPQLMNDALIVAKQKGFDVFYASDVMQNESFLKELRFYPGCGQVHYYLYNYRLRNGLKPSELGIVL >fgenesh2_kg.4__2601__AT2G44180.1 pep chromosome:v.1.0:4:21546041:21548490:-1 gene:fgenesh2_kg.4__2601__AT2G44180.1 transcript:fgenesh2_kg.4__2601__AT2G44180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LKX2] ETEAESSNGNETQLASDLTKNLDLAQVKEHEKDDNQEEDGLKAEASTKKKKKKSKSKKKKSSLQQTDPPSIPVLELFPSGDFPEGEIQQYKDDNLWRTTSEEKREMERLQKPIYNSLRQAAEVHRQVRKYMRSILKPGMLMIDLCETLENTVRKLISENGLQAGIAFPTGCSLNNVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGHIVDSAFTVAFNPMYDPLLAASREATYTGIKEASVDVRLCDVGAAIQEVMESYEVEINGKVYQVKSIRNLNGHSIGRYQIHAEKSVPNVRGGEQTKMEEGELYAIETFGSTGKGYVREDLECSHYMKNYDVGHVPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDSGIIEPCPPVCDVKGSYISQVEHTILLRPTCKEIISKGDDY >fgenesh2_kg.4__2605__AT2G44210.2 pep chromosome:v.1.0:4:21564149:21566439:1 gene:fgenesh2_kg.4__2605__AT2G44210.2 transcript:fgenesh2_kg.4__2605__AT2G44210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANWTRVIFFLALVMTVVILAPSVVSGENGFSDLKIRTHLKRLNKPALKSIKFHSFADFFLSFKQSPDGDIIDCVPITDQPAFAHPLLINHTVQMWPSFNPESVFSESKVSSKTKNQQSNSIKQLWHVNGKCPVNTIPIRRTRRQDLYRANSVENYGMKNQKSIPKPKSSEAPNVLTQNGHQHAIMYVEDGIFYGAKAKINVWKPDVEMPNEFSLAQIWVLGGNFNSDLNSIEAGWQVSPQLYGDNRTRLFTYWTSDAYQGTGCYNLLCSGFVQINREIAMGGSISPLSNYGNSQYDITILIWKDPKEGHWWLQFGEKYIIGYWPASLFSYLSESASMIEWGGEVVNSQSEEGQHTTTQMGSGRFAEEGWGKASYFKNVQVVDGSNELRNPENLQVFTDQENCYNVKSGNGGSWGSYFYYGGPGRNPNCP >fgenesh2_kg.4__2606__AT2G44230.1 pep chromosome:v.1.0:4:21573826:21575559:1 gene:fgenesh2_kg.4__2606__AT2G44230.1 transcript:fgenesh2_kg.4__2606__AT2G44230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSSAQSSTPSLPIDSTFNLPSPLPSWPPGEGFGQGRIDLGGLEVFQVEIFNKVWTVYEGGQDNLGATFFEPSSIPEGFTILGFYAQPNNRKLFGRTLVGKDLSGDSLRPPVDFLLLWSGKSTKVENNGVETGFFWQPVPPDGYNAVGLVVATSGEKPPLDKIRCVRSDLTDQSESDALIWETNGFSISSSKPVNRGTQASGVCIGTFFSNSPTPTLHCLKNNKFDFSCMPSKPQIDALFQAYAPWIYFHKDEKYLPSSVNWFFSNGALLYKKDDESNPVPVEPNGLNLPQGESNDGLYWLDLPVASDARKRVQGGDLQSMEVYLHIKPVFGGTFTDIAVWIFYPFNGPSRAKLKAATIPLGKIGEHIGDWEHFTLRISNFNGKLYRMYLSQHSGGSWTDASEIEFQGGRNKPVAYASLNGHAMYSKPGLVLQGKDNVGIRNDTGKSEKVIDTAVRFRVVAAEYMRGEVEEPAWLNYMRHWGPKIDYGHENEIRGVEKIMVGESLKNTFRSAIKGLPNEVFGEEGPTGPKLKRNWLGDEV >fgenesh2_kg.4__2608__AT2G44250.1 pep chromosome:v.1.0:4:21584159:21586297:1 gene:fgenesh2_kg.4__2608__AT2G44250.1 transcript:fgenesh2_kg.4__2608__AT2G44250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNQTVIFLLLWVIIDLVVGITLAGKIKLSDLHEQKIEQRLKQLNKPTVKSIHSPDGDIIDCVWIYHQPAFDHPLLKNHTIQMWPKSDSIRDKTGGNKTDIIHQLWRTKGECPEDTIPIRRRTRDDLLRSDSIETHGRKNPPTIFPTTYHLPDDQKEVHEHASVHLDYGEYHGSKSRISIWKPDVSNTTEFSLAQTWVVNGDWDNGLNTLESGWQVLHCMYGDNNTRFFTYWTSNSYGKNSCYNLDCPGFVQVNKDVALGAAFNSISTYNGKQYDFLLTIEKEQDTGLWWLKFDTYQVGYWPSFLVPKLADSARMIAWGGEIAHDASGQKEHTSTQMGSGHFAEEGFKKAAYINSIEYIDKANYPIKPSPQNLEAIVTRPECYNLKVGSSRRWGTYIFYGGPGLNPQCL >fgenesh2_kg.4__260__AT2G23290.1 pep chromosome:v.1.0:4:1742325:1743462:-1 gene:fgenesh2_kg.4__260__AT2G23290.1 transcript:fgenesh2_kg.4__260__AT2G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTRKEMDRIKGPWSPEEDDLLQTLVQKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPEVEHRGFTAEEDDTIILAHARFGNKWATIARLLNGRTDNAIKNHWNSTLKRKCSGGGGGGGEEGQSCDFGGNGGYDGNLTDEKPLKRTASGGGGGIVLTALSPTGSDVSEQSQSSGFVLPVSSSSHVIKPTARAGGVVIESSSSEEKKDPMTCLSLSLPWVNESTPVELFPVKREVEEKEREISGFGGDFMTVVHEMIKTEVRNYMADLQRGNGGGTGGGGGGSSCMVQGTNGRNVGFREFIGIGKIE >fgenesh2_kg.4__2610__AT2G44260.2 pep chromosome:v.1.0:4:21586646:21588577:1 gene:fgenesh2_kg.4__2610__AT2G44260.2 transcript:fgenesh2_kg.4__2610__AT2G44260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLSISDPSLEDASKKLLKALPVDTAFKFPSPMPTFSQGLYYHQFLLISLALSQLVMMVLRNEQLTWVVVSKLVKFRRGPDNLGATFFEPSSIPSGFCILGYYAQPNNRKLFGWVLTARDLSSNTLKPPVDYTLVGNTESLKIKQDGTGYFWQPVPPDGYQAVGLIVTNSSQKPSLDKLSCVRSDLTEQCEADTWIWGTNGVNISNLRPTTRGTQATGVSVGTFTCQTQNSSLPPPALSCLKNTKLDFSTMPNGSQIGELFQTYSPWIYFHPDEEYLPSSVNWYFNNGALLYKKGEESKPIPIESNGSNLPQGGSNDGSYWLDLPIDKNGKERVKKGDLQSTKVYLHIKPMLGATFTDISIWIFYPFNGPARAKVKFVNLPLGRIGEHIGDWEHTTLRISNFTGELWRVFLSQHSGGVWVDACDLEFQGGGGSNKFVAYASLHGHAMYPKPGLVLQGDDGVGIRNDTAKGKKVIDTGLGYEVIAAEYDGGGVVEPPWVNYFRKWGPKIDYNVDDEVKSVERILPGLLKKAFVKFVKKIPDEVYGEDGPTGPKLKSNWAGDES >fgenesh2_kg.4__2612__AT2G44270.1 pep chromosome:v.1.0:4:21588734:21591041:-1 gene:fgenesh2_kg.4__2612__AT2G44270.1 transcript:fgenesh2_kg.4__2612__AT2G44270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 1 [Source:UniProtKB/TrEMBL;Acc:D7LKY4] MEAKSKKAVASRLCCLCNLRRPVLKRPKTLQQICRECFYEVFEEEIHQVIVQNRLFKSGERVAIGASGGKDSTVLAYVLSELNRRHNYGLDLFLLSIDEGITGYRDDSLETVKRNEVQYGLPLQIVSYKDLYGWTMDEIVKMIGLKNNCTFCGVFRRQALDRGAALLKVDKLVTGHNADDIAETVLLNILRGDIARLSRCTSITTGEDGPIPRCKPFKYTYEKEIVMYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERIRPRAILDIIKSGEDFRIATTTKMPEQGTCERCGYISSQKWCKACVLLEGLNRGLPKMGIGRPRGVNGDHKMETKKPGSVAKSIESKQCGSLDF >fgenesh2_kg.4__2616__AT2G44300.1 pep chromosome:v.1.0:4:21599576:21600931:-1 gene:fgenesh2_kg.4__2616__AT2G44300.1 transcript:fgenesh2_kg.4__2616__AT2G44300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7LKY7] MGSWRINLMATSIVLIMVVAMVVDAGDDKAKDKEECTEQLVGMATCLPYVQGQAKSPTPDCCSGLKQVLNSNKKCLCVIIQDRNDPDLGLQINVSLALALPSVCHATADVTKCPALLHLDPNSPDAQVFYQLAKGSNKTSPASAPTGLASGPTSMSPTAGSDDGNNSGRTTSVPGRNNAQSFYKQWLGLEVVVHFFVICYIFILV >fgenesh2_kg.4__2618__AT2G44330.1 pep chromosome:v.1.0:4:21604378:21604967:1 gene:fgenesh2_kg.4__2618__AT2G44330.1 transcript:fgenesh2_kg.4__2618__AT2G44330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTQYQLEMRNYTCPECNIMLRVLSSPSASPPYCPLCNILVLVTSKTTRNLYFSIPWNLFRPLRSHLQCCLALPQTILIYHAPFVEKISWLENLLGNCHATIYTIMICIIPWLTSHNSCPLCRFELPVAFSGDDSGLTMWFDALTLEDDLRLAGRYGSHSRFVPKFRRLKL >fgenesh2_kg.4__2619__AT2G44340.1 pep chromosome:v.1.0:4:21624454:21624960:1 gene:fgenesh2_kg.4__2619__AT2G44340.1 transcript:fgenesh2_kg.4__2619__AT2G44340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LKZ4] MEITQYQSFNEGSSSRVSMNKNSQVISKIKPKIRIIHIFAPEVIKTDVKNFRSLVQSLTGKPAPGEAKTGKKRAKSRIPTSQEPVGENHQPVNRLSGFTGLLANGGNHQVKEEWGSGDQSTSNTSTYFDLEGLIQDVGEDYFTSFPMRSSSSSQVEGFIFNNNTNTNTN >fgenesh2_kg.4__2620__AT2G44350.2 pep chromosome:v.1.0:4:21626842:21631419:1 gene:fgenesh2_kg.4__2620__AT2G44350.2 transcript:fgenesh2_kg.4__2620__AT2G44350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase [Source:UniProtKB/TrEMBL;Acc:D7LLK8] MVFFRSVSAFTRLRSRVQGQQSSLSNSVRWIQMQSSTDLDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNITVDMVIGGMRGMTGLLWETSLLDPEEGIRFRGLSIPECQKVLPTAQSGAEPLPEGLLWLLLTGKVPSKEQVEALSKDLASRAAVPDYVYNAIDALPSTAHPMTQFASGVMALQVQSEFQKAYENGIHKSKFWEPTYEDCLNLIARVPVVAAYVYRRMYKNGDSIPSDKSLDYGANFSHMLGFDDEKMKELMRLYITIHSDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKQVVEECGEDISKEQLKEYVWKTLNSGKVVPGYGHGVLRNTDPRYVCQREFALKHLPDDPLFQLVSKLYEVVPPVLTQLGKVKNPWPNVDAHSGVLLNHYGLTEARYYTVLFGVSRSLGICSQLIWDRALGLALERPKSVTMDWLEAHCKKASSA >fgenesh2_kg.4__2622__AT2G44360.1 pep chromosome:v.1.0:4:21631476:21632276:-1 gene:fgenesh2_kg.4__2622__AT2G44360.1 transcript:fgenesh2_kg.4__2622__AT2G44360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGKPDEQLFQLLSGLFDFVESLTNTEEVELRSKIEALGLEVTKVPSKSAQHLNEVEIAKELNKLSAKLDDVDEMISSAIASDPQVQTLLSGTADVWMPVITAGAEERLNFTASLADDDELSKKDTTNNTSS >fgenesh2_kg.4__2627__AT2G44430.1 pep chromosome:v.1.0:4:21654913:21658089:1 gene:fgenesh2_kg.4__2627__AT2G44430.1 transcript:fgenesh2_kg.4__2627__AT2G44430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEEEEARSYNMNVDDTNTNKYICTDNDYIEEHEDDNNSKKSQAQTWGTWEELLLACAVKRHGFGDWDSVATEVRSRSSLSHILASANDCRHKYRDLKRRFQDQAKTDAAATATVEEEEEERVGNNIPWLEQLRNLRVAELRREVERYDCSILSLQLKVKKLEEEREVGEEKPDLEDERKGERSENDGSESEHHGKAVVSAVEESDRENRSMNESNSTETVGEDERVGGDEPSQTRDDDSGNDNNNPDPDPVYNDAAAAVEEEEGSVSRGSEASHSDELGESGTSETKWKRKRRKQGGSGDIRSAESKSQPLIGLLDLIRSHPRGSLFERRLRSQEAKDYKSMIKQHLDIETIQRKLKQGSYDSSSITFYRDLQLLFTNAIVFFPLSSSESMAAHELRAIVSQEIRKDTGKAGPRLINREASGMRSGKADAETSDSSLSRQKSSATLVVCKKRSSVSAKASPSSSSFSQKEETKEETLSEEKDNTVTGVRSSRRANKVVAGAAVVTNNTKTGKGKNKQKQTESKTNSLNDNSSKQDTSKTEKKTVSSDKKKSVADFLKRLKKNSPQKEAKDQNKSGGNGKKDSKTKPRELRSSSVGKTKAEVENTPVKRAPGRPQKKTAESTASASGKRGRDTGSTGKDNKQPKKRIRK >fgenesh2_kg.4__2628__AT2G44440.1 pep chromosome:v.1.0:4:21658123:21660973:-1 gene:fgenesh2_kg.4__2628__AT2G44440.1 transcript:fgenesh2_kg.4__2628__AT2G44440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emsy N terminus domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LLL5] MDYEPIDSSGTDDDLPPSRGGGRVAANGRSLNLPPSYPKIYDDVAADMEAQIHQIEKEAYISVLRAFKAQGDAISWEKEGVITELRRELSLSNEEHRELLGRVNADDTIRRIREWRQSGGMQPSVRNAAQVVHDTLPSPSVPASIKKHKPNQPIPAQPFASSSPTFHPQADPTHPFASSTAKRGAVPIVKGKKHKPVFPGSSSTKPVPYHPSDQPPRGQVMNRLPIVPTSSSEPTNGTDPESFIGKRVRTKWPEDNTFYDAVITMYNPVEGRHALVYDIATAHETWEWVNLSEISPGDIEWIGEDPGVCNRYGYNGQGHELNRTTGPNSIPQRGSGLAKNTIRKDFRTSQNGTGKKKHLNIRIRQTNVLIREVERVLGSHNPDPHEVERARRILEEHEHALVGAIAKLGNISDGENGKRCISSVAYV >fgenesh2_kg.4__2632__AT2G44470.3 pep chromosome:v.1.0:4:21686975:21691827:1 gene:fgenesh2_kg.4__2632__AT2G44470.3 transcript:fgenesh2_kg.4__2632__AT2G44470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQFFILLLIISWLTPKITSLPPESQVLDRSSFPEDFVFGTAISAFQSEGATSEGGKSPTIWDYFSHTFPERTNMQNGDVATDFYHRYKDDIKLMKELNMDAFRFSISWARLIPSGKVKDGVNKEGVQFYKALIDELIANGIQPSVTLYHWDHPQALEDEYGGFLNPQIIEDFRNFARVCFENFGDKVKMWTTINEPYVISVAGYDTGNKAVGRCTKWVNSRCQAGDSAIEPYIVSHHLLLCHAAAVQEFRNCNKTLPDDKIGIVLSPWWLEPYDSTSSADKEAVERGLAVEVDWHLNPVIYGNYPEKMKKHVGHRLPAFTLEQSKMLINSSDFIGINYYSARFTAHIPHIDPTRPRFRTDQHFEKRVTNRSNHEIGPGDDRGIMHSYPEGLRRVLNYIKDKYNNPIVYIKENGINDYDDGTKSRETILKDTFRISYHQDHLKQLHKAIIEDGCDVRGYYVWSLFDNFEWEHGYSTRFGMYYVDYENNLQRYPKDSVNWFKKFLSKPVVKSEETEDEKVCDVSHKEENNNKALDDSEGFETSVDSIVNLIKNGSRIEEEEDEEERDFCAFKNPNDQLGFFLKPQKSLGF >fgenesh2_kg.4__2633__AT2G44480.1 pep chromosome:v.1.0:4:21693130:21697061:1 gene:fgenesh2_kg.4__2633__AT2G44480.1 transcript:fgenesh2_kg.4__2633__AT2G44480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7LLM1] MAIKSIFIIIIIIIKSISELYALDPSFLRLSTSLHRSSFPKDFRFGAASSAYQSEGATNVDGREPSIWDTFTKQYPEKISDGSNGVVADEFYYRFKEDVALMKEIGLDSFRFSISWSRILPRGRIAGGVNQAGINFYNHLINELISNGIRPLGTLFHWDTPQALEDEYGGFLNPQIVNDFLEYVDICFKEFGDRVKEWITINEPNMFAMLGYNVGNIAPGRCSSYVQNCTVGNSATEPYLVAHYLILSHAAAVQLYRKKYQSFHGGTIGMTIQTYWMIPKYNTPACREAAERALDFFFGWFADPITYGDYPKTMRELVGNRLPKFTKKQSKMVRGSFDFFGLNYYTSRYVEDVMFYANTNLSYTTDSRVNQTTEKNGVPLGEPTSADWLFICPKGFQDVLLYIKSKYQNPVILVTENGMPSDNDKSLSVNKALNDEEKIKYHQLHLSALLEAVSQGADVRGYYVWSLMDDFEWEFGYKYRYGLVYVDFQDGLKRYLKSSALWYHHFLSNSSSCQMD >fgenesh2_kg.4__2637__AT2G44500.1 pep chromosome:v.1.0:4:21711959:21714401:1 gene:fgenesh2_kg.4__2637__AT2G44500.1 transcript:fgenesh2_kg.4__2637__AT2G44500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKNSNSSSSNKKKVSYISVPSQIINSLSSSSLQSLLVSPKKSSRSINRFSFSYRNPRIWFFTLFLVSLFGMLKLGFNVDPISLPFSRYPCSTNHPLSFDGEQNAESHLGLVQESNLAPGSSNSNGIIQLDGGKNETLLTEGDFWKQPDGLGFKPCLGFTSQYRRDSNSILKNRWKYLLVVVSGGMNQQRNQIVDAVVIARILGASLVVPVLQVNVIWGDESEFADIFDLEHFKDVLADDVHIVSSLPSTHVMTRPVEEKRTPLHASPQWIRAHYLKRINRERVLLLRGLDSRLSKDLPSDLQKLRCKVAFQALRFSPRILELGNKLASRMRNQGQYLSLHLRMEKDVWVRTGCLPGLTPEYDEIVNSEREAHPELLTGRSNMTYHERKLAGLCPLTALEVTRLLKALEAPKNARIYWAGGEPLGGKEVLEPLTKEFPQFYNKHDLALPGELEPFAKKASVMAAIDYIVCEKSDVFIPSHGGNMGHALQGQRAYAGHKKYITPNKRQMLPYFMNSSLPESDFNRIVKDLHRESLGQPELRMSKAGKDVTKHPVPECMCSDRRQQQQQQQQQSDA >fgenesh2_kg.4__2639__AT2G44520.1 pep chromosome:v.1.0:4:21717139:21719285:1 gene:fgenesh2_kg.4__2639__AT2G44520.1 transcript:fgenesh2_kg.4__2639__AT2G44520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTSVVSRFSSRISASSSLPNPRLIPWSRELCAVNSFSQPPVSSESTAKLGITGVRSDANRVFASGTVAATATTGEISSRVAAVAGLGHHYARCYWELSKARHSMLVVATSGTGYILGTGNAAISFPGLCYTCAGTMMIAASANSLNQIFEISNDAKMKRTMLRPLPSGRISVPHAVAWATIAGASGACLLASKTNMLAAGLASANLVLYAFVYTPLKQLHPINTWVGAVVGAIPPLLGWAAASGQISFNSMILPAALYFWQIPHFMALAHLCRNDYAAGGYKMLSLFDPSGKRIAAVALRNCFYMVPLGFIAYDWGLTSSWFCVESTLLTLAIAATAFSFYRDRTMQKARKMFHASLLFLPVFMSGLLLHRVSNDNQQQLIEEAGLSNEVKPLRRKKRVAQPPVAYASAAPFPFLPAPSFYSP >fgenesh2_kg.4__2640__AT2G44525.1 pep chromosome:v.1.0:4:21719474:21720903:1 gene:fgenesh2_kg.4__2640__AT2G44525.1 transcript:fgenesh2_kg.4__2640__AT2G44525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLKSMATLPKLIQSMRKEVPKHANPVLPSLRRAFSLYDQINLIDNVPEDQLRFQEFNDTSFTVNGVKYEGSLLCVGNLLMSWSPRKFSEITTDSLSIFQTVRPIPELLIVGCGRDIHPVTPEVRQFVKSLGMKLETVDSRNAASTYNILNEEGRVVAAALLPYGVTS >fgenesh2_kg.4__2646__AT2G44570.1 pep chromosome:v.1.0:4:21729989:21732036:-1 gene:fgenesh2_kg.4__2646__AT2G44570.1 transcript:fgenesh2_kg.4__2646__AT2G44570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7LLN0] MSCVSSQCLITIKSICIVLLLLLSITRGAISANSNYGEALTKSLLYFEAQRSGKLPSNQRVTWRGDSALRDGSDAHIDLTGGYYDAGDNMKFGFPLAFTTTMLAWSSVEMESQLKAHHEHENTLAALRWATDYLIKAHPEPNVLYGQVGDGNLDHACWMRPEDMTTPRPSYRIDAQHPGADLAGETAAAMAAASLAFAPSDAAYAKTLIGHAKDLFEFAKEYPGVYHYSIPNAGGFYPSSGYEDELLWAAAWLHRATGDQTYLDYLTEASNKGGARFVFAWDDKFLGAQVLVAKLVFEGKVKNEGKMIEYKSMAEQFICNCAQKGFNNVKKTPGGLLWFLSWDNLQYTATASFALATYAKYLEAAQTSIQCPNGGVLQAYDLLKLARAQVDYILGSNPKNMSYMVGYGTNYPKRPHHRGASIVSIKNDPKPVTCNGGFEAWYNNPKPNPNVLVGAIVGGPDEYDAYGDERSDFQHDEPDTVTVAPLVGVLAAIA >fgenesh2_kg.4__2648__AT2G44580.1 pep chromosome:v.1.0:4:21737538:21739084:-1 gene:fgenesh2_kg.4__2648__AT2G44580.1 transcript:fgenesh2_kg.4__2648__AT2G44580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7LLN3] MEEIGRIEGAKAVINLKPGSSVPISYHPCFGPHEDLLLLEADDKLVSDIFHERVTLRGLPDEDAVLCTKSKTYAIKFVGNSNSMFLIPPSICPGDAQVSDTNSNVSVLKLAPGNMELVEVSPRLDKLKQILLANPFGAGEVEAMMDDDDDLDHNGKRDLALYTWTDLVNTVQASDEELRNGLQSLSAIEIDGYWRVIDENYLDVILRMLLHNCVLKDWSFDDLDEDEVVDALVADEFPSQLAGHCLRVFGSKVNETDKWKLEPRLVCLHFARQILREEKMRLESFMEEWKKKIPDGMEERFEMLEGEVLTEKIGIETRVYTFSVRSLPSTPAERFSVLFKHRSKWEWKDLEPYLRDLHVPRLSMEGLLLKYTRRAQPKADAPPVFSAR >fgenesh2_kg.4__2649__AT2G44590.3 pep chromosome:v.1.0:4:21746222:21749505:-1 gene:fgenesh2_kg.4__2649__AT2G44590.3 transcript:fgenesh2_kg.4__2649__AT2G44590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESLILLVNTIQRACTTVGDHGGGNNALSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTENGTEDYAEFLHLTKKKFTNFSLVRKEIEDETDRITGKNKQISPIPIHLSIFSPNVVNLTLIDLPGLTKVAVEGQPDTIVEDIETMVRSYVEKPNCLILAISPANQDIATSDAMKLAKEVDPTGDRTFGVLTKLDLMDKGTNALDVIEGRSYRLKYPWVGIVNRSQADINKNVDMMVARRKEREYFETSPDYGHLATRMGSEYLAKLLSKLLESVIRSRIPSILSLINNNIEELERELDQLGRPVAIDAGAQLYTILGMCRAFEKIFKEHLDGGRPGGARIYGIFDYNLPTAIKKLPFDRHLSLQSVKRIVSESDGYQPHLIAPELGYRRLIEGSLNHFRGPAEASVNAIHLILKELVRKAISETEELKRFPSLQIELVAAANSSLDKFREESMKSVLRLVDMESSYLTVDFFRKLHVESQNVSLSSPTTTTTTDQYGEGQFRKIASNVASYIKMVAETLVNTIPKAVVHCQVRQAKLSLLNYFYAQISQSQGKRLGQLLDENPALMERRVQCAKRLELYKKARDEIDAAVWVR >fgenesh2_kg.4__264__AT2G23340.1 pep chromosome:v.1.0:4:1799157:1799805:1 gene:fgenesh2_kg.4__264__AT2G23340.1 transcript:fgenesh2_kg.4__264__AT2G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGAAMTTTVTAATMGIGTRKRDLKPYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPEAAARAYDTAVFYLRGPSARLNFPELLAGLTVSNGGGRVGDLSAAYIRRKAAEVGAQVDALGATVVVNSGEKSGNGSLERVDLNKLPDPENSDDDDDEWVKRR >fgenesh2_kg.4__2652__AT2G44600.1 pep chromosome:v.1.0:4:21751013:21752380:1 gene:fgenesh2_kg.4__2652__AT2G44600.1 transcript:fgenesh2_kg.4__2652__AT2G44600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKRHTVDFSSSIGVCASCLRERLSSLAVSTAASENDDNDHRHSRISPPPLLFPRSVSPYVAPRKSDAGTGGGGDSVASSNNRFFATPQVVDHSYGGGGGGGRGSSSSEKVFESDRSFKKKKSGLSRFSSFFRTRSDDYDSRRDSCDASTFSQSSSSTTSRSWFSKVLTVRSKKQSTTTTCYIEDLIASESNHHQNRPRQRYCRGMSPAGDSAANDESVEESPGRLRRTPAIGTPGRKKTTTIGIGRSVSGMAFCLSPLVRAKPNCSSNWKAKFPPDFGYSGELKSPAKPHLSTAASFCGNRSKKLVDLGRVDHRR >fgenesh2_kg.4__2654__AT2G44620.1 pep chromosome:v.1.0:4:21757416:21758423:1 gene:fgenesh2_kg.4__2654__AT2G44620.1 transcript:fgenesh2_kg.4__2654__AT2G44620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:D7LLN7] MALRNAILRHLRVPVQSLGLNQSQIGFLGSIRSFSSHDDHLSREAVVDRVLDVVKSFPKVDPSKVTPEVHFQNDLGLDSLDTVEIVMAIEEEFKLEIPDKEADKIDSCSLAIEYVYNHPMSS >fgenesh2_kg.4__2655__AT2G44640.1 pep chromosome:v.1.0:4:21758909:21760825:1 gene:fgenesh2_kg.4__2655__AT2G44640.1 transcript:fgenesh2_kg.4__2655__AT2G44640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNSAVDSVFWDQNVSSPQTLEGTARSVPGEPFPLDGARASRSHRIQQLSLLREGFPLGIIPSFAPASDKRLGSFSLNSLLLSPSSNNWWLGLVGQFKPKKLFADIKADISNAEEWDLQVVKDTAKHIVDKSLYSIGLWTQIALGTSSSLLLSTERLGDKNGLRNKLMFVHPLEKHDLTVEAAWPDLFLDNKGRFWDVPESLNVDVSSLVPESGLRYRFGLHKSRGNPQPVNAAGAESGSDAPTSLMPGLCAKAAVSYKANRDLWRPQEKEDNTEEGTPEFLPYDIRLKEPHAAISGIVGSSLAAWITGRGMLVNGKKRSPISADVFGSACYTFQKGRFSKLYGDLTRVDARVDLPSASALAKRIFHAFRRLSGSNNSDDTLWSPRLNLIFQQQVAGPIVFKVDSQFQVGAARMEDLIYSLNYSLRLLESGKVVAWYSPKRKEGMIELRIFEF >fgenesh2_kg.4__2657__AT2G44670.1 pep chromosome:v.1.0:4:21770594:21771407:1 gene:fgenesh2_kg.4__2657__AT2G44670.1 transcript:fgenesh2_kg.4__2657__AT2G44670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYYSGFLSCEEPHFLESCSLCRKHLGLNSDIFMYRGDKAFCSKECREEQIESDEAKERKWKNSSRSLRKKSSETKESAAGNTVRTGTLVVA >fgenesh2_kg.4__2659__AT2G44680.1 pep chromosome:v.1.0:4:21775006:21776788:-1 gene:fgenesh2_kg.4__2659__AT2G44680.1 transcript:fgenesh2_kg.4__2659__AT2G44680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit beta [Source:UniProtKB/TrEMBL;Acc:D7LLP6] MYKDRSGGGIMGGGGSSRSEILGGAIDRKRINDALDKHLKKSSPSTSRVFTSKDKDSFPSTSTAKSQLHSRSPDVESETDSEGSDVSGSEGDDTSWISWFCNLRGNEFFCEVDEDYIQDDFNLCGLSGQVPYYDYALDLILDVESSNGDMFTEEQNEMVESAAEMLYGLIHVRYILTTKGMAAMMEKYKNYDFGRCPRVFCCGQSCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMAYGNMKPQKPVQNYVPKIFGFKVHNKQ >fgenesh2_kg.4__2661__AT2G44710.1 pep chromosome:v.1.0:4:21781580:21785988:1 gene:fgenesh2_kg.4__2661__AT2G44710.1 transcript:fgenesh2_kg.4__2661__AT2G44710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKVVKRGGAARRGGRLTRSALKAQSPLVNEESVDIGEISGSDALEAKEVTPEVDETVEEENPLDGPKPLDSIDDSEAAANPVDIVLSKKETEVKDSVDDFGKDERLDLDDNEPEFEAEEYGGEEFEERELGQEDNELVNEEGEELEEEIEVEEEAGEFADEIGDDPEELESEDDDEHANEDVKHGETVDVEEEEHHDVLHERRKRKEFEIFVGSLDKGATEEDLKKVFGHVGEVTEIRILKNPQTKKSKGSAFLRFATMEQAKRAVKELKSPMINGKKCGVTASQDNDTLFIGNICKTWTPEALREKLKHYGVENMDDITLVEDSNNVNMNRGYAFLEFSSRSDAMDAHKRLVKKDVMFGVEKPAKVSFTDSFLDPEDEIMAQVKTIFIDGLLPSWNEERVRDLLKRYGKLEKVELARNMPSARRKDFGFVTFDTHEAAVTCAKFINNSELGEGEDKAKVRARLSRPLQKAGKGRQSSRSDQRSRHGTGRSGRISFARLPPRSLASSRSARGAGSRAPSSSAKRVSGSRGRRPRPPLPPPARARPLPPPARARPLPPPARARPLPPPARSYDRRPPVPLYPKASLKRDYDRRDDLPPPRSRPAVSYSSRLSPERHLSYRDDYPPRGSGYSDLPRSSSRSEMRRPFVDDPYSPRFERPPSYSEGRPRAYEPLPGSKRPYAALDDIPPRYADVDVRHSRPRLDYDVGPSQYGESYGDRIPRSSLGYGSSRNSMSSHDSRGPYSSRQGMDYGGGSYSGSDVGGMYSSSYGGDLPRRDGGGSSYSSIYSSRGLGGSSYSSGGPGSYY >fgenesh2_kg.4__2662__AT2G44730.1 pep chromosome:v.1.0:4:21786263:21787519:-1 gene:fgenesh2_kg.4__2662__AT2G44730.1 transcript:fgenesh2_kg.4__2662__AT2G44730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LLP9] MSDPDSPMNHDPIPDPSPVRIQSSPLPPPLSSSAQDDASTEPASVTDLKSASIPAASKNSRRLPPPCWSLEEAIALIDAYRDKWYALNRGNLKANHWEEVAEAVGVNCPDVTLKKTAVQCRHKMEKLRKRYRTEIQRARSVPVARFISSWVHFKRMEAMENRPEIKQGNESGDEDHDDANYTARYQIKNGGGGGGGLVARTTPRFFNRNGTAGSGGGGGSSSSGGIRIRIPTGVSIAQPGARFPGKIDQKYTASPSSGLSSNPRPGRGIGAGGPNYGAKVVRIPEGEERGGGKRGREMMMKTEEEDDNDPMVEIASAIKQLGDTLVRTEQTRMEMTREIEAMRMDTEMKRTKMILESQQRIVEAFAKSLSDNTEEDKKKKARRMSSLAADS >fgenesh2_kg.4__2663__AT2G44740.1 pep chromosome:v.1.0:4:21792636:21794000:-1 gene:fgenesh2_kg.4__2663__AT2G44740.1 transcript:fgenesh2_kg.4__2663__AT2G44740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCP4_1 [Source:UniProtKB/TrEMBL;Acc:D7LBR4] MAELENPSVMSKLIAFLSSLLERVAESNDLTRRVTTQSQRVSVFHGLSRPTITIQSYLQRIFKYANCSPSCFVVAYVYLDRFTHRQPSLPINSFNVHRLLITSVMVAAKFLDDLYYNNAYYAKVGGISTKEMNFLELDFLFGLGFELNVTPNTFNAYFSYLQKEMTLLQPLSLVVVPSSRSVVTFNDDEASHQKQQQQLAV >fgenesh2_kg.4__2664__AT2G44745.1 pep chromosome:v.1.0:4:21798124:21799797:-1 gene:fgenesh2_kg.4__2664__AT2G44745.1 transcript:fgenesh2_kg.4__2664__AT2G44745.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LBR5] MEGGGRRVFNNYDLQQVTSSSTTVQENMNFLVPFEETNVLTFFSSSSSSSLSSPSFPIHNSSSTTTTHAPLGFSNNLQGGGPLGSKVVNDDQENFRGGTNTDAHSNSWWRSNSGSGDMKNKVKIRRKLREPRFCFQTKSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHNHIPSDDSTSPDHDCLSSF >fgenesh2_kg.4__2665__AT2G44760.1 pep chromosome:v.1.0:4:21804911:21806792:-1 gene:fgenesh2_kg.4__2665__AT2G44760.1 transcript:fgenesh2_kg.4__2665__AT2G44760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPFLHLCKSFTSSSPLSCNNHSLCFGFHNALLSPSICNFRRRNNVNRRKIVAQGSRPNDGNSRPAGNKGKVTLKGNKENIWSVDNEMAEKEKRKVKPKGGRRSGKRLGGGRKGKIGRVLVSGTMLIESETVLQTQEPVIKPVWRTFASSVSGIWKGVGAVFSPITAEMEPIEIGKKNESLYDCYTLSRIEALPSPPSGGANTESEIQRKINWVTLNPHGEFFQISESKDEILVDQTGVDTRLPKFESFNLKASDVMEEDSMVDQPGLVYFEDGSYSRGPVTIPVGEMSESNYYLTPTFKFEQCLVKGCHKRLRVVHTIEFANGGADIQIMRVGVYEEQWVSPSNYEEQSDNDAPLELKPFSQRKRTQPSELTGSWKVFEVSATPIYGEEAEFEQPGESTPVVYLCTEALKRRNLPETLVSFGEEEVIDMQDVSVMWLPGGVTAYVDVKKDGVLCIGVGWYSDEGINLVMERDYGLDGNLKEVRSKSEMKRRWTEEPK >fgenesh2_kg.4__2666__AT2G44770.1 pep chromosome:v.1.0:4:21809441:21812636:1 gene:fgenesh2_kg.4__2666__AT2G44770.1 transcript:fgenesh2_kg.4__2666__AT2G44770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDREGSFVAVRRISQGLERGSVYNSSSAEAVPGSAAWLGRGLSCVCAQRRDSDANSTFDLTPAQEESLQNLQNRIDVAYDSTIPLHQEALRDLWKLAFPEEELHGLISEQWKEMGWQGKDPSTDFRGGGLISLENLLYFARNFQKSFQDLLRKQVGDRSVWEYPFAVAGINLTFMLIQMLDLEAVKPRTIVGATFLKFLSENESAFDLLYCIAFKLMDQQWLSMRASYMEFNTVMKSTRRQLERELMLEDIMHLEDLPSYALLNQ >fgenesh2_kg.4__2667__AT2G44790.1 pep chromosome:v.1.0:4:21819091:21820431:-1 gene:fgenesh2_kg.4__2667__AT2G44790.1 transcript:fgenesh2_kg.4__2667__AT2G44790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNGLSKMAAAAATALLLVLTIVPATVAVTYTVGDGQQWDSGVDYTVWVAGKTFRVGDILETSSSMVDHTRWMRLTKPDMMAATPPPRLRTIPKLAATVLAASAGPPATPTPPSSTPGTPTPPSTTPGTPTTPDSPPAGGSPTTPTPDSGSTSPPPPKPSGASKGVMSYVLVGVSMVLGYALWM >fgenesh2_kg.4__2670__AT2G44820.2 pep chromosome:v.1.0:4:21854142:21855532:1 gene:fgenesh2_kg.4__2670__AT2G44820.2 transcript:fgenesh2_kg.4__2670__AT2G44820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNRKPMEKKKEGMGSVDQDLSFRKIMKDVELFGSSHMTWKDKKALENKKVTALGGKPQKMHRLPLSVARVQMKKQKDREEKMLEQNMILGRFGGGGSSSRKPAERKRTPEERVLKSTVGIFKGGVLDVRHLLHSGSSSTNDRDFKMKKPGRIEKNLGGGGDGGGIKSKGKKKGGKKKNKGKKKKGGGKKKGK >fgenesh2_kg.4__2672__AT2G44830.1 pep chromosome:v.1.0:4:21860209:21862693:1 gene:fgenesh2_kg.4__2672__AT2G44830.1 transcript:fgenesh2_kg.4__2672__AT2G44830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAELKRLPSKGPVSGHLSRRPYLDLETRDANGMHLETLRERAARYNSGRSVNPTTTLGRELSQVLNVHREDTIMTQFGGNMNDFQEFEPVVSSVRTMKAKYPLLEIEEIGAADDDVTCKGSNDMSEEAGSSSFRGVSHPPEPDDMDLITTVYVPISERSKPDSVCLMKSMSTTKGPFIEDISLCVPPKKSSPGVLSPTESIVEEPATSLSPFSVARASQNTENSLLPPDSDKECVWDASLPPSTNVSPHSSSVESMNFARAMSIANSSSATSTTQRSDVVLSMDKNYCDRSISMVLDSFESTKTSASRASDSSGLSEESSWSNFTGSLNKPHKGNDPWWNAILAIRTRDGILGMSHFKLLKRLGCGDIGSVYLAELSGTRCHFAVKVMDKASLEDRKKLNRAQTERDILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIKTFDSDPSRRGAFCVQPACMEPTSACIIQPSCFLPRSIFPNKNKKNKTRKTQADFFKSHSGSLPELVAEPNTRSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFVHELLYGKTPFKGSGNRATLFNVVGEQLKFPESPATSYAGRDLIQALLVKDPKNRLGTKRGATEIKQHPFFEGVNWALIRCSTPPEVPRQMETEPPPKYGPIDPVGFGSNSKRMMAPPAVSAAADTKSGGKFLDFEFF >fgenesh2_kg.4__2676__AT2G44860.1 pep chromosome:v.1.0:4:21874667:21876564:-1 gene:fgenesh2_kg.4__2676__AT2G44860.1 transcript:fgenesh2_kg.4__2676__AT2G44860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAFRAAHGKDMTKDKTFEFEKKRNRPERYDRNVTENTLKAIKKIDKIRSAREAKHIENRLKPNKQKKLLDDKKEHDQHIHLVQAPGSVTGKIKVDVSEKKSVQNEAMEE >fgenesh2_kg.4__2679__AT2G44910.1 pep chromosome:v.1.0:4:21893568:21895216:-1 gene:fgenesh2_kg.4__2679__AT2G44910.1 transcript:fgenesh2_kg.4__2679__AT2G44910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDDGLGLSLSLGNSQQKEPSLRLNLMPLTTSSSSSFQHMHNQNNNSHPQKIHHNSWTHLFQSSGIKRTTAERNSDAGSFLRGFNVSRAPSAVAVVDLEEEAAVVSSPNSTVSSLSGNKRDLAVARGGDENEAERASCSRGGGSGGSDDEEGGNGDGSRKKLRLSKEQALVLEETFKEHSTLNPKQKLALAKQLNLRARQVEVWFQNRRARTKLKQTEVDCEYLKRCCDSLTEENRRLQKEVSELRALKLSPHLYMHMTPPTTLTMCPSCERVSSSAATVTAAPPTTPTVVGRPSPQRLTPWTTISLQQKSGR >fgenesh2_kg.4__267__AT2G23370.1 pep chromosome:v.1.0:4:1814410:1816649:-1 gene:fgenesh2_kg.4__267__AT2G23370.1 transcript:fgenesh2_kg.4__267__AT2G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGAAVHGRKRGLILLRVGRNFSRSFCSSSSPLSEHECFIKDIAKAQPPKHLTQLLNIFIARGKSIVSPGAKEGLLPLTIPLVRMSPGSSIALLRWPTAPPSMEMPVVEVQKHGVWFLANNVDQFIHRILVEEDVSKPEESSQEIFNAVGEAGLKLYSKGDFASSRLMDLDAYLLRKVGLFPDSLERKVIRHIENGDHVSALVATEFYTKRGNFPGFARPFAFNAKVLLKLGRSLEAKDAARGALKSSWWTLGYRYEEIARIAEWGDEQIAQYKERVTGEGKQRDIDRGKPMAQASLDEAAFLLNLASLEGTWDESLDLVAQCYKEAGLNDIAKFVLYRD >fgenesh2_kg.4__2681__AT2G44920.2 pep chromosome:v.1.0:4:21901494:21903878:1 gene:fgenesh2_kg.4__2681__AT2G44920.2 transcript:fgenesh2_kg.4__2681__AT2G44920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumenal 15 kDa protein, chloroplast [Source:UniProtKB/TrEMBL;Acc:D7LBT8] MVILSNVSLFSCCNVSQKPSLSSPSSRNSHCPLRYSQVTSQEGKEVVVSPLRSVVWSLGEEVSKRSLLALVSASLFFVDPALAFKGGGPYGQGVTRGQDLSGKDFSGQTLIRQDFKTSILRQANFKGAKLLGASFFDADLTGADLSEADLRGADFSLANVTKVNLTNANLEGATATGNTSFKGSNITGADFTDVPLRDDQREYLCKIADGVNATTGNATRDTLLCN >fgenesh2_kg.4__2682__AT2G44930.1 pep chromosome:v.1.0:4:21906800:21909086:-1 gene:fgenesh2_kg.4__2682__AT2G44930.1 transcript:fgenesh2_kg.4__2682__AT2G44930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKEPLMEDLEQGIQLTSENETGGSGNVGRWSEGFHDPLVPKHGAAEKAKVLSVTTKPYKPVPCSESEISGIWPSSFSEYCCIYRVPNRLRRVNPDAYTPQMLLIGPLQHSKKAKALELSKTDLRYLDYMNMELHKEKYLNAIANKYGEQIIEVFRKIIRTSEKFIRESYAESTEWIKSQEFVEMILLDSVFILGFFIQTETTQNIKKKEAILFHEEDILFQEPCLITTILEDLILLENQLPYVLLEELSIHFFANLKTKETFRDIILRAFRLKGEVKEGMNFRHFTDLFRRVLVETLCLTKEQIKSAKDKPPESIKSLHNADKLDSAGVDFVPLEEKNNLSLVITFERGILEIPCFLADDNTERIMRNLMALEQCHYPLTSYVCNYIAFLDFLIDTDQDVDLLVKKGVIKNWLGHQASVAEMVNKLCLGLVDFGSHYYDIADRLNKHYENRRNRSIATLRRVYFKDLWTGTATIAAVVILVLTLIGTVASVLQVTQKEK >fgenesh2_kg.4__2683__AT2G44940.1 pep chromosome:v.1.0:4:21916052:21917047:1 gene:fgenesh2_kg.4__2683__AT2G44940.1 transcript:fgenesh2_kg.4__2683__AT2G44940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHINIESSVSQVTFISSAIFAVSSSSVSAAASLSSSPTTSSSSSSSSTNSNFIAEDNSKRKATRRSLASVEDDDRNDGGGKRRKTSGGDKHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTYPTAEMAARAHDVAALAIKGTTAYLNFPELSGELPRPVTNSPKDIQAAASLAAVNWQDPVNDVSNSEVAELAEAEPSRAVVAQLFSSDTSTATTQSQESSEASCASTSCTDKDSEEEKLFDLPDLFTDENEMMIRNDAFCYYSSTWQLCGADAGFRLEEPFFCLND >fgenesh2_kg.4__2684__AT2G44950.1 pep chromosome:v.1.0:4:21921774:21928308:-1 gene:fgenesh2_kg.4__2684__AT2G44950.1 transcript:fgenesh2_kg.4__2684__AT2G44950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone mono-ubiquitination 1 [Source:UniProtKB/TrEMBL;Acc:D7LBU2] MASTGEPDRKRRHFSSISPSEAAAAVKKQPFFWPSSEDKLDTAVLQFQNLQLSQKLEAQQVECSILEDKLSQIKEKQLPYSSSLKTVHKSWEKLTATVESCSVRVSDSSSGAHRFVNKEDGSSPAVKNDFINRLLETGATESSSSNICSNRMEENGVNTSSQMTQTLSNLVAATDDLRCLKDDLYPTVLRTSLGKDLCGQLALSELESEIKSFRGDLDDVLVKFKSLSRELQSHRDADAKVRADLKRIRGELEDEVVELQQCNGDLSALRAERDATAGAFFPVLSLGNKLATSDRERDKQRDLHDMETVLKELTVLASGRLQELKDLHEERTKMLEKMSNLQNKSKSVRCISSSQACLSLKDQLKKSKEAVFQYMALLEKLQVEKDSIVWKEREMNIKNELVDVSRRTSAVADSRMASLDSVIQKQLDEKMRIKTRLGNISRERGRKEIFADMKALISSFPEEMSSMRNQLDNYKETAGGIHSLRADVQSLSGVLCRKTKECEALHLTSADYASQLGDLNATVCDLKNSHEELKLFLDMYKRESTDPRDIAEAKEQEYRAWAHVQSLKSSLDEQNLELRVKAANEAEAVSQQMLAAAEAEIADLRQKMDDCKRDVAKHSDILKSKHEEHGTYLSEIQTIGSAYEDIVPQNQQLLLQVTERDDYNIKLFLEGITSRQMQDTLLIDKYIMDKDIQQGSAYASFLSKKSSRIEDQLRFCTDQFQKLAEDKYQKSVSLENLQKKRADIGNGLEQARSRMEESHSKVEQSRVDYGALELELEIERFNRRRIEEEMEIAKKKVSRLRSLIEGSSAIQKLRQELSEFKEILKCKACNDRPKEVVITKCYHLFCNPCVQKLTGTRQKKCPTCSASFGPNDIKPIYI >fgenesh2_kg.4__2687__AT2G44980.2 pep chromosome:v.1.0:4:21932348:21936689:-1 gene:fgenesh2_kg.4__2687__AT2G44980.2 transcript:fgenesh2_kg.4__2687__AT2G44980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKESSPPKVPSTMEYERRLEAAAKFILEKEAKSPPDCREFGVTATLKPHQVEGVSWLIQKYLLGVNVVLELDQMGLGKTLQAISFLSYLKFHQGLPGPFLVLCPLSVTDGWVSEINRFTPNLEVLRYVGDKYCRRDMRKSMYDHVKKSSKGHLLPFDVLLTTYDIALVDQDFLSQIPWHYAIIDEAQRLKNPNSVLYNVLLDQFLIPRRLLITGTPIQNNLTELWALMHFCMPLVFGALDQFLVAFKETGEGLSGLDVSNDKETYKSLKFILGAFMLRRTKSLLIESGNLVLPPLTELTVMVPLVSLQKKIYTSILRKELPGLLALSSGGSNHTSLQNIVIQLRKACSHPYLFPGIEPEPFEEGEHLVQASGKLFVLDQLLKRLHDIGHRVLLFSQMTSTLDILQDFMELRRYSYERLDGSVRAEERFAAIKNFSAKSERGLDSEVDASNAFVFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQISHVLSINLVTEHSVEEVILRRAERKLQLSHNVVGDNMEEKDEDRGDLRSLVFGLQRFDPEEIHSEESDNLKMVEISSLAEKVVAIRQNVEPDKEARKFKIDSSDTLRGNLSSACLDSELDEASYLSWVEKLKKASRSSKDEKIIELGNRKNLSEERNLRIEAARKKAEEKKLASWEAHGYRSLSVEEPIFPDDVDSSSDAGSVNFVFGDCTNPSTVSHEPAIIFSCVDDSGNWGRGGMFDALSKLSNTVPDAYHRASEFKDLHLGDLHLIKIDDSYDQQNTEESKPLWVAVAVTQSYNPRRKVPRSSISIPDLESCLAKASFSASQKSASLHMPRIGYQDGSDRSQWYTVERLLHKYSSIFAVKIFVYYYRRSP >fgenesh2_kg.4__268__AT2G23380.1 pep chromosome:v.1.0:4:1817136:1821773:1 gene:fgenesh2_kg.4__268__AT2G23380.1 transcript:fgenesh2_kg.4__268__AT2G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LFA7] MASEASPSSSATRSEPPKDSTTEEVRGPAAKEVSEVIESLKKKLAADRCISIKKRIDENNKNLCGITQSFMRSSMERGSGCKDGSNLLVKRQRDSPGMKSGIDESNNNHRFVEDGPANSGMVQGSSVPVKISLRPIKMPDIKRLSPYTTWVFLDRNQRMTEDQSVVGRRRIYYDQTGGEALICSDSEEEAIDDEEEKRDFLEPEDYIIRMTLEQLGLSDSVLEELANFLSRSSSEIKARYGVLIKEKEVSESGDNQAESSLFNKDMEGALDSFDNLFCRRCLVFDCRLHGCSQDLIFPAEKPAPWCPPVDENLTCGANCYKTLLKSEKIRGYGTIEDKTGTSSDGAGTKSTSNKLNGRKPKTFPGESASSNEKCTPETSDSENGLQQDTNSDKLSSSPKVKGSGRRGGRKRNNNRVAERVPRKTQKRQKKTDASDSDSIASGSCSPSNANHKDNEDATSSSQKHVKSGNSGKSRKNGTPAEDSKNPVKDDDPVCQSDKIASELDAPCSDESLRKEEFVGENVCRARLATNKLWRPLEKSLFDKGVEIFGMNSCLIARNLLSGFKSCWEVFQYMTCSENKASFFGGDALNPDGSSKFDINGNMVNNLVRRRSRFLRRRGKVRRLKYTWKSAAYHSIRKRITERKDQPCRQFNPCNCKIACGKECPCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVIGGDGSLGVPSQRGDNYECRNMKLLLKQQQRVLLGISDVSGWGAFLKNSVSKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPEPNCYAKVIMVAGDHRVGIFAKERILAGEELFYDYRYEPDRAPAWAKKPEAPGSKKDENVTPSVGRPKKLA >fgenesh2_kg.4__2690__AT2G45000.1 pep chromosome:v.1.0:4:21944179:21947624:1 gene:fgenesh2_kg.4__2690__AT2G45000.1 transcript:fgenesh2_kg.4__2690__AT2G45000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFPFGQSNSVGSFSFGSSSATNSSSASSTTSPLSFSFNQSSNPSSTGFGFGSTVSSTPASSTTPSFGFGASSSPSFGFGSSASSTPSFGFGSSASTTPASTTPSFGFGTAASSSASAPSLFGSSTTNASSAAPGSSPFGFVTSSASSATVSASSPFGVPASSSATPSSSIFGAAPASGSSSLFGSSSSLFSAPSSASASNSSIFGASSSAATSTSPLFGAPASATGSTPSFGVASAPGSSSSIFGATGSLPSFSVASSASGSSPPIFGATGSSPSIFGSSSSAGSTPSLFASSSSGATASSPSPFGVSTINSSTTTNPSNASASPFSASTGFSFLKSTASSTTSTTTASAPPQTASSSSFSFGTSANSGFNLSTGSSAAPASSTSGAVFSIATTTTTSSSTPAATSAPASSAAASTMAFPSFGVSSSATNTTPASSAATFSTTGFGLASSTPATGSTKSFTGFAVPQTSTPASSSQPQTTTPAFSFSLPSSTSTTAPATTSATTTQTSLVVPSSSGTSTAVAPVAGSPKLPSEITGKTVEEIIKEWNTELQERTGRFRKQANAIAEWDKRILQNRDVLLRLEIEVAKVVETQSSLERQLELIETHQQEVDKALQSMEEEAERIYNDERKSLLDDEAASTRDAMYEQSELVERELEHMTEQIRSIIQSVNANQGGELEAIDGMSPLDVVVRILNNQLSSLMWIDEKAEEFSSRIQKIASQGSSGDRELMAPKHWMS >fgenesh2_kg.4__2691__AT2G45010.1 pep chromosome:v.1.0:4:21947859:21949761:1 gene:fgenesh2_kg.4__2691__AT2G45010.1 transcript:fgenesh2_kg.4__2691__AT2G45010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGNAASRYVKLRKEQAPVEEDITPGELNQPIDVPQLNVRKCHECMQVLPETYEPPSDENWTTGIFGCAEDPESCRTGLFCPCVLFGRNIEAVREEIPWTQPCVCHAICVEGGMALAAVTALFSGYIDPQTTVVICEGLFFAWWMCGIYSGLFRQELQKKYHLKNAPCDHCMVHCCLHWCALCQEHREMKNHLSDTEASSSTTMDPPPVQEMNTEEKRDASSSSSSSPSSAKSQHNDLEMVPL >fgenesh2_kg.4__2694__AT2G45030.1 pep chromosome:v.1.0:4:21950452:21955000:1 gene:fgenesh2_kg.4__2694__AT2G45030.1 transcript:fgenesh2_kg.4__2694__AT2G45030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7LBU8] MARFPNSPAPNLLLRLFSSNKRSSSPTAALLTGDFQLIRHFSAGTAARAAKDDKEPWWKESMDKLRNIGISAHIDSGKTTLTERVLFYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWKDYKVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRVAFINKLDRMGADPWKVLNQARAKLRHHSAAVQVPIGLEENFQGLVDLIHVKAYFFHGSSGENVVAGDIPADMEGLVAEKRRELIETVSEVDDVLAEKFLNDEPVSAAELEEAIRRATIAQKFVPVFMGSAFKNKGVQPLLDGVVSYLPSPNEVNNYALDQMNNEERVTLTGSPDGPLVALAFKLEEGRFGQLTYLRVYEGVIKKGDFIINVNTGKRIKVPRLVRMHSNDMEDIQEAHAGQIVAVFGIECASGDTFTDGSVKYTMTSMNVPEPVMSLAVQPVSKDSGGQFSKALNRFQKEDPTFRVGLDPESGQTIISGMGELHLDIYVERMRREYKVDATVGKPRVNFRETITQRAEFDYLHKKQSGGAGQYGRVTGYVEPLPPGSKEKFEFENMIVGQAIPSGFIPAIEKGFKEAANSGSLIGHPVENLRIVLTDGASHAVDSSELAFKMAAIYAFRLCYTAARPVILEPVMLVELKVPTEFQGTVAGDINKRKGIIVGNDQEGDDSVITANVPLNNMFGYSTSLRSMTQGKGEFTMEYKEHSAVSNEVQAQLVNAYSASKATE >fgenesh2_kg.4__2695__AT2G45040.1 pep chromosome:v.1.0:4:21955708:21956963:1 gene:fgenesh2_kg.4__2695__AT2G45040.1 transcript:fgenesh2_kg.4__2695__AT2G45040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Matrix metalloproteinase [Source:UniProtKB/TrEMBL;Acc:D7LBU9] MHHHHHPCNRKPFTTIFFVSLLYLNLHNPHIIEARNPSPDVSIPEIKRHLQQYGYLPQKNEPDDVSFEQALARYQKNLGLPITGKPDSDTLSQILLPRCGFPDDVEPKTAPFHTGKKYVYFPGRPRWTRDVPLKLTYAFSQENLTPYLAPTDIRRVFRRAFGKWASVIPVTFIETEDYVIADIKIGFFNGDHGDGEPFDGVLGVLAHTFSPENGRLHLDKAETWAVDFNEEKSTVAVDLESVAVHEIGHVLGLGHSSVKDAAMYPTLKPRSKKVNLNMDDVVGVQSLYGTNPNFTLSSLLASETSTNLADDGSMRRSQGMIYSTLSTVIALCFLNW >fgenesh2_kg.4__269__AT2G23390.1 pep chromosome:v.1.0:4:1821856:1825224:-1 gene:fgenesh2_kg.4__269__AT2G23390.1 transcript:fgenesh2_kg.4__269__AT2G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLSYCKPSPPLRRFHRRCGNLWKKGNVRSSRRSSTVTAMFWKSNKPAEVREFDISLRDYSLTGSDFEPRRNVISLSVVSSILEIPQAEWDACALDSSQPESYNPFLSYGFLSSLEETGCAVRETGWMPLHIVAKDESESILGVVPLYLKSHSYGEFVFDHSWADAYRSFGGRYYPKLQCCVPFTPVTGPRILIRNNPCKDQVFDAIVSAMTELAAKLRVSSLHITFPSGVEWNKLKEKGFSQRIGMQYHWQNRDYKNFDEFLMDMKQSKRKNIRQERKKIGSQNLKMRRLRGDEIKARHWDSFYDFYRNTTDNKWGTPYLTREFFHDMASKLGDKVLLVLAEENEEPVAGALNLIGGDTLFGRLWGCRPDSYYPSLHFEACYYQAIEAAIELNLKTVEAGAQGEHKIQRGYLPVKTYSCHYIFDEGFRQAIDEFLVRESNQVDFVIKLLHEDGPFKENIE >fgenesh2_kg.4__26__AT2G21160.1 pep chromosome:v.1.0:4:166520:168556:1 gene:fgenesh2_kg.4__26__AT2G21160.1 transcript:fgenesh2_kg.4__26__AT2G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLRVLFLALLLLASPLLQVARCQSDAEDHSSLVDDVVGENSDDAVEEDDHDLDMNLSSFPGVETVCVFPKNSAKLVPAGEETELLVGLKNEGKTSVGVMGIRASVHLPYDHKLLVQNLTMLRFNNASIPTSLQATFPYIFAVSQYLQPGAFDLVGYIIYDVEGKPYQSVFYNGTIEVVESGGLLSGESVFLITLGIGLLLLLGLWAYSQVQRLTKKTKKVSKVEVGTRSTDASLDEWLEGTTLAKTLSGKSKNKKN >fgenesh2_kg.4__2700__AT2G45070.4 pep chromosome:v.1.0:4:21966487:21967726:-1 gene:fgenesh2_kg.4__2700__AT2G45070.4 transcript:fgenesh2_kg.4__2700__AT2G45070.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec61 subunit beta [Source:UniProtKB/TrEMBL;Acc:D7LBV2] MVGSGAPQRGSAAATASMRRRKPASGAGGGGASGGAAGSMLQFYTDDAPGLKISPNVVLIMSIGFIAFVAVLHVMGKLYFVK >fgenesh2_kg.4__2701__AT2G45080.1 pep chromosome:v.1.0:4:21971631:21972418:1 gene:fgenesh2_kg.4__2701__AT2G45080.1 transcript:fgenesh2_kg.4__2701__AT2G45080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCP3_1 [Source:UniProtKB/TrEMBL;Acc:D7LBV3] MYSLAISPRKLRSDLYSYSYQDDSNTVPLVISVLSSLIERTLARNERISRSYGGFGKTRVFDCREIPDMTIQSYLERIFRYTKAGPSVYVVAYVYIDRFCQNNQGFRISLTNVHRLLITTIMIASKYVEDMNYRNSYFAKVGGLETEDLNNLELEFLFLMGFKLHVNVSVFESYCCHLEREVSIGGGYQIEKALRCAEEIKSRQIVQDPKHHHHHQFSRILL >fgenesh2_kg.4__2705__AT2G45120.1 pep chromosome:v.1.0:4:21991585:21992832:1 gene:fgenesh2_kg.4__2705__AT2G45120.1 transcript:fgenesh2_kg.4__2705__AT2G45120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKCRFCFKSFINGRALGGHMRSHMLSLSAKRELYELTGEEAEERPSQLSDDDDTESDASSSGEEQDHLNCGEFDNLKMNLLDDELEFDFAEDDDDVESETESSRINPTRRRSKRTRKLGSFDFDFKKLKTSQPSELVTEPEHHSSASDTTTEEDLAFCLIMLSRDKWKQQKKKKQRVEEEDETDHDSEDYKPDKNRGRFKCETCGKVFKSYQALGGHRASHKKNKACMTKTEQVKTEYVLGAKEKKVHECPICFRVFTSGQALGGHKRSHGSNIGAGRGLSVSQIVQIDKEEEEVSVKQRMIDLNLPALNEEDETSLVFDEW >fgenesh2_kg.4__2706__AT2G45130.1 pep chromosome:v.1.0:4:21994616:21995872:1 gene:fgenesh2_kg.4__2706__AT2G45130.1 transcript:fgenesh2_kg.4__2706__AT2G45130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LBV7] MKFGKRIKEQIQESLPEWRDKFLRYKELKNLISSPALAESIFVGLLNAEIDKFNAFFVEQEEDFIIHHKELQSRIQRLVEKCGHNDEMFREEISEIRKDIVNFHGEMVLLVNYSNINYTGLAKILKKYDKRTRGGLRSPFIQKVLHQPFFKTDLVSRLVREWETTMDAVFPVTVVEAEAERCAAVTSAAAGVGIFRNTVAALLTMKEMRRGSSTYSAFSLPPLNISDSDLSSPIHIP >fgenesh2_kg.4__2707__AT2G45140.1 pep chromosome:v.1.0:4:21998603:22001143:1 gene:fgenesh2_kg.4__2707__AT2G45140.1 transcript:fgenesh2_kg.4__2707__AT2G45140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNELLTIDPVDLQFPFELKKQISCSLYLGNKTDNYVAFKVKTTNPKKYCVRPNTGVVHPRSSSEVLVTMQAQKEAPADMQCKDKFLLQCVVASPGATPKDVTHEMFSKEAGHRVEETKLRVIYVAPPRPPSPVREGSEEGSSPRASVSDNGNASDFTAAPRFSADRVDAQDNSSEARALVTRLTEEKNSAVQLNNRLQQELDQLKRESKRSQSGGIPFMYVLLVGLIGLILGYIMKRT >fgenesh2_kg.4__2709__AT2G45150.1 pep chromosome:v.1.0:4:22001220:22003556:1 gene:fgenesh2_kg.4__2709__AT2G45150.1 transcript:fgenesh2_kg.4__2709__AT2G45150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LBW1] MASFAELVLSTSRCTCPCRSFSKKPLILPPLSGLRLVGGDTKPLFRSGLGPVSVKRRFLTAVARAESDQLGDDDNAKEIDRIHDLQNVEDKQKKPSQLKKRVIFGIGIGLPVGCVVLAGGWFFTVALAASVFIGSREYFELVRSRGIAKGMTPPPRYVSRVCSVICAVMPILTLYFGNIDILVTSAAFLVAIALLVQRGSPRFAQLSSTMFGLFYCGYLPCFWVKLRCGLAAPALNTGKLSPFISLKFSIGKTWPILLGGQAHWTVGLVATLISFSGVIATDTFAFLGGKAFGRTPLTSISPKKTWEGTFVGLVGCIAITILLSKSLSWPQSLFSSIAFGFLNFFGSVFGDLTESMIKRDAGVKDSGSLIPGHGGILDRVDSYIFTGALAYSFIKTSLRLYGV >fgenesh2_kg.4__270__AT2G23400.1 pep chromosome:v.1.0:4:1825595:1830717:-1 gene:fgenesh2_kg.4__270__AT2G23400.1 transcript:fgenesh2_kg.4__270__AT2G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl transferase [Source:UniProtKB/TrEMBL;Acc:D7LFA9] MPRHVAFILDGNRRWAKRDGLLTSQGHEAGAKRFIEIAELCFELGIHTVSAFAFSTGNWGRDKDEVKWLMSLFQHQLKSNSQYFHRKEIRVSVIGNQTKIDESILQEIHEIEEATKGYKNKHLIVAIDYSGRFDIMHACKSLVKKSERGLIREQDVDEALIERELLTNCSDFPSPDLMIRTSGEQRISDFFLWQLAYTELFFSPVLWPDFDKDKLLEALASYQRRERRFGCRI >fgenesh2_kg.4__2711__AT2G45160.1 pep chromosome:v.1.0:4:22005649:22007847:-1 gene:fgenesh2_kg.4__2711__AT2G45160.1 transcript:fgenesh2_kg.4__2711__AT2G45160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSFERFQGEGVFGLSSSFYSDSPKIWSNQDKTEGKQDLGYVVGGLLPEPTSVLDALRSPSPLASYSSTTTTLSSSHGGGTTVTNTTVTAGDDNNNKCSQMGLDDLDGVLSASSPGQEQSILRLIMDPGSGFGVFDPGFGFGSGSGPVPVSENSNPLCSFPFQEIATPAEALINPTNHCLFSNPPLSPPAKRFNSGSLHQPVFPFSDPDPGHDPVRRQHQFPFPFQFHHNQQQQMPSSSAAVAIVPVPSPGMAGDDQSVIIEQLFNAAELIGTTGNNNNGDHTVLAQGILARLNHHLNTSNHKSPFQRAASHIAEALLSLIHNDASPPLITPENLILRIAAYRSFSETSPFLQFVNFTANQSIIESCNESGFDRIHIIDFDVGYGGQWSSLMQELAPGGRRRNRASSLKLTVFAPPPSTVSDEFELRFTEENLKTFAGEVKIPFEIELLSIELLLNPAYWPLSLRSSEKEAIAVNLPVNSVASGYLPLILRFLKQISPNVVVCSDRGCDRNDAPFPNAVIHALQYHTSLLESLDANQNQDDSSIERFWVQPSIEKLLMKRHRWIERSPPWRSLFTQCGFSPASLSQTAEAQAECLLQRNPVRGFHVEKRQSSLVMCWQRKELV >fgenesh2_kg.4__2712__AT2G45170.1 pep chromosome:v.1.0:4:22013722:22015155:1 gene:fgenesh2_kg.4__2712__AT2G45170.1 transcript:fgenesh2_kg.4__2712__AT2G45170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:D7LBW5] MNKGSSFKMDNDFEKRKAEAGRIREKYPDRIPVIVEKAEKSEVPNIDKKKYLVPSDLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGELMSSVYEDKKDEDGFLYITYSGENTFGASSI >fgenesh2_kg.4__2714__AT2G45180.1 pep chromosome:v.1.0:4:22015772:22016365:1 gene:fgenesh2_kg.4__2714__AT2G45180.1 transcript:fgenesh2_kg.4__2714__AT2G45180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LBW6] MASKALAVTALLITLNLLFFTFVTSTKCPPTTPKPPKVPKSPKKDPAVKPTCPTDTLKLGVCAELLGLVNLVVGSPPKTPCCTLLQGLANLEAAVCLCTALKANVLGINLNVPVDLSLLLNYCGKKLPYGFQ >fgenesh2_kg.4__271__AT2G23410.1 pep chromosome:v.1.0:4:1831859:1833070:-1 gene:fgenesh2_kg.4__271__AT2G23410.1 transcript:fgenesh2_kg.4__271__AT2G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl transferase [Source:UniProtKB/TrEMBL;Acc:D7LFB0] MLSILFSESSLLSLLFLFLIPCLFIIGLIKIKAARDHGDNKKRDEGTYVVREEELQRELMPRHVAFILDGNRRWAKRAGLTTQQGHEAGAKRLVEIAELCFELGIHTVSAFVFSTENWGRDKIEVDNLMSLIQHYRKSDIQFFHRSEIRVSVIGNQTNISEHLLQKLHEIEEATKGYKNKHLIVAIDYSGRFDIMHACKSLVKKSEKGLIREEDVDEALIERELLTNCSDFPSPDLMIRTSGEQRISNFFLWQLAYTELFFSPVFWPDFDKDKLLEALDSYQRRERRFGCRV >fgenesh2_kg.4__2720__AT4G34080.1 pep chromosome:v.1.0:4:22047056:22048138:-1 gene:fgenesh2_kg.4__2720__AT4G34080.1 transcript:fgenesh2_kg.4__2720__AT4G34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LCH1] MEALISNLFGNISSLKSAYIELQSAHTPYDPEKIQAADKVVISELKNLSELKHFYRENNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEITQMLQKIDEANQKRLKLEKNLKLRGMSTNEGSGGDGNLQFPDLTTELFISTYEAAAKAVHDFSKPLINMMKAAGWDLDSAANSIEPDVVYAKRPHKKYAFESYICQRMFSGFQQKNFSVNSESAMVMADDDTDTFFRQFLALKDMDPLDALGTNPDSNFGIFCRSK >fgenesh2_kg.4__2723__AT2G45300.1 pep chromosome:v.1.0:4:22058536:22061228:1 gene:fgenesh2_kg.4__2723__AT2G45300.1 transcript:fgenesh2_kg.4__2723__AT2G45300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoshikimate 1-carboxyvinyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LCH4] MAQVSRIYNGVQNPCVISNLSKSSQRKSPLSVSLKHPRAYPISSWGLKKSGMTLIGSEIRPVKVMSSVSTAEKASEIVLQPIREISGLIKLPGSKSLSNRILLLAALSEGTTVVDNLLNSDDINYMLDALKRLGLNVETDSENNRAVVEGCGGIFPASIDSKSDIELYLGNAGTAMRPLTAAVTAAGGNASYVLDGVPRMRERPIGDLVVGLKQLGADVECTLGTNCPPVRVNANGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIVDKLISVPYVEMTLKLMERFGVSTEHSDSWDRFFVKGGQKYKSPGNAYVEGDASSASYFLAGAAITGETVTVEGCGTTSLQGDVKFAEVLEKMGCKVSWTENSVTVTGPPRDAFGMRHLRAIDVNMNKMPDVAMTLAVVALFADGPTTIRDVASWRVKETERMIAICTELRKLGATVEEGSDYCVITPPKKVKPAEIDTYDDHRMAMAFSLAACADVPITINDPGCTRKTFPDYFQVLERITKH >fgenesh2_kg.4__2729__AT2G45330.2 pep chromosome:v.1.0:4:22066435:22068131:1 gene:fgenesh2_kg.4__2729__AT2G45330.2 transcript:fgenesh2_kg.4__2729__AT2G45330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSGLLLRLCRLRLCRLRLPTLTLSSSVFFSKSSPVSAFMEASNPNSSRKSNFSSFAQSSRRGGGYERDNDRRRPQGRGGGGGKDRIDALGRLLTRILRHMATELRLNMRGDGFVKVEDLLNLNLKTSANIQLKSHTIDEIKEAVRRDNKQRFSLIDENGELLIRANQGHSITTVESEKLLKPILSPEEVPVCVHGTYRKNLESILASGLKRMNRMHVHFSCGLPTDGEVISGMRRNVNVIIFLDVKKALADGIAFYISDNKVILTEGIDGVVPVDYFQKIESWPDRQSIPF >fgenesh2_kg.4__272__AT2G23420.1 pep chromosome:v.1.0:4:1834865:1838220:1 gene:fgenesh2_kg.4__272__AT2G23420.1 transcript:fgenesh2_kg.4__272__AT2G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate phosphoribosyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LFB1] MENGSELGQIIDGPTNPMVTPLLNDLYQFTMAYAYWKAGKHNERSVFDLYFRKNPFGGEYTVFAGLEECVKFLANFKLSDEEIDFVRDCLPGSEEAFGDYLRGLDCSDVEVYAIPEGSVVFPKVPLMRVEGPVGVVQLLETPFLNLVNFASLVATNAARHRFVAGKSKSLLEFGARRAQGPDGAISASKYCYLGGFDATRQLKGNVGMICNVAAGKLFGIPLRGTHSHAYVSSFMSTDEIVDKVLRSADGKTTCEDFVSHVQTWLKKIQYSPSLSGIFSETNQSELAAFTSYALAFPKTFLALVDTYDVMKSGIPNFCAVALALNDFGYKALGIRLDSGDLAYLSREARNFFCTVERELKVPGFGKMVVTASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYSQAALGCVFKLVEINNQPRIKLSEDVTKVSIPCKKRSYRLYGKEGYPLVDIMTGENEPPPKVGERLLCRHPFNESKRAYVVPQRVEELLKCYWRGSADEAREELPPLKEIRDRCIKQLENMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >fgenesh2_kg.4__2732__AT2G45350.1 pep chromosome:v.1.0:4:22084722:22086542:-1 gene:fgenesh2_kg.4__2732__AT2G45350.1 transcript:fgenesh2_kg.4__2732__AT2G45350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSISSTIQVLGSCKTSYDVNKIHGGLIKTGIIKNSNLTTRIVLAFAASRRPYLAEFARCVFHEYHVCSFSPGEMEDPFLWNAVIKSHSHGKDPRQALLLFCLMLENGVSVDKFSLSLVLKACSRLGFVKGGMQIHGFLRKTGLWSDLFLQNCLIGLYLKCGCLGFARQMFDRMPQRDSVSYNSMINGYVKCGLIESARELFDLMPREMKNLISWNSMISGYAQTSEGVNIASKLFAEMPEKDLISWNSMIDGYVKHGRIEDAKGLFDVMPRRDVVTCATMIDGYAKLGFVHQAKTLFDQMPLRDVVAYNSMMAGYVQNKCHMEALEIFNDMEKESHLSPDETTLVIILSAIAQLGRLSKATDMHLYIVEKQFYLGGKLGVALIDMYSKCGSIQHAMLVFEGIENKSIDHWNAMIGGLAVHGLGESAFNMLLQIERLSIIPDDITFVGVLNACSHSGLVKEGLLCFELMRRKHKIEPRLQHYGCMVDILSRSGSIELAKNLIEEMPIEPNDVIWRTFLTACSHHKEFETGELVAKHLILQAGYNPSSYVLLSNMYASFGMWKDVRRVRTMMKERKIEKIPGCSWIELDGRVHEFFVDSIEVSNTL >fgenesh2_kg.4__2733__AT2G45360.1 pep chromosome:v.1.0:4:22088716:22091926:1 gene:fgenesh2_kg.4__2733__AT2G45360.1 transcript:fgenesh2_kg.4__2733__AT2G45360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPETASDAYIDTVKSCKSYKESGVAEFLSATAAGWNARLIVETWSRGDTIATSVGLVVAATHTCGRHVCIVADEQSKLEYVLAMRGVVTMETTEVVVVGESVENTMEEFPGVDFLVVDSKRRDFVKTLRFAKLSNKGAVLVCKNATQRAISGFKWHDVLKGGTRVVRSVFLPVGSGLDIVHVGATGGHQRGDSRMLPSRWIRHVDHLSGEEHLFRR >fgenesh2_kg.4__2734__AT2G45380.2 pep chromosome:v.1.0:4:22091857:22093885:-1 gene:fgenesh2_kg.4__2734__AT2G45380.2 transcript:fgenesh2_kg.4__2734__AT2G45380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKIQNVNQRSDFNRREGTQSRANTSRHHISKVTYGGIDGAYYTSTRTRRKGSDGMVVEESKEADKTTGEATHRISRGINDKGHSVTRKLNSTGGIESTQTLHNLDKDELSSFEEAWKDNLSLAKHEFTGSDHSFGGWLLPALDQSGRQTDQSQTGSSRSATGAKKVVRINIE >fgenesh2_kg.4__2735__AT2G45400.1 pep chromosome:v.1.0:4:22105426:22107779:-1 gene:fgenesh2_kg.4__2735__AT2G45400.1 transcript:fgenesh2_kg.4__2735__AT2G45400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroflavonol 4-reductase family [Source:UniProtKB/TrEMBL;Acc:D7LCI9] MVREEEEDDNNGGGGERKLPVADETVPSLLDGTGLVCVTGGTGFVASWLIMRLLQRGYSVRATVRTNPEGNKKDISYLTELPFASERLQIFTADLNEPESFKPAIEGCKAVFHVAHPMDPNSNETEETVTKRTVQGLMGILKSCLDAKTVKRFFYTSSAVTVFYSGKNGGGGGEVDESVWSDVEVFRNQKEKRVSSSYVVSKMAAETAALEFGGKNGLEVVTLVIPLVVGPFISPSLPSSVFISLAMLFGNYKEKYLFDTYNMVHIDDVARAMILLLEKPVAKGRYICSSVEMKIDEVFEFLSTKFPQFQLPSIDLKNYKVEKRMSLSSKKLRSEGFEFKYGAEEIFGGAIRSCQARGFL >fgenesh2_kg.4__2737__AT2G45420.1 pep chromosome:v.1.0:4:22118555:22120950:1 gene:fgenesh2_kg.4__2737__AT2G45420.1 transcript:fgenesh2_kg.4__2737__AT2G45420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGNTVTAVGGGGGGCGGGGSTGGGGSSGGGGGGPCGACKFLRRKCVPGCIFAPYFDSEQGSAYFAAVHKVFGASNVSKLLLHIPVHRRSDAVVTICYEAQARIRDPIYGCVAHIFALQQQVVNLQAEVSYLQAHLASLELPQPQTRPQPMPQPLFFTPPPPLAITDLPASVSPLPSTYDLASIFDQTTSSSAWATQQRRFIDPRHQYGVSSSSSSVAAGLGGGNSQDLQALAHELLHRQGSPPPATTDDSPSRTMSR >fgenesh2_kg.4__273__AT2G23430.1 pep chromosome:v.1.0:4:1847012:1848260:-1 gene:fgenesh2_kg.4__273__AT2G23430.1 transcript:fgenesh2_kg.4__273__AT2G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKCRKAKGIVEAGVSSTYMQLRSRRIVYVRSEKASSGDDGVLSSCCGSNEYKNNEFIDLEEEDKHGDTETSTYRRSTKRKLFENLREEEKEELSKSMENYSSEFESAVKESLVCCCSGRITREETATTEEEMAKSTTEMPTESEIEDFFVEAEKQINEKFTKKYNFDFEKEKPLEGRYEWANLD >fgenesh2_kg.4__2741__AT2G45440.1 pep chromosome:v.1.0:4:22132618:22134569:1 gene:fgenesh2_kg.4__2741__AT2G45440.1 transcript:fgenesh2_kg.4__2741__AT2G45440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrodipicolinate synthase 2 [Source:UniProtKB/TrEMBL;Acc:D7LCJ3] MAALKGYGLCSMDSALQFPCPKLSNGYKRRSSKWVSPKAAVVPNFHLPMRSLEVKNRTNTDDIKALRVITAIKTPYLPDGRFDLEAYDDLVNIQIQNGVEGVIVGGTTGEGQLMSWDEHIMLIGHTVNCFGGSIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSIEGLIAHFQSVLHMGPTIIYNVPGRTGQDIPPRAIFKLSQNPNLAGVKECVGNKRVEEYTENGVVVWSGNDDECHDSRWDYGATGVISVTSNLVPGLMRKLMFDGRNSSLNSKLLPLMAWLFHEPNPIGINTALAQLGVSRPVFRLPYIPLPLSKRLEFVKLVKEIGREHFVGEKDVQALDDDDFILIGRY >fgenesh2_kg.4__2743__AT2G45460.1 pep chromosome:v.1.0:4:22138492:22143331:-1 gene:fgenesh2_kg.4__2743__AT2G45460.1 transcript:fgenesh2_kg.4__2743__AT2G45460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LCJ5] MASTAAEQDERKVASVASNASQDIKTAAAASRINSQNGTSPSPSLNSKDFIVSSAANIASQPLQNYDSNVWGVLTAISSNARKRRQGINILLTSDEHCLGRLPCHASYQIESNAISGNHCKVYRKRVTGGDGDDVSVFVVDTSTNGTFLNWQRLKKNGPEVRVQHGDIISLAVPPEHEKAFAFVYREVLGNNPALSCMNRKRKAEDTTCEIKRQKGIGIGGPNGPISLDDFKSLQRSNTELRKQLEAQVLTIDTLRNESRSIVEHHESDYLSIFTDITLHLQEIKQIKESTAKSFHNELIELRDQLDTKQKELAQANKLSAEQKNSIDELGERVSASLQSLSEANEVIKSQKASIAELKTGLDEERNQRREERETAVAELKAAMHRCQIEAQEELKRFSDAAMRHEREQQEVINKMKESEKERSMQVETLMSKLEDTRQRLVDSDNRNRQLEAQVSEEQLASANAQKKLEELDLEIKRLQKDLDSEKAAREEAWAKVSALELEISAAVRDLDVERQRHRGARERIMLRETQMRAFYSTTEEISALFAKQQEQLKTMQRTLEDEDNCDNTSLDIDLNPINRSPNRANTQEDKRATYHLNCAARASSSTSGQRSTRNEVLDTSCEDADATQKHDCEIMSQEGQNTQEAEYPSSEKVAKGGFGSDIEGVGTAPTSGTDPVGTEQVNETQSPGNDYERNGHLRNSLILAGDTMQIDCETQVHESVQNDGAVLLLRNPNDQRDTQDTEGVGTIRTSDLLASEVAGSWANSTAPSVHGENETERSREDEESQTQKIKEVTIVQDSAGQIGESQTKPTSPGVLVTKKDDAEPERGVINEAVGIIDQGKIKHGTGSDSETESCSESDDDHEKEKHNPVSDSDTEGSDMKDDKGSLSLDPDTEESHEADGDQKQVDTMDEDDKAT >fgenesh2_kg.4__2744__AT2G45470.1 pep chromosome:v.1.0:4:22146864:22148419:-1 gene:fgenesh2_kg.4__2744__AT2G45470.1 transcript:fgenesh2_kg.4__2744__AT2G45470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQTFSLLAFTFSLLAVASTVSSHNITQILADSPDYSSFNSYLSQTKLADEINSRTTITVLVLNNGAMSALAGKHPLSVIKSALSLLVLLDYYDPLKLHKISKGTTLSTTLYQTTGNAPGNLGFVNITDLKGGKVGFGSAASGSKLDSSYTKSVKQIPYNISILEIDAPIIAPGILTAPAPSAALSNITGLLEKAGCKTFANLLVSSGVLKTYESAVEKGLTVFAPSDEAFKAEGVPDLTKLTQAEVVSLLEYHALAEYKPKGSLKTNKNNISTLATNGAGKFDLTTSTSGDEVILHTGVAPSRLADTVLDATPVVIFTVDNVLLPAELFGKSKSPSPAPAPEPVTAPTPSPADVPSPTAASPPAPPTDDSPESAPSDSPTGSANSKSANAAVGMSTPSLFTALVTIAAVVVSVSLCS >fgenesh2_kg.4__2748__AT2G44890.1 pep chromosome:v.1.0:4:22159343:22161214:1 gene:fgenesh2_kg.4__2748__AT2G44890.1 transcript:fgenesh2_kg.4__2748__AT2G44890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP704A1 [Source:UniProtKB/TrEMBL;Acc:D7LCK1] MAIIVVTTIFIVLSFALYLTIRIFTGKSRNDKRYTPVHATIFDLFFHSDKLYDYETEIARIKPTYRFLSPGQSEIFTADPRNVEHILKTRFDNYSKGPVGRENLADLLGHGIFAVDGEKWKQQRKLVSFEFSTRVLRNFSYSVFRTSASKLVSFVSEFALSGKPFDAQDMLMRCTIDSIFNVGFGVELRCLDGFSEEGEEFMKAFDEGNVATSSRFTDPLWKLKCFLNIGSESRLKKSIATIDKFVYSLITTKRKELSKEHDTSVREDMLSKFLLESEKDPENMNDKYLRDIILNFMVAGKDTTAASLSWFLYMLCKNPLITTDVNGFIGSVTEETLAQMQYLHAALSETLRLYPPVPEDMRCAGNDDVLPDGHRVSKGDNVYYIAYAMGRMTYIWGQDAEEFKPERWLKDGVFQTELPFKFISFHAGPRICPGKDFAYRQMKIVSMALLHFFRFKMADENSKVCYKRMLTLHVDGGLHLCAIPRTST >fgenesh2_kg.4__2750__AT2G45530.1 pep chromosome:v.1.0:4:22162698:22163743:1 gene:fgenesh2_kg.4__2750__AT2G45530.1 transcript:fgenesh2_kg.4__2750__AT2G45530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSSSGGGDDLEKQQQQQKDKAHQKQSESANESNHLTIVVCNGDSSREELVAQIPPKKEVSLSRNGSSHEQCRVCLQEKEEVLIELGCQCRGGLAKAHRSCIDAWFRTKGSNQCEICQVVAVNITPPETQPTTNYWVWRIDPSYRQEERERGCFSPLWVAFSILIGGLMLDVLISITLGVSALPVNIIIGVIVVLGLGTALRLTLEFCYEWSLRRAVHRAVQRAEANNFNNIAYPPAL >fgenesh2_kg.4__2751__AT2G45540.1 pep chromosome:v.1.0:4:22163721:22179045:-1 gene:fgenesh2_kg.4__2751__AT2G45540.1 transcript:fgenesh2_kg.4__2751__AT2G45540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDERKLPEADVANPLQNRIEAFDTALQGISSADRAFKDDDFEQVSLGDQDKAANESLGELKEPGSTSNSDYGRSSFGGTDVATYHLSTQEMYDLMPMDDVQSDRLSPERQAVYSMQQSLSETSLDPESGYSPVHSPQKPKPKATVPNVSPELLHLVDSAIMGKPESLDKLKNVVSGIENFGCGEESEATAFLVIDSLIATMGGVESFEEDEDSNPPSVMLNSRAAIVSGELIPWLPGLGDSVKFMSPRTRMVRGLLVIIRSCTRNRAMCSTAGLLGVLLRSVEEIISKDVDMKWNAAAILLLCIQHLAGHSLSVDDLHRWLQVIKAAVTTAWSSPLMLALEKAMSGKESRGPACTFEFDGESSGLLGPGESRWPFTNGYAFATWIYIESFADTLNAATAAAAIAAAAAAKSGKTSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGIEAYFHAQFLVVESGSGKARKSSLHFTHAFKPQCWYFIGLEHSYKQGLLGKAESELRLYIDGSLYESRPFDFPRISKPLSFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMARLASRGGDVLPCFGNGAGLPWLATNDYVRNKAEESSILDADIGGYTHLLYHPCLLSGRFCPDASLSGAAGTLRRPAEVLGQVHVATRMKPVESFWALAYGGPMSLLPLTVSNVHKDSLEPCLGNLPLSLSTVTLAAPVFRIMSVAIQHPGNIEELCRTQGPEILARILRYLLHSLASLDRKHDGVGEEELVAAIVSLCQSQKINHVLKVQLFRTLLLDLKIWSLCNYGLQKKLLSSLQDMVFTEATAMRNAEAIQLLLDGCRRCYWMISEKDSETTFPLDRNTRQMGELNALIDELLVIIELLMGAASPSLAADDLRRLLGFIIDSPQPNQVARVLHLMYRLVVQPNATRAQMFSEVFITSGGIETLLVLLQREAKTGEDNVLAMGKSGTRSSTDPSEKSPYNESGSVKQLDSNPHDNEIGFDLPGPDENSVEDDNVGSLNEPESVRQEKEHGSAPVVCDSDSVSISNSIDTERISAVSEIGGISLSISADSARNNVYNVDNSDAVVVGIIRLIGALISSGHLTFDLDARSDVTSNILGSGLHENGGTMFDDKVALLLFALLKAFQAAPNRLMTDNVYTTLLGASINASSTEDGLNFYDSGHRFEHSQLLLVLLRSLPSASKALQSRALQDLLFLACSHPENRSSLTAMEEWPEWILEILISNYEKDAGKQSASVGSCEVEDMIHNFLIIMLEHSMRQKDGWKDIEATIHCAEWLSIVGGSSTGEQRIRREESLPIFKRRLFGGLLDFAARELQAQTQVIAAAAAGVAAEGLAPKDAKAGAENAAQLSVFLVENAIVILMLVEDHLRSQSKQTCATNAVAASPSPLKNRTSTLTAIGESSEISRSRASQSSDSGKVPLDILASMADSSGQISAVAMERLTAASAAEPYESVSCAFVSYGSCAMDLAEGWKYRSRLWYGVGLPSKPSLLGGGGSGSESWKSTLEKDAHGNWIELPLVKKSVSMLQALLLDESGLGGGLGIGGGSGTGMGGMTALYQLLDSDQPFLCMLRMVLLSMREEDYGEDNMLMRNLSSELSSGNSVTVDSGSQMSMRQSRSALLWSVLSPIINMPISDSKRQRVLVTACVLYSEVWHAISRDRRPLRKQYIEAIIPPFVAVLRRWRPLLAGIHELATADGMNPLVVDDRALAADALPVEGALSMITPEWAAAFASPPAAMALAMIAAGAAGWEAPPPPTPSHLRRDSSMLERKTAKLQTFSSFQKPLEPPNNNAPPRPRDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRNIGDMERLQRWNTSEAMGVAWMECLQPVDTKSVYGKDFNALSYKFIAVLVASFALARNMQRSEIDRRMQDDIIAANRLCLGSRAWRKLIRYLAEIQCFFGPFGDGICSPERVFWKLDSMESFSRMRQCIRRNYSGTDHHGAAANYDDQTDTKSDNGSKGSPSNPPVLAAEVISMEIAYEDDEHGEGDQLDVKSNAEEHRRDKGRISGSHEHASRTSVGTSDPRTSNDLEMVRDSSVVAPGFVPSELDDRILLELPTSMVRPLRVVKGTFQITTRRINFIVDNRESQNLADHSDESQSGDQEKDRSWPMSSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGNTEGRRNAYRAIVQARPPHLNNIYLATQRPEQLLRRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWIISDNSSESLDLSNPSTFRDLSKPIGALNPERLKKFQERYSSFEDPVIPKFHYGSHYSSAGAVLYYLARVEPFTTLSIQLQGGKFDHADRMFSDIPGTWNGVLEDMSDVKELVPELFYLPEVLTNENSIDFGTTQLGDKLDAVKLPPWAKNPVDFVHKQRRALESEHVSAHLHEWIDLIFGYKQRGKEAIMANNVFFYITYEGTVDIDKITDPVQQRATQDQIAYFGQTPSQLLTVPHIKRMPLKDVLHMQTIFRNPKEIKPYAVQTPERCNLPASAIQASSDSVVIVDMNVPAARVAQHKWQPNTPDGQGTPFLFHHGKATTTSTSGSLMRMFKGPASSGTGDWQFPQAQAFASSGIRSSSVVAITSDGEIITGGHADNSIKLVSSDGAKTLETAFGHCAPVTCLALSPDNNFLVTGSRDSTVLLWRIHKAFTTRTSVSEPSTGSGAPSSTSNTNLANTLANKGKKCRLEGPIQVLRGHRREIICCCVSSDQGVVVSSSESSDVLLHSIRKGRLIRRLVGVKADSLCISSDGVIMAWSSSEGSITVFTINGVLIAKAKLPFFCSIGCMEISMDGQNALIGMNSCASSDYSSSNDTSKDGKDIERLEVPSPSICFLNLYTLQVFHVLKLGQGQDITALALNVDNTNLLVSTEDKQLIIFTDPAVSLKVVDQMLKLGWE >fgenesh2_kg.4__2755__AT2G45590.1 pep chromosome:v.1.0:4:22193878:22195991:1 gene:fgenesh2_kg.4__2755__AT2G45590.1 transcript:fgenesh2_kg.4__2755__AT2G45590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LCK8] MPSRQPDFPPTPTVSDGHHHFQTLPLIIAGSLTLTGVLLILFTLLIYRRLYRNRTAPSDLISNSKSPQQYQCRRFSFSQLRRATNSFSESTQLGHGGFGSVYKADFPSGGDSLAVKVMDTSAGSLQGEREFHNELSLSSPLIGSPHVVSLLGFSSDRRGRKLILVYELMANRSLQDALLDRKCEELMDWNKRFEIATDIAKGIEFLHHCCDPIIIHGDIKPSNILLDSDFKAKIGDFGLARVKSEDLDTRILIEEDEKRKDVVEDNGSILEETESVITVFEEGNNVANLSPENCGISVLTETAVASPDEKSGLSPENCAVSVLTVEVGAASPGLTSITSPETCAISVLTETGLSPGAASGLSPESGKLKVGSKRDWWWKQDNNGGSRGGIESGSVKDYVMEWIGSEIKKENNNKEWINNGSSSVFKKKKKEKKRKPREWWKEEFCEELTRKKRKKKKKRGLSSISSIDSWFHRDDDASSVHDHNLNPTKRKKRNSIDWWVDGLSGDLKSVIGKKNSQDSGLWCDVNVQKSGGVSSTPSMRGTVCYIAPECGGGGVLSEKCDVYSFGVLLLVLVSGRRPLQVTASPMSEFERANLISWAKQLACNDKLLELVDKSIHSLEKEQAVLCITIALLCLQRSPVKRPTMKEIVQMLSGASEPPHLPFEFSPSPPMGFPFKSRKKAR >fgenesh2_kg.4__2757__AT2G45610.1 pep chromosome:v.1.0:4:22198608:22199582:1 gene:fgenesh2_kg.4__2757__AT2G45610.1 transcript:fgenesh2_kg.4__2757__AT2G45610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDSRPAFDPYKHLNITINPNGSCTRHFIWPMVDPDPDPCPGKLAASKDVTINHETGVSVRIFRPTNLPSNDNAVARLPIIIHLHGSGWILYPANSVANNRCCSQMASELTVIVVSVHYRLPPEHRLPAQYDDALDALLWVKQQVVDSTNGEPWLRDYADFSRCYICGSSNGANIAFQLALRSLDHDLTPLKIDGCVFYQPLFGGKTRTKSELKNFADPVMPVPAVDAMWELSLPVGVDRDHRYCNPLGYLPQKEKVGRLGRCLVIGYGGDTSLDRQQDFVNLLVAAGVRVEARFDDAGFHSIELVDPRRAVALLNMIRDFIS >fgenesh2_kg.4__2758__AT2G45620.1 pep chromosome:v.1.0:4:22200281:22203282:1 gene:fgenesh2_kg.4__2758__AT2G45620.1 transcript:fgenesh2_kg.4__2758__AT2G45620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGADPPAPPSINAGEFLLSILHGSPSPSSQGPQHQSFALDPAIAAIGPTVNNPFPPSNWQSNGHRPGNHNPSWPLAFSPPPNLPPNFLGFPQFPLNPFPTNQFDGNQRVSPEDAFRLGFPGTANHAIQSMVQQQQQQLPPPQSENRKLVFGSFSGDATQSLNGLHNGNLKYDSNQHEQLMRHPQSVLSNSNMDPNLHEPRGSHSGRGNWGHIGNNGRGFKSTPPPPGFSSNQRGRDMNLTSKDDDRGMGSFHRNHDQAMGEHSKFWDQSVNFSAEADRLRGLSIQNDSKFNLSQQIDHPGLPKGTSLHSVSAADAADSFSMLNKEARGGSERKEELGRLSKGKREGNANSGPVDDEIEDFGEDIVKSLLLEDETGEKDAKDGKKDSKTSREKDSRMDNRGQRLLGQKARMVKMYMACRNDIHRYDASFIAVYKSLIPAEEELEKQRQLMAHLENLVAKEWPHAKLYLYGSCANSFGFPKSDIDVCLAIEGDDINKSEMLLKLAEMLESDNLQNVQALTRARVPIVKLMDPVTGISCDICINNVLAVVNTKLLRDYAQIDVRLRQLAFIVKHWAKSRRVNETYQGTLSSYAYVLMCIHFLQQRRPPILPCLQEMEPTYSVRVDNIRCAYFDNVDRLRNFGSSNRETIAELVWGFFNYWAYAHDYAYNVVSVRTGSILGKREKDWTRRVGNDRHLICIEDPFETSHDLGRVVDKFSIRVLREEFERAARIMHQEPNPCAKLFEPYIPGDNNGQGNN >fgenesh2_kg.4__2761__AT2G45650.1 pep chromosome:v.1.0:4:22211612:22213663:1 gene:fgenesh2_kg.4__2761__AT2G45650.1 transcript:fgenesh2_kg.4__2761__AT2G45650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVEMKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSVGIARTIERYNRCYNCTLSNNKPEETTQSWCQEVTKLKSKYESLVRTNRNLLGEDLGEMGVKELQALERQLEAALTATRQRKTQVMMEEMEDLRKKERQLGDINKQLKIKFETEGHAFKTFQDLWANSAAGDRNNSEFPVEPSHPISLDCNTEPFLQIGFQQHYYVQGEGSSVSKSNVAGETNFVQGWVL >fgenesh2_kg.4__2763__AT2G45670.1 pep chromosome:v.1.0:4:22234311:22238013:-1 gene:fgenesh2_kg.4__2763__AT2G45670.1 transcript:fgenesh2_kg.4__2763__AT2G45670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPDLSCPLIHHQSSDQPEVVISIDDDESGLNLPARGFEFDHRNPYRFLSESEPPVLGPTTVDPFRNNTPGVCGLYEAIKIVICLPIALIRLVLFGASLAVGYLATKLALAGWKDKENPMPLWRCRIMWITRICTRFILFSFGYQWIRRKGKPARREIAPIVVSNHVSYIEPIFYFYELSPTIVASESHDSLPFVGTIIRAMQVIYVNRFSQTSRKNAVHEIKRKASCDRFPRLLLFPEGTTTNGKVLISFQLGAFIPGYPIQPVVVRYPHVHFDQSWGNISLLMLMFRMFTQFHNFMEVEYLPVIYPSEKQKQNAVRLSQKTSHAIATSLNVVQTSHSYADLMLLNRATELKLENPSNYMVEMARVESLFHVSSLEATRFLDIFVSMIPDSSGRVRLHDFLRGLKLKPCPLSKRIFEFIDVEKVGSITFKQFLFASGHVLTQPLFKQTCELAFSHCDADGDGYITIQELGDALKNTIPNLNKDEIQGMYHLLDDDQDQRISQNDLLSCLRRNPLLIAIFAPDLAPT >fgenesh2_kg.4__2764__AT2G45680.1 pep chromosome:v.1.0:4:22240015:22241695:-1 gene:fgenesh2_kg.4__2764__AT2G45680.1 transcript:fgenesh2_kg.4__2764__AT2G45680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQKQEEVGGKDQSLRAVDLTIVHGVRNVETSRPFQVNSTVSLEPKAEPVMPSISMSLAPPSSTGPPLKRASTKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLENAEPAIIAATGSGTVPAIAMSVNGTLKIPTTTNADSDLGENPMKKKRKRPSNSEYIDISDAVSASSGLAPISTTTTIQPPPALASSTVAQQLLPQGMYPMWAIPSNAVIPTVGAFFLVPQIAGPSNQPQLLAFPAAAPSPSSYVAAVQQASSMARPLPLQVFPSSGFVSVSDVSGSNLSRTTSVMAPSSSSGITTGGSSSTATTTTHTLRDFSLEIYEKQELHQFMSTTTTRSSNH >fgenesh2_kg.4__2766__AT2G45690.1 pep chromosome:v.1.0:4:22243003:22245656:-1 gene:fgenesh2_kg.4__2766__AT2G45690.1 transcript:fgenesh2_kg.4__2766__AT2G45690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKQWVWRNREYVHSLGSFANGLTWLLPEKFSASEIGPEAVTAFLGIFTTINEHIIENAPTPRGLVESSGSDPSLSYPLLIAILKDLETVVEVAAEHFYGDKKWNYIFLTEAMKAVIRLALFRNSGYKMLLQGGETSNEEKDSDQSESQIRAGNLGRNLGPHSLGNQNRHNPWNLEGRAMSALSSFGQNARTTTTSSTSGWSRRIQHQQAVIEPPMIKERRRTLSELLSEKGVNGALFAMGEVLYIMRPLIYVLFIRKYGVRSWIPWAISLSVDTLGMGILANSKWWGEKSKQVHFSGLEKDELRRRKLLWALYLMRDPFFTKYTRQKLESSQKKLEPIPLIGFLTEKIVELLEGAQSRYTYISGS >fgenesh2_kg.4__2767__AT2G45700.1 pep chromosome:v.1.0:4:22246757:22250277:-1 gene:fgenesh2_kg.4__2767__AT2G45700.1 transcript:fgenesh2_kg.4__2767__AT2G45700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LD75] MSNTVEDDDDDFQIPPSSQLSIRKPLQPTNANNFSHRRPPNKKPRLSRNPGKENVTPPPSPDADLLCSSSTPHCSLDCIPSSVDCSIGPISSLGEDDKVDKDDCIKVNREGYLCNSMEARLLKSRIRLGFDRGIHEDDEEFVESNSELDVLIKLCSESEGRSGECSLGNDDSIQCPLCSMDISALSEEQRQVHSNTCLDKSYDQPPEQDSLRKCDNSSSLIEESTDDPVQLPQLVTDLSPVLKWLRSLGLAKYEDVFIREEIDWDTLQSLTEEDLLSIGITSLGPRKKIVNALCGLREPFASSAEAHAQSHCTSGHVTERRRDKSTNRWASEPKKPTANKLITEFFPGQATEGMKIRKAPKEPVVERSPSDLNSRRAVRRNGNNGKSKVIPHWNCIPGTPFRVDAFKYLTRDCCHWFLTHFHLDHYQGLTKSFSHGKIYCSLVTAKLVNMKIGIPWERLQVLDLGQKVNIAGIDVTCFDANHCPGSIMILFEPTNGKAVLHTGDFRYSEEMSDWLIGSQINSLILDTTYCNPQYDFPKQEAVIQFVVEAIQAEAFNPKTLFLIGSYTIGKERLFLEVARVLREKIYINPSKLKLLECLGFSKEDMQWFTVKEEESHIHVVPLWTLASFKRLKHIANRYTNRYSLIVTFSPTGWTSSKTKKKSPGRRLQQGTIIRYEVPYSEHSSFTELKEFVQKASPEVIIPSVNNDGPDSAAAMVSLLVT >fgenesh2_kg.4__2768__AT2G45720.1 pep chromosome:v.1.0:4:22255182:22257959:1 gene:fgenesh2_kg.4__2768__AT2G45720.1 transcript:fgenesh2_kg.4__2768__AT2G45720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LD78] MVEQITGNETLVDPTVEDLLLQAQELVPMALSKARTVKGFSSRWRVIISRLEKIPTCLSDLSSHPCFSKHTLCKEQLQAVLETLKEAIELANVCVSAKQEGKLKMQSDLDSLSAKIDLSLKDCGLLMKTGVLGEVTKTLSSSTEDLETFSVRELLARLQIGHLESKRKALEQLVEVMKEDEKAVITALGRTNVASLVQLLTATSPSVRENAVTVICSLAESGGCENWLISENALPPLIRLLESGSPVAKEKAVISLQRMSISSETSRSIVGHGGVSPLIEICKTGDSVSQSASACTLKNISAVPEVRQNLAEEGIVKVMINILNCGILLGSKEYAAECLQNLTSSNETLRRSVISENGIQTLLAYLDGPLPQESGVAAIRNLVGSVSVETYFKIIPSLVHVLKSGSIGAQQAAASTICRIATSNETKRMIGESGCIPLLIRMLEAKASGAREVAAQAIASLVTVPRNCREVKRDEKSVTSLVMLLEPSPSNSAKKYAVSGLAALCSSRKCKKLMVSHGAVGYLKKLSELEVPGSKKLLERIEKGKLRSFFSRK >fgenesh2_kg.4__2769__AT2G45730.1 pep chromosome:v.1.0:4:22258026:22260854:-1 gene:fgenesh2_kg.4__2769__AT2G45730.1 transcript:fgenesh2_kg.4__2769__AT2G45730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic initiation factor 3 gamma subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7LD79] MELDKDQTVMSEDKKPQDPNPRFACEGCSVLLDVNDGDRLVFARLSGGAILKIGNKNYSLKPLIGAPFGSLFQVETGEDGSFLSRIFPIKQENNSNNVMDDSRDNREIVDNNEAQNLTGEEIEAMRREGAKGDEIIEALIANSKTFDKKFQLSQEKYKLKKQKKYAPKLLLRRPFARSICEAYFKKYPARIGFLRVDALSLLLTMANVTAYSDVLVVDMVGGLVTGAVAERLGGTGYVCNTYKGSAPYPVEMVRMFNFSDKVLERIVQSSINELSSANTASPEGNNQQEGVCNAENNINATATSDIMVEEISATTEAGVVDIVVPESKVIKAAKAGAKASKETIEMWRENGFSSLIIAAQDQDPWSVAKDVLPLLSYSAPFVIYHQYLQPLATCMHKLQQGKMAINLQITEPWLREYQVLPSRSHPHMQMSSFGGYVLSGIRISTT >fgenesh2_kg.4__2770__AT2G45740.2 pep chromosome:v.1.0:4:22261237:22263042:1 gene:fgenesh2_kg.4__2770__AT2G45740.2 transcript:fgenesh2_kg.4__2770__AT2G45740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal biogenesis factor 11 family protein [Source:UniProtKB/TrEMBL;Acc:D7LD80] MGTTLDVSRAELALVVMYLNKAEARDKLCRAIQYGSKFLSGGQPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVPKGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRSGIYKNKERAELLGRISLFCWMGSSVCTTLVEVGEIGRLSSSMKKIEKGLKHGNKYQDEEYRAKLKQSNERSLALIKSAMDIVVAAGLLQLAPKKITPRVTGAFGFITSIISCYQLLPTRPKIKTP >fgenesh2_kg.4__2773__AT2G45750.1 pep chromosome:v.1.0:4:22264457:22267262:1 gene:fgenesh2_kg.4__2773__AT2G45750.1 transcript:fgenesh2_kg.4__2773__AT2G45750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7LD81] MNLFTRISSRTKKSNLYYVTLVALLCIGSYLLGIWQNTAVNPRAAFDDSDGTPCEQFTRPDSTKDLDFDAHHNIQDPPPVTETAVNFPSCGAELSEHTPCEDAKRSLIFARERLEYRQRHCPEREEILKCRIPAPYGYKTPFRWPESRDVAWFANVPHTELTVEKKNQNWVRYENDRFWFPGGGTMFPRGADAYIDDIGRLIDLSDGSIRTAIDTGCGVASFGAYLLSRNITTMSFAPRDTHEAQVQFALERGVPAMIGIMATIRLPYPSRAFDLAHCSRCLIPWGQNDGAYLMEVDRVLRPGGYWILSGPPINWQKRWKGWERTMDDLNAEQTQIEQVARSLCWKKVVQRDDLAIWQKPYNHIHCKKTRQVLKNPEFCRRDQDPDMAWYTKMDSCLTPLPEVDDAEDLKTVAGGKVEKWPARLNAVPPRVNKGDLKEITPAAFLENTKLWKQRVSYYKKLDYQLGETGRYRNLVDMNAYLGGFAAALVDDPVWVMNIVPVEAKLNTLSVIYERGLIGTYQNWCEAMSTYPRTYDFIHADSVFTLYQGKCKPEEILLEMDRILRPGGGVIIRDDVDVLIKVKELTKGLEWEGRIADHEKGPHEREKIYYAVKQYWTVPAPYEDKNNTSALS >fgenesh2_kg.4__2774__AT2G45760.1 pep chromosome:v.1.0:4:22275246:22276021:-1 gene:fgenesh2_kg.4__2774__AT2G45760.1 transcript:fgenesh2_kg.4__2774__AT2G45760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSTVKRSLEIEVISAEGLKVDRKPLKKKTYSVVRIDEKSWASKLDELGGSYPIWKDKFDMEMPINASVRFISIEVYYRTSSGSDKNVGYAKIPVTDFIGGFAPQGHLNFLSYRLRDEYGDKCGIVNVSIMVKPDGNDKSSSFAVAPVDYAACSWQATTASNNQMWRPRTSSSMASTAGYGGGRVVTGVPVWCAYQRPS >fgenesh2_kg.4__2775__AT2G45770.1 pep chromosome:v.1.0:4:22279587:22282005:1 gene:fgenesh2_kg.4__2775__AT2G45770.1 transcript:fgenesh2_kg.4__2775__AT2G45770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:signal recognition particle receptor protein, chloroplast (FTSY) [Source:Projected from Arabidopsis thaliana (AT2G45770) TAIR;Acc:AT2G45770] MATSSAHLSFLAGRISPFSSERIGLFPLRGEFRPPMTRFRCSAGPSGFFTRLGRLIKEKAKSDVEKVFSGFSKTRENLAVIDELLLFWNLSETDRVLDELEEALLVSDFGPKITVRIVERLREDIMSGKLKSGSEIKDALKGSVLEMLAKKNTKTELQLGFRKPAVIMIVGVNGGGKTTSLGKLAHRLKNEGTKVLMAAGDTFRAAASDQLEIWAERTGCEIVVAEGEKAKAATVLSKAVKRGKEEGYDVVLCDTSGRLHTNYSLMEELIACKKAVGKIVSGAPNEILLVLDGNTGLNMLPQAREFNEVVGITGLILTKLDGSARGGCVVSVVEELGIPVKFIGVGEAVEDLQPFDPEAFVNAIFS >fgenesh2_kg.4__2777__AT2G45800.1 pep chromosome:v.1.0:4:22292690:22293863:-1 gene:fgenesh2_kg.4__2777__AT2G45800.1 transcript:fgenesh2_kg.4__2777__AT2G45800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LIM domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LD90] MSFTGTLDKCKACDKTVYVMDLLTLEGNTYHKSCFRCSHCKGTLVISNYSSMDGVLYCKPHFEQLFKESGNYSKNFQTGKTEKPNDHLTRTPSKLSSFFSGTQDKCATCKKTVYPLEKVTMEGESYHKTCFRCSHSGCPLTHSSYASLNGVLYCKVHFNQLFLEKGSYNHVHQAAANHRRSASSGGASPPSDDHKPEDSASIPEGKEDESVAEAAGEEPEPVVES >fgenesh2_kg.4__2779__AT2G45810.1 pep chromosome:v.1.0:4:22294305:22297648:1 gene:fgenesh2_kg.4__2779__AT2G45810.1 transcript:fgenesh2_kg.4__2779__AT2G45810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNRGRFPPGIGAAGPDPNFQSRNPNPSPHQSQPQQYLQSRTPFPQQPQTQPPQYLQSQPDVQQYVQRGYPQQIQQQQQQQQQQQQQQWSRRPQLPGDPSYVDEVEKTVQSEAISDSNNEDWKATLKLPPRDNRYQTEDVTATKGNEFEDYFLKRDLLRGIYEKGFEKPSPIQEESIPIALTGSDILARAKNGTGKTGAFCIPTLEKIDPENNVIQAVILVPTRELALQTSQVCKELSKYLKIEVMVTTGGTSLRDDIMRLYQPVHLLVGTPGRILDLAKKGVCVLKDCAMLVMDEADKLLSVEFQPSIEELIQFLPENRQILMFSATFPVTVKSFKDRYLRKPYIINLMDQLTLMGVTQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMVQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPRTSESYLHRVGRSGRFGHLGLAVNLVTYEDRFKMYQTEQELGTEIKPIPSLIDKAIYCQ >fgenesh2_kg.4__277__AT2G23470.1 pep chromosome:v.1.0:4:1899205:1901626:-1 gene:fgenesh2_kg.4__277__AT2G23470.1 transcript:fgenesh2_kg.4__277__AT2G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSANPSSRLFLYPCKSTSTQYPIFNFPKFSSRKFVKSLRTSIDYEQEVSKEELVVPSNVTRRLPIIIKKSGKVSRYFIKGDSLELLCVDEEEDDSTSFCLGLDDGFWKLIRLSSSAAKDFFLPKQVSDNYISYVKWKFLHRVFSSALQVLATQVMFRAIGIGQSRSLASSAAFNWILKDGLGRLSRCIYTASLASAFDTNLKRVRFSTSVLFSLSIGVELMTPVFPQYFLLLASIANIAKQISLSCYLATGSAVHRSFAVADNLGEVSAKAQIQTVCFDNLGLLLAVLLNMLFQNNQRLQASLPFVLYPIFSTFDLLGIYQGLKHINLQTLTKDRLEIILERWIEFRQVPSPAEVSEEEGIDLLGSRGSKRVWPIRIGCLDPKAQIPTLSMMAMQSLCNDDSFFITIELSSKGFRRTPKPGIVICLREGANSVDIITSLLQTCYIRKAFGTNRIKRRDVSLSDLTLQDWSLLTGESKRAARDDIITLNRQLQEHGWIVKNVLLCAEEQVRYTFDKTQL >fgenesh2_kg.4__2780__AT2G45820.1 pep chromosome:v.1.0:4:22297710:22300140:-1 gene:fgenesh2_kg.4__2780__AT2G45820.1 transcript:fgenesh2_kg.4__2780__AT2G45820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQKTSKADVESPAVLAPATEPTPAPVEVADEKIHNPPPVESKALAVVEKPIEEHTPKKTSSGSADRDVILADLEKEKKTSFIKAWEESEKSKAENRAQKKISDVHAWENTKKAAVEAQLRKIEEKLEKKKAQYGEKMKNKVAAIHKLAEEKRAMVEAKKGEELLKAEEMGAKYRATGVVPKATCGCF >fgenesh2_kg.4__2781__AT2G45830.1 pep chromosome:v.1.0:4:22301984:22304353:1 gene:fgenesh2_kg.4__2781__AT2G45830.1 transcript:fgenesh2_kg.4__2781__AT2G45830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNNNNPQTNKNKYVCLKTASHPAKSIAKATLFLVTSLFISAGLLDLLGCFDFTTFAGLMQVTTTIRKSPITNQRFPNQCDVVKNQTQLFPEDGSSRNNNKKPRSSHSRPSTCPSYFRWIHEDLRPWKETGITRGMLEKARRTAHFRVVILDGRVYVKKYRNSIQTRDVFTLWGIVQLLRWYPGRLPDLELMFDPDDRPTVRSKDFQGHQHPAPPPLFRYCSDDASLDIVFPDWSFWGWAEVNIKPWAKSLVAIEEGNKMTQWTDRVAYAYWRGNPNVAPTRRDLLRCNVSAQEDWNTRLYIQDWDRESREGFKNSNLENQCTHRYKIYIEGWAWSVSEKYIMACDSMTLYVRPMFYDFYIRGMMPLQHYWPIRDNTKCTSLKFAVHWGNTHLDQASKIGEEGSRFIREEVKMEYVYDYMFHLMNEYAKLLKFKPEIPWGATEITPDSMGCQATGRWRDFMAESMVMFPSEESPCEMPSPFNPQDLREVLERKANLTRQVELWEDQYFHDLALANSKKP >fgenesh2_kg.4__2783__AT2G45840.1 pep chromosome:v.1.0:4:22306070:22308391:1 gene:fgenesh2_kg.4__2783__AT2G45840.1 transcript:fgenesh2_kg.4__2783__AT2G45840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHVVDHQRQPTNSISSLSYKLTKPWINTSIFIFVFFFFIILLGASLSWMDMFSIGGGRIKVTPIFTRNTNATIPKKKIYTPVNFTLQCSLDQNIATHVCPASNPDKSQPSKDDPETCPDYFRWIYKDLEAWRGTGITRETLERASDKAHFRLIIKGGRVYVQQYKKSFQTRDVFTIWGIVQLLRMYPGQVPDLELLFMCDDLPEIWRRDYRPRPGVNVTWPPPPLFHYCGHSGAFDIVFPDWSFWGWPEINIKEWNKMLEAISEGLKKVKWEEREPYAYWKGNARVGKRRDLMNCHDPMVHLYSQDWWKEGSIGYKTSNLEDQCTHRYKIYVEGRAWSVSEKYILACDSMTLLVKPFYFDFFTRSLVPMEHYWPIRPREKCSDIVFAVHWGNNNTKRAKAIWRNGSGYIRKNLKMKYVYDYMLHLLQSYGKLMTMNVEVPQGAKEVCPETIACPINGGRMRHSMDDSLVMSPSVKAACEMPPPFEEDELKRFLEKKESIEKEVEKWTNEYWEEQKKILKH >fgenesh2_kg.4__2785__AT2G45850.1 pep chromosome:v.1.0:4:22308328:22310709:-1 gene:fgenesh2_kg.4__2785__AT2G45850.1 transcript:fgenesh2_kg.4__2785__AT2G45850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7LD95] MDRRDAMGLSGSGSYYIHRGLSGSGPPTFHGSSQQQGLRHLPNQNSPFGPGSTGFGSPSPATTAGGAGALPHHIGVNMIAPPPPPSETPMKRKRGRPRKYGQDGPVSLALSSSPVSTITPNNSNKRGRGRPPGSGKKQRMASIGELMPSSSGMSFTPHVIAVSIGEDIASKVISFSQQGPRAICVLSASGAVSTATLLQPSAPGAIKYEGRFEILALSTSYLVATDGSFRNRTGNLSVSLASPDGRVIGGAIGGPLIAASPVQVIIGSFIWAAPKIKSKKREEEASEVVQDTDDHHVLDNNNNTISPVPQQQPSQNLIWSTGSRQMDMRHAHADIDLMRG >fgenesh2_kg.4__2786__AT2G45860.1 pep chromosome:v.1.0:4:22311555:22312366:-1 gene:fgenesh2_kg.4__2786__AT2G45860.1 transcript:fgenesh2_kg.4__2786__AT2G45860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LD96] MSKKNNLAKRKKQHEYNLQKEKELQDKKIKKLHANKNKMKVDGSGKKKKGGFSVGKKKLKTKLTPAAKAKAAQAMELDN >fgenesh2_kg.4__2787__AT2G45870.1 pep chromosome:v.1.0:4:22312692:22314315:1 gene:fgenesh2_kg.4__2787__AT2G45870.1 transcript:fgenesh2_kg.4__2787__AT2G45870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSMNLSFSSNFTNRSFLKPRLCSGISARAPKSLHFKFNPSCLSSGSKSDDSPLSEKLISLLKAVPNWSDGIKERRMEQKRSLYTHENWVRHRSSLRHLRHVSSSPSSRVILSLIPPVFFFTTVAFLIAGYNSAVDLDWLPDFFPVLRASPLPYQLTAPALALLLVFRTEASYSRFEQGRKAWVKIISGTNDLARLVISSVHGSGDELVIRDALLRYIVAFPVALKVCHVIYGSDIATDLQNVIEADDLSLILQSKHRPRCVIQFISQSLQLLNLDSTKIDMLESKMMQLQEGIGVCEQLMGIPIPLSYTRLTSRFLVLWHLTLPVILWDDCHWNVVPATFISAASLFCIEEVGVLIEEPFSMLALDELCAMVLSNSDEAVESKEVIRNRIIAKKRIREIKHSSNGWHQS >fgenesh2_kg.4__2789__AT2G45890.1 pep chromosome:v.1.0:4:22322935:22325087:1 gene:fgenesh2_kg.4__2789__AT2G45890.1 transcript:fgenesh2_kg.4__2789__AT2G45890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLNSEQNEVSVSGTPTSSVSSPYRRTYSDISGLSHRFDVQNFYNRPSNTNAVVLSGHEEDVSEDAVEPKDDVVNDGDGDEEDSDIDSAEDAELEMMRERFAKLLLGEDMSGSGKGVCTAVTVSNSITNLYATVFGQSLRLQPLSTEKKELWKREMNCFMSICDYIVEVIPRSLGTNVEITETKLRSDILMSLPALRKLDNMLMEILDSFTENEFWYVERGSSSMNSSGGGRDSGTFRKVVVQRKDEKWWLPVPCVPAEGLSEEERKNLRHKRDCASQIHKAALAINDSTLNDMDIPDSYLTTLPKSGKASVGDVIYKQLCTAEKFYPDQLLDVLKITSEHEALELADKVEASLVTWRRKTGGLTHSKSSWDMMKDIGGDADRGNDKNHILAARARSLLFCLKQRYPELSQTSLDICKIHYSRVLEGLAYNVVSWIDDVLYVDRTVRNRED >fgenesh2_kg.4__2790__AT2G45900.1 pep chromosome:v.1.0:4:22325622:22328232:-1 gene:fgenesh2_kg.4__2790__AT2G45900.1 transcript:fgenesh2_kg.4__2790__AT2G45900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEICRRHLSREGIGCVWVFMSMFDFRHGGSNQKLLMDKKRGSKRIIGNNGMFDTKVEKQLTCDCDYDCEESEADMQSVKKLIEDEIDEKTKQKCEARNRKRRSRTCSKISEDINVLIVGDDDDHAEKADDQCPRISQNEVDLVPDDSEEKFSELIKRCKKNLDDAFQVLDSKEESFLNIGTPISRDSQRINELTQCRHTIVILKPEPNSLDVGSSPGTPSTDNKTKNGRFSSRFILSRIRRRLKFAVGKNPCNAQHDSDPDPDALSSNMSQNCCLGEAIETNPGKGVSDGETLPDIASKREANKEDTIHESEDSKKNMCGIYIAAKKHLSEMLAEGDDADSPDKEVPRILGKILSPPEFSTPDNSPRVNLALDHQLIDKPKIQQCSSEGYYYEETEFMPVPEDTGMEEEEKTVLDSLSEVISSSIIQQDAYVDEDEQKQLLEKEVVDEGRLPCSPPNSSVRMSECQENTTDVLGKSSPVSVLEPLFTDDDTSPNSSRFSSAEVRMQPLCIRFDEADSPRPEKDNDAKTRMDDKELALEYIQAVVKSSELNWEELLARSFYSEKILEQALMDDIDFCSTNLCSDKKLLFDCINEVLMEFCGHGPWISFVKPSIRFFPDMENAVEVVQEEVYWHLLPLPSPHTLDQIVQKDLARTGNWMDLRFDIGCIGSETGEIILDELLEEIISNCTDLVQPETINKVIH >fgenesh2_kg.4__2791__AT2G45910.1 pep chromosome:v.1.0:4:22333533:22337927:1 gene:fgenesh2_kg.4__2791__AT2G45910.1 transcript:fgenesh2_kg.4__2791__AT2G45910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LDA2] MALVTPIPAMGERAGSMRFHGIGSPGSRSSRSGIMDEPVSRLIDEKIFVAVDKHVAKSKSTLIWALQNTGGKKICLIHVHQPSQMIPLMGAKFPVGAVKEEEVRAFREKEREKVHMILDDYLRICQQRGVRAEKMFIEMESIENGIVQLISELGIRKLVMGAAADRHYSRRMTDLKSRKAIFVRREAPSLCQIWFTCKGYLIHTREATMDDTESEYASPRPSISASDLLQTFSTPESEHQHISRVQSTDSVQQLVSNGSSTEHSGRVSDGSLNTDEEERESGGSEVTGSATVMSSGHSSPSNFPDGVDDSFNDKIRKATSEAHSSKQEAFAETLRRQKAEKNALDAIRRAKQSESAYSEELKRRKDMEIAVAKEKERFVTIKNEQEVIMEELQSAMDKKAMLESQIAESDGTMEKLNQKLDIAVKLLQKLRDEREELQTERDRALREAEELRSRAETSTLQLPQYFTDFSFSEIEEATNHFDSTLKIGEGGYGSIYIGLLRHTQVAIKMLNPNSSQGPVEYQQEVDVLSKMRHPNIITLIGACPEGWSLVYEYLPDGSLEDRLNCKENSPPLSWQNRVRIATEICAALVFLHSNKAHSLVHGDLKPANILLDSNLVSKLSDFGTCSLLHPSGSKSVRTDVTGTVAYLDPEASSSGELTPKSDVYSFGIILLRLLTGRPALRISNEVKYALDNGTLNDLLDPLAGDWPFVQAEQLARLALRCCETVSENRPDLGTEVWRVLEPMRASSGGSSSFHLGRNEHRIAPPYFICPIFQEVMQDPHVAADGFTYEAEAIRAWLDSEHDTSPMTNVKLSHTSLIANHALRSAIQEWLQHHL >fgenesh2_kg.4__2792__AT2G45920.1 pep chromosome:v.1.0:4:22338474:22340704:1 gene:fgenesh2_kg.4__2792__AT2G45920.1 transcript:fgenesh2_kg.4__2792__AT2G45920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKPGSLQLLMDEKIYVAVGSDLGNKSTLVWAIQNTGGKEFCIVHVHQPLYRKDKEKAQKILDKYLQTCRQMQVCAETIHIEMDSVEEGIIQLISERHVKKLVMGAASDRHYSMRMADLLSTKAIYIRQQVPATCCIWFTCKGYLVYKREAITGNTSLEYASTSSDQDSVRSRGSVIPSGQYTISRGNGNVYQLAVFEAEKSKKEASLEAFKHQEVEKEKNEAIKRAKEWENAYFEELKQRKETEMELKKVREKLENMRYISENRITESYMLVQKLQDKYNLATKVLRKAKEERDRLIKGRDIAIIEVEELRKIHEKVSRSDEHREAPQYFICPISLEVMKDPQLAADGFTYEAEAISTWLQRGHETSPMTNKKLLHTKLVPNLALRSAIQEWLHASSSSRK >fgenesh2_kg.4__2793__AT2G45930.1 pep chromosome:v.1.0:4:22342454:22343744:-1 gene:fgenesh2_kg.4__2793__AT2G45930.1 transcript:fgenesh2_kg.4__2793__AT2G45930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LDA5] LFQRRHTLRMFSSFTTTNPYLMYNLIHNGSSLDDIDITSAIHYFDPAKEDEVIVRDRAFPMELRNTSLVGMSHGWGVFNVETGDGRHKAVYVSDFCNPCGSKTNPKIIPLHPMHPIGKPNITQLRLITNAAMTCSPDQSKDFVVAANCLGLEIHFFRPCGKTKNYSGLGFKTESHYFDQSKVMYSKKDQKFYTPSVGGHLLGFWDSCFEDLSCGFATFL >fgenesh2_kg.4__2795__AT2G45950.1 pep chromosome:v.1.0:4:22345604:22349066:-1 gene:fgenesh2_kg.4__2795__AT2G45950.1 transcript:fgenesh2_kg.4__2795__AT2G45950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPETMKSYIWLQTADGSIQQVEQEVAMFCPMICQEVIQKGVGSSKNHAISLPQRVNPAMFSLILDYCRFHQLPGRSNKERKTYDERFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIREIFHLPDDLTEEEKLEPLKNTMDDPRIRLLNRLYAKKRKELKERERLKNVEVEEHVDERSVDDLLSFINGRDPKVVKMSKGKKKKKKKKDQKIVSSNDIHDKESHDLHSKQQCVEETGSSMRDVPNLPIAEDDISTSKARSEDEDIDDEIDPAMRELLDREVEDFAQRLNSNWVRSLGQERRPVHFSINGNGTTRRHTGQSP >fgenesh2_kg.4__2797__AT2G45960.1 pep chromosome:v.1.0:4:22352653:22354402:1 gene:fgenesh2_kg.4__2797__AT2G45960.1 transcript:fgenesh2_kg.4__2797__AT2G45960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRVGANKFPERQPIGTSAQSDKDYKEPPPAPLFEPGEIASWSFWRAGIAEFIATFLFLYITVLTVMGVKRSPNMCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVYYIVMQCLGAICGAGVVKGFQPKQYQALGGGANTIAHGYTKGSGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDNAWDDHWVFWVGPFIGAALAALYHVIVIRAIPFKSRS >fgenesh2_kg.4__279__AT1G52342.1 pep chromosome:v.1.0:4:1920521:1920772:1 gene:fgenesh2_kg.4__279__AT1G52342.1 transcript:fgenesh2_kg.4__279__AT1G52342.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LFC8] YIYKILLPLVIPPESFHEITPVAEVTVATDVEATAGHRSGGDGGDGKKKCVCSPSKHPRSFKCRYHQHEYQWLPSSSSSSLHK >fgenesh2_kg.4__2805__AT2G46000.1 pep chromosome:v.1.0:4:22365027:22366144:-1 gene:fgenesh2_kg.4__2805__AT2G46000.1 transcript:fgenesh2_kg.4__2805__AT2G46000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIVRPSSLVLLFGLLMMISNFVQIAEAGKRRIEISDDLDDVEDNEKDESWKEWGKKATTPEFDPPPDFTNMGFDQIQEEMAKRSFGTVVGFVKLRLGVRRTKDMVIDIAMKWTKVLRTGGIEVRFMAVDRSTVMFNMQNGKLVTELREFVLSQEEAYEVKIGKQEFRRPGDPPFEDVFDKLQAEQRKDHEDGDSNKDDLTKDEL >fgenesh2_kg.4__2806__AT2G46020.1 pep chromosome:v.1.0:4:22367249:22375636:1 gene:fgenesh2_kg.4__2806__AT2G46020.1 transcript:fgenesh2_kg.4__2806__AT2G46020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATBRM/CHR2 [Source:UniProtKB/TrEMBL;Acc:D7LDV5] MQSGGSGGGPARNPPMGPAARTASTSSAASPSSSSSSVQQQQQQQQLASRQQQQQQRNSDANDNMFAYHAGGVQGMMGGGNFASSSGSMQMPQQSRKFFESPQQQQQQQQQGSSTQEGQQSFNPMQQAYIQFAIQAQHQKAQQQARMGMIGSSSVGKDQDARMGMLNIQDLNPSTQAQASSSKPSGDQFARGERQMESGSQQRNETNSHPQQQVGTGQLMPGNMIRPMQAPQPQQLINNMGNNQLAFAQQWQAMQAWARERNIDLSHPANASQMAHILQARMAAQQKASEGNVASQSPSIPISSQPASSSVVPGENSPRTNSASDISGQSGSAKPRHAISTGSFASTSSPRMVNPAMNPFSVQGRDNPMYPRQLVQPTNGMPSGNSLQTSANETPVLDQNASTKKSLGPAEHLQMQQPRQLNAPTSNLLAPSDTGPLGNSSLQSGQGTQQAQQRSGFTKQQLHVLKAQILAFRRLKKGEGSLPPELLQAIAPPPLELQTQRQITPVGGQVQDRSSEQTGEDQARSLECGKESQAAASSNGPIFSKEEDNVGDTEVALTTGHSQLFQNLGKEDTSTDVATKEEQQTDVFPVKSDQGADSSTQKNPRSDSTADKGKAVASDGSQSKVPPQANSPQQPKDTASARKYYGPLFDFPFFTRKLDSYGSATANANNNLTLAYDIKDLICDEGAEFLSKKRTDSLKKINGLLAKNLERKRIRPDLVLRLQIEEKKLRLSNLQSRVREEVDRQQQEIMSMPDRPYRKFVRLCERQRLEMNRQVLANQKAVREKQLKTIFQWRKKLLEAHWAIRDARTARNRGVAKYHEKMLREFSKRKDDGRNKRMEALKNNDVERYREMLLEQQTNMPGDAAERYAVLSSFLTQTEDYLHKLGGKITATKNQQEVEEAANAAAVAARLQGLSEEEVRAAATCAREEVVIRNRFTEMNAPKENSSVNKYYTLAHAVNEVVIRQPSMLQAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHTWLPSVSCIYYVGTKDQRSKLFSQEVCAMKFNVLVTTYEFIMYDRSKLSKVDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPDVFDNRKAFHDWFAQPFQKEGPAHNIEDDWLETEKKVIVIHRLHQILEPFMLRRRVEDVEGSLPAKVSVVLRCRMSAIQSAVYDWIKATGTLRVDPDDEKLRAQKNPIYQAKIYRTLNNRCMELRKACNHPLLNYPYFNDFSKDFLVRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNDPDTDCFIFLLSIRAAGRGLNLQTADTVVIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVEKISSHQKEDELRSGGSIDLEDDMAGKDRYIGSIEGLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLHEVNRMIARSEEEVELFDQMDEEFDWTEEMTNHEQVPKWLRASTREVNATVADLSKKPSKNMLSSSNLIVQPGGPGGERKRGRPKSKKINYKEIEDDIAGYSEESSEERNIDSGNEEEGDIRQFDDDELTVALGDHQTNKGESDGENPVCGYDYPPGSGSYKKIPPRDDVGSSGSSPESHRSKEMASPVSSQKFGSLSALDTRPGSVSKRLLDDLEDGEIAASGDSHVDLQRSGSWAHDRDEGDEEQVLQPTIKRKRSIRLRPRQTVERVDGSEMPAAQPLQVDRSYRSKLRTVVDSHGSRQDQSDSSSRLRSLPAKKVASTSKLHVSSPKSGRLNATQLTVEDNTEASRETWDGTSPIGSSNAGARMSHIIQKRCKNVISKLQRRIDKEGQQIVPMLTNLWKRIQNGYAAGGVNNLLELREIDHRVERLEYAGVMELASDVQLMLRGAMQFYGSSHEVRSEARKVHNLFFDLLKMSFPDTDFREARNALSFSGPTPTLVSTPSPRGAGISQGKRQKLVNEAEPEPSSPQRPQQRENSRIRVQIPQKETKLGGTTSHTDESPILAHPGELVICKKKRKDREKSAPKTRTGGSSSPVSPPPAMIGRGLRSPVSGGVPKETRLAQQQRWPNQPTHPNNSGAAGDSVGWANPVKRLRTDSGKRRPSHL >fgenesh2_kg.4__2808__AT2G46030.1 pep chromosome:v.1.0:4:22375887:22377347:-1 gene:fgenesh2_kg.4__2808__AT2G46030.1 transcript:fgenesh2_kg.4__2808__AT2G46030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 6 [Source:UniProtKB/TrEMBL;Acc:D7LDV6] MASPSKRREMDMMKLMMSDYKVDTINDDLQMFYVTFHGPTDSLYQGGVWKIKVELPEAYPYKSPSVGFVNKIYHPNVDESSGAVCLDVINQTWSPMFDLINVFESFLPQLLLYPNPSDPFNGEAASLLMRDRAAYELKVKEYCEKYAKPEKENLSDDDEDDDDDSMSEDGSDSDDDDDEIVGKADP >fgenesh2_kg.4__280__AT2G23520.1 pep chromosome:v.1.0:4:1923841:1926772:-1 gene:fgenesh2_kg.4__280__AT2G23520.1 transcript:fgenesh2_kg.4__280__AT2G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLYEDKLRDALEEASENGLLFKSQEVEKENQDESLGRSRSLARLHAQREFLRATALAAERTFESEDDIPELLEAFNKFLIMYPKFETSEKVDQLRSDEYGHLLDSKVCLDYCGFGLFSYVQTLHYWDSCTFSLSEITANLSNHALYGGAEIGTVEHDLKTRIMDYLNIPESEYGLVFTGSRGSAFRLLAESYPFHTNKRLLTMFDHESQSVNWMAQTAREKGAKAYNAWFKWPTLKLCSTDLKNRLSHKKRKKKDSAVGLFVFPAQSRVTGSKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPEFIITSFYKVFGHDPTGFGCLLIKKSVMGNLQSQSGKTGSGIVKITPQYPLYLSDSIDGLDGLVGLEDHDVGANGDKPATTEAARRGAQMPVFSGAYTSAQVRDVFETDLLEDNASDRDGTSSTIFEENESVSVGELMKSPAFSEDESSDNSFWIDLGQSPLGSDRAGHLNHHKIASPLPPFWFTSKRQSPKPVAKSYSSPMYDGKDVLSFDAAVMSVTQETNSTPSRNPRNSNNFQTQEIQEENCGNIVYRAGSGFGSNGSSSKISSDMKDNAIRRETEGEFRLLGRRGTGGRLLGVEDEQPSRGTRVSFNMDRVSHSLDQGEASMASVYDESDGENPNEDDWDRREPEIVCSHIDHVNMLGLNKTTSRLRFLINWLVISLLQLKVPEPGNDGSSRYMNLVQIYGPKIKYERGAAVAFNVKDKSKGFVSPEVVLKLAEREGVSLGIGILSHIRIMDLPRNHRGGARIKEDSSLHLQREAGKRGGKNGFVRFEVVTASLSFLTNFEDVYKLWAFVAKFLNPGFSREGSLPTVIEEEAEDSET >fgenesh2_kg.4__2810__AT2G46050.1 pep chromosome:v.1.0:4:22383662:22385419:1 gene:fgenesh2_kg.4__2810__AT2G46050.1 transcript:fgenesh2_kg.4__2810__AT2G46050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LDV7] MCFTFLRSIPIFLANHQNHLSSLRTIRTLPSSSSSPVAISSVSKLSASLDHLFDVKQKHGFMVKQGTYNSLFFQNKLLQAYTKIREFDDADKLFDEMLVRNIVTWNILIHGVIHRDGDTNHRAHLGFCYLSRSLLSEVILDHVSFIGLIRLCTDSTNVEAGNQLHSLIVKQGLESNCFLSTSLVDFYGKCGLIGEARQVFEAVLVRDLVLWNALVSSYVLNGMIDEAFGLLKLMSSEKNGFTGDDFTLSSLLSACGIKQGKQIHAIVFKLSYLFDIPVATALVNMYAKSNHMSDAHKCFESMVVRNIVSWNAMIVGFGQNGEGREAMRLFGQMLRENLQPDELTFASVLCSCTKFSAIWEIKQVQAMVTKKGSAEFLSVANSLISSYSRTGNLSEALLCFHSIREPDLVSWTSVIGALASHGFAEESLRIFESMLQKLQPDKITFLEVLSACSHGGLVQEGLRCFKRMTEVYKIEPEEEHYTCLIDLLGRAGFIDEAFDVLKSMPTEPSTHALAAFTGACNIHEKIESMKWGAKKLLEIEPSKPVNYSIMSNAYASEGHWNQAALLRKKERRNCYNPKTLGCSWL >fgenesh2_kg.4__2811__AT2G46060.1 pep chromosome:v.1.0:4:22385501:22389497:-1 gene:fgenesh2_kg.4__2811__AT2G46060.1 transcript:fgenesh2_kg.4__2811__AT2G46060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISFLVLTTLVVFSWALSIGNCLQDSGLDNYGSFFTVSSFRYPESQVRPYDTRYIRVDLPPWFSSLNVAIESDVDITAKSISKISKSLLPVICFRDGSPPLPDASTNALEGLELGQFFNGSFQGAEDIEIAEQCYPMQKNISLRLTNEQISPGAWYIGLFNGIGATRTQGKMIVRSSAFSFSANISVEGCKTATMWGPSCNQTIYPLSCSRFDNQTGSVISCSDSIPSSCLTHAETKTYALDVDGIAEQLVIMASNVKVDSNESYLMCYARFGAIASETLHDYTGDIHKVPLVVNKPKAGRWYIVISLSGRETRFVQGTNSGSRVCFSINVKVLGCPVGKAGPNCGQQIYMLQAVMRRGWLTPFESYYFPVNDASPSGSSTVFPLEPIVSNFSSIPELDTSTWTYFLMNIPQGGSGGHIHFRLTSDSTMQYEVYLRIGGLPTIDDWDYYYVNRTSASRSMFFSLYNSSQEKVDFYILYAREGTWSFGLRQLIDSNTPAASRGPPTLVSLSLERCPRRCSSYGQCRYAFDASGLTSYSFCSCDRTHGGFDCSIEIVSHQEHIVQSIALIASNAAALLPAYWALRQREYPEWVLFTSSGISSALYHACDVGTWCVLSYNVLQFMDFWLSFMAVVGTFVYLSTAGEAVKRTIHTVVAILTALLALTQATRASNIIIVLAIGSLGLLIGFLVEFVTKYRSYCGSAGFSLNMLDRPRAVKEWFSNLIKTLKKRFRWGFVAAGLVAFTMAAISFKVETSSSYWIWHSIWHFTIYTSSFFFLCSKIAIVNNENLAHNGADNYELTRQDSLPRN >fgenesh2_kg.4__2815__AT2G46090.1 pep chromosome:v.1.0:4:22396867:22399287:1 gene:fgenesh2_kg.4__2815__AT2G46090.1 transcript:fgenesh2_kg.4__2815__AT2G46090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LDW2] HTMLRSTCCIPLCPFTAKQPSFPRKQQRITSDHFTLRGGGGGSASAVVSSSGLRELVFVVNPQGANGRTAKEWKKLLPYLRSRLGKDCNVSESLTSGPSHAIDITREAIRDGADAVIAVGGDGTLHEVVNGFFWEGKPVGNLNSEASHSTALGLIPLGTGSDFARTFGWNNDPCEAVERIAKGMRSRVDVGIIDKEGKDLHYFINVADVHLSAKAGFYASKYKKFGNLCYVIGALQAFMGHHNRDMRIRVNGGEWEIYPQVTALCVGNAKYFGGGMKITPNAIPGNGNLEVVVLQDFKWYDFVLKLHKLYNGTHLSVNNVTSRSVLSIEVEEISDSGSIYVQSDGEHLGFLPRKFRILPGAIDMIC >fgenesh2_kg.4__2817__AT2G46100.1 pep chromosome:v.1.0:4:22399324:22400624:1 gene:fgenesh2_kg.4__2817__AT2G46100.1 transcript:fgenesh2_kg.4__2817__AT2G46100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLSFHSIAIVNPIFSGDGGRSIFRLNRRFEATGVSCRGQNPTNEPQTSKAPEPENVVLKIAWYGSELLGIAASVFRSPETSPIVTGFEVPVDCSGRAVRVAVVDSIKQDFKRSYFVTGNLTPEVYEDKCEFADPAGSFKGLARFKRNCTNFGSLIEKSNMKLMKWENFEDKGVGHWKFSCVMSFPWKPILSATGYTEYFFDPESGKICRHVEHWNVPKIALFKQLLRPSRGLVGGTQN >fgenesh2_kg.4__2819__AT2G46140.1 pep chromosome:v.1.0:4:22408877:22410168:1 gene:fgenesh2_kg.4__2819__AT2G46140.1 transcript:fgenesh2_kg.4__2819__AT2G46140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADEKVVEEKASVISSLLDKAKGFFAEKLANIPTPEATVDDVDFKGVTREGVDYHAKVSVKNPYSQSIPICQISYILKSATRTIASGTIPDPGSLVGSGTTVLDVPVKVAYSIAVSLMKDMCMDWDIDYQLDIGLTFDIPVVGDITIPVSTQGEIKLPSLRDFF >fgenesh2_kg.4__281__AT2G23540.1 pep chromosome:v.1.0:4:1931804:1933734:1 gene:fgenesh2_kg.4__281__AT2G23540.1 transcript:fgenesh2_kg.4__281__AT2G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LFD2] MATRASTSSRVSAMDMTLYFAFFFLLTLTASVEAAGRGVNNDNKGSGLGASFIFGDSLVDAGNNNYLSTLSRANMKPNGIDFKASGGNPTGRFTNGRTIGDIVGEELGSANYAVPFLAPNAKGKALLAGVNYASGGGGIMNATGRIFVNRLGMDVQVDFFNTTRKQFDDLLGKEKAKEYIGKKSIFSITIGANDFLNNYLFPLLSVGTRFSQTPDDFIGDMLEHLRGQLTRLYQLDARKFVIGNVGPIGCIPYQKTINQLEENECVDLANKLANQYNVRLKSLLEELNKKLPGAMFVHANVYDLVMELITNYDKYGFKSATKACCGNGGQYAGIIPCGPTSSLCEERDKYVFWDPYHPSEAANVIIAKQLLYGDTKVISPVNLSKLRDM >fgenesh2_kg.4__2820__AT2G46150.1 pep chromosome:v.1.0:4:22411534:22412518:1 gene:fgenesh2_kg.4__2820__AT2G46150.1 transcript:fgenesh2_kg.4__2820__AT2G46150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSEHVRPLAPATILPVSDESASNIKNTRRRKNRIKCLICVIATSIILITIVLTLVFTVFRVKDPIIKMNGVMVNGLDSVTGINQVQLLGTNISMIVDVSVKNPNMASFKYSNTTTDIYYKGTLVGEAHGLPGKARPHRTSRMNLTVDIMIDRILSDPGLGRELTRSGLVNMWSYTRVGGKVKILGIVKKHVTVKMNCTMAVNITGQAIQDVDCKKKIDL >fgenesh2_kg.4__2821__AT2G46160.1 pep chromosome:v.1.0:4:22413868:22414666:1 gene:fgenesh2_kg.4__2821__AT2G46160.1 transcript:fgenesh2_kg.4__2821__AT2G46160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LDW9] MSTVASSASVFLVHPPFPPSPPHGGNHSYLTTLGFGYSIAIALGFLVLLSTVLLSSYICCRDSRRRTTAVESAGDHGGSVILPRIIFVAEEDNEDLEAGDVVVGLDQAVINSYPKFHFSKDSSAASSDGFGGGGDTTCSICLCEYKEAEMLRMMPECKHYFHLCCLDAWLKLNGSCPVCRNSPLPTPTSTPLSTPLSEVVPLSQYAADRRRARR >fgenesh2_kg.4__2824__AT2G46180.1 pep chromosome:v.1.0:4:22417825:22421965:-1 gene:fgenesh2_kg.4__2824__AT2G46180.1 transcript:fgenesh2_kg.4__2824__AT2G46180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSVANLKENLNKIAHDVHDDDEDDDEDLTIYGSTNGGADRRNSNGFRYSRSPMANGVESPVNHEIERYKAEINKLQESEAEIKALSVNYAALLKEKEDQISRLNQENGSLKQNLTSTNAAPKESRMDLSRASNNNAIKGNGDHSPNRSQKSPTNWKSRNQMYNGIASKQNGTENDSESHKKEKEFADMLEERTRSMASAQARELEKEREKSANLQISLQGERKQNDSFKEELQSLRLDKEKTLMESNKLRHELDAKLAEIKQLQMKLNCGEQRVGISIENLKEINKALEKENNELKLKRSELEAALEASQMSTSRKLFPEGTEALSRHRSSLDKEKPESFPGKEDMEKSLQRLEKELEEARREKDKARQELKRLKQHLLEKESEESEKMDEDSRLIEELRQMNEYQRSQILGLEKALRQTMVNQEEIKSSNDLEIRKSKGIIEDLNQKLANCLRTIDSKNVELLNLQTALGQYYAEIEAKEHFERELAVAKEEAMKLSARLKDVDERVESSKKEKEEITSKLLHAENVAAEWKNRVSKVEEDNAKVKRVLEQSMTRLNRMSMDSDFLVDRRIVIKLLVTYFQRNHSREVLELMVRMLGFSEEDKQRIGLAQQGAAGKGVVRGVLGFPGRLVGGILGGGGGGGTPDSHPNIASDNQSFADMWVDFLLKDAEERERREAEDAANKEQEKATVSSTQRPMYEQSDSEFSTVPLTSSDSNNRLSRLLT >fgenesh2_kg.4__2825__AT2G46192.1 pep chromosome:v.1.0:4:22424145:22424921:-1 gene:fgenesh2_kg.4__2825__AT2G46192.1 transcript:fgenesh2_kg.4__2825__AT2G46192.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDX3] YTPKTQDNPQEDRSSKSSWRLNLRRLNKLLEKPHFMSLLASLLGPSLFFYFTF >fgenesh2_kg.4__2827__AT2G46200.1 pep chromosome:v.1.0:4:22425533:22427644:-1 gene:fgenesh2_kg.4__2827__AT2G46200.1 transcript:fgenesh2_kg.4__2827__AT2G46200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPANFQPPNPPFHWAPMLPPDPPRCGMFWTPKNITDQLKQLQDTLNLAKSVEKELEALKMIKYAKGSAEIVEQGSGVECLRYLEAMKMDLGQQEMLSVEAANSLMSTLRSQLEPFRFVVDENSPWEEKSAAIRLTCKMKKSKRNKLWKKRKRRCVAEMRAKEPERFEQADREADEWREKEMAKDMANRKVDEMKAIEKIKAKRERRRLEPELELALIVEEMQELRSIRIEKLKKQGHFLPEEDDKFFESVRAAVEQEETQAQSLINTETEENVIASEEDTTLTASNKTNKDTDKDSNNIAASCEKTMKAPKNGCDNISNLPVEFYHYYYGSNFDMGKLIEIRREWDAYLSPGGSRIPGHWVQPSPPANEIWASCLLNTSKRDLS >fgenesh2_kg.4__2828__AT2G46210.1 pep chromosome:v.1.0:4:22428601:22430283:1 gene:fgenesh2_kg.4__2828__AT2G46210.1 transcript:fgenesh2_kg.4__2828__AT2G46210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTKKRYVTSEDLKKHNKPGDLWISIQGKVCDVSDWVKSHPGGEAAILNLAGQDVTDAFIAYHPGTAWHHLEKLHNGYHVRDFHVSDVSRDFRRLAAEFSKRGLFDKKGHVTLYTLTCVGVMLAAVLYGVLACTSVWAHLISAVLLGLLWIQSAYVGHDSGHYTVTSTKPCNKLIQLLSGNCLTGISIAWWKWTHNAHHMACNSLDHDPDLQHIPIFAVSTKFFNSMTSRFYGRKLTFDPLARFLISYQHWTFYPVMCVGRINLFIQTFLLLFSKRHVPDRALNIAGILVFWTWFPLLVSFLPNWSERFIFVFVSFAVTAIQHVQFCLNHFAADVYTGPPNGNDWFEKQTAGTLDISCRSYMDWFFGGLQFQLEHHLFPRLPRCHLRTVSPVVKELCKKHNLPYRSLSWWEANVWTIRTLKNAAIQARDATNPVLKNLLWEAVNTHG >fgenesh2_kg.4__2829__AT2G46220.1 pep chromosome:v.1.0:4:22430862:22432069:1 gene:fgenesh2_kg.4__2829__AT2G46220.1 transcript:fgenesh2_kg.4__2829__AT2G46220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVRSPEIPTVSARIFSDSNSSVISHAFMRRKVTVSAIDARDLSGVKNQKSRLYGRFSAPVKEDCKISRDEEEDKQSYYVNMGHAVRSIREEFPLLFYKEPNFDIYRDDIVFRDPVNTFMGIDNYKSILWALRFHGRIFFRALCVDIVSVWQPTENTLMIRWTVHGIPRGPWETRGRFDGASEYKFDKSGKIYEHKVDNIAINSPPKFQMLTVQELVEAISCPSTPKPTYFEFGD >fgenesh2_kg.4__2830__AT2G46225.1 pep chromosome:v.1.0:4:22433512:22435924:1 gene:fgenesh2_kg.4__2830__AT2G46225.1 transcript:fgenesh2_kg.4__2830__AT2G46225.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEISGMDNPAMTFDEVSMERSKSFVKALQELKNLRPQLYSAADYCEKSYLHSEQKQMVLDNLKDYTVKALVNAVDHLGTVASKLTDLFDQQNSDISTMELRASCVSQQLLTCRTYIDKEGLRQQQLLAVIPLHHKHYILPNSVNKRVHFSPLRRTDTRQNHYQAISRLQPSDAPASKSLSWHLGSETKSTLKGTSTVAPNSKDSKAFTKTSGVFHLLGDEENVVNKKPLAGSQVSGVPATSTAHKDLEVPKLLTAHRSLDNNPRREIIQAPVRTKSVLSAFFVKQKAPKLKAGYVS >fgenesh2_kg.4__2832__AT2G46240.1 pep chromosome:v.1.0:4:22437924:22441748:1 gene:fgenesh2_kg.4__2832__AT2G46240.1 transcript:fgenesh2_kg.4__2832__AT2G46240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 6 [Source:UniProtKB/TrEMBL;Acc:D7LDX9] MMPVYTDPSQPCQMRPQGYYHQGFGNNSQHMTMDAPPSCHGSCVHGSFPAYNAYWPPFYPPQPQVPYHQCCMNHAGFHPPHASYAPPCYVHPPFPVGYQPWYDADKDVPGKHHCAKCSPQICNPKSGRGVVIEEHEPETEKGKQGEAVLPVRSTNCPYPIIWIPHENARNQEHGSSFGSGNHNQPSVEVKAPENMTIQKSFPQSWHGCFPFDESTMKSLVQNQDRKKAQTGKTVELPFDISKLKSLLQGQDMKEAQIQKNKEESGQLTYPTSWTPSRGKRDDVEASESSKEDRKTMQNGKTVEYPFDISKLKSLLQGQDVKEAQNQKNKEEPGQVPYPIFWIPSYEKREDVEASESKKSSNEGRNLESCSSDPHVNEGQKIQKEGKERNFECNVLSDADEKSSVRNIPVKNHLQEPRNIPVKLSENHLPKPTERTKRFAKNESVKDTTKEQSSSSSKASKLPPVCLRVDPLPKKRNGGSKSVNHPKQMEESKETKIASPLRSKKAESTTVSEACNVKCEEANKEKKMAEGSLNALGAEKESVESNSNLQEVSNCEIVKPCEAKDNRELPAKKTFTEEEAARIIQSMYRGYDVRRWEPIKKLKEIATIREQMGDVKRRIEALEVFNDQHIEEKEIIVNGEMVMNLLIKLDAVEGLHPSIRDFRKSLARELSDIQDKLDSLKNSCASAKKEAVKEQVEIKSQPSDSPVNLEHSQLAEENKMVSDSNLEKVLLLSPEEHPMSVLSRTDEKQAEPAAEAEKGSGLFETLATDSEQATENAAAASSTTIPEKIGEVETVVPCSSPSADGNGKTVTNVEENKALVESLEEPINGLPQVVEETETNSTREPENASEVFEAEANASENEDRKGEDDTVSHPEKDVELSELPVGVIDEETEPLSQDSSSSYICEGKMTAMDPKTVSHEEIEVDHSPDNSKGIGQETSEPQDEKEQSPETKVIVKEQPLEPEVILNEQPPEPEITEPEISKETKKLMEENQRFKETMETLVKAGREQLEVISKLTSRVKSLEKKLSHKKKTQIRRRASKPMSASPTDAVL >fgenesh2_kg.4__2834__AT2G46260.1 pep chromosome:v.1.0:4:22447838:22450448:1 gene:fgenesh2_kg.4__2834__AT2G46260.1 transcript:fgenesh2_kg.4__2834__AT2G46260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LDY0] MRGSNNTDLFDPKTDMDSNFSRHGSSSEGDFGFAFNDSNFSDRLLRIEILGGPSDSRSDGEGCTSIADWARHRKRRREDNKRDNGVAISDIVACAEEQILTDNNQPDMDDGPGGDNLDDEGEAMVEEALSGDDDASSEPNWGIDCSTVVRVKELHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRISAQEEGALMELLNFMYSNSLSVTTAPALLDVLMAADKFEVASCMRYCSRLLRNMPMTPDSALLYLELPSSVLMAEAVQPLTDAAKQFLASRYKDITKFHDEVMALPLAGIEAILSSDDLQIASEDAVYDFVLKWARGQYSSLEDRREILGSRLALYIRFPYMTCRKLKKVLTCSDFEHEVASKQVLEALFFKAEAPHRQRILAAEGSGSLNRRFIERAYKYRPVKVVEFELPRPQCVVYLDLKREECAGLFLSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGAVSFGVDYEFAARDKKSSEEYVSKYKGNYTFTGGKAVGYRNLFGIPWTSFIADDSQHFINGILHLRAELTIKRSSDLH >fgenesh2_kg.4__2840__AT2G46300.1 pep chromosome:v.1.0:4:22470144:22471312:1 gene:fgenesh2_kg.4__2840__AT2G46300.1 transcript:fgenesh2_kg.4__2840__AT2G46300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYQMKPVLQKPPGYRDPNMSSPPPPPPPMSQQPMRKAVPMPTSYRPKKKRRSCCRFCCCCICITLVLFIFLLLVGTAVFYLWFDPKLPTFSLASFRLDGFKLADDPDGASLSATAVARVEMKNPNSKLVFYYGNTAVEMSVGSGNDETGMGETTVNGFRQGPKNSTSVKVETTVKNELVERGLAKRLAAKFQSKDLVINVVAKTKVGLGVGGIKIGMLAVNLRCGGVSLNKLDTDSPQCTLNTLKWLNIQ >fgenesh2_kg.4__2841__AT2G46310.1 pep chromosome:v.1.0:4:22474271:22475512:1 gene:fgenesh2_kg.4__2841__AT2G46310.1 transcript:fgenesh2_kg.4__2841__AT2G46310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LDY7] MKSRVRKAKYTVHRKTTSVPFDGFPKVVKIIVTDPCATDSSSDEENDNKSVAPRVKRYVEEIRFGEDDDEPKPARKSRNKTATAAAVVNGGDLVKPVVKYRGVRQRPWGKFAAEIRDPSSRTRLWLGTFATAEEAAIGYDRAAIRLKGHNAQTNFLTPPPSPTTEVLPETPVIDLETVSGCDSARDSQISLSSPTSVLRFSHNDETEYRTEPKEEQNPFFLPDLFRAGDYFWDSEITPDPLFLDEIHQSLLPNNNNNTVSDKETNLSESFPLGVIGDFSSWDVDEFFQDHLLD >fgenesh2_kg.4__2842__AT2G46320.1 pep chromosome:v.1.0:4:22478932:22481362:1 gene:fgenesh2_kg.4__2842__AT2G46320.1 transcript:fgenesh2_kg.4__2842__AT2G46320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7LDY8] MVEEAKLDSKSKSIPNENLRFGERALSAGGAAFLSAVIVNPLDVVKTRLQAQAAGVPYQGSCRLGCFDTNSKVVHGLRSNSAPGMCRITGSASVCSDNQYKGTLDVFYKIIRQEGFSRLWRGTNASLALAVPTVGIYMPCYDYFRNIMEEFTTEKSPTLTIYVPLVAGTLARSLACISCYPVELARTRMQAFKGTQRDVKLPGVWKTLVDVVNPVKGSNNGYRMLWTGLGAQLARDVPFSAICWSILEPTRRSIHAAMGEEPGAGSIIGANFTAGFVAGAVAAAATCPLDVAKTRRQIEKNTDRAMTMTTRQTLAEIWRDGGIRGMFSGAGARVGRAGPSVAIVVSFYEVVKYGLHKFHQQ >fgenesh2_kg.4__2846__AT2G46330.1 pep chromosome:v.1.0:4:22481739:22482393:-1 gene:fgenesh2_kg.4__2846__AT2G46330.1 transcript:fgenesh2_kg.4__2846__AT2G46330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNSVTGFALFSFVFAVILSLAGAQSLAPAPAPTSDGTSIDQGIAYLLMVVALVLTYLIHPLDASSSYSFF >fgenesh2_kg.4__2847__AT2G46340.1 pep chromosome:v.1.0:4:22486938:22492141:-1 gene:fgenesh2_kg.4__2847__AT2G46340.1 transcript:fgenesh2_kg.4__2847__AT2G46340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of phya-105 1 [Source:UniProtKB/TrEMBL;Acc:D7LDZ0] MPVMERVAEETVASNNNIQLKGRVDDVPCKLDALHSNMVIQSETRNSDCPGSSAHRTLEMTKPPPPEDSAGAKLSVEELTLGNYRIVQGTNNTNMDSSRAGKFEHLYRLARGSSLRPGDGDLDSQPRDMDQMLSRIRQQLAGAPSERQNLKPFMTRRSDHNLEAFSERLRAAGENSIIKAPSLISSEGVQLNAPVNFSQLLLKRAMKGKGVVGKNQESPPEFFSDQDLGSKEKQLDSSKSPTPHHVLPLKSSPKGNGMVSHGDGNHTKSSFGISLREFLRSSYAKREKRHGLCLFRQLVELVDSAHSKGLFLLDLRPSLFTLVPSKKLRYIGTFGKNDLDSGVDEDLNRRRPVVQESSIGGRDSKKRKMDLHVHSPGSQLQATSTGRPFKRKSPVIDLNVVDARNPDSCELQQQDYIKNLSVSSMTKKQSMSTWLEEQWYTCPEEINGEDIGDKSNIYALGVLLFELLCHCESGEMHAAMMADLRHRILPPTFLSKYPKEAGFCLWLLHPEPSSRPTARDILKSELICEDDSVKSTAAAEEISELLLHFLSTLEVQKQKKASKLLQDIQTLEDDIKEAERRYSSNVSLVRSHGAIERRVQSSPLDEHCTTSGVLLVPSTNTDRLMSNIRQLEDAYFFMRSQINLSSSAASTRSEKIVLKDRDRCSENQNENQDMSTKGKSSDQLEVFFEGLCKFARYSKFETCGTIRSGDLLNSASVVCSLSFDPDEEHIAAAGISKKIKIFDFNAFMNESVGVHYPLVEMVNKSKLSCVCWNSYIKNYLASTDYDGVVQIWDAGTGQGFSQYTEHQKRAWSVDFSPSDPTKFVSGSDDCSVKLWSINEKRSLGTIWSPANVCCVQFSSYSNHLLAFGSADYKVYCYDLRYVKTPWCTLAGHEKAVSYVKFMDSETIVSASTDNSLKLWNLNKTNSSGLSPGACSLTYKGHTNQKNFVGLSVLDGYIACGSETNEVYSYYKSLPMPMTSYKFGSVDPISGNEYFDDNGQFVSSVCWRKKSNMLVAANSTGNMKLLKLV >fgenesh2_kg.4__2848__AT2G46360.1 pep chromosome:v.1.0:4:22493200:22493753:1 gene:fgenesh2_kg.4__2848__AT2G46360.1 transcript:fgenesh2_kg.4__2848__AT2G46360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRGANRKHDEEERREDEKTSLMDVNLMVVLLSQLCTKKEDEKSLEKVRRDHVAHEARESRARANKRFMKETTLMEKRTHVLQPMAKEFRHYRKM >fgenesh2_kg.4__2849__AT2G46370.2 pep chromosome:v.1.0:4:22498682:22501615:1 gene:fgenesh2_kg.4__2849__AT2G46370.2 transcript:fgenesh2_kg.4__2849__AT2G46370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LDZ2] MLEKVETFDMNRVIDEFDEMSRNADQVQKQTLKDILLKNKSAIYLQNCGLSGNAADPEEAFKAMVPLVTDVELEPYIKRMVDGDTSPILTGHPVPAISLSSGTSQGRPKFIPFTDELMENTLQLFRTAFAFRNRDFPIDDNGRALQFIFGSKQYISTGGVPVGTATTNVYRNPNFKAGMKSITSPSCSPDEVVFSPDVHQALYCHLLSGILFRDQVQYVFAVFAHGLVHAFRTFEQVWEEIVTDIKDGVLSNRITVPSVRTAMSKLLTPNPELAETIRSKCMSLSNWYGLIPALFPNAKYVYGIMTGSMEPYVPKLRHYAGDLPLVSHDYGSSEGWIAANVTPKLSPEEATFAVIPNLGYFEFLPVSETGEGEEKPVGLTEVKIGEEYEVVITNYAGLYRYRLGDVVKIIGFYNNTPQLKFICRRNLILSINIDKNTERDLQLSVESAAKRLSEEKIEVIDFSSHIDVSTDPGHYAIFWEISGETNEDVLQDCCNCLDRAFIDAGYVSSRKCKTIGALELRVVAKGTFRKIQEHFLGLGSSAGQFKMPRCVKPSNAKVLQILCENVVS >fgenesh2_kg.4__2853__AT2G46380.1 pep chromosome:v.1.0:4:22504328:22507419:1 gene:fgenesh2_kg.4__2853__AT2G46380.1 transcript:fgenesh2_kg.4__2853__AT2G46380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSTIEARLVRCPKCKNLLQEPKDCPFFQCGGCGTVLCAKNKDREADLLSVKSVEDRAKETQVNSGSIDGESDSDASLRHQQNGQTETWDVHSDPTSKLNVVDPGNNDSQPGLDRFRQRTTKRCDFQGFRFSTSNYFTDSLSSDEAIEQDRAGLVRKLDKLKEQLVQPKEQQIPSSSSGFEKPPLRVFSSGKHIAAPSCYRNYPEPEPPCLYNNNTNISLRGPMHNPAHVPAYGDPHAFQMHDRTLQPSHLHNSRQYIGNNGHDLFDTHPGNGMFHQSTCSCSHCYDPYHRASGSVFPPSGLPDALCNAGFYPHERSFGFGTSLHGPRTFIPPGSQSPGPQLQRRCPSGFKDTGMNAISRVHPPKRVSSSGGSRLIYPVAGGAPFVNCINCFKLLRLPDKIDSATRKKQRMRCGACSCVIDYTFVDKKLILSTDPASVRKAETHSTLRWETTANFSSDDYDNAAYEFQAMDRGPADVSTGLALISNKAQEMQIADSTSPSVSEDELSSDSSTVKKVTPDSPLHKHFEYSSINVRDRSGPRSRSSRSERDRVILSKTSMRQNSMKETSVAIEMDVNDYSHNNEVSQDSANDYTDDQGRTKKGRFASIVKNSFKDLKKSIQNEGRSDVSINGHPVAERLVKMAEKQAGPIRPGNYWYDYRAGFWGVMGSHCLGILPPFIEELNYPMPENCAGGTTRVFVNGRELHQKDLRLLTARGLPRDGDRSYTVYISGRVIGEDTGEELDSLGKLAPTVDKLKRGFGMRVPRRAA >fgenesh2_kg.4__2854__AT2G46400.1 pep chromosome:v.1.0:4:22508397:22509686:-1 gene:fgenesh2_kg.4__2854__AT2G46400.1 transcript:fgenesh2_kg.4__2854__AT2G46400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEEKLVINELELGKELANRLMSNLKHTSSADSNKTLISEILRIYQNAIFMLRFNEDKNILKRSLEIDGKDSKNVFKKRKVSEKKTEKVKVFVATGQENGSIDDGHCWRKYGQKEIHGSKNPRAYYRCTHRFTQDCLAVKQVQKSDTDPLVYEVKYLGNHTCDNITSPKTTMTTNFSVSSFEEGNRVHVTEQTEDTKPTKSEEVMINLEDLENKKNIFRTFSFSNHEIENGVWKSNIFSENFMEDLSPATSGSAITSEVISAPASIENSETADSYFSSLDNIIDFGQDWLWS >fgenesh2_kg.4__2856__AT2G46420.1 pep chromosome:v.1.0:4:22524519:22527956:1 gene:fgenesh2_kg.4__2856__AT2G46420.1 transcript:fgenesh2_kg.4__2856__AT2G46420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEQSSSTQVSLESQGEQKVNQSIEAPIQDSGSVSASSNDGRKVSRQDIELVQNLIERCLQLYMNRDEVVKTLLTRARIDPGFTTLVWQKLEEENEEFFRAYYIRLKLKKQIVVFNYLLEHQYHLTKYHVHPKVPLVPMQNGIHPMASVNNMPMGYPVLQHPQMHAQGHPHLDPMSCAMSSCHVVNGVPAPANFQPIRINSGNDMVIDTTMAEPTPMIPPNSGMSDMPVSPASVASSGHFPFAASDMSGMGMDTSALDSAFTSDVATSVGLQLGSDGGAGNSRDPLRPFDQIPWNFSLSDLTADLSNLGDLGALGNYPGSPFLPSDSEILLDSPEQEDIDEFFVDSVPGPPCSQSEEDKS >fgenesh2_kg.4__285__AT2G23580.1 pep chromosome:v.1.0:4:1944242:1945488:-1 gene:fgenesh2_kg.4__285__AT2G23580.1 transcript:fgenesh2_kg.4__285__AT2G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7LG04] MEKINQKRFVLVHGLCHGAWCWYKVKTHLEAVGHYVTAMDLAASGINMTRVEETHTLKDYCKPLLEFLSSFGSDDDKVILVAHSMGGIPAALAADIFPYKIASVVFLTAFMPDTRNPPAYVYQKLIRSVPQEGWLDTLFGTYGKPECPLEFTLFGPKFMAKNLYQLSPDQDLELAKMLVRVNPIITNNLAGTRSFSEEGYGSITRVYIVCGEDLVVPEDYQCWMIKNFPPKEVMEIKCADHMAMFSKPHELCALLLEIACKYS >fgenesh2_kg.4__2860__AT2G46455.1 pep chromosome:v.1.0:4:22538639:22539639:-1 gene:fgenesh2_kg.4__2860__AT2G46455.1 transcript:fgenesh2_kg.4__2860__AT2G46455.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LEI6] MSSKPEELCSKIDAFGNVVEESVEAMATNVSTIDECTAAIEKFSFPGDFVQYVINGIHELTGFNWSMSIVLTAFLVSVLMSPVSMRVQIQALELQITTMAKKIPELSTSDSLYIFPLLAGFTFWFSSEV >fgenesh2_kg.4__2861__AT2G46470.1 pep chromosome:v.1.0:4:22542080:22545107:1 gene:fgenesh2_kg.4__2861__AT2G46470.1 transcript:fgenesh2_kg.4__2861__AT2G46470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCLRGITKRVNLLQRRVYPSCGHLIRDDRDETKSDSSNSHTMIRKVLASNGTSKLSSMSLERHYQSFSFSGPLGLGLSSCRYMSSTPPEWSDKVDGIDFVATEVVPDEIIEAVTTTSQAVPAINEVAIAAADSAFPVAALQHLIDGVHSFTGLNWWASIALTTVLIRGVTIPILLNQLKATYKLNVLRPQLEELRQEMSTKAQDPEAMAEGQRRMQLLFKEHGVTPFTPLKGLIIQGPIFISFFFAIRNMAEKVPSFKTGGTLWFTDLTTADTTYILPLLTAVTFLIMVESNMQEGLEGNPVAGTMKKFSRIIAFLSIPVLIGIEKALFCYWLTSNLFTLVYGLTLRRPDVRKFLNLPDVVNSSTRQPSPASPLPFSFPETKDQSVVAQDKPPMSSSESSSSVPDRRISRSSVLSQRIRTLERQFKDRKNKK >fgenesh2_kg.4__2863__AT2G46490.1 pep chromosome:v.1.0:4:22567878:22568538:1 gene:fgenesh2_kg.4__2863__AT2G46490.1 transcript:fgenesh2_kg.4__2863__AT2G46490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGIFRSIFEGCISGLDSAIERRPYHKNCGCALHDKSSGAGKNQNQRRPPSCRRHGSSESISFPIRRSWSEGNIMAMNLFSSSSSSSNLQSLSSSSSLSNLASDLPVDDAATEEPSRSSKQLRWTIDEGEDD >fgenesh2_kg.4__2864__AT2G46495.1 pep chromosome:v.1.0:4:22568810:22574390:-1 gene:fgenesh2_kg.4__2864__AT2G46495.1 transcript:fgenesh2_kg.4__2864__AT2G46495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSKQLFLYLFFLFPLLHASHTNQCSSSSCGLDDVHVRFPFWLLSKQQEFCGHAGFNLQCTSSLTTALKLPNFGTFLVREIDYISQQIRLHDPESCLARKLLTFDISGSPFSALYLASYTFLSCPNEVAKSSRFDSIPCLGNSTTSFLATTSLDLAKSMLPSCQIVKTSTIPVSRRVTAGKSRFSTDVNDKDLWLKWDSPSCSNCERDYLRCGFISNTSLQVKCFPFEKSGNNNTRLQVLKIMILSIIGPVMIFATCIAIGVCTSERFASRIQRNVAIAALQPNEVIITTGLDESTIESYKKMELGESRRLPGTNDIVCPICLSEYVSKETVRPLRCGPLDVPIRFPFCDHAQFNLHCTDLNKTVLELPMSGTFLVHDIDYRRQKIYINDPENCLAKRLLTFNISGSPFSPRFEIFYTFLTCPNEVVLPSWYPSIPCLSNSTSSFFATSNFALAQSMLPSCQIVKRLHVPSNSPFGETRFSSYLNNQNLLLEWDSPDCRGCEVDYLRCGFKNKASLEIKCFDAKKSGHLSSGVLVLVISLSAVTVFVFPTCIAIRLYNSESFDSLAIAAATVMQQPREVMTTRGLDQSTIETFKKMELGESRRISGTNGIVCPICLSEYASKETVRFIPECDHCFHVKCIDVWLKIHGSCPLCRNSRA >fgenesh2_kg.4__2866__AT2G46500.2 pep chromosome:v.1.0:4:22574804:22577215:-1 gene:fgenesh2_kg.4__2866__AT2G46500.2 transcript:fgenesh2_kg.4__2866__AT2G46500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-and 4-kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LEK1] MSSAGVALSPVRSEPLIMPLVRANSCADSYPDDTIMIYLTLPGTVIPMRVLESDSIESVKLRIQSYRGFVVRNQKLVFGGRELARSNSNMRDYGVSEGNILHLVLKLSDLQVLDVKTTCGKHCRFHVERGRNIGYVKKQISKKRGDFVDPDEQELLYEGEKLEDQSLINDICRNDDSVLHLLVRRSAKVRAKPVEKNFELSIVAPQAKDKKGREADRIVPPKKLSLEPVIVNSKAKVPLVVKDMIKSASDGLKSGNSPVRSREGTGGAYFMQGPSGNKFVGVFKPIDEEPMAENNPQGLPLSPNGEGLKKGTKVGEGALREVAAYILDHPKIGNRSMSGEEIGFAGVPPTAMIECLHPGFNHPKGIKTKIGSLQMFTENDGSCEDMGPLSFPVEEVHKISVLDIRLANADRHGGNILMTKDENGKLVLVPIDHGYCLPESFEDCTFEWLYWPQARKPYSAETRDYIRSLDAEEDIDLLKFHGWKMPAETARTLRISTMLLKKGVERGLTAFEIGTIMCRETLSKKSLVEEMVEEAQEAVLPGTSEAAFLEALSDVMDYHLDHSQEH >fgenesh2_kg.4__2868__AT2G46510.1 pep chromosome:v.1.0:4:22579901:22582104:-1 gene:fgenesh2_kg.4__2868__AT2G46510.1 transcript:fgenesh2_kg.4__2868__AT2G46510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7LEK3] MNISDVGWDDEEKSVVIAVLGHLASDFLRANSNSNQNLFLVMGTDDSLNKKLSSLVDWPNSENFSWNYAIFWQQTMSRSGQQVLGWGDGCCREPNEEEESKVVRSYNFSNMGVEEETWQDMRKRVLQKLHRLFGGSDEDNYALSLEKVTATEIFFLASMYFFFNHGEGGPGRCYASGKHVWLSDAVNSESDYCFRSFMAKSAGIRTIVMVPTDAGVLELGSVWSLPENIGLVKSVQALFMRRVTQPLMVTSNTNMSGGIHKLFGQDLSGAHAYPKKLEVRRNLDERFTPQSWEGYIHNKGPTFGYTPQIEDVKVQENVNMVVDDNNYKTQIEFAGSSVAASSNPSTNTQLEKSESCTEKRPVSLLAGAGTVSVVDEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRSVVPNISKMDKASLLGDAISYIKELQEKVKIMEDERADNSLSESNTRTVESPEVDIQAMNEEVVVRVVSPLDSHPASRIIQAMRNSNVSLMEAKLSLAEDTMFHTFVVKSNNGTDPLTKEKLIAAVYPENSSTQQPLPSSSSQVSGDI >fgenesh2_kg.4__286__AT2G23600.1 pep chromosome:v.1.0:4:1945612:1947203:-1 gene:fgenesh2_kg.4__286__AT2G23600.1 transcript:fgenesh2_kg.4__286__AT2G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKRKQHFVLVHGMCHGAWCWYKVKPLLEASGHRVTALDLAACGIDTTRSITEISTCEEYSKPLMQLMTSLPNDEKVVLVGHSFGGLSLAIAMDKFPDKISVSVFVTAFMPDTKHSPSFVEDKFAISNMTPEGWMGTELETYGSENSGLSVLFSTDFMKHRLYQLCPIEDLELGLLLKRPGSLFINELSRMKNFSDKGYGSVPRAYIVCKEDNIISEEHQRWMIDNYPADLVIEMEETDHMPMFCKPQLLSDHLLEIAEKFS >fgenesh2_kg.4__2873__AT2G46535.1 pep chromosome:v.1.0:4:22604863:22605747:1 gene:fgenesh2_kg.4__2873__AT2G46535.1 transcript:fgenesh2_kg.4__2873__AT2G46535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEKEEEKAKLALVLAAKSRSLLYTSSPASPRVFASPIHTLASVPFCWEDQPGKPKNPLRPLSYPKCLDLPPRLLLPGEFTQMPLPERKHGLLRFLRRKGRGDVVVRGNYVFLSDNQRAGEINENNMKIMKFNRSGSYHGGGSVKGSHFWGSLCKGLKLAMPWKNKKLRSESV >fgenesh2_kg.4__2874__AT2G46540.1 pep chromosome:v.1.0:4:22605724:22606894:-1 gene:fgenesh2_kg.4__2874__AT2G46540.1 transcript:fgenesh2_kg.4__2874__AT2G46540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIMEKLRMFVAQEPVVAASCLIGGVGLFLPAVVRPILDSLEASKQVKAPPLTDVIAGVTGKKQS >fgenesh2_kg.4__2875__AT2G46550.1 pep chromosome:v.1.0:4:22607095:22609212:-1 gene:fgenesh2_kg.4__2875__AT2G46550.1 transcript:fgenesh2_kg.4__2875__AT2G46550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAVWQRTVNRCFVQEDAKRAPKLTYCQSSSSSSTTPSTKQVDDSGSSPRVSVDPRKQSSCAGSMPLHRNPNFPDLLPHNTRLWSHHHHHFQVYKMPLEAEVNSQGVSEKKSELGSGEKQGKSFNSESFQEFIELGETRESYDESSEKKLSELSFDPSSPWNPLSSEKAGPWWRTTDKDELASLVAQRSLDYVENCDLPTPHKMKRSYYGSPRGFDSDGFRDYSVSGQTIHEHGPSRGSSCKNRTEASSESDLSKSELLEALRHSQTRAREAENMAKEAYAEKEHLVKILFKQASELFGYKQWLQLLQLEALYLQIKNKKIENKDSNEPMVPIPCWSNGKARKLGRKRRSKRGKPNGAKYAVGLALGMSLVGAGLLLGWTVGWMQMLSF >fgenesh2_kg.4__2879__AT2G46580.1 pep chromosome:v.1.0:4:22624600:22625897:-1 gene:fgenesh2_kg.4__2879__AT2G46580.1 transcript:fgenesh2_kg.4__2879__AT2G46580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNVAPWKQLLFGAIEANSHLSHSSYFQLATIGFNGRPSNRTVVFRGFEENSDRIQINTDLRSRKIEELKHCPFSEICWYFSDTWEQFRINGRIELIDASNPDQTKLQQREKAWFANSLRSRLIYICPTPGSPCNNEQSNQQVNLDPSTGPVPEYCLLLLEPEKVDYLNLKSNQRLLFSSMASGAGEKSWTSEKVNP >fgenesh2_kg.4__2884__AT2G46610.1 pep chromosome:v.1.0:4:22632676:22634703:-1 gene:fgenesh2_kg.4__2884__AT2G46610.1 transcript:fgenesh2_kg.4__2884__AT2G46610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFVGNFDYDTRQSDLERLFSKYGRVERVDMKSGYAFVYFEDERDAEDAIRGTDNTTFGYERRKLSVEWAKGFKGERGKPRDGKAASNQRPTKTLFVINFDPIRTRERDMERHFEPYGKVLNVRIRRNFAFVQFATQEDATKALDCTHNSKILDRVVSVEYALREDGEREDRYAGSPRRRSPSPVYRRRPSPDYGRPRSPEYDRYKGPAPYERRRSPDYRRRSPDYGRARARSPGYDSSRSPIQRARG >fgenesh2_kg.4__2889__AT2G46650.1 pep chromosome:v.1.0:4:22649063:22650088:1 gene:fgenesh2_kg.4__2889__AT2G46650.1 transcript:fgenesh2_kg.4__2889__AT2G46650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B5 #1 [Source:UniProtKB/TrEMBL;Acc:D7LF71] MTNLISFHDVAKHKCKNDCWILIHGKVYDISTFMDEHPGGDNVLLAVTGKDASIDFEDVNHSKDAKELMKKYCIGDVDQSTVPVTKKYIPPWEKESTAAEATKEESGNKLLVYLIPLLILGVAFALKFYNNK >fgenesh2_kg.4__2890__AT2G46660.1 pep chromosome:v.1.0:4:22651047:22653077:-1 gene:fgenesh2_kg.4__2890__AT2G46660.1 transcript:fgenesh2_kg.4__2890__AT2G46660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP78A6 [Source:UniProtKB/TrEMBL;Acc:D7LF72] MATKLESSLIFALLSKCSVLSQTNIAFSLLAVTIIWLAVSLFFWTYPGGPAWGKFLFRRFTSGSYKTGNVIPGPRGLPLVGSMSLMSSTLAHRRIADVAEKFGAKRLMAFSLGETRVIVTCNPDVAKEILNCPVFADRPVKESAYSLMFNRAIGFAPHGVYWRTLRRIASNHLFSPKQIRRAETQRREIASQMVGFLGKQTSNGLCFVRELLKTASLNNMMCSVFGQEYELEQNHVELRELVEEGYDLLGTLNWTDHLPWLFEFDPQRIRSRCSTLVPKVNRFVSRIISEHRHQTGDSPHDFVDVLLSLHGSDKLSDPDIIAVLWEMIFRGTDTVAVLIEWVLARMVLHPDIQSTVQNELDQIVGKSRAVDESDLASLPYLTAVVKEVLRLHPPGPLLSWARLAITDTIVDGRLVPAGTTAMVNMWAVSHDPHVWLDPLEFKPERFVAKQGEVEFSVLGSDLRLAPFGSGRRVCPGKNLGLTTVTFWTATLLHEFEWGPSDGNGVDLSEKLRLSCEMANPLAAKLRRRRS >fgenesh2_kg.4__2894__AT2G46700.1 pep chromosome:v.1.0:4:22692336:22696260:-1 gene:fgenesh2_kg.4__2894__AT2G46700.1 transcript:fgenesh2_kg.4__2894__AT2G46700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKVNQSKQNGEEEANTTTYVVSGDGNQIQPLTPVNYGRAKNTPARSSNPSPWPSPFPHGSASPLPSGVSPSPARTSTPRRFFRRPFPPPSPAKHIKASLIKRLGVKPKEGPIPEERGTEPEQSLDKSFGYGKNFGAKYELGKEVGRGHFGHTCSGRGKKGDIKDHPIAVKIISKAKMTTAIAIEDVRREVKLLKSLSGHKHLIRYYDACEDANNVYIVMELCEGGELLDRILARGGKYPEDDAKAIVVQILTVVSFCHLQGVVHRDLKPENFLFTSSREDSDLKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSFEADIWSIGVITYILLCGSRPFWARTESGIFRTVLRTEPNYDDIPWPSCSSEGKEFVKRLLNKDYRKRMSAVQALTHPWLRDDSRVIPLDILIYKLVKAYLHATPLRRAALKALAKALTENELVYLRAQFLLLGPNKDGSVSLENFKMALMQNATDAMRESRVPEILHTMESLAYRKMYFEEFCAAAISIHQLEAGDAWEEIATVGFQHFETEGNRVITIEELARELNVGASAYGHLREWVRSSDGKLSYLGFTKFLHGVTLRAAHARPR >fgenesh2_kg.4__2895__AT2G46710.1 pep chromosome:v.1.0:4:22705822:22708585:1 gene:fgenesh2_kg.4__2895__AT2G46710.1 transcript:fgenesh2_kg.4__2895__AT2G46710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFSRSKSTGTIGFPEFKPTRPGPDIYENIHNDDDEYEEGHSTTSTDYYDASTPLSSHASRSGNGSGSGQLTIVDLLAAVLRKSLVMSCAMERGDDDVAASMDIGWPTEVKHVSHVTFDRFNGFLGLPSELEPEVPPRAPSASVSVFGVSAKSMQCSYDDRGNSVPTILLRMQKRLYTEGGLKAEGIFRINPDNGKEEHVRRQLNRGVVPRGIDVHCLAGLIKAWFRELPTGVLDVLTPDQVMRCNTEEDCSRLVILLPPVESALLDWAIGLMADVVEHEQFNKMNARNVAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILMNLKERDNADAKARWLEKQTSNPSEEWESQHSEILSPEKPNNNNPKFLRVATLCRLEADNEEKFWNKKKRNDHEAVLNSSSGNENIGPVQRLCKHPLFQLSKSTKKAFVSNRDEGRKGREAWSSRLSSLPW >fgenesh2_kg.4__289__AT2G23620.1 pep chromosome:v.1.0:4:1956694:1960029:-1 gene:fgenesh2_kg.4__289__AT2G23620.1 transcript:fgenesh2_kg.4__289__AT2G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKRKQHFVLVHGSCHGAWCWYKVKPLLEALGHRVTAVDLAASGIDTTRSITDIPTCEQYSEPLSKLLTSLPNDEKVVLVGHSSGGLNLAIAMEKFPDKISVAVFLTAFMPDTEHSPSFVLDKFGSNMPPEAWMGTEFEPYGSDNSGLSMFFSHEFMKVGLYQLSPVEDLELGLLLKRPGSLFINDLSKMKNFSDEGYGSVHRAFIVCKEDKAIPEEHQRWMIDNFPVNLVIEMEETDHMPMFCKPQQLCDHFLEIAENFV >fgenesh2_kg.4__2903__AT2G46790.1 pep chromosome:v.1.0:4:22767397:22769852:1 gene:fgenesh2_kg.4__2903__AT2G46790.1 transcript:fgenesh2_kg.4__2903__AT2G46790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 9 [Source:UniProtKB/TrEMBL;Acc:D7LF88] MGEIVVLSSDDGMETIKNRVKSSEVVQWEKYLPKTVLRVLLVESDYSTRQIITALLRKCCYKVVAVSDGLAAWEVLKEKSHNIDLILTELDLPSISGFALLALVMEHEACKNIPVIMMSSQDSIKMVLKCMLRGAADYLIKPMRKNELKNLWQHVWRRLTLRDDPTAHAQSLPGSQHNLEDTDETCEDSRYHSDQGSGAQAISYNGHNKLMENAKSVDERDEFKESFDVTMDLIGGIDKRPDSIYGDNSRDECVGPELGLSLKRSCSVSFENQDESKHQKLSLSDASAFLRFENSKPAEKAVVALDESTSGEPKTPTESHEKLRKVRSDQGSATTSSNQENIGSSSVSGQCGQNELSFRNQVQKQHLQDSPIPVESNRVKADSKEVEVGSQSTCNTNEGIGGQSSSTEKPKEEESVKQRWSRSQREAALMKFRLKRKDRCFDKKVRYQSRKKLAEQRPRVKGQFVRAVNSDASTKA >fgenesh2_kg.4__2905__AT2G46800.2 pep chromosome:v.1.0:4:22776878:22778883:1 gene:fgenesh2_kg.4__2905__AT2G46800.2 transcript:fgenesh2_kg.4__2905__AT2G46800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transporter MTP2 [Source:UniProtKB/TrEMBL;Acc:D7LF89] MESSSPHHSHIVEVNVGKSEEERIIVASKVCGEAPCGFSDSKNASGDAQERSASMRKLCIAVVLCLVFMSVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQTYGFFRIEILGALVSIQLIWLLTGILVYEAIIRIVTETSEVNGFLMFLVAAFGLVVNIIMAVLLGHDHGHSHGHGHSHGHDHHNHSHGVTVTTHHHHHDHEHDHSHGHGEDKHHAHGDVTEQLLDKSKTQVAAKEKRKRNINVQGAYLHVLGDSIQSVGVMIGGAIIWYNPEWKIVDLICTLVFSVIVLGTTINMIRNILEVLMESTPREIDATKLEKGLLEMEEVVAVHELHIWAITVGKVLLACHVNIRPEADADMVLNKVIDYIRREYNISHVTIQIER >fgenesh2_kg.4__2908__AT2G46820.2 pep chromosome:v.1.0:4:22782738:22784505:1 gene:fgenesh2_kg.4__2908__AT2G46820.2 transcript:fgenesh2_kg.4__2908__AT2G46820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVSSSSTIIDSRAPPSRQASASASSPSCISLPTLPPPPIQSHSRAAKATAYCRKIVRNVVTRATTEVGEAPATTTEAETTELPEIVKTAQEAWEKVEDKYAIGSLAFAGVVALWGSAGMISAIDRLPLVPGVLELVGIGYTGWFTYKNLVFKPDREALFEKVKNTYKDILGSS >fgenesh2_kg.4__2912__AT2G46850.1 pep chromosome:v.1.0:4:22789292:22791552:1 gene:fgenesh2_kg.4__2912__AT2G46850.1 transcript:fgenesh2_kg.4__2912__AT2G46850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7LF93] MPPLFLPSSSSALFLLLLLLLTLQTLTSISLSQPDALRSPEKCGNFSVSFPFHLSSSSSAATFRLSCTNSSTLFLHINHQSYRIIEFFTDGLLVDFPSSPSCRQFNDLRSFPFSANQFFSISFENVIGLYDCEDSSLCKFGCETNDLFGCDGREEDETSGGDIGCCYPLSDHSAWRAGDDFSVFSKYGCRGFSSWFVPRGTNRGNRGVKLEWAIPRNSSEAICDREARTVNATAIEGSVRCVCRDGFVGDGFVHGTGCLKSCYKDGKELYGGKCKIKKHNGKKLTVLAGVLAPLFILGSLLALFCLLKRPVTTHKDQQFDISTSTTTNSSLLFTYSELDEATKGFQDSQKLTQGKTGTIYSGNLTNGTRVIVHKVLCENKIEFMEISSQIDHLSAVLHRNLARIIGFCMDIGYNPLVVYEYPVNGSLGDRLRLGLDWCKRVNIVAEVAGLLALLQYENYPPILHNNISSGYIFLDEDFQAKVTGFGLQRKQRIDTSMYDFAVLLLEIVTGLKQREETVTQALQRIRSGKLEEIVDPSMYFHEQPVAFREQIGLVADIATRCVLFGGDGKFGMVDAARELLQIAGNNGGGGCDKKRDGIEETFSNSSLLQMISMSPDSIYLPKT >fgenesh2_kg.4__2913__AT2G46860.1 pep chromosome:v.1.0:4:22791910:22793560:1 gene:fgenesh2_kg.4__2913__AT2G46860.1 transcript:fgenesh2_kg.4__2913__AT2G46860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEETYEETQESSQSPRPVPKLNERILSTLSRRSVAAHPWHDLEIGPEAPLVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPLDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYKHFTDIKQLAPHRLSEIRRFFEDYKKNENKEVAVNDFLPSESAHEAIQYSMDLYAEYILHTLRR >fgenesh2_kg.4__2914__AT2G46870.1 pep chromosome:v.1.0:4:22801372:22802843:1 gene:fgenesh2_kg.4__2914__AT2G46870.1 transcript:fgenesh2_kg.4__2914__AT2G46870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLSLTRDEDEEEEAKPLEEEGAGTREVAEREHMFDKVVTPSDVGKLNRLVIPKQHAERFFPLDSSSNEKGLLLNFEDLTGKSWRFRYSYWNSSQSYVMTKGWSRFVKDKKLDAGDIVSFQRCVGDSGRDSRLFIDWRRRPKVPDHPHFAAGAMFPRFYSFPSTSYNLYNHQQQRHHHGGGYNYHQIPREFGYGYFVRSVDQRNNPAAAVADPLVIESVPVMMHGRANQELVGTAGKRLRLFGVDMECGESGMTNSTEEESSSSGGSLPRGGGGGGASSSSFFQLRLGSSSEDDHFTKKGKSSLSFDLDQ >fgenesh2_kg.4__2915__AT2G46880.1 pep chromosome:v.1.0:4:22805095:22806803:-1 gene:fgenesh2_kg.4__2915__AT2G46880.1 transcript:fgenesh2_kg.4__2915__AT2G46880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPAP14/PAP14 [Source:UniProtKB/TrEMBL;Acc:D7LFW3] MEEAWRRFVLFSVLSVSLIYLCLSTCHVSAYGFGRRQLRFNTDGRFKILQVSDMHYGFGKETQCSDVSPAEFPYCSDLNTTSFLKRTIASEKPDLIVFSGDNVYGLCETRDVAKSMDMAFAPAIESGIPWVAILGNHDQESDMTRETMMKYIMKLPNSLSQVNPPDAWLYQIDGFGNYNLQIEGPFGSPLFFKSILNLYLLDGGAYTKLDGFGYKYDWVRTSQQNWYEHTSKWLEMEHKRWPFPQNSTAPGLVYLHIPMPEFALFNKSTEMTGVRQESTCSPPINSGFFTKLVERGEVKGVFSGHDHVNDFCAELHGINLCYAGGAGYHGYGKVGWARRARVVEAQLEKTKYGRWGAVATIKTWKRLDDKNHSLIDTQLLWSKNTTLEPNFGFTCSTIPQH >fgenesh2_kg.4__2918__AT2G46900.1 pep chromosome:v.1.0:4:22809357:22812009:1 gene:fgenesh2_kg.4__2918__AT2G46900.1 transcript:fgenesh2_kg.4__2918__AT2G46900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLLKKVLQEHEESKLQKHHEEEEEDEEEEEEEESGARSSINPFDLLNDGDEDPEEIEVDDETIVEKKNEDADHQSKNVDNVQPASKNKSKKKKKKKNKESASNVAKAEITLDETLEALGLNANSKQDKVQETKANADSSKKASSRFVLEVDPKYLNLENELRRMYGSKAMRSFESESGSQGGSSSRQGRGGRRGVHHITKTVLISPKENWSRWDRSFSMEFLETKDGNNYFRYTHSSSYEQAQRAFRAAQAIHDLNGVASVLIHHPYHIESLITMADYFKFVGEHDMAADSIGKCLYGLERAWHPMFTPFQGNCRLEFTHETNKLFFKTLFTHMRNMDRRGCHRSALEVCKFLLSLDMSDPVGALFCVDYFALRAEEYAWLEQFSEEYRNDNSLWLFPNFSYSLAIARVYLEKMESSSSSEATHVDTSKSSSLDLMTQALKLHPTVLKKLVDKVPLKDQAWTKILKHSYFRSDESKIPSLDHLINLYVERNYLIWRLPDVQKLLRSAADLVIESLEQNGTEAESWLCVRKEAFSAENNQYSHLSTHDFSDSMPTLPPDNLQNFVADPRMVGGEQMAGGGGGGQQEAPPRPRDVANRNPFAVLFESILPWANFGDEDDEIARDGHHQPDNNG >fgenesh2_kg.4__2923__AT2G46930.1 pep chromosome:v.1.0:4:22823968:22826962:1 gene:fgenesh2_kg.4__2923__AT2G46930.1 transcript:fgenesh2_kg.4__2923__AT2G46930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:D7LFW9] MKSVLQIAAAISWLCMVVGVIGSDGIGNVRDTDDEISLLESQLVVTSPSQLLMVPLTLIQAAASKGAVCLDGTLPGYHLHPGSGSGANRWLIQLEGGGWCNTRRSCIFRKTTRRGSSNHMEKVLAFTGILSNKANENPDFFNWNRVKLRYCDGASFTGDSQDQSSQLYYRGQRIWQAAMEELLSKGMQKAEQALLSGCSAGGLASILHCDQFKELLPGTTKVKCLSDAGMFMDAVDVSGGHSLRKMFQGVVTIQNLQKELSTTCTKHLDPTSCFFPQNLVSGIKTPMFLLNAAYDAWQVQESLAPPSVDLSGSWKACKSDHSHCNSSQIQFFQDFRTSMVDAVKSFATSTHNGVFINSCFAHCQSERQDTWYAPDSPTLHAKTVAESVGDWYFDRTTVKAIDCPYPCDKTCHNLIFK >fgenesh2_kg.4__2924__AT2G46940.1 pep chromosome:v.1.0:4:22827506:22828244:-1 gene:fgenesh2_kg.4__2924__AT2G46940.1 transcript:fgenesh2_kg.4__2924__AT2G46940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LFX0] MASEESMMMNSCSIIDEEYEDTDDGFHYQTRQNSLSRLSICTSSFNEDDDDNPSELGNFISTLSLDSFTDDVGAEADGEISDDGDESDSDKESSGFYSLPTITSRRRRKLTVSRGLETSKESETKIDGSKCSQRRVLTENSKRGNQHGHWFNGVERDSDGGGELTVLTKVGGGKKSMKMGFEELKACRDLGFELEVPGRVSISAGSNRETSSGGNSPIANWRISSPGN >fgenesh2_kg.4__2929__AT2G46980.2 pep chromosome:v.1.0:4:22845898:22850613:-1 gene:fgenesh2_kg.4__2929__AT2G46980.2 transcript:fgenesh2_kg.4__2929__AT2G46980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYRSYGSNYHPSSQSRKISIGVMADSQPKRNLVPDKDDGDVIARVEKLKSATVTDLQANKKDKGDLAAKQRNSAQVTGHVTLPWRSPRLSHRKLGTLESVLCKQTSSLSGSKGLNKGLNGAHPAPARDSFQNFPISSPQQSHGELNGGRNDTVMDRSPERMEDPPSAVLLQKVASQREMDKPDKEKNGTTDVLRSKLWEILGKASPANNEDVNSETPEVEKTNFKLSQDKGSNDDPLIKPRHNSDSIETDSESPENATRRPVTRSLLQRRVGAKGVQKRTKAGANLGRKSTEQVNNVFSFEEGLRGKIGTAMNSSVIPKKQRGRRKNTVVQCRKAHFRRKDEADGSHKEASKSNTPPRSESTGTGKRSSFSDKKGSSQDLHPQSKARKQKPDISTREGDFHPSPEAETAAMTEMFQGLSNNGEKHERPSNIFMEKSVEPENEFQSPTFGYKAPISSLSPCFSPEASPLQPRNISPTLEEMETPIFSFGTKKNSQGPTGQASNTERRLPEFLEKKRDYSFRRESSPEPKEDLVLSDSSSDERDSDGSGEDSPVLGHNNSPEERKTANWSNEKSKLGSSSAKRNSNLKGIGRVVLSPPSSLSKGIDKTDSFQHCSEMDEDEDEGLGRAVALFAMALQNIEKKLKSAAEKKSSEIIASVSEEIHLELENVKSHIITEAGKTSNLAKTKRKHAETRLQEQQEKMRMIHEKFKDDVSHHLEDFKSTIEELEGNHSELKGSIKKQRTSHQKLIAHFEGGIETKLDNATKRIDSSARGKMLQLKMIVAECLRDD >fgenesh2_kg.4__2931__AT2G47000.1 pep chromosome:v.1.0:4:22859898:22864697:-1 gene:fgenesh2_kg.4__2931__AT2G47000.1 transcript:fgenesh2_kg.4__2931__AT2G47000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:p-glycoprotein 4, P-glycoprotein4 [Source:UniProtKB/TrEMBL;Acc:D7LFX8] MASESGLNGDSNIVEEVSETKRGKEKEEVKKTEKKDEEHEKTKTVPFYKLFAFADSFDFLLMTLGTLGSIGNGLGFPLMTLLFGDLIDAFGQNQTNTDVTAKVSKVALKFVWLGIGTFAAAFLQLSGWMISGERQAARIRSLYLKTILRQDIAFFDIDTNTGEVVGRMSSDTVLIQDAMGEKVGKAIQLLATFVGGFVIAFVRGWLLTLVMLTSIPLLVMAGALLAIVIAKTASRGQTAYAKAATVVEQTIGSIRTVASFTGEKQAISNYNKHLVTAYKAGVIEGGSTGLGLGTLFLVVFCSYALAVWYGGKLILDKGYTGGQVLNIIIAVLTGSMSLGQTSPCLSAFAAGQAAAFKMFETIERKPNIDSYSTDGKVLDDIKGDIELKDVYFTYPARPDEQIFRGFSLFISSGTTVALVGQSGSGKSTVVSLIERFYDPQTGEVLIDGINLKEFQLKWIRSKIGLVSQEPVLFTASIKDNIAYGKEDATIEEIKAAAELANASKFVDKLPQGLDTMVGEHGTQLSGGQKQRIAVARAILKDPRILLLDEATSALDAESERVVQEALDRIMVNRTTVVVAHRLSTVRNADMIAVIHQGKIVEKGSHTELLKDPEGAYSQLIRLQEEKKSDETATEEQKMSSIESFKQSSLRKSSLGRSLSKGGSSRGNSSRHSFNMFGFPAGIDGNVAQDQEDDTTQPKTEPKKVSIFRIAALNKPEIPVLILGSISAAANGVILPIFGILISSVIKAFFQPPKKLKEDTSFWAIIFMVLGFASIIAYPAQTFFFAIAGCKLVQRIRSMCFEKVVHMEVGWFDEPENSSGTIGARLSADAATIRGLVGDSLAQTVQNLSSILAGLIIAFLACWQLAFVVLAMLPLIALNGFLYMKFMKGFSADAKKMYGEASQVANDAVGSIRTVASFCAEDKVMNMYTKKCEGPMKTGIRQGIVSGIGFGFSFFVLFSSYAASFYVGARLVDDGKTTFDSVFRVFFALTMAAMAISQSSSLSPDSSKADVAAASIFAIMDRESKIDPSVESGRVLDNVKGDIELRHVSFKYPARPDVQIFQDLCLSIRAGKTVALVGESGSGKSTVIALLQRFYDPDSGEITLDGVEIKSLRLKWLRQQTGLVSQEPILFNETIRANIAYGKGGDASESEIVSSAELSNAHGFISGLQQGYDTMVGERGIQLSGGQKQRVAIARAIVKDPKVLLLDEATSALDAESERVVQDALDRVMVNRTTIVVAHRLSTIKNADVIAVVKNGVIVEKGKHDTLINIKDGVYASLVQLHLTAAS >fgenesh2_kg.4__2937__AT2G47040.1 pep chromosome:v.1.0:4:22874549:22876557:-1 gene:fgenesh2_kg.4__2937__AT2G47040.1 transcript:fgenesh2_kg.4__2937__AT2G47040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7LFY1] MIGKVVVSVASILLIVGVAIGVVAFINKNGDANLSPQMKAVRGICEATSDKASCVKTLEPVKSDDPNKLIKAFMLATRDALTTSSNFTGQTEVNMGSSISPNNKAVLDYCKRVFMYALEDLSTIVEEMGEDLNQIGSKIDQLKQWLIGVYNYQTDCLDDIEEDDLRKTIGEGIANSKILTTNAIDIFHNVVSAMAKLNNKVDDFKNMTGGIPTPDNKGAPPVVDESPVADPDGPARRLLEDIDETGIPTWVSGADRKLMAKAGRGGNGGAVGSERPLWWLRTEADSLRRFNKPLMLVLRITQADASSTSRLKKNNIFMFGDGARKTVISYNRSVALSRGTTTSLSATVQVESEGFMAKWLGFKNTAGPMGHQAAAIRVNGDRAVIFNCRFDGYQDTLYVNNGRQFYRNCVVSGTVDFIFGKSATVIQNTLIVVRKGSKGQYNTVTADGNELGLGMKLGIVLQNCRIVPDRKLTPERLTVATYLGRPWKKFSTTVIMSTEMGDLIRPEGWRIWDGENYHMSCRYVEYNNRGPGAFTNRRVNWAKVARSAGEVNGFTVANWLGPIYWIQQANVPVTIGL >fgenesh2_kg.4__2938__AT2G47050.1 pep chromosome:v.1.0:4:22878021:22878979:-1 gene:fgenesh2_kg.4__2938__AT2G47050.1 transcript:fgenesh2_kg.4__2938__AT2G47050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7LFY2] MNTPIKLAFLILCIALTATAFVVPPKRDAVSPNHQKAVDGICSVVQDKRLCSITLRNVPSDDPAVLVRYLATAAEASVKKGLKFLAGIKPKYKGNAFATTCITGCEKQLHNALDDFADFWKAAGKNLTSMAENYFTCKKKMTSIFTYHSTCLDDIYDKTLHKVVEGGIGLGKRMSGESVDVFAGMGKVFNTFNIKTKLNQKDTDTLLPPPLSFYYY >fgenesh2_kg.4__293__AT2G23672.1 pep chromosome:v.1.0:4:1992296:1992994:-1 gene:fgenesh2_kg.4__293__AT2G23672.1 transcript:fgenesh2_kg.4__293__AT2G23672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVSLSLLSGGGGALQARCTRNVHVSPRAAHSNKPAPPSFSLTGASRRNLLFSLTAATVMTGLQSASMAENIPFFGIRKKLNKAEEEAVEIVKEGFETAEKGVDAAERGLEAAERGVESAEKEIVTAVSFNGLTQAGAVVAAEFVGVLVATSVVNGILGPEAQKS >fgenesh2_kg.4__2942__AT2G47060.2 pep chromosome:v.1.0:4:22879240:22881091:-1 gene:fgenesh2_kg.4__2942__AT2G47060.2 transcript:fgenesh2_kg.4__2942__AT2G47060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCCEDDDMHKTADYGGHNPAKHFPGNDARHHQASETPVVKVQQPIEVPTIPFSELKEATDDFGSNSLIGEGSYGRVYYGVLNNDLPSAIKKLDSNKQPDTEFLAQVSMVSRLKHDNFVQLLGYCVDGNSRILAFEFAKNGSLHDILHGRKGVKGAQPGPVLSWYQRVKIAVGAARGLEYLHEKANPNIIHRDIKSSNVLLFEDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHRLPRGQQSLVTWATPKLSEDKVKQCVDARLGGDYPPKAVAKLAAVAALCVQYEADFRPNMSIVVKALQPLLNARAVAPGEGVH >fgenesh2_kg.4__2943__AT2G47070.1 pep chromosome:v.1.0:4:22890524:22894818:1 gene:fgenesh2_kg.4__2943__AT2G47070.1 transcript:fgenesh2_kg.4__2943__AT2G47070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEAQHFYGFRAVDLRSVGKKSVEWDLNDWKWDGDLFLATQLNPAASETTGRQFLPLGNSSNSSSSCSDEGNNTNMVEKKRRAIAIQGDSNAAGGLTLKLGDNACHLNAAKKTKFGAAVCQVENCEADLSKVKDYHRRHKVCEMHSKATSAIVGGILQRFCQQCSRFHLLQEFDEGKRSCRRRLAGHNKRRRKTNPEPGPNGNPSDDQSSNYLLISLLKILSNMHNHTGDQDLMSHLLKSLVSHAGEQLGKNLVELLLQGGSQGSLNIGNSALLGIEQAPQEDLKQLSVNVPRQDGTATETRSEKQVKMNDFDLNDIYIDSDDTDIERSPPPTNPATSSLDYPSWIHQSSPPQTSRNSDSASDQSPSSSSEDAQMRTGRIVFKLFGKEPNEFPIVLRGQILDWLSHSPTDMESYIRPGCIVLTIYLRQAETAWEELSDDLGFSLRKLLDLSDDPLWTTGWIYVRVQNQLAFVYNGQVVVGTSLPLKSRDYSHIINVKPLAIAATGKAQFTVKGINLRRRGTRLLCAVEGKYLIQETTHDSTTGENDDLKENNEIVECVNFSCDMPITSGRGYMEIEDQGLSSSFFPFLVVEDDDVCSEIRILETTLEFTGTDSAKQAMDFIHEIGWLLHRSKLGESDPNPDVFPLIRFQWLIEFSMDREWCAVIRKLLNMFFDGAVGEFSSSSNATLSELCLLHRAVRKNSKPMVEMLLRYVPKKQRNSLFRPDAAGPAGLTPLHIAAGKDGSEDVLDALTEDPAMVGIEAWKTSRDSTGFTPEDYARLRGHFSYIHLIQRKINKKSTTEDHVVVNIPVSFSDREQKEPKSGPMASALEINQLPCKLCDHKLVYGTTRRSVAYRPAMLSMVAIAAVCVCVALLFKSCPEVLYVFQPFRWELLDYGTS >fgenesh2_kg.4__2948__AT2G47130.1 pep chromosome:v.1.0:4:22911688:22912724:-1 gene:fgenesh2_kg.4__2948__AT2G47130.1 transcript:fgenesh2_kg.4__2948__AT2G47130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LFZ3] MSGLRLDGKIAIITGGASGIGAEAVRLFTDHGAKVVIVDFQEELGQNVAVSVGKDKASFYRCDVTNETEVENAVKFTVEKYGKLDVLFSNAGVMEQPGSFLELNLEQFDRTMAVNVRGAAAFIKHAARAMVDKGTRGSIVCTTSVASEIGGPGPHAYTASKHALLGLIKSACGGLGKYGIRVNGVAPYAVATAINSRDEETMRMVEEYSTATGILKGVVLKARHVAEAALFLASDDSAYVSGQNLAVDGGYTVVKPM >fgenesh2_kg.4__294__AT2G23700.1 pep chromosome:v.1.0:4:2012902:2016462:-1 gene:fgenesh2_kg.4__294__AT2G23700.1 transcript:fgenesh2_kg.4__294__AT2G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEDKKMQRQRHKRSKSCTVFEKKKLEDENSIDSSLDASQRLKLDLPRCCDKSVETKKDLSPDVKFKSSLKQEIQELEKRLQNQFDVRGALEKALGYKTPSRDIKADYTPKPPTELIKEIAVLELEVSHLEQYLLSLYRKAFDQQTSSVSPPTSKQQSSSSPKSTLRGKRLDFSKTPETRCFSFDNRLKSPRLVEKELESPNLRCRQESLATQPRCFSFDNRLKEPGSAGRQFNQEDARIDSQCFSFDNRVKEPVSAARQFNQESSLFDSQSFSVDNRLKEPGSAAARRFIQENSTIDSRCFSFDNRLKDQCFMEKEDIDSCVRRCQSSLNQRSTFNNRIFTPEDSVFTCHSQPLSIQKYIQNGSNAASLAEHMGTRISDHIFMTPNKLSEEMIKCVSAIYSKLADPPSINHGFSSPSSSPSSTSEFSPQEQYDMWSPSFRKNSSFDDQFEFSGPYSSMIEVSHIHRNHRKGRDLDLMNRNFSLLIKQLESVDPRKLTHQEKLAFWINVHNALVMHTFLASGIPQSNGKRFLLLSKPAYKIGGRMVSVEAIENYILRIKMPRPGQWLKLLLIPKKLRTGDVNQEYSLEHSEPLLYFALCSGNHSDPAIHVYTPKGIYQELETAKEEYIRATFGVKKDQKLVLPKIIESFSKDSGLSQAALMEMIQECLPETMKKTIKKLNSGRSRKSIVEWTPHSFVFRYLIARELVR >fgenesh2_kg.4__2950__AT2G47150.1 pep chromosome:v.1.0:4:22917232:22917868:-1 gene:fgenesh2_kg.4__2950__AT2G47150.1 transcript:fgenesh2_kg.4__2950__AT2G47150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LFZ5] RLDGKIVIIIGGASGIGAESARLFTDHGAKVVIVDVQEELGQNVAVSIGKDKASFYRCDVTNETEVENAVNKPGVLETPGSILDLNLERFDRTMAVNVRGAAVFIKHAARAMVEKGTRGSIVCTTSVTSGIGGQGPHEYTTSKHGLGNMGLELTVRDGGVLRS >fgenesh2_kg.4__2951__AT2G47160.1 pep chromosome:v.1.0:4:22921811:22925539:-1 gene:fgenesh2_kg.4__2951__AT2G47160.1 transcript:fgenesh2_kg.4__2951__AT2G47160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFEGIKNDLKGRLMCYKQDWTGGFKAGFRILAPTTYIFFASAIPVISFGEQLERSTDGVLTAVQTLASTAICGMIHSIIGGQPLLVLGVAEPTVIMYTFMFNFAKARPELGRDLFLAWSGWVCVWTALMLFVLAICGACSIINRFTRVAGELFGLLIAMLFMQQAIKGLVDEFRIPERENQKLKEFLPSWRFANGMFALVLSFGLLLTGLRSRKARSWRYGTGWLRSLIADYGVPLMVLVWTGVSYIPAGDVPKGIPRRLFSPNPWSPGAYGNWTVVKEMLDVPIVYIIGAFIPASMIAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFLTLMCGLLGVPPSNGVIPQSPMHTKSLATLKYQLLRNRLVATARRSIKTNASLGQLYDNMQEAYNHMQTPLVYQQPQGLKELKESTIQATTFTGNLNAPVDETLFDIEKEIDDLLPVEVKEQRLSNLLQSTMVGGCVAAMPILKMIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRFKVLEDYHATFVETVPFKTIAMFTLFQTTYLLICFGLTWIPIAGVMFPLMIMFLIPVRQYLLPRFFKGAHLQDLDAAEYEEAPALPYNLAAETEIGSTTSYPGDLEILDEVITRSRGEFRHTSSPKVTSSSSTPVNNRSLSQVFSPRVSEIRLGQMSPRVVGNSPKPVSRGRSPLNQSSSSN >fgenesh2_kg.4__2953__AT2G47170.1 pep chromosome:v.1.0:4:22932798:22934954:1 gene:fgenesh2_kg.4__2953__AT2G47170.1 transcript:fgenesh2_kg.4__2953__AT2G47170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor A1E [Source:UniProtKB/TrEMBL;Acc:D7LFZ7] MGLSFGKLFSKLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >fgenesh2_kg.4__2954__AT2G47180.1 pep chromosome:v.1.0:4:22935072:22936635:-1 gene:fgenesh2_kg.4__2954__AT2G47180.1 transcript:fgenesh2_kg.4__2954__AT2G47180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LFZ8] MAPGLTPTADAESTVMITKPLLPSVQDSDRAYVTFLAGNGDYVKGVVGLAKGLRKVKSAYPLVVAILPDVPEEHRRILMEQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWKFVEYSKMIYLDGDIQVYENIDHLFDLPDGYFYAVMDCFCEKTWSHTPQYKIGYCQQCRDKVQWPKAELGEPPALYFNAGMFLFEPNLETYEDLLRTLKITPPTPFAEQDFLNMYFKKIYKPIPLVYNLVLAMLWRHPENVELGKVKVVHYCAAGSKPWRYTGKEANMDREDIKMLVKKWWDIYNDESLDYKKPVAVVGTEADPVNLKPFITALTEAGRVNYVTAPSAA >fgenesh2_kg.4__2955__AT2G47190.1 pep chromosome:v.1.0:4:22949628:22950996:1 gene:fgenesh2_kg.4__2955__AT2G47190.1 transcript:fgenesh2_kg.4__2955__AT2G47190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor Atmyb2 [Source:UniProtKB/TrEMBL;Acc:D7LG00] MEDYEQNSNSPTHEEDSDVRKGPWTEEEDAILVNFVSIHGDARWNHIARSSGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQFMILKLHSLWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKQAKHLRCDVNSNLFKETMRNVWMPRLVERINAQSSPATCEPVESMITDPGQPIKEPIPVEPGFLQFNQQFVPALELSATSSNSLAETLSDVQGGVVNGSGSDPAGQTGFGEFNDWGCVGGDNIWTDEESFWFLQDQFCPETTSYSYN >fgenesh2_kg.4__295__AT2G23740.1 pep chromosome:v.1.0:4:2033644:2039510:1 gene:fgenesh2_kg.4__295__AT2G23740.1 transcript:fgenesh2_kg.4__295__AT2G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7LG19] MDELVLDVDVEEATGSELLVKPEPGDDLNEVNRSTDLVTVITGPIGNNGKGESSPSEPKWLQQDEPIALWVKWRGKWQAGIRCAKADWPLTTLRGKPTHDRKKYCVIFFPHTKNYSWADMQLVRSINEFPDPIAYKSHKIGIKLVKDLTAARRYIMRKLTVGIFNIVDQFPSEVVSEAARDIIIWREFAMEATRSTSYHDLGIMLVKLHSMILQRYMDPIWLENSFPLWVQKCNNAVNAESIELLNEWSEVKSLSESPMQPMLFSEWKTWKHDIAKWFSISRRGVGEIAQPNSKSVFNSDVQASRKRPKLEIRRAETTNASQMESDTSPQGLTAIDSEFFSSRGNTNTPEALKDENPIMNTPENGLDLWDGIVVEAGGSQIMKTKETNGLSHPHINESVLKKPFGSGNKSQQCIAFIESKGRQCVRWANEGDVYCCVHLASRFTTKSAKNEGSPAVEAPMCGGVTVLGTKCKHRSLPGFLYCKKHRPHTEMEKPDDSSSLLVKRKVAEIMSTLETNQCQDLVPFGEPEGLSFEKQEPHGATSFTEMFEHCSQEDNLCIGSCSENSYIPCSEFSTKHSLYCEQHLPNWLKRARNGKSRIISKEVFVDLLRGCLSREEKLALHQACDIFYKLFKSVLSLRNSVPMEVQIDWAKAEASRNADVGVGEFLMKLVSNERERLTRIWGFATGADEEDVSLSEYPNRLLAITNAWANDEDKEKWSFSGFACAICLDSFVKRKLLEIHVEERHHVQFAEKCMLLQCIPCGSHFGDKEQLLLHVQAVHPSECKSITVAPECNLTNGESSQKPDAGSSQIVVSQNNENTSGVHKFVCKFCGLKFNLLPDLGRHHQAEHMGPSLVGSRGPKKGIRFNTYRMKSGRLSRPNKFKKSLGAVSYRIRNRAGVNMKRRMQGSKPLSTEGNTGVSPPPPGDSRNFDGTDAHCSVVSNILLSKVQKAKHRPNNFDILSAARSACCRVSLETSLEAKFGDLPDRIYLKAAKLCGEQGVQVQWHQEGYICSNGCKPVKDPNLLRPLIPRQENDRFGISMDPVQHSNIELEVDECHCIMEAHHFSKRPFGNTAVLCKDISFGKESVPICVVDDDLLNSGKPYERPWESFTYVTNSILHPSMELVKENLQLRCGCRSSVCSPVTCDHVYLFGNDFEDARDIYGKSMRFRFPYDGKQRIILEEGYPVYECNKFCGCSRTCQNRVLQNGIRVKLEVFRTESKGWGLRACEHILRGTFVCEYIGEVLDQQEANKRRNQYGKEGCSYILDIDANINDIGRLMEEEPDYAIDATTHGNISRFINHSCSPNLVNHQVIVESMESPLAHIGLYASMDVAAGEEITRDYGCRPVPSGQENEHPCHCKATNCRGLLS >fgenesh2_kg.4__2964__AT2G47270.1 pep chromosome:v.1.0:4:22988962:22989427:-1 gene:fgenesh2_kg.4__2964__AT2G47270.1 transcript:fgenesh2_kg.4__2964__AT2G47270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor/ transcription regulator [Source:UniProtKB/TrEMBL;Acc:D7LGL5] MGVTTLEGQRKESIWVLMRRQRARRALVKKIMIRPRKSLEASRRPCRAIHRRVKTLKELVPNTKTSEGLDGLFRQTADYILALEMKVRVMQTMVQVLTETNCV >fgenesh2_kg.4__2966__AT2G47300.2 pep chromosome:v.1.0:4:22993233:22996767:1 gene:fgenesh2_kg.4__2966__AT2G47300.2 transcript:fgenesh2_kg.4__2966__AT2G47300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P [Source:UniProtKB/TrEMBL;Acc:D7LGL6] MATTSNGNSKKRDGGLSSLAPRKINVQKFSEARAAELESLHSIVSERLNKDFRSKRNKRRRTNSYNNQPAKKRYIQRQKSESLIGQVSGGGDHETKITRRVKRRMELKGNPQSGFCTSGDGTKRLRTHVWHAKRFTMTKLWGFHLPLGLHGRGRGSRDVLKQSRQGVLLHDASYHIAVQLEGPEGSLLSILNMLLEPSPSSHSKEVFDSILTGRSYENAMLYHLEPPVSQAIAPVTYMWRPSQIPKRRDEEKGGDGIGTDRPVSDRDYVDFRKLWVWIHASSFTEGYAILKVACQKQMNETGVSVDCFSLEGQLAKLEIFGPKASHLLQKTLHPAPSSSEDTSISKMCSMEKAEVKNVADPYKEENVSSGAILAQFVIDPRLILNSPRDDSTVSFETTKTEPTESLETTTNTEAETFPEVFNCLWDANRELNPPEEENMLCWEKHQSRLDSLCLDDPAADVPKVSSRLRSSRSCPLLLLKHKKLGNAPTGWSLILPVSWIKVFWNALVSKGAHAIGQREKRWVSCDDGLPFFPSDFPDCKAYSSFTLSEAADLEEKAQRRPPAIRPFRIPIPPPWNSIHVTRSIGESSNQKLTSNGTNGVEISSSGGNLFDGIVARTSDSLTTFLQTFTSDNLLLLPHNTSKTNLMKKLQEDDKKVRAQIHQSSKKLCLVRVLLHAFKEGSFEEGAVVCAPTLADISLLKSSCGEGEEGRVTMPQSSVSSYFQEQPSGSWELNVSEDTLTEQSHRWPIGFVTTGFVRGSKKPAAEAFCDAVLLGRLRDEQWRDKDVKRRKKQIYVLVRNLRSCAFRLALATIVLELQDSCDVHCF >fgenesh2_kg.4__2967__AT2G47310.1 pep chromosome:v.1.0:4:22997196:23000896:1 gene:fgenesh2_kg.4__2967__AT2G47310.1 transcript:fgenesh2_kg.4__2967__AT2G47310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVPNAFPGAPPPVPYYQNNYNNPHHHQTHPPPQHHHVAAIGFHQYPQNDNRDQRFNQPHYSGQQQNMIVDQSNNAPPPFPPSPCGGGSLRKRRSQSATDTADGSIAKLYVAPISKTSTEYDIRQVFETYGNVTEIILPKDKMTGDRAAYCFVKYKTVEEGNAAIAALAEQFTFPGEMLPLKVRFADAERERIGFAPVQPPDNPKLYIRCLNKQTTKMEVHEVFSRFGIIEDIYMALDDMKISRGYAFVQFSCREMALAAIKGLNGVFTMRGSDQPLIVRFADPKKPRSTFNTPPAMQHFDPNWHSQPYPQWENKEPAAPRVVQHHDFSSQPNHFPHQNTQAVSEVHQPLHQDIPPQNLEKHQNSETASVETRRDGQKISSHSNSFPEEQNTVSSECDWSEHTCPDGNKYYFHCVTCESTWEKPEEYSMFERWFEEQTRLQDLKLVSPPLNNESQKAIENSEQVKSDLLQQNAKLQQPSLSTADQENNVVYRVTTLAVETTCS >fgenesh2_kg.4__2968__AT2G47330.1 pep chromosome:v.1.0:4:23002498:23005505:-1 gene:fgenesh2_kg.4__2968__AT2G47330.1 transcript:fgenesh2_kg.4__2968__AT2G47330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRKFGIEGFGINRQTSYSFERSQAPQRLYVPPSSRGGDNSEDADLDNIDYIENEEAEEDIEEGGSAAANGGEDDEIDPLDAFMEGIHQEMKSAPPPKPKEKLERYKDDEDDDPVESFLKAKKDLGLTLAADALNAGYNSDEEVYAAAKAVDAGMLEYDSDDNPIVVDKRKIEPIPALDHSSIDYEPINKDFYEEVESISGMSEQETSDYRQRLGIRVSGFDVHRPVKTFEDCGFSSQIMSAIKKQAYEKPTAIQCQALPIVLSGRDVIGIAKTGSGKTAAFVLPMIVHIMDQPELQKDEGPIGVICAPTRELAHQIFLEAKKFSKAYGLRVSAVYGGMSKHEQFKELKAGCEIVVATPGRLIDMLKIKALTMMRASYLVLDEADRMFDLGFEPQVRSIVGQIRPDRQTLLFSATMPWKVEKLAREILSDPIRVTVGEVGMANEDITQVVNVIPSDAEKLPWLLEKLPGMIDEGDVLVFASKKATVDEIEAQLTLNSFKVAALHGDKDQASRMETLQKFKSGIYHVLIATDVAARGLDIKSLKTVVNYDIARDMDMHVHRIGRTGELVTSLVAAGQIVPPELMDLAMKDGRFKSKRDGRKGGKKGRGGGAANKGVRGVDFGLGIGFSSESSGTPSSKAAPSRSGTINSVRTGVMAQFKNSFVAATPSNPQSQAYPNKRPSLMGFVSGGTIGGDMGRTQSQAPPVAPTQNASLHNSSQHHLQSSENRPRERKRRSGWDN >fgenesh2_kg.4__2975__AT2G47380.1 pep chromosome:v.1.0:4:23015833:23016905:1 gene:fgenesh2_kg.4__2975__AT2G47380.1 transcript:fgenesh2_kg.4__2975__AT2G47380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 5C [Source:UniProtKB/TrEMBL;Acc:D7LGM4] MAGHKVAHATLKGPSVVKELVIGLALGLAAGGLWKMHHWNEQRKTRTFYDLLERGEISVVAAEE >fgenesh2_kg.4__2977__AT2G47400.1 pep chromosome:v.1.0:4:23022299:23022899:1 gene:fgenesh2_kg.4__2977__AT2G47400.1 transcript:fgenesh2_kg.4__2977__AT2G47400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cp12 domain-containing protein 1 [Source:UniProtKB/TrEMBL;Acc:D7LGM6] MTTIAAAGLNVATPRVVVRPVARVSGPVRLNYPWKFGSMKRMVVVKATSEGGISDKVEKSIQEAKETCADDPVSGECVAAWDEVEELSAAASHARDKKKAGGSDPLEEYCSDNPETDECRTYDN >fgenesh2_kg.4__2980__AT2G47430.1 pep chromosome:v.1.0:4:23035569:23040046:-1 gene:fgenesh2_kg.4__2980__AT2G47430.1 transcript:fgenesh2_kg.4__2980__AT2G47430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin-independent 1 [Source:UniProtKB/TrEMBL;Acc:D7LGM9] MMVKVTKLVASRPIVVFCVLAFLVVVFGCITISEWRTTTDNVAKDVASFTKDLRTSIVSEIENIGKFTYPKTNLSTIGLARVIDSYLTNNDIGFAEIQTQIAPLLFEAYSTIPQVSQVSYISRDGLFFSYIAESNTSVAVFANSSSNSSGGDYTWYTQNIDQLTGRLNGNATKSQPLDLTHTDWFQAAQSNNYTTTFLGTSLGEKDNETLIQSVVSLYNKKGLVSLGFPVKTLTDVLNSLNLHGEELYMWTKDGTVLVREGSLNASFFISNGSICFGRDSKSVWSQCISENCSTIGYKVEIKRSTYQAFCSVLEVSGVPLRYTLMFPNEGGATRIKHQADKAMYQLIVVVIFLGLGWPVWFVWFMMQATRREMRMRAMLINQMEATQQAERKSMNKSQAFANASHDIRGALAAMKGLIDICRDEVKPGSDIDTTLKQVNACSKDLVVLLNSVLDMSKIESGKMQLVEEDFNLSKLLEDVTDFYHPVAMKKGVDVVLDSHDGSIFKFSNVRGDSGKLKQILNNLVSNAVKFTVDGHILIRAWAQRPGSKSSVILASDPQGVSKFLKSMLCKNKDQSSNYEAEISNSIRNNANTMEFVFEVDDTGKGIPMEMRKSVFENYVQVRETAQGQQGTGLGLGIVQSLVRLMGGEIRITDKAMGEKGTCFQFNVLLTTLESPPVSDMKVRPDIQAGGDYISTPDLGLTINTSLGGSMNIRNLSPRFNNCLSSSPKQECSRVVLLLKNEERRRVTEKYIKNLGIKVTMVEKWEHLSYALERLFGFSPQSSMGRAESSLSCPSSRELPLIGMDGIDSRSQLPKRRSTSFSAVVLLVIDAKTGPLLELYDIVKQFRKGLPHGITCKVVWLNESSTRVSERGDISCSRPLHGSRLMEVLKMLPEFGGTVLKETPTELQRESLLRHSFVAERSPKHKFQEEGPCSMFNKKLGKTIMAPTASESETRIKSVSSGRKPIGNAEDEEGTSKPSDDEFLRGKRVLVVDDNFLTRKVATGKLKKMGVSEVLQCDSGKEALRLVTEGLTQKEEQCSVNKLPFDYIFMDCQMPEMDGYEATREIRKVEKSYGVRIPVIAVSGHDPGSREARETVQAGMDAFLDKSLNQLANVIREIESKTTTLH >fgenesh2_kg.4__2981__AT2G47440.1 pep chromosome:v.1.0:4:23055337:23057493:1 gene:fgenesh2_kg.4__2981__AT2G47440.1 transcript:fgenesh2_kg.4__2981__AT2G47440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LGN0] MADVNGDKKHWWFTHRKLVDKYIKDATTLMASEEANDVASALPLLDAALSISPRLETALELKARSLLFLRRFKDVADMLQDYIPSLKLSLNDEEGSASSQGSSSDGLNLLSDASSPGSFKCFSVSDLKKKVMAGICKKCDKQGQWRYVVLGQACCHLGLMEDAMVLLQTGKRLASAEFRRRSICWSDDSFLLLSESSSASSPPPESENFTHLLAHIKLLLRRRAAAIAALDAGLFSESIRHFSKIVDGRRPAPQGFLAECYMHRAAAYRSAGRIAEAIADCNKTLALEPSCIQALETRAALLETVRCFPDSLHDLEHLKLLYNTILRDRKLPGPVWKRHNVKYREIPGKLCVLTTKTQKLKQKIANGETGNVDYYGLIGVRRGCTRSELDRAHLLLCLRYKPDRASSFIERCEFTDQNDGDSVRDRAKMSSLLLYRLIQKGYSAVTAIIAEEQRKNTIAQAQKIEERKPVEKSVPIKITGNAEPKPVNSNAYQGVFCRDLAAVGNLLTRAGFNHPIPVKYEALTC >fgenesh2_kg.4__2983__AT2G47460.1 pep chromosome:v.1.0:4:23065196:23069208:1 gene:fgenesh2_kg.4__2983__AT2G47460.1 transcript:fgenesh2_kg.4__2983__AT2G47460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGIKRGRWTAEEDQILSNYIQSNGEGSWRSLPKNAGLKRCGKSCRLRWINYLRSDLKRGNITPEEEELVVKLHSTLGNRWSLIAGHLPGRTDNEIKNYWNSHLSRKLHNFIRQPSISQDVSAVIMNASSAPPQPQAKRRLGRTSRSAMKPKIHRTKTRKTKKTSAPPEPNADVAVAEEGALMVELSGAEAELGPCDYYGDDCNKNLMSINGDNGVLTFDDDIIDLLLDESDPGDLYTTCGGDGELNNMRDSEGARGFSETSNQGNLDCLLQSCPSVESFLNCDHQVNDASTDEFIDWDCVWQEGSDNNIWHEKEGPDSMVSWLLDGDDEATIGKSNCQNFGEPLDHDDENALVAWLLS >fgenesh2_kg.4__2988__AT2G47485.1 pep chromosome:v.1.0:4:23075433:23076085:1 gene:fgenesh2_kg.4__2988__AT2G47485.1 transcript:fgenesh2_kg.4__2988__AT2G47485.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LGN5] MEIRYWRRLRGYQRLDGSAKKANSGRRNVKRVKMDPTRKRQFWRIKIVPKLRFLKKSSPKKLLTWLRDAYVNMMLRLANSRVVGSSYGYGEYGYGSGLASKEYDEKKLVEIYKSILMAQGNLVHRDVPKLSSNSILLSPLR >fgenesh2_kg.4__2989__AT2G47490.1 pep chromosome:v.1.0:4:23078938:23081323:1 gene:fgenesh2_kg.4__2989__AT2G47490.1 transcript:fgenesh2_kg.4__2989__AT2G47490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7LGN6] MSANSHPPNSKNVLCNAAAGAAAGVVAATFVCPLDVIKTRFQVHGLPKLGDANIKGSLIVGSLEQIFKREGMRGLYRGLSPTVMALLSNWAIYFTMYDQLKSFLCSNDHKLSVGANVLAASGAGAATTIATNPLWVVKTRLQTQGMRVGIVPYKSTLSALRRIAYEEGIRGLYSGLVPALAGISHVAIQFPTYEMIKVYLAKKGDKSVDNLNARDVAVASSIAKIFASTLTYPHEVVRARLQEQGHHSEKRYSGVRDCIKKVFEKDGFPGFYRGCATNLLRTTPAAVITFTSFEMVHRFLVSHIPSEQSSIL >fgenesh2_kg.4__2991__AT2G47510.1 pep chromosome:v.1.0:4:23091380:23095165:1 gene:fgenesh2_kg.4__2991__AT2G47510.1 transcript:fgenesh2_kg.4__2991__AT2G47510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYVASRRLSGGTTATALRYATSLRSYSTSFREERDTFGPIQVPSDKLWGAQTQRSLQNFEIGGERERMPEPIVRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAQEVAEGQLNDHFPLVVWQTGSGTQSNMNANEVIANRAAEILGRKRGEKCVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRLIPSLKTLHSTLESKSFEFKDIVKIGRTHTQDATPLTLGQEFGGYATQVKYGLNRVTCTLPRLYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETNLPFVTAENKFEALAAHDACVETSGSLNTIAASLMKIANDIRFLGSGPRCGLGELVLPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAVTVGGSNGHFELNVFKPVIASALLHSVRLIADASASFEKNCVRGIEANRERISKLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGCTLKDAALKLGVLTAEEFDTLVVPEKMIGPSD >fgenesh2_kg.4__2993__AT2G47520.1 pep chromosome:v.1.0:4:23096283:23096942:-1 gene:fgenesh2_kg.4__2993__AT2G47520.1 transcript:fgenesh2_kg.4__2993__AT2G47520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIWANSSLAESEPSQVGSVSSSKKRKPVSVDGQRDGKRERKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFKTADEAARAYDVAAIRIRGRKAKLNFPNTQVEEADTKPGNQNELVSGNQVESLSEDLMALEDYMRCYQIPFADDQSATDLGNLWSYQDSN >fgenesh2_kg.4__2994__AT2G47530.1 pep chromosome:v.1.0:4:23097667:23098311:1 gene:fgenesh2_kg.4__2994__AT2G47530.1 transcript:fgenesh2_kg.4__2994__AT2G47530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCTAANLLLLTMVVVVATADYYAPPQPYVPKPTTTYMAIEGFILCKYGYKTYPIQGAKVKVVCPMVDSHGKLVAKVTISSYPTDLKGYFYFITYGLSHKVKNISSCKVKLESSPVYTCKTPTNVNKGVTGAPLSPENSKFLSHDNLTLYTLEPFYFSSPVAPKPVY >fgenesh2_kg.4__2995__AT2G47540.1 pep chromosome:v.1.0:4:23104991:23105700:1 gene:fgenesh2_kg.4__2995__AT2G47540.1 transcript:fgenesh2_kg.4__2995__AT2G47540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen ole e 1 allergen and extensin family protein [Source:UniProtKB/TrEMBL;Acc:D7LGP2] MAQTCSIFFIPYMMLLLSLLAAGVATITDGELLSSMVGVQGLIYCKQGSKLTPLQGAVARVTCERADEYGYEAEDVTVLSQATDAKGYFLATLSSSEVKDYKKQVMKIKECRAFLELSPSDTCSFPTEINRGISGAILQNYRLLENKLKMKLFTVGPFVFSPEETHDKSIPNGY >fgenesh2_kg.4__2996__AT2G47550.1 pep chromosome:v.1.0:4:23108163:23111089:1 gene:fgenesh2_kg.4__2996__AT2G47550.1 transcript:fgenesh2_kg.4__2996__AT2G47550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7LGP3] MSQKLMFLFTLACLSLLPSLFLSAEIPATENAASPSNICRFAPDPSYCRSVLPNQPGDIYSYGRFSLRRSLSRARRFISLIDAQLDRKGKVAAKSTVGALEDCKFLASLTMDYLLSSSQTADSTKTLSLSRAEDVHTFLSAAVTNEQTCLEGLKSTASENGLSGELFNDTKLYGVSLALFSKGWVPRRQRSRPIWQPQASFKKFFGFRNGRLPLKMTEKTRAIYNTVTRRKLLQSDVDAVQVSDIVTVNQNGTGNFTTINDAIAAAPNKTDGSNGYFLIYVTAGLYEEYVDIPKSKRYVMMIGDGINQTVITGNRSVVDGWTTFNSATFILSGPNFIGVNITIRNTAGPTKGQAVALRSGGDLSVFYSCSFEAYQDTLYTHSLRQFYRECDVYGTVDFIFGNAAVVLQSCNLYPRQPRKGQANEVTAQGRTDPNQNTGTAIHGCTIRPADDLATSNYTVKTYLGRPWKEYSRTVVMQTYIDGFLEPTGWNAWSGDFALSTLYYAEYNNTGPGSDTTNRVTWPGYHVINATDASNFTVTNFLVGEGWIGQTGVPFVGGLIA >fgenesh2_kg.4__2997__AT2G47560.1 pep chromosome:v.1.0:4:23111057:23111875:-1 gene:fgenesh2_kg.4__2997__AT2G47560.1 transcript:fgenesh2_kg.4__2997__AT2G47560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LGP4] MGIGEESTKPIWGSVSQAPSGYALNGKIMLSSVIVLFVAVIMILCFHSYARWLFRRQNRRIRRRIRAHIRTLSASPRDQALDPVVLDKIPIFVYSSKNPPPPEEKEECSVCLSEFEEEDEGRLLPKCGHSFHVDCIDTWFRSRSTCPLCRAPVQPPVQVIETGSSSSSPLRFPTEACEREPIDLVGIIVEIPREFEIQGSNPGLPIENGSKFPGNRVLSLKRLWSI >fgenesh2_kg.4__2998__AT2G47580.1 pep chromosome:v.1.0:4:23116127:23117742:1 gene:fgenesh2_kg.4__2998__AT2G47580.1 transcript:fgenesh2_kg.4__2998__AT2G47580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQEANQGGGSEVSPNQTIYINNLNEKVKIDELKKSLNAVFSQFGKILEILAFKTLKHKGQAWVVFDNADSASTAIAKMNDFPFYDKNMRIQYAKTKSDVVAKADGTFVPREKRKRHEEKGGKKKKDHHHDSTQMGMATNSAYPGVYGAAPPLSQVPYPGGVKPNLPEAPAPPNNILFVQNLPHETTPMVLQMLFYQYQGFKEVRMVEAKPGIAFVEFADEMQSTVAMQGLQGFKIQQNQMLITYAKK >fgenesh2_kg.4__2999__AT2G47590.1 pep chromosome:v.1.0:4:23121351:23123602:1 gene:fgenesh2_kg.4__2999__AT2G47590.1 transcript:fgenesh2_kg.4__2999__AT2G47590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photolyase/blue-light receptor 2 [Source:UniProtKB/TrEMBL;Acc:D7LGP6] MDSNLEEKNLNPETKSAEEENPLAIIHSSLPIASLSLTLFPTSTQFLKLFSHHPNKVKIPTQASSLTHLSLSSSPVSPLPSRISFKSTIAANPLHSPLSIVPRRPVDPSSAAALRRAAVVWFRNDLRVHDNECLNSANDECVSVLPVYCFDPRDYGKSSSGFDKTGPFRAQFLIESVSELRKNLQARGSNLVVRVGKPEAVLVELAKEIGADAVYAHREVSHDEVKAEGKIESAMKEEGVEVKYFWGSTLYHLDDLPFKIEDLPSNYGAFKDKVHKLEIRKTIAALDQLKSLPSRGDVELGDIPSLLDLGISPTARTSQEGKPTMVGGETEALTRLKSFAADCQARLSKGNQKGGNNSVFGANFSCKISPWLAMGSISPRSMFDELKKTISASTTTTTPRNGPGDTGLNWLMYELLWRDFFRFITKKYSSAKTQVEAGPATACTGAFV >fgenesh2_kg.4__299__AT2G23770.1 pep chromosome:v.1.0:4:2080682:2082517:-1 gene:fgenesh2_kg.4__299__AT2G23770.1 transcript:fgenesh2_kg.4__299__AT2G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISFLLHLLFLCFSSFATAQQPYVGVSTTDCSVSDNSTSVFGYSCNGLNKTCQAYVIFRSTPPFSTVSSISSLFSVDPSLLSSLNAASTSTSFPSGQQVIIPLTCSCFGDNSQANLTYTIKPNDSYFAIANDTLQGLSTCQALEKQNNVSSQSLLPGMRIVVPIRCACPTAKQVNEDGVKYLMSYTVVFDDTIAIISERFGVETSKTLEANEMSFENSEVFPFTTILIPLLNPPSNSNSIIPPPPPPPPPPPPQSVSPPLSPNGRKSKKKTWVYILAGVLGGALVLSVIGAAIFCLGKKKTKPQEERGNLDSFTGKKPPMSDQEFDPLDGLSGMVVESLKVYKFHELQSATSNFTSSSSIGGSGYIGKINGDGAMIKKIEGNASEEINLLSKLNHLNIIRLSGFCLHEGDWYLVYEHASNGSLSEWIHTTKSLLNLTQKLQIALDIATGLNYLHNFADPPYVHRDLNSNNVFLDIEFRAKIGNLGSARSTTEDFVLTKHVEGTRGYLAPEYMEHGLVSTKLDVYAFGVVLLEIVTGKEASELKKEIDEGNAIDEILIRGRLLPEGLVSFVVRLVVDCLKKDHLNRPSMDEIVMSLSKILTATQNWEESSY >fgenesh2_kg.4__29__AT2G21185.1 pep chromosome:v.1.0:4:186144:186750:-1 gene:fgenesh2_kg.4__29__AT2G21185.1 transcript:fgenesh2_kg.4__29__AT2G21185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYHGCCCGRCRVSTSPLPVIVMITVALVLLALSSAVKFEVAVSSGEDVLSWLLLAAVPLALLFAVRCLSCLETSKRSTYYCPCGRWRCVCYY >fgenesh2_kg.4__3001__AT2G47610.1 pep chromosome:v.1.0:4:23128260:23129835:1 gene:fgenesh2_kg.4__3001__AT2G47610.1 transcript:fgenesh2_kg.4__3001__AT2G47610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L7A [Source:UniProtKB/TrEMBL;Acc:D7LGP8] MAPKKGVKVAAKKKTEEKVTNPLFERRPKQFGIGGALPPKKDLSRYIKWPKSIRLQRQKRILKQRLKVPPALNQFTKTLDKNLATSLFKVLLKYRPEDKAAKKERLVKKAQAEAEGKPSESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGAVVHQKTAACLCLTTVKNEDKLEFSKILEAIKANFNDKYEEYRKKWGGGIMGSKSQAKTKAKERVLAKEAAQRLN >fgenesh2_kg.4__3005__AT2G47640.1 pep chromosome:v.1.0:4:23142395:23143834:1 gene:fgenesh2_kg.4__3005__AT2G47640.1 transcript:fgenesh2_kg.4__3005__AT2G47640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDTNQGKTEEEEFNTGPLSVLMMSVKNNTQVLINCRNNRKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNRDRFISKMFLRGDSVIIVLRNPK >fgenesh2_kg.4__3008__AT2G47650.1 pep chromosome:v.1.0:4:23143889:23146583:-1 gene:fgenesh2_kg.4__3008__AT2G47650.1 transcript:fgenesh2_kg.4__3008__AT2G47650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronic acid decarboxylase 2 [Source:UniProtKB/TrEMBL;Acc:D7LGQ2] MASELTYRRHEMEQAEAESYYPKPIKPWFVAIRPIRYMLREQRLVFVLVGIAMATLGFTIFSKPSNQPIPYDVDPLPGYGMRSYEKKASIEYMSRIGSAGGKIPLGLKRKVLRVVVTGGAGFVGSHLVDRLMARGDNVIVVDNFFTGRKENVMHHFSNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKFNPVKTIISFTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLAMDYHRGANVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQFVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQETIDPNAKIEFRPNTEDDPHKRKPDITKAKELLGWEPKVALRQGLPLMVKDFRQRVFGDQKQASSTTSSSTE >fgenesh2_kg.4__300__AT2G23780.1 pep chromosome:v.1.0:4:2083728:2085070:-1 gene:fgenesh2_kg.4__300__AT2G23780.1 transcript:fgenesh2_kg.4__300__AT2G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LG24] MVNGESSTSTSYSDNNNGANDQGGDFECNICFELAQDPIVTLCGHLFCWPCLYRWLHHHSHSQECPVCKAVVQDDKLVPLYGRGKNQTDPRSKRYPGLRIPNRPTGQRPETAAPPPQAEAASNFFNYGIGLMGGIMPMATTRIGNFSMGFGGLLPSLFNFQFHGFPDATLYGSTPGYPYGGYHNGFRGVPPHGQERPMARGGNQSDATLKNILLVVGICVVLFLCW >fgenesh2_kg.4__3010__AT2G47680.1 pep chromosome:v.1.0:4:23155367:23160461:-1 gene:fgenesh2_kg.4__3010__AT2G47680.1 transcript:fgenesh2_kg.4__3010__AT2G47680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger helicase family protein [Source:UniProtKB/TrEMBL;Acc:D7LGQ4] MAVFSPTSTSSSSESLPLPSSNFASLPIMAMKRRIIDKILENRVTLIVGEPGCGKSSQVPQFLLEANMAPILCTQPRRFAVVAVAKMVAKSRNSDLGGEIGYHIGHSKILTEGSKILFKTAGVLLDEMLDKGLNALQYKVIILDEVHERSVESDLVLVCVKQFLMKNNDLRVVLMSATADITRYRDYFKELGRGERVEVVAIPSPDQRTIFQRRVLYLEQVAGLLGMSSDFSAYCPGPSPSSADAEIKPELQNLIHDLILYIHEKEPDIEKSILVFLPTYYSLEQQWHQLEPFRASFEVHILHRSIDTEQALAAMKICRSRRKVILATNIAESSVTIPKVAYVIDSCRSLQVFWDASRKRDAVQLDWVSRSQAEQRRGRTGRTCDGEVYRLVPSAFFNKLEEHEPPAILKLSLRQQVLHICCTESRAINDANVLLAKAMDPPDPNVIDDALKMLLSIRALRKSPRGRYEPTFYGRLLASFPLSFDACILVVKFGEMGMLREGILLGVLMDTQPLPIHHPFGDDSLFLEYLDHYFGGSKTICSGRREMVLMANFCAFQFWQRVFKDKHRLENLKQLLAKEKDKDLKLMFPEIEKEWCDFHNILQSSFYHVSEMYEDTLSSFHRFRPQFISSSDSLPTYYNPYEFDHTCYIECQLSEDKYLHSEDEDNNQPPPEVRKCVSMPFVPPNAFQAYAVAENMASIIKEIRTQCTPSESDNGHGAIEPEDYVEDGEAPVCVYFLNGFCNRGDQCTFSHTLQSTGPACKFFASLQGCRNGESCLFSHVMRRRATSYRLPPQCLAEEDGSSTSPLLDLFPTSSEGCILVFDDSAMRFTSSIANCYPSWRILATSSSSDTLLCDSSLANTRIFWGLNHPYQTIISKAGGENPIPWSEVKCVLWFLNPDSYADTPEGQKTILQNFFEYMAIRLLGDNLYEIRVILTMNNVRFSLLQVEKLARDSFFFLGESFPHNSVSFGEFQDTLTIQKPMQVSRPISYVFNLHSPSDIQFGDYTSLLHKSLHNK >fgenesh2_kg.4__3013__AT2G47700.1 pep chromosome:v.1.0:4:23161905:23164273:-1 gene:fgenesh2_kg.4__3013__AT2G47700.1 transcript:fgenesh2_kg.4__3013__AT2G47700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LHB5] MAGAKDSGCDDHRRIAGGWGPGKRGNQEDASSPVEVSCSICLELVVDDGTRSKAKLQCGHEFHLDCIGSAFNMKGAMQCPNCRNVEKGQWLYANGSTRPFPEFSMEDWIPEEDLYALTYPEMQYRVHWCPFGELSQAAVCFRELEPATTTYHNEFHGHHAAAVNHSYLAYVGPGPAATPRTSDNNSTDDHSWNSHSNDHFHQLAVAPQYHHHSPSFSLPGAHVVDGEIDSSAARGLPYAHPFLFSHRSNQRTSPAINSYQGSSTQMREHHHAYNHQRQHHANGPTLAPPLISMTRRGLSPPPMPDQNVGFFIYPGGHQEPETDQIHAWERDWFPHFPVLSNHRTISSLWHR >fgenesh2_kg.4__3016__AT2G47750.1 pep chromosome:v.1.0:4:23173067:23175991:-1 gene:fgenesh2_kg.4__3016__AT2G47750.1 transcript:fgenesh2_kg.4__3016__AT2G47750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive GH3 family protein [Source:UniProtKB/TrEMBL;Acc:D7LHB9] MDVMKLDYNYKGGNALTELERITSKAAEVQDNILCGILERNKDTEYLSKYMKGSKDVVEFKRSVPIITYKDIYPYIQRIANGEDSSLITGHPITEILCSSGTSAGEPKLMPTISEDLDRRTFLYNLIIPIVNKYIPGLDKGKAMYLNFVKAETSTPCGLPIRAVLTSYYKSKHFQCRPYDPFNDLTSPIQTILCEDSNQSMYCQLLAGLIHRHKVMRLGAVFASAFLRAISYLEKKWSQLCEDIRTGRLNPMITDPGCQIAMSCLLTSPDPELASEIEEICSRSSWKGILCHLWPRAKFIEAVVTGSMAQYIPALEFFGQGKIPLVCPMYASSETYFGVNVEPLSKPSDVVFTLLPNMCYFEFIPLGKNGALSFDLDDDEQVPCDKVVDLVNVKLGRYYELVVTTFAGLYRYRIGDVLQVAGFYNEAPQFRFICRRNVVLSIDLDKTNEEDLHRSITLAKKKLGSNAFLAEYTSYADTSSVPGHYVLFWEIQGHLEPKLMEGCCVAVEEELDYIYRQCRTKERSIGALEIRVVKPGTFEKLMDLIISQGGSFNQYKTPRCVKSNSATFKLLNGHVTASFFSPRDPTWVA >fgenesh2_kg.4__3020__AT2G47770.1 pep chromosome:v.1.0:4:23181143:23183370:1 gene:fgenesh2_kg.4__3020__AT2G47770.1 transcript:fgenesh2_kg.4__3020__AT2G47770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQDIRYRGGDDRDAATTAMAETERKNADDNKGKRDQKRAMAKRGLKSLTIAVAAPVLVTLFATYFLGTSDGYGNRARSSSWIPPLWLLHTTCLASSGLMGLAAWLVWVDGGFHKKPNALYLYLAQFMLCLVWDPVTFRAGSGIAGLALWLGQSAALFGCYKAFSEISPVAGNLVKPCLAWAAFVAAVNVKLAVA >fgenesh2_kg.4__3023__AT2G47800.1 pep chromosome:v.1.0:4:23192522:23198081:1 gene:fgenesh2_kg.4__3023__AT2G47800.1 transcript:fgenesh2_kg.4__3023__AT2G47800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMRP4 [Source:UniProtKB/TrEMBL;Acc:D7LHC4] MWLLSSSSSSSWLSELSCSSSAVIEPTSSSVPVAIQWLRFLLLSPCPQRALFSAVDFIFLLVLLCFALLKLFFSSSSSDEINGNAEIRKPLIGIRGRTPTRTTAWFKTTVVVTLLLSFCSVVLCVLAFTGKRRTQRPWNLIDPLFWLIHAVTHVAVAVLVLHEKRFAALNHPLSLRIYWISSFVLTTLFAVSGIFHFLSDAAATSLIAEDVASFFSFPLTAFLLIASVRGITGLVTTETNSPTKPSDAVSEEDNVSLYASASAFSKMFWLWMNPLLSKGYKSPLTLEEVPTLSPEHKAERLARLFESSWPKPSENSSHPVRTTLLRCFWKEILYTAILAIVRLGVMYVGPVLIQSFVDFTSGKRSSSWQGYYLVLILLVAKFVEVLTTHQFNFDSQKLGMLIRSTLITALYKKGLKLTGSARQNHGVGQIVNYMAVDAQQLSDMMLQLHAIWLMPLQVTVALVLLYGSLGASVITAVIGLTGVFVFILLGTQRNNGYQFSLMGNRDSRMKATNEMLNYMRVIKFQAWENHFNKRILKFRDMEFGWLSKFLYSIAANIIVLWSTPVLISALTFATALALGVKLDAGTVFTTTTIFKILQEPIRTFPQSMISLSQAMISLGRLDSYMMSKELSGDAVERALGCDGSTAVEVRDGSFSWDDEDNEPALSDINFKVKKGELTAIVGTVGSGKSSLLASVLGEMHRISGQVRVCGSTGYVAQTSWIENGTVQDNILFGLPMVREKYTKVLNVCCLDKDLQMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQECDVYLLDDVFSAVDAHTGSDIFKKCVRGALKGKTILLVTHQVDFLHNVDCILVMRDGRIVESGKYDELVSSGLDFGELVAAHETSMELVEAGADSAAAATIATSPRTPMSPHASSPRMSMDSPHLSDLNDEHVKSFLGSHAVEDGSKLIKEEERETGQVSLGVYKQYCTEAYGWWGIVLVLFFSLTWQGSLMASDYWLAYETSAKNAISFDASVFILVYVIIALVSIILVSLRSYYVTHLGLKTAQIFFRQILNSILHAPMSFFDTTPSGRILSRASTDQTNVDILIPFMLGLVASMYTTLLSIFIITCQYAWPTAFFVIPLGWLNIWYRNYYLASSRELTRLDSITKAPIIHHFSESIAGVMTIRSFKKQELFRQENVKRVNANLRMDFHNNGSNEWLGFRLELIGSWVLCISALCMVLLPSNVIRPENVGLSLSYGLSLNSVLFFAIYMSCFVENKMVSVERIKQFTNIPSESEWERKETLPPSNWPFHGDVHLEDLKVRYRPNTPLVLKGITLDIKGGEKVGVVGRTGSGKSTLIQVLFRLVEPSGGKIIIDGIDISTLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPTEQYSDEEIWMSLERCQLKDVVATKPEKLDSLVVDNGENWSVGQRQLLCLGRVMLKRSRLLFLDEATASVDSQTDAVIQKIIREDFASCTIISIAHRIPTVMDGDRVLVIDAGKAKEFDSPARLLERQSLFAALVQEYALRSAGI >fgenesh2_kg.4__3024__AT2G47810.1 pep chromosome:v.1.0:4:23201835:23202694:-1 gene:fgenesh2_kg.4__3024__AT2G47810.1 transcript:fgenesh2_kg.4__3024__AT2G47810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LHC5] MDSFQNPIPRYQNYNFASSSSHHQHQHVVVEDQQQEESMMIKEQDRLLPIANVGRIMKNILPPNAKVSKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDICWAMANLGFDDYATQLKKYLHRYRVLEGEKPNHHHGKGGPKSSPD >fgenesh2_kg.4__3026__AT2G47820.1 pep chromosome:v.1.0:4:23208724:23212774:1 gene:fgenesh2_kg.4__3026__AT2G47820.1 transcript:fgenesh2_kg.4__3026__AT2G47820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIHSDDMEGFLHDSSKLLNSPYLNGINGDPELLPRVGDQYQADIPVLLTHSDRLKLIRCFHSDPPLHSLLTFRLPIPLMWTRSEKFRGFREADIEIGSPPIHQSLHKAASIKPRSIVLALPCQKNAKFKFDWLDKSLYPFPGTLGQSWEDAEQERFLLGLYCLGKNLVLVQRFVGSKHMGDLLSYYYGSFYRSNEYQRWVDGRKSRTRRSVQGHKLLSGWRQQELLSRISSHVSEECKSTLLKVSKAFREDKIALEDYVFALKNTVGIDMLTQVIGIGKGKRDLTNCALEPTKLNHGASGNSEVQIRNDLPIADIVKFLTGEYRMSKTRSSDLFWEAVWPRLLARGWHSEQPKDGPKNSLVFLVPEANKFSRRKMSKGNHYFDSLTDVLNKVALDPSLLELDEDLENKGSKEEVIKNDPPINLEEFDDSSPNSKKKKKYLQPRSKTRKIQEVMMFTIIDTSETNGVEGCTLKELRSLPVGTGSSQVHSPSYLSESEDNLSEESENKAETTAKSMASRVCGGGSINSVKSSSVNMDNATSRSTNSLNERQQRNRKGGRPRNPKLLPVCTKRSSLADCTLREAGCFGETQSRKKKPVKKGKHMRPNPLEADLNVVLMREEHIDQDQTLKLSSTSSFAIDSSCRRNEDREISPERSETREDFDLNVSQISLEREADNTDTVIVDIVQNSESSCAEQSSVQVDVEMQCKPQELQVTADLLPGRRQSTRTRPLTTKALEAFAFGYLGNSNKKRKASEESRTKSTKRIHRHSLVSSKFRNGTVEDGSNTDENE >fgenesh2_kg.4__3029__AT2G47840.1 pep chromosome:v.1.0:4:23216300:23217009:-1 gene:fgenesh2_kg.4__3029__AT2G47840.1 transcript:fgenesh2_kg.4__3029__AT2G47840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCLSLHQTLNTNPLAALRGRPLSLSFPGSSTVSIRPSGRRATAVTTRASYTPTPATERVISIASYALPFFNSLQYGRFLFAQYPRLGLLFEPIFPILNLYRSVPYASFVAFFGLYLGVVRNTSLSRYVRFNAMQAVTLDVLLAVPVLLTRILDPGQGGGFGMKAMMWGHTGVFVFSSMCFVYGVVSCLLGKTPYIPFVADAAGRQL >fgenesh2_kg.4__3034__AT2G47860.1 pep chromosome:v.1.0:4:23221957:23224114:1 gene:fgenesh2_kg.4__3034__AT2G47860.1 transcript:fgenesh2_kg.4__3034__AT2G47860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:UniProtKB/TrEMBL;Acc:D7LHD0] MGVVTVPESKQSVAAKRAFRPSSSIRHTPQWPVSDVTSDLTIEVGSASFSLHKFPLVSRSGRIRKLVLESKDKNLNLAVVPGGSESFELAAKFCYGVGVQFNSSNIAALRCVAHYLEMTEELSEKNLEARTEAYLKDSIFNDISNSITVLHSSERLLPVAEEINLVGRLVNAIAVNACKEQLASGLLKLDQNFSCGVPETEKPCDWWGRSLPILKLDFFQRVLSAMKSKGLNHDIISDILMSYARKSLQIIREPSLVKSDSDLQRKQRIVLEAVVGLLPTQANKSSIPISFLSSLLKTAIGSGTSVSCRSDLERRISHQLDQAILEDILIPANMGAMYDTDSVQRIFSMFLNLDECDYRDDDDDEDAGDAVDESEMAIYDFEGAESPKQSSIFKVSKLMDSYLAEVALDSSLPPSKFIALAELLPDHARVLCDGLYRAVDIFLKVHPHMKDSERYRLCKTVSCKKLSQDASSHAAQNERLPVQIAVQVLFYEQTRLKNAMTSGGGTSQSQFFLFTNRSGSGMASGAISPRDNYASVRRENRELRLEVARMRMRLTDLEKDHVSMKKDFVKPQSRRRRYGMLRKLSRGLNKLNAIVLRFRSSQSKHTEEKTNSERRFMFQKRRCHSVS >fgenesh2_kg.4__3038__AT2G47890.1 pep chromosome:v.1.0:4:23231659:23233308:1 gene:fgenesh2_kg.4__3038__AT2G47890.1 transcript:fgenesh2_kg.4__3038__AT2G47890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (B-box type) family protein [Source:UniProtKB/TrEMBL;Acc:D7LHD3] MEEEEGHQQRRRLCDYCDSSVALVYCKADSAKLCLACDKQVHVTNQLFAKHFRSLLCDSCHDSPSSLFCETERSVLCQNCDWQHHTASSSLHSRRPFEGFTGCPSVPELLAIVGLDDLTLDSGLLWESPEIASLNDLVVSGGSGTHNFRATDVPPLPKNRHATCGKYKDEMIRQLRGLARSERTCLKFETREAEMDAGFQFLAPDLFSTSELESGLKWFDQQDHEDFPYCSLLKNSSESDEKPENVDRESSSVMEEEIVMVPVCTSTRSTTHEINSLERNSALSRYKEKKKSRRYEKHIRYESRKVRAESRTRIRGRFAKAADP >fgenesh2_kg.4__303__AT2G23810.1 pep chromosome:v.1.0:4:2113954:2115744:-1 gene:fgenesh2_kg.4__303__AT2G23810.1 transcript:fgenesh2_kg.4__303__AT2G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSNNLVGILNFLVFLLSIPILAGGIWLSQKGSTECERFLDKPVIALGVFLMVVAIAGLIGSCCRVTWLLWVYLFVMFLLILLVFCITVFAFVVTNKGAGEAIEGKGYKEYKLGDYSDWLQKRVENGKNWNKIRSCLVESKVCSKLEAKFVNVPVTSFYNEHLTALQSGCCKPSDECGFEYVNPTTWNKNTTGTHNNPDCQTWDNAKEKLCFDCQSCKAGLLDNVKSAWKKVAIVNIIFLVFLIIVYSVGCCAFRNNKRDDSYTRTYGYKP >fgenesh2_kg.4__3042__AT2G47910.1 pep chromosome:v.1.0:4:23238339:23239208:1 gene:fgenesh2_kg.4__3042__AT2G47910.1 transcript:fgenesh2_kg.4__3042__AT2G47910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAGLLPWLIPSPRHVSLFPVVQLPAGWCVSKRRAADVAVSVAFNPSGNFDISDEDKVEPPMPPTTGRYEVVIDNDSIGRLDLSPFQRATGISSPSSAEPKRYLDRTIGFTIKYRREDPGDPRELSEYPDIRLWFVRLDAMYPWLPLLLDWRAGELARYAAMLVPHQMSLRMGVVFNPEALELFVMNKVFVVYPWLKRHGVPKPRLKTSDMARMLGFGIGDELFDLIDHHQ >fgenesh2_kg.4__3046__AT2G47940.2 pep chromosome:v.1.0:4:23241685:23246055:-1 gene:fgenesh2_kg.4__3046__AT2G47940.2 transcript:fgenesh2_kg.4__3046__AT2G47940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVANCSFSVLNASVKLQSSCVSSPWCFVAASQKKSLNLKRKSSRSDSSSPILNPETQKNYPGRVRDDSPNPPQKMAFKAFGSPKKEKKEPLSDFSRDQQTDPGKIHDASFLNAVVKVYCTHTAPDYSLPWQKQRQFTSTGRHVFFIHIAFMIGDGKLLTNAHCVEHDTQVKVKRRGDDRKYVAKVLVRGVDCDIALLSVESEDFWKGAEPLRLGHLPRLQDSVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVYRSEETENIGYVIPTTVVSHFLTDYERNGKYTGYPCLGVLLQKLENPALRECLKVPTNEGVLVRRVEPTSDASKVLKEGDVIVSFDDLHVGCEGTVPFRSSERIAFRYLISQKFAGDIAELGIIRAGEHKKVQVVLRPRVHLVPYHIDGGQPSYIIVAGLVFTPLSEPLIEEECEDTIGLKLLTKARYSVARFRGEQIVILSQVLANEVNIGYEDMNNQQVLKFNGIPIRNIHHLAHLIDMCKDKYLVFEFEDNYVAVLEREASNSASLCILKDYGIPSERSADLLEPYVDPIDDTQALDQGIGDSPVSNLEIGFDGLVWA >fgenesh2_kg.4__3047__AT2G47960.1 pep chromosome:v.1.0:4:23250854:23254480:1 gene:fgenesh2_kg.4__3047__AT2G47960.1 transcript:fgenesh2_kg.4__3047__AT2G47960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATHGPHSLAFRVMRLCKPSFHVDPPLRIDPFDLLAGEDFSDDPSSASLFRRHVSSADAVDSDLSYRNRFLLNHPTDPIGLSGLLLLPQSFGAIYLGETFCSYISVNNSSTSEVRDVTIKAEIQTERQRILLLDTSKSPVESIRTGGRYDFIVEHDVKELGAHTLVCSALYNDADGERKYLPQFFKFVVANPLSVRTKVRVVKETTFLEACIENHTKANLFMDQVDFEPAKQWSAVRLQNEDSTEDPPTSGLSGLIPKPPVIIRSGGGIHNYLYKLNPSADVSGQTKFQGSNILGKFQITWRTNLGEPGRLQTQQILGAPVSRKEINMRVAEVPAVIHLNRPFPAYLNLTNQTDRQLGPFEVSLSQDESQMEKPVGINGLQTLMLPRIEAFGSNDFQLNLIASKLGVQKISGITALDTREKKTYELVPEMEVSVLFFFSSGF >fgenesh2_kg.4__3049__AT2G47970.1 pep chromosome:v.1.0:4:23254720:23256296:1 gene:fgenesh2_kg.4__3049__AT2G47970.1 transcript:fgenesh2_kg.4__3049__AT2G47970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPL4 family protein [Source:UniProtKB/TrEMBL;Acc:D7LHE3] MMLRIRSRDGLERVTAEGANITVSQLKTLIEDQLQIPLHKQTLSTNRDLLLAKTPADLLAFTDLTDPNLPLSSLNLGHGSMLFLAYDGERSIPGAPPVTPAGSFGRKMTVDDLIARQMRVTRQETSHCDSVSFDRDCANAFQHYVNDSLAFAVKRGGFMYGTVTEGGQVEVDFIYEPPQQGTEANLILMRDADEEKRVDAIAMGLGVRKVGFIFNQTVVQDKTGYTLSNAEVLQAAELHAEGELQEWVTAVVKLEVNEDGGADVHFEAFQMSDMCIRLFKEEWFETEIMPEDDPKLSKMKKEVVVGVKDLKEVDNDFFLVLVRILDHQGPLSSTFPIENRSSRATMRDLKTHLDRAKSLPLVKKMSDFHLLLFVAQFLDVSSDVPALAECVRLQSPVPEGYALLIESMANTC >fgenesh2_kg.4__3051__AT2G48020.1 pep chromosome:v.1.0:4:23270341:23273527:1 gene:fgenesh2_kg.4__3051__AT2G48020.1 transcript:fgenesh2_kg.4__3051__AT2G48020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAKSDAVREPLVDKNMAGSKPDQPWMVYLSTFVAVCGSFAFGSCAGYSSPAQAAIRNDLSLTIAEFSLFGSLLTFGAMIGAITSGPIADLVGRKGAMRVSSAFCVVGWLAIFFAKGVVPLDLGRLATGYGMGAFSYVVPIFIAEIAPKTFRGALTTLNQILICTGVSVSFIIGTLVTWRVLALIGIIPCAASFLGLFFIPESPRWLAKMGRDTEFEAALRKLRGKKADISQEAAEIQDYIETLERLPKAKMLDLFQRRYIRSVLIAFGLMVFQQFGGINGICFYTSSIFEQAGFPTRLGMIIYAVLQVVITALNAPIVDRAGRKPLLLVSATGLVIGCLIAAVSFYLKVHDMAPEAVPVLAVVGIMVYIGSFSAGMGAMPWVVMSEIFPINIKGVAGGMATLVNWFGAWAVSYTFNFLMSWSSYGTFLIYAAINALAIVFVIAIVPETKGKTLEQIQAVVNP >fgenesh2_kg.4__3054__AT2G48040.1 pep chromosome:v.1.0:4:23279166:23281629:-1 gene:fgenesh2_kg.4__3054__AT2G48040.1 transcript:fgenesh2_kg.4__3054__AT2G48040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSGNPTNIANPIKDASVQIDLKTVGGKLTLYQTTLCERISGDNIDLGLDIGSQSFLPTYNKNDIQLICCQADASVLWLVPDTVVTRFIQSLDWDTDMDITFTWVLNRDRPKGKETVKYERSVDPLDLPKRSDVQMVLNGSMDGFRVHNLYPKFFRVTGSGDVRSFEDQTDEVSADILINHADFKWWWSFHNLKASENISACEGMDGPVAIIMSEETPPPVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDLYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTKLDYDA >fgenesh2_kg.4__3059__AT2G48075.1 pep chromosome:v.1.0:4:23292580:23293396:-1 gene:fgenesh2_kg.4__3059__AT2G48075.1 transcript:fgenesh2_kg.4__3059__AT2G48075.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LHF5] MHRREDDDSSSSLRPRGICRRLLRFVVAKLWILGEKEMNEDKPERNKLIKKGSMSDITIHFKQLEDSEDDNSPGSSSQESERETMITLANGSNGPNKRLQEKVEKSILGEPSPKQQVTQPEAGKPSPPQRRLGPLLNDINKKSDAFIESTLEKMRKSL >fgenesh2_kg.4__305__AT2G23820.2 pep chromosome:v.1.0:4:2123099:2125049:1 gene:fgenesh2_kg.4__305__AT2G23820.2 transcript:fgenesh2_kg.4__305__AT2G23820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent phosphohydrolase HD domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LG30] MKNVNRVFFCKSLSLVFLVPSFTRSHLRFTYSAAGASSPNRAIHCMASDSPQSGDGSVSSPPNVAAVPTSSSSSSASSAIDFLSLCTRLKTTPRAGWIKRDVKDPESIADHMYRMGLMALISSDIPGVNRDKCMKMAIVHDIAEAIVGDITPSCGISKEEKNRRESEALEHMCKLLGGGERAKEIAELWREYEENSSPEAKVVKDFDKVELILQALEYEQGQGKDLEEFFQSTAGKFQTDIGKAWASEIVSRRRKQH >fgenesh2_kg.4__3061__AT2G48090.1 pep chromosome:v.1.0:4:23299452:23300028:-1 gene:fgenesh2_kg.4__3061__AT2G48090.1 transcript:fgenesh2_kg.4__3061__AT2G48090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSSESSSKKKQENRVCEKFFRAVTSPVRTVRRISTKPSPAEAVRVKFAETPTQAAKPITKMKPVIARVETSIKTDERFTDYIKKAKLKIRAMTNVGDMMKEDAWETSETDQTRHDHHHHVPISHVGSSGRSSDQFSEYIKKAKMKLRSSSTIARANPTFK >fgenesh2_kg.4__3062__AT2G48100.3 pep chromosome:v.1.0:4:23303204:23304968:1 gene:fgenesh2_kg.4__3062__AT2G48100.3 transcript:fgenesh2_kg.4__3062__AT2G48100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSISFKKRKIRVRHRCVACYKMFNRREHLVEHMKIAYHSLHQPRCGVCLKHCKSFESVREHLNVPDHLFKGNCKAIFSKRGCTLCLQVFEEAIALADHKNKCQLSPPRPLGTSTQRNPSRSHTGSRLKAVALDCEMVGGGDDGTIDQCASVCLVDEDENVILSTHVRPLLPVTDYRHEVTGLSEEDLKDGMPLEDVRARVVSILCGGHNDGAGRLLLVGHDLRHDMSCLNLEYPSNLLRDTAKYVPLMKTNLVSQSLRYLTKSYLGYKIQSGKHEPYEDCVSAMRLYKRMRDQEHGCSGKGEGNGLNSWKQSDLEKMKAEELYQNSTSEYRCWCLDRLSNP >fgenesh2_kg.4__3063__AT2G48110.1 pep chromosome:v.1.0:4:23305211:23311541:1 gene:fgenesh2_kg.4__3063__AT2G48110.1 transcript:fgenesh2_kg.4__3063__AT2G48110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of ribosome [Source:UniProtKB/TrEMBL;Acc:D7LHG0] MAVAPSDFQPTLWDSVTSLIRSTQEKNVDPLQWALQLRLTLASAGISLPSPDLAQFLVTHIFWENHSPLSWKLLEKAISVNIVPPLLVLALLSPRVIPNRKLHPAAYRLYMELLKRHAFSFMPQIRAPGYHKTMNSIDDILHLSETFGVQDQEPGSILLAFVFSIIWQLVDASLDEEGLLELTSNKRSKWPSRPHDMEIDGLENSVKRNENHDALEKANTEIAIELIQDFLQNKVTSRILHLASQNMESRTIPRGEFHAIVSSGSKLALNSDSALWLPIDLFFEDIMDGTQAAAASAVENLTGLVKALQAANSTTWHDAFLALWLAALRLVQRENLCLRYCFIIHMMEILSEERDPIEGPVPRTDTFLCVLLSVTPLAVANIIEEEESQWIDQTSSSPSNQCKEKKGKCRQGLVNSLQQLGDYESLLTPPRSVQSVANQAAAKAVLFISGITNGNGSYENTSMSESPSGCSGNMRHLIVEACISRNLLDTSAYLWPGFVIGGTNQVPEGIAGNISCWSLAMKGSPLTPSLTNSLITTPASSLAEIEKMYEVATTGSEDEKIAAASILCGASLFRGWSIQEHVIIFIVTLLSPPAPADLSGSYSHLISSAPFLNVLLVGISPIDCVHIFSLHGVVPLLAGALMPICEAFGSGIPNITWTLPTGEVISSHAVFSTAFTLLLRLWRFDHPPLDYVLGDVPPVGPQPSPEYLLLVRNCRLECFGKSPKDRMARRRFSKVINISVDPIFMDSFPRLKQWYRQHQECMASILSELKTGSPVHHIVDSLLSMMFKKANKGGSQSLTPSSGSSSLSTSGVDDSSDQLKLPAWDILEAAPFVLDAALTACAHGSLSPRELATGLKILADFLPATLGTMVSYFSSEVTRGLWKPVSMNGTDWPSPAANLASVEQQIEKILAATGVDVPRLPADGISAATLPLPLAALVSLTITYKLDKATERFLVLVGPALDSLAAACPWPCMPIVTSLWTQKVKRWSDFLIFSASRTVFHHNRDAVIQLLRSCFTCTLGLTPTSQLCSYGGVGALLGHGFGSRYSGGISTAAPGILYIKVHRSIRDVMFLTEEILSLLMFSVKSIATRELPAGQAEKLKKTKDGSRYGIGQVSLSLAMRRVKLAASLGASLVWISGGLNLVQALIKETLPSWFISVHGEEDELGGMIPMLRGYALAYFAILSSAFAWGVDSSSPASKRRPRVLWLHLEFMVSALEGKISLGCDWATWQAYVTGFVSLMVQCTPAWVLEVDVEVIKRLSKSLRQWNEQDLALALLCAGGLGTMGAATELIVETCHQH >fgenesh2_kg.4__3065__AT2G48120.1 pep chromosome:v.1.0:4:23311782:23313451:1 gene:fgenesh2_kg.4__3065__AT2G48120.1 transcript:fgenesh2_kg.4__3065__AT2G48120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLVLTCASPLFSSRLVSATKTLTTEFPISTGQFLGGLNSPADFSAKFRRRCSGKDEVLLQGMPPEYYDDEWQAQQREKTKELRRMQREEEEEEERKIEEYREIGMRLKEFPEQDLRKARKLVSSFIRAAEEVEERIEEAAEKGQLDELVLMIIWNRLDLARRDDEKDAIRSLDLLYRRVETEILKRQASPAMNLLNDLLNMHDGFEDDAWLKDCRKRMAETFPREDPFSILMPPGFDIDMHQGQLRPPIETETDNTLLRVDFVREVDALLQEVRIEEDAETGSKGEELDPEAIALKFKQQEKQRTIRQVEAILDLALNLKW >fgenesh2_kg.4__3066__AT2G48160.1 pep chromosome:v.1.0:4:23313699:23321238:1 gene:fgenesh2_kg.4__3066__AT2G48160.1 transcript:fgenesh2_kg.4__3066__AT2G48160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRKGGGKAAAVAAACLKWKVGDLVLAKVKGFPAWPAAVSEPEKWGALTDLKKVFVHFFGTQQIAFCNHTDVEAFTDERKQSLLTKRHAKGSDFLRAVKEIIESYEKLKQQEQAGDPKSAEEATLESAEDATLMPQVSEIPTGTSITQMKSVPSHGRDESTMQNEDASAAEQMLALRDNSVPRNKACDSAVVKEPRKIATYSSRKRNGGVRSQRCAPQKNTCSVQRSKSSSRLQTDKLQSSMLQNSDGGQSIDDVDDGALRRGKRIRRSSGHSESDDVASSALNSHGSDEENASEIATVESDNNTRNEGNGVDSGSKVEQIDIGGQFLEGDYELNKGLNFQINIMVKRKKRKPTRKRGTSDVVDPQAKVEAEAVPEAGARDNVQTSRNSHERFTERPCEDNGDEHLPLVKRARVRMSRAFYGNHEANSSSQVEERSSKDTLVSATAQTSPYDIISSHDTFAVEESRFFEVSAKLSGDMVNVAPSPVEKPHDGMSPSEACVQTVGGRDYAMGWNELSKTPNDEAGGPQSNQVSSLPAGEAQTASVPEAVCPENIKLLTSESDLPVVQCCQVTKIDPSLDPNTVDSSANKASGICSLSIPSQLSGQDKSKDQDACDSLGNTCEYLNEEGNKSDACVAQVVQSEAIEHSPSSCLVVNKQETENMQKAEKMLLKEVHGSVREECAIVKPAQNTPNPPISATESDVITESDAIVDENVPLNEIGYNKCDGAVEDSRQLKMIDETDDKRQQVQIINSGSVSENLSREKMSLSPANTADTPARGTPHSSSVYYHISTAESANDMQNNSSCSPNVPSGEKKNVCDAIVKEEEKIETGVCQGQKVVSCDVQSTRGSYEDALSSLVRTKESIGRATRLAMDLMKFGVPAKAMEILAHTLESESNLKRRVDLFFLVDSIAQCSKGLKGDTGCVYLSAIQVILPRLLAAAVPAGATTQENRKQCLKVLKLWLERRILPESIVRHHIRELDSHSIVPACLYSRRSARTERSLDDPVRDMEDMLVDEYGSNSTLQLPGFCMPALIKDEEGGTDSEGGSDSDGGDFESVTPEHESRILEENVSSSTAERHTLILEDVDGELEMEDVAPPWETGNCAHTDRADNTKVTNCHLGQQHLPVFGTSHQHASLSSPPLSSSSPPPPPLPPAPPSQQGQCAMPDSYLNGFGNGGYRNMHGDHQAGPLRMNPPLSGSTMHYQGPESSYSSGVQLTNSIPQTDGSNFQHRPYPSHPQPPPPPPPPQHQYSFTEPGHLLKSRRDAPSYSHRSHYVPNFDERNFHDNHERMRHAPYDNRDNWRYQPSSSYGSRYEDKHKAPYPSSSYNGVPPRESERYQNQRWDHPPRQYNNRHPLHPKPHSDGPVPVGMRDPGMWHQRSD >fgenesh2_kg.4__3067__AT2G48150.1 pep chromosome:v.1.0:4:23321520:23322705:1 gene:fgenesh2_kg.4__3067__AT2G48150.1 transcript:fgenesh2_kg.4__3067__AT2G48150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LHG3] MGASASVPERSVHQFTVKDSSGKDLNLSIYQGKVLLIVNVASKCGFTETNYTQLTELYRKFKDQDFEILAFPCNQFLYQEPGTSQDAHEFACTRFKAEYPVFQKVRVNGQNAAPLYKFLKASKPTFLGSRIKWNFTKFLVGKDGLVIDRYGTMVTPLSIEKDIKKALEDA >fgenesh2_kg.4__3068__AT2G48140.1 pep chromosome:v.1.0:4:23324629:23325864:-1 gene:fgenesh2_kg.4__3068__AT2G48140.1 transcript:fgenesh2_kg.4__3068__AT2G48140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTLIVVMMSSFMLGGKGQQISTPCTSSMISTFTPCLNFITGSSGGSVTPTAGCCDSLKTLTNTGMGCACLILTANVPLPTGFINRTLALALPRACKMGGVPIQCQAAGTPLPAPGQVPFLIAPPPPVSAFSPGASKAAVTTPTQAPAPAPDIPADGPTGPTTKPGIRPVDQPMQPTSLAQFSTSPFLPLLFISLILLTL >fgenesh2_kg.4__308__AT2G23910.1 pep chromosome:v.1.0:4:2205873:2207897:1 gene:fgenesh2_kg.4__308__AT2G23910.1 transcript:fgenesh2_kg.4__308__AT2G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEKPISCCCVLDASTYVGFWILKRLLTRGYSVHAAIRKNEDSVLEEEIRDMEAKEERLEVYDVDVLDYQSILISLNNCNAVFCCLDNPEGYDEEKEVDLEVGGAINVVEACARTESIEKIVFSSSLTAAIWRDNIGTQKDVDEKCWSDLDFCLKKKMWHALAKMQSEKAAWALAMDRMVNMVSVNPGLIVGPSVAQHNPRPTVLPQRSAQMYENGVLAYVDVEFVADVHIRAFEDTSACGRYFCFNQIVNTEEEALKLVQTLSPLIPMPPRYEKEMQGSEVYEERLRNKKLNKLVEAGFAC >fgenesh2_kg.4__311__AT2G23945.1 pep chromosome:v.1.0:4:2223954:2225244:-1 gene:fgenesh2_kg.4__311__AT2G23945.1 transcript:fgenesh2_kg.4__311__AT2G23945.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LG42] MAMKLIRRESVVRHNPDARVPVTPEDHIQHMTDISSARFKYLQNSIVKELGSSDFQVDVHQAIKTSLFFVNFSVGQPPVPQFTIMDTGSSLLWIQCHPCKHCSSNHMIHPVFNPALSSTFVECSCDDRFCRYAPNGHCSSNKCVYEQVYISGTGSKGVLAKERLTFTTPNGNTVVTQPIAFGCGHENGEQLESEFTGILGLGAKPTSLAVQLGSKFSYCIGDLANKNYGYNQLVLGEDADILGDPTPIEFETENGIYYMNLEGISVGDKQLNIEPVVFKRRGSRTGVILDTGTLYTWLADIAYRELYNEIKSILDPKLERFWFRDFLCYHGRVNEELIGFPVVTFHFAGGAELAMEATSMFYPMTESDTYHNVFCMSVRPTTEHGGEYKDFTAIGLMAQQYYNIAYDLKERNIYLQRIDCVLLDDYSPSK >fgenesh2_kg.4__322__AT2G24040.1 pep chromosome:v.1.0:4:2312924:2313525:1 gene:fgenesh2_kg.4__322__AT2G24040.1 transcript:fgenesh2_kg.4__322__AT2G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNCELCCEIFIAILLPPVGVCLRHGCCTVEFFICLILTCLGYLPGIIYAIYAICFLHHDEYFDEYRRPIYYVA >fgenesh2_kg.4__323__AT2G24050.1 pep chromosome:v.1.0:4:2315770:2319346:-1 gene:fgenesh2_kg.4__323__AT2G24050.1 transcript:fgenesh2_kg.4__323__AT2G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LG56] MQQQGEPSVLSLRPGGGGGGGRSRLFVPRFSSSSSFDLTNGGGAGETPFPVKRDDSGERLRFTREQLLQHRESVQVSDEILRRCKEIAAELFGEDQSWGNRVAESKLTNQPLNRYSEPDNRDWHSRVQIPTSGKEWFRDDSREAKNTSQGSGPTPVLVKAEVPWSAKRGALSDKDRVVKSVKGILNKLTPEKYELLKGQLIDAGIDSADILKEVIQLIFENAILQPTFCEMYALLCFDINGKLPSFPSEEPGGKEITFKRVLLNNCQEAFEGTGKLKKEIRQMTNPDQEMERMDKEKMAKLRTLGNIRLIGELLKQKMVTEKIVHHIVQELLGEDSKACPAEGDVEALCQFFITIGKQLDNSPRSRGINDMYFGRLKELAMHPQLELRLRFMVQNVVDMRANKWVPRREEVKAKKINEIHSEAERNLGLRPGAMANMRHNNNNRAAVSGAADSIGSGNILGRPGTGGMMPGMPGTRMVPIVDEGGWEVPRTRSMPRGNRQTVQQPRVQPPPAINKSLSVNSRLLPQGSGGLLNGGGRPSALVQGNGSSSAPQASKPIPTVEKPQPRSQPQPQRQAAPLANNLSAGELERKTKSLLEEYFSVRLEDEALQCVEELKSPSYHPELVKEAISLGLEKNPPLVEPITKLLKHLISKNVLTCKDLGAGCLLYGSMLDDIGIDLPKAPNSFGEILGKLVSAKVLDFELVKKVLMKMEDEWFRKAVLDAVILSVRESPSGQSVLDSQAAEVEACQSLL >fgenesh2_kg.4__324__AT2G24060.1 pep chromosome:v.1.0:4:2320729:2322794:1 gene:fgenesh2_kg.4__324__AT2G24060.1 transcript:fgenesh2_kg.4__324__AT2G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF-3 [Source:UniProtKB/TrEMBL;Acc:D7LG57] MAGITSTVGFNAVFAGITKTEFSHSLFSVDSKLCGLRLSKTELSFANLTPTPRRGFTVTCRFGGGGGGGGGYRFSGDSRRGRPKEAEIDEALDISSIRSATVRLIDGQQNMLGLVSKDEAVRMADDAELDLVILSPDADPPVVKMMDYSKYRYEQQKRKKDQQKKTTRMDLKELKMGYNIDQHDYSVRLRAAQKFLQDGDKVKVIVSMKGRENEFRNIAIELLRRFQTEIGELATEESKNFRDRNLFIILVPNKEMIRKPQEPPSRKKKKQSENEVSAAEITAEPLQIESGV >fgenesh2_kg.4__325__AT2G24080.1 pep chromosome:v.1.0:4:2365395:2366555:-1 gene:fgenesh2_kg.4__325__AT2G24080.1 transcript:fgenesh2_kg.4__325__AT2G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDRPAAVAAKRSGSPKYNECAKAPRVDPKSGSPLLLLSPEEGGIRVCKPDEDMFYETTTDFSGYRFLASSGKWFLVVDSRSDLYIIDVFSEDRIHLPPLESINGGLYMVERVGSNEFKNGDYVVVWQFEQGQFLGFCKKGDLHYRDIPIRVDVRREFRGLKDVVLKGYSLYSLVTRNFIRHMDLSGGQDGFKDVSVIPRFPMWMPDTPRADFGTEGKKVISFSDRIAVTTSGEVLLVFTKAYEPFCERSRIFRVYKRDPKELDPNTYDTRLVEMESIGDEALFFDLGITVPADLTLGIEPNSIYFTRNDRFGHKNICIPCLIDICVYNIATRTVKRFHNLSNLNLKDAQWFLPS >fgenesh2_kg.4__326__AT2G24090.1 pep chromosome:v.1.0:4:2368985:2370620:1 gene:fgenesh2_kg.4__326__AT2G24090.1 transcript:fgenesh2_kg.4__326__AT2G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35 [Source:UniProtKB/TrEMBL;Acc:D7LGR4] MASVNVSFCRPLRSSSPKVSLRSSVQFATSLASSHSISGLRAVFPQKISTVASPNSQKFQSFTVFAHKGYKLKTHKASAKRFRVTGRGKIVRRRSGKQHLLAKKNNKRKLRLSKMTEVNRSDYDNVIGALPYLKVNRKAT >fgenesh2_kg.4__327__AT2G24100.1 pep chromosome:v.1.0:4:2373730:2375776:1 gene:fgenesh2_kg.4__327__AT2G24100.1 transcript:fgenesh2_kg.4__327__AT2G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMMRSENRNNFPVNLEIFEDSLEEEHAPLNKRSKIWSNNGTSVSKFSLLEEPSPLGLSLKKSPSFQELIQMKLSQSGDDTKSVKKESFGFGIGTVEKLKASNFPATVLRIGQWEYKSRYEGDLVAKCYFAKHKLVWEVLEQGLKSKIEIQWSDIMALKANLSEDEPGTLTIVLARRPLFFRETNPQPRKHTLWQATSDFTDGQASMNRQHFLQCAPGILNKHFEKLVQCDHRLFCLSRQPEINLASPFFDSRQSIFEDPSVSGSHNIASPAGAQSSSEHVSLSHDALSPSSVMDACAIEGVGGSVDSRNTNGWSQIKMPGLHQSISMNDFLTFLSDQACENNQEFEEMKQILLSDTQTDPSDEKSVMSKVNSFCNLLQSAANSHLNIENADTGKEIGVDNNSRHMTEGGKRVVDPASSSKPLQGMSRKDSFSDLLVHLPRITSLPKFLFNISEED >fgenesh2_kg.4__328__AT2G24120.1 pep chromosome:v.1.0:4:2382437:2388114:-1 gene:fgenesh2_kg.4__328__AT2G24120.1 transcript:fgenesh2_kg.4__328__AT2G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:D7LGR7] MASAAAASPSLSLNQTSHFQHQTSLVTWLKPPPSSALFRRKTLPFFERHSLPISASSSSSSSSLSVHEKPISNSVHFHGNLIESFENQDSSYAGTINGASLIEELENPVERNGLSGRRRLFMQDPPWISALFLKGLSKMVDQTLKIERKDIDKRKFDSLRRRQVKEETEAWERMVDEYRDLEKEMCEKNLAPNLPYVKHMFLGWFQPLKDVIEREQKLQKNKSKKVRAAYAPHIELLPADKMAVIVMHKMMGLVMSGHEDGCIQVVQAAVSIGIAIEQEVRIHNFLKRTRKNNAGDSQEELKEKQLLRKRVNSLIRRKRIIDALKVVKTEGTKPWGRATQAKLGSRLLELLIEAAYVQPPLTQSGDSIPEFRPAFRHKFKTVTKYPGSKMVRRYGVIECDSLLLAGLDKSAKHMLIPYVPMLVPPKRWKGYDKGGYLFLPSYIMRTHGSKKQQDALRDISHKTAHRVFEALDTLGNTKWRVNRNILDVVERLWADGGNIAGLVNREDVPIPEKPSSEDPEEIQSWKWSVRKANKINRERHSLRCDVELKLSVARKMKDEEGFYYPHNLDFRGRAYPMHPHLNHLSSDLCRGTLEFAEGRPLGKSGLHWLKIHLANLFAGGVEKLSHDARLAFVENHLDDIMDSAENPIHGKRWWLKAEDPFQCLAACVILTQALRSPSPYSVISHLPIHQDGSCNGLQHYAALGRDSFEAAAVNLVAGEKPADVYSEISRRVHEIMKKDSSKDPESNPTAALAKILITQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLEEKGVITDERMLFAAACYSAKVTLAALGEIFEAARAIMSWLGDCAKIIASDNHPVRWITPLGLPVVQPYCRSERHLIRTSLQVLALQREGNTVDVRKQRTAFPPNFVHSLDGTHMMMTAVACREAGLNFAGVHDSYWTHACDVDTMNRILREKFVELYNTPILEDLLQSFQESYPNLVFPPVPKRGDFDLKEVLKSQYFFN >fgenesh2_kg.4__330__AT2G24140.1 pep chromosome:v.1.0:4:2419746:2421220:-1 gene:fgenesh2_kg.4__330__AT2G24140.1 transcript:fgenesh2_kg.4__330__AT2G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVILRLQGEKAMLAMEASQYKRMVEERMSLAELSLELLEDLNYQKDVEIKNLECELHSYRCKLMSLGWSGLDDEDCMRFCDRSQTPSPEPIETVPVEKGVIEQSLDSRKDKEKNLDLNWDQIKKVDEQLKELTDFRDSIRDQYKTLKQETSSVSETKNGEKGLCKTDLFVKKMSKKSSKQKRDKSVKRDHTRGSCSTNDAECQTELQRLKERVELLEQERCNTEPSQTSGVNQQNMNLQRNSEEELSSVQSAMLSYDSAIVSVQEAMLYFWL >fgenesh2_kg.4__331__AT2G24150.1 pep chromosome:v.1.0:4:2423698:2425636:-1 gene:fgenesh2_kg.4__331__AT2G24150.1 transcript:fgenesh2_kg.4__331__AT2G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRAAKKSPAMVTVTDCKGLDSGEETRLVRRLMKFEDLPEYLKDNEFIHNHYRCQWSLKDTFLSAFSWHNETLNIWTHLFGFVIFLWMTVVSCLETTELSLAGVFNGMSGVRICLSSNQTLLHDSNVTQHISCLTSQGEGIPKWPWLVYLVGAMGCLICSSVSHLLACHSKRFNLFFWRLDYAGISLMIVASFFAPIYYAFSCHPNFRLLYLSSISILGLLAIITLLSPALSTPRFRPFRANLFLAMGSSAVIPATHVLCLYWDHPNVFIALGYEIATALSYFVGATFYVSRVPERWKPGAFDMAGHSHQIFHVFVVFGALAHCVTTLLIIDFSLASPSCGF >fgenesh2_kg.4__332__AT2G24170.1 pep chromosome:v.1.0:4:2519591:2522433:-1 gene:fgenesh2_kg.4__332__AT2G24170.1 transcript:fgenesh2_kg.4__332__AT2G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:D7LGS9] MAKVRILIFTLFVFFFLNVNIHGFYLPGVAPQDFQMGDALMVKVNKLTSTKTQLPYSYYSLPYCRPEHIVDSAENLGEVLRGDRIENSPFVFKMRESQMCAAVCRVKLDKKTAKALKEKIADEYRVNMILDNLPLVVPVQRPDQDNVVVYQHGFHVGLKGIFAGKKEEKYFIHNHLTFTVRYHRDIQTDSSRIVGFEVKPFSVKHEYEGQWNEKARLTTCDPHTKRAVTNSESPQEVEEGNEIIFTYDVDFQESEVKWASRWDTYLLMADDQIHWFSIVNSMMIVLFLSGMVAMIMLRTLYRDISNYNQLETHEEALEETGWKLVHGDVFRSPTNPELLCVYAGTGVQCFGMILVTMIFACLGFLSPSNRGGLMTAMLLLWVFMGLLAGYVSSRLYKSLRGTEWKKIALKTAFMFPATIFVAFFVLNAIIWGQKSSGAVPFGTMFALVVLWFGISVPLVFIGGYIGFRKLAPEDPVKTNKIPRQIPIQAWYMNPIFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFIVFIILIITCAEITVVLCYFQLCSEDYQWWWRSYLTSGSSAVYLFLYAVFYFYTKLEITKLVSAVLYFGYMLIVSYVFFVFTGAIGFYACFWFTRLIYSSVKID >fgenesh2_kg.4__333__AT2G24180.1 pep chromosome:v.1.0:4:2562984:2564921:1 gene:fgenesh2_kg.4__333__AT2G24180.1 transcript:fgenesh2_kg.4__333__AT2G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B6 [Source:UniProtKB/TrEMBL;Acc:D7LGT4] MSLFSIPISTELLPWLLLLLIPPLLIFFLLRSPKNLPPGPSRLPLLGNIHQLGSLPHRTLRDLSLKYGPVITVYLGSVRTVVVHSPETAEEVLKLHDSECCTRPKLSITKSFFYDGLGLGFTQWGDYYRDVRKLCVLELFSVKRASSFRNLREEELSRLVDSLSDSAASGSSVDLTAKLAKFVASFTCRMAFGLSFQGSGIDNERFMEVFTEANRVIGKIAAADIFPGFGWILDRINGLDSSRRKSFQDLDTFYQKAIVDHREKKKTEDREDLIDVLLKLQSQETKLGSSRITDTHIRAILMDLFVAGVDTSVITMDWTLAELARHPRVMKKVQAEIRELVGDKGIVTYDDLEGLVYMKMVLKETWRLHAPSPILIPREAMTNFKIKGYDIYPGTRIHVNAWAIGRNPDVWKDPDEFIPERFVDSNVDTKGTSFELLPFGSGRRGCPAMYMGLSTVEYTLANLLYHFDWKATEEVSIEEAPGLTSHRKHPLHLVPVSVINRKL >fgenesh2_kg.4__334__AT2G24190.2 pep chromosome:v.1.0:4:2564962:2566303:-1 gene:fgenesh2_kg.4__334__AT2G24190.2 transcript:fgenesh2_kg.4__334__AT2G24190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LGT5] MSEETPSSGFCRYAIVTGGNRGIGFEICRQLANQGIRVVLTSRDERRGLEAVEILKKELGISDQSIVFHQLDVSDPASISSLAEFVKTQFGKLDILINNAGVGGVITDVDALRAGTGKEGFKWEETITETYELAEECIKINYYGPKRMCESFIPLLRLSDSPRIVNVSSFMGQLTNLLNEWAKGILSDAENLTVERIDQVINQLLNDLKEDTVKTKDWAKVMSAYVVSKAGLNGYTRILAKKHPEFRVNSVCPGFVKTDMNFKTGVLSVEEGASSPVRLALLPHRESPSGCFFDRKQVSEF >fgenesh2_kg.4__337__AT2G24200.1 pep chromosome:v.1.0:4:2573053:2575746:-1 gene:fgenesh2_kg.4__337__AT2G24200.1 transcript:fgenesh2_kg.4__337__AT2G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosol aminopeptidase [Source:UniProtKB/TrEMBL;Acc:D7LHG7] MAHTLGLTQPNSIEPHKISFSAKEIDVTAWKGDIIVVGVTEKDLAKDGNSKFENPILNKLDTHLSGLLAQVSSEEDFTGKPGQSTVLRLPGLGSKRIGLIGLGQSVSSPVAFHSLGEAVATVSKASQSSSAAIVLASSVSDESKLSSASALASGIVLGLFEDGRYKSESKKPSLKSVDIIGFGTGAELEKKLKYAEDVSYGVIFGRELTNSPANVLTPAVLAEEAAKVASTYSDVFTANILNEEQCRKLKMGSYLAVAAASANPPYFIHLVYKPSSGSVKTKLALVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVLGAAKAIGEIKPPGVEVHFIVAACENMISGTGMRPGDVITASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIVDLATLTGACVIALGTSMAGIYTPSDELAKEVIAASERSGEKLWRMPLEESYWEMMKSGVADMVNTGGRAGGSITAALFLKQFVSEKVQWMHIDMAGPVWNEKKKSGTGFGVATLVEWVQKNSSS >fgenesh2_kg.4__339__AT2G24230.1 pep chromosome:v.1.0:4:2619730:2622706:-1 gene:fgenesh2_kg.4__339__AT2G24230.1 transcript:fgenesh2_kg.4__339__AT2G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFWGYALILSLFLNQFHCQEPNTDGFFVSEFFKEMSLSSAQGYNFSAPFCSWQGLFCDSMNEHVIVLIASGMSLSGQIPDNTIGKLSKLQSLDLSNNKISALPSDFWSLNTLKNLNLSFNKISGSFPSNVGNFGQLESLDISHNNFSGAIPEAVDSLVSLRVLNLDHNVFQMSIPRGLLGCHSLVSIDLSSNQLEGFLPDGFGSAFPKLKTLSLAGNKIHGRDTDFADMKSISSLNISGNQFEGSVTGVFKETLEVADLSKNRFQGHISSQVDSNWFSLIYLDLSENELSGDIFKNLTLLKKLKHLNLAWNRFNRGMFPRIEMISGLEYLNLSNTNLYGHIPREISELSDLSTLDVSGNHLSGHIPILSIKNLVAIDVSRNNLSGEIPMSILEKLPWMERFNFSFNNLTFCSGKFSTETLIRSFFGSTNSCPIAANPALFKRRRSVTGGLKLALAVTLSTMCLLIGALIFVAFGCRRRPKSGEANNVSLKLEQSISGPFSFQTDSTTWVADVKQANAVPVVIFEKPLLNITFSDLLSATSNFDRDTLLADGKFGPVYRGFLPGGIHVAVKVLVHGSTLSDQEAARELEFLGRIKHPNLVPLTGYCIAGDQRIAIYEYMENGNLQNLLHDLPFGVQTTDDWTTDTWEEETDNGIQNIGTEGPVATWRFRHMIALGTARALAFLHHGCSPPMIHRDVKASSVYLDHNWEPRLSDFGLAKVFGNGLDDEITHGSPGYLPPEFLQPEHELPTPKSDVYCFGVVLFELMTGKKPIEDDYLDEKDTNLVIWVRSLVRKNQGSKAIDPKIQETGSEDQMEEALKIGYLCTADLPSKRPSMQQVVGLLKDIEPKPNQ >fgenesh2_kg.4__341__AT2G24260.1 pep chromosome:v.1.0:4:2663565:2666394:-1 gene:fgenesh2_kg.4__341__AT2G24260.1 transcript:fgenesh2_kg.4__341__AT2G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7LHI2] MMNSSLLTPSSSSSSHIQTPSTTFDHEDFLDQIFSSSPWPSVVDDAHPPPSDGFHGHDVDSRNQQIMMMPLNDGSSVHALYNSFSASGSLPNFHIPQGSGGGLMNQQGQTQTQTQPQASASTATGGTVAVPPQSRTKVRARRGQATDPHSIAERLRRERIAERMKALQELVPNGNKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAASVSSQLSEAGGSHGNASSAMAGGSQTAGNSNDSITMTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHSRNPLIPGPVADVGGPSSPNLSGMTIQSTNTTTMGNGNGKLNGNGNGVTKGSSSIAVKEAVSVSKP >fgenesh2_kg.4__343__AT2G24270.1 pep chromosome:v.1.0:4:2689777:2692561:-1 gene:fgenesh2_kg.4__343__AT2G24270.1 transcript:fgenesh2_kg.4__343__AT2G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALDH11A3 [Source:UniProtKB/TrEMBL;Acc:D7LHI8] MAGTGLFAEILDGEVYKYYADGEWKTSSSGKSVAIINPATRKTQYKVQACTQEEVNAVMELAKSAQKAWAKTPLWKRAELLHKAAAILKDNKAPMAESLVKEIAKPAKDSVTEVVRSGDLISYCAEEGVRILGEGKFLLSDSFPGNDRTKYCLTSKIPLGVVLAIPPFNYPVNLAVSKIAPALIAGNSLVLKPPTQGAVSCLHMVHCFHLAGFPKGLISCITGKGSEIGDFLTMHPAVNCISFTGGDTGISISKKAGMIPLQMELGGKDACIVLEDADLDLVASNIIKGGFSYSGQRCTAVKVVLVMESVADEVVEKVKAKVAKLTVGPPEENSDITAVVSESSANFIEGLVMDAKEKGATFCQEYKREGNLIWPLLLDNVRPDMRIAWEEPFGPVVPVLRINSVEEGINHCNASNFGLQGCVFTKDINKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGVTNSINLMTKVKTTVINLPTPSYSMG >fgenesh2_kg.4__347__AT2G24300.2 pep chromosome:v.1.0:4:2713689:2716408:1 gene:fgenesh2_kg.4__347__AT2G24300.2 transcript:fgenesh2_kg.4__347__AT2G24300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein [Source:UniProtKB/TrEMBL;Acc:D7LHJ2] MNKRGYECSQEDTDKLPESKRQKVPALASVIVEAVKVDSLQRLCSSLEPLFRRIVSEEVERALSRLGNAKLTSRSPEPKRIQDRDGRNLQLHFRTRMPPHLFTGGKVEGERGSAIHVVLIDANTGNVVQTGEESASKLNVVVLEGDFNDEDDEDWTREHFESFEVKEREGKRPILTGDTQIVLKEGVGTLGELTFTDNSSWIRSRKFRLGVKPAAGCGDSFCIREAKTEPFAVKDHRGELYKKHYPPAVHDEVWRLDRIAKDGVLHKKLLKANIVTVEDFLRLLVKDPQKLRNLLGSGMSNRMWENTVEHAKTCVLGGKLYVFYTDQTHATGVVFNHIYEFRGLITNGHFLSLESLNHDQKISADILVKLAYENWHKAIEYDGKLLNCLPVAEKEVKSLPEPKMVTAQTTQNHQQLHNQNNRQTVQCHQNAITYTLVPQPIDYPQFEQQNCSQLLPSFPCNVQDYNRSMESSNDSSSYNGEDWCRPRAAGQGLEDIFSEEIRLRSSEMLETDDMQRLLKTFGIGVNNVGTEGGFGQTDESCYGYNIPYQAQIDNTFRTERNRGSGKAVVGWLKLKAALRWGIFIRKKAAERRPQIVEID >fgenesh2_kg.4__348__AT2G24310.1 pep chromosome:v.1.0:4:2719404:2720678:1 gene:fgenesh2_kg.4__348__AT2G24310.1 transcript:fgenesh2_kg.4__348__AT2G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENVGSDSSEIESITSSQKSFLKNCPFAGHEPLPTKSTDSDNTEVLGNKISPAIVKTPVLSFSSPTSLDSISDEVFRTPPENASLSSATESEPRVRVSEIKLRGGTSPSSEAKTMPVSSSSSLPEANVRVSKSNLKSPSSTAKTPPVSTSPLVNVRVSESNLNSPSSTAKTTPSNLKSPSSTAKTMPVSASPSVNVRVSETNLKSLSSTAETTPVSASPSEKVRVFETKCPSDSVPLSSPPSVAADDVRVPAKHLDSDSSPPTAIGRTMGLVKQLVSASVSFDSASSSASEGIKTSEAESSGGDLPFKEIIEALLRNSGENLKERDDKVSYVEILKQCGLKFPK >fgenesh2_kg.4__351__AT2G24350.1 pep chromosome:v.1.0:4:2763114:2766217:1 gene:fgenesh2_kg.4__351__AT2G24350.1 transcript:fgenesh2_kg.4__351__AT2G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LHK1] MTKDGLTFRMSRESESASRLKFFISDMLPRFTDDYSDDLAEYVTVLVCNGKNQRQVSEDLEAFLREQSWKFVTCLWELLVNYFSQINSASGPKTAVDFGVNDTLIEQGLSSKKHDDYDCKAAGATNSMNEQLISVTAPIEDIEAPVSPKVEKMKVLRQELIDSPCRRAQRRKKEDWNSSGYSRKILRSVIVSATRQPCDRNPAKYEKSMNERSRILKKHPYLPERELDSQFVPIGRAVSARYHDASPHQETAPHVSVWDRLGRASSKRVLDSESRTLSKSDIKAHENKGVQQHGPVFPEVYSEQHSEIFQREVPAVGYRHRVSQSDKARKPESGIITSTEPHIAYNLNRKRRYGIVNPNSGEFSSVLQYKQAEEDVEKPSLLSYQSTKPDIFSEIKNVKEKMQELELRIIQSKQLKKQKIEELKPSPQSGESQYQQDVTESRIIHVTNVNYAAKKEAISMFFSSKCGAVENVTIVTDPVTRHPKGSAFVTFATKESVNQAIALSGTMFYSRPIKVGRHMIASGVVSAPQIVTGS >fgenesh2_kg.4__353__AT2G24370.1 pep chromosome:v.1.0:4:2779330:2782450:-1 gene:fgenesh2_kg.4__353__AT2G24370.1 transcript:fgenesh2_kg.4__353__AT2G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LHK4] MWMPKNNNVGVKEGGSSGIVAVAIDKDKSSQHALKWAVDHLLQRGQSVILVHVKLRPSPLNNSPSLHASSAKLSQDSSLVCRDPEGISKELFLPFRCFCTRKDIQCQDVLLEESDVAKALVEYVNQAAIEVLVVGSSSKGGFLRFNKPTDIPGNITKNAPDFCTVYIISKGKIQTMRSASRSAPMTAPLRSPVQPPSLKPPQPMPSTFANSMRAARRSFESNQRRSVEDQQRRSMEDQQRRSMEDQSESFRSPFTRRGNGKSYGDLSVPESDISFISSGRPSIDRIFPSLYDNNDPTRTPPRLSNFSDMDYSSNLDQSSNYGRRSVDLNSPTDFESERFSSASQSIDDVEAEMRRLKLELKQTMEMYSTACKEALTAKQKATELQRWKLEEERKLEEARHAEEAALAIAEKEKAKSKAAMEAAEAAQRIAELEAKKRVNAEMKALKESEEKTKALTALANSDVRYRKYSIEEIELATEFFAEKYKIGEGGYGPVYKCYLDHTPVAVKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPECGCLVYEFMANGSLEDRLFRLGNSPPLSWQMRFRIAAEIGTGLLFLHQAKPEPLVHRDLKPGNILLDRNFVSKISDVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDIYSLGIMFLQLITAKPPMGLTHYVERALEKGTLADLLDPAVSDWPMEDTEEFAKLALKCAELRRKDRPDLAKVILPELNRLRTLADESSHSVVVSNSLVPSPTGSQSSLKLEHMSGASISVPQ >fgenesh2_kg.4__354__AT2G24395.1 pep chromosome:v.1.0:4:2825012:2825754:1 gene:fgenesh2_kg.4__354__AT2G24395.1 transcript:fgenesh2_kg.4__354__AT2G24395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWITLQPPNSCYLRLMPSSAPSPRSHRCLLKPFRCVPLQQQEPQLEQSQQGDDEAVILCEDCNGKGWLICDVCNGQKTNVKSENNRIYRRCPTCKAVGFVLCRKCKVFKCVTFPNSEDGDELMF >fgenesh2_kg.4__355__AT2G24400.1 pep chromosome:v.1.0:4:2827174:2827902:-1 gene:fgenesh2_kg.4__355__AT2G24400.1 transcript:fgenesh2_kg.4__355__AT2G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LI67] MEAKKSNKIREIVKLQQLLKKWRKQAIASKAANNNNEDNNSNGGGSKGIKFLKRTLSFTDVTSVPKGYLAVSVGLEKKRYTIPTEYLSHQAFYVLLREAEEEFGFQQTGVLRIPCEVSVFESILKMMEEKNEGYLVTTTTAKQECKFNAAADDKTSYRHPSDCPKTPSHQPHNSP >fgenesh2_kg.4__356__AT2G24420.1 pep chromosome:v.1.0:4:2835433:2839058:1 gene:fgenesh2_kg.4__356__AT2G24420.1 transcript:fgenesh2_kg.4__356__AT2G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLVALLLLFALVFNFTTCVVADAGINGGEEPKLRSDGGEIELDQLNAKIRALESQIDDKTKELKGREELVTEKEKLLQERQDKVASLETEVSSLRKKGSSDSVELLSKAQARAAELEKQVEVLKNFLEQKNKEKESIEARTSEAEKKLNKLNSKLEKLHKTNQEQKNKIRKLERALKISEEEMLRTKHEATTKAKELMEVHGAWLPPWFAVHWSNFQTVAGTHWDAHGKPVMEKVTEKVTVAKNQAEKWAKPHMANVKTKYIPAMKETVKTHVEPHVQTLSTKAKEAYHASKSAVTPHIVKFQEHVDPYYQEAKKFSKPYVDQVATATKPHVDKVRATIKPYTKKTIHYYKEFLESASTYHHQLQANVETKLKSHELMEPFATKEFTWFAASALLALPIFIVYKFLCSLFCTKTKKPTRQPHHHTRRKAKRGHSDK >fgenesh2_kg.4__360__AT2G24440.1 pep chromosome:v.1.0:4:2848516:2850363:1 gene:fgenesh2_kg.4__360__AT2G24440.1 transcript:fgenesh2_kg.4__360__AT2G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKNVDGEGKGKGKAIANTSTRVLRSMVRKTRSDTQREGSSSKLVKLESPEKKKRKTTKAKSGGAAKKKVKKEEVAVKIEKEDEESAEEEDDDAAEKEEEEEDDDDSEKKKIVIEHCKQCKSFRERANEVKEGLEEAVPGIIVTVNPDKPRRGCFEIREEGGETFISLLGMKRPFTPMKELNMEEVITDIVEKIK >fgenesh2_kg.4__361__AT2G24450.1 pep chromosome:v.1.0:4:2850327:2851511:-1 gene:fgenesh2_kg.4__361__AT2G24450.1 transcript:fgenesh2_kg.4__361__AT2G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKVSSSLVCLTILLGVSSIVSAVNITRVLEKYPEFSTMTELLAKTELTPIINKRQTITVLALSNDAIGSISGRPEEELKNILMNHVILDYFDELKLKALKERSTLLTTLYQSTGLGQQQNGFLNCTKSNGKIYFGSGVKGAPQTAEYITTVFRNPYNLSVVQISMPIVAPGLGSPVKVPPPPPMSSPPAPSPKKGAATPAPGPAEEEDYADAPPGAAPETAPASAPSDDSPAPAPEQSGKKKMAAADEAEPPSSASNAGLSFGAVLVLGFVASFVGF >fgenesh2_kg.4__362__AT2G24285.1 pep chromosome:v.1.0:4:2862431:2865751:1 gene:fgenesh2_kg.4__362__AT2G24285.1 transcript:fgenesh2_kg.4__362__AT2G24285.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LI75] MDTETIKLDVHVTAWFRTPLSGFLSSVIISRDREFEEFIIDGHDDSVTSLGSYRDPSRGPDPLIFLNFQNFEPNYVYQLLQSQLHDHVLSEQIAGQILVEAQRLRSQ >fgenesh2_kg.4__365__AT2G24500.1 pep chromosome:v.1.0:4:2885576:2887504:-1 gene:fgenesh2_kg.4__365__AT2G24500.1 transcript:fgenesh2_kg.4__365__AT2G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALACNSCNKEFEDDAEQKFHYKSEWHRYNLKRKIAGVPGVTEALFEARQAAIAQEKVKAVEAPMLYTCGICNKGYRSSKAHEQHLKSKSHVLKASTSSGEQDKAIIKQLAPRRVEKNNPAQLKGSIEEESEESEDEWTEVDSDEDLDAEMNEDAEEEEDMDEDGIEFELDPCCCLMCDKKHKTIEKCMVHMHKFHGFFIPDIEYLKDPKGFLTYLGLKVKRDFVCLYCNELCHPFSSLEAVRKHMDAKGHCKVHYGDGGDEEDAELDEFYDYSSSYAIGDDNQMVVSGESVNTVELFGGSELVITKRTDNKVTSRTLGSREFMRYYKQKPPPSSQKHIVNSLTSRYKMMGLATVHSKEEIVRMKVMREMNKRGAKSSVRLGMKSNVIRNLPNNVTY >fgenesh2_kg.4__368__AT5G23535.1 pep chromosome:v.1.0:4:2945242:2946386:1 gene:fgenesh2_kg.4__368__AT5G23535.1 transcript:fgenesh2_kg.4__368__AT5G23535.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOW domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LI88] MGWKAAEKLIRHWKILRGDNVMIIRGKDKGETGTIKRVIRSQNRVIVEGKNLIKKHIKGGPDHEGGIFTVEAPLHASNVQVVDPVTGRPCKVGVKYLEDGTKVRVARGTGTSGSIIPRPEILKIRATPRPATAGPKDTPMEYVWEQTYDAKTGKGMPDL >fgenesh2_kg.4__36__AT2G21200.1 pep chromosome:v.1.0:4:191422:191911:-1 gene:fgenesh2_kg.4__36__AT2G21200.1 transcript:fgenesh2_kg.4__36__AT2G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGILAARKILTSKAASTPKGFLAVYVGENKKKRYMVPVTFLNQPCFQALLSKAEEEFGFDHPMGGLTIPCPEDTFVAIASQLQ >fgenesh2_kg.4__370__AT2G24540.1 pep chromosome:v.1.0:4:2965361:2968769:1 gene:fgenesh2_kg.4__370__AT2G24540.1 transcript:fgenesh2_kg.4__370__AT2G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQEVDETRTKTQPLIPGLPNDIAELCLLRLPYPYHALFRSVSSSWNKTITNPRFLFSKQSLSISSPYLFVFAFNKSTAKMQWQSLDLTSGRWFVLPPMPKSFNQISSPHALSCASSPRQGKLFVLGGGDLNRSAVVYTALTNRWSCISPMMSPRTYFNAGNVNGKIMAVGGSVGGNGEATTEVESYDPDNDTWTAVKKVPMVLAKYDSAVIGKKMCVTEGWAWPFMFPPMGQVYDSDEDTWREMSSGMKEGWTGVSVVIRDRLFVISEHGDFPMKVYCSDDDTWRYVSGEKLPADKMRRPFAVTGEDDRVFVVAGGLNVAAGRVSEGQNGEFSVQWRMVSSPKSSTQFSPASCHVLYV >fgenesh2_kg.4__371__AT2G24550.1 pep chromosome:v.1.0:4:2969051:2970381:-1 gene:fgenesh2_kg.4__371__AT2G24550.1 transcript:fgenesh2_kg.4__371__AT2G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVGSSYGIGMAAYVRDRGGVSAQDKAVQTALFLADESGRGGSQIGIGLRMSNNSKSPEESSDSSSSIGESSENEEEEEDDAVSCQRGTLDSFSSSLEDSLPIKRGLSNHYVGKSKSFGNLMEAASTAKDLEKVENPFNKRRRLVIANKLRRRGRSMSASSFYSWQNPNSMPLLALQEPNEEDHQMMMVVMTIGRL >fgenesh2_kg.4__373__AT2G24570.1 pep chromosome:v.1.0:4:2987446:2989377:-1 gene:fgenesh2_kg.4__373__AT2G24570.1 transcript:fgenesh2_kg.4__373__AT2G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 17 [Source:UniProtKB/TrEMBL;Acc:D7LI97] MRLPKMEDQTAIQEAASQGLKSMEHLIHVLSNRPEERNVDCSEITDFTVSKFKKVISLLNRTGHARFRRGPVHSPPPSSSSSIPPPVKVTTPAPTQISAPAPVSFVQSNQQSVTLDFTRPSVFGAKTKSSEVVEFAKESFSVSSNSSFMSSAITGDGSVSKGSSIFLAPAPAVPLTSSGKPPLSGLPYRKRCFEHDHSEDFSGKISVSGNGKCHCKKSRKNRMKRTVRVPAISAKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSTFRGCPARKHVERALDDSTMLIVTYEGEHRHHQSAMQENITPSVSGLVFGST >fgenesh2_kg.4__374__AT2G24580.1 pep chromosome:v.1.0:4:3011323:3012653:-1 gene:fgenesh2_kg.4__374__AT2G24580.1 transcript:fgenesh2_kg.4__374__AT2G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sarcosine oxidase family protein [Source:UniProtKB/TrEMBL;Acc:D7LIA0] MEYSGDGRFDVIVVGAGVMGSSAAYQLAKRGQKTLLLEQFDFLHHRGSSHGESRTIRATYPEDYYYAMVSESTRLWAEAQSEIGYKVHFPTQQFDMGPADQQSLLSVVATCQKHALAHRVMDSHAVSEHFSGRISIPENWIGVSTELGGVIKPTKAVSMFQTLAFGHGAVLRDNTKVANIKRDGENREGVIVCTVKGDKFYGKKCIVTAGAWISKLVKTVAGIDFPVEPLETTVCYWRIREGHEEKFTIDGEFPTFASYGVPYVYGTPSLEYPGLIKVAVHGGYWCDPDKRPWGPGVKLEELKEWIKERFGGMVDSEGPVATQLCMYSMTPDEDFVIDFLGGELGRDVVVGGGFSGHGFKMAPAVGRILADMATEGEARGGVEMKQFSLRRFEENPKGNVKEYPDQVILDCPLKH >fgenesh2_kg.4__375__AT2G24590.1 pep chromosome:v.1.0:4:3019706:3021068:1 gene:fgenesh2_kg.4__375__AT2G24590.1 transcript:fgenesh2_kg.4__375__AT2G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDPRVTERELEDEFRVYGVIRSVWVARRPPGYAFLDFEDSRDARDAIRELDGKNGWRVEQSHNRGGGGGRGGGGGGRGGGDGGRGRGGSDLKCYECGESGHFARECRSRGGSGRRRSRSRSRSPPRYRKSPTYGGRRSYSPRARSPPPPRRRSPSPRGRNYSRSPPPYRARDEVPYANGNGLKDVRRSRS >fgenesh2_kg.4__379__AT2G24610.1 pep chromosome:v.1.0:4:3050291:3053715:1 gene:fgenesh2_kg.4__379__AT2G24610.1 transcript:fgenesh2_kg.4__379__AT2G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKRDNTVRFYGDEKQTIEVGEKRVPLFKSTTAPFMKQEVPKKSKTRLKIPRFGRFKVFPENFEIERDKILDPGGDAVLQWNRVFLFWCLVALYVDPLFFFLSSVKRIGRSSCMTTDLKLGIVITFFRTLADLFYVLHIVIKFRTAYVSRTSRVFGRGELVKDPKLIARRYLRSDFIVDLIACLPLPQIVSWFILPSIRSSHSDHTTNALVLIVLVQYIPRLYLIFPLSAEIIKATGVVTTTAWAGAAYNLLQYMLASHILGSAWYLLSIERQATCWKAECHKELASPQCVTDFFDCGTLHRDDRNIWQNTTVVFSNCDPSNNIQFTFGIFADALTKNVVSSPFLEKYLYCLWFGLQNLSSYGQNLSTSTSVLETMFAILVAIFGLVLFALLIGNMQTYLQSITVRLEEWRLKRRDTEEWMGHRLLPQNLRERVRRFVQYKWLATRGVDEETILHSLPADLRRDIQRHLCLDLVRRVPLFAQMDDQLLDAICERLASSLSTQGNYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSITLRPGDFCGEELLAWALLPKSTVNLPSSTRTVRALEEVEAFALQAGDLKFVANQFRRLHSKKLQHTFRYYSHQWRTWAACFVQVAWRRYKRKKLAKSLSLAESFSSYDEEEAVAVAATEEMSQEGGAQSGAKARHHTSNVKPHFAATILASRFAKNTRRTAHKLKDVEIPMLPKPDEPDFSVDD >fgenesh2_kg.4__381__AT2G24620.1 pep chromosome:v.1.0:4:3075002:3080496:-1 gene:fgenesh2_kg.4__381__AT2G24620.1 transcript:fgenesh2_kg.4__381__AT2G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LIA7] MKFGWDAKSNINRVLKSWRSLSDPSPGEYTYGVERHELAQSFIRKKGVPTFRSDPWKTKNDVEYESGNLTYTTYRITVTKEEVTYFFSITNESFFSILRMSYSGVLKRYTWIPKPQQMWKRLDSLLPRDTCGLYNRCGAYGLCDTNTSPNCVC >fgenesh2_kg.4__383__AT2G24640.1 pep chromosome:v.1.0:4:3108824:3112601:-1 gene:fgenesh2_kg.4__383__AT2G24640.1 transcript:fgenesh2_kg.4__383__AT2G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LIB1] MHEVGLFVDLNFFTQLILTLFFLSIGLLYFVKRTAAKYFEVGGGSGGFDRDHRRDFMVPDTVECSVCGKTSTKKCSRCKSVRYCSADCQTSDWKSGHKLKCKVFRSTDSSPVGRDDIDYKASLFGNMSASKKSKVALVPQLSQSKAGIKPTDVLFPYESLVRYYNWDRPIMAPCGLTNCGNSCFANVVLQCLSWTRPLVAYLLERGHKRECRRNDWCFFCEFENHLDRANHSRFPFSPMNIISRLPNIGGNLGYGRQEDAHELMRSAIDMMQSVCLDEFGGEKVVPPRAQETTLIQYIFGGLLQSQVQCTACSNVSDQYENMMDLTVEIHGDAVSLEECLDQFTAKEWLQGDNLYKCDRCDDYVKACKRLSIRCAPNILTIALKRFQGGRFGKLNKRISFPETFDLGPYMSSGVEGSDVYKLYAVIVHLDMLNASFFGHYICYVKDFRGNWYRIDDSEVEKVELEDVLSQRAYMLLYSRVQPRPSNLRSEEGQDEKKTDTLNTESNQDGSVESSGVGANDTSVSSLCNGIISHSEDPECGKESSLSSMSASVPVSEEGKEVDARVDTVDSESNPSIDMEHDSGTDHQEEAANGKEDPAAENLAVDSSCSDITTLSPSAATVFIPQENEHSDSESKPLEKEHSDTEMIDAQ >fgenesh2_kg.4__385__AT2G24650.1 pep chromosome:v.1.0:4:3138502:3146889:-1 gene:fgenesh2_kg.4__385__AT2G24650.1 transcript:fgenesh2_kg.4__385__AT2G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein [Source:UniProtKB/TrEMBL;Acc:D7LIB2] MATFYPQFFHTLVPSFLTHFMIPEDFFLKYIEGTSFAELKSDVSDRTWKVKMSDRRITDGWEDFVVANDFRIGDVVVFRYVGDLVFHVSNLGPNYSEIQDNEEVPREKKAKTNSGEAEAVSSSSSADNSCFVALITALNLTIDTLYLPLHFTSSNGLTRKNSEIVLTDGGERSWVLDLRFDESSHTFYISRGWRNFCDENGQKAGDFFMFKLVGNGEKLVLSFQNIAREDSKDECSSLDSLMDIEKKKYSAKRRGSPYSSYSPSHKQFVTFTLPPDYARIGRLSLSAPFVRENGINKPGEICLLDKHGRKWLTNLLLDSKGTMSLGKGWKDFVKANSLETGFTLKLKWEETTPVLSLCYAESNSDREQEEFSKAIEKRSLFMDTKYLPPHFTSSNGLTRKCHKIVLRDGGERSWELDLKFNESSDTFYISQGWRNFCDENGQKAGGFFMFKLVGNGETLVLSFRPTKSINDGRQRYCSEASRRESVATKLSSEEENITWEGSKDECSTLESLMEKEKKKYSLKPRGSPHSSYSPCHKRFVTFTLPPDYARIGRLVSLSETTLDSISFDACLFGFCSNIYRVFRHRSLGRMALTSLVRYFFWINMVEGDTSNRDKINNNENNKDESRSWERKKNHPKWRDSTPSTQKQYVTLTITPFRRLSKIFTRENDINKPGKITLLGKDGIKQQTNLLFDKTNGSMSLGSGWKDFVKDNGLKTGDSFTLKLIWEDQTPVLSLCPAECSIDREAGGTNQKKSLPIEPSICTKVSKDVNIKEKNNKEESRSVDRERNHLRETDVTPSSQKHVVTLTITPSSVKRDRLILSAQFARENNINKPGTIYLLDRDGTKSLINLQQDKRGTMSLGKGWKEFAEANDIKLGESFMMKLVWEGTIPMLSLLRTEFSSSKANKKESISSEPKSRDSSPIIKNRFVTPALTPEDVKSCKLILTAQFARENNINKPGTLYLLDRDGTKWLTTVKRDNKGTMSLGNGWKEFAETNDLKLGDSFRMELIWEDTTPILSLLRTKFSSSKSNKEESISSEPKSRDSSQTIENRFVTLALTPEDVKACKLILPSQFMKANGISNKLGKITLLGENKVEWPGYLLSRDGTVALGNGWEGFCEANGVKLGHSFTLEFVNEQDTTPTIPVVFFLKHIEGRDDQKMAELRSDASKITWKVKIDGQRLTDGWKEFALAHDLRIGDIVIFRQESDLSFHVTLLGPSCCEIQYGSCLDEETNLEKKKNPNGEAETSSLGRSCFVAKVAPSSLSYDSMHLPRPFVRENGVVTGSGEIVLMNEKGRSWKLKLRQKPSCGTVYVKGGWVSFCDANGLRAGDIYTFKLIQRGGTLVLRLLPNEEAESSSLDPSCFVANVAPSSLRYDTLYLPRRFMRENGLDKRCGKMILMNEKGKSWTLDLKQKKSCGTSLIKRGWSSFCRANGLRAGSIITFKLIKKGGNLVLRLLTNEPKEEDECSEANEVESLSTDQESNEESHDEKISRRKEKKGNLMWKASSSPSENRFVTLTLTPYNILRSVLRLPIPFTRMNGINEDTKMTLLDKHGTKWLTTLRLVDYKSKRLRMAGGWQGFIQANCVKANESIMLELIWEEDTSCILKFCSKVKL >fgenesh2_kg.4__387__AT2G24693.1 pep chromosome:v.1.0:4:3171458:3171952:1 gene:fgenesh2_kg.4__387__AT2G24693.1 transcript:fgenesh2_kg.4__387__AT2G24693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKTSSLLIPVLMVFALILIPMVAGQLKATCRIAELCKGAKECNAKCAALGTKRGGVCTKFLGDVYCCCWD >fgenesh2_kg.4__38__AT2G21230.1 pep chromosome:v.1.0:4:207076:209812:-1 gene:fgenesh2_kg.4__38__AT2G21230.1 transcript:fgenesh2_kg.4__38__AT2G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGDTTDTNMMQRVHSSSGTSSSSIPKQNLHLNPALIRSHHHFRQPFTGAPPPIPPISPYSQIPATLQPRHSRSMSQPSSFFSFDSLPPLNPPVSVSVEEKTGAGFSPSLPPSPFTMCHSSSSRNAGDGENLPPRKSHRRSNSDVTFGFSSMMGQNQKSPPLTPLSSLERSISGRDISDWSNLVKDEPIERFFKGRKKPQSEAAMDDVFTAYMNLENIDVLNSFGGEDGKNGNENVEEMESSRGSGTKKTNGASSSDSEGESSASGNVKVAVSSSSSGVKRRAGGDIAPTSRHYRSVSMDSCFMGKLDFGDESSLKLPPSSAKVSPTNSGEGNSSAFSVEFGNGDFTAAEMKKIAADEKLAEIVMADPKRVKRILANRVSAARSKERKTRYMAELEHKVQTLQTEATTLSAQLTHLQRDSMGLTNQNSELKFRLQAMEQQAQLRDALSEKLTEEVQRLKLVIGEPNRRQSGSSSSEPKTSLNPEMFQQLSISQLQHQQMQHSNQNSTMKAKHMSNK >fgenesh2_kg.4__395__AT2G24762.1 pep chromosome:v.1.0:4:3414144:3414669:1 gene:fgenesh2_kg.4__395__AT2G24762.1 transcript:fgenesh2_kg.4__395__AT2G24762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLSIKPTSLDVARHATSVESFGNHRPPISPWHSPVPYLFGGLAAMLGLIAFALLILACSYWRFSTTSDDSGEGNGGGVDEEKESRSGVKAASAAYEEKILVIMAGDDLPRFLATPAANKCMCGHEGKMVISKEDGVGAGEEKMGDREKAKENEETTSQ >fgenesh2_kg.4__397__AT2G24765.1 pep chromosome:v.1.0:4:3424712:3426872:1 gene:fgenesh2_kg.4__397__AT2G24765.1 transcript:fgenesh2_kg.4__397__AT2G24765.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor 3 [Source:UniProtKB/TrEMBL;Acc:D7LIY6] MGILFTRMFSSVFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAVIYVVDSSDTDRIGVAKEEFHAILEEDELKGAVVLIFANKQDLPGALDDAAVTEALELHKIKSRQWAIFKTCAVKGEGLFEGLDWLSNTLKSGSG >fgenesh2_kg.4__399__AT2G24790.1 pep chromosome:v.1.0:4:3434559:3435734:1 gene:fgenesh2_kg.4__399__AT2G24790.1 transcript:fgenesh2_kg.4__399__AT2G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLCDSCKSTTATLFCRADAAFLCGVCDGKIHTANKLASRHERVWLCEVCEQAPAHVTCKADAAALCVTCDRDIHSANPLSSRHERVPITPFYDTSPAKSASSSINFVDEDGGDVSASWLLHKEGIEITNLFSDLDYPKMEVTSENNSSGNDGVVPVQSKMFLNEDYFNFDLSASKISSNGFNFINQTVSRSIDVALVPESGGVTAEITNTATVTPAVQLSPAEREARVLRYREKRKNRKFEKTIRYASRKAYAEMRPRIKGRFAKRTDSRENDGGDVGVYGGFGVV >fgenesh2_kg.4__400__AT2G24800.1 pep chromosome:v.1.0:4:3438746:3440061:-1 gene:fgenesh2_kg.4__400__AT2G24800.1 transcript:fgenesh2_kg.4__400__AT2G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LJF3] MALQFSSCRPKSTFFSSLLLLLLLSPSVAELSFNFYAGSCPGAELIVRNTVRSASSSDPSVLGKLLRLIFHDCFVKGCDGSVLIRGNGTERSDPGNASLGGFTVIESIKNVLEIFCPGTVSCADILVLAARDAVEALGGPVVPIPTGRRDGTVSMAENVRPNIIDTDFTVDKMINIFSSKGLSVQDLVVLSGAHTIGAAHCNTFNSRFKLDPKGNLELIDASLDNSYAQTLVNKCSSSLDPTTTVVDNDPETSSTFDNQYYKNLLAHKGLFQTDSALMEDDRTRKIVEILANDEESFFDRWTESFLKMSLMGVRVGEEGEIRRSCSAVN >fgenesh2_kg.4__403__AT2G24830.1 pep chromosome:v.1.0:4:3480150:3482391:-1 gene:fgenesh2_kg.4__403__AT2G24830.1 transcript:fgenesh2_kg.4__403__AT2G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7LJF9] MASEENKALEDLLDIQLQEQKESLSAIDEALISDPSNPELLSVHEELIFAIKEVEEGLLHLKRARLLQEADIVLNGLNHDAGVKDLDELEPEKKDLDGSKCRFRHTDGRWYNGRIIGFEGSDSAKISFLTPTSESMMICKFFTQQRCRYGSSCRSSHGLDVPISSLKNYEQTKWKQSMVGSKIWAVSGSKYDIWRKAELESWDDELQVGGVVFRDDRSSAKLGSDALALSEFAQMTDDDGEEEDEEDEELSASDTEDSVSSDYDEESPQGIGFLESTNLPRGVQTDTALFAKWENHTRGIASKMMASMGYREGMGLGVSGQGILNPILLKVLPAKRSLDYALEHIRNGECKSEKQKKKKSRGGKRKREKKFAEAAKAAKQEEESKPDLFSLINEQIFPTHHEKVNSIESVKKRENKGPVDRKDLVAYQDEVKDLKLEMMKLEQMVNRNKKDQVVSEAATRRLKEVRKALANTLASQEAASNAVVSKEKEKKWLKF >fgenesh2_kg.4__405__AT2G24850.1 pep chromosome:v.1.0:4:3494868:3499566:-1 gene:fgenesh2_kg.4__405__AT2G24850.1 transcript:fgenesh2_kg.4__405__AT2G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGDDNCNANANVWRFKGNGATSDAAAVTLRKLAFGMFKNCTMNSGKTILFPTPGEPSAHPNFRTCPEAEEAVAAAARSGMANSYAPSPGVFKARRAVADYLNVELPTKLKPEDVYITGGCNQAIEIVLDSLAGNPAANILLPRPGYPHYDARAVYNGLEIRKYDLLPESDWEIDLDGLQAAADENTVAMVIINPNNPCGNVYTYDHLNKVAEMARKLGILIISDEVYDHVVYGDMPFIPMGKFASIAPVITLGSISKGWVVPGWRVGWIAMNDPKGIFISTGVVQAIEDFLDLTPQPSFILQEALPDILEKTPKEFFDKKIKAMRRNVELSCERLKDIPCLFCPKKPESCSYLWLKLDTSMLDNIKNDFDFCTKLVSEESLILIPGVALGTENWVRISIGTDESVVEEIFDRLKGFYDRHAISKEAITLNGHAINQIVVSVV >fgenesh2_kg.4__406__AT2G24860.1 pep chromosome:v.1.0:4:3509778:3511173:-1 gene:fgenesh2_kg.4__406__AT2G24860.1 transcript:fgenesh2_kg.4__406__AT2G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICMCCDSHLVSSKSTMNPWNSPKRTKLGFVLVGRRFPATTIVKASAVDSPESSSNFAKRMDQAWIISQQPSPVGCSSCNSKGHVECKWCAGTGFFILGDNMLCQVPSRNTSCVICSGQGSASCSDCKGTGFRAKWLEKPPVPT >fgenesh2_kg.4__407__AT2G24950.1 pep chromosome:v.1.0:4:3559520:3562412:1 gene:fgenesh2_kg.4__407__AT2G24950.1 transcript:fgenesh2_kg.4__407__AT2G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSCWRMLLFLLGYILYINRFVDAEILQTFSGFKIEEQLKVVNKPATKIIKTIHGDSYRCVDFYRQPAFDHPSMKNHLFHYEMGRPSSLQTSRANNGKFGYLWKNGIGCPIGTVPIKTIAKGYKPNNYKPRGSWNFTYNKYNVDGNQHHFAVSRTKGKGKIYNGATMILSINDPKIKSLQYSSARMHVQIGDDFIQAGWTVNQKLYSDNKTRSYVYTKVGENQCYNSLCPAGIIVVSSDISLGFYLGPPSVRGSRSGVYSEFGLLKNKENGNWWLKLGGQEIGYWPGKNFQQSFANNIEWGGEVYSASLPSPQMGNGYFPETHIEFDAVIFNITIVNENFKSVERIKNREAFSDNTRGYKVYDDIFVELPIRNAIYYGGPGNI >fgenesh2_kg.4__410__AT2G24970.1 pep chromosome:v.1.0:4:3573499:3574630:-1 gene:fgenesh2_kg.4__410__AT2G24970.1 transcript:fgenesh2_kg.4__410__AT2G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNHHHQAVDNLINVFARASHDLTVVHSKLDKEFQQLYPDNANPMKLIQRIKKLQEDVTLLKDQCLELLSAKQDLIDKAQTTLVGNCNLIQKMNVSLGESTNGEADDALADFNQIIDEWTMQVRSRAVGEIEEADKEDINKMLFSAIVHTN >fgenesh2_kg.4__412__AT2G25000.1 pep chromosome:v.1.0:4:3596130:3598006:1 gene:fgenesh2_kg.4__412__AT2G25000.1 transcript:fgenesh2_kg.4__412__AT2G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 60 [Source:UniProtKB/TrEMBL;Acc:D7LJI2] MDYDPNTNPFDLHFSGKLPKREVSDSASVDVEKKWLVKDEKRYMLQEEINRVKSENMKLNEMLAIVCEKYYALNKLLEELQSRKSLENVNFQNKQLTGKRKQARGDFVSSPIGLSLGTIENITTDKATVSTAYFAAEKSDTSLTVKDGYQWRKYGQKITRDNPSPRAYFRCSFSPSCLVKKKVQRSAEDPSFLVATYEGTHNHTGPHASASRTVKLDLVQGGLEPIEETKERGTIQEVLVQQMASSLTKDPKFTAALAAAISGRLIEHSRT >fgenesh2_kg.4__41__AT2G21237.1 pep chromosome:v.1.0:4:215080:215841:-1 gene:fgenesh2_kg.4__41__AT2G21237.1 transcript:fgenesh2_kg.4__41__AT2G21237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKATVETQQAAQEIWFLASARAFLQIPNPGIVKVFVLSSLITFTSGIALVIEWICHGKSHTGFGWIIYYALFLMCLPLVILIGLHILVRRGSDQIVDSTTSKEPCVVQNQCTESTKRTEETEKNSCQSLAVVVPPDDEKMPRIKRAVSFPSHGEVRSCRTR >fgenesh2_kg.4__420__AT2G25060.1 pep chromosome:v.1.0:4:3667939:3668796:1 gene:fgenesh2_kg.4__420__AT2G25060.1 transcript:fgenesh2_kg.4__420__AT2G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLIVSIFSFIFLFSLAAANEVTVGGKSGDWKIPPSSSYSFTEWAQKARFKVGDFIVFRYESGKDSVLEVTKEAYNSCNTTNPLANYTDGETKVKLDRSGPFYFISGANGHCEKGQKLSLVVISPRHSVSSPAPSPVEFEDGPALAPAPTSGSVRHGDGLYVVLGLVLGLLAWF >fgenesh2_kg.4__423__AT2G25090.1 pep chromosome:v.1.0:4:3678722:3682315:-1 gene:fgenesh2_kg.4__423__AT2G25090.1 transcript:fgenesh2_kg.4__423__AT2G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7LK43] MEESNRSTVLFDKYNIGRLLGTGNFAKVYHGTEISTGDDVAIKVIKKDHAFKRRGMMEQIEREIAVMRLLRHPNVVELREVMATKKKIFFVMEYVNGGELFEMIERDGQLPEDLARKYFQQLISAVDFCHSRGVFHRDIKPENLLLDGEGDLKVTDFGLSALMMPEGLGGRRGSSDDLLHTRCGTPAYVAPEVLRNKGYDGAMADIWSCGIVLYALLAGFLPFIDENVMTLYTKIFKAECEFPPWFSLESKELLSRLLVPDPEQRISMSEIKMIPWFRKNFTPPVAFSIDETIPSPPEPPAKKKKKDLNKEEDDGVSPRSFNAFQFITSMSSGFDLSNLFEIKRKPKRMFTSKLPAKSVKERLETAAREMNMRVKHVKDCKMKLQRRTEGRKGRLSVTAEVFEVAPEVSVVEFCKSSGDTLEYYLFCEDDVRPALKDIVWSWQGDDDEDDVTTNDNVNTNDNKITNIS >fgenesh2_kg.4__42__AT2G21240.1 pep chromosome:v.1.0:4:216090:217784:-1 gene:fgenesh2_kg.4__42__AT2G21240.1 transcript:fgenesh2_kg.4__42__AT2G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATBPC4/BBR/BPC4/BPC4 [Source:UniProtKB/TrEMBL;Acc:D7LL18] MENGGQYDNGRFKPDYFKGAQSMWNMMPQHQIKEQHNALVMNKKIMSILAERDAAVHERNQAVSAKKEAVAARDEALQQRDKALSERDKALIERDNAYAALQHHENSLNFALSGGKRVDGDDCFGIGEPHKLHVVPLSTIPPEVTNSTKVTKRKKENKQGQSKVKKVGEDLNRRVAAPGKKSRTDWDSQDVGLNLVTFDETTMPVPMCTCTGSAHQCYKWGNGGWQSSCCTTTLSQYPLPQMPNKRHSRMGGRKMSGNVFSRLLSRLAAEGYDLSCPVDLKDYWARHGTNRYITIK >fgenesh2_kg.4__433__AT2G25150.1 pep chromosome:v.1.0:4:3737447:3739306:-1 gene:fgenesh2_kg.4__433__AT2G25150.1 transcript:fgenesh2_kg.4__433__AT2G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LK50] MSNQRKPILPLLLEKKPVELVKPAKHTSCDETLSLSTLDNDLFNEVMYATIYVFKANQKKLNDPVSLLRKALSELLVHYYPLSGKLMRSESSGKLQLVYLGEGVPFEVATSTLDLSSLNYIENLDDQVALRLVPDIEIDYESNVSYHPLALQVTKFACGGFTIGTALTHAVCDGYGVAQIIHALTELAAGKTEPSVKPVWQRERLVGKIDNKPGKVPGSHIDGLLATSPYLPTTDVVTEIINIPAGDIKRLKDSLMRECDYLKESFTTYEVLSSYVWKLRSRALKLNPDGITVLGVAVGIRHVLDPPLPKGYYGNAYIDVYVELTVRELQESSIFDIANRVKKAKKTAYEKGYIEEELKNTERLMRDDAMFEGVSDGLFFLTDWRNIGWFGSMDFGWDEPVNLRPLTQRESTVHVGMILKPSKLDPSMEGGVKVIMKLPRDAMVEFKREMAAMNKLYFGDTN >fgenesh2_kg.4__435__AT2G25180.1 pep chromosome:v.1.0:4:3834589:3837234:-1 gene:fgenesh2_kg.4__435__AT2G25180.1 transcript:fgenesh2_kg.4__435__AT2G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:D7LK57] MTVEQNFEALDQFPVGMRVLAVDDDQTCLKILETLLRHCQYHVTTTNEAQKALELLRENKNKFDLVISDVDMPDMDGFKLLELVGLEMDLPVIMLSAHSDPKFVMKGVTHGACDYLLKPVRIEELKNIWQHVVRSRFDKNRGSNNGDKRDGSGNEGVGYSDQNNGRANRKRKDQYNEDDDEEKDDNDDSSAQKKQRVVWTVELHKKFVAAVNQLGYEKAMPKKILDLMNVEKLTRENVASHLQKFRLYLKRISGVANQQAIMANSDLHFLQMSSSLNGLDGFNHRPIPVGSGQFHGGAPAMRSFTPNEILGRLNTPSGIGVRNLSSPPAGMFLQNQTDLGKFHHVSSLPLNHSDGGNILPGLPLPLEFDQLQTNNNNNNNNIRNMNNNKSIAGTSMAFPSFSTQQNSIIGAPNNNLVVLEGHPQSSPPGFPGHQINKRLEHWSNAVSSSTLPPPAQNSNSINRQFDVSPLPQSRPDTLEWNNVSSSYSIPFCDSATTLSPPALDTTNPRAFCRNMDFDSSTNAQPGCFYDTLQTRQSGNYGPTTDAMLSSSNPKEGFVVGQQKLQSGGFMSAEAGSLDDIVNSTMKQEQSQGDLSGGDLGYGGFSSLRTCI >fgenesh2_kg.4__437__AT2G25190.1 pep chromosome:v.1.0:4:3884174:3885887:1 gene:fgenesh2_kg.4__437__AT2G25190.1 transcript:fgenesh2_kg.4__437__AT2G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLKGSVKRKKQSGSVPVYLNVYDLTPMNAYGYWLGLGVFHSGVEVHGVEYAFGAHESSSTGIFEVEPKKCPGFTFRKSILVGKTDLVAKEVRVFMEKLAEEYQGNKYHLITRNCNHFCNEVCLKLTQKSIPRWVNRLARLGVLCNCVLPPRLNEAKVRRVGKGELTESEKKKLRNRSRSGPLLSSSSSSSTPDNHRSHIRGKSTGNNPSSSSSSSTSGSKKNQRPRTQDQTSPSVSIKT >fgenesh2_kg.4__438__AT2G25200.1 pep chromosome:v.1.0:4:3900176:3901345:-1 gene:fgenesh2_kg.4__438__AT2G25200.1 transcript:fgenesh2_kg.4__438__AT2G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISALGRGGSSPLSACFHPSATAINDDLPSSLPSPSNPSYISASGDPTATCHYLTNVGVFFLSWSQSFLRRSLHLHFYSCNSTNCYLHSPDCYRHSIPFAFRLEIKPLTFWRKQGSKKISRKPDIRVVWDLTHARFGSGPDPESGFYVAVFVSGEVGLLIGEGNLKQRPRRQILVSKKENLFGNRVYSTKIKIQGKLREISIDIKVVNNDANLRFSVEDKSVLKINQLQWKFRGNSKIVIDGVTIQIIWDVYNWLFSDKDKVKPDKVPAVFLLRFENQDVEGNDVLMMNKRVRDDVVLRKENSRTPSFWGTSYGHWCSSRMSSVMEWPSCREEDERSFGSKSWFSLIIYAWRK >fgenesh2_kg.4__439__AT2G25220.1 pep chromosome:v.1.0:4:3911461:3913828:-1 gene:fgenesh2_kg.4__439__AT2G25220.1 transcript:fgenesh2_kg.4__439__AT2G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LK67] MGSGEEDRFDAHKKLLIGLIISFSSLSLIILFCFGFWVYRKNQSPKSINNSGTFTEIHFSLLMRRLGSIKTQRRTSINKGYVQFFDIKTLEKATGGFKDSSVIGQGGFGCVYKACLDNNVKAAIKKIENVSQEAKREFQNEVDLLSKIHHPNVISLLGSASEINSSFIVYELMEKGSLDEQLHGPSRGSALTWHMRMKIALDTARGLEYLHEHCHPPVIHRDLKSSNILLDSSFNAKISDFGLAVSLDEHGKNNIKLSGTLGYVAPEYLLDHGKLTDKSDVYAFGVVLLELLLGRRPVEKLTPAQCQSLVTWAMPQLTDRSKLPNIVDAVIKDTMDLKHLYQVAAMAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRLTR >fgenesh2_kg.4__440__AT2G25240.1 pep chromosome:v.1.0:4:3935762:3937130:-1 gene:fgenesh2_kg.4__440__AT2G25240.1 transcript:fgenesh2_kg.4__440__AT2G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGKSIENQNDVVVRLTKHVISTIANGSNLVFSPTSINVLLSLIAAGSSCVTNEKILSFLMLPSTDHLNIVLAKIIDGDTETSDLRLSIANGVWIDKFFSLKPSFKALLENSYKATCNQVDFATKPSEVIDEVNTWVEVQTNGLIKEILSRDSIDTIRSSTLVLANAVYFKGAWSSKFDANLTKDNDFHLLDGTSVKVPFMTNYEDQYLRSYDGFKVLRLPYIEDLRQFSMYIYLPNDKDGLAALLEMIGSEPEFIDNHIPLHRISVGAFRIPKFKFSFEFNASEVLKDMGLTSPFNNGGGLTEMVDSPSNGGDLYVSSILHKACIEVDEEGTEAAAVSVGVIMCTSLRRNPDFVADRPFLFTVREDKSGVILFMGQVLDPSKH >fgenesh2_kg.4__441__AT2G25260.1 pep chromosome:v.1.0:4:4016014:4018102:-1 gene:fgenesh2_kg.4__441__AT2G25260.1 transcript:fgenesh2_kg.4__441__AT2G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRGKFFFPILMTLSLFLIIRYNYIVSDDPPLRQEFPGRRAASSRDEVTYSVKTPSKKTKRLFHTAVTATDSVYSTWQCRVMYYWYNRFRDEPGSDMGGYTRILHSGRPDGLMDEIPTFVADPLPSGVDKGYVVLNRPWAFVQWLQQAHIEEDYILMAEPDHIIVKPIPNLARGNLAAAFPFFYIEPKKYESVLRKFFPKENGPISRIDPIGNSPVIVTKNALMKIAPTWMNVSLAMKNDPQTDKAFGWVLEMYAYAVSSALHGVSNILHKDFMIQPPWDTETKNTFIIHYTYGCDFDMKGKMMVGKIGEWRFDKRSYGSKPPPRNLPLPPQGVPESVVTLVTMVNEATANIPNWES >fgenesh2_kg.4__442__AT2G25270.1 pep chromosome:v.1.0:4:4035096:4037880:1 gene:fgenesh2_kg.4__442__AT2G25270.1 transcript:fgenesh2_kg.4__442__AT2G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLFFFCCFLTFLSLSHEASTIHISPTQPPVASSEKTGSVMKFIVAEAPLLGPGGFNNPQVNEVASVALAAQRTYRKDPLNGFEKYTGGWNISNQHYWASVSYTAVPLFVLAAVWFLGFGICLLVICMCHICHRTNSVGYSKVAYVVSLIFLLIFTVIAIIGCVLLYSGQIRYNKSTTETLEYVMSQADSTISQLRAISDYLASAKQAAVLQVLLPANVQTEIDQIGAKLNSSVATITEKSTNSSNNIRHFLDSVSVALIVVSIVMLVVTFLGLVSSIFGMQVIVYTLVILGWILVTGTFILSGTFLVLHNATADTCVAMSEWVERPSSNTALDEILPCTDNATAQETLMRSREVTGQLVDLINTVITNVSNINFSPVFVPMYYNQSGPLLPLLCNPFNHDLTDRSCSPGELDLNNATQAWTSFVCQVSQNGTCATTGRLTPALYSQMASGVNISTGLIRDAPFLVELQDCSYAKQTFRDITNDHCPGLQRYGYWVYVGLAILATAVMLSLMFWIIYSRERRHRKEALPEFSDSKEIVRVNF >fgenesh2_kg.4__445__AT2G25290.1 pep chromosome:v.1.0:4:4042824:4045019:1 gene:fgenesh2_kg.4__445__AT2G25290.1 transcript:fgenesh2_kg.4__445__AT2G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LKM1] MGKPTGKKKNNSEIPATDSSTSGGGKTRKSFDRSTTKSFDNDMTIFINRALELKEEGNKLFQKRDNEGAMFRYDKAVKLLPRDHGDVAYLRTSMASCYMQMGLGEYPNAINECNLALEASPRFSKALLKRARCYEALNKLDFAFRDSRVVLNMEPENVSANEIFERVKKVLVGKGIDVEEMEKNLVNVQPVGAARLRKIVKERLRKKKKKTMTMNGGNDGERNSVEAVVEDAKVENGEEADSGKSKEKRKVEDKVVVEEKKVSPVMDKEVIASEIVESAKEDATVTRTVKLVHGDDIRWAQLPLDSSVRLVRDVIRDRFPALKGFLIKYRDSEGDLVTITTTDELRLAASTREKLGSFRLYIAEVSPNQEPTYDVIANDESTDKFAKGSSSVADNGSVGDYVESEKASTTLEHWIFQFAQLFKNHVGFDSDSYLELHNLGMKLYTEAMEDIVTGEDAQQLFDIAADKFQEMAALAMFNWGNVHMSKARRQIYFPEDGSRETILEKVEAGFEWAKNEYNKAAEKYEGAIKIKSDFYEALLALGQQQFEQAKLCWYHALSGEIDIETDVSQDVLKLYNKAEESMEKGMQIWEEMEERRLNGISNFDKHKELLQKLGLDGVFSEASDEESAEQTANMSSQINLLWGSLLYERSIVEYKLGLPTWDECLEVAVEKFELAGASATDIAVMVKNHCSSDNALEGNYVI >fgenesh2_kg.4__450__AT2G25330.1 pep chromosome:v.1.0:4:4179179:4181824:-1 gene:fgenesh2_kg.4__450__AT2G25330.1 transcript:fgenesh2_kg.4__450__AT2G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSAVDKSHSSISSEKPLSSSRDRSGRAQEIMAVDRPGEYTAKCRWTVESFPCRLKSKALWSKYFDVGGYDCRILVYPRGDSQALRGYISIYLQIIDPRGTTSSLWDCFSSYRLSIVNHVDDSFTIHKESWHRFSSKKRSHGWCDFTLNSSILDPKIGFLFNNDFLLITADILILNESVSFSIGNNNELNSIAGPMPDVLSGNFTWRVNNFSLFKEMMKSQKITSPVFPAGESYLRICAYQSVVNEQEYLSMCLDSSDTEKTVLSDKSSWCLFSMSALNQKPGCTHMNRESYGRFASDNKSGDNTSVGWNDYMKMSDFVNPEAGFFVDDTAVFSTSFHVIKEFSSFTRTGGLIEGRNGTRNGQMGKFTWRIENFTRLVNLLEKRKITDLYIKSKRFQIGNRDCRLIVYPRGQSKAPCLHLSVFLEVTDSRSSSSDWSCFVSHQLSVVNQRSEEMSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDSVVFSAEVLILKETSLTKDYREAESANSVSQIDNTVKSSFTWKVENFLAFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSAGTDVDNNFWVKYKMGILNQKNPAKIVWKESSICTKTWNNSVLQFMKVSDMLEADAGFLVRDTVVFVCEILDCCPWFEFSDLIMVLASDDDQADASATNPDEIMDSEEREEDTFQDFLARAGITLPLGENPSQLQVTLQEKFLMDAGAISGFLTDLRVYLDDPTKAKRLLLPTKISSKLTKSDESSASLLNLLMGVKVLQQAIIDLLLDIMVKCCQPPKEGSHSDGCVAATSSVSKVGLSYY >fgenesh2_kg.4__456__AT2G25430.1 pep chromosome:v.1.0:4:4290728:4293222:1 gene:fgenesh2_kg.4__456__AT2G25430.1 transcript:fgenesh2_kg.4__456__AT2G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LL43] PSIRKAIGAVKDQTSIGIAKVASNMAPDLEVAIVKATSHDDDPASEKYIREILNLTSLSRGYILACVTSVSRRLSKTRDWIVALKALMLVHRLLNEGDPIFQEEILYSTRRGTRMLNMSDFRDEAHSSSWDHSAFVRTYAGYLDQRLELALFERKSGVSVNSGGNSSHHSNGDDRYGRGRDDYRSPPQRSYDYESGGSGGGDFRGDSNGYGGVPKRSRSYGDMTEMGGGGGRDEKKVVTPLREMTPERIFGKMGHLQRLLDRFLSLRPTGLAKNSRMILIALYPVVRESFKLYADICEVLAVLLDKFFDMEYTDCVKAFDAYASAAKQIDELIAFYNWCKETGVARSSEYPEVQRITSKLLETLEEFVRDRAKRGKSPERKEIEAPPPPVQEEEPEPDMNEIKALPPPENYTPPPPPEPEPQPQKPQFTEDLVNLREDEVTADDQGNKFALALFAGPPSNNGKWEAFSSNGVTSAWQNPAAEPGKADWELALVETASNLEKQTAALGGGFDNLLLNGMYDQGMVRQHVSTSQLTGGSASSVALPLPGKTNNQVLALPAPDGTVEKVNQDPFAASLTIPPPSYVQMAEMEKKQYLLSQEQQLWQQYQREGMRGQASLAKMNTGPVPAYGMPPVNGMGPPPTGYYYNNPY >fgenesh2_kg.4__457__AT2G25440.1 pep chromosome:v.1.0:4:4298200:4300866:1 gene:fgenesh2_kg.4__457__AT2G25440.1 transcript:fgenesh2_kg.4__457__AT2G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LL44] MTTMRLHFLSLLLLCCVSPSSFVIIRFITNNPVAGLVRCHPHKFQALIQFKNEFDTRRCNHSDYFNGIWCDNSTGAVTKLRLRACLSGTLKSNSSLFQFHHLRYLDLSHNNFTSSSLPSEFGNLNKLENLTKLTLLDLSHNHFSGTLNPNSSLFELHRLRYLNLEVNNFSSSLPSEFGYLNNLEHCGLKEFPNIFKTLQKLEAIDVSNNRIDGKIPEWLWSLPLLHLVNILNNSFDGFEGSTEVLVSSSVRILLLKSNNFQGALPSLPHSINAFSAGYNNFTGKIPISICTRTSLGVLDLNYNNLIGPIPQCLSNVTFVNLRKNNLEGTIPDTFIVGSSIRTLDVGYNRLTGKLPRSLLNCSSLEFLSVDNNRIKDTFPFWLKALPKLQVLTLSSNKFYGPISPPHQGPLGFPELRILEISDNKFTGSLPPRYFVNWKVSSSKMNEYAGLYMVYEKNPYGLVVYTFLDRIDLKYKGLHMEQAKVLTSYSTIDFSRNLLEGNIPESIGLLKALIALNLSNNAFTGHIPQSLANLKELQSLDMSRNQLSGTIPNGLKALSFLAYISVSHNQLNGEIPQGTQITGQLKSSFEGNAGLCGFPLEESCFDTSASPRQDHKKEEEEEEEEEEQVLDWKAVAIGYGLGLLIGLGIAQVIASYKPEWLTKIIGQNKGKIW >fgenesh2_kg.4__458__AT2G25470.1 pep chromosome:v.1.0:4:4343701:4347820:1 gene:fgenesh2_kg.4__458__AT2G25470.1 transcript:fgenesh2_kg.4__458__AT2G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LL46] MLLLGQLHGCKGCIMKEREALLELKKYLMSRSRESGLDYVLPTWTNDTKSDCCQWDGIKCNRTSRRVIGLSVGDMYFKESSPLNLSLLHPFEEVRSLNLSTEGYNEFNGFFDDVEGYRSLSRLRNLQIMDLSTNYFNYSIFPFLNAATSLTTIFLTYNEMDGPFPIKGLKDLTNLELLDLRANKLKGSMQELKNLINLEVLGLAQNHVDGPIPIEVFCNIKNLRELDLRGNHFVGQLPICLGRLKKLRVLDLSSNQLSGILPSSFNSLESLEYLSLLENNFADSFSLNPLTNLTKLKFIVVLRFCSLVGIPSFLVYQKKLRLVDLSSNKLSGNIPTWLLTNNPGLEVLQLQNNSFINFSMPTIVHNLQILDFSANNIGKFPDKMDHALPNLVRLNGSNNGFQGCFPTSIGEMKNISFLDLSNNNFSGKLPRSFVTGCVSLMFLKLSHNKFSGHFLPRETNFPSLDVLRMDNNLFTGKIGGGLRNSTMLRILDMSNNGLTGAIPRWLFKFSYLDYVLISNNFLEGTIPPSLLGMPFLSFLDLSGNQFSGALPLHVDSELGIYMFLQNNNFTGPIPDTLLQSVQILDLRNNKLSGSIPQFVDTESINILLLRGNNLTGSIPRELCDLRNIRLLDLSDNKLNGVIPSCLSNLSFGRLQEDTMALNIPPSFLQTSLKLELYKSTFLVDKIEVDRSTYQETEIKFAAKQRYDSYSGRSEFSEGILRLMYGMDLSNNGLSGVIPTELGGLLKLRTLNLSHNFLSSSIPFSFSKLRDMESLDLSHNMLQGSIPHQLTSLTSLAVFDVSYNNLLGIIPQGRQFNTFEEDSYLGNPLLCGPPTSRNCETKKSPEEADNGGEEEDDEAAIDMVVFYFSTALTYVTALIGILVLMCFDCPWRRAWLRIVDAFIVAVKNMLP >fgenesh2_kg.4__459__AT2G25480.1 pep chromosome:v.1.0:4:4363531:4366431:1 gene:fgenesh2_kg.4__459__AT2G25480.1 transcript:fgenesh2_kg.4__459__AT2G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVAADGADCAISNGGVTMEGDSSNGNGTSENLEGCSTQYPMEASEGTQNEQVDDSKQMSRQKVQGKVKHEKTSGGKNIPSVLVKKKRDGKVVASNGSVAPNVPPVKSPKSKSLNVREAHVTKHGKNHSTPAEGTRDKPKLMATRKQVNDTSEDDTQSPKEEDGKSRRASSLPNYGFSFRCDQRAEKRREFYSKLEEKIHAKEEEKNTVQAKSKETQEAELKMLRKSLNFKATPMPTFYQEPQLPKTELKKIPTTRPKSPKLGRKKTDSGADSEEAITIQTPRIGRLSLDEKAPVVKGSVPVETKKLPMRKSLPRLPSEKTNLSNGKVAPAKAVTASTKAKSERKKPDKDVDAQSQSSPVGDNADPEDSQEQAPRVNEDRNESHMVVEVVAVEP >fgenesh2_kg.4__45__AT2G21250.1 pep chromosome:v.1.0:4:217991:219923:-1 gene:fgenesh2_kg.4__45__AT2G21250.1 transcript:fgenesh2_kg.4__45__AT2G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITLNSGFKMPIVGLGVWRMEKEGIRDLIINAIKIGYRHLDCAADYRNEAEVGEALTEAFKTGLVKREDLFITTKLWNSDHGHVIEACKDSLKKLQLDYLDLFLVHFPVATKHTGVGTTDSALGDDGVLDIDTTISLETTWHDMEKLVSMGLVRSIGISNYDVFLTRDCLAYSKIKPAVNQIETHPYFQRDSLVKFCQKHGICVTAHTPLGGATANAEWFGTVSCLDDPVLKDVAEKYKKTVAQVVLRWGIQRNTVVIPKTSKPTRLEENFQVFDFELSKEDMEVIKSMDRKYRTNQPAKFWGIDLYA >fgenesh2_kg.4__460__AT2G25490.1 pep chromosome:v.1.0:4:4372254:4375321:-1 gene:fgenesh2_kg.4__460__AT2G25490.1 transcript:fgenesh2_kg.4__460__AT2G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ein3-binding F box protein 1 [Source:UniProtKB/TrEMBL;Acc:D7LL49] MSQIYSFAGENDFYRRGAIYPNPKDASLLLSLGSFVDVYFPPSKRSRVVAPTVFSGFEKKPVSIDVLPDECLFEIFRRLPGPQERSACAFVSKHWLKLVSSIRQKELDVPSNKTEDGDDCEGCLSRSLDGKKATDVRLAAIAVGTAGRGGLGKLSIRGSNSGSKVSDIGLTSIGRSCPSLGSLSLWNLSTISDNGLLEIAEGCPQLEKLDLNQCSTITDKGLVAIAKSCPNLSELTLEACSKIGDEGLQAIARSCSKLKSVSIKNCPLVRDQGIASLLSNTTCSLAKLKLQMLNVTDVSLAVVGHYGLSITDLVLAGLSHVSEKGFWVMGNGVGLQKLNALTITACQGVTDTGLESVGKGCPNMKKAIISKSPLLSDNGLVSFAKASLSLESLQLEECHRVTQFGFFGSLLNCGEKLKAFSLVNCLSIRDLTTGLPASSHCSALRSLSIRNCPGFGDANLAAIGKLCPQLEEIDLCGLKGITESGFLHLIKSSLVKVNFSGCSNLTDRVISAITARNGWTLEVLNIDGCSNITDASLVSIAANCQILSDLDLSKCAISDSGVHALASSDKLKLQILSVAGCSMVTDKSMPAIVGLGSTLLGLNLQQCRSISNSTVEFLVERLYKCDILS >fgenesh2_kg.4__464__AT2G25530.1 pep chromosome:v.1.0:4:4412724:4416067:1 gene:fgenesh2_kg.4__464__AT2G25530.1 transcript:fgenesh2_kg.4__464__AT2G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVSQISTVLRLGFSSKRRLSNGFHFVSRSYSTSDPPKPSGPLTSYSKLVEQGRLQHDPYQEKVVSAFENLFGRLEHFEKEMEDYHVRLAEWEKKREEERRKLMVEEAEKKEEDGMWASVNKHGQKLLGRWVLGRRQMNVEPGVGKWVSYLNRERKLDSIVGSRPAVPPAPKGLYIYGNVGCGKTMLMDMFFGATDGIIRHRQRFHFHEAMLKINEQMHKYWKENGAEKSSQYSISSWIMNLPVDEKVKEWLAGEEFYKQQLQMKHILPAVADKFLVDQQSSKKGASILCFDEIQTVDVFAIVALSGIMSRLLATGTVLVATSNRAPRELNQDGMQKEIFDKFVSKLEKHCEIISIGSEVDYRRVAAQNSVENVHYLWPLNKAVLEEFEKMWLQITDQYGGEITSATLPVMFGRTVEVPQSCNGVARFTFEYLCGRPVGAADYIAVAKNYHTIFISDIPAMSMEIRDKARRFITLVDELYNHHCCLVSSAETPIDELFQGTAEGTLFDLESFQFETETEDSRLRRDVLAEGSISAAGSPSSIVSMLSGEEEMFAFARAASRLIEMQTPLYLEGVHFLHPYFHQQK >fgenesh2_kg.4__465__AT2G25540.1 pep chromosome:v.1.0:4:4420380:4425772:-1 gene:fgenesh2_kg.4__465__AT2G25540.1 transcript:fgenesh2_kg.4__465__AT2G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:D7LL56] METKTPAWLPGSYRRNEFVRNRDDSDDGLKPLKDLNGQICQICGDDVGLTETGNVFALVMNVASLCVRLVMSMRGKMDLSVARSARLDSDGTMVSRTPGVEGDEKENDVNDIENELDYTQVNNKARLPHRAEEFSSSSRLESQPISLLTHGHPVSGEIPTPDRKATLSPCIDPQLPVPVRIVDLSKDLNSYGLGNVDWKERVEGWKLKQEKNMIQMTGKYHEGKGGEFEGTGSNGDELQMVDDARLPMSRVVNFPSSRMTPYRIVIVFRLIILGVFLHYRTTHPVKDAYAMWLTSVICEIWFAFSWLLDQFPKWYPINRETFLDRLALRYDRDGEPSQLAPVDVFVSTVDPMKEPPLVTANTVLSILAVDYPVETVACYVSDDGSAMLTFEALSETAEFAKKWVPFCKKFNIEPRAPEFYFSQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINILVAKAQKIPEDGWTMEDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLIYVSREKRPGFQYHKKAGAMNSLIRVSAVLTNGAYLLNVDCDHYFNNSKAIKEAMCFMMDPAIGKKCCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIIIKSCFGSRKKGKRSKIPNYDHNRSIKRSDSNVPLFSMEDIDEGVEGYDDEMSLLVSQKRLEKRFGQSPVFIAATFMEQGGLPPSTNPTTLLKEAIHVISCGYEAKTEWGKEIGWIYGFVTEDILTGFKMHARGWISIYCVPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYNGRLKLLERIAYINTIVYPITSIPLLAYCMLPAFCLITNKFIIPEISNSASLCFILLFTSIYASAILELRWSDVALEEWWRNEQFWVIGGTSAHLFAVFQGLLKVFAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTILLVNLVGIVVGVSYAINSGYQSWGPLMGKLFFALWVVAHLYPFLKGLLGRQNRTPTIVIVWSALLASIFSLLWVRINPFVSTTGVMSNSFM >fgenesh2_kg.4__466__AT2G25560.1 pep chromosome:v.1.0:4:4484738:4487764:1 gene:fgenesh2_kg.4__466__AT2G25560.1 transcript:fgenesh2_kg.4__466__AT2G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LL57] MECNKEDAIRAREIAKRKFLANDFAGARKFALKAQFLYPELDGIAQMVATFDVHLSAQNIIYGEIDFYGVLGLNPEADHETVRKRYRKLAVMLHPDRNKSVGAEEAFKFLSQAWGVFSDKAKRADYDLKRNVGLYKGGGASSSRPATNGFQKVTKASANTTKVKSSKRGIKRASDASAAATPTSAQKTTADGTFWTVCRTCRTQYEYHRVYLNQNLLCPNCRKPFIAVETDPPGSGSIRKTFHEHQFDSLRNTTDGRKKNVSGRDNNGVYGECDSFDWGLFTGTKNSAHATQTGSRKDEVVRREYTKRVAGVSSTIPPKRRKVTENAVAGANIASCFAPKSTGVKEISEDELKNLLKKKAKSVISRNLPALCTIVAETETDANERGMETEDLNGFNAGSSVNKNAIESCCMDSVEDTSATDKDLNSLGALTLDVTAPDFCDFEKDRTEKSIRDDQIWAFYDSLEGMPRSYALIHNVISVDPFKVRMSWLTPVTNGELSSTNWLGFGIPKSCGGFRVWKTQICRSPYSFSHKVNLVKGSHGEFLIYPRRGDVWALYRKWSPDWNYLTGVETVEYDIVEVVEGYTEEYGVSVVPLVKVAGFKAVFHHHLDPKETRRILRDEISRFSHKIPSYLLTGQEAPGAPRGCRQLDPAATPSQLLQAIDDYR >fgenesh2_kg.4__467__AT2G25570.3 pep chromosome:v.1.0:4:4489948:4492307:-1 gene:fgenesh2_kg.4__467__AT2G25570.3 transcript:fgenesh2_kg.4__467__AT2G25570.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LL59] MFRRLILRQAAAVAAESKIARDRLHGFDQRRGLHSRNKKAMEYVAKGWSAIKEVDRVIDYCELNDRRLIPLLRGAKENFELALEADNLNTHARYWLSKLHLKYHVPGACKAVGAALLVEAADMGNADAQYELGCRLRVEYDHVQSDQQAFHYIENAVDQLHPGALYLLGIVYLTGDCVKQDVDSAIWCFHRASEKGHAGAAIAYGSLLLRGVQVPESLTKLNAVGVSPPKRSKKNLENPGMNPLEMAKEQFQIAARAGCDLGLQWLQRVEQEEKLLMSEQDNECAYV >fgenesh2_kg.4__46__AT2G21260.1 pep chromosome:v.1.0:4:220397:221944:-1 gene:fgenesh2_kg.4__46__AT2G21260.1 transcript:fgenesh2_kg.4__46__AT2G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITLNNGFKMPIIGLGVWRMEKEEIRDLTINAIKNGYRHLDCAGNYKNEAEVGEALTEAFTTGLVKREDLFITNKLWSSDHGHVIEACKDSLKKLQLDYLDLFLVHLPVATKHTGVGTTDSALGDDGVLDLDTTISLETTWHDMEKLVSMGLVRSIGLSNCDVFLTRDCLAYSKIKPAVNQIETHPYFQRDSLVKFCQKHGICVTAHTPLGGATANAEWFGSVSCLDDPVLKDVAEKYKKTVAQVVLRWGIQRNTVVIPKTSKPARLEENFQVFDFELSKEDMEVIKSMDRKYRTHQTAKFWGIELYA >fgenesh2_kg.4__472__AT2G25610.1 pep chromosome:v.1.0:4:4564016:4565232:-1 gene:fgenesh2_kg.4__472__AT2G25610.1 transcript:fgenesh2_kg.4__472__AT2G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H+-transporting two-sector ATPase [Source:UniProtKB/TrEMBL;Acc:D7LL66] MSGVVAIHASSWGAALVRISPYTFSAIGIAISIGVSVLGAAWGIYITGSSLIGAAIEAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSSKMYDAESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSTLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPTK >fgenesh2_kg.4__473__AT2G25620.1 pep chromosome:v.1.0:4:4567941:4570112:-1 gene:fgenesh2_kg.4__473__AT2G25620.1 transcript:fgenesh2_kg.4__473__AT2G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRRISDPENGSSSYGGKPPNPLSFSSSSAAAVYKQSFDGERSLAPCNKRSLVRHPSLVKTKVSDISVENEFTLEKNKSEFVPAMRSGAWSDIGSRSSMEDAYLCVDNFMDSFGLLNSEAGPSAFYGVFDGHGGKHAADFACHHIPRYIVEDQEFPSEINKVLSSAFLQTDTAFLEACSLDGSLASGTTALAAILFGRSLVVANAGDCRAVLSRQGKAIEMSRDHKPMSSKERRRIEASGGYVFDGYLNGQLNVARALGDFHMEGMKKKKDGSDCGPLIAEPELMTTKLTEEDEFLIIGCDGVWDVFMSQNAVDFARRRLQEHNDPVMCSKELVEEALKRKSADNVTAVVVCLQPQPPPNLVAPRLRVHRSFSAEGLKDLQSYLDGLGN >fgenesh2_kg.4__474__AT2G25630.1 pep chromosome:v.1.0:4:4585947:4587475:1 gene:fgenesh2_kg.4__474__AT2G25630.1 transcript:fgenesh2_kg.4__474__AT2G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7LL70] MTNKYFCLLVFIVLASNEVVAKRHSSTPKLRKYDFPEDFIFGAATSAYQVEGAAHEDGRGPSIWDTFSEKYPQKIKDGSNGSIADDSYHLYKEDVDSPSRGRGFCLVGISKEESTKLPFATIFHWDTPQDLEDAYGGFRGAEIVNDFRDYADICFKNFGDRVKHWMTLNEPLTVVQQGYVAGVMAPERCSKFTNPNCTSGNGATEPYIVGHNLILAHGEAVKVYRKKYKATQKGWNLPYTESSKDRLAAARAMAFTFDYFMEPLVTGKYPVDMVNNVKGGRLPTFTTKQSKMLKGSYDFIGINYYSSSYAKDVPCSSENVTMFSDPCSSVTGEREGGIRDLILYAKYKFKDPVMYITENGRDEASTGKIDLKDSERIDYYARHLKMVQDAISIGANVKGFFAWSLLDNFEWASGYTVRFGLVYVDFNDGRKRYLKKSAHWFRHLLNGKKNN >fgenesh2_kg.4__476__AT2G25640.1 pep chromosome:v.1.0:4:4598221:4601349:1 gene:fgenesh2_kg.4__476__AT2G25640.1 transcript:fgenesh2_kg.4__476__AT2G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LL72] MGQFINVDTTPDLTSNQISGGRQDFHVMLPSAVGLGSVNMDTTLLSGKRKSPLQQSVPNKRMVLPMEHRPWASAPMQVQLSSVSPRTQYLPASFVSKNSSVSCNKPGKQTAARKQTSQKPMLLKPQSESSGSVRFKMRESLAGALAMVQCHMEVPKESKRLDSETVGNPLEVHVSEPVSAASGVDVMVSNGSTEILTLSDPSTLEGVSVQTVLPEILTITKTTDAQEIIAQEPEALKPFVQDNVSYSDNVFSKDDLLQGNDLSWALESDIDFTVNCRNDMIGAMANDGSQEKLLLDPQVLAFEIEAELFKLFGGVNKKYKEKGRSLLFNFKDKSNPKLREKVMYGEIAAERLCSMSAEELASKELAEWRQAKAEEMAQMVVLQDTEVDIRSLVRKTHKGEFQVEVEPMDSGSVEVSVGMSSLNWSRPKNIKKKTPSITKTLGIKKELNVSDEGNGAINGVTIDDEMQAATVSLPSIVSLDEFMSSIDSESPSVSDNNDAETVLVCISPKESANIDLGTSPVKAEDGDIVTLKPDSDLKSEIISGFIPDGERVWEGALQLSASTVSSVIGILRSGEKTTTKEWPMLLEIKGRVRLDAFEKFVRELPNSRSRAVMVMTFVCREECSKTDQEIISEVVDSYAKDERVGYAEPASGVELYLCPTRGRTPEILNKIVPRNQLDFLKSLNDDGLIGVV >fgenesh2_kg.4__47__AT2G21270.1 pep chromosome:v.1.0:4:222119:224749:1 gene:fgenesh2_kg.4__47__AT2G21270.1 transcript:fgenesh2_kg.4__47__AT2G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDGYHYHGTTFEQSYRCYPASFIDKPQIESGDKIIMPPSALDRLASLHIDYPMLFEVRNAGIERVTHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDIVRVRNVTLPKGTYVKLQPHTTDFLDISNPKAILETALRNYSCLTTGDSIMVPYNNKKYFIDIVETKPANAISIIETDCEVDFAPPLDYKEPERPTAPAAAKGPAKAEEVVTEPEPKFNPFTGSGRRLDGRPLAYEPAPASSSKDKQPVVANGNGQSSVASSSEKATRAQGKLVFGANANRAPKEAAPKVGAAKETKQEEQEKKDEPKFQAFSGKKYSLRG >fgenesh2_kg.4__480__AT2G25680.1 pep chromosome:v.1.0:4:4736104:4737572:-1 gene:fgenesh2_kg.4__480__AT2G25680.1 transcript:fgenesh2_kg.4__480__AT2G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter [Source:UniProtKB/TrEMBL;Acc:D7LBF8] MESQSQSGQHQTPKRSRFTGMFHKLKTNLVFRSKLAEINGAMGDLGTYIPIVLALTLAKDLDLGTTLIFTGIYNAITGAVYGVPMPVQPMKSIAAVAISSTAEDFGIPEIMAAGICTGGILFVLGISGLMQLVFNIIPLSVVRGIQLSQGLAFAMSAVKYIRKEQNFSKSKSVGDRPWLGLDGLVLALVCVLFIILVNGDGEEEEEEEEGDGSRGRRRRVSIRKVIANVPSALLIFLLGVVLAFIRKPSIVHGIKFGPSKMKIVRISKKAWKNGFLKGTVPQLPLSVLNSVVAVCKLSYDLFPEKEFSAASVSMTVGLMNIVGCWFGAMPTCHGAGGLAGQYKFGGRSGGCVALLGVAKLVLGLVLGGSLVGILEKFPVGVLGALLLFAGIELAMAARDMNTKGDAFVMLICTAVSLGSNAAIGFVAGILLYVVLWMRNYGRAKPISLPSQSDEHA >fgenesh2_kg.4__482__AT2G25690.1 pep chromosome:v.1.0:4:4742868:4744936:-1 gene:fgenesh2_kg.4__482__AT2G25690.1 transcript:fgenesh2_kg.4__482__AT2G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTRAMFPHKDQAMSLSLDQQSDLLVGLNNTRPITNPLALSLLIGLNNNKKCISDSDFVRSPKSPLEFRILSTMADSFFLRSPRSSLTAHLNCCCGPAAKVGLSIVDSLGDDRCLLPDVVFGPALRIKCSEVKLFPVAKSMKIEKERSGVVFEIGDNSSEPEPIGLRNRSFSANDCLRKTRVLSRSKLGAEGDFQGSGSENAFSSCLSEDDMEDYTCIIAHGPNPKTTHIYGDRVLECHKNGLKGDDDNKEKTIETEFDNFLSICNFCNKKLGGDDDIYMYREKSFCSAECRSEEMMIEEEDQEEPCIAMHESLKKLF >fgenesh2_kg.4__483__AT2G25710.1 pep chromosome:v.1.0:4:4785957:4788745:1 gene:fgenesh2_kg.4__483__AT2G25710.1 transcript:fgenesh2_kg.4__483__AT2G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Holocarboxylase synthetase 1 [Source:UniProtKB/TrEMBL;Acc:D7LBG1] MEAVRLTTLSNFHLLNILVLRSLKPLRRLSFSVSASAMESDASCNLVLYGKSSVETEIAKCLKNKNSLTLPDNTKVSLFLESEAKNLVKDDGSFNLSLFMNSIITHRFGRFLIWSPRLSSTHDVVSQNFSELPVGSVCVTDIQFKGRGRTKNVWESPKGCLMYSFTLEMEDGRVVPLIQYVVSLAVTEAVKDVCDKKGLPYIDVKIKWPNDLYLNGLKVGGILCTSTYRSKKFHVSVGVGLNVDNEQPTTCLNAVLKGMSPESTLLKREEILGAFFHKFEKFFDLFMDQGFKSLEELYYRTWLHSEQRVTVEEKVEDQVVQNVVTIQGLASSGYLLAIGDDNQMYELHPDGNSFDFFKGLVRRKI >fgenesh2_kg.4__486__AT2G25737.1 pep chromosome:v.1.0:4:4922725:4925600:1 gene:fgenesh2_kg.4__486__AT2G25737.1 transcript:fgenesh2_kg.4__486__AT2G25737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRSKWLGLRSVIMVLINFSLAFAFVSAERRIIKGKSLRLNSDETRENESSFFLKAVNFLWESDQIGYRHVWPEFEFNWQIVLGTLVGFFGAAFGSVGGVGGGGIFVPMLSLVIGFDPKSATAISKCMIMGASVSTVYYNLRLRHPTLDMPIIDYDLALLIQPMLMLGISIGVAFNVIFPDWLVTVLLIILFLGTSTKAFLKGSETWNKETIEKKEAAKRLESNGVSGAEVEYVPLPAAPSTNPGNKKKEEVSIIENVYWKELGLLVFVWVVFLALQISKQNLANCSVAYWVINLLQIPVAVGVSGYEAVALYQGRRIIASKGQGDSNFTVGQLVMYCTFGILAGIVGGLLGLGGGFIMGPLFLELGVPPQVSSATATFAMTFSSSMSVVEYYLLKRFPVPYALYLVGVATIAAFVGQHVVRRLIAALGRASLIIFILASMIFISAISLGGVGIVNMIGKIQRHEYMGFENLCKYGG >fgenesh2_kg.4__487__AT2G25740.1 pep chromosome:v.1.0:4:4926885:4930559:1 gene:fgenesh2_kg.4__487__AT2G25740.1 transcript:fgenesh2_kg.4__487__AT2G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent protease La domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LBH1] MDDERIRERERLQIEQIRELDFEELQVEEVDDLHDSDSDDNDHLSSFPFSSHAQASGNFGDDELIFNPALASLHMYLGEVEDTQNRIAFVDGGTVLKIPLFYLEGVVLFPEATLPLRIVQPSFLAAVERALNQANAPSTIGVIRVYREGAQFKYASVGTTAEIRQYRRLGDGSFNVITRGQQRFRLKRRWTDVEGFPCGEVQIVDEDVPLRTPRDAFGKLVPISKLQARYPLSTVSLSTPLRDMEANSEESFESALSPSEKRLHYSVVDSIFCNSTSSDDDQVVGTSTVQSSGSNPYSSRSIGYLASSHDDENEEEQSTIGKTPVSQEKYQKQNRLASFRESTDLSRFRMTPRAFWPFWAYRMYDSYYLSQRAADLWKQIVGVPNMEAFVNKPNILSFSIASKIPVSESIRQELLELDGVSYRLQREIELLESFDRVRCIHCQTVIARRKDMLVMSNEGPLGAYVNPHGYVHEIMTFYKANDIALRGRPVKKDSWFPGYAWTIANCATCETQLGWLFTATNKKLKPSSFWAVRGSQVADDMR >fgenesh2_kg.4__489__AT2G25760.1 pep chromosome:v.1.0:4:4931567:4935486:-1 gene:fgenesh2_kg.4__489__AT2G25760.1 transcript:fgenesh2_kg.4__489__AT2G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LBH2] MPELRSNARRDRANKNPKPNQKNPIALKQSPVRRNPRRQKKKVVVKETIVEAEKATPLVKEEEEIRVSREDKKMDENDSGGQAAPVPDDEGNTPPLPEKVSVGGSPMYKLDRKLGKGGFGQVYVGRKMGTSTSNARFGPGALEVALKFEHRTSKGCNYGPPYEWQVYNALGGSHGVPRVHFKGRQGDFYVMVMDILGPSLWDVWNSTTQAMSTEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTPEEKKLFLVDLGLATKWRDTATGLHVEYDQRPDVFRGTVRYASVHAHLGRTCSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPQPFRQFVEHVVNLKFDEEPDYAKYISLFDGIVGPNPDIRPINTEGAQKLIHQVGQKRGRLTMDEEDEQPTKKIRLGMPATQWISIYSAHRPMKQRYHYNVTDTRLAQHIEKGTEDGLFISSVASCADLWALIMDAGTLFTDQVYQLSPSFLHKEWIMEQWEKNYYITAVAGANNGSSFVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTSMATAGSKWGIVMSRGANFSDQVVELDFLYPSEGIHRRWENGYRITSVAATWDQAAFVLSVPRRKLTDETQETLRTSAFPSNHVKEKWGKNLYIASICYGRTVS >fgenesh2_kg.4__490__AT2G25780.1 pep chromosome:v.1.0:4:4973498:4974010:1 gene:fgenesh2_kg.4__490__AT2G25780.1 transcript:fgenesh2_kg.4__490__AT2G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LBH7] NERILRKAFSDVSLERKRNISEKDEQEQDRELEVKQVKCDCCGIEEECTMQYIDKVKDLYSGNWVCGLCAVVVTERFRKDPPTATGIQEAFDWHKGICDAFNSTTRVNPKLDFARSMREIAKRSSQNRMSDFSLGSKIARTISCDPRLET >fgenesh2_kg.4__492__AT2G25800.1 pep chromosome:v.1.0:4:5008326:5012147:-1 gene:fgenesh2_kg.4__492__AT2G25800.1 transcript:fgenesh2_kg.4__492__AT2G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLFRELSLGHSKRESTPPPPSQSATSRSSSMSSDLPPSPLGQLAVQLSDSDLRLTAYEIFVAACRSATGKPLSSAVSVAVSNPDSPNGSPASPAIQRSLTSTAASKMKKALGLRSSSSLSPGSNKSPGSGSGSASGSNGKSKRPTTVGELMRIQMRVSEAVDSRVRRAFLRIAASQVGRKIESVVLPLELLQQLKSSDFTDQQEYDAWLKRSLKVLEAGLLLHPRVPLDKTNSSQRLRQIIHGALDRPLETGRNNEQMQSLRSAVMSLATRSDGSFSDSCHWADGSPFNLRLYELLLEACFDSNDATSMVEEVDDLMEHIKKTWVILGINQMLHNLCFTWILFSRYVATGQVEMDLLHACDSQLAEVAKDAKTTKDPEYSQVLSSTLSAILGWAEKRLLAYHDTFDRGNIHTMEGIVSLGVSAARILVEDISNEYRRRRKGEVDVARTRIETYIRSSLRTSFAQASICIRMEKADSSRRASRNQKNPLPVLAILAKDIGELAVQEKRMFSPILKRWHPFAAGVAVATLHVCYGNEIKQFISGISELTPDAVQILRAADKLEKDLVQIAVEDSVDSDDGGKAIIREMPPFEAETVIANLVKDWIKARIDRLKEWVDRNLQQEVWKPLENQEGGYAQSAAEVLRITDETLEAFFQLPIPMHPAVLPDLIIGLDKYLQYYVSKAKSGCGSRTTYMPTMPALTRCTTESKFQWKKKEKTPISQKKDAQVSVMNGENSFGVTQICVRINSLHKIRSELDVVEKRVITHLRNCESAHTDDFSNGLEKKFELTPAACIEGVQQLSESLAYKVVFHDLSHTLWDGLYIGDLSSSRIDPFLKELEQNLTVIAETVHERVRTRIITDIMRTSFDGFLLVLLAGGPSRAFTRQDSQIMEEDFKAMKDMFWANGDGLAMDLIDKFSTTVRGVLPLFSTDTDSLIERFKGTTLEAYGSSAKSRLPLPPTSGQWNGMEPNTLLRVLCYRNDESATRFLKKTYNLPKKL >fgenesh2_kg.4__494__AT2G25820.1 pep chromosome:v.1.0:4:5030398:5031226:1 gene:fgenesh2_kg.4__494__AT2G25820.1 transcript:fgenesh2_kg.4__494__AT2G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LBI3] MVDSHGSDTECSSTKKRKEKPKEKGVYHGARMRSWGKWVSEIREPSKKSRIWLGTFPTAEMAARAHDVAALSIKGSSAILNFPELADFLPRPVSLSQQDIQAAATKAAMMDFETVSFHLQDETTPLQTRCDTEKIEKRSSSSSSSSSSSSSMLSEELGDIVELPSLENNVKTDFALYDSLEGLVSMPPWLDVTEEDFTYGHDSVMLDPRLQESFLWNYE >fgenesh2_kg.4__4__AT2G20950.1 pep chromosome:v.1.0:4:97097:99338:1 gene:fgenesh2_kg.4__4__AT2G20950.1 transcript:fgenesh2_kg.4__4__AT2G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFHSREKRHGKRAGLFGHKSASKSNPSSPPHLAEARSLPTTHFGMKRSESEHAFPISDEQTTHWKQQRASERIPNSHQRPPVYRYSTPERPREPDEDVTPRSNGSGSPFRSARTRTPDRRRRSSELSKELYERMYEAEANVSPFHPSRSRSPAPYNTHDRGRDYSRERYEAKDNVTSRNSAPSSPFHPSRSRSPPQHARTQRYNNGKDHFEGMYEADADITPRNSPPMSPVHHTTRYSPPPPFYSSSDDEDDNNSTYLFPEIATGRRSRGVSGSSTPVHYKYQITSVETYEQDRQFEPPELPDESESFTMHEIAKMRGLQSYNKEEIQLAISETYVSVANYKVRMSVAATLEAIIDKHGDIAASSKLQSTSTRSFYLESLAAAMMELKSTALRDLTKTRVAEIAAVVKDMDSVRIDVSWLKTAVTELAEAVEYYGKYDTAKIVREECDREMTEGKEEMEELREELRRREKETKECRERVTAMAGRLGQLEMKEVRVKKNLKLCESKVLKFDGHSVLVD >fgenesh2_kg.4__501__AT3G24350.1 pep chromosome:v.1.0:4:5270336:5271842:-1 gene:fgenesh2_kg.4__501__AT3G24350.1 transcript:fgenesh2_kg.4__501__AT3G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRHGQSSYRDRTGEFFSIVESLRRSIAISPATNNVPYSERREDLNKRSEFTKRARSIGLAISQTSQKLSKLAKLAKRTSVFDDPTQEIQELTVVIKQEISALNTALLDLQVFRSSQNDEGNNSRDKTTHSATVVDDLKYRLMDTTKEFKDVLTMRTENMKVHENRRQLFSSNASKESTNPFVRQRPLAAKAAASESAPLPWANASSSSSSQLVPWKQGEAESSPLLQQSQQQQQQQQQQMVPLQDTYMQSRAEALHNVESTIHELSNIFAQLATMVSQQGEIAIRIDQNMEDTLANVEGAQSQLARYLNSISSNRWLMMKIFFVLIAFLMIFLFFVA >fgenesh2_kg.4__502__AT2G25880.1 pep chromosome:v.1.0:4:5275454:5277732:-1 gene:fgenesh2_kg.4__502__AT2G25880.1 transcript:fgenesh2_kg.4__502__AT2G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAUR2 [Source:UniProtKB/TrEMBL;Acc:D7LC06] MGISTETQQNAVSEAAQKRWTTSDFDVGKPLGRGKFGHVYLAREKRSNHIVALKVLFKTQLQQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAARGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGILCYEFLYGVPPFEAREHSETYKRIVQVDLKFPPKPIVSSYAKDLISQMLVKESTQRLALHKLLEHPWIVQNADASGIYRG >fgenesh2_kg.4__504__AT2G25900.1 pep chromosome:v.1.0:4:5295008:5296373:1 gene:fgenesh2_kg.4__504__AT2G25900.1 transcript:fgenesh2_kg.4__504__AT2G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGENNNRPHPTIHIPQWDQINDPTVTISSPFSSVNINGVNDYPHSPSPYFESFASLFRYLPSNELTNDSDSSSGDESSSLTDSFSSDEFRMYEFKIRRCARGRSHDWTECPFAHPGEKARRRDPRKFHYAGTACPEFRKGSCRRGDSCEFAHGVFECWLHPSRYRTQPCKDGTSCRRRICFFAHTTEQLRVLPCSLDPDLGFFSSTSPTSILVSPSFSPPSESPPLSPSTGELIASMRKMQLNGGGSWSSSPVRSGVRLPFSSSLRPIQAQTWPRIREFEIEEAPAMEFVESGKELRAEMYARLSRENSLG >fgenesh2_kg.4__506__AT4G05505.1 pep chromosome:v.1.0:4:5319304:5321414:1 gene:fgenesh2_kg.4__506__AT4G05505.1 transcript:fgenesh2_kg.4__506__AT4G05505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRGGKGKRGRGSKIPPQNRPTSSQPVRVESKTLNRRPRGLPSQYEFTPANRQAPLQDSEQEPIAQPPTGPTIRDYPPPTQLFQSGEGSPRGSGSTPFRASGSTQPRSGGSRRFNGKLTISLQRRFNGKPPISLQRRFNRKPPILHLGRRQILHLEPLYHITALKLKTHMRKKMKMRKLRLIMKGNQLSLKIRLLLSMSCFFSQDVRSLQRSSLPHLSLEPIGKLSRLGLGLILSNVCVYLLSRLGVYMVS >fgenesh2_kg.4__508__AT2G25910.2 pep chromosome:v.1.0:4:5377933:5380988:-1 gene:fgenesh2_kg.4__508__AT2G25910.2 transcript:fgenesh2_kg.4__508__AT2G25910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPTQLAHVPIPPEPGGRSLTQEANEPQVPIHIVTDPLQLPADFLNPSPEKKLVIGFDCEGVDLCRHGKLCIMQIAFSNAIYLVDVIEGGEVLMKACKPALESTYITKVIHDCKRDSEALYFQFGIRLHNVVDTQIAYSLIEEQEGRRRPLDDYISFVSLLADPRYCGISYEEKEEVRVLMRQDPKFWTYRPMTELMIRAAADDVRFLLYLYHKMMGKLNQRSLWHLAVRGALYCRCLCCMNDADFADWPTVPPIPDNLKSEDQCLEEEILSVLDVPPGKMGRVIGRKGASILAIKEACNSAEILIGGAKGPPDKIFVIGPVKEVRKAEAILRGRMIDY >fgenesh2_kg.4__509__AT2G25930.1 pep chromosome:v.1.0:4:5420467:5424599:1 gene:fgenesh2_kg.4__509__AT2G25930.1 transcript:fgenesh2_kg.4__509__AT2G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKDEEKILEPMFPRLHVNDADKGGPRAPPRNKMALYEQLSIPSQRFGDHGTLRSNNTSTLVHPGPSNQPCGVERNLSAQHLDSSAANQATEKFVSQMSFMENVRSVAQHDQRKMVREEEDFAVPVYINSRRSQGHGRNKTGIEKEKHTPLVEPSPRNSLQFQEVNRTSSKQNVRSKPEGRDQVKAKAKSGGFVISLDLSVTEEIDLEKSASSYDRVNDCNASLRQESRNRLYRDGGETRVKDTDNGAESHLETESHSEDGHCSPEDIDTGREYSRSRGCASLQQINEEASDDVSDDSMVDSISSMDVSPDDVVGILGQKRFWRARKAIANQQRVFAVQLFELHRLIKVQKLIAASPDLLLDEINFLGKVSAKSYPVKKLLPSEFLVKPPIPHVVVKQRGDSEKTDQHKMESSAENVVGRFSNQGHHQQSNYMPFANNPPASPAANGYCYPPQPPPSGNQQWLIPVMSPSEGLIYKPHPGMGHTGHYGGYYGHYMPTPMVMPQYHPGMGFPPPGNGYFPPYGIMPTMMNPYCSGQQQQQQQPNDQMNQFGHHGNLQNTQQQQSSVNAAAALQHQQPTKSYPRARKSRQGSTGSSPSGPQGISGSKSFRPFSAVDEDSKINNAPEQMMTTTTTTSTTVTQTTRDGGGVTRVIKVVPHNAKLASENAARIFQSIQEERQRYDSSKP >fgenesh2_kg.4__510__AT2G25940.1 pep chromosome:v.1.0:4:5424583:5427369:-1 gene:fgenesh2_kg.4__510__AT2G25940.1 transcript:fgenesh2_kg.4__510__AT2G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-vacuolar processing enzyme [Source:UniProtKB/TrEMBL;Acc:D7LC26] MTTVAVTFLALFLYLVAAVSGDVIKLPSQASKFFHPTENDDDSTRWAVLVAGSSGYWNYRHQADVCHAYQLLKKGGVKEENIVVFMYDDIAKNEENPRPGVIINSPNGEDVYNGVPKDYTGDDVNVDNLLAVILGNKTAVKGGSGKVVDSGPNDHIFIYYSDHGGPGVLGMPTSPYLYANDLNDVLKKKHASGTYKSLVFYLEACESGSIFEGLLPEGLNIYATTASNAVESSWGTYCPGEDPSPPSEYETCLGDLYSVAWMEDSDIHNLQTETLHQQYELVKKRTAGSGKSFGSHVMEFGDIGLSKEKLVLYMGTNPANENFTFVNENSLRPPSRVTNQRDADLVHFWDKYRKAPEGSARKVEAQKQVLEAMSHRLHVDNSILLIGKLLFGLDSPAVLNNVRPSGTPLVDDWDCLKSLVRVFEMHCGSLSQYGIKHMRSIANICNAGIQMGQMEEAAMQACPTIPASPWSSLERGFSA >fgenesh2_kg.4__512__AT2G25970.1 pep chromosome:v.1.0:4:5432775:5436772:-1 gene:fgenesh2_kg.4__512__AT2G25970.1 transcript:fgenesh2_kg.4__512__AT2G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESQYSSDTYSNKRKYEEQTAPPPSTRRPTGFSSGPIPSASPDLTASAVPPPSSYNSVPPPMDEIQIAKQKAQEIAARLLNSADAKRPRVENGASYDYGDNKGFSSYPSEGKQMSGTPPSSIPVSYGSFQGTTKKIDIPNMRVGVIIGKGGETIKYLQLQSGAKIQVTRDMDADPNATTRTVDLTGTPDQISKAEQLITDVLQEAEAGNTAGSGGGGRRMGGQAGADQFVMKIPNNKVGLIIGKGGETIKSMQAKTGARIQVIPLHLPPGDPTPERTLQIDGITEQIEHAKQLVNEIISGENRMRNSAMGGGYPQQGGYQARPPSSWAPPGAPPAQPGYGGYMQPGAYPGPPQYGQSPYGSYPQQTSGGYSSTWDQSSVPPSQQSAHGEYDYYGQQQSQQPSSGGSSAPPTDTTGYNYYQHASGYGQAGQGYQQDGYGAYNASQQSGYGQAAGYDQQGGYGSTTNPSQEEDTSQAAPPSSAQSGQAGYGTTGQQPPAQGSTSQAGYGAAPTSQAGYSSQPPAAYSSGYGAPPPSSKPPAYGQSQQSPGAPGSYGSQSGYAQPAASGYGQPPAYGYGQAPQAYGSYGGYTQPAAGGGYSSDGSAGAATGGGGGTPASQSAAPPAGPPKASPKS >fgenesh2_kg.4__513__AT3G33528.1 pep chromosome:v.1.0:4:5488358:5488821:-1 gene:fgenesh2_kg.4__513__AT3G33528.1 transcript:fgenesh2_kg.4__513__AT3G33528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSEEFSEVPDLSKWFSSYVYESPMLDTSDGLEFLGESKGTKEMELVSSQAKDMSQSQVEFSDIMNLVVEDSEIDEDCSI >fgenesh2_kg.4__514__AT2G25980.1 pep chromosome:v.1.0:4:5513983:5524452:-1 gene:fgenesh2_kg.4__514__AT2G25980.1 transcript:fgenesh2_kg.4__514__AT2G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin lectin family protein [Source:UniProtKB/TrEMBL;Acc:D7LC36] MAQKLEAKGGSGGNQWDDGADHENVTKIHVRGGLEGSQFIKFENFEINHLNDEHLVSVKGCYDNISGVIQALQFETNQRSSEVMGYDDNGNNFTLEVSGNKITGFHGSAEANLKSLGAYFTPLAPIKLEYQGSTTGGRPWDHGIYTGVRKVYVDYDKNGEVETRQDGDMLGENRVLGQQNEFVVDYPYEYVTSIEGTGDIGSGSSNRVRSLSFKTSKDRTSPTYGHKGERTFVFESRGRALVGGGFAIDAIGAHFAAPPIPPPPPTEKLQGPGGDRGESWDDGAFDGVRKIYVGQGENGIASVKFVYDKNNRLVLGEEHGKQTLLGYEEFELEYPSEYITTVEGYYDKVFGSESSTNKRTSPPFGMDAGVSFILGKEGHKVVGFHGKSSPELYQIGVSVAPITK >fgenesh2_kg.4__518__AT2G26030.1 pep chromosome:v.1.0:4:5551063:5552799:-1 gene:fgenesh2_kg.4__518__AT2G26030.1 transcript:fgenesh2_kg.4__518__AT2G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LC41] MNCDRISELPDSLLTQILSYLPTKDSVKTSVLSKRWEFLWLRVPVLDLKVSNFPEENYASFIDNFLEFNRNSRMRKFKLKYDEYTYDDDRLAGWVVTTVDRGIQHLDANGFETDMCVREFMPQNIYKSNTLVSLVLVTVGIENPEFVVSLPSLKKMHLEDVWYWDDPLVIEKVISGCPVLEDLVLIRAIDFCVLDDLRFLKVRSKSLKSFRLAFEYSMAGTYFSVEVDAPRLEYLNFNDNQSDMIVVKNLNSLSMIDIDTEFNVKFGGSPLEPEDLRKRDIIRDFLTGISCIRDMIISQRTLEVLYLYSKLGPIPKFDNLYRLQAAFSRSMFQLLLVFLESCPNLENLILDFAVSTEPEQDGLTYVPQCLLSTLECVEIRELIMGEETGKKLVSYFLKNSVVLQKLIVRFKDSSIATQDSDIFKELRTFTKRSRSCEVII >fgenesh2_kg.4__51__AT2G21290.1 pep chromosome:v.1.0:4:227526:227891:1 gene:fgenesh2_kg.4__51__AT2G21290.1 transcript:fgenesh2_kg.4__51__AT2G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQWCGAITRRIMMTQRALTSPARYSSLSPASAAPAISEMDLCGRGDKKTKKGKRFKGSYGNSRGKKQKMIERIKDKLEVPRSTPWPLPFKLI >fgenesh2_kg.4__524__AT2G26070.1 pep chromosome:v.1.0:4:5634314:5635851:-1 gene:fgenesh2_kg.4__524__AT2G26070.1 transcript:fgenesh2_kg.4__524__AT2G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reversion-to-ethylene sensitivity1 [Source:UniProtKB/TrEMBL;Acc:D7LC47] MSRGRGVPMKDLKRSYDVEDGVVSMPSIIEDDDADLWPLPEIDTKKSKFPCCIVWTPLPVVSWLAPFIGHIGLCREDGVILDFAGSNFINVDDFAFGPPARYLQLDRTKCCLPPNLGGHTCKYGFTHTDFGTARTWDNALSSSTRSFEHKTYNLFTCNCHSFVANCLNRLCYGGSMEWNMVNVAILLMIKGKWISLSSVVRSFLPCVVVTSLGIVLVGWPFLIGLSSFSLLLFAWFIIATYCFKNIIT >fgenesh2_kg.4__525__AT2G26080.1 pep chromosome:v.1.0:4:5640606:5645399:-1 gene:fgenesh2_kg.4__525__AT2G26080.1 transcript:fgenesh2_kg.4__525__AT2G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system P protein [Source:UniProtKB/TrEMBL;Acc:D7LCP3] MERARRLAYRGIVKRLVNETKRHRNGQSSSLPATTVTPSRYVSSVSSFLHHRRDVSGSTFTTSCRNQQTRSISIDALKPSDTFPRRHNSATPEEQTQMANYCGFDHLNTLIDSTVPKSIRLDSMKFSGKFDEGLTESQMIEHMSDLASKNKVFKSFIGMGYYNTHVPPVILRNIMENPAWYTQYTPYQAEISQGRLESLLNYQTVITDLTGLPMSNASLLDEGTAAAEAMAMCNNILKGKKKTFVIASNCHPQTIDVCKTRADGFDLKVVTVDLKDVDYSSGDVCGVLVQYPGTEGEVLDYGEFVKNAHANGVKVVMATDLLALTMLKPPGEFGADIVVGSGQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSSGKQALRMAMQTREQHIRRDKATSNICTAQALLANMTAMYAVYHGPEGLKSIAQRVHGLAGVFTLGLKKLGTAQVQDLPFFDTVKVTVSDAHAIVDAAAKKEINLRLVDSNTITVAFDETTTLDDVDKLFEVFTSGKPVQFTAESLAPEFNNAIPSSLTRESPYLTHPIFNMYHTEHELLRYIHKLQNKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPSFTNMHPFAPVEQAQGYQEMFTNLGELLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHMSRGDHHRNVCIIPVSAHGTNPASAAMCGMKIVAVGTDAKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICNIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKQHLAPFLPSHPVIPTGGIPEPEQTSPLGTISAAPWGSALILPISYTYIAMMGSGGLTDASKIAILNANYMAKRLESHYPVLFRGVNGTVAHEFIIDLRGFKNTAGIEPEDVAKRLMDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIRDEISQIEKGNADPNNNVLKGAPHPPSLLMADTWKKPYSREYAAFPAPWLRSSKFWPSTGRVDNVYGDRNLVCTLQPANEEQAAAAVSA >fgenesh2_kg.4__527__AT2G26110.1 pep chromosome:v.1.0:4:5707492:5708651:1 gene:fgenesh2_kg.4__527__AT2G26110.1 transcript:fgenesh2_kg.4__527__AT2G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESVLTAMYSWFTPTVLFVFLNLMIGTIAISSSFSSKSNDPNQTQIQRSPSMIHRLKSINFSSFTSPDKSHLEFPPSTPEDNNFHQPASIEQNQPFLSRSPSVLHRIKSFNLYNYISQEPTNIIEAPPPSVTIESKQEEEQVQEQEQEEQSLEEVYSKLNLNHVARTKSDTEPAAGIRPPKLPKKMKKSASTKSPFSHFQEDEISVEARRPATVKAPRVTTVEEADEEVDAKADDFINRFKHQLKLQRIDSITKYKEMVKKRNDK >fgenesh2_kg.4__529__AT2G26140.1 pep chromosome:v.1.0:4:5781107:5784881:-1 gene:fgenesh2_kg.4__529__AT2G26140.1 transcript:fgenesh2_kg.4__529__AT2G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRIITKVSSHERELSSLRSLLVRAYSSFPRVGVTGAVGGGGASLPRTRFQSSYVGSFARRVRDREEVNEVAHLRELYRRNDPEAVIRMFESQPSLYSNASALSEYIKALVKVDRLDQSELVRTLQRGIAGVAREEETFGGLSAFKNVGKQTKDGVLGTASAPIHTISTERTHFKEQLWSTIRTIAVGFLLISGIGALIEDRGIGKGLGLHEEVQPSMDSSTKFTDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKCSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQMLVELDGFKQNEGIIVVAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKAEDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGSKDVTMSDLEFAKDRIMMGSERKSAVISDESRKLTAFHEGGHALVAIHTEGALPVHKATIVPRGMALGMVSQLPDKDETSISRKQMLARLDVCMGGRVAEELIFGESEVTSGASSDLEQATKLARAMVTKFGMSKEVGLVAHNYDDNGKSMSTETRLLIESEVKQLLEKAYNNAKTILTVYNKELHALANALLQHETLSGKQIKELLTDLNSPQLQKRQEVVAKQSNPVPPSTPSSASSAAAAAAAAAAAAAAAAATAATKGKDMAPVGS >fgenesh2_kg.4__531__AT2G26150.1 pep chromosome:v.1.0:4:5803413:5804998:1 gene:fgenesh2_kg.4__531__AT2G26150.1 transcript:fgenesh2_kg.4__531__AT2G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHSFA2 [Source:UniProtKB/TrEMBL;Acc:D7LCR0] MEELKVEMEEETVTFTGSVAASSSVGSSSSPRPMEGLNETGPPPFLTKTYEMVEDPATDTVVSWSNGRNSFVVWDSHKFSTTLLPRYFKHSNFSSFIRQLNTYGFRKIDPDRWEFANEGFLAGQKHLLKNIKRRRNMGLQNVNQQGSGMSCVEVGQYGFDKEVERLKRDHSVLVAEVVRLRQQQHSSKSQVAAMEQRLLVTEKRQQQMMTFLAKALNNPNFVQQFALMSKEKKSLFGLDVGRKRRLTSTPSLGTMEENLLHDQEFERMKDDMEILLAAAIDNESSNLMPMKEEQCCEAMNVMMGDGNLEAELDVKVEDLVGSPLDWDSQDLHDMVDQMGFLGSEP >fgenesh2_kg.4__532__AT2G26170.1 pep chromosome:v.1.0:4:5975403:5977931:1 gene:fgenesh2_kg.4__532__AT2G26170.1 transcript:fgenesh2_kg.4__532__AT2G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP711A1 [Source:UniProtKB/TrEMBL;Acc:D7LCT3] MKTQHLWWEVLDPLLIQHEALVAFLIFAVVVIVVYLYRPSWSVRNVPGPTAMPLVGHLPLMAKYGPDVFSVLAKQYGPIFRFQMGRQPLIIIAEAELCREVGIKKFKDLPNRSIPSPISASPLHKKGLFFTRDKRWSKMRNTILSLYQPSHLTSLIPTMHNFITSATHNLDSKPRDIVFSNLFLKLTTDIIGQAAFGVNFGLSGKKPVKDVEVADFINQHVYSTTQLKMDLSGSLSIILGLLIPILQEPFRQVLKRIPGTKDWRVEKTNARLSGQLNEIVSKRAKEAETDSKDFLSLILKARESDPFAKKIFTPDYISAVTYEHLLAGSATTAFTLSSVLYLVSGHLEVEKRLLQEIDGFGGRNLIPTAHDLQYKFPYLDQVIKEAMRFYMVSPLVARETAKEVEIGGYLLPKGTWVWLALGVLAKDPKNFPEPEKFRPERFDPNGEEEKLRHPYAFIPFGIGPRACVGQRFALQEIKLTLLHLYSNYIFRHSPEMENPLQLDYGVILSFKNGVKLRAIKRF >fgenesh2_kg.4__536__AT2G26200.1 pep chromosome:v.1.0:4:6070543:6074372:1 gene:fgenesh2_kg.4__536__AT2G26200.1 transcript:fgenesh2_kg.4__536__AT2G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLKIIEEQQKPIQKLQIYPTANAGVSPFWREKYERDAKKYWDIFYKHHGDRFFKDRHYLDKEWNSYFSGSGKKVILEVGCGAGNTIFPLIATYPDIFVYACDFSPRAVELVKAHDEYTETRVCAFACDLTGDGLDKHISPSSVDIVTMIFVLSAVSPEKMASVLQNIKKVLKPNGCILFRDYAVGDLAQERFSGKDQKISENFYVRGDGTRAFYFSNEFLETLFSEQGFEVEKLDVCCKQVENRSRELVMNRRWVQATFRRSHGNQNPGDSLSPAKLDKSEQHDSIQSKSEEQERKEIIDNTDIDISDGLAMEMFGASPSSHEMTVVKLRDSDFKIKLLSKEYQHTCKSTGLMLWESARLMASVLDRNPNIVSGKRVLELGCGCTGICSMVAARSANLVVATDADTKALTLLTENITMNLQSSLLGKLKTGVLEWGNKEHTESIKRLACEGFEVIIGTDVTYVAEAIIPLFETAKELILRKMGDLEMQEKPALILCHVFRRVDEPSLLSAASKYGFKLADRWAANSMESPIGNIIDSWFSEKDLVAEIPSSALHILYFQME >fgenesh2_kg.4__53__AT2G21300.1 pep chromosome:v.1.0:4:229265:234699:-1 gene:fgenesh2_kg.4__53__AT2G21300.1 transcript:fgenesh2_kg.4__53__AT2G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7LL24] MGAIAGEELKKMEKTQVHVAREEKILVLVRLRPLNDKEILANEAADWECINDTTVLYRNTLREGSTFPSAYSFDRVYRGECPTRQVYEDGPKEVALSVVKGINSSIFAYGQTSSGKTYTMSGITEFAVADIFDYIFKHKDRAFVVKFSAIEIYNEAIRDLLSPDSTPLRLRDDPEKGAVVEKATEETLRDWNHLKDLISVCEAQRKIGETSLNERSSRSHQIIKLTVESSAREFLGKENSTTLMASVNFIDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSNGRQGHINYRDSKLTRILQPCLGGNARTAIVCTLSPARSHVEQTRNTLLFACCAKEVTTKAQINVVMSDKALVKQLQRELARLESELRNPVPATSSCDCGVTLRKKDLQIQKMEKQLAEMTKQRDIAQSRLEDFMKMVEHDESSKAGTPHFRNRTNKWEDGSVSEISGVVDPDRTSFISDGTSTPLSTARAHVRSHSDDDLEEEMLPRHSGDQSEEYCKEVQCIEMEESTSDINNSSEERTDAETLLGHNADANGGTGIAQHRIPSSVRSVRRRKSWSRGDTMPGTSTPPDALEADYRGRPEGHGVAFPDLEFSSGGKLLRNDSMSSRGSDSTEAHSIGTPLVGEDGGITSIRSFVEGLKEMVSDPENSRKMGKDIGVDAMEEEVSGTMTNWSEEFERQREQILGLWQTCHVSLVHRTYFFLLFTGDQADSIYIGVELRKLSFMKESFSQGNHAFERGQTLTIASSLKALHRERRMLSKLVGKRFTGEERKRLYQKFGIAVNSKRRRLQLANQLWSKPNDITHVVESAAVVAKLVRFVEQGRAMKEMFGLSFTPPLPTTRRSLNWRKSMTTLF >fgenesh2_kg.4__541__AT2G26240.1 pep chromosome:v.1.0:4:6125112:6127525:-1 gene:fgenesh2_kg.4__541__AT2G26240.1 transcript:fgenesh2_kg.4__541__AT2G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLSQKFTLVYASLLGAGGLMGYLKRGSKISLVAGGGSAALFYYVYTELPGNPVLASSIGIVGSAALTGMMGSRYLRTRKVVPAGVVSVVSLVMTGAYLHGLIHSS >fgenesh2_kg.4__543__AT2G26260.1 pep chromosome:v.1.0:4:6179925:6185041:1 gene:fgenesh2_kg.4__543__AT2G26260.1 transcript:fgenesh2_kg.4__543__AT2G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:D7LCV7] MTPEATENERWCVVTGGRGFAARHLVEMLVRYEMFSVRIADLAPAIMLDLHEENGVLDEGLRSGRVQYISADLRDKSQVVKAFQGAEVVFHMAAPDSSINNHQLQYSVNVQGTQNVIDACVDVGVKRLIYTSSPSVVFDGVHGILNGSESMAYPIKHNDSYSATKAEGEELIMKANGRKGLLTCCIRPSSIFGPGDRLLVPSLVAAARAGKSKFIIGDGNNLYDFTYVENVAHAHVCAERALASGGDVSTKAAGQAYFITNMEPIKFWEFVSQLLEGLGYERPSIKIPAFVMMPIAHLVELTYKLLGPYGMKVPQLTPSRVRLLSCSRTFDSTKAKDRLGYAPVVPLQEGIRRTIDSFSHLTAGSQSKREGPSKASRILGGGKVADTLLWKDLKQTLIAIFILISVYYNFVATGSTIVTALSKALLVASVFLFLHGILPEKIFGYTVEKIPASQFHLSKDSSEHLSLSVISSWNTTVKALRSLCQGNDWSFFFKVVFVLLALSLAGAISLHNIFVIGIPIAFIAFIVYEKKEQEIDSIVVSFKSFACKRKSDVYEKLFGSKKHD >fgenesh2_kg.4__547__AT2G26280.1 pep chromosome:v.1.0:4:6192969:6196774:-1 gene:fgenesh2_kg.4__547__AT2G26280.1 transcript:fgenesh2_kg.4__547__AT2G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTKKASDPKISGTSIKPTTLNPHAAEFVPFTLRSPSSGGTSTLDAATSRLLASSSSVGKAVLDRTESSASHHSDEEARQFWSHQLPDDITPDFGLMTQDDNSYGSGSLSLASLSLFDGNEAEKFPSASGGFGFSDQTGLASHNASGNSLGEKSIYPISSFVEDPQRPSFMHLSPKPWDKQIMNAEQLLGNDRERNPFSGKSRHGFVNELITESAGEMEVNPVDFLASQFPGFATESLAEVYFANGCDLQLTVEMLTQLELQVDGGLNQNISPKSYAPPSLTPMDFPALSISNSHGIPAQFGGDDLQQTGNHYQSPEKDNMFFFKSGPSVTQPGSIDYVSAVRKLASPDSGMWKYERNDSADSSIGSSRNSGAYKSGRGRSIYSDKLQSRAQTRPAPVWVETGDAVGNMYSELREEARDYARLRNVYFEQARQAYLVGNKALAKELSVKGQLHNMQMKAAHGKAQEAIYRQRNPVGQGNSRGNERMIDLHGLHVSEALQVLKHELSVLRSTARATQERLQVFICVGTGHHTRGSRTPARLPVAVQRYLLEEEGLDYSEPQAGLLRVIIY >fgenesh2_kg.4__548__AT2G26290.1 pep chromosome:v.1.0:4:6213894:6216061:-1 gene:fgenesh2_kg.4__548__AT2G26290.1 transcript:fgenesh2_kg.4__548__AT2G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root-specific kinase 1 [Source:UniProtKB/TrEMBL;Acc:D7LCW2] MAVIKKKKTSLTSLFLGCYKAKNARKYEGEENSVMKIRTCPAFKRLSLSDISDPSSPMSVMDDLSHSFTSQKLRLFTLSELRVITHNFSRSNMLGEGGFGPVYKGFIDDKVKPGIEAQPVAVKALDLHGHQGHREWLAEIIFLGQLSNKHLVKLIGFCCEEEQRVLVYEYMPRGSLENQLFRRNSLAMAWGIRMKIALGAAKGLAFLHEAEKPVIYRDFKTSNILLDSDYNAKLSDFGLAKDGPEGEHTHVTTRVMGTQGYAAPEYIMTGHLTTMNDVYSFGVVLLELITGKRSMDNTRTRREQSLVEWARPMLRDQRKLERVIDPRLENQYKIEAAQVAAALAYKCLSQHPKYRPTMCEVVKVLESIQEVEIMERDGNNKEGKKYVDIKKFRHQRKGQRLVNIAYSDSLVYKESKAKQNNGV >fgenesh2_kg.4__549__AT2G26300.1 pep chromosome:v.1.0:4:6256994:6261128:1 gene:fgenesh2_kg.4__549__AT2G26300.1 transcript:fgenesh2_kg.4__549__AT2G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLCSRSRHHTEDTDENTQAAEIERRIEQEAKAEKHIRKLLLLGAGESGKSTIFKQIKLLFQTGFDEGELKSYVSVIHANVYHTIKLLHDGTKEFAQNEADSAKYMLSSESIAIGEKLSEIGGRLDYPRLTKDLAEGIETLWKDPAIQETCARGNELQVPDCTKYLMENLKRLSDINYIPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDEQKNRMMETKELFDWVLKQPCFEKTSFMLFLNKFDIFEKKVLDVPLNVCEWFRDYQPVSSGKQEIEHAYEFVKKKFEELYYQNTAPDRVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLLEAGLL >fgenesh2_kg.4__550__AT2G26310.1 pep chromosome:v.1.0:4:6262189:6264435:1 gene:fgenesh2_kg.4__550__AT2G26310.1 transcript:fgenesh2_kg.4__550__AT2G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSVIPKRSFLHHELFSQLHIPGSFAFEAFSCISKFTGALLCWFSHGNLQKEVSKHQWGLTCKSSDSSKNLFEHRNFSVFPFHYVSKDITPGFFGSISKSTIQHFVNEAERLHSCSVLSLAAALIPSLNVMSAYGHALPLGSNDVQLSENTGNRTCQVGHEEYSGLSFHKLDWTRQTVEPRTGIEFPMLLKENASRSNSEVLVATGSRTMKIIRIKSLKVYAFGFYVHPSSVCQKLGRKYASVPASKLDKCDDLYKDLLREDIVMSVRLVVNYNGLKINTVRDVFEKSLRARLVKANPKTDFNCLNDFGSFFRQDIPIPAGTIIDFRRTEDGQLITEIGGNLIGAVRSKDLCRAFFGMYIGDVPVSEQTKEEIGRKVVGIIKRC >fgenesh2_kg.4__551__AT2G26330.1 pep chromosome:v.1.0:4:6293195:6299432:-1 gene:fgenesh2_kg.4__551__AT2G26330.1 transcript:fgenesh2_kg.4__551__AT2G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRDVVLLGFLICLSLVATVNSDEGATLLEIKKSFKDVNNVLYDWTASPSSDYCVWRGVTCENVTFNVVALNLSDLNLDGEISPAIGDLKSLLSIDLRGNRLSGQIPDEIGDCSSLQNLDLSFNELSGDIPFSISKLKQLEQLILKNNQLIGPIPSTLSQIPNLKILDLAQNKLSGEIPRLIYWNEVLQYLGLRGNNLVGNISPDLCQLTGLWYFDVRNNSLTGSIPETIGNCTAFQVLDLSYNQLTGEIPFDIGFLQVATLSLQGNQLSGKIPSVIGLMQALAVLDLSGNLLSGPIPPILGNLTFTEKLYLHSNKLTGSIPPELGNMSKLHYLELNDNHLTGHIPPELGKLTDLFDLNVANNDLEGPIPDHLSSCTNLNSLNVHGNKFSGTIPRAFQKLESMTYLNLSNNNIKGPIPVELSRIGNLDTLDLSNNKINGIIPSSLGDLEHLLKMNLSRNHITGVVPGDFGNLRSIMEIDLSNNDISGPIPEELNQLQNIVLLRLENNNLTGNVGSLANCLSLTVLNVSHNNLVGDIPKNNNFSRFSPDSFIGNPGLCGSWLNSPCHDSRPTVRVSISRAAILGIAIGGLVILLMVLIAACQPHNPPPVLDGSLDKPVTYSTPKLVILHMNMALHVYEDIMRMTENLSEKYIIGHGASSTVYKCVLKNCKPVAIKRLYSHNPQSMKQFETELEMLSSIKHRNLVSLQAYSLSPLGSLLFYDYLENGSLWDLLHGPTKKKTLDWDTRLKIAYGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDLEARLTDFGIAKSLCVSKSHTSTYVMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTRRKAVDDESNLHHLIMSKTGNNEVMEMADPDITSTCKDLGVVKKVFQLALLCTKRQPNDRPTMHQVTRVLGSFMLSEQPPAATDTSATLAGSCYVDEYANLKTPHSVNCSSMSASDAQLFLRFGQVISQNSE >fgenesh2_kg.4__552__AT2G26350.1 pep chromosome:v.1.0:4:6327473:6330880:-1 gene:fgenesh2_kg.4__552__AT2G26350.1 transcript:fgenesh2_kg.4__552__AT2G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSGDSGPGQDEPGSSGFHGGIRRFPLAAQPEIMRAAEKDDQYASFIHEACRDAFRHLFGTRIALAYQKEMKLLGQMLYYVLTTGSGQQTLGEEYCDIIQVAGPYGLSPTPARRALFILYQTAVPYIAERISTRAATQAVTFDESDEFLGHSALESGSHIHSPRMIDLPSSSQIETSASVVPRLKDRLKRLWHRAIQRWPVVLPVAREVLQLVLRANLMLFYFEGLYYHISKRASGVRYVFIGKQLNQRPRYQILGVFLLVQLCILAAEGLRRSNLSSITSSIQQASIGSYQTSGGRGLPVLNEEGNLITSEAEKGNWSTSDSSSTLEAVGKCTLCLSTRQHPTATPCGHVFCWNCIMEWCNEKQECPLCRTPNTHSSLVCLYHSDF >fgenesh2_kg.4__555__AT2G26400.1 pep chromosome:v.1.0:4:6469474:6470778:-1 gene:fgenesh2_kg.4__555__AT2G26400.1 transcript:fgenesh2_kg.4__555__AT2G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase [Source:UniProtKB/TrEMBL;Acc:D7LDG9] MVEAVRDQREEAIQAWYLDDNEEDQKLPHHKDPKEFVSLDKLAELGVLSWRLDADNYETDEELKKIRESRGYSYMDICEVCPKKLPNYEATVKMFFVEHLHIDEEIRYCLAGSGYFDVRDLNDVWIRVWVKKGGLIVFPAGIYHRFTVDSDNYMKAMRLFVGGPVWTAYNRPHDHLPARIAYMDKFVKVIRDRTIDASA >fgenesh2_kg.4__560__AT2G26430.1 pep chromosome:v.1.0:4:6490725:6493444:-1 gene:fgenesh2_kg.4__560__AT2G26430.1 transcript:fgenesh2_kg.4__560__AT2G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ania-6a type cyclin [Source:UniProtKB/TrEMBL;Acc:D7LDH3] MIYTAIDNFYLTDEQLKASPSRKDGIDETTEISLRIYGCDLIQEGGILLKLPQAVMATGQVLFHRFYCKKSLAKFDVKIVAASCVWLASKLEENPKKARQVIIVFHRMECRRENLPLEHLDMYAKKFSELKVELSRTERHILKEMGFVCHVEHPHKFISNYLATLETPPELRQEAWNLANDSLRTTLCVRFRSEVVACGVVYAAARRFQVPLPENPPWWKAFDADKSSIDEVCRVLAHLYSLPKAQYISVCKDGKPFTFSSRSGNSQAQSATKDVLPGVGEAVDTKCAPGSANNDSKDGMVISPHEKGTDSKKSDTESNSQPIVGDSRNGKSKVGERERESGREKERGRERDRARSHRGRDSDKEIDRERDNLKDRSHHRSRDRLKDSDRSRHHSSRDRDYRESSHSSKDRRRHH >fgenesh2_kg.4__561__AT2G26440.1 pep chromosome:v.1.0:4:6605316:6607328:1 gene:fgenesh2_kg.4__561__AT2G26440.1 transcript:fgenesh2_kg.4__561__AT2G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7LDI1] MALSTLNFSFLFFLLFTPLVVSTSLKPNQTSLTSFCMNTPYPNACFDSLKLSISINISPNILSFLLQTLQTALSEAGKLTDLLSGAGISNNLVEGQRGSLQDCKDLHQITSSFLKRSISKIQDGVNDSRKLADARAYLSAALTNKITCLEGLDTASGPLKPKLVTSFMETYKHVSNSLSALPKQRRATNLKTDGKTKNRRLFGLLPDWVSKKDHRFLEDSSDGYDEYDPSEIIVVAADGTGNFSTINEAISFAPDMSNDRVLIYVREGVYDENIEIPIYKTNIVLIGDGSDVTFITGNRSVGDGWTTFRSATLAVSGEGFLARDMMITNTAGPEKHQAVALRVNADFVALYRCVIDGYQDTLYTHSFRQFYRECDIYGTIDYIFGNAAVVFQGCNIVSKLPMPGQFTVVTAQSRDSPDEDTGISMQNCSILASDDLFNSSNRVKSYLGRPWREFSRTVLMESYIDEFIDGSGWSKWNGREELDTLYYGEYNNNGPGSETGKRVNWPGFHIMGYEDAFNFTTTEFITGDGWLGSTSFPYDNGI >fgenesh2_kg.4__565__AT2G26470.1 pep chromosome:v.1.0:4:6756104:6759443:-1 gene:fgenesh2_kg.4__565__AT2G26470.1 transcript:fgenesh2_kg.4__565__AT2G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRTRCTLRPDDIQRASHRHTVPTRSLHLDRYRPSYNIAPGSYIPVLRRENEVVGDGVVVHCMKWGLVPGFTKKTDKPDFFKMFNARSESVAEKASFRRLLPKNRCLVAVDGFYEWKKEGSKKQPYYIHFEDGRPLVFAALFDSWQNSGGETLYTFTILTTTSSSPLQWLHDRMPVILGDKDSVDTWLDDPSTTKLQPLLSPYEKSDLVWYPVTTAIGKPTFDGPECIQQIPLKASQNSLISKFFSRKTEEGDKETKSTDANISVDLKEEPMVGGYEEATFSDSVKKIEELGGEKDILNEAKNIGFQEIVKAEPFTEDNSAVASHPEPVKNEFEKGTNEEGKSLKTGLTNETGESNASIPAESYNLDLQRISKEEPETQGFTKDKELNQPHEAVEDEPGNESQKTVLTCPTTMEGVLEFSEKSSEKRMSGTKRDYEVFSAQEKPRKHSERLQNVISSGKHGRNQGKGKPNIKKPKETQSTLHFFFDEK >fgenesh2_kg.4__566__AT2G26480.1 pep chromosome:v.1.0:4:6873260:6875944:1 gene:fgenesh2_kg.4__566__AT2G26480.1 transcript:fgenesh2_kg.4__566__AT2G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LDJ6] MEDNRQRRVLMVPAPFQGHLPSMMNLASCLSSQGFSITIVRTKFNFKDISANFPNFKFFTIDDGLSESDVKSLGLLEFVLELNSVCEPLLKEFLTNHYDVVDFIIYDEFVYFPRRVAEDLNLPKMVFSPSSAATSISRCVLIENQANGLLPPQEARAELEEMVPAFHPFRFKDLPFTAYGSMERLVILYENVSNRSPSSGIIHNSSNCLENSFILTAQEKWGIPVYPVGPLHMTNSATSCPSLFEEERNCLEWLEKQETNSVIYISMGSLAMTQDIEAVEMAMGFVQSNQPFLWVIRPGSITGQESLDFLPEQFMQTVTDGRGFVVKWAPQKEVLRHRAVGGFWNHCGWNSCLESISSGVPMICRPYSGDQRVNTRLMSHVWQTAFEIEGELERGAVEMAVRRLIVDQEGEEMRVRATILKEEVEASVTTEGSSHNSLNDLVQAIMMQIDQQ >fgenesh2_kg.4__570__AT2G26510.1 pep chromosome:v.1.0:4:7018317:7021983:1 gene:fgenesh2_kg.4__570__AT2G26510.1 transcript:fgenesh2_kg.4__570__AT2G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE135 [Source:UniProtKB/TrEMBL;Acc:D7LDK1] MVETGHHQHPPAPAAAGHPPVPSMAMAVNRGPTWPPAEQLHHLQYCIHSNPSWHETVVLAFQHYIVMLGTTVLIANTLVPPMGGDAGDKARVIQTILFMSGINTLLQTLIGTRLPTVMGVSFAYVLPVLSIIRDYNDGQFDSEKQRFRHTMRTVQGSLIISSFVNIIIGYGQAWGNLIRIFTPIIVVPVVSVVSLGLLQTVLEIGLPMLILLIISQQYLKSVFSRISAILERYALLVCLAIIWAFAAILTVSGAYNNVSTATKQSCRTDRAFLMSTAPWIRIPYPFQWGTPIFKASHVFGMFGAAIVASAESTGVFFAASRLAGATAPPAHVVSRSIGLQGIGVLLEGIFGSISGNTASVENVGLLGLTRIGSRRVVQISTGFMIFFSIFGKFGAFFASIPLPIFAGIYCILLGIVVAVGISFIQFTDTNSMRNMYVIGVSLFLSLSIAQYFLANTSRAGYGPVRTAGGWFNDILNTIFASAPLVATILATILDNTLEARHASEARGISWWKPFQHRNGDTRNDEFYSMPLRINELIPTRFL >fgenesh2_kg.4__573__AT2G26530.1 pep chromosome:v.1.0:4:7046342:7047768:-1 gene:fgenesh2_kg.4__573__AT2G26530.1 transcript:fgenesh2_kg.4__573__AT2G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMSLTAPSSPRQFSGCFLSAPTSPRRLTEFYREFEEAATRNFSDRLTVPFDWEETPGTPRTIINDDEEDTVDDFAFEIGGKLETTSLFAEELFDGGKIKPLKPPPYLQLDHHHQHQVLSPRSPRSPIAHGKNIIRKAFSPRKKPENVDPFEVAMDKARNGVGEERGRGRRQNSGRRVARSLSPFRVSAYPWEEQEQEQREVQEQRKGTLSSIPSTSSSTCVSCKSSSSKKWRLKDFLLFRSASEGRARHNKDSVKTFSSLFRKQEDTKNSSSRGRGSSSVSAHEFHYMAKKAETKDLKKKTFLPYMQIGRFAF >fgenesh2_kg.4__574__AT2G26540.1 pep chromosome:v.1.0:4:7078079:7081224:-1 gene:fgenesh2_kg.4__574__AT2G26540.1 transcript:fgenesh2_kg.4__574__AT2G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLSHCSLQPPLSLSKRVFACPALIRNSISPSLSSSSSSVSSSNSIPQVVVTRELGKNNQIIKALEKHGISSLELPLIQHARGPDFDRLASVLSDKSFDWIIITSPEAGSVFLEAWKTASSPKVQIGVVGAGTARVFEEAMQSAEGLLHVAFTPSKATGKVLASELPEKVGKRSSVLYPASLKAGNVIVEGLSKRGFEVVRLNTYTTVPVQSVDTVLLQQAVSAPVLSVGSPSAVRAWLNLIQNEEQWSNYVACIGETTASAAKRLGLKNVYYPEQPGLEGWVESIMEALGAHADSSNSSGRN >fgenesh2_kg.4__575__AT2G26550.1 pep chromosome:v.1.0:4:7086232:7087899:-1 gene:fgenesh2_kg.4__575__AT2G26550.1 transcript:fgenesh2_kg.4__575__AT2G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRPTPLLSTPHKLSHLHTSLSFPSEISTQRNPHNHLLNLCRSSSTPSQQKTSQRKRTRYRKQYPGENIGITEEMRFVAMRLRNVNGKKLDLSGDKTEQEEEEDEVKEETWKPSKEGFLKYLVDSKLVFDTIERIVDESENVSYAYFRRTGLERCESLEKDLQWFRGQDLVIPEPSNIGVSYAKYLEEQAGQSAPLFLSHFYSIYFSHIAGGQVIVRQVSFFVFQLHKVTSSLLNALDISGFMRVGFVGIFAGYGGFPFFFIETQLTITEEKTNRTVINNNEILNQHQACSISLFELVPNKLVSEKLLEGKELDFNRWEGDAQDLLKGVREKLNVLGEHWTRDEKNKCLKETAKAFKYMGQIVRLIIL >fgenesh2_kg.4__576__AT2G26560.1 pep chromosome:v.1.0:4:7127539:7129650:-1 gene:fgenesh2_kg.4__576__AT2G26560.1 transcript:fgenesh2_kg.4__576__AT2G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:D7LE68] MQMDSPKSPLQPPTYGNLVTILSIDGGGIRGLIPAVILGFLESELQKLDGEEARLADYFDVIAGTSTGGLVTAMLTAPNKEGRPLFAASEIKDFYLEQCPKIFPQDHFPFSAAKNLVKSLTGPKYDGKYLHQLIHAKLGDTKLSQTLTNVVIPTFDIKYLQPTIFSSYEVKNHPLKNATLADIAISTSAAPTYLPAHFFKVEDSTGNVKEYNLIDGGVAANNPALLAIGEVTNEITRGSSDFFPIRPNDYGRFLVLSLGTGNHKAEEKFNAKEVAGWGLLSWLTHDNSTPIIDAFSQASSDMVDFHLSAVFQALHSEANYIRIQDDTLTGDAASVDIATAENLDILAKTGDELLKKPVARVNLDSGCNENAYETTNEHALIKLAGILSKEKKIRDMRSPHAKAPIRI >fgenesh2_kg.4__577__AT2G26570.1 pep chromosome:v.1.0:4:7140828:7143456:1 gene:fgenesh2_kg.4__577__AT2G26570.1 transcript:fgenesh2_kg.4__577__AT2G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKTVEASDVVSDNVETVNPELIESAIRESYTQNVTKVDNIPQSQTDTEETQQSQTDDTTGNTKIYVDDTFSPSVAATVAGFTGQDSTSTTIVEEVMEQGETGLPRVRITEAAVGTARNGGSPRTVSSPRFSGSPVSTGTPKNVDSHRGLIDTAAPFESVKEAVSKFGGITDWKSHRMQAVERRKLIEEELKKIHEEIPEYKTHSETAEAAKLQVLKELESTKRLIEQLKLNLEKAQTEEQQAKQDSELAKLRVEEMEQGIAEDVSVAAKAQLEVAKARHTTAIIELCSVKEELETLHKEYDALLQEKDVAVKKVEEATLASKEVEKTVEELTIELIATKESLESAHASHLEAEEQRIGAAMARDQDTHRWEKELKQAEEELQKLNQQIHSSKDLKSKLDTASALLLDLKAELVAYMESKLKQEACDSNTNSDPSTENMSHPDLHAAVASAKKELEEVNVNIEKAAAEVNSLKLASSSLQLELEKEKSTLASIKQREGMASIAVASIEAEIDRTRSEIASVQSKEKDAREKMVELPKQLQQAAEEADEAKSLAEVAREELRKAKEEAEQAKAGASTMESRLFAAQKEIEAAKASERLALAAIKALEESESTLKANDTESPRSVTLSLEEYYELSKRAHEAEELANARVAAAVSRIEEAKETEMRSLEKLEEVNRDMDARKKALKEATEKAEKAKEGKLGVEQELRKWRADHEQKRKAGDGVNTEKTQKESFEGGKMEQSPEAAVYTSSPSESYGTEDNSETNLSPQTKSRKKKMKLSFPRFFMFLSKKKSHN >fgenesh2_kg.4__584__AT2G26580.2 pep chromosome:v.1.0:4:9722924:9733662:1 gene:fgenesh2_kg.4__584__AT2G26580.2 transcript:fgenesh2_kg.4__584__AT2G26580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor yabby family protein [Source:UniProtKB/TrEMBL;Acc:D7LE80] MANSAMATEQLCYIPCNFCNIILAVSVPCSSLFDIVTVRCGHCTNLWSVNMAAALQSLSRPNFHATNYAVPEYGSSSRDHTKIPSRISTRTITEQRIVNRRKIASEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSSAAKNWAHFPHIHFGLMLESNKQAKLA >fgenesh2_kg.4__586__AT2G26600.1 pep chromosome:v.1.0:4:9827001:9829092:1 gene:fgenesh2_kg.4__586__AT2G26600.1 transcript:fgenesh2_kg.4__586__AT2G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7LE86] MRSSKLLLLKFFFVFFIFFFCVEFQVDGAFVGTYGINYGRIADNIPSPDKVVLLLKQAKIRNVRIYDADHTVLEAFSGTGLDLVVGLPNGFLKEMSSNADHAFSWVKENVQSFLPKTRIRGIAIGNEVLGGGDSELAGALLGAAKNVYNALKKMNLEDTVQITTAHSQAVFSDSYPPSSCVFKENVVQFMKPLLEFFQQIGSPFCLNAYPFLAYTYNPKEIDINYALFKPTEGIYDPKTDLHYDNMLDAQIDAAYMALQDAGFKTMEVMITETGWASKGDSDEPAATPENARTYNYNLRKRLAKKKGTPLRPKTVLKAYIFALFNENSKPGKSSETHFGLFKPDGTISYDIGFNSLKSDAPKSLISSSKAACYYVIISVSVFLLMI >fgenesh2_kg.4__588__AT2G26610.1 pep chromosome:v.1.0:4:9829434:9834888:1 gene:fgenesh2_kg.4__588__AT2G26610.1 transcript:fgenesh2_kg.4__588__AT2G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSSDCVLPGPPSRSNLSAADVSPSGLLAFASGSSVSLVDSRSLQLISSVSLPSPISCAFSTVTSVRWAPVPVQRDLFSSDLLIAVGDHLGRIALIDFRLRSVRLWLEQSCDSASARGKILGCGGIQDLCWVLARPESYVLAAISGPSSLSLYTDSGQLFWKYDASPELLSCIRCDPFDSRHFCVLGLKGFLLSLKLLGITENDVPTKEFQIQTDCSDLQKLEGEGVATSSHSTSPASTVFPLYSAKLSFSPHWKHILFATFPRELVVFDLKYETALYVVALPRGYAKFVDVLPDPRQEFLYCLHLDGRLSIWRRKEGEQVHVLCGLEELMPTIGNSVPSPSLLTLLISQLDSTLQNMRTTHSDAVLDSSELEISFDFNNDAFLRFKTHFISISDDGKIWSWILTFSGDEDSNPQTNDNLLESPTNGNQDLPRNISFEITLVGQLQLLSSTVTVLAVPTPSMTATLARGGNFPAVVVPLVALGTEAGTIDVVDVSANAVAASISAHTSTIRGLNWLGNSRIVSFSCSRVSKRTGGYINKLVVTCLRSGVSRGFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKSPVMLRSLALPFTVLEWTLPTIPSLAQKSLSKQPSMSSNQETNATPDDIGTPKASDSKDVAADALQDDASESFAFALVNGALGVFEVYGRRIRDFRPTWPASSFISSDGLITAMAYRLPHVVTGDKLGNIRWWDVASGNSSSFNTCKEGIKKIKFSPVFAGDISRGRITVLFYDNTFSIYDLDSPDPLAISLMRPQIPGTLILELDWLPLRTSKFDSLVLCVAGTDGSFRLVEVHVDEKMTAQISYTKPPKERFRPVPLCTPTLLPTPHALLGVKPSWFNTSSTCIDKRPHSIPARTSSSKDLRSFMIDFPPIGDPAVLEMFLKVLEPYRSEGCLLDDEKAKLYSSLVNKGCAARFAFAAAIFGETSEALFWLQLPYAMKHVVNKTATKSSKKHFEETATLSKTSSKGPSSTGFEKNGSLSEGQLRLMAFEQNDLWVCANERIPWHEKLEGEEAIQNRVHELVSVGNLEGAVSLLLSTSPDSTYFYPNALRAVALSSTVSKSLVELAVKVVAANMVRSDRSLSGTHLLCSVGRYQEACSQLQDAGCWTDSATLAATHLNGSDYARVLQRWAGHVVNIEHNLWRGVILYVAVGAFEEALAVFRKAERPETAAIFVMACRETLAESWSIDSENEDVMAVTESYALYQRKLVHLCMDSPSSFH >fgenesh2_kg.4__592__AT2G26670.1 pep chromosome:v.1.0:4:9885290:9887255:1 gene:fgenesh2_kg.4__592__AT2G26670.1 transcript:fgenesh2_kg.4__592__AT2G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLAPISSSLSIFKNPQLSRFQFASSRPNPLFLGPRIQILSMPMNKSPSLVVVAATTAAEKQKKRYPGESKGFVEEMRFVAMRLHTKDQAKEGEKETKSIEERPVAKWEPTVDGYLRFLVDSKLVYDTLEQIIQDSNFPTYAEFKNTGLERAEKLAKDLEWFKEQGYEIPEPTAPGKTYSLYLKELAEKDPQAFICHFYNIYFAHSAGGRMIGRKVAERILDNKELEFYKWDGELSQLLQNVREKLNKVAEEWTREEKNHCLEETEKSFKYSGEILRLILS >fgenesh2_kg.4__593__AT2G26680.1 pep chromosome:v.1.0:4:9891130:9892669:-1 gene:fgenesh2_kg.4__593__AT2G26680.1 transcript:fgenesh2_kg.4__593__AT2G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAWRRDKNQKLLSPKTLISLLVLSIIFLSSLFFFFSNSSLQYSNPNLSIDSPFPIPPFDCFKCPQSKPIIANVVENLKYPFVYSLADLGNLPEKPHKNIVRLLKGKPFRKPDISATIQEVLENMRASGKNGIVVDVGANVGMASFAAAVMGFKVLAFEPVFENLQRICDGIWFNRVAALVTVFQAAVSDRTGDITFHKLVGRLDNSAVSEVGARLAFKSNKEIAVQVKSIPLDKLIPPSQPVLLIKIDVQGWEYHVLKGAKKLLSRKPAEAPYLIYEEDERLLKASNSSSKEIRDFLKSVGYSKCSQHGTDAHCTKE >fgenesh2_kg.4__595__AT2G26695.1 pep chromosome:v.1.0:4:9975220:9976053:1 gene:fgenesh2_kg.4__595__AT2G26695.1 transcript:fgenesh2_kg.4__595__AT2G26695.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LER5] MSWTGGDWLCGACQHANFKKRESCQKCGYPKFGGVDVSTYLYNRTEVMAGDWYCGALNCGSHNYASRTSCYRCGMVKVEYTEQYYGAQMVAYGNDGTACPPGWKTGDWFCPRVGCGVHNYASRAECFKCKTTRDYGGV >fgenesh2_kg.4__596__AT2G26700.1 pep chromosome:v.1.0:4:9996236:9998941:1 gene:fgenesh2_kg.4__596__AT2G26700.1 transcript:fgenesh2_kg.4__596__AT2G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LER6] MANSSIFYKDNESDYESSTAGPDSSRRTSWLSSSFTASPSCSSISHLSNNGLNNYNQSKPHKANQVAWEAMARLRRCCGRAVGLEHFRLLKRLGSGDIGSVYLCQIRGSPETAFYAMKVVDKEAVAVKKKLGRAEMEKKILGMLDHPFCPTLYAAFEASHYSFLVMEYCPGGDLYAARLRQPSKRFTISSTRFYAAETLVALEYLHMMGIVYRDLKPENVLIREDGHVMLSDFDLSFKCDVVPQLLNDNDRDRGHQGDDEDISIRRKCSTPSCTATPLNPVISCFSPTSSRRRKKNVVTTTIHENAAGTSHTVKSNDVSRTFSRSPSSCSRVSNGLRDISGGCPSIFAEPINARSKSFVGTHEYLAPEVISGQGHGSAVDWWTYGIFLYEMIFGRTPFKGENNEKTLVNILKAPLTFPKVIVNSPKEYEDMVNAQDLIIKLLVKNPKKRLGSLKGSIEIKRHEFFEGVNWALIRSIKPPWVPKEETSHKTKGDNRSVNYYLPPRFMMSRKERDEPYHVANHFDYF >fgenesh2_kg.4__597__AT3G47240.1 pep chromosome:v.1.0:4:10017344:10018700:-1 gene:fgenesh2_kg.4__597__AT3G47240.1 transcript:fgenesh2_kg.4__597__AT3G47240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LER8] GQLTLELVDCRSSGMKRCLGQKQPFAPYIREDVHTISQCPQRSKTRGAARRVSPESERILGVSTELMRMLPPDPMVRWNHEDKCNQTHPRVAHGCNLTGKGSKHREWVSDVVHHESVTPMVTRRITNGKVHGENRWTKRMLDPRANQRPTDE >fgenesh2_kg.4__598__AT2G17460.1 pep chromosome:v.1.0:4:10037103:10038506:-1 gene:fgenesh2_kg.4__598__AT2G17460.1 transcript:fgenesh2_kg.4__598__AT2G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFS5] MLTRTSWSHRWCTVTRMRNVISARDIVYTINIGIGVTFAACILYTFCCVRTLVQTMLTRTSWSHRWCTVNRVISPTYTMTCDRGYFPP >fgenesh2_kg.4__59__AT2G21340.1 pep chromosome:v.1.0:4:262194:266186:1 gene:fgenesh2_kg.4__59__AT2G21340.1 transcript:fgenesh2_kg.4__59__AT2G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7LBC3] MQLQCKTLTFTVSSIPSNPKLPPLPSSITLRSWNPPLPSFRSSSVSGAKLNRFLRNCASPNQELVADEETGNGLISEEANGSISPEVEEVKVDDLANQNIWGQMKEIVMFTGPAAGLWLCGPLMSLIDTAVIGQGSSLELAALGPATVICDYLCYTFMFLSVATSNLVATSLARRDKDEVQHQISILLFIGLACGVTMMVFTRLFGSWALTAFTGVKNAEIVPAANTYVQIRGLAWPAVLIGWVAQSASLGMKDSWGPLKALAVASAINGVGDVVLCTFLGYGIAGAAWATMVSQVVAAYMMMDALNKKGYSAFSFCVPSPSELLTIFGLAAPVFITMMSKVLFYTLLVYFATSMGTSIIAAHQVMLQIYGMSTVWGEPLSQTAQSFMPELLFGINRNLPKARMLLKSLVIIGASLGIVVGTIGTAVPWLFPGIFTQDKVVTSEMHKVIIPYFLALSITPITHSLEGTLLAGRDLRYISLSMTGCLAVAGLLLMLLSNGGFGLRGCWYALVGFQWARFSLSLFRLLSRDGVLYSEDTSRYTEKVKAA >fgenesh2_kg.4__600__AT2G41920.1 pep chromosome:v.1.0:4:10042491:10043535:-1 gene:fgenesh2_kg.4__600__AT2G41920.1 transcript:fgenesh2_kg.4__600__AT2G41920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LES0] MVALDLEFERYLGTGSFGSVSLFKYKRRRDGETLYAAVKTSGYKHAKSLYKEFQILSEFKGCSRIVQCYGNGVKQRFNDKGYVEYKIAMEYAAGGSLSNFVDRFKDRKLPDSMIREFTRMLLEGLATIHEHGYVHCDLKPENILVFPSSVYKNGAWRSSYQLKISDFGLSKRDGDTKWWHPRQPFAGTPIYMSPESISHGEIGKGLDLWSLGCVVLEMYTGKRPWWHTNYELEDLMKCYEPLFPRNLPCDAKLFLMTCFAFEPHERKDALTLLRQSFFHGDANKFTKLQMNAKIDNPDDFTLQLEKLSMMLSEIRTMC >fgenesh2_kg.4__604__AT2G26730.1 pep chromosome:v.1.0:4:10116817:10120026:1 gene:fgenesh2_kg.4__604__AT2G26730.1 transcript:fgenesh2_kg.4__604__AT2G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISCVLNSLLSILLLTQRVNSESTAEKQALLTFLQQIPHENRLQWNESDSACNWVGVECNSNQSSIHSLRLPGTGLVGQIPSGSLGRLTELRVLSLRSNRLSGQIPSDFSNLTHLRSLYLQHNEFSGEFPASITHLNNLIRLDISSNNFTGSIPFSVNNLTHLTGLFLGNNGFSGNLPSISLDLVDFNVSNNNLNGSIPSSLSRFSAESFTGNVDLCGGPLKPCKSFFVSPSPSPSSIIPAKRLSGKNSKLSKAAIVAIIVASALVALLLLALLLFLCLRKRRGSKDARTKQPKPAGVATRNVDLPPGASSSKDEVTGTSSGMGGETERNKLVFTEGGVYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVMASKKEFETQMEVIGKIKHPNVIPLRAYYYSKDEKLLVFDFMPTGSLSALLHGSRGSGRTPLDWDNRMRIAITAARGLAHLHVSAKLVHGNIKASNILLHPNQDTCVSDYGLNQLFSNSTPPNRLAGYHAPEVLETRKVTFKSDVYSFGVLLLELLTGKSPNQASLGEEGIDLPRWVLSVVREEWTAEVFDVELMRYHNIEEEMVQLLQIAMACVSTVPDQRPVMQEVLRMIEDVNRSETTDDGLRQSSDDPSKGSEGQTPPGESRTPPRSVTP >fgenesh2_kg.4__607__AT2G26740.1 pep chromosome:v.1.0:4:10189177:10190472:-1 gene:fgenesh2_kg.4__607__AT2G26740.1 transcript:fgenesh2_kg.4__607__AT2G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LET6] MEHMKVRGNGIDIHVAIQGPSDGPIVLLLHGFPELWYSWRHQIPGLAARGYRAVAPDLRGYGDSDAPAEISSYTCFNIVGDLVAVISALTASEDEKVFVVGHDWGALIAWYLCLFRPDKVKALVNLSVPFSSRPTDPSTKPVDRMRAFYGDDYYVCRFQEVGDIEAEIAEVGTERVMKRILTYRTPGPIIIPKDKSFWGSKDESIPLPSWVTEEDVTYFVSKFEEKGFSGPVNYYRNFNRNNELLGPWVGSKIQVPTKFVIGELDLVYYMPGVKEYIHGPKFKEDVPLLEEPVVMEGVAHFINQEKPQEILQIILDFISKF >fgenesh2_kg.4__609__AT2G26770.1 pep chromosome:v.1.0:4:10215625:10219770:-1 gene:fgenesh2_kg.4__609__AT2G26770.1 transcript:fgenesh2_kg.4__609__AT2G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVTRDFRDSLQRDGVPAVSADVKFASSRFPNYRIGANDQIFDVKDDPKVMSMKEVVARETAQLMDQQKRLSVRDLANKFEKGLAAAAKLSEEAKLKEATSLEKHVLLKKLRDALESLRGRVAGRNKDDVEEAIAMVEALAVQLTQREGELFIEKAEVKKLASFLKQASEDAKKLVDEERAFARAEIESARAAVQRVEEALREHEQMSRASGKQDMEDLMKEVQEARRIKMLHQPSRVMDMEYELRALRNQLAEKSKHFLQLQKKLAMCRKSEENISLIYEIDGTEALGSCLRVRPCSNDAPDLSKCTIQWYRSSSDGSKRELISGATKSVYAPEPFDVGRVLHADITYDGRLLSLSTVGKIDPAAGLGSYVEALVRKHDVDFNVVVTQMSGEDHTSESIHLFHVGKMRIKLCKGKTVIAKEYYSSAMQLCGVRGGGNAAAQALYWQAKKGVSFVIAFESERERNAAIMLARRFACDCNVTLAGPDDRTETGQSP >fgenesh2_kg.4__610__AT2G26780.1 pep chromosome:v.1.0:4:10249667:10263584:1 gene:fgenesh2_kg.4__610__AT2G26780.1 transcript:fgenesh2_kg.4__610__AT2G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LEU2] MAGSSSSSSLISKSDGELEEMLDRMLTRLALCDDSKLESLVSNLLPLTISSLSSQSPVVRNKAIREFALLLNLVFDDFEAYEEKLLYVLEILSHVNKRVKHQHEIGLPLLALWKLYTDPAAAPMVRNFAIVYVEMAFERAPDKEREEIAPSTLENVSKLPKQHQEIILRIAIKVIGECHASKISDDVSAKYRSLITSQDKDLFLDFCLHMLLYQPSSQGGGSSPGLSVFQVNRIIGKQALKGDILTKRKLGILNVIGTMDLPGESVYPLYIAASVDRVGMDLLVKIHSSQEPVAKRGEELLKKIASGTNLDDPKLINRLFILFNDYCKFAMSDVETDSYKLWEIWSADGVFSCTTGTENVAPEHNVAPGNISLKMKLMSGFCRSIAAANSFPATLQCIFGCMYGSGTTLRLKQMGMEFTVWVFKHGKIDQLKLMGPVILNAILKMLDGSTGSETDALSRETKIFSFQAIGLLAQRLPQLFREKTEMAVRLFDALKLETQSLRSTIQEAIISLAAAYKDAPENILRDLEVLLLANSLAGFIKSSIFMAYIDQEQNEARFCALRWATSLYNSQHCPSLYICMLSAADPKLDIREIALEGLFLKEEGRSIVSNHDHKYPKFNEMLEYILKQQPKLVDSSEMRSQKLLFPSQVYLVMIKFLVKCFELEMEESNTQAVGTEFLDSAQKMCSLLEHSLAFEGSAELHACASKALVSVGSYLPEMVELYFSRKIVWLRSLLSHTDLSTRESVSRLLGMASCALSDAESCSLLSELISSISQPQKLRFEAQHGGLCAVGFVSAHCLHRIPTVSEAVTQNAVKCLGDVVNLETAPLASVAMEALGHIGICGALPLLVNDSSPGTQVLEVLQERLSKLLSGDDIKSVQKIALSLGHICSNETSSSHLKIALDLLFSLSRSKAEEILFAAGEALSFLWGGVPVTADMILKTNYTSLSTDSNFLMKEVKSLSDVKTDTEEDSHTITRETITGKLFDTLLYSSRKEERCAGTVWMLSLTMYCGQQPSIQIMLPKIQEAFSHLLGDQNELTQELASQGMSIIYELGDASMKKSLVDALVNTLTGTSKRKRAIKLVEESEVFQEGTIGESPSGGKISTYKELCNLANEMGQPDLIYKFMDLANHQASLNSKRGAAFGFSKIAKQAGDALRPHLRLLIPRLIRYQYDPDKNVQDAMAHIWKALIQDPKKAVDEHLNHIFDDLLVQCGSRLWRSREASCLALADIIQGRKFDQVKEHLKKLWIAAFRAMDDIKETVRNAGDKLCRAVTSLTIRICDVTLTELADAKQAMDIVLPFLLSEGIMSKVTSVRKASIGVVMKLAKGAGVALRPHLSDLVCCMLESLSSLEDQGLNYVELHAANIGIETEKLENLRISISKGSPMWETLDLCINIVDIESLDQLIPRLTQLVRGGVGLNTRVGVASFISLLVQKVGTEIKPFTGMLLKLLFPVAKEEKSSSAKRAFSSACGIVLKYSSPSQAQSLIEETAALHSGDRSSQIACASLFKSFSSTASDIMSSHQSAIVPAIFISRFEDEKQISSLFEEVWEDITSGERVTLQLFLQEIVNHICESITSSSWASKKKATFFIFLSGKAICKLAEVLGESLSPHHKRLLQCLVNEIPGRLWEGKDALLDALGALSVACHVAITMEDPTTPTTILSLICSACKKKLKTYRESAFSCLEKVIIAFGDPKFFHAVFPMLYEMCSTASVKTSTQVQATSDTVKTESENGEDGQVPLEKIMECVKSCIQVATIDDILSAKANLIHVLLISLSPGFLWTVKMSGISCVGKLCSSFQSLWTDSMDDLSPSDATKFVHELFHSLVPKLLECIHTVKIAQFHVAASQCLLELIELYSTVSSLHPVEVDFKAEVVSLLELEKSEEAKSLLRKSRDALANLPSLN >fgenesh2_kg.4__613__AT2G26800.2 pep chromosome:v.1.0:4:10287905:10291538:-1 gene:fgenesh2_kg.4__613__AT2G26800.2 transcript:fgenesh2_kg.4__613__AT2G26800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWNGARRAHSLWCKSLTHNTHLHHPSIPVSHLFTMSSLEEPLSFDKLPSMSTMDRIQRFSSGACRPRDDVGMGHRWIDGRDCTTSNSCVDDDKSFGKESFPWRKHTRKLSEGEQHLFRNTSISGRTSTVSGTLRESKSFKEHKFSTCSNENGKMHSSNKISKGIPKFVKIVEVGPRDGLQNEKNIVPTSVKVELIQRLVSSGLPVVEATSFVSPKWVPQLADAKDVMDAVNTLDGARLPVLTPNLKGFEAAVSAGAKEVAIFASASESFSLSNINCTIEESLLRYRAVATAAKEHSVPVRGYVSCVVGCPVEGAVPPSKVAYVVKELYDMGCFEISLGDTIGIGTPGSVVPMLEAVMAVVPADKLAVHFHDTYGQALANILVSLQMGISIVDSSIAGLGGCPYAKGASGNVATEDVVYMLNGLGVQTNVDLGKLIAAGDFISKHLGRPNGSKAAVALNRRITADASKI >fgenesh2_kg.4__616__AT2G26810.1 pep chromosome:v.1.0:4:10294583:10297227:-1 gene:fgenesh2_kg.4__616__AT2G26810.1 transcript:fgenesh2_kg.4__616__AT2G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSESDKVREANQEEEEIVCLESFFINDDYQLTKFTFGSHVLELYCLQSASTDFDLTGQLVWPGAMLMNGYLSENADILQGCSVLELGSGVGITGVLCSKFCRKVIFTDHNDEILKKNIELHGHSSGPNPSAELEAAKLEWGNSDHLGEILQKHNDGFDLILGADIYILMNDFLSIRLQSSVPFLFDSVEQLLRIRGQGNCKFILAYVSRARQMDSAILREGDQHGMLMNEVSGTRCTVGNLEGVIYEITLQ >fgenesh2_kg.4__621__AT2G26865.1 pep chromosome:v.1.0:4:10352142:10352350:-1 gene:fgenesh2_kg.4__621__AT2G26865.1 transcript:fgenesh2_kg.4__621__AT2G26865.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LEV4] MALIMMILAVIVIEGEAKTEIECSKICREHCKRSSPASECAACRKKCYESPPVAMRARNPIIESEDQKH >fgenesh2_kg.4__622__AT2G26870.1 pep chromosome:v.1.0:4:10354133:10356860:-1 gene:fgenesh2_kg.4__622__AT2G26870.1 transcript:fgenesh2_kg.4__622__AT2G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7LEV5] MSIKTFALLQLLAVTILYNHVHATSPIKTIVVVVMENRSFDHMLGWMKKLNPEINGVDGSESNPVSASDPSSKKIKFGSGSHYVDPDPGHSFQAIREQVFGSNDTSMDPPPMNGFVQQAYSEDPSGNMSASVMNGFEPDKVPVYKSLVSEFAVFDRWFASVPSSTQPNRMFVHSGTSAGATSNNPISLAKGYPQRTIFDNLDDEEFSFGIYYQNIPAVLFYQSLRKLKYVLKFHSYGNSFKDHAKNGKLPAYTVIEQRYMDTLLEPASDDHPSHDVYQGQKFIKEVYETLRASPQWNETLLIITYDEHGGYFDHVPTPVRNVPSPDGIVGPDPFLFQFNRLGIRVPTIAVSPWIEKGTVVHGPNGSPFPSSEYEHSSIPATVKKLFNLSSPFLTKRDEWAGTFENILQIRKEPRTDCPETLPEPVKIRMGEANEKALLTEFQQELVQLAAVLKGDNMLTTFPKEISKGMTVIEGKRYMEDAMKRFLEAGRMALAMGANKEELVHMKPSLTERRH >fgenesh2_kg.4__624__AT2G26890.1 pep chromosome:v.1.0:4:10368261:10379966:-1 gene:fgenesh2_kg.4__624__AT2G26890.1 transcript:fgenesh2_kg.4__624__AT2G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSRGTVASTTGAVEEPEYLARYLVVKHSWRGRYKRILCISSGGIVTLDPNTLAVTNSYDTGSNFDGASPLVGRDENTESVGGEFTVNVRTDGKGKFKAMKFSSRCRASILTELYRLRWHQIRPVAEFQVLHLRRRNAEWVPYKLKVTFVGLELVDSKSGDSRWILDFRDMNSPAIILLSDAYRTKSTDSAGFVLCPMYGRKSKAFRAAPGTTNSSIVASLAKTAKSMVGVFLSVDDSQLLTVSEYMTRRAKEAVGAEETPNGWWSVTRLRSAAHGTLNMPGLSLAIGPKGGLGEHGDAVALQLILTKASLVERRIDNYEVVIVRPLSSVSSLVRFAEEPQMFAIEFCDGCPVHVYASISRDNLLAAILDTLQTEGQCPIPVLPRLTMPGHRIDPPCGRVSLISGPQHLVADLETCSLHLKHLAAAAKDAVAEGGSVPGSRARLWRRIREFNACIPYTGVPTNSEVPEVTLMALITMLPSTPNLPVDAPPLPPPSPKAAATVIGFVACLRRLLSSRSAASHIMSFPAAVNRIMGLLRNGSEGVAAEAAGLIASLIGGWSADLSTAPDSRGEKHATIMHTKSVLFAQQGYVTILVNRLKPMSVSPLFSMAIVEVFEAMVCDPHGETTQYTVFVELLRQIAALRRRLFALFAHPAESVREIIAVIMRTIAEEDAIAAESMRDAALRDGALLRHLLNAFSLPASERLEVSRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRPDDVVDDTDQEGSSTNRRQKRLLQQRRGRIAKGMGAQDIPLPPGNNVETGDVAKQMSANASVPDNFQRRVADSSSEASNLQASAFPGGDSTTAGVSQNGYPAFASITTNANGHEQPETNASDVVGSDPNLYGIQNSVLPAPAQVIVESTPVGSGKLLLNWREFWRAFGLDHNRADLIWNERTRQELREALKAEVHNLDVEKERTEDISPGDVEATTGQETVPRISWNYSEFSVSYRSLSKEVCVGQYYLRLLLESGNAGKAQDFPLRDPVAFFRALYHRFQCDADMGLTIDGAVPDELGSSGDWCDMSRLDGFGGGGGASVRELCARAMAIVYEQHYSTIGPFEGTAHITTLIDRTNDRALRHRLLLLLKALVKVLLNVEGCVVVGGCVLAVDLLTVVHENSERTPIPLQSNLIAATAFMEPPKEWMYIDKGGAEVGPVEKDVIRSLWSKKDIDWTTKCRALGMLDWKKLRDIRELRWAVAVRVPVLTPTQVGDAALSILHSMVSAHSDLDDAGEIVTPTPRVKRILSSTRCLPHIAQALLSGEPVIVEAGAALLKDVVTRNSKAMIRLYSTGAFYFALAYPGSNLYSIAQLFSVTHVHQAFHGGEEATVSSSLPLAKRSVLGGLLPESLLYVLERSGPAAFAAGMVSDSDTPEIIWTHKMRAENLICQVLQHLGDYPQKLSQHCHSLYDYAPMPPVTYPELRDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRKPMDLSEGEACKILEISLNDASSDDLNWTAPVELNEEISNISKQIQNLDEEKLKRQYRKLAMRYHPDKNPEGREKFLAVQKAYECLQATMQGLQGPQPWRLLLLLKAQCILYRRYGHVLRPFKYAGYPMLLDAVTVDKDDNNFLSNDRSPLLVAASELVSLTCAASSLNGEELVRDGGVQLLSTLLSRCMCVVQPTTSQHEPAAIIVTNVMRTLSVISQFESARAVFLELPSLIEDIVHCTELELVPAAVDAALQSIAKVSVFPELQHGLLKAGALWYILPLLLQYDSTVEESNSVESHGVGVSIQIAKNEHALQASQALSRLSGLCADESLTPYNAAAADVIRALLTPKLASLLKDEVAKDLLSKLNTNLETPEIIWNSATRSELLNFVDEQRTCQCPDGSYDLKTAQSFSYDALSKEVFIGNVYLKVYNDQPDSEISEPEAFCNALIDSISSLVHTELPSVSEDQNLIEDGSSSNYTPELQSSVVEPSLIEEHSDHQPSSEGKKEECFLIDHLQLGLTALQNLLTKYPDLASVFSSKERLLPLFECFSVSIASKTDIPKLCLNVLSRLTAYAPCLETMVSDGSSLLLLLQMLHSAPSFREGALHVLYALASTPELAWAAAKHGGVVYILELLLPLQKEIPLQQRAAAASLLGKLVAQPMHGPRVAITLVRFLPDGLVSIIRDGPGEAVVHALERTTETPELVWTPAMAASLSAQIATMASDIYREQQKGSVIEWDVPEQSSGQPEMRYELQVGGVNVRLFLKDPKFPLRNPKRFLEGLLDQYLSAMAATHYEQHPVDPELPLLLSAALVSLLRVHPALADHIGYLGYVPKLVAAVAYEGRRETMSSGEVKAEEIGSDGVNESADPSSLPGQTPQERVRLSCLRVLHQLAASTACAEAMAATSAGNAQVVPLLMKAIGWLGGSILALETLKRVVVAGNRARDALVAQGLKVGLIEVLLGLLDWRTGGRYGLSSHLKWNESEASIGRVLAVEVLHGFATEGAHCSKVREILDASEVWSAYKDQKHDLFLPSNTQSAAGVAGFIENSSNSLTYALTAPPPPSHP >fgenesh2_kg.4__626__AT2G26910.1 pep chromosome:v.1.0:4:10397564:10404211:1 gene:fgenesh2_kg.4__626__AT2G26910.1 transcript:fgenesh2_kg.4__626__AT2G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPDR4/PDR4 [Source:UniProtKB/TrEMBL;Acc:D7LFE1] MWNSAENAFSRSTSFKDEIEDEEELRWAALQRLPTYSRIRRGIFRDMVGEPKEIQIGNLEASEQRLLLDRLVNSVENDPQQFFARVRKRFDAVDLKFPKIEVRFQNLMVESFVHVGSRALPTIPNFIINMAEGLLRNIHVIGGKRSKLTILDGISGIIRPSRLTLLLGPPSSGKTTLLLALAGRLGTNLQTSGKITYNGYDLKEIIAPRTSAYVSQQDWHVAEMTVRQTLEFAGRCQGVGFKCDMLLELARREKLAGIVPDEDLDIFMKSLALGGQETSLVVEYVMKILGLDTCADTLVGDEMIKGISGGQKKRLTTGELLVGPARVLFMDEISNGLDSSTTHQIIMYMRHSTHALEGTTVISLLQPSPETYELFDDVILMSEGQIIYQGPRDEVLDFFSSLGFSCPERKNVADFLQEVTSKKDQQQYWSVPFRPYRYVPPGKFAEAFRSYPTGKKLAKKLEVPFDKRFNHSAALSTSQYGVKKSELLKINFSWQKQLMKQNAFIYVFKFVQLLLVALITMTVFCRTTMHHNTIDDGNIYLGSLYFSMVIILFNGFTEVPMLVAKLPVLYKHRDLHFYPSWAYTLPSWLLSIPTSIIESATWVAVTYYTIGYDPLFSRFLQQFLLYFSLHQMSLGLFRVMGSLGRHMIVANTFGSFAMLVVMTLGGFIISRDSIPSWWIWGYWISPLMYAQNAASVNEFLGHNWQKSAGNHTSDSLGLALLKERSLFSGNYWYWIGIAALLGYTVLFNILFTLFLAHLNPWGKFQAVVSREELDEREKKRKGDEFVVELREYLQHSGSIHGKYFKNRGMVLPFQPLSLSFSNINYYVDVPLGLKEQGILEDRLQLLVNITGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGTIEGDVYISGFPKRQETFARISGYCEQNDVHSPCLTVVESLLFSACLRLPADIDSETQRAFVHEVMELVELTSLSGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGQKSCELINYFESIEGVQKIRPGHNPAAWMLDVTSSTEEHRLGVDFAEIYRNSNLCQRNKELIELLSKPSSIAKEIEFPTRYSQSLYSQFVACLWKQNLSYWRNPQYTAVRFFYTVVISLMLGTICWKFGSKRDTQQQLFNAMGSMYAAVLFIGITNATAAQPVVSIERFVSYRERAAGMYSALPFAFAQVFIEFPYVLAQSTIYSSIFYAMAAFEWSVVKFLWYLFFMYFSIMYFTFYGMMTTAITPNHNVASIIAAPFYMLWNLFSGFMIPYKRIPLWWRWYYWANPVAWTLYGLLVSQYGDDERPVKLSDGIHQVMVKQLLEDVMGYKHDFLGVSAIMVVAFCVFFSLVFAFAIKAFNFQRR >fgenesh2_kg.4__628__AT2G26930.1 pep chromosome:v.1.0:4:10414144:10416663:-1 gene:fgenesh2_kg.4__628__AT2G26930.1 transcript:fgenesh2_kg.4__628__AT2G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Atcdpmek [Source:UniProtKB/TrEMBL;Acc:D7LFE3] MATASPPFISTLNFTHSSFKNTSSSSFSPKLLRPLLSFSVKASRKQVEIVFDPDERLNKIGDDVDKEAPLSRLKLFSPCKFNVFLRITGKREDGFHDLASLFHVISLGDTIKFSLSPSKSKDCLSTNVQGVPVDGRNLIIKALNLYRKKTGSDRFFWIHLDKKVPTGAGLGGGSSNAATALWAANQLNGGLVTEKELQDWSSEIGSDIPFFFSHGAAYCTGRGEIVQDLPPPFPLDLPMVLIKPREACSTAEVYKRLSLDQTSNINPLTLLKNVTSNGVSQSICVNDLEPPAFSVLPSLKRLKQRIIASGRGEYDAVFMSGSGSTIVGIGTPDPPQFIYDDEEYKNVFLSEANFMTREANEWYKEPASANATTSSSESRMDFQ >fgenesh2_kg.4__629__AT2G26940.1 pep chromosome:v.1.0:4:10419317:10420189:-1 gene:fgenesh2_kg.4__629__AT2G26940.1 transcript:fgenesh2_kg.4__629__AT2G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRDLYDDDDSSSSSESEEFHRTKLRVKVKLPEERSEDEDESPQPLRKHFCVICEKQFSSGKAYGGHVRIHSTEYNIKGKMKKTRMKIMKKKRKIGLLKKEKEKEIDLIRADVEGKIRCCLCGKEFQTMHSLFGHMRRHPDRSWKGIRPPPPPEKFNLSYGDDDDVDDDEDEDAMSRSIMMSDDVPEDVQEAACILMMLSYASSNYWAGIKKAMESPKSEVRSPSCYKDDKGKDEVLGDGGMDDKKSLIVDMNIKMKVNSPRDEDKRSLGFDLNQPPYHEDHPDSCWN >fgenesh2_kg.4__631__AT2G26970.1 pep chromosome:v.1.0:4:10470274:10472142:-1 gene:fgenesh2_kg.4__631__AT2G26970.1 transcript:fgenesh2_kg.4__631__AT2G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease family protein [Source:UniProtKB/TrEMBL;Acc:D7LFF2] MNKLSNAFSLLAFADEDAPMASSSSSTGKQEERVNGSLEDGDYKQPLVWIDLEMTGLNVEVDRILEIACIITDGNLTKSVEGPDLVVHQTKDCLDKMGEWCQTHHGDSGLTKKVRLSTISEREAEQKVIEFVRKHVGSENPLLAGNSVYVDFLFLKKYMPELAALFPHILVDVSSVKALCSRWFPIEKRRAPAKKNNHRAMDDIRESIKELKYYKKTIFKARK >fgenesh2_kg.4__633__AT2G38790.1 pep chromosome:v.1.0:4:10499290:10500338:1 gene:fgenesh2_kg.4__633__AT2G38790.1 transcript:fgenesh2_kg.4__633__AT2G38790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGKKHLNINSLNYQNPQRVKKTKKTTTARTVSSLDYLMTLNLQDSYHRVYDEQNDDVGYDGDGDDDEGSRMDMRVLMVYERAVLLKSKDEFLGFFSNIGDAVSQFKQDSRSPTKSMTMQRSLSAGSSRVTSKGVKLGPSDLRDERFKVTTVNAGGAAGGGKGGSAAGQGQTKKQSGFVTCGGVAMDDQIL >fgenesh2_kg.4__636__AT2G26980.3 pep chromosome:v.1.0:4:10629157:10632474:-1 gene:fgenesh2_kg.4__636__AT2G26980.3 transcript:fgenesh2_kg.4__636__AT2G26980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7LFH3] MNRRQQVKRRVGKYEVGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMAEQIRREIATMKLIKHPNVVQLYEVMASKTKIFIVLEYVTGGELFDKIVNDGRMKENEARRYFQQLIHAVDYCHSRGVYHRDLKPENLLLDAYGNLKISDFGLSALSQQVRDDGLLHTSCGTPNYVAPEVLNDRGYDGATADMWSCGVILYVLLAGYLPFDDSNLMNLYKKISSGEFNCPPWLSLGAMKLITRILDPNPMTRVTPQEVFEDEWFKKDYKPPVFEEKDYSNMDDIDAVFKDSEEHLVTEKKEEQPAAINAFEIISMSRGLNLENLFDPEQEFKRETRITLRGGANEIIEKIEEAAKPLGFDVQKKNYKMRLENVKAGRKGNLNVATEIFQVAPSLHMVQVSKSKGDTLEFHKFYKKLSNSLEQVVWTNNEVKKETGK >fgenesh2_kg.4__639__AT2G26990.1 pep chromosome:v.1.0:4:10639819:10650369:-1 gene:fgenesh2_kg.4__639__AT2G26990.1 transcript:fgenesh2_kg.4__639__AT2G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDADMEDYGFEYSDEDQEEQDVDIENQYYNSKGMVETEPEEALSGFAEVVQMEPDKADWGFKALKQTVKIYYRLGKYKEMMEAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNTGLLQEFYQTTLKALEEAKNERLWFKTNLKLCNIWFDIGEYRRMTKILKELHKSCQKEDGTDDQKKGSQLLEVYAIEIQIYTETKDNKKLKQLYHKALSIKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEIIEFERILKSNRRTIMDDPFIRNYMEDLLRKVRTQVLLKLIKPYTKIGIPFISKELNVPEKDVTELLVSLILDSRIDGHIDEMNRYLLRGDSGNGRKLHKAVDKWNTQLKSLSSNITSRVC >fgenesh2_kg.4__63__AT2G21380.1 pep chromosome:v.1.0:4:271668:279375:1 gene:fgenesh2_kg.4__63__AT2G21380.1 transcript:fgenesh2_kg.4__63__AT2G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRTRSRSPFSHRRPPSPYSSASSTSSSLINNRLLPRSSSTPTSTVYNSGSVSGSRSMSITRTISDSGPIGGSGTYGAQSSVTYPSEGLIGESVPTITSERDSISVTVRFRPMSEREYQRGDEIVWYPDADKMVRNEYNPLTAYAFDKVFGPQSTTPEVYDVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDHNFPGIIPLAIKDVFSIIQETTGREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDSQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLMSSRSHTIFTLMIESSAHGDQYDGVIFSQLNLIDLAGSESSKTETTGMRRKEGAYINKSLLTLGTVIGKLTEGKTTHVPFRDSKLTRLLQSSLSGHGHVSLICTVTPASSSTEETHNTLKFASRAKRIEINASRNKIIDEKSLIKKYQKEISTLRDELDQLRRGVLVGVSHEELLSLKQQLQEGQVKMQSRLEEEEEAKAALMSRIQKLTKLILVSTKNSIPGYLGDTPAHQRSISAGKDDKLDSLLLDSDNLASPSSTLSLASDARRSSSKFKDENSPVGSGAELTQGVMNPDEMDLLVEQVKMLAGEIAFSTSTLKRLVDQSINDPENSKIQIQNLEREIQEKQRQMRSLEQRITESGEASIANASSIEMQQKVMRLMTQCNEKSFELEIISADNRILQEQLQTKCTENNELHEKLHLLEERLSNQKSTLSCSDAVTEEYVDELKKKVQSQEIENNKLKLEHVQNVEEKSGLRVQNQKLAEEASYAKELASAAAIELKNLADEVTKLSLQNAKLEKELVAARDLAAAAQKRNNSSMNSVANRNGTRPGRKARISDSWNLNQENLTMELQARKQREAVLEATLAEKQYIEEEYKKKVEEAKRREEALENDLANMWVLVAKLKKANSGALSIQKSDEAESVKEDEATELDNKSQQNAFLKERQIVDGHEEVIVAKAQETLKEEPLVARLKARMQEMKEKEMKSQAAAAANADANSHICKVCFESPTATILLPCRHFCLCKSCSLACSECPICRTKISDRLFAFPS >fgenesh2_kg.4__640__AT2G27020.1 pep chromosome:v.1.0:4:10723968:10726591:-1 gene:fgenesh2_kg.4__640__AT2G27020.1 transcript:fgenesh2_kg.4__640__AT2G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:D7LFI4] MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVVGIKCKDGIVMSVEKLIASKMMLPGSNRRIHSVHRHAGMAVAGLAADGRQIVARAKSEARSYESVYGDAVPVKELSERVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGISYRYFGAAIGKGKQAAKTEIEKLNLSEMTCKEGVIEVAKIIYKLHDEAKDKAFELEMSWICDESKREHQKVPDDLLEEAKTAAKTALEEMDAD >fgenesh2_kg.4__642__AT2G27030.3 pep chromosome:v.1.0:4:10781925:10784300:1 gene:fgenesh2_kg.4__642__AT2G27030.3 transcript:fgenesh2_kg.4__642__AT2G27030.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-2 [Source:UniProtKB/TrEMBL;Acc:D7LFI7] MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIKEADVDGDGQINYEEFVKVMMAKRRGKRVMTTKRSSNSTEYRDKNGRRKSHCRIL >fgenesh2_kg.4__643__AT2G27035.1 pep chromosome:v.1.0:4:10786315:10786990:1 gene:fgenesh2_kg.4__643__AT2G27035.1 transcript:fgenesh2_kg.4__643__AT2G27035.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LFI8] IIVEVESSLHRVGGGRYTWNSDVNFSDWANHQRFYSGDWLYFGFNRTRHNILQVNKSSYEQCVDNDYIFNITRGGRDVFQLLEPKPYYFICGRGYCHKGMKFAINVLPQPSPSAPTNLASTTTHLIPSNAITAAILIFTFKALLL >fgenesh2_kg.4__644__AT2G27040.1 pep chromosome:v.1.0:4:10787075:10792880:-1 gene:fgenesh2_kg.4__644__AT2G27040.1 transcript:fgenesh2_kg.4__644__AT2G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTNGNGADLESANGANGSGVVTDALPPPPPVIPPNVEPVRVKTELAEKKNLRVPMARKGFGTRGQKIPLLTNHFKVDVANLQGHFFHYSVALFYDDGRPVEAKGVGRKILDKVHETYHSDLDGKEFAYDGEKTLFTYGALPDNKMDFSVVLEEVSAARTNGNGSPNGNESPSDGDRKRLRRPNRSKNFRVEISYAAKIPLQALANAMRGQESENSQEAIRVLDIILRQHAARQGCLLVRQSFFHNDPSNCEQVGGNILGCRGFHSSFRTTQGGMSLNMDVTTTMIIKPGPVVDFLIANQNARDPYSIDWSKAKRTLKNLRVKVSPSNQEFRITGLSDKPCREQTFELKKRNPNENGEFDTTEVTVADYFREIRHIDLQYSADLPCINVGKPKRPTYIPLELCALIPLQRYTKALNTFQRSALVEKSRQKPQERMTVLSKALKVSNYDAEPLLRSCGISISSNFTQVEGRVLPAPKLKMGCGSETFPRNGRWNFNNKQFVEPTKIERWVVVNFSARCNVRQVVDDLIKIGGSKGIEIAPPFQVFEEGNQFRRAPPMNRVENMFKDIQSKLPGVPQFILCVLPEKKNCDLYGPWKKKNLTEFGIVTQCMAPTRQPNDQYLTNLLLKINAKLGGLNSMLSVERTPAFTVISKVPTIILGMDVSHGSPGQSDVPSIAAVVSSREWPLISKYRASVRTQPSKAEMIESLFKKNGTEDDGIIKELLVDFYTSSNKRKPEHIIIFRDGVSESQFNQVLNIELDQIIEACKLLDANWNPKFLLLVAQKNHHTKFFQTSSPDNVPPGTIIDNKICHPKNNDFYLCAHAGMIGTTRPTHYHVLYDEIGFSPDELQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQLGTFMKFEDQSETSSSHGGITAPGPISVAQLPKLKDNVANSMFFC >fgenesh2_kg.4__645__AT1G07700.2 pep chromosome:v.1.0:4:10798889:10800302:1 gene:fgenesh2_kg.4__645__AT1G07700.2 transcript:fgenesh2_kg.4__645__AT1G07700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7LFJ2] MSSLLNIYHCSSLGYSGLSSRGGITVGNCRWVWHSGGVRLSFPRVESSSMSINIAMGCTFQHGRAKSLSQENVVELSDENDDLCPVECVTEFKTDDELLRVLEKSKETNSLVVVDFYRTACGSCTYIEQGFSKVCKQSGDQEAPVIFLKHNVVDEYDEQSEVAERLRIK >fgenesh2_kg.4__646__AT2G27050.1 pep chromosome:v.1.0:4:10827267:10829686:1 gene:fgenesh2_kg.4__646__AT2G27050.1 transcript:fgenesh2_kg.4__646__AT2G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFNEMGMYGNMDFFSSSTSLDVCPLPQTEQEPVVEDVDYTDDEMDVDELEKRMWRDKMRLKRLKEQQSKCKEGVDGSKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQAENNIPGGSNDCNSLVGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVSPPWWPNGNEDWWPQLGLPNEQGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEEVVARELYPESCPPLSSSSSLGSGSLLINDCSEYDVEGFEKEQPSFDVEEQKPEIVMMNPLASFGIAKMQHFPIKEEVATTVNLEFTRKRKQNNDMNVMIMDRPARYTCENGQCPHSKMNLGFQDRSSRDNHQMVCPYRDNHLAYGASKFHMGGMKPVVPQQAVQPIDLSSFGVPENGQKMITDLMAMYDRNVQSNQAPPPLMENQSMIIDAKAAQNQQLSFNSGNQMFMQQGTNNGVNNRFQMVFDSTPFDMAAFDYRDDWQTGAMEGMGKQQQQDVSIWF >fgenesh2_kg.4__648__AT2G27080.1 pep chromosome:v.1.0:4:10847441:10848395:1 gene:fgenesh2_kg.4__648__AT2G27080.1 transcript:fgenesh2_kg.4__648__AT2G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVYPTDSPPQSGQFSGNFSSGEFPRKPTPPPATYVIQVPKDQIYRIPPPENAHRLQQLSRKKNNRSTCRCCFCSFLAAIFILIVLAGISLAILYLIYRPEAPKYSIEGFTVSGINLNSTSPISPNFNVTVRSRNGNGKIGVYYEKESSVDVYYNDVDLCNGVMPVFYQPAKNVTVVRLALSGSKIQLTSGMRKEMRNEVSKKTLPFKLKIKAPVKIKVGSVKTWSMIVNVECDVTVDKLTAPSRIVSRKCSHDVDLW >fgenesh2_kg.4__652__AT2G27090.1 pep chromosome:v.1.0:4:10975872:10980300:-1 gene:fgenesh2_kg.4__652__AT2G27090.1 transcript:fgenesh2_kg.4__652__AT2G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTSRIDEDKALQLCRERKKFVQQALDGRCLLAAAHVSYVQSLKSTGTALRKFAETEVPVESSLYTSTSATPEQPLALIEKSVSHLSYSPPPASHSHHDTYSPPPSPPSTSPFQVNHMKFRGFSSKKVEEKPPVSIVATVTSSSIPRSRSIEKMESTPFEESSSMPPEAPWDYFGLSHPIDNQFSSSHVGNGHVSRSVKGEDETPEVEDDGEDFSFQEREESRDSDDDEFDEPTSDTLVRSFENFNRVRRDHSALPQREGVEREFSDAEKSKTPELSPPVTPLAATPVNKTPNKGDHTENKLPPRDFLSSMKEIELLFVKASETGKEVPRMLEANKLHFRPIVPSKESGSGASSLFKTCLSCGEDPKDVPEEPAQNSVKYLTWHRTESSRSSSSRNPLGAMNSDDVEELNSNLFENICMIAGSHASTLDRLYAWERKLYDEVKGSQTVRREYDEKCRILRELESEGKSSKRIDKTRAVVKDLHSRIRVAIHRIDSISRRIEELRDNELQPQLEELIEGLSRMWEVMLECHKVQFQLIKACYRGGNIKLNMQSELHRQVTSHLEDELNALASSFTKWITGQKSYIQAINEWLVKCVALPQRSSKRKRRAPQPSLRNYGPPIYATCGIWLEKLEVLRTKEVSGSIKALASDVARFLPRQEKSRTKKHRSGENKNDLTAQMLQDETLEDCGPGFDRFRTSLEGFVGQLNQFAESSVKMYEELKEGIHGAKNNYEQLKKAYSSQGK >fgenesh2_kg.4__653__AT2G27100.1 pep chromosome:v.1.0:4:11013243:11017365:1 gene:fgenesh2_kg.4__653__AT2G27100.1 transcript:fgenesh2_kg.4__653__AT2G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADITLPPSDSAVDNRLPEKSTSSSPPPPPPSSSLPQQEQEQDQQQPPQRRERDSRDRDIERPPPNRRDRDRSPLPPPRRDYKRRPSLSPPPPYRDRRHSPPQRRSPPHKRYRRDDNGYDARRGSPRGGYGPPDRRFGYDHGGGYDREMGGRPNYGDERPHGRFMGRYQDWEGGRGGYGDASNSGNTQRDGLMSYKQFIQELEDDILPSEAERRYQEYKSEYITTQKRAFFNTHKEEDWLKNKYHPTNLLSVIERRNDLAQKVAKDFLLDLQSGTLDLGPAVTALNKSGRTSEPNSEDEAAGGGKRKRHGMGGSKETELLSAAPKAPSFTSDPKRILTDVEQTQALVRKLDFEKKIEENVLQGSETEKSGREKLHSGSTGPVVIIRGLTSVKGLEGVELLDTLVTYLWLVHGVDYYGKVETNEAKGLRHVRAEGKGSDAKGDESESKFDSHWQERLKGQDPLEVMAAKEKIDAAATEALDPHVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVYKHLKLKHTELVTELTTKVREELYFQNYMNDPNAPGGQPATQQSGPRDRPIRRKPSMENRLRDDRGGRRERDGRANGNDRNERSEDQQRGDNDGGNPGELGYDAFGGQGGVHVPPFLSDINPPPMLMPVPGAGPLGPFVPAPPEVAMQMFRDPSGPNNPPFEGSGRGGPAPFLLSPAFRQDPRRLRSYQDLDAPEEEVTVIDYRSL >fgenesh2_kg.4__659__AT2G27100.1 pep chromosome:v.1.0:4:11053070:11057225:-1 gene:fgenesh2_kg.4__659__AT2G27100.1 transcript:fgenesh2_kg.4__659__AT2G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETLPPSESVDNRLPEKSTSSSPPPPPPSSSLPQQEQEQDQEQPPQRRERDSRERRDERDIERPPNRRDRDRSPLPPPRRDYKRRPSLSPPPPYRDRRHSPPQRRSPPQKRYRRDDNGYDTRRGGYGPPDRSLHVRFGYDHGGGYDREMGGRPGYGDERPHGRFMGRYQDWEGGRGGYGDASNSGNTQRNGLMSYKQFIQELEDDILPSEAERRYQEYKSEYITTQKRVFFNSHKEEEWLKNKYHPTNLLSVIERRNELARKVAKDFLLDLQSGAIDLGPAVTALDKSSRASEQISDDDAAGGGKRKRHGSGEAKETELLSAAPKAPSFTSDPKRILTDIEQAHALVRKLDSEKGIEENVLSGSENDKLGREKLHSGSTGPVIIIRGLTSVKGLEGVELLDTLVTYLWRVHGVDYYGKVETYEAKGMRHVRAEGKGSDAKGDESESKFDSHWQERLKGQDPLEVMAAKEKIDAAATEALDPHVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVYKHLKLKHTELVTELTTKVREELYLQNYMNDPNAPGGQPATQQSGPRDRPMRRRPGMENRLRDDRGGRRERDGRANGNDRNDRSEDQQRGDGDGPDGGNPREVGYDAFGGQGGVHVPPFSSDINPPPMLMPVPGAGPLGPFVPAPPEFAMQMFPSGPNPPFEGNGRGGPAPFLLSPAFRQDPRRLRSYQDLDAPEEEVTVIDYRSL >fgenesh2_kg.4__660__AT2G27130.1 pep chromosome:v.1.0:4:11066442:11067819:1 gene:fgenesh2_kg.4__660__AT2G27130.1 transcript:fgenesh2_kg.4__660__AT2G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTNILAILFLLTLSSGQTPPAPEPTAADGPSSPANCLVSMLNVSDCFSYVQVGSNEIKPEPACCPELAGMVQSSPECVCNLLGGGASPRFGVKLDKQRAEQLSTICGVKAPSPSLCSVLGFPTISPAGSEDSSAGSEGSDKDKKNGAMTIKDYGIALNSLALILLSTILSLF >fgenesh2_kg.4__661__AT2G27140.1 pep chromosome:v.1.0:4:11099807:11100730:-1 gene:fgenesh2_kg.4__661__AT2G27140.1 transcript:fgenesh2_kg.4__661__AT2G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock family protein [Source:UniProtKB/TrEMBL;Acc:D7LG79] MERISDHANANRIYDEFEPLSNWKTEQGFETLTVYLPGFRKEQLKVQVTTTRKLRVMGDRPAGANKWIRFRKEFPIPPNIDVDSVSAKFEGANLVVRLPRSEPMGKQTSPIIGTATKPPPVPKENPKLPSQAAKEKVQPPDETREREAELEKQAEKIRSPKPARENEEALNQDYRSKVNEYKENLGGYVALMKNNRTALTAGVVVPAAAVLLLSLGFYAGQMFTS >fgenesh2_kg.4__663__AT2G27150.1 pep chromosome:v.1.0:4:11114835:11120204:1 gene:fgenesh2_kg.4__663__AT2G27150.1 transcript:fgenesh2_kg.4__663__AT2G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde oxidase [Source:UniProtKB/TrEMBL;Acc:D7LG81] MDLEFAVNGERFKIDSVDPSTTLLEFLRLNTPFKSVKLGWCGACLVVLSRYDTELDQVKQCSINSCLTLLCSINGCSITTSEGLGNTKKGFHPIHKRFAGFHASQCGFCTPGMCISLYSALANADNNSSKEFTVSEAEKSVSGSLCRCTGYRPIVDACKSFATDVDIEDLGFNSFWKKGESKEVMLKNLPPYNPKDHLVTFPEFLKKKKKKREIKKVDNGLDHSRYRWTTPFSVAELHNIMDAANSGDSLKFVVGNTGTGYYKDEERFDRYIDISHIPEMSMIKKDEKGIEIGAAVTISNAIDALEEESKSSYIFKKMAAHMERIGNRSIRNSGSIGGNLVMAQSRKFPSDITTLLLAVDASVYMLNGRKTEKVTLQEFLELSPILDSKRVLLKVEIPSWTAPSGDDTELLFESYRATPRSIGNALPYLNAAFLAIVSRQEPSRKDVTVDRCLLAFGSYGGDHSIRAIKVENFLTGKLLSYSVLYEAVGLLRGIIVPGKDTSHSEYSKSLAVGFLFDFFCSLIENGHRNSHVDTAKSLPFLSSSQQVLESNEFQPIGEAVIKVGAALQASGEAVFVDDIPTLPDCLHGAFIYSTEPLAKIKSISFRENVNPTGVFAVLTFKDIPEQGQNIGSKTLFGPGPLFADELTRCAGQRIALVVADTQKHADRAAKLAVVEYDTTNLEQPILTVEDAVKRSSFFEVYPMFYPEPVGDVIKGMEEAERKIMSAELTLGSQYFFYMEPQTALALPDEDNCVKVFSSSQAPEYVHSVIATCLGIQEHNVRVITRRVGGGFGGKAVKSMPVATACALGAYKLQRPVKMYLNRKTDMIMAGGRHPMKITYNVGFRSDGKLTALELTMLIDAGLEPDVSPIMPRNIMGPLRKYDWGALSFDVKVCKTNCPSRTAMRAPGEVQGSYIAESIIENVASSLQMDVDAVRKINLHTYDSLRKFYKHISGDLDEYTLPLLWDKLEISSKFKERAEIVKEFNLCNVWRKRGISRVPIVHQVMQRPTPGKVSILSDGSVVVEVGGIEIGQGLWTKVQQMVAYGLGMVKCEGSEKLLERIRVVQSDTLGMIQGGFTAGSTTSESSCEAVRLCCVILVERLKPTMDQMLMEKPGSVTWNMLIQQAYAQYINLSASTLYMPEYSTMEYLNYGVGVEVHLLTGKTDILRSDIVYDCGKSLNPAVDLGQTEGAFVQGIGFFMMEEYTTDEKGLVVQQGTWDYKIPTVDTIPKHFNVEIVNIGHHKNRVLSSKASGEPPLLLAASVHCATRSAIREARKQSISSNINDGFDSEFEVPVPATMPVVKSLCGLYSVEKYLQGKIKGQ >fgenesh2_kg.4__666__AT2G27180.1 pep chromosome:v.1.0:4:11134030:11134872:1 gene:fgenesh2_kg.4__666__AT2G27180.1 transcript:fgenesh2_kg.4__666__AT2G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LG84] MRNSPPMTTALSKERRKVGEVAGGAAAECAAVWCCCPCAVVNLVVLAVYKVPAAVCKKAWRRSKRRRFTRKRHGLLASATAEGSESTVHARLNGEDLTAEIVFEDCQVNGELNDVVRLENEMLDRFYGAGFWRSPSQRDTSSG >fgenesh2_kg.4__668__AT4G09545.1 pep chromosome:v.1.0:4:11140270:11140631:1 gene:fgenesh2_kg.4__668__AT4G09545.1 transcript:fgenesh2_kg.4__668__AT4G09545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKNVISLLAALCIIVSVNAQLPQFPAPFPFPFPFHPIPGMPGLPDITKCWSSVMDIPGCIAEISQSIFTGKFGNLGPACCKAFLDAEANCMPKIPFIPFFPPMLKEQCSRIAGPTPPT >fgenesh2_kg.4__672__AT5G52980.1 pep chromosome:v.1.0:4:11292757:11294227:-1 gene:fgenesh2_kg.4__672__AT5G52980.1 transcript:fgenesh2_kg.4__672__AT5G52980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPDPKNGSGLILSVTEPMRSFLAIASGDLRLSEELRGIASDLSSKNSVSYKLLRAIWTGSDPLTRPGLLGLFSGCDFVFTSPKPREKSEELKMRLLKLKEIAERKEYAELVKDITPKKQVEEPFSSYKDQLGFGLHVGLTMFTGYLVGYASFRALFNRNPALSAAGGILGLVLAMLVETLLFIIKTSKDDQIQSSKSFTPSFTPTTKKNQ >fgenesh2_kg.4__673__AT5G52990.1 pep chromosome:v.1.0:4:11294518:11295907:1 gene:fgenesh2_kg.4__673__AT5G52990.1 transcript:fgenesh2_kg.4__673__AT5G52990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNPSLLSYTCIAKGTVVLAEFVSKEEPGIEAVALRCIENTPPHHSMFSHTVHKKTYTFAIDDDSFVYFAILDESMEKPESFWVLNRLRSAIEDLIRDGGSDVETLINPVSHCLQSKLDPVFAEIVGVVDERSERESRNPSIDSSKGRRAALMPLLGKPLKALKKKKKKRLHNEAKGQDSCEVGSIKEISEKNVDVCGNGNNGVLRKELRNGLLSDHHHRQKAKQIWKKHVWVVLMFDFCICAVLFGIWLWICEGFQCIQG >fgenesh2_kg.4__675__AT2G27200.1 pep chromosome:v.1.0:4:11301890:11304880:1 gene:fgenesh2_kg.4__675__AT2G27200.1 transcript:fgenesh2_kg.4__675__AT2G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7LGU4] MGKNEKTSLGRALVKHHNHMIQETKEKGKSYKDQHKKVLESVTEVSDIDAIIEQAEEAERLFAIHHDSATPVPINMDTGSSSSGITAKEWKEQRMREEALHASSLQVPRRPHWTPKMNVEQLDANERQAFLTWRRKLASLEENEKLVLTPFEKNLDIWRQLWRVLERSDLIVMVVDARDPLFYRCPDLEAYAQEIDEHKKTMLLVNKADLLPSYAREKWAEYFSRNNILFVFWSAKAATATLEGKPLKEQWRAPDTSHKTDNPAVKVYGRDELLARLKLEALEIVKMRKSRGVSATSAESHREQVVVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLIISEDLMLCDCPGLVFPSFSSSRYEMIASGVLPIDRMTEHREAIKVVAERVPRHAIEDVYNISLPKPKSYEPQSRPPLASELLRTYCLSRGYVASSGLPDETRAARQILKDYIEGKLPHFAMPPEITRDDENETADDNMGAETREGSQPEEEGKEATGLGLGLDQVLDDLSSFDLANGIGSNKKKQHKKSHRKP >fgenesh2_kg.4__676__AT2G27210.1 pep chromosome:v.1.0:4:11308063:11315131:1 gene:fgenesh2_kg.4__676__AT2G27210.1 transcript:fgenesh2_kg.4__676__AT2G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LGU6] MDLDSSMVPENDQDPVATPENHQSPMEKEASEQSTEETGSESESASLTPPSPPSQQQQPQVTTVVGPRCAPTYSVVNAIIEKKEDGPGPRCGHTLTAVPAVGEEGTSGYIGPRLILFGGATALEGNSGGTGTPTSAGSAGIRLAGATADVHCYDVLTNKWSRLTPYGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSARYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAAATNSPPGRSPGRYGFSDERTGELPESAPDSVVLGSPVAPPVNGDMYTDISTENAMVPGTRRTSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKPSLSLIKPDSAVPNSVIPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVVAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDISYIDYLFLGDYVDRGQHSLETITLLLALKVEYQHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAALIENKIICMHGGIGRSINHVEQIENIQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPERHIEDTWMQELNANRPPTPTRGRPQNPNDRGSLAWI >fgenesh2_kg.4__67__AT2G21410.1 pep chromosome:v.1.0:4:302172:307821:1 gene:fgenesh2_kg.4__67__AT2G21410.1 transcript:fgenesh2_kg.4__67__AT2G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar proton ATPase A2 [Source:Projected from Arabidopsis thaliana (AT2G21410) TAIR;Acc:AT2G21410] MAESHGGGCCPPMDLMRSEPMHLVQVIVPMESAHLTVSYLGDLGLVQFKDLNSDKSPFQRTYAAQIKRCGEMARKIRFFKDQMSKAGVTPKETLDKDIDIDLDDVEVKLEELEAELVEINANNDKLQRSYNELVEYKLVLEKAGEFFASAHRSANAQRSEIETEQVNEDLLEAPLLQEEKSVDPTKQVKLGFLTGLVPREKSMVFERILFRATRGNIFIRQSVIEESVVDPNSGEKAEKNVFVVFYSGERAKSKILKICEAFGANRYPFSEDLGKQAQMMTEVSGRLSELKTTIGAGLDHRNILLETIGDKFEQWNLKVHKEKAIYHTLNMLSLDVTKKCLVGEGWSPVFAATEVQDALQRAAVDSNSQVGSIFQVLRTKEMPPTFFRTNKFTTAFQEIVDAYGVAKYQEANPSVFTIVTFPFLFAVMFGDWGHGICLLLATMYLILREKKLSSQKLGDIMEMAFGGRYVIFMMSLFSIYTGLIYNEFFSIPYPLFASSAYECRDVSCSEATTIGLIKTRDTYPFGVDPVWHGTRSELPFLNSLKMKMSILIGVAQMNLGIIMSFFNAKFFKSAVNVWFQFVPQMIFLNCLFGYLSVLIIIKWCTGSQADLYHVMIYMFLSPMDDLGENQLFPNQKKIQLTFLFLALVSVPWMLLPKPFILKKQHEARHQGLSYAHLDETDESLQVDTNGGGHGHEEFEFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLMAWGFNNVFILIVGILVFIFATVGVLLVMETLSAFLHALRLHWVEYQNKFYEGDGYKFAPFTFTLDGNEDE >fgenesh2_kg.4__680__AT2G27230.2 pep chromosome:v.1.0:4:11354902:11358698:1 gene:fgenesh2_kg.4__680__AT2G27230.2 transcript:fgenesh2_kg.4__680__AT2G27230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLREALRSMCVNNQWSYAVFWKIGCQNSSLLIWEECYNETASSSNPRRLCGLGVDTQGNEKVQLLTNRMMLNNRIILVGEGLVGRAAFTGHHQWILANSFNRDVHPPEVINEMLLQFSAGIQTVAVFPVVPHGVVQLGSSLPIMENLGFVNDVKGLILQLGCVPGALLSENYRTYEPAADFIGVPVSRIIPSQGHKILQSSAFVAETSKQHFNSTGSSDHQNDQMVEETPCNLVDEQIKQGGWQNTTGFLTAGEVAVPSNPDAWLNQNFSCMSNVDAAEQQIPCEDISSKRSLGSDDLFDMLGLEDKNKGCDNSWGVSQMRTEVLTRELSDFRIIQDMDPEFGSSGYELSGTDHLLDAVVSGACSSTKQISDETSESCKTTLTKVSNSSVTTPSHSSPQGNQLFEKQHGQAVGPSSVYGSQISSWVEQAHSLKREGSPRMMNNNKNETAKPANNRKRLKPGENPRPRPKDRQMIQDRVKELREIIPNGAKCSIDALLERTIKHMLFLQNVSKHSDKLKQTGESKIMKEDGGGATWAFEVGSKSMVCPIVVEDINPPRIFQVEMLCEQRGFFLEIAEWIRSLGMTILKGVIETRLDKIWARFTVEANRDVTRMEIFMQLVNILEQTMKCGGNSKTILDGIKATMPLPVTGGCSM >fgenesh2_kg.4__683__AT2G27260.1 pep chromosome:v.1.0:4:11386543:11387446:1 gene:fgenesh2_kg.4__683__AT2G27260.1 transcript:fgenesh2_kg.4__683__AT2G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPPRPATGYPYPYPYPNPQQPPPTNGYPNAATGTAYPYQNHNPYYAPPQPNPRAVIIRRLFIVFMTFLLILGLILFIFFLVVRPQLPDVYLNSLSVSNFNVSNNQVSGKWDLQVQFRNPNSKMSLHYDAALCAMYYNRVSLSETRLQPFDQGKKDQTVVNATLSVSGTYVDGRLADSIGKERSVTGSVEFDLRMISYVTFRYGAFRRRRYVTVYCDDVVVGLPVSSVSGKMVGSDKRCKTY >fgenesh2_kg.4__686__AT2G27280.1 pep chromosome:v.1.0:4:11395658:11397490:-1 gene:fgenesh2_kg.4__686__AT2G27280.1 transcript:fgenesh2_kg.4__686__AT2G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFSASFDSSNPLGFLEKVLDFIGKESNFLRKDTAEKEITDAVTAVKERLREAEKKKMTESMEVEKVRASTLPFNSSFDLSDPLGFLKKVLDFIGKESNFLRQDKAEKEIITAVTAAKDRLREAEKESVKQATEKINKYGLQIRAPSQKKQSSSRPPLRTASIFGEDDEDNDVEKEISRQASKTKALKKIEEQHKKALKEDPSAFAYDEVYDDIKHEAVLPRMQDREEHKPRYIQHIMKQAERRGKEHEIVYERKLAKERAKDEHLFSDKEKFVTGPFKRKLEEQKKWLAEERFRELREERDDVTKKNDLSDFYFNIGKNVAFGARDIEARDAERLEELRKADRLEEPRKEETIKEKKRKSPEKEVSPDSGDFGLSSKKSVKPHQSKRQQKETPKATREDAIAAAKERFVSRKKARIEK >fgenesh2_kg.4__687__AT2G27285.1 pep chromosome:v.1.0:4:11398854:11400722:-1 gene:fgenesh2_kg.4__687__AT2G27285.1 transcript:fgenesh2_kg.4__687__AT2G27285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYGLQIRAPSQKKQSSSRPPLRLASIFGEEEDHDVEKEISRQASKTKALKEIEEQHKKALEEDPSAFAYDEVYDDMKQKAVLPRMQDREERKPRYIQHLMKQAERREKEHEIVYERKLAKEREKDEHLFSDKEKFVTGAYKRKLEEQKKWLAEERLRELREERDDVTKKKDLSDFYFNIGKNVAFGARDIEAKEVERLEEQRKAEKLEEQRRAEKLEELRKEETREEKKRKSPEKELPEKELSPDSRDFGSSRSKSLEPQEAEQAVSEKKMGSDGTEERDSSIKEAAKEVPKAVNDHKRREDAIAAAKERFLARKKAKIEELV >fgenesh2_kg.4__689__AT2G27300.1 pep chromosome:v.1.0:4:11423355:11424972:-1 gene:fgenesh2_kg.4__689__AT2G27300.1 transcript:fgenesh2_kg.4__689__AT2G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC040 [Source:UniProtKB/TrEMBL;Acc:D7LGW0] MSKEAEMSIAVSAMFPGFRFSPTDVELISYYLRRKIDGDEDSVAVIAEVEIYKFEPWDLPEESKLKSENEWFYFCARGRKYPHGSQSRRATKLGYWKATGKERTVKSGNQFVGTKRTLVFHIGRAPRGERTEWIMHEYCIHGAPQDALVVCRLRKNADFRASSSQKQMEDGIVQDDGYVGQTGGSEKEEKSYSVYDQLPNGDIAESSNVVEYQADTDDDCYAEILNDDIIKLDEEALKASQAFRPTNPTHQETISSESSSSKRSKCGIKKESTETMNSYALFRIKNVAGTDSSWRFPNPFNIKKDYSQRLMKNVLATTVFLAILFSLLDCINS >fgenesh2_kg.4__68__AT2G21430.1 pep chromosome:v.1.0:4:311089:312388:-1 gene:fgenesh2_kg.4__68__AT2G21430.1 transcript:fgenesh2_kg.4__68__AT2G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLRVLFSVSLLFVFVSVSICGDEDLLIRQVVDEAEPKVLSSEDHFTLFKKKFGKDYGSIEEHYYRFSVFKANLRRAMRHQKMDPSARHGVTQFSDLTGSEFRRKHLGVTGGFKLPKDANQAPILPTHNLPEEFDWRDRGAVTPVKNQGSCGSCWSFSTTGALEGAHFLATGKLVSLSEQQLVDCDHECDPEEAGSCDSGCNGGLMNSAFEYTLKTGGLMREEDYPYTGTDGGSCKLDRSKIVASVSNFSVVSINEDQIAANLVKNGPLAVAINAAYMQTYIGGVSCPYICSRRLNHGVLLMGYGSSGYSQARLKEKPYWIIKNSWGESWGENGFYKICKGRNICGVDSLVSTVAAATS >fgenesh2_kg.4__690__AT2G27310.1 pep chromosome:v.1.0:4:11426475:11427560:-1 gene:fgenesh2_kg.4__690__AT2G27310.1 transcript:fgenesh2_kg.4__690__AT2G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LGW1] MATVDVINGDSISTLHSDIIQTQILTRLDGPTLASTATTSSYLQTLCTEEKLWQELSIATWPAINDPRVVRAISSFPSGYRSFFADSYPFTEHTWQSEKHDPPTGLISAVDLYYRGEIIYSKIQEMETEKGKSGWFLSSPFRVDILDPKESVQTRIRYPGGDYEAWVKDMEESMRLNWILIDPIKKRAANISSRKAVSARRNWLTGDLEIRFSTIVTAAAAEVAAVVSCGSAEAWKEVDEEVGGEIHVRDVRLQVEDIEGKCMKGRDSLVILQGLLEGKRSCKDDEERRAKERYEEYVRMKIQWRENKERKEKAQDTICMIFGFSLFVLLWSFILLR >fgenesh2_kg.4__694__AT2G27350.2 pep chromosome:v.1.0:4:11451881:11455429:-1 gene:fgenesh2_kg.4__694__AT2G27350.2 transcript:fgenesh2_kg.4__694__AT2G27350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFLVQRGSSGSSSNSSRPSSSGTEPQINNTIQVPPVTIDEEITDEKQEEVTVVEKAECSDAKNVAVDISEPVDREDDEGLVVSENVHVESEGIDCDSPVSGGSNPDSPPVPAPPPKPSSTVNPGNNRSVLGSFDALRIGPTRRGSGPRSLVSSRSSPTGSHPSSPRSHSENEGYNSSDEHMPCYVPSHPGSGLEREHQFEAEIRDSKGFEIRRMLEDGNCLFRAVADQVYGDSEAYDLARQMCMDYMEQERDHFSQFITEGFTSYLKRKRRDKVYGNNVEIQALAEMYNRPIHIYSYSTEPINIFQGNYSTDTPPIRLSYHHGNHYNSLVDPHRLTVGAGLGFSSLSGRHVDKEQVKAAIKAQQEHQIDNALLAEGRFYSDLELTEKEIERAVMDASRAEYLMEWSKPRIGPKESSTSNAETSSSGARPSGSDSKPEEAVKKEKTVLSSSIEMVLSMGFSYTQAMEAYSIFGDDVDSMVCYVLETSCGGNNRRKGKATE >fgenesh2_kg.4__699__AT2G27385.1 pep chromosome:v.1.0:4:11504295:11505117:-1 gene:fgenesh2_kg.4__699__AT2G27385.1 transcript:fgenesh2_kg.4__699__AT2G27385.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g27385 [Source:UniProtKB/TrEMBL;Acc:D7LGX1] MALRSHFLALFLFSASLSSCLVVSASLVEGKVSCFDCPNDYDYSGIMVGVSCSHTKTHFTVTTDKKGEFMSKLPSSIESNCEAELQGSFKQLYASKNNIKSKIVKLDGDKYCLSSKLIFLKSYPRSLGSFGSSKTVDLPVPPEWGLAPTSYYVPFLPIIGIP >fgenesh2_kg.4__69__AT2G21440.1 pep chromosome:v.1.0:4:312795:318170:-1 gene:fgenesh2_kg.4__69__AT2G21440.1 transcript:fgenesh2_kg.4__69__AT2G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LBD4] MGKNKRERKDGEEKSPQSATTVCVSGLPYSITNAQLEEAFSEVGPVRRCYLITNKGSNEHRGFAFVTFALPEDVNRAIELKNGSTFGGRRITVKQATNRPSLKERRTKAVQGISLPDDSQAQSDKDTLIPETDEKVPPPETKVEKPIERKKVEKPIESKKVEKPIERKKVEKPIESKKVEKPIERKQVEKPIERKGPTKLHVDLPDKETCSDKQRVARTVIFGGLANAEMAEVVHSRVKEIGTVCSVRYPLPKEELQQNGLTQDGCRAEASAVLFTSVKSACAVVAKLHQTEIKGNLIWARQLGGEGSKAQKWKLIIRNLPFQAKPSDIKEVFSAVGFVWDVFIPKNFETGLPKGFAFVKFTCKKDAENAIQMFNGHMFGKRPIAVDWAVPKNLYNGAADATTASADGDQKGSDGDSDNSSVDLEEVDDAVESHPPSGDDTDDEEEDGSNKLSESDALEKDVGTDVNFKEEADVARKVLKNLLASSKGSIASPDGETEESDKSKLKNSSTKPVADSSGVSEPLKSGKTKEVAPKETQENEHFERTLFIRNIPFDVTKEEVKQKFAVFGEVESLFLVLNKVTKRPEGTAFLKFKKADASVAAISAANTASGVGVLLKGRQLNVMRAVGKKAAHDIELKKTEEKNVDHRNLYLAKEGQILDDSPAAEGVSAEDMDRRRRLHENKMKKLQSPNFHVSRTRLVIYNLPKSMNQKQLHKLLVDAVTSRATKQKPGIRQIKFLQNEKKGKVDTKNYSRGVAFVEFTEHEHALVALRVLNNNPETFGPQHRPVIEFAVDNVQKLKIREAKQQQFQQRDKHNESEQQQSNGEAQAPDNKYKRKTREGDNSGPRKENAARFKKGPGRPGVESKEEAKSNIAVKDDAAEKKRPIRTQEKPSSNKKGQLMRQKETTEKPNPKISKDLREPRKRKFGEDRGEENINGQRKRKKKQGQGGAEVVDKLDMLIEQYRSKFSQSSAKTGPQKQSSGQVRRWFES >fgenesh2_kg.4__700__AT2G27420.1 pep chromosome:v.1.0:4:11519587:11521028:-1 gene:fgenesh2_kg.4__700__AT2G27420.1 transcript:fgenesh2_kg.4__700__AT2G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIIFILTIFLSYRTSLATSRGGLFEASPIEKHEQWMARFNRVYSDESEKRNRFNIFKKNLEFVQSFNMNKNITYKLDVNEFSDLTDEEFRATHTGLVVPEEITGISTLSSDKTVPFRYGNVSDTGESMDWRQEGAVTPVKYQGRCGGCWAFSAVAAVEGITKITKGELVSLSEQQLLDCDTDYNQGCHGGIMSKAFEYIIKNQGITTEDNYPYQESQQTCSSSTTLSSSFRAATISGYETVPMNNEEALLQAVSQQPVSVGIEGTGAGFRHYSGGIFNGECGTDLHHAVTIVGYGMSEEGTKYWVVKNSWGETWGEDGFMRIKRDVDAPQGMCGLAMLAFYPLA >fgenesh2_kg.4__702__AT2G27430.1 pep chromosome:v.1.0:4:11549924:11554749:-1 gene:fgenesh2_kg.4__702__AT2G27430.1 transcript:fgenesh2_kg.4__702__AT2G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LGX6] MSSSRTQPSSSSSSVWQLQYMKLHFFTKIRCLLKSKASSRKRNLQALPEKSRTYQDSEKVAALPEAIVLKLPEDENEEVVLQKTVKKLHFGSWEEKEKAAIEIEKLAREDKKTRKLMAELGVLQVLVYMVASDVSGHQKAAVNALIQLSHGTYKNKALMVNADICSKLPKNVEVLDQSTRHGFAELLLSLSSLTNTQLPVASSQILPFLMDTMNSDSTDMKIKEICLATISNLCLVLENAGPLVLNGAVQTLLRLMSAKDLSEKALASLGQLVVTQMGKKAMEDCLIVPKGLIEILTWEDKPKCQEYSVYILMVLAHQSWSQREKMTKAGIVPVLLEVSLLGSPLVQKRAVKLLQWFKDERNVRMGPHSGPQTGWMSPGMGSPMSPRSGEEGRKMMKNLVKQSLYKNMEMITRRGNVDMESESCRLKSLIISTSSKSLTY >fgenesh2_kg.4__704__AT2G27450.2 pep chromosome:v.1.0:4:11562095:11564285:-1 gene:fgenesh2_kg.4__704__AT2G27450.2 transcript:fgenesh2_kg.4__704__AT2G27450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQGRRREVVVSSLQFACSDDISTNVAAAERFVSLSLSLTINLSHLPPPCNFHVLVREAHAKGANIVLIQELFEGYYFCQAQREDFFQRAKPYKNHPTIARMQKLAKELGVVIPVSFFEEANAAHYNSIAIIDADGTDLGIYRKSHIPDGPGYQEKFYFNPGDTGFKVFQTKFAKIGVAICWDQWFPEAARAMVLQGAEVLFYPTAIGSEPQDQGLDSRDHWRRVMQGHAGSNVVPLVASNRIGKEIIETEHGPSQITFYGTSFIAGPTGEIVAEADDKSEAVLVAQFDLEMIKSKRQSWGVFRDRRPDLYKVLLTMDGNI >fgenesh2_kg.4__706__AT2G27460.1 pep chromosome:v.1.0:4:11583023:11588098:1 gene:fgenesh2_kg.4__706__AT2G27460.1 transcript:fgenesh2_kg.4__706__AT2G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/sec24 transport family protein [Source:UniProtKB/TrEMBL;Acc:D7LHL0] MAVRATVSRFPIDADAQEASGLPWGLTVTPFAAKDENEVGPAYGSNGHLLPRCENCYAYFNTYCELDQWAWNCSLCGTLNGLPSDAVARYSNPQSIPEMTSSFIDLEMPLDGSEEEMTQARPVYVAAIDISSSEEFLELTKSALLAALEALSPGALFGLVTFSHKIGLYDVQGPIPVVKNVFIPPDGESSLSLELEDVMPLLQFLAPVETCKDRIAAALETLRPITSWERSAGAAQGMDSVLMGGRGFGTAMEALFNYLGSEFGNTFALARVFAFLSGPPDYGRGQLDTSRYGEQYASKRVDADRALLPEQTPFYKDLATIAVQSGVCVDLFAVTNEYTDLASLKFLSIESGGSLFLYSSTDDSTLPQDMFRMLNRPYAFNCVLRLRTSTEFKPGNSFGHFFPDPQYENLQHIICCDSYATYAYDFDFADNSGFSRHSGEQPVVQIAFQYTVVVPPEGLSNSEMSSSSRGKHTLQRRLRIRTMQFGTAHNINEIYDSVDHEVVLSLLVHKVILASLEDGVREGRALLHDWLVILTAQYNDAFNLVQYKNGNKSMSSQIDITFSQCPQLEPLPRLVFALLRNPLLRFHEEGVHPDYRIYLQCLFSVLDPSSLHCGIYPALMSYSTPDTLAYPRHSLSRAALITSGSPIFFLDAYTTLIVFYSSTADPSIPFPPPQDCLLRQTINKVKQERSITPKLMFIRGGRDDATVFENYLIEEQDVDGIGFASAMGFVSFLDDISQRVTEYMK >fgenesh2_kg.4__707__AT2G27470.1 pep chromosome:v.1.0:4:11588074:11589441:-1 gene:fgenesh2_kg.4__707__AT2G27470.1 transcript:fgenesh2_kg.4__707__AT2G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKVVVDELPLAIVRRVVKDKLSECSPEYDVSIHKEALLAFSESARIFIHYLSSTANDFCKDARRQTMKADDVFKALEEMDFSEFLEPLKTSLEDFKKKNAGKKAGGGAASKPAGGAASKSAGATASKPKETKKRKQEGPSTQKGARKSKIDEETNKNDEENENDNTEEENGNDEEDDNDDENTEENGNDEENEKEDEGNSMEENGNESEESGNEDHSMDENGSGDGEDNENEDGSGSGSGEEVEGDEEDE >fgenesh2_kg.4__70__AT2G21450.1 pep chromosome:v.1.0:4:319610:322330:-1 gene:fgenesh2_kg.4__70__AT2G21450.1 transcript:fgenesh2_kg.4__70__AT2G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYTAWTLRSRSRSITQEELAKRPDPFSVPNLLDGLEDGVYGCLADNVKRLCKLRQEYLNGSISLEDIEAREEKKPSKLPQESVTPLYKRLKKLKEVIVVKNGDSSGSDMSPQGYDEEDSSSNSTDIDNQSPLYVDAEEEEELWRQMAFAQESIKVTVEDSQSNDHKQVEDCDHSFICKDDIGEVCRVCGLIKKPIESMIEVVFNKPKRSRRTYMREKENGETSRAFSGIQSSQTNILGEKMFIHPWHDQEMRPHQTEGFRFLCNNLAADEPGGCILAHAPGSGKTFLLISFLQSFMAMDPQARPLIVLPKGIIESWKREFTLWEVEKIPLLDFYSVKAESRKQQLKVLGQWLKERSILFLGYQQFTRIICDDKNFEAASEDCKLILLEKPTLLILDEGHTSRNKETNMLISLARVKTPRKVVLTGTLFQNNVEEVFNILNLVRPKFLKRPGTREIVSRIMSKAEIPRGKQVNQSSIEGTFFAAVELTLQKSIDFSAKASLIKDLREMTRNILHYHKADFSGLLPGLSEFTVMLNLSSIQRDEIKGLRKMELFKQISLGAALYIHPKLKSFLEENPSNGEKGFADNNATVMKLDKMLKKINVRDGVKMKFFLNLLALCESTGEKLLVFSQYIVPIKTLERLMSSMKGWRLGKEMFTITGDSSNEQREWSMERFNNSPEAKVFFGSIKACGEGISLVGASRVLILDVHLNPSVTQQAVARAYRPGQKRKVYAYKLVAAESPEEENYETCTRKEMMSKMWFEWNVGSGRGDFGLRAIDVDHSGDAFLETTKMKEDIKCLYTR >fgenesh2_kg.4__710__AT2G27490.2 pep chromosome:v.1.0:4:11591180:11592192:-1 gene:fgenesh2_kg.4__710__AT2G27490.2 transcript:fgenesh2_kg.4__710__AT2G27490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHL3] MRIVGLTGGIASGKSTVSNLFKASGIPVVDADVVARDVLKKGSGGWKRVVAAFGEEILLPSGEVDRPKLGQIVFSSGSKRQLLNKLMAPYISSGIFWEILKQWASGAKVIVVDIPLLFEVKMDKWTKPIVVVWVSQETQLKRLVERDGLSEEDARNRVMAQMPLDSKRSKADLVIDNNGSLDDLHQQFDKVLSEIRKPLTWIEFWRSRQGAFSVIGSVILGLSVCKQLKIGS >fgenesh2_kg.4__714__AT2G27505.1 pep chromosome:v.1.0:4:11637422:11638814:1 gene:fgenesh2_kg.4__714__AT2G27505.1 transcript:fgenesh2_kg.4__714__AT2G27505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSYLPIGDSVKTSVLSKRWEFLWLEVSRLDLDAINFSRDGEALARHLSIFSKKILKNDDSIDDCNKRVMEWITEVVHRGVLLEFVSMIKSCNIIYILKNDDSIDDCNKRVMEWITEVVHRGVQHLDVEAVGIIQSHPGFNNIMYSPSVDFMPKYVYVSKTLVSLKLVNVGLEDPKFVVSLPSLKIMHLENIYYKSHGGLLIIKMLISASPVLEDLTLEFSFSVPSPTMPKSNFDLVQGNYFTWKPEEMDLTNVPRCMKSTLVYVKINKLITKEESGIKVVNYFLENSAVLKKLTMFFTHSSMEIQEPESYMKLLTSTKLSRNCQVFIH >fgenesh2_kg.4__716__AT2G28470.1 pep chromosome:v.1.0:4:11672897:11677553:1 gene:fgenesh2_kg.4__716__AT2G28470.1 transcript:fgenesh2_kg.4__716__AT2G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:D7LHM1] MVKGRKMEMILLLILQIMMAATAVNVTYDHRALVIDGKRKVLISGSIHYPRSTPEMWPELIKKSKDGGLDVIETYVFWSGHEPEKNKYNFEGRYDLVKFVKLVEEAGLYVHLRIGPYVCAEWNYGGFPVWLHFVPGIKFRTDNEPFKEEMQRFTTKIVDLMKQEKLYASQGGPIILSQIENEYGNIDSAYGAAAKIYIKWSASMALSLDTGVPWNMCQQADAPDPMINTCNGFYCDQFTPNSNSKPKMWTENWSGWFLGFGDPSPYRPVEDLAFAVARFYQRGGTFQNYYMYHGGTNFDRTSGGPLISTSYDYDAPIDEYGLLRQPKWGHLRDLHKAIKLCEDALIATDPTISSLGSNLEAAVYKTASGSCAAFLANVGTKSDATVSFNGESYHLPAWSVSILPDCKNVAFNTAKINSATEPTAFARQSLKPDGGSSAELGSEWSYIKEPIGISKADAFLKPGLLEQINTTADKSDYLWYSLRMDIKGDETFLDEGSKAVLHIESLGQVVYAFINGKLAGSGHGKQKISLDIPINLAAGKNTVDLLSVTVGLANYGAFFDLVGAGITGPVTLKSAKGGSSIDLASQQWTYQVGLKGEDTGLATVDSSEWVSKSPLPTKQPLIWYKTTFDAPSGSEPVAIDFTGTGKGIAWVNGQSIGRYWPTSIAGNGGCTDSCDYRGSYRANKCLKNCGKPSQTLYHVPRSWLKPSGNTLVLFEEMGGDPTQISFGTKQTGSNLCLMVSQSHPPPVDTWTSDSKISNRNRTRPVLSLKCPVSTQVISSIKFASFGTPQGTCGSFTHGHCNSSRSLSVVQKACIGSRSCNVEVSTRVFGEPCRGVIKSLAVEASCS >fgenesh2_kg.4__719__AT2G28420.1 pep chromosome:v.1.0:4:11692412:11693096:-1 gene:fgenesh2_kg.4__719__AT2G28420.1 transcript:fgenesh2_kg.4__719__AT2G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase family protein [Source:UniProtKB/TrEMBL;Acc:D7LHM5] MEEKKKKGDDESNSKAPLMALNHVSRLCKDVKKSLEFYTKVLGFVEIERPASFDFNGAWLFNYGVGIHLVQAKDQDKLPSDTNHLDPMDNHISFQCEDMEALEKRIKEVKVKYIKRTVGDEKDAAIDQLFFNDPDGFMVEICNCENLELVPRHSADAIHLPEDRHAPPVTLPDSDDRMPQPNS >fgenesh2_kg.4__724__AT2G17460.1 pep chromosome:v.1.0:4:11725797:11727212:1 gene:fgenesh2_kg.4__724__AT2G17460.1 transcript:fgenesh2_kg.4__724__AT2G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHM7] MLTRTSWSHRWCTVTRMRNVISARDIVYTINIGIGVTFVACILYTFCCVRTLVQTMLTRTSWSHRWLRMTSSSTFPIPYSSIIKSFHLL >fgenesh2_kg.4__725__AT2G28400.1 pep chromosome:v.1.0:4:11745671:11746433:1 gene:fgenesh2_kg.4__725__AT2G28400.1 transcript:fgenesh2_kg.4__725__AT2G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKCYYPRPSHRFFTTDQHVTAASDFELDEWDLYNTGSDSPSNFSFSDLTITSGRTGTNRKIHGGSGSGSGTAASSLPVNVPDWSKILGDESRRQRQIYNEEEVDGDEISCGGGTRRVPPHELLANRRMASFSVHEGAGRTLKGRDLSRVRNTIFKIRGIED >fgenesh2_kg.4__726__AT2G28390.1 pep chromosome:v.1.0:4:11754185:11758096:1 gene:fgenesh2_kg.4__726__AT2G28390.1 transcript:fgenesh2_kg.4__726__AT2G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sand family protein [Source:UniProtKB/TrEMBL;Acc:D7LHM9] MATSDSSSSPSSSGTEFADPNPSTDPETNSERVQSQLESMNLSQPSEVSDGSHTEFSGGDDDDNDVASANGNEGGVSNGGLVSEGVAGISGEEEIEVSLRAENPVEMEAGEEPPSPTSSGYDGERGSSGGATSTYKADDVSEDEIREANVDGDTASQHEAAWLPGKRHVDEDDASMSWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVNLIKAGKHQVVFLVKGPIYLVCISCTDETYEYLRGQLDLLYGQMILILTKSIDRCFEKNAKFDMTPLLGGTDAVFSSLVHSFSWNPATFLHAYTCLPLPYALRQATGTILQDVCASGVLFSLLMCRHKVVSLAGAQKASLHPDDLLLLSNFVMSSESFRQVLNILSIRTSESFSPICLPRYNAQAFLHAYVHFFDDDTYVILLTTRSDAFHHLKDCRVRIETVLLKSNILSVVQRSIAEGGMRVEDLPIDRRRRSSTANQGQDTAVPEVSVGTGGPCGLWHFMYRSIYLDQYINSEFSPPVTSHRQQKSLYRAYQKLYASMHVKGLGPHKTQYRRDENYTLLCWVTPDFELYAAFDPLADKAMAIKICNQVCQRVKDVENEVFLQGASPFSW >fgenesh2_kg.4__727__AT2G28380.1 pep chromosome:v.1.0:4:11764009:11766176:1 gene:fgenesh2_kg.4__727__AT2G28380.1 transcript:fgenesh2_kg.4__727__AT2G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DsRNA-binding protein 2 [Source:UniProtKB/TrEMBL;Acc:D7LHN0] MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGEIFESPQYCSTLRQAEHSAAEVALNALSNRGPSHSLASRILDETGVYKNLLQEIAQRVGAPLPRYTTFRSGLGHQPVFTGTVELAGITFTGDPAKNKKQAEKNAAMAAWSSLKQLAKETSSSMPEPENIDELEQVIIARALINYRIKENIGTGSSSSAPVPFAKKFFMQNPRPTSPQPSPATTSRILPFICPKQPSRSSRSLAATSGIDRIMAAALESRSYQRPQQRFANPGTAAQPYVPMRHLRSPCHGMAPPVTIRTAVPVFSAPPMPPPPCTNSTQLSSSVYVPSLMRTAPPVRIAPPVTIRTAVPVFASAPPVRIRKVDIQTTVKPTVEVGETRVSLVQENEESIPVLPDTLEIGEEGITIPISEREKTAAKDSSKGEPETARERLEYLKI >fgenesh2_kg.4__728__AT2G28370.1 pep chromosome:v.1.0:4:11766352:11768546:-1 gene:fgenesh2_kg.4__728__AT2G28370.1 transcript:fgenesh2_kg.4__728__AT2G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:D7LHN1] MNVSHASVHPVEDPPATEVDNPPRVRMDDMEGMPGTLLGLALRFFQFLFAAAALCVMATTSDFPSVTAFCYLVAATGLQSLWSLALAMVDVYAIMVKRSLQNRRLVSLFAIGDGVTSTLTFAAACASAGITVLIDNDLNSCAQNHCVQFETSTALAFISWFAALPSFLFNFWSLASR >fgenesh2_kg.4__729__AT2G28360.1 pep chromosome:v.1.0:4:11772612:11777538:1 gene:fgenesh2_kg.4__729__AT2G28360.1 transcript:fgenesh2_kg.4__729__AT2G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIT4 phosphatase-associated family protein [Source:UniProtKB/TrEMBL;Acc:D7LHN2] MFWKLASLSASSPVSSTTDSFLPSFFFATHLLPSAFHRDLVFKKLEWFFVESILDKDSFTLEELLDEEEIIQECKALNSRLINFLRDKTQVDQLLRYVVEEPEDDADSKRAFKFPFISCEIFTCEIDVILKTLVEDEKLMDLLFGFLEPNRPHSALLAGYFGKVVICLMIRKTAALMKYIKGHGNVFSQLVDLIGITSIMEVLVRLVGADDHVYPNFPDVMRYLADSNLLEMIVDKLNSSSPPEVQANAAETLCAITRNAPSALATKLSSPGFVSRIFGHAIEDSHSKSGLVHSLTVCISLLDPRRSAASSPFFNSYRGQNMFESPVPVSQETIGAMLPKLGDMLVLLSVASDSKVLPTTYGELRPPLGKHRLKIVEFIAVLLKSGNEAAGTELASSGTIKRILELFFEYPYNNALHHQVESIILSCLENKSEIMVNHILQECNLISKILSSDKDSALSGDNLPTVVATGKKPPRVGYVGHITRLWNKLVQLSDSNSLIKTSLQENSEWNEWQSSVLKERNTVENVYRWACGRPTTVQDRTRDSDEEDRDYDVAALANNLNQAFNYRMYGNEDNEEDAYFDDESAEVVISSLRLGDDQGSLLTNSDWFTFQDDRFSNTTSDTTIEDVNMNENSNDNNSSSSDDELLVGEEKDDDLTEKPKNISPNNLSTSDSTSINTSSENNDEPSEIQITSSSLNPFIDVPMLDVKSEPVIPNGSPPSSGSSGSGHKSPSSPAVRALFEEDVEFVGVEPEGTEKAMEQALKEGIVGEAGPLKRNIVQKVPENENHQEENFGVTEFNDAKFWRVDQEVTVLE >fgenesh2_kg.4__72__AT2G21470.2 pep chromosome:v.1.0:4:335644:339468:1 gene:fgenesh2_kg.4__72__AT2G21470.2 transcript:fgenesh2_kg.4__72__AT2G21470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sumo-activating enzyme 2 [Source:UniProtKB/TrEMBL;Acc:D7LBD8] MATQQQQSAIKGAKVLMVGAGGIGCELLKTLALSGFEDIHIIDMDTIEVSNLNRQFLFRRSHVGQSKAKVARDAVLRFRPHINIRSYHANVKNPEFDVDFFKQFDVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHIKGKTECYECQTKPAPKTYPVCTITSTPTKFVHCIVWAKDLLFAKLFGDKNQDNDLNVRSNNSASSSKETEDVFERSEDEDIEHSNIEAALSNEETWKNRRRPRPIYSKDVLPESLTQQNGSTHNCSVTDGDSMVSVMPSLGLKNPQELWGLTQNSLVFIEALMLFFAKRKKEIGHLTFDKDDQLAVEFVTAAANIRAESFGIPLHSLFEAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLKKDVDKFRMTYCLEHPSKKLLLMPIEPYEPNPACYVCSKTPLVLEINTRKSKLRDLVDRIVKAKLGMNLPLIMHGNSLLYEVGDDLDDIMVANYNANLEKYLSELPSPILNGSILTVEDLQQELSCKINVKHRFYSEILNPVSNSVWFLIILPSTFPKLFHFIKSRNQDELSSDIILGFSNGLIDLSILYLFCFCHREEFDEEKEPEGMVLSGWTQSPATNGESASTSNNENPVDVTESSSGSETASKKRKLSEPEPSNHNKETENVESEDDDIMEVENPMMVSKKKIRVE >fgenesh2_kg.4__731__AT2G28350.1 pep chromosome:v.1.0:4:11809407:11811907:-1 gene:fgenesh2_kg.4__731__AT2G28350.1 transcript:fgenesh2_kg.4__731__AT2G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:D7LHN6] MEQEKSLDPQLWHACAGSMVQIPSVNSTVFYFAQGHTEHAHAPPDFHAPRVPPLILCRVVSVKFLADAETDEVFAKITLLPLPGNDLDLENDAVLGLTPPSSDVNVNGNGNEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTAEPPVQTVIAKDIHGETWKFRHIYRGTPRRHLLTTGWSTFVNQKKLIAGDSIVFLRSESGDLCVGIRRAKRGGLGSTGLGSDNPYPGFSGFLRDDETSTTSKLMMMKRNGNDGNAAAGGRVRVEAVAEAVARAACGQAFEVVYYPRASTPEFCVKAADVRSAMRIRWCSGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVAWDEPDLLQNVKRVSPWLVELVSNMPAIHLSPFSPRKKIRIPQPFEFPFDGTKFPIFSPGFANNGGGESMCYLSNDNNNAPAGIQGARQAQQLFGSPSPSLLSDLNLSSYSSNKLQSPAMFLSGFNPRHHYDNIVSRQARDTENSNNISCSLTIGNPAMVQDKKKSGGSVKTHQFLLFGQPILTEQQVMNRKRSLEEEAEAQEEKSSAARGLTWNYGFQGLETGHCKVFMESEDVGRTLDLSVIGSYQELYRKLAEMFHIEERSDLLTHVVYRDANGAIKRIGDEPFSDFMKSTKRLTIKMDIGGDNVRKTWITGIRTGENGIDATKTGPLSIFA >fgenesh2_kg.4__732__AT2G28330.1 pep chromosome:v.1.0:4:11834957:11835706:1 gene:fgenesh2_kg.4__732__AT2G28330.1 transcript:fgenesh2_kg.4__732__AT2G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEPCEAKETASSIEPKTPNPNVPDSIPAIDSDSSLSEEEITTKKDRGIVTLSPLCIDSVSVSDCVLVSDEEIIESIYQNLLRVIVSASSTEIWCFDGCKTPPPSSRNLDSNMVSDTCPGAPMKLTKIARNIDSGLRRKLF >fgenesh2_kg.4__736__AT2G28310.1 pep chromosome:v.1.0:4:11851836:11854446:-1 gene:fgenesh2_kg.4__736__AT2G28310.1 transcript:fgenesh2_kg.4__736__AT2G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMHRSGAPRRTNENAKVIITTILGIVFGTFIGITLPSLSFKINLPSRLISSLDVAISDGKLLSGGDKSPEDFGSRKFPEIYVPTNPRGAELLPPGIVVAKTDFYLRRLWGEPNEDLKKKPKYLVTFTVGFEQRNHINGVVKKFSEDFQILLFHYDGRTTEWDQFEWSKSAIHISTRKQTKWWYAKRFLHPDVVSAYEYIFIWDEDLGVEHFNADKYIELVKKHGLEISQPGLEPNNGLTWEMTKRRGDREVHKDTKEKPGWCKDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHKVIPSLGSQGKSENGKAPWQGVRERCKMEWTMFQNRLADADKEYLGRMVKG >fgenesh2_kg.4__737__AT2G28305.1 pep chromosome:v.1.0:4:11855206:11858750:-1 gene:fgenesh2_kg.4__737__AT2G28305.1 transcript:fgenesh2_kg.4__737__AT2G28305.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:D7LIC8] MEIEPKFKRICVFCGSSAGNKSSYKDAAIELGTELVSRNIDLVYGGGSIGLMGLISQAVFNGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVEGYYNSLLSFIDKAVEEGFISPTARHIVVSAPSAKELVKKLEDYVPRHEKVASKKSWEMEQIGLSPTCEISR >fgenesh2_kg.4__73__AT2G21480.1 pep chromosome:v.1.0:4:339755:342366:-1 gene:fgenesh2_kg.4__73__AT2G21480.1 transcript:fgenesh2_kg.4__73__AT2G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LBD9] MEIRNKPNIPMCLVLNSSSRPFMPLLFTILLFLTGLASVVAIGGGPTAGFKPADDILIDCGSKSLTKTPEGRVFKSDSETVHHLQVPLTRPGWHWVRLHFLAFPNDKFDLQQATFSVLTEKYVLLHNFKLSNNNNDSQATVQKEYLVNMTDAQFALRFKPMKGSAAFINGIELVSAPDELISDAGTSLFPVNGFSGLSDYAYQSVYRVNVGGPLITPQNDTLGRTWTPDKEYLKDENLAKDVKTNPTAIIYPPGLTPLIAPQTVYATGAEMADSQTIDPNFNVTWNFPSNPSFHYFIRLHFCDIISKSLNDLYFNVYINGKTAISGLDLSTVAGDLSAPYYKDIVVNSTLMKSELQVQIGPMGEDTGKKNAILNGVEVLKMSNSVNSLDGEFGVDGKRASMGKQGMVATAGFVMMFGAFVGLGAMVYKWKKRPQDWQKRNSFSSWLLPIHAGDSTFMTSKTGSHKSNLYNSALGLGRYFSLSELQEVTKNFDASEIIGVGGFGNVYIGTIDDGTQVAIKRGNPQSEQGITEFHTEIQMLSKLRHRHLVSLIGYCDENSEMILVYEYMSNGPFRDHLYGKNLSPLTWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKSTNILLDEALVAKVADFGLSKDVAFGQNHVSTAVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLLEALCARPAINPQLPREQVNLAEWAMLWKQKGLLEKIIDPHLVGTVNPESMKKFAEAAEKCLADYGVDRPTMGDVLWNLEYALQLQEAFSQGKAEAEEVETPKPVAAPAAAPTSPAATTAAASERPVSQTEEKDDSTVDQHSGTTMFTQFASLNGR >fgenesh2_kg.4__740__AT2G28290.1 pep chromosome:v.1.0:4:11869901:11886450:-1 gene:fgenesh2_kg.4__740__AT2G28290.1 transcript:fgenesh2_kg.4__740__AT2G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSHNIELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKTSGKDNTMPYQVISRAMDTVVNQHGLDIEALKSSCLPHAGGTQTEDSGSAHLAGSSQAVGVSNEGKASLVENEMSKYDTFTSGRQLGGSNSASQTFYQGSGTQSNRSFDRESPSNLDSTSGISQSHNRSETMNQRDVKSSGKRKRGESSLSWDQNMDNSQIFESHKIDDQTGEVSKIEMPGTSGDIRNLHVGLPSDAYTTPQCGWQSSEVTAIRPPVHKEPGNNVAAEGLLPSGSPFREQQLKQLRAQCLVFLALRNGLVPKKLHVEIALRNTFREDDGFRGELFDPKGRTHTSSDLGGIPDVSALLSRTDNPTGRLDEMDFSSKETERSRLGDKSFASTVFSDGQKLLASKIPGSQAQTQVAVSHSQLTFSPGLTKHTPSDMVGWTGVIKTNDLSTSAAQLDDFHASADEEEGNLQPSPKYTMSQKWIMGRQNKRLLVDRSWSLKQQKADQAIGARFNELKESVSLSEDISAKTKSVIELKKLQLLNLQRRLRSSEFVYNFFKPIATDVEHLKSYKKHKHGRRIKQLEKYEQKMKEERQRRIRERQKEFFGGLEVHKDKLEDLFKVRRERLKGFNRYVKEFHKRKERLHREKIDKIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLKEAKSLTSRFENEADETRTSNATEDETAIENEDESDQAKATFFHLMVVMLFVNRVICGNHSSFIYSQEYQMNGLRWLLSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWQSEINFWAPSIHKIVYCGPPEERRKLFKEQIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNADLKHYVSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFQSNGENTAEEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLIRCEASAYQKLLMKRVEDNLGSIGNAKSRAVHNSVMELRNICNHPYLSQLHAEEVNNKIPKHFLPPIVRLCGKLEMLDRMLPKLKATDHRVLFFSTMTRLLDVMEDYLTLKGYKYLRLDGQTSGGDRGALIDGFNKSGSPYFIFLLSIRAGGVGVNLQAADTVILFDTDWNPQVDLQAQARAHRIGQKKDVLVLRFETVNSVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRKEYLESLLRESKKEEDAPVLDDDALNDLIARRESEIDIFESIDKQRKENEMETWNNLVHGPGSDSFAHVPPIPSRLVTEDDLKLLYETMKLNDVPMVAKESTVGMKRKDGSMGGLDTHQYGRGKRAREVRSYEEKLTEEEFEKLCQTESPDSPQGKGEGSEKSLANDTSNIPVENSSDTLLPTSPVQAITVQPMEPVRPQPQTLKEETQPIKRGRGRPKRTDKALTPVSLSAVSRTQTTGNALSSAVTGLDFVSPDKKLEASSHSSSSLALTSPDLSVPPGFQSLPASPAPTPTRGRGRGRSRGRGAGRGRRVEGVLHGSNSSITQRTETATSLASDPDATNFTLPRSAAEIVSRVPKASEGSTSYPDPVPPVHSATTAVRSDKAADNDLDAPPGFDSGSHVQTLNVLENSFERKTAAVMKRPLIQGVSPQHPGLNKQPLDLPVSTSSTLSGGGPVQNQSAVSSVCDGSKSPSVASVEAGRNKNSEGRTYTALPGVKTAPSDATVPVTSQLVGSTVVAQEANVPTLPAALPAKRRGRNLPSRGETPKRQGKRRGQPSPATDGSSARSTGLTPQIEVTVGNSSGTKAKFDAVAKEQPQFSQAVAPDIHSSGSLSQESRRDISGTGGSTRKQTADVTDVARVMKEIFSETSLLKHKVGEPSATTRTNVPDAQSPCEMNLHTVETHKAEDSSGLKNQETPYNLSKAEKQVSDVPHPVTGDLTTSGSVANKDGDTGSSKVAAENDLVKIPGGEVDSSVIQLSFGNACAAKSSLEKCTADQLVEEKLSQEGETTHASHGETCHVGVDLITETASSLSYDRSEPIASASTTAKPLPTEKLETNISFPDECKILNADKGGAILLSLEEQTNVNSKIVTNSKELQASRTDEVTHVDGESVDIVDQMVKEDEAKNSVEIQSSMLETDELSNVGQKGHSSIAMQALVSVTSSENSMSLDQKDDDPISKPADTEQDPEESVSVQGDDRPKVGPDDTRMEDTDDAKHLVGCSVESEEKEKTLQSYIPSDNADTEQRPEESVSVDGVDRPKGGTADTQMEDTDATKLLVDCSVESEEKEKNLQSHIPSDDADTEQDPEESVSVQGDDRPKVGTADTQVEDTDDAKLLVGCLGESEEKEKTLQSHIPSDDADTEQNPEESVSVEGVDRPKAGTANTQMEDTDDAKLLMGCSVESEEKEKTLQSHMPSDDAVSHNPFEKIKDNEGDDLHGKSLVSCPTMEVMEHKGFESETHAHTDSSGIDRGNEVSESISAGEKMNISSGQVPDASHDLKVSLDQTDIPLVGGIDPEHLQENVDVPASPHGAALNIVVSQSEGNQSPSILPDDVAGQLASMASDEKMNISSEQVPDVSHDLKVSQDQTDIPLVGGLVPENLQENVDVPASPHGVVPGIVVSQSEKIQSPSILPDDVPGQPDDGNCEQMDSMQNSTYIDVGKNSGKTCQPSSSIQSEDENRNSLSHCEPSEVVEQRDSRDQFCIGSVESQVEISSAMLENKSADIQPPQSILVDQMDIEESKEPGTESADVSLHQLADIQAEPSILVDQMDTEESKDPCAGNICKTDISADVSLHQLADIQPLPSIFVDQMDTEESNDPDADHIFNTEISADVSLHQLADIEPSLSLSVVQKSIEDQDQSQVETTGSELVDVSAACSTQPQVQLLPSAEPVGDMHVHLGANKSEVVAEDTDFSSSLPKTEEENAKSQVADTEPSSSLTAVQKNIEDQSQVETAGCEFVVVSTGCSTEPQVQLPTSVEPVVAGGTEFPSSLLMTGVENAKTQLADIVPSSSPTALEKNIEAQDQVTTGGCGLVDVSTGCSSEPQAQLTPSAEPVVAEGTEIASLPLTEVENEPSSSPTVVEKNIEDQDQDQVKTAGCELVNVSTGCSSEPQVQLPPSTETEGDMHVHLEETEKSEIMVAEGTIFPSFPPMTVEDNSESQLADIEPSSCLTAVQKNIEDQGLVETAACGLVGISTGCSSEPQVQLPPSAEPVEGTHVHLEETKKSETVVTESQLADILPSLSPMAVQKNIEDPVQIETAGCDLVDVSAGCSTEPQLQLSSSAEPEEGMHVHLEAAKNSETVVAEGSEFPSSLPMTEEENAEGPLADVEPSLSLTVEDKDQVETAECELGDVSTGCSSQPQVQLPPSPDAMRGMHVHLETMVAEGTESPSSLPKTEEKNAENPSDRLDGESDGTSVAVAVVEESCVESNSLVTEESKAEDSKDKEDV >fgenesh2_kg.4__745__AT2G28250.2 pep chromosome:v.1.0:4:11914723:11917894:-1 gene:fgenesh2_kg.4__745__AT2G28250.2 transcript:fgenesh2_kg.4__745__AT2G28250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LID4] MRVETALAILLVLISIQQCYGGVSNYTCTCFSSGNRSDILESNCSTSCNCRPAERDQWVCLCPANGFPVIAIGGSNSSCFTSCNCSAGASKSSKRQYLSRKLVIVIVLFCGVLTSLAFLASMICYICRKNKFSGESPSVSSDRESSWHSSANLINRKSSVSQSKISISSSVSGCFFQNVSLFCVSRPETIHGSIVQFSYTELEQATNKFSSNSVIGHGGSSCVYRGQLKDGKTAAIKRLNTPKGNDTDTLFSTEVELLSRLHHYHVVPLIGYCSEFHGKHAERLLVFEYMSYGSLRDCLDGELGEKMTWNIRISVALGAARGLEYLHEAAAPRILHRDVKSTNILLDENWHAKITDLGMAKCLSSDGLQSGSSSPTKGLQGTFGYFAPEYAIAGCASQMSDVFSFGVVLLELITGRKPIQKPSNNKGEESLVIWAVPRLQDSKRVIDELPDPRLNGKFAEEEMQIMAYLAKECLLLEPEARPTMREVVQILSTITPDASSRRRNFPINYLFQSNEKKKESKVGWSRGGSKSGHEDETVDLTEPRFESFCLPNVKPVLLEPSAHI >fgenesh2_kg.4__747__AT2G28230.1 pep chromosome:v.1.0:4:11929068:11931836:1 gene:fgenesh2_kg.4__747__AT2G28230.1 transcript:fgenesh2_kg.4__747__AT2G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKWVLHWQPNQGSTVSSQILNEVTQCVESINGVKEGRWKATLNYYKPMLRDQANQSEFPRDFLGISLADQPNKYYFIIRSQRIVLEADSSIQLIMEKLQSYKSKVALYFDGFQYQLGDFRLRVGKVVPTHSENVRGIVMEVEYLPISSMEKAQKVMEEFLEIWNEALAKRSLPGKFVNIDLNFVEFGLGDNYTPQHTAVRYALVMAHMIATVQAVRG >fgenesh2_kg.4__74__AT2G21500.1 pep chromosome:v.1.0:4:345840:348347:-1 gene:fgenesh2_kg.4__74__AT2G21500.1 transcript:fgenesh2_kg.4__74__AT2G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSNISAVENLQRNNVNARYSPNWSFRWDNRGRVAGEETSVSWLSDGISRNDVSDVKSESAFVSSQGSPLDNFQTQSWQKSPSSDQSFASIGTVSEQITQKTNDSTDPAYYPSPAKLSLSLASQPSSFSASPLSSQSHLPMPPASSSQLKLTPPPRLSKQVSDGRIFGFTSPSRSSATEERLGNESQSDGWSMQAFSEMMAYSRRESCSYDNECFGIRRDKIDNHGNRKSNDQQNCGACSRSLSEKSLLSSQKIFATNELSIAAILACGHVYHSECLEQMTPEIDKFDPPYPICTLGEKKTFKLSEKALKADLEMKARHNKRLRNRVVDSDDFVMFNNNHKAAATVAGYKGKAPKLISSSSLRSYSPKPFLARHFSFGSRSSSVKITKENHSASSLRKKGFFWTKSSKL >fgenesh2_kg.4__750__AT2G28200.1 pep chromosome:v.1.0:4:11975671:11976790:-1 gene:fgenesh2_kg.4__750__AT2G28200.1 transcript:fgenesh2_kg.4__750__AT2G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDEVGSDQTQIIKGKRTKRQRSSSTFVVTAAMTVTSTSSSAGGSGGGRAVSDEYNSAVSSPVTTDCTQEEEDMAICLIMLARGTVLPSPDLKNSRKTHQKISSENSSFYVYECKTCNRTFSSFQALGGHRASHKKPRTSTEEKTRLPLMQPKSSLSEEGQNSHFKVSGSALASQASNIINKANKVHECSICGSEFTSGQALGGHMRRHRTATTAEVSRNSTEEEIEINIGRSIEQQRKYLPLDLNLPAPEDDLRESKFQGIVFSATPALIDCHY >fgenesh2_kg.4__751__AT2G28180.1 pep chromosome:v.1.0:4:11996811:11999773:1 gene:fgenesh2_kg.4__751__AT2G28180.1 transcript:fgenesh2_kg.4__751__AT2G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger [Source:UniProtKB/TrEMBL;Acc:D7LIE4] MGNGTGPGMSGAFSGGGDEMMGMGGGGDVMTGMVGGEVTEMSPEVRWIFEMAWYGETVRDDGLICEEHPPKLSSDGIWEKLIVKTVGLYFWQYRLPKLEIVILLVFFLWQAFNILFKKLRLSVPKFSSMMLAGLLLNVVVTLSGDNSLIGEILVTKNRVDIAALLGSFGFLIFWFLKGVKMDVKRIFKAEAKARVTGVASVTFPILVGFFLYSLKSAENRPLSANEYDIMLLMESITSFSGIARLLRDLGMNHSSIGRVALSSALVSDIVGLLLLVANVSRVSKSFNDGLSILFEISLFLVIAFAAVRPLMFKVIKRKREGRPIEDKYIYGILVLVCLSCMYWEDLSQFPPLGAFFLGLAIPNGPPIGSALVERLESFNFGIILPLFLSAIMLRTDITSWKGCLTFFSSDDKKFAVASLILLIFLLKLSVSVIVPYLYKMPLRDSIILALIMSHKGIIELSFYLFSYSLVMVSKDTFSILVLSIVLNSVFIPVVIGFLYDPSKQFMCYQKRNLASMKNIGELKTLVCIHRPDHISSMINLLEASYQSEESPLTCYVLHLVELQGQDVPTLISHKVQKLGVGSGKKYSENVILSFEHFHRYVCSSISIDTFTCIANANHMQDDICWLALDKAVTLIILPFHRTWSLDRTSIVSDVEMTRFLNLNVLKQAPCSVGILIERHLVNKKQEPQQSLKVCTIFVGGKDDREALAFAKRMGRQENVTLTVLRLLASGKSKEATGWDQMLDTVELRELMKSNDAGTVKEETSTIYLEQEILDGADTSMLLRSMAFDYDLFVVGRTCGENHEATSGIENWCEFEELGVIGDFLASPDFPSKTSVLVVQQQRTVVNNN >fgenesh2_kg.4__752__AT2G28170.1 pep chromosome:v.1.0:4:12000102:12001798:1 gene:fgenesh2_kg.4__752__AT2G28170.1 transcript:fgenesh2_kg.4__752__AT2G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELQYQEIIILQSLLTDLKIKHSEFGRMVQSCAAVTDLVIFIMASGTVLLKGQKGLPHVMVIVSLSSSWSTSYIWPEMLWIIKQTPEGRPVKDVYIYLIFISTCQLAVDTDRDRIHPDHITSMVNFLDVFNPTQDSQLECNVVQLGELIGQASPTFISHKMQKPRVGTRSYSRNVITAFLNLRRHFTEEAMSIDIFTYASLVDHMHEDPFWLALDKIVALVVLPFHRSWSVDRSTVVTDDKAMQNLNRNALKRASCSVGVFGYRKPLWESQMDGSCYKVCAIVVGGKDDREALAFTNRMRRNKQTSVTILRLIPQLTTGESDDSVQKLDFEDKKGIMNNEEDSNENDSMICIEKRVKEGAETSMILRSIAYDYALFIVESSSGMNSAVTQGLNERTEFEELGAIGDVIASKEFPSRVSVLVLQQQDKLKT >fgenesh2_kg.4__753__AT2G28160.1 pep chromosome:v.1.0:4:12001823:12003207:-1 gene:fgenesh2_kg.4__753__AT2G28160.1 transcript:fgenesh2_kg.4__753__AT2G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe-deficiency induced transcription factor 1 [Source:UniProtKB/TrEMBL;Acc:D7LIE6] MEGRVNALSTINDLELHDFLVDPNFDQFINLIRGDHQAIDENPVLDFDLGPLQNSPCFIDENQFIPTPVDDLFDELPDLDSNVAESFRSFDGESVRANGEEEEEDYNDGDDSSATTTNNDGSRKTKTDRSRTLISERRRRGRMKDKLYALRSLVPNITKMDKASIVGDAVSYVQELQSQAKKLKSDIAGLEASLNSTGGYQEPAPDAQKTQPFRGINPPVSKKIVQMDVIQVEEKGFYVRLVCNKGEGVAPSLYKSLESLTSFQVQNSNLSSPSPDRYLLTYTLDGTCFEQSLNLPNLKLWITGSLLNQGFEFIKPFT >fgenesh2_kg.4__755__AT2G28140.1 pep chromosome:v.1.0:4:12024118:12025257:-1 gene:fgenesh2_kg.4__755__AT2G28140.1 transcript:fgenesh2_kg.4__755__AT2G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQQQNYLLSWAHQNQCGIKTIEDLRHSLLHTTMELEQTRMVASEELIAKDDQIMHLKDLLNKAIKEKDEAQERYKRLLLDQNNLLQRQTDEEQDPNINGFHSSDGEESIVSSFEPPMEIELDFPEMTLPEKGKLLKAVVKAGPLLQTLLLAGQLPQWRHPPPQLESFEIPPVIIAEASPLSQDSCGNNLNRKRVHCDESDSKRETKYQRLLP >fgenesh2_kg.4__756__AT2G28130.1 pep chromosome:v.1.0:4:12031841:12037128:-1 gene:fgenesh2_kg.4__756__AT2G28130.1 transcript:fgenesh2_kg.4__756__AT2G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDGPLDFENEDPLVNPPPTIEKRKKVIGLDDLLSDFYKEKSKVIDKVNKKRKVSKVYHSDDDEQGQVDLLSQCVDECQNQMNEIAGEEENQDWGLSLFGDQKIPIPSPHVDLDSCCLLKEFMSNQLNLVVDLTVDEGTTFLEGLLVNGWLTRLILTCARVEKFICKWTLNILLYSSKEDLRSSACDFWCSILLSQNKVNGAPVEIYWLPNYQELKEALESYGFRISLSQDVELADADSDSQGPPQNIRAWLTLVTTCCQIRCKNPIFSTSQVEEIAEILVLLLLDRGLLGLSLLLQECLISVIGSFKEEEWVSSCKEIANSLASRVPQDMNCLRVVESVSGVDARSKHLRSSIAHQMLVVLLDHKDSDENLLSSLMSINVKEKSCNLFKTYISVVLAENWLFSSTLVEEKPVLRDMWAVFLRNCSCQINSTDLRSYASKVRTKAAYLLQGCSSN >fgenesh2_kg.4__757__AT2G28120.1 pep chromosome:v.1.0:4:12038801:12040816:-1 gene:fgenesh2_kg.4__757__AT2G28120.1 transcript:fgenesh2_kg.4__757__AT2G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin family protein [Source:UniProtKB/TrEMBL;Acc:D7LIF1] MGNDETVRFLIHFFNGRWFMVFASFLIMACAGATYLFGTYSKDIKSTLGYDQTTLNLLGFFKDLGANVGVLSGLIAEVTPTWFVLTIGSAMNFVGYFMIWLTVTGKVAKPKVWQMCLYICIGANSQNFANTGALVTCVKNFPESRGVMLGLLKGYVGLSGAILTQLYFAIYGHDSKSLILLIAWLPAAVSLVFVYLIREKKVVRQRNELSVFYQFLYISIFLALFLMAMNIAEKQVHFSKAAYAASATICCVLLFVPLTVSVKQEIEVWNMKKLPIEEPSEVKVEKPKKELDLVQDKTAKVDGEEKETKSCFLTVFSPPPRGEDYTILQALLSTDMIILFVATFCGLGSSLTAVDNLGQIGESLGYPNHTVSSFVSLVSIWNYFGRVFSGFVSEYLLAKYKLPRPLMMTLVLLLSCAGHLLIAFPVPGSVYIASILMGFSFGAQLPLLFAIISELFGLKYYSTLFNCGQLASPLGSYILNVRVTGMLYDREALKQLTARGLTRKDVKDLTCLGSQCYKLPFVILAAVTFFGALVSLGLAIRTREFYKGDIYKKFRESTESESDSRKAVEFVGK >fgenesh2_kg.4__758__AT2G28110.1 pep chromosome:v.1.0:4:12045267:12047278:1 gene:fgenesh2_kg.4__758__AT2G28110.1 transcript:fgenesh2_kg.4__758__AT2G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQKQRRTEKSLCLKHYYKWFLCFFLTLYFLASFFVDHDQDHDHDQRSSSSRSNPLLTNSKPKLFASRAMFESKIHDHKLGFAPQRPNIRTDVFNNLKIYVYDLPSKFNKDWLANDRCSNHLFAAEVALHKAFLSLEGDIRTEDPYEADFFFVPVYVSCNFSTINGFPAIGHARTLINDAIKFVSTQYPFWNRNNGSDHVFTATHDFGSCFHTMEDRAIADGVPKILRSSIVLQTFGVTFNHPCQEVENVVIPPYISPESLHKTLKNIPVNKERDIWAFFRGKMELHPKNISGRFYSKRVRTKIWRSYGGDRRFYLQRQRFSGYQLEIARSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFPSAVRWPDISLTVAERDVGKLGDILEHVVATNLSVIQRNLEDPSVRRALMFNVPSREGDATWQVLEALSKKLNRSVRRSNSFL >fgenesh2_kg.4__760__AT2G28100.1 pep chromosome:v.1.0:4:12052272:12054018:-1 gene:fgenesh2_kg.4__760__AT2G28100.1 transcript:fgenesh2_kg.4__760__AT2G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 [Source:UniProtKB/TrEMBL;Acc:D7LIF4] MNSQITLLFFFSILCLSQISNSSPLKPHPLPILPLPSSQQLQWQLGSIAMFLHFGPNTFTNSEWGTGKANPSVFNPTHLNASQWVQIAKDSGFSRVILTAKHHDGFCLWPSEYTDYSVKSSSWRNGTGDVVAELAAAAAEAGIGLGLYLSPWDRHDQSYGKTLEYNEFYLSQMTELLTKYGEIKEVWLDGAKGEGEKDMEYFFDTWFSLIHQLQPKAVIFSDAGPDVRWIGDEAGLAGSTCWSLFNRTNAKIGDTDPLYSQEGDKFGQDWVPAECDVSIRPGWFWHALESPKPAVKLLDIYYYSVGRNCLFLLNVPPNSSGLISEQDIKVLEEFREMTHTIFSNNLARKALVNSSSIRGGQSSQFGPKNVLEEGLDKYWAPEESQKEWVLYFEFKDSVSFNVLEIREPIQMGQRIASFHLETRKTGSGKWERVVSGTTVGNKRLLRFLKVESRSLKLVVDKARTDPLISYLGIYMDKFSVSSQNTSKITITRTLKEEQQLHDL >fgenesh2_kg.4__761__AT2G28090.1 pep chromosome:v.1.0:4:12057220:12059005:1 gene:fgenesh2_kg.4__761__AT2G28090.1 transcript:fgenesh2_kg.4__761__AT2G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy-metal-associated domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LIF5] MEDVVCECYHGDSEEKKKKQNSTPTTVTVVLKVDFHCDGCIARIVRLSRRLEGVETVRADPVSNKLTLIGFIMDPVKVAEKLQKKSKKKVELISPKPNKDTKEKNEKKANDKTQTVVAVTTVVLKLNCSCDGCIKRICKTVSKTKGVYQVKMDKEKETVTVMGTMDVKSVTENLKRKLKKTVQVVPEKKKKKDKDNAEGITKVGSPGQPDYGCNHGLGPYRFMEGPMIGFFSEEDQSFCSVM >fgenesh2_kg.4__762__AT2G28085.1 pep chromosome:v.1.0:4:12072739:12073238:1 gene:fgenesh2_kg.4__762__AT2G28085.1 transcript:fgenesh2_kg.4__762__AT2G28085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIVKLKNVVERLVQIKGFSSTKKPCSEEYSRDCVPKDVKEGHFAVIAVDGYHEPTQRFVVPLMFLEHPMFRKLLEQAEEEYGFYHDGALMVPCRPSHLRMILTEQWC >fgenesh2_kg.4__763__AT2G28080.1 pep chromosome:v.1.0:4:12079065:12081461:1 gene:fgenesh2_kg.4__763__AT2G28080.1 transcript:fgenesh2_kg.4__763__AT2G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LIF7] MADVKKPKKNHHGHHHLHALLIPYPFQGHVNPFVHLAIKLASQGITVTFVNTHYIHHQITNGSDGDIFAGVRSESGLDIRYATVSDGLPVGFDRSLNHDTYQSSLLHVFYAHVEELVASLVGGDGGVNVMIADTFFVWPSVVARKFGLVCVSFWTEAALVFSLYYHMDLLRIHGHFGAQETRRDLIDYIPGVAAINPKDTASYLQETDTSSVVHQIIFKAFEDVKKVDFVLCNTIQQFEDKTIKALNTKIPFYAIGPIIPFNNQTGSVTTSLWSESDCTQWLNTKPKSSVLYVSFGSYAHVTKKDLVEIAHGILLSKVNFVWVVRPDIVSSDETNPLPEGFETEAGDRGIVIPWCCQMTVLSHESVGGFLTHCGWNSILETIWCEVPVLCFPLLTDQVTNRKLVVDDWEIGINLCEDKSDFGRDEVGRNINRLMCGVSKGKIGRVKMSLEGAVINSGSSEMNLGLFIDGLLSKVGLSNGKA >fgenesh2_kg.4__764__AT2G28070.1 pep chromosome:v.1.0:4:12083373:12086697:-1 gene:fgenesh2_kg.4__764__AT2G28070.1 transcript:fgenesh2_kg.4__764__AT2G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7LIF8] MEEIQSQPDLYRSSSSSASSPTSRVPSSHFFYVRKPGSLRQPISFEDSPEWEDTPNVDLRMEDEVGGGDSINDATTTPVSPSLSKMNSGSMASPPVPEGGAGAGVVRKIAGASIAWKDLTVTMKGKRKYSDKVVKSSNGYAFPGTMTVIMGPAKSGKSTLLRALAGRLPPSAKMYGEVFVNGSKSHMPYGSYGFVERETQLIGSLTVREFLYYSALLQLPGFLFQKRSVVEDAIQAMSLSDYANKLIGGHCYMKGLRSGERRRVSIARELVMRPHILFIDEPLYHLDSVSALLMMVTLKKLASMGCTLVFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSAVNMDTAVAIRTLEATYKSSADADSVETMILKLTEREGTQLKSKGKAGAATRVAVLTWRSLLVMSREWKYYWLRLILYMILTLSIGTLYSGLGHSLSSVATRVSAVFVFVSFASLLGIAGIPSLLKEIKIYRSEASNQHSGAFVFLLGQFLGSIPFLFLMSISSSLVFYFMVGLRDDFSLLMYFVLNFFMCLLVNEGLMLSIACIWRDVYWSTLTLISVHVIMMLAAGHFRIRNALPKPVWTYPFAYISFHTYSIEGLLENEYLGEVFAVGEVRSISGYQAIQGNYQISPDTNSKWRNMLVLLAMAFGYRLLVYVLLRFGLNKNVSGCLLLSHKKNNSSR >fgenesh2_kg.4__765__AT2G28060.1 pep chromosome:v.1.0:4:12112123:12114219:1 gene:fgenesh2_kg.4__765__AT2G28060.1 transcript:fgenesh2_kg.4__765__AT2G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGTKVAILSKMNSQNPDDHEDTTVVGFEVPVSPVSSYNNVYSATEDETRDPPAVPPHLQHSLLGNPGSMELAYAPQNVVLNHLYIENRDAPRSVVALGFSHRFRSKFVTVVIYKPVQRRGNANV >fgenesh2_kg.4__768__AT2G28000.1 pep chromosome:v.1.0:4:12186788:12189627:-1 gene:fgenesh2_kg.4__768__AT2G28000.1 transcript:fgenesh2_kg.4__768__AT2G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CPN60A [Source:UniProtKB/TrEMBL;Acc:D7LIG5] MASANALSSASVLCSSRQSKLGGGNQQQGQRVSYNKRTNRRFSVRANVKEIAFDQHSRAALQAGIDKLADCVGLTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELPNAMENAGAALIREVASKTNDSAGDGTTTASILAREIIKHGLLSVTSGANPVSLKRGIDKTVQGLIEELQKKARPVKGRDDIRAVASISAGNDDLIGSMIADAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKLLAEFENARVLITDQKITAIKDIIPILEKTTQLRAPLLIIAEDVTGEALATLVVNKLRGVLNVVAVKAPGFGERRKAMLQDIAILTGAEYLAMDMSLLVENATIDQLGIARKVTISKDSTTLIADAASKDELQARIAQLKKELSETDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAALVHLSTVIPAIKETFEDADERLGADIVQKALLSPAALIAQNAGVEGEVVVEKIMFSEWEQGYNAMTDTYENLLEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVDKPKPKAPAAAAAPEGLMV >fgenesh2_kg.4__769__AT2G27990.1 pep chromosome:v.1.0:4:12191872:12195236:1 gene:fgenesh2_kg.4__769__AT2G27990.1 transcript:fgenesh2_kg.4__769__AT2G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFPNTWNHHHQQQQQHQNIRIPNNLDLIGILQNQISVPVQTDLYQDSTATFMNMPQSIHRDPQGSSNWRTSDLSQQSTVNCSVVNYGYDEAGIRPSNVGDLFSNHFNSRNQILDRPLYVGREIIAQSSMTRRSEVSCLDDNQKGCVTVACSGTGNEILKSSYDQGSSSGSYRGELEFLPRLENQLVAHNASQWNHEQLNFTATSHTNRKGFPLSLFSGIPSSRDVGNAAVSSTMNIHGHLGPLGPFTGYASILKSSRFLEPAQQMLEEFCISYASKIISRSESTSMDDDDDDDDDNSSVFSSSYEPVEPKNRLKRAKLLFLQEEVCKWYKLYNHHLQTVMSSFNTVAGLRTATPYISLALKRTSRSFKALRTAISEHVKQISSHLSSGDNTVFQKKQRSLIGHNVGFESQQQHMWRPQRGLPEPAVAVLRAWLFDHFLHPYPTDSDKQMLATQTGLSRNQVSNWFINARVRLWKPMVEEIHTLETKAIKNPDTSHNMEPSNRPNTVSSTPSHEQTLTDLSGTKRSRLEYMDMMGFNRGNVSLTLELRRGVDNVIQTQTQTQDHQFGTGDHMFHDFVG >fgenesh2_kg.4__76__AT2G21510.1 pep chromosome:v.1.0:4:355418:357668:-1 gene:fgenesh2_kg.4__76__AT2G21510.1 transcript:fgenesh2_kg.4__76__AT2G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LBE4] MVKENEYYEILGVKTDASDAEIKKAYYLKARKVHPDKNPGDPQAAKNFQVLGEAYQVLSNPEKRAAYDKYGKEGVQQDAMVDPAAVFGMLFGSEVFEEYVGQLALAYLASIEADLESHEPEIRKQMLQDKIKALQKEREDKLAATLKNKLEPFVEGQTNEFIEWANEEAKRLSSAGFGEAMMHTIGYIYTRKAAKEIGKDKRYMKVPFLAEWVRDKGHHMKSQVMAASGAVLLLQLQDEVNKLNEHQGENKEEHIQKAIEAKMDALLQSLWQINVLDIESTLSRVCQSVLKDPSVSKDVLRARAIGLKKLGTIFQGAKKPYTRGSSLRRERAVKVDTGGSSKATS >fgenesh2_kg.4__770__AT2G27980.1 pep chromosome:v.1.0:4:12199880:12206162:1 gene:fgenesh2_kg.4__770__AT2G27980.1 transcript:fgenesh2_kg.4__770__AT2G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7LIG7] MAKGTATGEFVVRTGCKRELQFVLKSQSEICGGESLGRTRGSRNLNGESRSVEVKKSVRGSGLKSGIKKMRFSKDEEVLMSDTVGVGLEEDEGKSDVVDVEETKGFVKDEGQEENVEIGNGDDNVVTNEDHLLEQPEKGSLEVTEAEKVCSIGGDSVIDREIVVACPAGLSVLEKMASRSCKVKLERGLVYAKPCKRLTRSMLKVEGIKSEVNVDEDHVNPEKDAIDSEDNCVGVSGSVACEMEEELHEQNHAEICLGLPSRSSQMSGHSLCLGLPSRSFQMSGLSQRVDKKAVNDVVAKPLRRFTRSLVKQESDSDNPNLGNNTELADLGEVDMHANDVEMDDFHSPSVTTHNRRGRPKKFLRNFPAKLKEIFNCGILEGLTVYYLRGAKVREAGTRGLKGVIKGSGVLCFCCACKGIQVVSTAMYEVHASSANKRPPEYILLESGFTLRDVMNACKETPSATLEEKLRVVVGPNLKKSSLCLNCQGPMIEPCDTKSLVVCKSCLESKEPEFHNSPSKGNGALNGSSRPSVDPKSILSRSKSSPRQSNRQEQPTRKSTEPGVVPGTILSESKSSSIKSNSQGKLTRKDVRLHKLVFEDDILPDGTEVGYFVAGEVSPSSFEAHAGCASRRKPFQHIYTTNGVSLHELSVALSMDQRFSIHENDDLCSICRDGVCASLSSLPSERWSCKYCVNMVEREKFVDSNLNAIAAGRVQGVDAIAEITNRCIRVVSSFGTELPSVCVLCRGHSFCRLGFNSRTVIICDQCEKEFHVGCLKEHNIADLKELPEEKWFCSVDCEKINTTLGNLIIRGEEKLTNNILNFIRTKEKPNEESCPDDNTTPDIRWRVLSGKLTSSDETKILLAKAVSILHERFDPISETGTRGDLIPAMVYGRQAKGQDFSGMYCTMLAVDEVIVSVGIFRVFGSELAELPLVATSKDCQGQGYFQCLFACIERLLGFLNVKHIVLPAADEAKSIWTDKFGFTKMTDEEVKEYRKDYSVMIFHGTSMLRKSVPAPSAPSKTEGSKEE >fgenesh2_kg.4__772__AT2G27960.1 pep chromosome:v.1.0:4:12213894:12214872:1 gene:fgenesh2_kg.4__772__AT2G27960.1 transcript:fgenesh2_kg.4__772__AT2G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinases regulatory subunit [Source:UniProtKB/TrEMBL;Acc:D7LIH0] MGQIQYSEKYFDDTFEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAQNMLVK >fgenesh2_kg.4__776__AT2G27900.2 pep chromosome:v.1.0:4:12274126:12284169:-1 gene:fgenesh2_kg.4__776__AT2G27900.2 transcript:fgenesh2_kg.4__776__AT2G27900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNLFPFGSVLGNPFLFNGGGDLNELGSGAGFESSRVFFLLPFLLSQGTGVMDLSKVGEKFLSSVKSATSLGLLPSPSISDRPEIPARAAAAAAVARALAGLPSDQRLSISSTATELNSIYGNRPLPQQVEELEEGFYEEDFDPVRHILENVPEDESELAYFEKQATLRLVQLDRVAENLSHHVMEHHEVMVKGMNLVRELEKDLKIANVICKNGRRNLTSSMNEASRDLIVHTHSKKKQALLDMLPILTDLRHARVMQSTLEDLVEEGNYCKAFQVLSEYLQLLDSLSEFSAAQEMTRGVEVWLGRTLHKLDSLLLGVCQEFKEDSYVMVLDAYALIGDVSGLAEKIQSFFMQEVISETHSVLKSIVGEDNSAATQYSRLTYSDLCLQTPESKFRQCLLRTLAVLFQLIYSYHEIMSFTPEKKVESLISPSPATTQKVDSVTESSCNPQDGGLFSGSIPPCTISAEESDGSGTSSSVQHASDIAIDESRNSGDTVSSSESPWYYLRKESAAFVSETLQRGRRNLWQLTTSRVSVLLSSPGASSTSIHQFLKNYEDLSIFILAGEAFCGFEVVDFREKLKGVCENYFTAFHRQSMHALKMVLEKETWTKLSPDTVQAINFAGLVGDGAPLIISSRSASGSSRFPHSNKSNDSIDPSGNRSGFSYWLKSGNPFSAKLTHYREDQDYSSVNGGDHEGNDSIHDDVVNPKITDKNHINGGSPVSEDENEDLLADFIDEDSQLPRRSFTRSQSRSSSSYFNTNDDLTAQTGSSLCLLRSMDKYARLMQKLEIVNVEFFKGICQLFGVFFYFVFQVFGQENTNSGGKGVADSFNPRLKSCLSRISQECEQWIKPHLSSSPSSSLAFPNTVSLADVTPASPLNTSGHLSGVSFSLKERCAAVDTVSLVARVLHKSKAHLQSMLMSRNGSLVEDFFDQLVGSVPDLTKHLHRTTARILLHVNGYVDRIANSKWEIKELGMEHNGYVDLMLGEFKHYKTRLAHGGIPQEVQNRLLKYGIEIFAEILVEGLSRIKRCTDEGRVLMSLDLQVLINGLQHFVQTDVKEKLKIVVTFIKAYYLPETEFVHWARAHPGYTKAQVLGLVNLVATMKGWKRKTRLEVIEKIESASL >fgenesh2_kg.4__778__AT2G27880.1 pep chromosome:v.1.0:4:12287137:12292561:-1 gene:fgenesh2_kg.4__778__AT2G27880.1 transcript:fgenesh2_kg.4__778__AT2G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRGGHGGASRGRGGRRSDQRQNQSSGQAAWPGLQQSSGGRGGSVSGGRGRGNVGRGETSGDLTATQVPVASVSGGRGRGNVGRSDSTGDPTATSVASSSKTMSVSSSSKEESKVTEVSEALAKVEITPTETKPETTLPPASSKAITYPVRPGRGTLGKKVLIRANHFLVQIADCDLYHYDVSINPEVISKAVNRNVMKLLVKNYKDSHLGGKAPAYDGRKSLYTAGALPFESKEFVVNLAEKRADGSSGKDRSFKVAIKLASRPDLYQLQQFLAHRQRDAPYDTIQVLDVVLRDKPSNDYVSVGRSFFHTSLGKDTRDGRGELGDGIEYWRGFFQSLRLTQMGLSLNIDVSARSFYEPIVVTEFISKFLNIRDLNRPLRDSDRLKVKKVLRTLKVKLLHWNSTKSAKISGISSCPISQLRFTLEDKSEKTVIQYFAEKYNYRVKYPALPAIQTGSDTRPVYLPMELCQIDEGQRYTKRLNEKQVTALLRATCQRPQERENSIKNLVVKNNYNNVHGLSKEFGMSVTSQLASIEARVLPPPMLKYHESGREKMVNPSLGQWNMINKKMVNGARVASWTCVNFSTRIDRGLPQEFCKQLTGMCVSKGMEFNPQPAIPFISYPPQRIEEALHDIHNRAPGLQLLIVILPDVTGSYGQIKRICETELGIVSQCCQPRQASKLNKQYMENVALKINVKTGGRNTVLNDAIRRNIPLITDRPTIIMGADVTHPQPGEDSSPSIAAVVASMDWPEITKYRGLVSAQAHREEIIQDLYKLVQDPQRGLVHSGLIREHFIAFRRATGQIPQRIIFYRDGVSEGQFSQVLLHEMTAIRKACNSLQENYVPRVTFVIVQKRHHTRLFPEQHGNRDTTDKSGNIQPGTVVDTTICHPNEFDFYLNSHAGIQGTSRPAHYHVLLDENGFTADQLQMLTNNLCYTFARCTRSVSIVPPAYYAHLAAFRARYYMESEMSDGGSSRSRNTTTGAGQVISQLPAIKDNVKDVMFYC >fgenesh2_kg.4__779__AT2G27860.1 pep chromosome:v.1.0:4:12304681:12307179:1 gene:fgenesh2_kg.4__779__AT2G27860.1 transcript:fgenesh2_kg.4__779__AT2G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-apiose/UDP-D-xylose synthase 1 [Source:UniProtKB/TrEMBL;Acc:D7LII1] MANGANRVDLDGKPIKPITICMIGAGGFIGSHLCEKLMTETPHKVLALDVYNDKIKHLLEPDTVEWSGRIQFHRINIKHDSRLEGLIKMADLVINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDHPLREDPAFYVLKEDISPCIFGSIEKQRWSYACAKQLIERLVYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFIYIKDAIEAVLLMIENPERANGHIFNVGNPNNEVTVRQLAEMMTEVYAKVSGEGAIESPTVDVSSKEFYGEGYDDSDKRIPDMTIINRQLGWNPKTSLWDLLESTLTYQHKTYAEAVKKATSKPVAS >fgenesh2_kg.4__780__AT2G27830.1 pep chromosome:v.1.0:4:12316921:12319142:-1 gene:fgenesh2_kg.4__780__AT2G27830.1 transcript:fgenesh2_kg.4__780__AT2G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGNRKTTPETEKSHRRKLSEKAMSFHGRGTTPVSNPGELRRPKTLPELFSTGQSITGPVTESFPPRLTKLLLNVTVQGSLGAVQIIISPESTVSDLIDAAIRQYVKEARRPFLPESEPSRFDLHYSQFSLESIGREEKLISLGSRNFFLCGRKETGGFIGGGSSSESCSKEAEKVAKTGFHWLKFMGF >fgenesh2_kg.4__781__AT2G27820.1 pep chromosome:v.1.0:4:12339761:12341175:-1 gene:fgenesh2_kg.4__781__AT2G27820.1 transcript:fgenesh2_kg.4__781__AT2G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:D7LIZ1] MRTLLPSHTPATVTTAARRRHVIHCAGKRSDSFSIGSSISDWQSSCAILSSKVVSQEQSDSLISAVNNNGAGISDLNLVPFNNNNKSIQSKKPLSISDLSPAPMHGSNLRVAYQGVPGAYSEAAAGKAYPNCQAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLMALPGVRKEFLTRVISHPQGLAQCEHTLTKLGLNVAREAVDDTAGAAEFIAANNIRDTAAIASARAAEIYGLEILEDGIQDDASNVTRFVMLAREPIIPRTDRPFKTSIVFAHEKGTCVLFKVLSAFAFRNISLTKIESRPNHNVPIRLVDEANVGTAKHFEYMFYIDFEASMAQPRAQNALAEVQEFTSFLRVLGSYPMDMTSWSPSSTFSL >fgenesh2_kg.4__785__AT2G27810.1 pep chromosome:v.1.0:4:12348242:12352487:-1 gene:fgenesh2_kg.4__785__AT2G27810.1 transcript:fgenesh2_kg.4__785__AT2G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:UniProtKB/TrEMBL;Acc:D7LIZ4] MSSSDPKPGPKPGPWPPAPESAAMPPSSWAKKTGFRPKFSGETTATDSSGQLSLPVRARQPETQPDLEAGQTRLRPPPVSSSAVANGETDKDKKEKPPPQTTTTPPPPPGSVTVPVKDQPVKRRRDSDGVTGRSNGPDGANGSGDPVRRPGRIEETVEVLPQSMDDDLVARNLHMKYGLRDTPGLVPIGFYGLQHYLSMLGSLILVPLVIVPAMGGSHEDIANVVSTVLFVSGITTLLHTSFGSRLPLIQGPSFVFLAPALAIINSPEFQGLNGNNNFKHIMRELQGAIIIGSAFQAVLGYSGLMSLILRLVNPVVVAPTIAAVGLSFYSYGFPLVGKCLEIGVVQILLVIIFALYLRKISVLSHRIFLIYAVPLSLAITWAAAFLLTEAGAFTYKGCDPNVPVSNVVSSHCRKYMTRMKYCRVDTSHALSSAPWFRFPYPLQWGVPIFNLEMAFVMCVVSIIASVDSVGSYHASSLLVASRPPTRGVVSRAIGLEGFTSVLAGLWGMGTGSTTLTENVHTIAVTKMGSRRVVELGACVLVIFSLLGKVGGFLASIPQVMVASLLCFMWAMFTALGLSNLRYSEAGSSRNIIIVGLSLFFSLSVPAYFQQYGISPNSNLSVPSYYQPYIVSSHGPFKSQYKGVNYVMNTLLSMNMVIAFIMAVILDNTVPGSKQERGVYVWSDSETATREPALAKDYELPFRVGRFFRWVKWVGI >fgenesh2_kg.4__788__AT2G27800.1 pep chromosome:v.1.0:4:12357312:12358833:1 gene:fgenesh2_kg.4__788__AT2G27800.1 transcript:fgenesh2_kg.4__788__AT2G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSTFLGSIFRTAKARILISPKARLSLLNPNFTSSRFNTSSSLPQSPIEGSISRSFLPQDLSIHRRSHSLIASTSQGGFASVSMDRQYSTSVPTRSLRRRISNRKKSSTKPVLNEFKFQETITKLPPRFTPEELADAITLEEDPFLCFHLFNWASQQPRFKHVNCSYHIAIRKLGAAKMYKEMDDIVNQVLSVRHIGNENLYNSIIFYFTKAGKLIRAVNIFRHMVTSMNLECRPTIRTYHILFKALLGRGNNSYTSHMYMETVRSLFRQMVDSGIEPDVFALNCLVKGYVLSLHVNDALRIFHQMSVVYNCQPNSFTYDYLIHGLCAQGRTINARELLNEMKGKGFVPNGKSYNSLVNAFALTGEIDDAVKCLWEMIENGRVVDFITYRTLVDESCRKGKYDEATRVLERLREKQLVDRDSYEKLVNVLHKDL >fgenesh2_kg.4__791__AT2G13640.1 pep chromosome:v.1.0:4:12363186:12364160:-1 gene:fgenesh2_kg.4__791__AT2G13640.1 transcript:fgenesh2_kg.4__791__AT2G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LIZ7] MMIKNEEKMRVRIAKERSDLCDWTAAAIKATYNTRNPCEVERCIDVLKHLKSLSLSAKDIELSESIVKLETLRSHRNPRIRKEAQALFHSWLKTFYTHGSDNSFDVTKARLKTKKHVLTRCSELKMKKEDERSLTRETEKIQARNSFLALKQKEDHKAKACDEKYVKKETKTNLKPLNVKTRRVALEDVTTKKPREDVLSSGSCIKKTKTEKELKKKKVDEMVKLFEAAKKAADVANAKGVLSGKAEASRCVDALSLLMEINITPKPKEPRRMMDKLEGLTKHKDRKICHAASALLHLWRQRIREQERKESSTKTFANNFRKSH >fgenesh2_kg.4__795__AT5G27310.1 pep chromosome:v.1.0:4:12373063:12374037:1 gene:fgenesh2_kg.4__795__AT5G27310.1 transcript:fgenesh2_kg.4__795__AT5G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LIZ7] MMIKNEEKMRVRIAKERSDLCDWTAAAIKATYNTRNPCEVERCIDVLKHLKSLSLSAKDIELSESIVKLETLRSHRNPRIRKEAQALFHSWLKTFYTHGSDNSFDVTKARLKTKKHVLTRCSELKMKKEDERSLTRETEKIQARNSFLALKQKEDHKAKACDEKYVKKETKTNLKPLNVKTRRVALEDVTTKKPREDVLSSGSCIKKTKTEKELKKKKVDEMVKLFEAAKKAADVANAKGVLSGKAEASRCVDALSLLMEINITPKPKEPRRMMDKLEGLTKHKDRKICHAASALLHLWRQRIREQERKESSTKTFANNFRKSH >fgenesh2_kg.4__796__AT2G27775.1 pep chromosome:v.1.0:4:12380059:12381026:1 gene:fgenesh2_kg.4__796__AT2G27775.1 transcript:fgenesh2_kg.4__796__AT2G27775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGIASRSTTFMRSIHRTAATRNLISSRSKPSVPNPNFTSPRFNTSSRIEGSVPSLLGRELSTQQPFHSLVAAACLVSKLPSDVTSYEGRFANYLSPI >fgenesh2_kg.4__797__AT2G27770.1 pep chromosome:v.1.0:4:12397008:12398643:1 gene:fgenesh2_kg.4__797__AT2G27770.1 transcript:fgenesh2_kg.4__797__AT2G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLVSCFSENSINVTHPLSISSSSSSCSKYSTNNVCISPSLLPSTQTSITSIYRITLSKHLIIKVTWCNPHNNNGLSISVSSADQNPSTTLKLNTSSRFFRKKKGNKSVDSDLGKIEVFWDLSSAKYDSNLSGPEPINGFYVIVLVDAQLGLLLGDSSEETLRKKGFSGDIGFDFSLVSRQEHFTGNNTFYSTKARFVETGDSHEIVIRCNKETEGLKQSTHYPVLSVCIDKKTVIKVKRLQWNFRGNQTIFLDGLLVDLMWDVHDWFFSNQGACGRAVFMFRTRNGLDSSRLWLEEKMVKKDEQQDKLDFSLLIYACKT >fgenesh2_kg.4__799__AT2G27740.1 pep chromosome:v.1.0:4:12408661:12409633:-1 gene:fgenesh2_kg.4__799__AT2G27740.1 transcript:fgenesh2_kg.4__799__AT2G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRQILEQPQSPFIQRIKSSGNISINGSSMIDDKEEELSQSAFALFKAKEDEIERRKMEVKDRVQTKLGLAEEATRRLAEIREELEALTDPMRKEISAIRKRVDAINRELKPLGQSCQRKEREFKEALEAYNEKNKEKAIFVSKLVELVTESEKLRMTKLEELSKSIEISLR >fgenesh2_kg.4__79__AT2G21530.1 pep chromosome:v.1.0:4:365277:366536:1 gene:fgenesh2_kg.4__79__AT2G21530.1 transcript:fgenesh2_kg.4__79__AT2G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LBE6] MEITISQLCSRVKFSSSLLCLNGNSKRNNLNGAVSVNCLKEIDQISYISFTAKKQRSHAPVVRKTRASSDENQSPTPGGERWLLQPVGDGDTRHIGYKVAMPAPFEITSGQVTIGRLPEKADVVIPVATVSGVHATINTNENNLLVTDMNSTNGTFIEDKRLIPGVAAPAFPGTRITFGDTNLAIFRVFKLQDREESTEKPTTE >fgenesh2_kg.4__813__AT2G27600.1 pep chromosome:v.1.0:4:12546910:12549910:-1 gene:fgenesh2_kg.4__813__AT2G27600.1 transcript:fgenesh2_kg.4__813__AT2G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVHEDNAGNYNKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRAVLDEGGSGPGSNGDAAVATRPKTKPKDGEGGGKDGEDPEQSKLRSGLNSAIVREKPNIKWSDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFEMARESAPSIIFVDEIDSLCGTRGEGNESEASRRIKTELLVQMQGVGHNDEKVLVLAATNTPYALDQAIRRRFDKRIYIPLPEAKARQHMFKVHLGDTPHNLTEPDFEYLGQKTEGFSGSDVSVCVKDVLFEPVRKTQDAMFFFKSPDGTWMPCGPRHPGAIQTTMQDLAAKGLAEKIIPPPITRTDFEKVLARQKPTVSKSDLDVHERFTQEFGEEG >fgenesh2_kg.4__814__AT2G27590.1 pep chromosome:v.1.0:4:12557843:12559901:1 gene:fgenesh2_kg.4__814__AT2G27590.1 transcript:fgenesh2_kg.4__814__AT2G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKREKKPNRRRHKGEFSNDTEDFYDQHPPVVASVDDEDEENSEEEEDENCNEGNESSDLPSKFLLYQQSVQSPKGDISYLQKFFLMYVGGRQPLHFQEDFCGTALLSAEWLKTDTRRTAIGLDFDLEALEWCMDNNISKLGSDVYSRMSLFHGNVLSPLEAKQVKSKSHELIQNISLDDGDDNEDLVDPSVVESLEKDGPDSLPKRDIVCAFNFSCCCLHKRSELVSYFKNARDALSKKGGIFVMDLYGGASAEGQLKLQRKFPNFTYTWEQAEFDILSRKTRISLHYHLQKQNRKIRHAFSYSWRLWSLPEIKDCMEEAGFSSVHFWLREMPDASEMRRTDGFGAGRDIKYEQVKSFQQCDSWNAYIVAVSL >fgenesh2_kg.4__815__AT2G27550.1 pep chromosome:v.1.0:4:12576840:12577988:-1 gene:fgenesh2_kg.4__815__AT2G27550.1 transcript:fgenesh2_kg.4__815__AT2G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTYNSDKQVYNGHELFPSAVTNKPKVEVHGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWVVTDIPGTTDVSFGKEIIGYEMPRPNIGIHRFVYLLFKQNRRGSVVSVPSYRDQFNTREFAHENDLGLPVAAVFFNCQRETAARRR >fgenesh2_kg.4__817__AT2G27530.1 pep chromosome:v.1.0:4:12615559:12617152:1 gene:fgenesh2_kg.4__817__AT2G27530.1 transcript:fgenesh2_kg.4__817__AT2G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:D7LJJ4] MSKLQSEALREAITTIKGKSEEKKRNFVETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKMGLSNMDVEALKKLNKNKKLVKKLAKSYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVSHQESLEAKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQLFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGPPQRIF >fgenesh2_kg.4__819__AT2G28490.1 pep chromosome:v.1.0:4:12626589:12628955:-1 gene:fgenesh2_kg.4__819__AT2G28490.1 transcript:fgenesh2_kg.4__819__AT2G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin family protein [Source:UniProtKB/TrEMBL;Acc:D7LJJ6] MEKNKRAFGFLLLVVLINGVMMTRSNGYEGEEEWGGGGGGGEWGGGTGGGGEWGGEGGGEWGGGGEGGGGGRRGWFMMRESKQVIKSEGGEMRVVISPRGRIIEKPMHIGFLTMEPKTLFVPQYLDSSLLIFIRQGEATLGVICKDEFGERKLKAGDIYWIPAGSVFYLLNTGRGQRLHVICSIDPTQSLGFETFQPFYIGGGPSSVLAGFEPDTLTSAFNVSRPELQQMMMSQFRGPIVHVMEGPQPQPTIWTQFLGLRGEEKHKQLKKLLEMKQGSPQDQQSTSGWSWRNIVRSILDLTEEKNKGSGSSECEDSYNIYDQKYGWSIALDYDDYEPLKHSGIGVYLVNLTAGSMMAPHMNPTATEYGIVLAGSGDIQVVFPNGTSAMNTRVSVGDVFWIPRYFAFCQIASRTGPFEFVGFTTSAHKNRPQFLVGSNSLLKTLNLTSLSMAFGVDEETMRRFIDAQREAVILPTASAAPPHVGETVRDRLV >fgenesh2_kg.4__81__AT2G21540.1 pep chromosome:v.1.0:4:366567:370813:-1 gene:fgenesh2_kg.4__81__AT2G21540.1 transcript:fgenesh2_kg.4__81__AT2G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTMSTHMDRHNKLDYDGSEDEKKTKLCSLKKKAINASNKFKHSFTKRTRRNSRVMSVSIVDDIDLEELQAVDAFRQALILDELLPSKHDDHHMMLRFLRARKFDLEKAKQMWTDMIHWRKEFGVDTIMEDFDFKEIDEVLKYYPQGYHGVDKEGRPVYIERLGQVDATKLMQVTTIDRYVKYHVREFEKTFNIKLPACSIAAKKHIDQSTTILDVQGVGLKNFSKAARDLLQRIQKIDSDNYPETLNRMFIINAGSGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEIIDSNELPEFLGGNCTCADKGGCMRSDKGPWNDPDIFKMVQNGEGKCPRKTLSNIEEKTISVDENTTMKCDSFAKNNFDAENAKFIPMIDKTVNATTWPTNLHKSNYPEPEDLYSAVKPSQRRGGEGYLFGGVMSLMMGLMTVVRLTKNMPRKLTDAAIYGGEAEKAETTMVSNQEYMSMVKRMAELEEKCRSLDNQPAAFSPEKEQILTAALSRVDELELQLAQTKKTLEETMATQHEIMAYIDKKKKKKKFFGF >fgenesh2_kg.4__820__AT2G28500.1 pep chromosome:v.1.0:4:12640044:12642047:-1 gene:fgenesh2_kg.4__820__AT2G28500.1 transcript:fgenesh2_kg.4__820__AT2G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITGGVATPTASVVAVATVAETKTPVTSPSPTSSPPPPPSPQQPTPPPVVLSPCAACKILRRRCAEKCVLAPYFPPTDPAKFTIAHRVFGASNIIKFLQELPESQRTDAVNSMVYEAGARMRDPVYGCAGAIYHLQRQVSELQAQLAKTQVELVGMQLQRSSLLELIYNMEQTKLSAQEQGQQKMSFESSFESGDEFISSPDEESNDLGFLEDNNNNNSSMSWWDPLWT >fgenesh2_kg.4__821__AT2G28510.1 pep chromosome:v.1.0:4:12660200:12683984:-1 gene:fgenesh2_kg.4__821__AT2G28510.1 transcript:fgenesh2_kg.4__821__AT2G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQEISNETLETILVSSTKGSNNNNKKMEDEMKKKVSRGELGGEAQNCPRCESPNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRRNKRSSSSAFSKNNNKSISFHNDPLQNSLITGMPPSSFGYDSIDLNLAFATLQKHHSSSQATTPSFGFGGDLSIYGNSANDVGIFGGQNGDFNNSLCYGFMSGNGNNHQNDIKMASTLGMSLEGNERKQENVNNNNNISENPSKVLWGFPWQMNGDSAGVVPEIDPGRESWNGMASSWNNGLLNTPLV >fgenesh2_kg.4__825__AT2G28550.1 pep chromosome:v.1.0:4:12784507:12787157:-1 gene:fgenesh2_kg.4__825__AT2G28550.1 transcript:fgenesh2_kg.4__825__AT2G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLDVDSPESTQYGGDSYLDRQTSDGSAGNRVEESGTSTSSVINADGDEDSCSTRAFTLSFDILKVGSSSGGDETSVAGVTKEFFPVSGDCGHLRDVEGSSSKSWIDLSFDRFGDGETNLVAPVPVPTPAPVPAQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFTLGDYEEDMKQVQNLSKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAINTNGREAVTNFEMSSYQNEINSATNNEGGHDKLDLNLGISLSSGNASKQNGRLFHFPSNTYETQRGVSLRIDNEYMGKPVNTPLPYGSSDHRAFWNGACPSYNNPVEGRATEKRSEVEGMMSNWGWQRPQPPGPQPPPLFSVAAASSGFSHFRPQPPNDNAARGYFYPQP >fgenesh2_kg.4__831__AT2G28605.1 pep chromosome:v.1.0:4:12835047:12836008:1 gene:fgenesh2_kg.4__831__AT2G28605.1 transcript:fgenesh2_kg.4__831__AT2G28605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSQSFLASLHFSAPPKLSLVSPSSSPSSCFHHKIHKRILCLTQNPSSKTEISLSKRHLNLSILTLFFNGFFLLDKAKSIEELQRYTDSNNGFTLLIPSSYTKVDKAGANALFEEPNNGSNNIGVVVSPVRIKSLDQFGTPQFVADKLINAEKRKESTKEVEVVSVGERSGLGQQVYEFEYKIDSTRGGIKRVFSAAFVSSNKLYLLNVVHSDKPENPLDSSTRMLLEQVLHSFDALPLT >fgenesh2_kg.4__832__AT2G28610.1 pep chromosome:v.1.0:4:12842691:12844027:1 gene:fgenesh2_kg.4__832__AT2G28610.1 transcript:fgenesh2_kg.4__832__AT2G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVASTRWCPTPEQLMILEEMYRSGIRTPNAVQIQQITAHLAFYGRIEGKNVFYWFQNHKARDRQKLRKKLAKQLHQQQHQLQLQLQQIKPKPMSSMMSQPVNNTIIDHHNPYHHHHHNLHHNHHRPYDHMSFACCSHPSSMCLPHQGIGGETQSKVMNEYYCTNSGAEEILMQKSITGPNSTYGRDWMMMMDMGPRPSYPSSSSPIPCCNMMMSSPKIPLKTLELFPISSINSKQDSTKL >fgenesh2_kg.4__833__AT2G28620.1 pep chromosome:v.1.0:4:12848705:12853600:-1 gene:fgenesh2_kg.4__833__AT2G28620.1 transcript:fgenesh2_kg.4__833__AT2G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNAKKGSSAKSPCQTPRSTDKSNRDFRVDSNSNSNPVSKNEKEKGVNIQVIVRCRPFNSEETRLQTPAVLTCNDRKKEVAVAQNIAGKQIDKTFLFDKVFGPTSQQKDLYHQAVSPIVFEVLDGYNCTIFAYGQTGTGKTYTMEGGARKKNGEIPSDAGVIPRAVKQIFDILEAQSAAEYSLKVSFLELYNEELTDLLAPEETKFTDDKSKKPLALMEDGKGGVFVRGLEEEIVSTADEIYKVLEKGSAKRRTAETLLNKQSSRSHSIFSVTIHIKECTPEGEEIVKSGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHIPYRESKLTRLLRDSLGGKTKTCVIATVSPSVHCLEETLSTLDYAHRAKHIKNKPEVNQKMMKSAIMKDLYSEIERLKQEVYAAREKNGIYIPKERYTQEEAEKKAMAEKIEQMEVEGEAKDKQIVDLQELYNSEQLVTAGLREKLDKTEKKLYETEQALLDLEEKHRQAVATIKEKEYLISNLLKSEKTLVDRAVELQAELANAASDVSNLFAKIERKDKIEDSNRSLIQEFQSQLLRQLELLNNSVAGSVSQQEKQLQDMEKLMASFVSAKTEATEALRGSLAQLKEKYNSGIKSLDNIAGNLDKDSQSTLNDLNSEVTKHSCALEDMFKGFISEAYTLLEGLQGRLHNQEEKLSAFTQQQRDLHSRSMDSAKSVSTVMLDFFKTLDTHATKLTKLAEDAQNVNEQKLSAFTKKFEESIANEEKQMLEKVAELLASSNARKKELVQIAVQDIREGSSSQTDALQQEMSAMQDSASSVKVQWNAHMVQAESHHLDNISAVEVAKEDMQKILLKCLENSKTGTQQWKTAQESLVDLERRNVATADSIIRGAIENNEKLLAQFSSAVSTTLSNVDSANSDILSSIDNSLQLDKDASTDVNSTIVPCSENLKELRTHHDDNVIEIKQNTGKCLGHEYKVDEATSSTPRKREYNIPTVGSIEELKTPSFEELLKAFHDSKSPKQMQNGEAKHVSNGRPPLTAIN >fgenesh2_kg.4__834__AT2G06555.1 pep chromosome:v.1.0:4:12859925:12860437:1 gene:fgenesh2_kg.4__834__AT2G06555.1 transcript:fgenesh2_kg.4__834__AT2G06555.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LJM5] CYEFASRTPRSGENEDDIIVLAYKLYHQDQKNKFSLEHVWRILKTDQKWCNWCETKLPAKKKAKLSSVEEESLQRPIGVKAAKALAKSKVKEKDLAVKERLSKQKLLDSLLGRSDGLSEMEIELKNTLIKEYLSGSNVFVFENEYSGL >fgenesh2_kg.4__835__AT2G06550.1 pep chromosome:v.1.0:4:12861209:12861951:1 gene:fgenesh2_kg.4__835__AT2G06550.1 transcript:fgenesh2_kg.4__835__AT2G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KJW7] MGYYLTDGIYPKWATFIQSITRPQGPKASLFASCQEAVRKDVERAFGVLQARFAII >fgenesh2_kg.4__836__AT2G28625.1 pep chromosome:v.1.0:4:12864197:12864867:1 gene:fgenesh2_kg.4__836__AT2G28625.1 transcript:fgenesh2_kg.4__836__AT2G28625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEERVGSSSSSSSSLPVSYGVDCEKYDFSSSVSSLSQPFSPEGSSNKSQVLENNFSFVFSLDHNNTILMFPRDRPCSCLHHVFEWILQRCCGCLC >fgenesh2_kg.4__839__AT2G28660.1 pep chromosome:v.1.0:4:12932188:12933951:1 gene:fgenesh2_kg.4__839__AT2G28660.1 transcript:fgenesh2_kg.4__839__AT2G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7LJN6] MRISDIFCSSPASTAVRPSTLHHDGKVTGGRRSFESHLRSQNPSNKKDKTVPCFSSEMPLIPIPRHLSCRNSFESSSGFRQKIASAHGGDVQIRRKSSADVSDLRRSRRYLLKDHKTLKEGDKDLWLSSSDRSKDLIPFRDRNVTSSSSSSSSSSSSSSSSSVTNVSSPAPSTDNQVVVLRVSIHCKGCEGKVRKHISKMEGVTSYTIDLATKKVTVVGKITPVGVVESISKVKFAQLWPSSSSAPFPHIPNYSLLKS >fgenesh2_kg.4__83__AT2G21560.1 pep chromosome:v.1.0:4:378295:379329:-1 gene:fgenesh2_kg.4__83__AT2G21560.1 transcript:fgenesh2_kg.4__83__AT2G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSTQKAKPRKMKVVVKKSRAQILLDLFYRVIEITLVMVTVAKLCYQLVITFESSGLAGLLINRHLAFVLGNAIVIAIVFKCGFFANQETDARRNSNDFYDEFVRENSRGERSSHTDVICREKPSEVESVAKQSIEENRAKQSIEDKRQSRAKQSMSENRAKQSTEEKREKQKKTENSGEEREKEDITVKRHRQIIAQKQESPMKSYERSRSENQEGSKKSTCGKLKRSKTERPADEFVSDEELRYKIESFIARQRRNQKDEE >fgenesh2_kg.4__840__AT2G28671.1 pep chromosome:v.1.0:4:12944890:12946401:-1 gene:fgenesh2_kg.4__840__AT2G28671.1 transcript:fgenesh2_kg.4__840__AT2G28671.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7LK74] MAGQKILSLLVIALVVTFAAAARLLDEEDAFSATTTTSGSGSTAGSGSTGIGFGAGTGSSGSGSTGIGFGAGSGSSGSGSTGTGLGAGTGSIPLSGSSPLTTTGSGPLPTAGSVPGSLVGGGSGSLPVGGSATGPGGAGAGPALGGGAGAGSALGGGAGAGLALGGGAGAGPALGGGAGAGPALGGGAGAGPALGGGAGAGPALGGGAGAGPALGGGGVGPDNTLVFFMHDILGGSNPTARAVTGVVANPALSGQLPFAKPNGANLPVSNGVPSNNNNNGIVNNNNVPFLVGLGGTTANILQNNNNGNNILNGFPVASGGQLPSGSALQMLMFGTMTVIDDELTEGHELGSGLLGKAQGYYVASAIDGTSQTLAFTAMFESGGYEDSISFFGVLRTAVSESHIGVMGGTGKYVNARGFAILKTFTGSSGTQQNQPHQFTDGLETVLECTVYLSY >fgenesh2_kg.4__842__AT2G28680.1 pep chromosome:v.1.0:4:12947896:12949528:-1 gene:fgenesh2_kg.4__842__AT2G28680.1 transcript:fgenesh2_kg.4__842__AT2G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin family protein [Source:UniProtKB/TrEMBL;Acc:D7LK75] MELDLSPRLPKKVYGGDGGSYFAWCPEELSMLRDGNIGAAKLALEKYGLALPRYSDSPKVAYVLQGAGTAGIVLPEKEEKVIAIKKGDSIALPFGVVTWWFNNEDTELVVLFLGETHKGHKAGQFTDFYLTGSNGIFTGFSTEFVGRAWDLDESTVKKLVGSQTGNGIVKVDASLKMPAPKKGDRDGFVLNCLEAPLDVDIKDGGRVVVLNTKNLPLVGEVGFGADLVRIDGHSMCSPGFSCDSALQVTYIVGGSGRVQIVGADGKRVLETHVKAGALFIVPRFFVVSKIADSDGLSWFSIVTTPDPIFTHLAGRTSVWKALSPEVLQAAFKVDPEVEKAFRSKRTSDAIFFPPSK >fgenesh2_kg.4__846__AT2G28725.1 pep chromosome:v.1.0:4:13005279:13005599:1 gene:fgenesh2_kg.4__846__AT2G28725.1 transcript:fgenesh2_kg.4__846__AT2G28725.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LK80] MEPILEKISTPWQVLVQTATWTILLMITVSLASFAPEMAFVSKIKSSSDGFVRIPMDLSGEMLILPSEMVKNSSLDVFIPTIFAGVMVIASVSLLRSCLGIEGVVVE >fgenesh2_kg.4__847__AT2G28740.1 pep chromosome:v.1.0:4:13006166:13006604:-1 gene:fgenesh2_kg.4__847__AT2G28740.1 transcript:fgenesh2_kg.4__847__AT2G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:D7LK81] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >fgenesh2_kg.4__850__AT2G28760.2 pep chromosome:v.1.0:4:13011729:13017792:-1 gene:fgenesh2_kg.4__850__AT2G28760.2 transcript:fgenesh2_kg.4__850__AT2G28760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSSNGTTTTKPPPMPSPLRNSKFFQSNMRILVTGGAGFIGSHLVDKLMQNEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEALTVQKPGTQTRSFCYVSDMVEGLMRLMEGDQTGPINIGNPGEFTMVELAETVKELIKPDVEIKMVENTPDDPKQRKPDISKAKEVLGWEPKVKLREGLPLMEEDFRLRLGVSKK >fgenesh2_kg.4__852__AT2G28790.1 pep chromosome:v.1.0:4:13046090:13047086:-1 gene:fgenesh2_kg.4__852__AT2G28790.1 transcript:fgenesh2_kg.4__852__AT2G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSLPLAASFLLLISFSSAVDTSHLFLTVVNNCPFTVWPAIQPNAGHPVLEKGGFALPKYTHRSFNAPTTHWSGRIWARTGCAHYNGKFSCITGDCGNRLECNGLGGAPPASLAQFDLHHGGHHDFSSYGVSLVDGYNVPMTVTPHEGHGVCPVVGCREDLIKTCPAHLQVRSHSGHVVACKSGCEAFHTDELCCRGHYNSPNTCKASSHSLFFKHACPSSFTFAHDSPSLMHDCSSPRELKVIFCH >fgenesh2_kg.4__856__AT2G28810.1 pep chromosome:v.1.0:4:13068800:13070529:1 gene:fgenesh2_kg.4__856__AT2G28810.1 transcript:fgenesh2_kg.4__856__AT2G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LK87] MVFSSVSSFLDPPINWPQSNNHPNHPHHHQLQENGSLVSGHHQVLSHHFPQNPNPNHHHVDTAATTTVDPSSLNGQAAERARLAKNSQPPEGSLKCPRCDSANTKFCYFNNYNLTQPRHFCKACRRYWTRGGALRNVPVGGGCRRNKKGKSGNSKSSSSSQNKQSTSMVNATSPTTTSNVQLQTNSQFPFLPTLQNLTQLGGIGLNLAAINGNNGGNGNTSSSFLNELGFFHGGNTSGPVMGNNNNNENNIMTSLGSASHFSLFDRTMGLYNFPNEGNMGLSSIGGTRVSQTAPVKMEDNHLGSINRPVSGMTSPGNQSNQYWTGQGLPGSSSNDHHHQHLM >fgenesh2_kg.4__862__AT2G28870.1 pep chromosome:v.1.0:4:13111826:13112404:-1 gene:fgenesh2_kg.4__862__AT2G28870.1 transcript:fgenesh2_kg.4__862__AT2G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LK92] MGFSDAGIYISDQNNLCETELGFFHEPSLGVSDRSVHTPPQQESHIIPPTYQELQDQDQDLETKSQNTSNCSCKEGANFKREEEYYCKTPTRSDQILPAIPRICPPAPRKPKRAPSRSLKVRNSYRSKRMIILNVSREIDCLFNPTSLCNKVKKARY >fgenesh2_kg.4__863__AT2G28880.1 pep chromosome:v.1.0:4:13122524:13127460:-1 gene:fgenesh2_kg.4__863__AT2G28880.1 transcript:fgenesh2_kg.4__863__AT2G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSFCSTSSELSYPSENVLRFSFARRLFSPKWKKGFISLPHRGTRKVLAASRFVPGKLEDSSVVKKSLPIREPVERIGVVRTLLIDNYDSYTFNIYQALSTINGVPPVVIRNDEWTWEEAYHYLYEDVAFDNIVISPGPGSPMCPADIGICLRLLLECRDIPILGVCLGHQALGYVHGAHVVHAPEPVHGRLSGIEHDGNILFSDIPSGRNSDFKVVRYHSLIIDKESLPKELVPIAWTIYDDTGSFSEKKLCVPVKNTGSPLNGSVIPVSEKLENGSHWPSSHVDGKQDRHILMGIMHSSFPHYGLQFHPESIATTYGSQLFKNFKDITVDYWSRCKSTSLRRRNINDTANMQVPGATQLLKELSRTRFTGNGSSYYGNTKKSLFAAKTNGVDVFDLADLSYPKPHAKLLRLKWKKHERLAHKVGGAKNVFMEIFGKNKGNDTFWLDTSSTDKARGRFSFMGGKGGSLWKQLTFSFSDQSEGISKHAGHLLIEDSQSSTEKGFLEEGFLDFLRKELSSISYDEKDFAGLPFDFCGGYVGCIGYDIKVECGMPINRHKSNAPDACFFFADNVVAIDHQLDDVYVLSLYEEGTAETSFLNDTEEKLISLMGSSTRKFEDQTLPVIDSSQCKTSFVPDKSREQYINDVQSCMKYIKDGESYELCLTTQNRRKIGNADPLGLYLHLRERNPAPYAAFLNFSNANLSLCSSSPERFLKLDRNGMLEAKPIKGTIARGSTPEEDELLKLQLKLSEKNQAENLMIVDLLRNDLGRVCEPGSVHVPNLMDVESYTTVHTMVSTIRGLKKPDISPVECVRAAFPGGSMTGAPKLRSVEILDSLENCSRGLYSGSIGYFSYNGTFDLNIVIRTVVIHEDEASIGAGGAIVALSNPEDEFEEMILKTKAPANAVIEFCSDQRRQ >fgenesh2_kg.4__864__AT2G28890.1 pep chromosome:v.1.0:4:13136320:13138921:-1 gene:fgenesh2_kg.4__864__AT2G28890.1 transcript:fgenesh2_kg.4__864__AT2G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGIGKLTKCFTGETRRNKKSELSILEPDPLDEGLGHSFCYVRPDPTRVSSSKVHSEEETTTFRTISGASVSANAATPLSTSLYDPYGHIDRAAAFESTTSFSSIPLQPIPRSSGPIVPGSGPLERGFLSGPIERGFMSGPLDGSSGPIDGKTGSDQFQRSFSHGLANLRVGSRKGSLVRVLRRAISKTITRGQNSIVAPIKSVKEPDWVFGSDKTRIQNQHQIENNLTVNSLNFSSEGSLLDDDVSLESQNLQWAQGKAGEDRVHVVVSEEHGWLFVGIYDGFNGPDAPDYLLSHLYPAVHRELKGLLWDDPKTDAKSSDETDVENRDSSSEKKSKNWEESQRRWRCEWDRDLDRLLKDRSNGSDLDPDPNSSDVLKALSQALRKTEEAYLENADMMLDENPELALMGSCVLVMLMKGEDVYLMNVGDSRAVLGQKAESDYWIGKIRQDLERINEETMNDFDGCCDGEGASLVPNLSAFQLTVDHSTNVEEEVDRIRKEHPDDASAVSNERVKGSLKVTRAFGAGFLKQPKWNNALLEMFQIDYKGTSPYINCLPSLYHHRLGSKDQFLILSSDGLYQYFTNEEAVSEVELFITLQPEGDPAQHLVQELLFRAAKKAGMDFHELLEIPQGERRRYHDDVSIVVISIEGRMWKSCV >fgenesh2_kg.4__865__AT2G28900.1 pep chromosome:v.1.0:4:13146656:13148094:-1 gene:fgenesh2_kg.4__865__AT2G28900.1 transcript:fgenesh2_kg.4__865__AT2G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTFSGTVSTPKLSVAVDMGNPFLNLTVDAFLKIGAVGITKSLAEDTYKAIDKGSLSKSTLEHALKKLCKEGVYWGAAGGVYIGTEYGIERIRGSRDWKNAMLAGAATGAVLSAVGKKGKDTIVIDAILGGALATASQFINNHYFY >fgenesh2_kg.4__866__AT2G28910.1 pep chromosome:v.1.0:4:13148522:13149993:-1 gene:fgenesh2_kg.4__866__AT2G28910.1 transcript:fgenesh2_kg.4__866__AT2G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPTSKEEPKTTQQKTEDPENSYASFQGLLALARITGSNNDEARGSCKKCGRVGHLTFQCRNFLSTKDDKEKDPAAIEAAVLSGLEKIRRGVGKGELEEESSEEEEESESSDSDVDSEMERIIAERFGKKKGGGSSVKKTSSVRKKKKKRVSDESDSDSDSGDRKRRRRSKKKRSSHKRRSTSESEDEDEGRSKRRKERRGRKRDEDDSDESEDEDDRRVKRKSRKEKRRRRSRLNHSDDSDSESSEDDRRQKRRNKVAASSDSEANVSGDDVSRVGRGSSKRYEKKSRKRHHRKE >fgenesh2_kg.4__867__AT2G28920.1 pep chromosome:v.1.0:4:13151360:13151797:1 gene:fgenesh2_kg.4__867__AT2G28920.1 transcript:fgenesh2_kg.4__867__AT2G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LKA0] MESSCCGDYMTAASPEMATVTGSESIALRLLCVVFIILFYGSIILLCFVMYPKLPKEEIGDEEAGEPLPPAVRLTKCGGGDGGDGDGVRADVCVICLEDFKVNDVVRVLVRCKHVFHVDCIDSWCFYKLTCPICRAPFQWFGGDW >fgenesh2_kg.4__870__AT2G28930.1 pep chromosome:v.1.0:4:13163760:13165694:1 gene:fgenesh2_kg.4__870__AT2G28930.1 transcript:fgenesh2_kg.4__870__AT2G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGFVTKVKSNVFLYVNYVFGCCIGASPKYMSSEANDSQSMGSKSSSVSIRTNPRTEGEILQSPNLKSFTFAELKAATRNFRPDSVLGEGGFGSVFKGWIDEQTLTASKPGTGVVIAVKKLNQDGWQGHQEWLAEVNYLGQFSHPNLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWTLRLKVALGAAKGLAFLHNAETSVIYRDFKTSNILLDSEYNATLSDFGLAKDGPTGDKSHVSTRIMGTYGYAAPEYLATGHLTTKSDVYSYGVVLLEVLSGRRAVDKNRPPGEQKLVEWARPLLANKRKLFRVIDNRLQDQYSMEEACKVATLALRCLTFEIKLRPNMNEVVSHLEHIQTLNEAGGRNIDKVERRMRRRSDSVAINQKPNAGFARQTAVGVIATAYPRPSDSPLFV >fgenesh2_kg.4__871__AT2G28940.2 pep chromosome:v.1.0:4:13165729:13167734:-1 gene:fgenesh2_kg.4__871__AT2G28940.2 transcript:fgenesh2_kg.4__871__AT2G28940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LKA4] MKCFHFTNGDKRGTTIDEGGGGGDSVISRASRLSWARSLSVASSTTSDPTRRSEFDSDWSFSPERLSFPKPLSQRWIGGLVPENDLKVFTFKELKLATKGFSRGLLIGEGGFGCVYRGVVDVSDSNGFDSKINVAVKQLNRQGLQGHKEWINEVKFLGVVNHPNLVKLVGYCADDDERGMQRLLVYELMCNKSLEDHLVGRVVSVSLPWMTRLKIAQDAAQGLAYLHEEMDFQLIFRDFKSSNILLDERFGAKLSDFGLARQGPPEGLGHVSTSVVGTVGYAAPEYVQTGKLTAKSDVWSFGVVLYELITGRRAVDRNRPRGEQNLLEWVKPYVSDSKKFHAIVDPRLEGQYYCMKSVQRVAALANKCLMKQPKSRPRMSEVVALIGRIIDEEAEDAPPPVTDETEEIIKAEINGESEPKLKKQGSSYRKKVLDLRDKMNLNKSLSKLDWRNWTPGLVRTW >fgenesh2_kg.4__879__AT2G29010.1 pep chromosome:v.1.0:4:13273740:13278805:1 gene:fgenesh2_kg.4__879__AT2G29010.1 transcript:fgenesh2_kg.4__879__AT2G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LKB2] MIFPYTVATRELPRKSSIFAKKKKFTYLEVVELTDNFKRVLGEGGFGVVYHGSLSDTEPVAVKVLSESSVQGYKEFKAEVC >fgenesh2_kg.4__87__AT2G21600.1 pep chromosome:v.1.0:4:399754:401965:1 gene:fgenesh2_kg.4__87__AT2G21600.1 transcript:fgenesh2_kg.4__87__AT2G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RER1 [Source:UniProtKB/TrEMBL;Acc:D7LBF2] MDGSGGDSGSMATPVQKKVHEAWRVYQYYLDKTTPHSTNRWIGTLVVFLIYCLRVYSIQGFYIISYGLGIYLLNLLIGFLSPLVDPELEVTDGATLPTRGSDEFKPFIRRLPEFKFWYSMTKAFCIAFLMTFFSVFDVPVFWPILLCYWVVLFVLTMRRQIAHMIKHKYIPFSIGKQKYSGRRSSASSSGGSRAD >fgenesh2_kg.4__880__AT2G29020.1 pep chromosome:v.1.0:4:13291580:13293571:1 gene:fgenesh2_kg.4__880__AT2G29020.1 transcript:fgenesh2_kg.4__880__AT2G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab5-interacting family protein [Source:UniProtKB/TrEMBL;Acc:D7LKB3] MKEGKSSKSNQQEQHEQIYHQNSHLGSFKFAKLFDSEASWDKDQLGDVLHWIRQVVGLLCGLVWGAIPLVGGIWLLLFLAISSGIVYGYYALILKIDEEDFGGHAALLQDGLFASLSLFLLAWILVYSLSSF >fgenesh2_kg.4__883__AT2G29050.1 pep chromosome:v.1.0:4:13300414:13302939:1 gene:fgenesh2_kg.4__883__AT2G29050.1 transcript:fgenesh2_kg.4__883__AT2G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:D7LKB6] MARDRREGLEIKVVNPPAATNNVSPETSPATATRRRRQQRASFAEFRPFKLWFPWLVPAIVVANIALFAISMFINNCPKNSAYCSARFLGRFAFQPMKENPLLGPSSLTLEKMGALDVSMVVHKHEVWRLFTCIWLHAGVFHVLANMLSLIFIGIRLEQEFGFVRIGLLYMISGFGGSLLSSLFNRAGISVGASGALFGLLGAMLSELLTNWTIYANKFAALLTLIFIIAINLAVGILPHVDNFAHLGGFTSGFLLGFVFLIRPQYGYFNQRNNPRSYAAPSAKSKHKPYQYVLWITSLLLLIAGYTVGLVVLLRGTDLNKHCSWCHYLSCIPTSLWSCKSQNDYCESSQIGQQMNLTCIANGKTEMYKLSNDIPSRVQQLCSQLCR >fgenesh2_kg.4__888__AT2G29090.1 pep chromosome:v.1.0:4:13325384:13330221:-1 gene:fgenesh2_kg.4__888__AT2G29090.1 transcript:fgenesh2_kg.4__888__AT2G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP707A2 [Source:UniProtKB/TrEMBL;Acc:D7LKC0] MQISSSSSSLISFSSIYVDESALITITSVVVVVIVVLLFQWWLHRKEQRRRLPPGSMGLPYIGETLRLYTENPNSFFATRQNKYGEIFKTHILGCPCVMISSPEAARMVLVSKAHLFKPTYPPSKERMIGPEALFFHLGPYHSTLKRLVQSSFMPSALRPTVSHIELLVLQTLSSWTSQKSIKTLEYVKRYAFDVAIMSAFGDKEEPTAIEAIKLLYQRLERGYNSMPLDLPGTLFHKSMKARRELSEELRKVIEKRRENGREGGGLLGVLLGAKDQKRNGLSDSQISDNIIGVIFAATDTTASVLTWLLKYLHDHPNLLQEVSREQVGIRQKIRKENRGISWEDTRKMPLTTRVIQETLRAASVLSFTFREAVQDVEFDGYLIPKGWKVLPLFRRIHHSSEFFPNPEKFDPSRFEVAQKPYTYMPFGNGVHSCPGSELAKLEMLILLHHLTTSFRWEVIGGEEGIQYGPFPVPKKGLPIRVTPI >fgenesh2_kg.4__889__AT2G29110.1 pep chromosome:v.1.0:4:13345976:13350682:-1 gene:fgenesh2_kg.4__889__AT2G29110.1 transcript:fgenesh2_kg.4__889__AT2G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7LKM8] MWSVFRASEILNFFFFVFACVSAIIGPRNSMQAEFMIRLANKTQVPTITFSATSPLLTSIKSDYFVRATIDDSYQVKAIAAIFESFGWRSVVAIYVDNELGEGIMPYLFDALQDVQVDRSVISPEANDDQILKELYKLMTRQTRVFVVHMASRLASRIFEKAKEIGMMEEGYVWLMTNGMTHMMRHINHGRSLNNIEGVLGVRSHVPQSKELKDFGLRWNKKFEKENPTMRDDLTIFGLRAYDSTTALAMAVEKTNIRSFPYDNASASSNNMTDLGNLGVSRYGQSLLNALSEIRFNGLAGEFKLIDKQLESPKFEIINFVGNEERIIGFWTPSNGLVNANSNKTTSFTGERFGPLIWPGKSTIVPKGWEIPTNGKKIKVGVPVKKGFFNFVEVITDPITNITTPKGYAIDIFEAALKKLPYSVIPQYYPTLDAVVGDVTITAYRSLYADFTLPYTESGVSMMVPVRDNENKNTWVFLKPWTLELWVTTGCFFVLIGFVVWLFEHRVNTDFRGPPHHQIGTSFWFSFSTMVFAHREKVVSNLARFVVVVWCFVVLVLTQSYTANLTSFLTVQRSQPGATTMKDLIKNGEKRCPIVVTSPMFLSLLFSSVHPLWQYSGRNLRIEAFIKDEFKSEQHHWQNHGSKAMKIQNQVEEEENGSVTGYQHGAFVKDILRNEGFNDSQLKPFGSSEECDALLSNGSISVAFDEIAYLKAILSQYCSKYAMVEPTFKTAGFGFAFPKNSPLTGDVSRAILNVTQGDECDTSRTNGS >fgenesh2_kg.4__890__AT2G29125.1 pep chromosome:v.1.0:4:13389252:13389938:1 gene:fgenesh2_kg.4__890__AT2G29125.1 transcript:fgenesh2_kg.4__890__AT2G29125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKWKLSKKETTASSSSSKSKFSRSFSTSASSTKSPIFVRSSSTKCSVPSSSSSSSSSSSISRSFSRKERRSSSSSSSSITQKYSSLAKEQKARFYIMRRCVAMLVCWHKHGDS >fgenesh2_kg.4__893__AT2G29140.1 pep chromosome:v.1.0:4:13405566:13409608:1 gene:fgenesh2_kg.4__893__AT2G29140.1 transcript:fgenesh2_kg.4__893__AT2G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpt5-3 [Source:UniProtKB/TrEMBL;Acc:D7LKN2] MMIPELGRRPMHRGNEDSSFGDDYEKEIGVLLGEQQRRQVEADELERELNLYRSGSAPPTVDGSVSAAGGLFSGGGGAPFLEFGGGNKGNGFGGGDEEFRKDPAYLSYYYANMKLNPRLPPPLMSREDLRVAQRLKGSSNVLGGVGDRRKVNDSQSLFSMPPGFEAEKTGASASEWDANGLIGLPGLGLGGKQKSFADIFQADMGHGHPVAQQPSRPASRNTFDENVDSKNNLSPSVSQGIGAPSPYSYAAVLGSSLSRNGTPDPQGVARVPSPCLTPIGSGRVSSNDKRNTSNQSPFNGVTSGLNESSDLAAALSGLNLSATGGLDERSQAEHDVEKVRNYMFGLQDGHNEVNQHGFSNKPDQAPKGTASWRNSQLRGSQGSAYNGGSGLANPYQHLDSPNYYALNPAVASMMASQLGTNNYPPMYENASAASAMGFSGMDSRLHGGGFVSSGQNLSESRNIGRVGNRMMGGGTGLQSHMADPMYHQYARFSENADSFDLLNDPSMDRSYMGNSYMNMLELQRAYLGAQKSQYGLPYKSGSPNSHSYYGSPTFGSNMSYPGSPLAHPGMPNSLMSPYSPMRRGEVNMRYPSATRNYSGGVMGSWHMDASLDEGFGSSMLEEFKSNKTRGFELSEIAGHVVEFSSDQYGSRFIQQKLETATTDEKNMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPPQRRELGEKLIDNVLPLSLQMYGCRVIQKAIEVVDLDQKIKMVKELDGHVMRCVRDQNGNHVVQKCIECVPEENIEFIISTFFGHVVTLSTHPYGCRVIQRVLEHCHDPDTQSKVMEEILITVSMLAQDQYGNYVVQHVLEHGKPDERTVIIKELAGKIVQMSQQKFASNVVEKCLTFGGPEERELLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILTRIKVHLNALKKYTYGKHIVARVEKLVAAGERRMALQSLTQPLVA >fgenesh2_kg.4__895__AT2G29150.1 pep chromosome:v.1.0:4:13411285:13412516:-1 gene:fgenesh2_kg.4__895__AT2G29150.1 transcript:fgenesh2_kg.4__895__AT2G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LKN3] MAKTGENLRDKSRWSLEGMTALVTGGSKGLGEAVVEELAMFGARVHTCARDETQLQERLREWQAKGFQVTTSVCDVSSRDQREKLMETVASLFQGKLNILVNNAGTGILKPTIEYTEQDYSFQMATNLESAFHLSQLAHPLLKASNLGSIVFMSSVAGLVHTGASIYGATKGAMNQLGRDLACEWASDNIRVNSVCPWVITTPLTSFISDEKLRKAVEDKTPMGRVGEANEVSSLVAFLCFPAASYITGQTICVDGGVSVNGFSFM >fgenesh2_kg.4__898__AT2G29200.1 pep chromosome:v.1.0:4:13422244:13431267:-1 gene:fgenesh2_kg.4__898__AT2G29200.1 transcript:fgenesh2_kg.4__898__AT2G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpt5-1 [Source:UniProtKB/TrEMBL;Acc:D7LKN7] MIPELGRRPMHRGNEDSSFGDDYEKEIGVLLGEQQRRQVEADELERELNLYRSGSAPPTVDGSVSAAGGLFSGGGGAPFLEFGGNKGNGFGGGDEEFRKDPAYLSYYYANMKLNPRLPPPLMSREDLRVAQRLKGSSNVLGGVGDRRKVNDSRSLFSMPPGFEAEKTGASASEWDANGLIGLPGLGLGGKQKSFADIFQADMGHGHPVAQQPSRPASRNTFDENVDSKNNLSPSASQGIGAPSPYSYAAVLGSSLSRNGTPDPQAIARVPSPCLTPIGSGRVSSNDKRNTSNQSPFNGVTSGLNESSDLVNALSGMNLSGSGGLDERGQAEQDVEKVRNYMFGMQGGHNEVNQHRFPNKSDQAHKATGSLRDSQLRGPQGSAYNGGVGLANPYQHLDSPNYCLNNYALNPAVASMMANQLGNNNFSPMYDNVSAASALGFSGMDSRLHGGGFVSSGQNLSESRNLGRFSNRMMGGGTGLQSHMADPMYHQYADSLDLLNDPSMDMNFMGSSYMSMLELQRAFLGAQKSQYGLPYKSGSPNSHSYYGSPTFGSNISYPGSPLAHHLLPNSLVSPCSPMRRGEVNMRYPSAARNYSGGVMGSWHMDASLDEGFGSSMLEEFKSNKTRGFELSEIAGHVVEFSSDQYGSRFIQQKLETATTDEKNMVYEEIMPHAHALMTDVFGNYVIQKFFEHGLPPQRRELAEKLFDNVLPLSLQMYGCRVIQKAIEVVDLDQKIKMVKELDGHVMRCVRDQNGNHVVQKCIECVPEENIEFIISTFFGHVVTLSTHPYGCRVIQRVLEHCHDPDTQSKVMEEILSTVSMLAQDQYGNYVVQHVLEHGKPDERTVIIKELAGKIVQMSQQKFASNVVEKCLTFGGPEERELLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILTRIKVHLNALKKYTYGKHVVARIEKLVAAGERRMALQSLTQPQMA >fgenesh2_kg.4__8__AT2G20960.1 pep chromosome:v.1.0:4:109136:112181:1 gene:fgenesh2_kg.4__8__AT2G20960.1 transcript:fgenesh2_kg.4__8__AT2G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEARLI4 [Source:UniProtKB/TrEMBL;Acc:D7LKK6] MDLVSGKVVPGCSNAGNPFHECTAICFERLNSPDVHKKEKKLFGFGKRTPSRDQTPPGSPARGSRSPLASYFAKKKVESSESSPSSNDHTNGNFLSRLSPLQGRKIEPSSNVDSLPVSPSLALYSGGDYFARRTDQRGDEDDDVSPRPFGTQPKTPEHPLRTPQHRPRTPQHRSAHQEDPVLLETRQRTPERRSNTSDTRPRTPIHEYAATGRRPQTPETRPRTPDHRYATSDTRPRTPIHESAARGRRPQTPETRPKTPEHRYATSDTRPRTPIHESAATGRRPQTPETRPRTAQHRGRSPEFTERSPGPRSKTPEPQPTYFEPSSRTPKMRSKTPEPSPRIPQTQPISHRSLDNAALQMPRTVETRPRTPEHQPRTVQTKPRIHESRPKTAVYGGRSPDHREKISQTQMGQRSSHAYNHLESKAESVYIEKDDESVLLFPELILSPQERPPSRLITLNHRGYETPNKQEEHCDEMDDSASTDDDKFSFVDDHDEHTVSFYPETTSKSGSSEQEEQVKADNEPPELPDESQSFSLAEISRMKGIITKNETRDEMQSILSESYVSVGDYKVRASVSATLQHILQKHGDIASGSKLQSLATRSYYLDMLASVVFELQKTPLKYLKESRVVEMVAIVRDVESVKIKAGWLKPVLEEIVEAVKHYDEHKMSIMEKEVWERDVLLARQETEKQVKELGEKEKKIKEWRAKMTEMAAKLGDLDMRRARLHKSFTFLSSKVDKFQGKPLLQGIV >fgenesh2_kg.4__903__AT2G29290.2 pep chromosome:v.1.0:4:13481502:13484711:1 gene:fgenesh2_kg.4__903__AT2G29290.2 transcript:fgenesh2_kg.4__903__AT2G29290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRWSLQGMTALVTGGTKGIGEAVVEELSMLGARVHTCARDETQLQERLREWQGKGFQVTTSVCDVSLRDQREKLIETVSSLFQGKLNILVNNAGTFILKPTTEYTAEEFSFIMATNLESAFHISQLAHPLLKASGSGSIVFMSSIAGVVHVSVGSIYGATKGAMNQLARNLACEWASDNIRTNAICPGVIKTPLISDLLSDEEIKKEAEQRTPMGRVGEANEVSPLVAFLCLPAASYITGQTICVDGGLTVNGFSYQPQA >fgenesh2_kg.4__906__AT2G29310.1 pep chromosome:v.1.0:4:13490099:13505024:1 gene:fgenesh2_kg.4__906__AT2G29310.1 transcript:fgenesh2_kg.4__906__AT2G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRWSLQCMTALVTGAASGIGYAIVEESAGFGARIHVCDISETLLNQSLSEWEKKGFQVSGSVCDVTSRPDREKLMQTVSSLFDGKLNILVNNVGVLRGKPTTEYVADDFSFHISTNLEAAFHFSQLSHPLLKASGFGSIVFMSSVTGIVSVECGSIYGLTKGALNQLTRNLACEWAKDGIRANAVAPNVVKTPLSQSYLEDVGFKEALLSRTPLGRVGEPNEVASLVVFLCLPAASYITGQTICIDGGLTVNGFSYQPQA >fgenesh2_kg.4__90__AT2G21620.2 pep chromosome:v.1.0:4:417187:419113:1 gene:fgenesh2_kg.4__90__AT2G21620.2 transcript:fgenesh2_kg.4__90__AT2G21620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPEDEEYSFREVVLPSLIPVVQEPELERESGERRRGRDVIVAVDHGPNSKHAFDWALVHFCRLADTLHLVHAVSSSFSLQCVKNDVVYETSQALMEKLAIEAYQVAMVKSVARIVEGDAGKVICKEAEKVKPAAVIVGTRGRSLVRSVLQGSVSEYCFHNCKSAPVIIVPGKEAGDESIVDWTRSEDPKP >fgenesh2_kg.4__910__AT2G29350.1 pep chromosome:v.1.0:4:13506763:13508297:1 gene:fgenesh2_kg.4__910__AT2G29350.1 transcript:fgenesh2_kg.4__910__AT2G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative tropinon-reductase- [Source:UniProtKB/TrEMBL;Acc:B2BXK7] MAKEGGLRENSRWSLGGMTALVTGGSKGIGEAVVEELAILGARVHTCARDETQLQERLREWQAKGFQVTTSVCDVSSRDQRVKLMETVSSLYQGKLNILVNNVGTSIFKPTTEYTAEDFSFVMATNLESAFHLSQLSHPLLKASGSGSIVLMSSTAGVVHVNVGSIYGATKGAMNQLARNLACEWASDNIRTNSVCPWYITTPLSNDFFDEEFKKEAVRNTPMGRVGEANEVSSLVAFLCLPSASYITGQTICVDGGATVNGLSFKTLP >fgenesh2_kg.4__912__AT2G29360.1 pep chromosome:v.1.0:4:13509902:13511192:1 gene:fgenesh2_kg.4__912__AT2G29360.1 transcript:fgenesh2_kg.4__912__AT2G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative tropinone-reductase [Source:UniProtKB/TrEMBL;Acc:B2BXK6] MESLRDKPRWSLVGMTALVTGGSKGIGEAVVEELAMLGARVHTCARDETKLQESLRNWQAKGFQVTTSVSDVSSRGQREKLMETVSTIFEGKLNILVNNVGTCIAKPTLKHRAEDFSFTMATNLESAFHLSQLAHPLLKASGSGSIVLISSVSGVVHVNGASIYGLSKGAMNQLGRNLACEWASDNIRTNSVCPWFIETPLVTEVLSNEEFRREVESRPPMGRVGEVNEVSSLVAFLCLPAASYITGQTICVDGGFTVNGFSFKPLPN >fgenesh2_kg.4__913__AT2G29370.1 pep chromosome:v.1.0:4:13511901:13513167:1 gene:fgenesh2_kg.4__913__AT2G29370.1 transcript:fgenesh2_kg.4__913__AT2G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGESLRDKSRWSLEGMTALVTGGSKGIGEAVVEELAMFGARVHTCARDETQLQERLREWQAKGLQVTTSVCDVSSRDQRVKLMETVSSLFQGKLYILVPNVGTGVVKPTTECTSEEFSFIIATNLESTFHLSQLAHPLLKASGSGNIVLMSSVAGVVSLGNTSIYGATKGAMNQLARNLACEWASDNIRANSVCPWFITTPSSKDFLGDKDVKEKVESVTPMRRVGEANEVSSLVAFLCLPAASYITGQTICVDGGFTINGFSFS >fgenesh2_kg.4__916__AT2G29390.1 pep chromosome:v.1.0:4:13515763:13518161:-1 gene:fgenesh2_kg.4__916__AT2G29390.1 transcript:fgenesh2_kg.4__916__AT2G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVESGWKYLVTHFSDFQLACIGSFILHESVFFLSGLPYIFLERTGFLSSYKIQTKNNTPEAQGKCIARLLLYHFCVNLPLMMASYPVFRFMGMQSSFPLPSWKVVSAQILFYFIIEDFVFYWGHRILHTKWLYKNVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPALTGPHLITLWLWMMLRVIETVEAHCGYHFPWSPSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWIFGTDKGYRKLKALKET >fgenesh2_kg.4__918__AT2G29400.1 pep chromosome:v.1.0:4:13518513:13520937:-1 gene:fgenesh2_kg.4__918__AT2G29400.1 transcript:fgenesh2_kg.4__918__AT2G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:B2BXK2] MAEKPAQEQEQKRAMEPGVLDDIIRRLVEFRNTRPGSGKQVHLSEGEIRQLCAVSKEIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHESASINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDDRILCMHGGISPELTSLDQIRNIARPMDIPDSGLVCDLLWSDPSGDVKGWGMNDRGVSYTFGADKVAEFLEKNDMDLICRAHQVVEDGYEFFADRQLVTVFSAPNYCGEFDNAGAMMSIDESLMCSFQILKPSEKRSPFQ >fgenesh2_kg.4__91__AT2G21630.1 pep chromosome:v.1.0:4:419178:422736:1 gene:fgenesh2_kg.4__91__AT2G21630.1 transcript:fgenesh2_kg.4__91__AT2G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFGELEAQDGVRMPWNIIPVATKKEQSIDSEIPVSAIYTPLKPLADHSLLLPYSPLRCRTCRSVLNPYSVVDFSACIWGCPFCFNRNPFPRNYSSIADNNLPPELFPHSTTVEYLCDSFSSPSPPVFLFVVDTCLISEELDFLKSSLFQALDLLPDTSIVGLITFDSLVRVYELGFPHCTKSYFFHGNKDCTKDQLLDQLSFFVKNPKPSSGVIAGARDGLSSDDIARFLLPASDCQFTLHSVLEELGNNPWPVAPDHRPARCTGVALRIAAGLLGACFPGSAARIMAFIGGPSTEGPGAIVSQELSDPIRSHKDIDKDSATYYHNAVEFYEMLAKQLVHQGHVLDVFASSVDQVGIAELKVAVEQTGGFVVLAESFGHSVFRDSLKRVCQSGENDLGLSSCGIFEINCSKDIKVQGIIGPCASLEKKGPLCSDTAVGQGHTSAWKMCGLDKNTSICLVFEIAKRDTADVVLQSQSNQFYFQFLTYYQHSNGQTRLRVTTLSRRWVMGTESLQELSNGFDQEVAAVVMARLISFKMETQPEFNPQRWLDKALINICTWFGDYQKGNPSSFSLSSQLSIFPQFVFHLRRSQFVQVFNNSPDETVYFRMILYRENVSNSIVMIQPSLISFSFHSPPEPILLDVASIAADRILLLDSYFTLVIFHGSTIAQWQKAGYHNQPEHQVFEHLLQSPRDYADTIINERFPTPRLVICDQYGSQARFLLAKLNPSDGEAPLSGESNVFTDDVSLSVFLDHLRRLIVH >fgenesh2_kg.4__920__AT2G29440.1 pep chromosome:v.1.0:4:13544510:13545615:-1 gene:fgenesh2_kg.4__920__AT2G29440.1 transcript:fgenesh2_kg.4__920__AT2G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione-S-tranferase 6 [Source:UniProtKB/TrEMBL;Acc:B2BXJ5] MSKNEEVKLLGTWASPFSRRIEMALKLKGVPYEYLEEDLDNKSSLLLALSPIHKKIPVLVHNGKTIIESLVILEYIDETWKHNPILPQDPFQRSKARVLAKLVDEQIVNVGFTSLAKTEKGREVLIEQTRELIMSLEKELAGKDYFGGKTVGFLDFVAGSMIPFCLERAWEGMGVEMIAEKKFPEYNKWVKKLKEVEIVVDCIPPREKHIEHMNNMAERIRSA >fgenesh2_kg.4__921__AT2G29450.1 pep chromosome:v.1.0:4:13554018:13555033:-1 gene:fgenesh2_kg.4__921__AT2G29450.1 transcript:fgenesh2_kg.4__921__AT2G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione-s-transferase 5 [Source:UniProtKB/TrEMBL;Acc:B2BXJ3] MAEKDQVKLLGIWASPFSRRVEMALKLKGIPYEYVEEILENKSPLLLALNPIHKKIPVLVHNGKTILESHVILEYIDETWPHNPILPQDPYERSKARFFAKLVDEQIMNVGFVSMARADEKGREVLAEQARELIMYLEKELVGKDYFGGKTVGFLDFVAGSLIPFCLERGWEGIGLEVITEEKFPEFKRWVKNLEKVEIVKDCVPPREKHVEHMNYMAERVRSS >fgenesh2_kg.4__923__AT2G29470.1 pep chromosome:v.1.0:4:13569233:13570374:-1 gene:fgenesh2_kg.4__923__AT2G29470.1 transcript:fgenesh2_kg.4__923__AT2G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione-S-transferase 2 [Source:UniProtKB/TrEMBL;Acc:B2BXI8] MAEKEEGVKLIGSWASPFSRRVEMALKLKGVPYDYLDEDYLVVKSPLLLQLNPVYKKVPVLVHNGKILPESQLILEYIDQTWTNNPILPQDPYEKAMARFWAKFVDEQVTLIGLRSLVKSEKRIDVAIEEVRELIMLLENQITEKKFFGGETIGFLDMVVGSMIPFCLARGWEGMGIDMIPEEKFPELNRWIKNLKEIEIVKECIPDREKHIEHMMKIVGRIKAA >fgenesh2_kg.4__924__AT2G29490.1 pep chromosome:v.1.0:4:13577930:13608256:-1 gene:fgenesh2_kg.4__924__AT2G29490.1 transcript:fgenesh2_kg.4__924__AT2G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase 19 [Source:UniProtKB/TrEMBL;Acc:D7LL97] MTEKEESVKLLGFWASPFSRRVEMALKLKGVPYEYLEEDLPNKTPLLLELNPLHKKVPVLVHNDKILLESHLILEYIDQTWKNNPILPQDPYEKAMARFWAKFIDEQILTLGFRSLVKAEKGREVAIEETREMLTFLEKEVTGKDFFGGKTIGFLDMIAGSMIPFCLARLWEGIGIDMIPGEKFPELNRWIKNLEEVEAVRGCIPPRDKQIERMTKIAETIKSA >fgenesh2_kg.4__926__AT2G29500.1 pep chromosome:v.1.0:4:13612359:13612984:-1 gene:fgenesh2_kg.4__926__AT2G29500.1 transcript:fgenesh2_kg.4__926__AT2G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:17.6 kDa class I small heat shock protein [Source:UniProtKB/TrEMBL;Acc:D7LL99] MSMIPSFFNNNRRGNNIFDPFSLDVWDPFKELTSSSLSRENSAIVNARVDWRETPEAHVFKADLPGLKKEEVKVEMEEDSVLKISGERHVEKEDKNDTWHRVERSSGQFTRRFRLPENVKMDQVKAAMENGVLTVTVPKAETKKADVKSIQITG >fgenesh2_kg.4__927__AT2G29510.1 pep chromosome:v.1.0:4:13613991:13617439:1 gene:fgenesh2_kg.4__927__AT2G29510.1 transcript:fgenesh2_kg.4__927__AT2G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRLELKKGSCDRPPFVAAEKKVLTKENLKSFTPDKFRDDKRGLSYSDFHREITKKVEDVCPKRLENRLKSRIGRTASGERDLVKYKSYVPSYIKKCDKVEEKSVKAGGIVGSQELRNNKQVSDKLMDKHTRSSLSNTSTSSSLWTDESSTDSSRGLCASLFRKKINHPPLQYYLMSSKPGDNFQDLEPPRDNGDSTSECHITDTQGGPVRRDGQFHQTPRETAFQQNEKKDTDVKMLPKTRSFFSPSKPDSPSCTRIISKNLAEDFKKKGEKLEERIRNPRVHDLFGKEKPAAVFVPGIVSQKQFIGLSKFYDSKVLLAERVAETNRKGFPERLLYGKSTVLDSDVGHFRRESDGSKPFLKRISFLSERSCSAPRSRKAESSPSRSRTLDRRSTETFPKQSDQKPAKVVSERARSISPFRRLSFSIGKSSKNSSAEDAKTPPHLSTALISSRAGLENPSASSFSDSSSFDKTSAANRGRSSPLRRLLDPLMKPKSSHSCRSPEPSHKEAPSRQQKDILSDSQPSSSSLLSRNGRSSTVQALFRVTSKNDQPLFTFAVEKEQSITAATIRKQTPPEKEDSGLKYTFFTVQEVQKKNAKWMNHNRKVQSQEYTSNIVAQMRVSDPKPLILAGERPVDNLLAREFVLVASESQRTNELAAMVIKIPKLTVTSSTKDQKQNETTLGDYFADVNATVVLPSGIHSLPHKGGPSSLIQRWKSGGSCDCGGWDMGCNLRILTNQHNKHRNPSPSTSDAFKLFFQGGLQDNNNQPYLSFTTYREGVYAVEYNTSLSLLQAFSICIAVNEGRNPSKTAMEPNSSRVANKAHGGEMSSIQNERLKSFSGPIEAEAPARYLTHHPPLSPVGRV >fgenesh2_kg.4__929__AT2G29525.1 pep chromosome:v.1.0:4:13617789:13620365:1 gene:fgenesh2_kg.4__929__AT2G29525.1 transcript:fgenesh2_kg.4__929__AT2G29525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYVDREAPKLWRRIYSEATLEASLLAEKWKLVLAGLAFQYLHGLAAHGVHYLHRPGPTLQDAGFFILPALGQDKAFVSETVFVTIFGSFVLWTFHPFVSHTKKICTVLIWCRVFVYLAASQSLRIITFFATQLPGPNYHCREGSKLAKIPPPKNVLEVLLINFPDGVIYGCGDLIFSSHTIFTLVFVRTYQRYGIRRWIKHLAWLMAVIQSLLIIASRKHYTVDIVVAWYTVNLVMFYVDSKLPEMAERSSGPSQTPLLPLSTKDGNKSKEDHQRLLNKTNVADEL >fgenesh2_kg.4__92__AT2G21650.1 pep chromosome:v.1.0:4:429951:430929:1 gene:fgenesh2_kg.4__92__AT2G21650.1 transcript:fgenesh2_kg.4__92__AT2G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYGSGSWTVKQNKAFERALAVYDQDTPDRWHNIARSVGGKTPEEAKRQYDLLVRDIESIENGHVPFPDYKTTTGNSNRGRLRDEEKRMRSMKLQ >fgenesh2_kg.4__930__AT2G29550.1 pep chromosome:v.1.0:4:13623985:13626148:-1 gene:fgenesh2_kg.4__930__AT2G29550.1 transcript:fgenesh2_kg.4__930__AT2G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:D7LLA4] MREILHIQGGQCGNQIGSKFWEVVNLEHGIDQTGRYHGDSDLQLERVNVYYNEASCGRYVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRNLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEAEYEQEEGY >fgenesh2_kg.4__932__AT2G29570.1 pep chromosome:v.1.0:4:13630095:13632718:-1 gene:fgenesh2_kg.4__932__AT2G29570.1 transcript:fgenesh2_kg.4__932__AT2G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proliferating cell nuclear antigen [Source:UniProtKB/TrEMBL;Acc:D7LLA6] MLELRLVQGSLLKKVLEAVKDLVNDANFDCSSTGFSLQAMDSSHVALVSLLLRSEGFEHYRCDRNLSMGMNLGNMSKMLKCAGNDDIITIKADDGSDTVTFMFESPTQDKIADFEMKLMDIDSEHLGIPDAEYHSIVRMPSGEFSRICKDLSSIGDTVVISVTKEGVKFSTAGDIGTANIVLRQNTTVDKPEDAIVIEMNEPVSLSFALRYMNSFTKATPLSETVTISLSSELPVVVEYKVAEMGYIRYYLAPKIEEEEDTKP >fgenesh2_kg.4__933__AT2G29590.1 pep chromosome:v.1.0:4:13635731:13636796:-1 gene:fgenesh2_kg.4__933__AT2G29590.1 transcript:fgenesh2_kg.4__933__AT2G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7LLA8] MMEKIREYLQLSDEAPRVEEFLGKGKSFYEDFSLRGIRVSRVEPGFISCYFKVPLRLTDREGNLANGAIANLVDEVGGALVHVEGLPMSVSVDMSIAFLSKAKLGEELEITSRLLGERGGYKGTIVVVRNKMTGEIIAEGRHSMFGRQASKL >fgenesh2_kg.4__936__AT2G29630.2 pep chromosome:v.1.0:4:13662771:13665865:1 gene:fgenesh2_kg.4__936__AT2G29630.2 transcript:fgenesh2_kg.4__936__AT2G29630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine biosynthesis family protein [Source:UniProtKB/TrEMBL;Acc:D7LLB4] MAASVHSTLMSVVCNNKSHSAWPKLPNSSLLPGFDLVVQAAVATRFKKETTTTRATLTFDPPTTNSERAKQRKHTIDPSSPDFQPIPSFEECFPKSTKEHKEVVHEESGHVLKVPFRRVHLSGGEPAFDNYDTSGPQNVNAHIGLAKLRKEWIDRREKLGTPRYTQMYYAKQGIITEEMLYCATREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRKFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTIMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVDGIAENLSWEVFRETLIEQADQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHVPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKQHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPADGAKVAHFCSMCGPKFCSMKITEDIRKYAEENGYGSAEEAIRQGMDAMSEEFNIAKKTISGEQHGEVGGEIYLPESYVKAAQK >fgenesh2_kg.4__937__AT2G29640.1 pep chromosome:v.1.0:4:13667364:13669482:1 gene:fgenesh2_kg.4__937__AT2G29640.1 transcript:fgenesh2_kg.4__937__AT2G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIYHERQRLQFCLLHSLNNLFQEKDAFTKESLNSIAEKLVDDDPNKETWTPLSFLLKPHHNTLTGNYDVNVMIKALEGKGKSVVWHDKRCGASLIDLDDADTLMGIVLNVPVKRYGGLWRSRHWVVVRKINGVWYNLDSDLVVPQLFRDGDEVRGFLDQNLSLGGEVLLYMSCSLISNIVDHVREKFSEFAPLMSFGTNDLHVSKYKIFSLVIYNQLTNHSLIIALISMMFSHVRNHSPEPEVSSKVSAIYKATGTKRFNANTRPVTPGKQSSVNGPYGKNPGCTTSCGLRLPRKTECTAARLIKHLSCKFVLGLRLVVMRKKKKKKRSPPLKKASSSGISQPSVISVVNDNNHRSDAIEDCIQFINSSSSFTRSSSDSGRKS >fgenesh2_kg.4__940__AT2G29650.1 pep chromosome:v.1.0:4:13669551:13672317:-1 gene:fgenesh2_kg.4__940__AT2G29650.1 transcript:fgenesh2_kg.4__940__AT2G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARALLCSPNLHSLYTSNRPPEKPTSSRSLRTLKPAPNSLRVWIYPRNRSGVFRVLVRSSDKRESSNSYYVDGERVNRTNGVVSDSPSSIVVPWWEEFPKRWVIVLLCFSAFLLCNMDRVNMSIAILPMSAEYGWNPATVGLIQSSFFWGYLLTQIAGGIWADTVGGKRVLGFGVIWWSIATILTPVAAKLGLPYLLVVRAFMGVGEGVAMPAMNNILSKWVPVQERSRSLALVYSGMYLGSVTGLAFSPFLIHQFGWPSVFYSFGSLGTVWLTLWLTKAESSPLEDPTLLPEERKLIVDNCASKEPVKSIPWRLILSKPPVWALISCHFCHNWGTFILLTWMPTYYHQVLKFNLMESGLLSVFPWMTMAISANAGGWIADTLVSRGFSVTNVRKIMQTIGFLGPAFFLTQLKHIDSPTMAVLCMACSQGTDAFSQSGLYSNHQDIAPRYSGVLLGLSNTAGVLAGVLGTAATGHILQHGSWDDVFTISVGLYLVGTVVWNLFSTGEKIID >fgenesh2_kg.4__941__AT2G29660.1 pep chromosome:v.1.0:4:13675929:13677666:1 gene:fgenesh2_kg.4__941__AT2G29660.1 transcript:fgenesh2_kg.4__941__AT2G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LLB7] MAARKLGSLLRQYFFSLCFLFLGCFFFPKTADHKQKRKKKKLRTVSSSSSGSALSSSWTYLKRVFLSTTRISKSRNQTHPNATLTSARSSQNSLVTLVQPDTSNQPDPETHIQQQTEFEISCSEIFHCNSCGEIFPRINLLENHIAIKHAVSELIAGESSTNIVKIIFKSGWPEQGNHKSPVINRILKIHNSQKILTRFEEYREFVKAKAARSNGGGRRWDDERCVADGNELLRFYCSTFMCDLGQNGKSNLCGHQYCSICGIIGSGFSPKLDGIATFATGWRGHVAVPEEVEEEFGFMNVKRAMLVCRVVAGRVGCDLMDGDDVEKSDGGGYDSLVGQSGNKSGALLRFDDDELLVFNPRAVLPCFVIVYTV >fgenesh2_kg.4__942__AT2G29670.1 pep chromosome:v.1.0:4:13682965:13686326:-1 gene:fgenesh2_kg.4__942__AT2G29670.1 transcript:fgenesh2_kg.4__942__AT2G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LLC0] MGVKVASSSPFLQWTNQRLVHQSSSPSQTLASGAITSPSKRRSTLHDGRFISCRFVPQRLNRSALFGTPSTKLHRSKSCELWESSSSSSRPIKTKSIRRVYSANLDPFSNEEFSKKIQELTLRFNVPNQDDDDRNNSEPMIIHDYKANSIEPPWHEMVHLSSIEMKANSVDLPLSLRIIKKKRQWEEGVKHASESACCSMNKAFSSMVFMIRELQSFTLHMREILFYEDLQGILLRVREEMQQSFVWLFQRVFSATPTLMVYVMILLANFTVYSIGRNNSALAAPLPPTITELTTSETDETNVKFDSSIVKTFFVSSSSGSTTSVGGNNNGGGGNIRPVLSGTDGDGFDGSEQFRTVIPEGVSQLSSSTFGSTTTESEPSVSGQDEHRLWNSMVEEAERMQYSNIDGSLDQETRKRFVSHVEARVEAEEDTGYFKTELMYQTGLSQEPNNPLLLANYAQFLYLVSNDHDRAEEYFKRAVGVEPKDAEALSKYATFLWRARDDLWAAEETFLEAIDADPTNSYYAANYANFLWNTGGEDTCFPLDDESHEDTI >fgenesh2_kg.4__945__AT2G29690.1 pep chromosome:v.1.0:4:13704856:13708072:1 gene:fgenesh2_kg.4__945__AT2G29690.1 transcript:fgenesh2_kg.4__945__AT2G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSISAVKSDFSTVEVITVTHHRTPPLHFPSLRFPLSFKSPPATSLNLDSGSKLLHVSRLPSIKCSSSSYTPSLDLSEEQFTKFKQASEKGNLVPLYRCVFSDHLTPILAYRCLVKEDDRDAPSFLFESVEPGLQSSNIGRFSVVGAQPTIEIVAKGNAVTVMDHGAGLRTEEEVDDPMMVPQKIMDEWKPQRIDELPEAFCGGWVGYFSYDTVRYVEKKKLPFSNAPEDDRSLPDVHLGLYDDVIVFDHVEKKAYVIHWVRIDKDRSVEDNFTDGMNRLESLTARIQDQKPPKMPTGFIKLRTQLFGPKLEKSTMTSEAYKEAVVEAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMAYLQARGCILVASSPEILLRSKNRKITNRPLAGTVRRGKTPKEDLMLEKELLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVEVEKLMNIERYSHVMHISSTVKGELLDNLTSWDALRAALPVGTVSGAPKVKAMELIDELEVTRRGPYSGGFGGISFNGDMDIALALRTMVFPTNTRYDTLYSYKHPQRRREWIAHIQAGAGVVADSNPDDEHRECENKAAALARAIDLAESSFLETPEVTTITPHINNI >fgenesh2_kg.4__946__AT2G29700.1 pep chromosome:v.1.0:4:13711143:13711892:1 gene:fgenesh2_kg.4__946__AT2G29700.1 transcript:fgenesh2_kg.4__946__AT2G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIWRIATGQDPNREDYEGIEFWSNPERSGWLTKQGDYIKTWRRRWFVLKRGKLLWFKDQAAAGTRGSTPRGVISVGDCLTVKGAEDVVNKPFAFELSSGSYTMFFIADNEKEKEEWINSIGRSIVQHSRSVTDSEVLDYDHRR >fgenesh2_kg.4__947__AT2G29710.1 pep chromosome:v.1.0:4:13712323:13713849:1 gene:fgenesh2_kg.4__947__AT2G29710.1 transcript:fgenesh2_kg.4__947__AT2G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LLC5] MRNAELIFIPTPTVGHLVPFLEFARRLIDQDDRIRITILLMKQQGQSHLDSYVKSIASSQPFVRFIDVPELEEKPTLGTQSVEAYVYDFIEKNIPLVRNIIIGILSSPAFDGVTVKGFVADFFCLPMIDVAKDVSLPFYVFLTTNSGFLAMMQYLAVRHKKDTSVFARNSEEMLSIPGFENPVPAKVLPSALFVEDGYDADVKLATLFTKANGILVNTSFDIEPTSLNHFLEEQNYPSIYAVGPIFNPKAHPHPDQDLARCDESMKWLDAQPEASVVFLCFGSMGSLRGPLVKEIAHGLELCQYRFLWSLRTEEVTNDDLLPEGFIDRVGGRGMICGWSPQVEILAHKAVGGFVSHCGWNSIVESLWFGVPIVTWPMYAEQQLNAFLMVKELKLAVEMKLDYSVHSGELVSANEIETAIRCVMNKDNNVVRKRVMGISQMVQRATKNGGSSFAAIEKFIHYVIGART >fgenesh2_kg.4__949__AT2G29720.1 pep chromosome:v.1.0:4:13713925:13715872:-1 gene:fgenesh2_kg.4__949__AT2G29720.1 transcript:fgenesh2_kg.4__949__AT2G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRPIYPLQSFLARSKRWFPVRTGTKPVCLAMSTRAETSGADREEKVVIVGGGIGGLATAVALHRLGIRSVVLEQAESLRTGGTSLTLSKNGWRVLDDISVGPQLRKQFLEIQGVVVKKEDGRELRSFQFKDNDQSQEVRAVERRVLLKTLASQLPPQTIRFSSKLESIQSNVNGDTLLQLGDGTRLLANIVIGCDGIRSKVATWMGFSEPKYVGYSAFRGLGFFPNGQPFQQKVNYIFGRGLRAAYVPVSTTKVYWFICFNSPSLGPKITDPAILRKQAKELVSTWPEDLQNLIDQTPDDAISRDPLVDQWVWPGIAPRASKGRVVLVGDAWHPMTPNLGQGACCALEDSVVLANKLATAMNGGTESIEGAMESYRSERWSQVFRLTALANLVGKLLQSDNPLVCSIRDNIVSAMGQSSRTNSGTHKL >fgenesh2_kg.4__94__AT2G21660.2 pep chromosome:v.1.0:4:436128:437184:-1 gene:fgenesh2_kg.4__94__AT2G21660.2 transcript:fgenesh2_kg.4__94__AT2G21660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASADVEYRCFVGGLAWATDDRALETAFSQYGDVVDSKIINDRETGRSRGFGFVTFKDEKSMKDAIEGMNGQELDGRSITVNEAQSRGSGGGGGGRGGGSYGGGGGGRREGGGGYSGGGGYSSRGGGGGGSYGGGRREGGGGYGGGEGGGYGGSGGGGW >fgenesh2_kg.4__953__AT2G29740.1 pep chromosome:v.1.0:4:13727153:13728827:1 gene:fgenesh2_kg.4__953__AT2G29740.1 transcript:fgenesh2_kg.4__953__AT2G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LLC9] MEEKQEAELIFIPFPIPGHMLATIELAKRLINHKPRRIHTITILHWSLPFLPQSDTISFLKSLIQTESRIRLVTLPDVPNPPPMELFVKASESYILEFVKKMVPLVKKALSTLLSSRDESDSVRVAGLVLDFFCVPLIDVGNEFNLPSYIFLTCSASFLGMMKYLPERHRKIKPEFNRSSGEETIPVPGFVNSVPVKVLPPGLFMRESYEAWVEMAERFPEAKGILVNSFESLERNAFDYFDHRPDNYPPVYPIGPILCSNDRPNLDLSERDRILRWLDDQPESSVVFFCFGSLKSLAASQIKEIAQAIELVGFRFLWSIRTDPNEYPNPYEILPDGFMNRVMGLGLVCGWAPQVEILAHKAIGGFVSHCGWNSILESLRFGVPIATWPMYAEQQLNAFTIVKELGLALEMRLDYVWAHGEIVKADEIAGAVRSLMDGEDVRRRKLKEIAEAAKEAVMDGGSSFVAVKRFIDGL >fgenesh2_kg.4__957__AT2G29780.1 pep chromosome:v.1.0:4:13749046:13750686:-1 gene:fgenesh2_kg.4__957__AT2G29780.1 transcript:fgenesh2_kg.4__957__AT2G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LLD6] MAIISETSDDGSNGGVPNKKSEELHKNPKEDDHQEEEAENLPPIPRRIPQALIRRTVALIRRCHYPSLSLLSKAFRRVISSPELHHRRLSLNLTEPILYALIGFPSHGFPTWFILNQNIPRNIPLRLSQIGSLPPMNPGSAVVTIGYKMYVIGGMIGPFNHVKTVFVIDCRVHTCNYLPTMHRARYRAVAEVIDGKIYVIGGCEKRYEDWIEVFDVENGTWSTVPDPSPWKSSLPGGGFVTSVVMQNKIYILDDLRGLVYDPNDGTWESWEPETKLMSYWSKPCCVIEDLLYSLDPWCVQVYDPNGMFWTPVMGLHGLPNLNYFNCKMANVGGKLMVLGTTDNIWCVEIWCVEIALEKRERGQIWGKIDSMERVLRSMNAAYIDLCRSVTF >fgenesh2_kg.4__95__AT2G21690.1 pep chromosome:v.1.0:4:454711:455317:-1 gene:fgenesh2_kg.4__95__AT2G21690.1 transcript:fgenesh2_kg.4__95__AT2G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVEFTCFVRGLDQNTDGNDLKNIFSKFGNIIYDHVTGKSRRFGFVTFEEEKSMRDAIKEMNDYKSRSKCVNVGSIIVEVARPQRIRRYRSTGFYQKRRRWPDYKRF >fgenesh2_kg.4__960__AT2G29900.1 pep chromosome:v.1.0:4:13769588:13770938:1 gene:fgenesh2_kg.4__960__AT2G29900.1 transcript:fgenesh2_kg.4__960__AT2G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin [Source:UniProtKB/TrEMBL;Acc:D7LLE1] MDRNQRPRSLLDSLGEELIAILTPVSICMFTVVLLVCILNSDPSSSSASFSSIATAAYAESDSDSSWDKFVGALLNSVVFVAAITVATFVLVLLFYLRCVKFLKFYMGFSAFIVLGNLGGEILVLLIDRFRFPIDSITFLILLFNFSVVGVFAVFMSKFSILITQGYLVWIGVLVAYFFTLLPEWTTWVLLVALALYDIAAVLLPVGPLRLLVEMAISRDEDIPALVYEARPVIRNDSRLVQRRVWRERRSNDAENHANRNEVRAVRSEEVEEEHVGSSERAEISVPLIDRRPEQAENSETFLEGIGLGSSGAIKLGLGDFIFYSVLVGRAAMYDLMTVYACYLAIIAGLGITLMLLSVYQKALPALPVSIMLGVVFYFLARLLLEVFVVQCSSNLVMF >fgenesh2_kg.4__961__AT2G29940.1 pep chromosome:v.1.0:4:13791432:13829235:1 gene:fgenesh2_kg.4__961__AT2G29940.1 transcript:fgenesh2_kg.4__961__AT2G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPDR3/PDR3 [Source:UniProtKB/TrEMBL;Acc:D7LLE6] MAAASNGSEYFEFDVETGRESFARPSNADTVEQDEEDLRWAAIGRLPSQRQGSQSAILLRSQTQTQTSGYADGNVVQTIDVKKLDRADREMLVRQALATSDQDNFKLLSAIKERLDRVGMEVPKIEVRFENLNIEADVQAGTRALPTLVNVSRDFFERCLSSLRIIKPRKHKLNILKDISGIIKPGRMTLLLGPPGSGKSTLLLALSGKLDKSLKKTGNITYNGENLDKFHVKRTSAYISQTDNHIAELTVRETLDFAARCQGASEGFAGYMKDLTRLEKERGIRPSSEIDAFMKAASVSGEKHSVSTDYVLRVLGLDVCSDTMVGNDMMRGVSGGQRKRVTTGEMTVGPRKTLFMDEISTGLDSSTTFQIVKCIRNFVHLMDATVLMALLQPAPETFDLFDDLILLSEGYMVYQGPREDVIAFFESLGFRIPPRKGVADFLQEVTSKKDQAQYWVDPSKPYQFIPVSDIAAAFRNSKYGHAADSKLATPFDKSSVDPSALCRTKFAISGWENLKVCFVREILLINRHRFLYTFRTCQVAFVGLVTATVFLRTRLHPTSEQFGNEYLSCLFFGLVHMMFNGFSELPLMISRLPVFYKQRDNSFHPAWSWSIASWLLRVPYSILEAVVWSCVVYYSVGLAPSAGRFFRYMLLLFSVHQMALGLFRMMASLARDMVIANTFGSAAILVVFLLGGFVIPKADIKPWWVWGFWVSPLSYGQRAIAVNEFTATRWMSPSAISDTSIGFNLLKLRSFPTNDNWYWIGIAVLIGYAILFNNVVTLALAYLNPLRKARAVVLDDPKEETQTSLVADANQEKSQKKGMILPFKPLTMTFHNVNYYVDMPKEMRSQGVPETRLQLLSNVSGVFSPGVLTALVGSSGAGKTTLMDVLAGRKTGGYTEGDIRISGHPKEQQTFARISGYVEQNDIHSPQVTVEESLWFSASLRLPKEISKEQKKEFVEEVMRLVELDTLRYALVGLPGTTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYGGKLGTHSQVLVDYFQGINGVPAISSGYNPATWMLEVTTPALEEKYNMEFADLYKKSDQFREVEENIKQLSVPPEGSEPISFTSRYSQNQLSQFLLCLWKQNLVYWRSPEYNLVRLVFTTIAAFILGTVFWDIGSRRTSSQDLITVMGALYSACLFLGVSNASSVQPIVSIERTVFYREKAAGMYAPIPYAAAQGLVEIPYILTQTILYGVITYFTIGFERTLSKFVLYLVFMFLTFTYFTFYGMMAVGLTPNQHLAAVISSAFYSLWNLLSGFLVQKPLIPVWWIWFYYICPVAWTLQGVILSQLGDVESMINEPMFHGTVKEFIELYFGYKPNMIGVSAAVLVGFCALFFSAFALSVKYLNFQRR >fgenesh2_kg.4__963__AT2G29960.1 pep chromosome:v.1.0:4:13840440:13842025:-1 gene:fgenesh2_kg.4__963__AT2G29960.1 transcript:fgenesh2_kg.4__963__AT2G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7LLE7] MAKASSILLGTLFLFGAIALIQAKEDLKEITHKVYFDVEIDGKSAGRVVIGLFGKAVPKTAENFRALCTGEKGVGKSGKPLHYKGSKFHRIIPSFMIQGGDFTHGNGMGGESIYGQKFEDENFKLKHTGPGLLSMANSGEDTNGSQFFITTVTTSWLDGRHVVFGKVVQGMDVVYKIEAEGKQSGTPKSKVVIADSGELPL >fgenesh2_kg.4__965__AT2G29970.1 pep chromosome:v.1.0:4:13881736:13885316:1 gene:fgenesh2_kg.4__965__AT2G29970.1 transcript:fgenesh2_kg.4__965__AT2G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVTTARQCLTEETARALDDAVSVARRRSHAQTTSLHAVSGLLTMPSSILREVCISRAAHNTPYSSCLQFRALELCVGVSLDRLPSSKSPPPPTTTTTTVEEDPPVSNSLMAAIKRSQATQRRHPETYHLHQIHGNNNNTQTTSVLKVELKYFILSILDDPIVSRVFGEAGFRSTDIKLDVLHPPVTSQFSSRFSSRSRIPPLFLCNLPESDPGRVRFGFPLGDLDENCRRIGEVLGRKDKKNPLLVGVCGGEALKTFTDSINRGKFGFLPLEISGLSVVSIEISEVLVDGSRIDIKFDDLGRLKSGMVLNLGELKVLTSDVFSVSVVEKFVLKLSDLLKLHSEKLWFIGSVSSNETYLKLIEKFPMIDKDWNLHLLPITSSSQGVYPKSSLMGSFVPFGGFFSSTSDFRVPFSNSMNQSLPRCHLCNEKYEQEVTAFAKSGSSLDDQCSEKLPSWLRNVEHEQDKGSLGKVKDDPNVLVSRIPALQKKWDDICQRIHQTPAFPKLSFQPVRPQFPLQLVSSSQSKMSLGSSTEQSVRSIRTSESFQGTAQVQNPPHQPGLSLKISKPTHTEDLTSRTTNSPLSCVTTDLGLGTIYASKNQDSNTPVSLERKDFEVIKEKQSLVAPRYCKDFKSLRELLSRKVGFQNEAVNAISEIVCGYRDESRRRNNIATTSNVWLALLGPDKAGKKKVASALADVFCSGQDNFICVDFKSQDNLDDRFRGKTVVDYIASEVATRADSVVFIENVEKAEFPDQIRLSDAMRTGKLRDSHGREISMKNVIVVATISGSDKDSDCHVLEEPVKYSEERVLSAKNWKLQIKLADTSNVNKNGLNKRRQEEAETEMTELRALKSQRSFLDLNLPVDEIEANEDEAYTMSENTEAWLEDFVEQVDGKVTFKLIDFDELAKNIKRNIISLFHRSFGPETHLEIENDAILKILGALRWSSDEEKTFDQWLQNVLAPSFAKARQKYVPATPFAVKLVASRDSSAEEETTGIQQFPARVEVI >fgenesh2_kg.4__967__AT2G29980.1 pep chromosome:v.1.0:4:13888193:13891749:-1 gene:fgenesh2_kg.4__967__AT2G29980.1 transcript:fgenesh2_kg.4__967__AT2G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAMDQRTNVNGDSGAGDRKKEERFDPSAQPPFKIGDIRAAIPKHCWVKSPLRSMSYVVRDIIAVAALAIAAVYFDSWILWPLYWAAQGTLFWAIFVLGHDCGHGSFSDIPLLNSVVGHILHSFILVPYHGWRISHRTHHQNHGHVENDESWVPLPERVYKKLPHSTRMLRYTVPLPMLAYPLYLCYRSPGKEGSHFNPYSSLFAPSERKLIATSTTCWSIMFVTLIALSFIFGPLAVLKVYGVPYIIFVMWLDAVTYLHHHGHDEKLPWYRGKEWSYLRGGLTTIDRDYGIFNNIHHDIGTHVIHHLFPQIPHYHLVDATKAAKHVLGRYYREPKTSGAIPIHLVESLVASIKKDHYVSDTGDIVFYETDPDLYVYASDKSKIN >fgenesh2_kg.4__968__AT2G29990.1 pep chromosome:v.1.0:4:13901132:13903437:-1 gene:fgenesh2_kg.4__968__AT2G29990.1 transcript:fgenesh2_kg.4__968__AT2G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDA2 H dehydrogenase 2 [Source:UniProtKB/TrEMBL;Acc:D7LLF0] MFLIKNLTRISPTSSSIVTRFRSTGSYTLASRFCTASETQIQSPAKIPNGVDRSQYAGLAPTREGEKPRVVVLGSGWAGCRLMKGIDTNLYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPISRIQPAISREPGSYFFLANCSRLDAEAHEVHCETLTDGLNTLKPWKFKIAYDKLVVASGAEASTFGIHGVMENAIFLREVHHAQEIRRKLLLNLMLSDTPGISKEEKKRLLHCVVVGGGPTGVEFSGELSDFIMKDVRQRYSHVKDDIHVTLIEARDILSSFDDRLRRYAIKQLNKSGVRFVRGIVKDVQSQKLILDDGTEVPYGLLVWSTGVGPSSFVRSLGLPKDPTGRIGIDEWMRVPSVQDVFAIGDCSGYLETTGKPTLPALAQVAEREGKYLANLLNEIGKANGGRANSAKEIELGAPFVYKHLGSMATIGRYKALVDLRESKDAKGISMTGFVSWFIWRSAYLTRVVSWRNRFYVAINWFTTFVFGRDISRI >fgenesh2_kg.4__969__AT2G29995.1 pep chromosome:v.1.0:4:13904511:13907636:-1 gene:fgenesh2_kg.4__969__AT2G29995.1 transcript:fgenesh2_kg.4__969__AT2G29995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSRFWLCMFLFFTFAFLSSARISVSFPENEMTVVPERSLMVSTNDYGEPSANGRHDPPHGGRSRRR >fgenesh2_kg.4__96__AT2G21710.1 pep chromosome:v.1.0:4:456001:458487:1 gene:fgenesh2_kg.4__96__AT2G21710.1 transcript:fgenesh2_kg.4__96__AT2G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2219 [Source:UniProtKB/TrEMBL;Acc:D7LBX6] MLRHCNVTYTSSFSLISSSLRRQDHPDDSQDTVIRRRHNARSLSLYIRHNRDLKLNKNRNESQESFVPPPPRRDIDGDDRSKLLELSLVTRRTPQFPGSIYAQSASDADVASSLPSLRKFLGSDGDDDGESEREMIDKALEIRRKVTKEIIKESLVRKGRFGITYATNVTDRLGDFVDHVMIQAAALKRLPEFSESRFNLRARTVIDDSNFVPLVRWLKHHEFSYNRIAKIICMSKGNLDSIRIMIEWLKTIHVKGEFIGVAFLRSGDNILQRSREELDEIVEYLESNGVRRDWMGYVVGRCPELLSFSMEEVKSRVDFFLKMGMNQNDFGTMVYDYPKIIGYFSFEEMEKKINYLKEFGLSTEEVGRLLAFKPHLMGCSIEERWKPLVKYFYYLGIPKEGMKRILVVKPILYCIDLEKTIAPKVRFLQEMGIPNEAIGNMLVKFPSLLTNSLYKKIRPVVIFLLTRAGVTQKDIGKVIAMDPALLGCSIGTKLEPNMRYYISLGIRFHQLGEMIADFPMLLRYNVDNLRPKYRYLRRTMIRPLQDLIEFPRFFSYSLERRIIPRHTIMVENRVNFKLRYMLACTDEEFERRVRDKVERRERFEAGLDSEDLE >fgenesh2_kg.4__971__AT2G30020.1 pep chromosome:v.1.0:4:13918861:13920555:1 gene:fgenesh2_kg.4__971__AT2G30020.1 transcript:fgenesh2_kg.4__971__AT2G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVAVCNSPVFSPSSSLFCNKASILSSPQESLSLTLSHRKSQTSSPSSPSTTVSSPKSPFRLRFQKPPSGFAPAPLSFGSESVSASSPPGGVLKRKRPTRLDIPIGVAGFVGPISSAAAAVAATPREESREVEREGDGYSVYCKRGRREAMEDRFSAITNLHGDRKQAIFGVYDGHGGVKAAEFAAKNLDKNIVEEVVGLRDESEIADAVKHGYLTTDAAFLNEKDVKGGSCCVTAMVSEGNLVVSNAGDCRAVMSVGGVAKALSSDHRPSRDDERKRIETTGGYVDTFHGVWRIQGSLAVSRGIGDAQLKQWVIAEPETKISRIEQDHEFLILASDGLWDKVSNQEAVDIARPFCLGTEKPLLLAACKKLVDLSASRGSSDDISVMLIPLRQFI >fgenesh2_kg.4__975__AT2G30050.1 pep chromosome:v.1.0:4:13931026:13933351:1 gene:fgenesh2_kg.4__975__AT2G30050.1 transcript:fgenesh2_kg.4__975__AT2G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7LBJ2] MPGQKIETGHEDIVHDVQMDYYGKRIATASSDCTIKITGVSNNGGSQPLATLTGHRGPVWEVAWAHPKFGSMLASCSYDGQVILWEEANQNQWTQAHVFTDHKSSVNSIAWAPHDLGLSLACGSSDGNISVLTSRADGGWDTTRIDQAHPVGVTSVSWAPATAPGALVSSGLLDPVYKLASGGCDNTVKVWKLSNGSWKMDCFPALQKHTDWVRDVAWAPNLGLPKSTIASGSQDGKVIIWTVGKEGEQWEGKVLKDFMTPVWRVSWSLTGNLLAVSDGNNNVTVWKEAVDGEWEQVTAVEP >fgenesh2_kg.4__977__AT2G30070.1 pep chromosome:v.1.0:4:13945572:13949252:1 gene:fgenesh2_kg.4__977__AT2G30070.1 transcript:fgenesh2_kg.4__977__AT2G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:D7LBJ4] MNQSPSLIEQGISQQHLKTVSCANVLTLAYQSLGVIYGDLSTSPLYVYKTTFSGKLSLHENDEEIFGVFSFIFWTFTLIALFKYVFVVLSADDNGEGGTFALYSLLCRYAKLRILPNHQEMDEKLSTYAMGSPGETRQSAAVKSFFEKHPKSQKCLLLFVLLGTCMAIGDSVLTPTISVLSAVSGVKLKIPNLHENYVVIIACIILVAIFSVQRYGTHRVAFIFAPISTAWLLSISSIGVYNTIKWNPRIVSALSPVYMYKFLRSTGVEGWVSLGGVVLSITGVETMFADLGHFSSLSIKVAFSFFVYPCLILAYMGEAAFLSKHHEDIQQSFYKAIPEPVFWPVFIVATFAAVVGSQAVISATFSIISQCCALDCFPRVKVIHTSSKIHGQIYIPEVNWMLMCLCLAVTIGLRDTNMMGHAYGLAVTSVMLVTTCLMTLVMTIVWKQRIITVLAFVVFFGSIELLYFSSCVYKVPEGGWIPILLSLTFMAVMYIWNYGTTKKHEFDVENKVSMDRIVSLGPSIGMVRVPGIGLVYSNLVTGVPAVFGHFVTNLPAFHKILVFVCVKSVQVPYVGEEERFVISRVGPKEYGMFRSVVRYGYRDVPRDMYDFESRLVSAIVEFVETEPGLEEEEMSNVRRKKEECMEIMEAKEAGVAYILGHSYAKAKQSSSVLKKLAVNVVFAFMSTNCRGTDVVLNVPHTSLLEVGMVYYV >fgenesh2_kg.4__97__AT2G21720.1 pep chromosome:v.1.0:4:462254:466539:1 gene:fgenesh2_kg.4__97__AT2G21720.1 transcript:fgenesh2_kg.4__97__AT2G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMKHLSSIANDVVQRCSQELETTIDELVEEFECQWKPGSTEGSYSKKFVEFCNSKVTSRVCENILERIKDGSFSRLTFDMMLAWQQPDADDKESYREAVGKESEDKRIQATLSPEQDDISLFYSDMMPLLVDHEPSVGADAFVYLGSVIPLPVDVINGRYTFETLTAPTGYQLHFPAYDMFVKEIHKCMKHLQKQAKPKGVELADDEIILHVEGTMASQRVIRHIKETSWPGRLTLTNYALYFEAAGIINYEDAIKIDLSKDNEKSTKPMSTGPLGAPLFDNAIVYESPEFEDGIVIEFPEMTSSTRRDHWLMLIKEITLMHKFLRKFNVESPLQAWEIHSRTILGIVRLHAAREMLRISPPDPKKFLIFSLFEEVPKGDYVLEELAEISLKIGTTRNPCSASSILRNMNMDQLGDMIKEEGEDTCKEKVKVTDKEEMLASLESAVNQSREEGKVIEEAKATTAELEEEGISESVAVLMKLNLSYREWVGKAIAACLIWVVAKMAQARHKTVHTKSEDAVTVSTESDQTMTESIVSAQYGLIRLHQLMQHVNVTILKLRSIYTSKASKHASMVMASMLVLASFFAVVPFKLFIIFGTIYCFVMTSSVGRYMSNDQSNRRMKEWWDSIPIVPVRVRNASSK >fgenesh2_kg.4__981__AT2G30110.1 pep chromosome:v.1.0:4:13972071:13976997:-1 gene:fgenesh2_kg.4__981__AT2G30110.1 transcript:fgenesh2_kg.4__981__AT2G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKRASEANDKNDNSIIGSDLASSKKRRIDITDSSSSDNKSSIVASGSSSSSGFHGDSVAHQIDMAFGNSNRQEIDEDLHSRQLAVYGRETMRRLFASNVLISGMHGLGAEIAKNLVLAGVKSVTLHDERVVELWDLSSNFVFSEDDVGKNRADASVQKLQDLNNAVVVSSLTKSLTKEDLSGFQVVVFSDISMERAIEFDDYCHSHQPPIAFVKADVRGLFGSVFCDFGPEFAVLDVDGEEPHTGIIASISNENQAFISCVDDERLEFEDGDLVVFSEVEGMTELNDGKPRKIKSARPYSFILDEDTSNYGTYVKGGIVTQVKQPKLLNFKPLREALKDPGDFLFSDFSKFDRPPLLHLAFQALDHFKAEAGRFPVAGSEEDAQKLISIATAINTGQGDLKVENVDQKLLRHFSFGAKAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTEPLDSSDFAPRNSRYDAQISVFGAKFQKKLEDAKVFTVGSGALGCEFLKNMALMGVSCGSQGKLTVTDDDIIEKSNLSRQFLFRDWNIGQAKSTVAASAAAAINPRFNIEALQNRVGAETENVFDDAFWENLTVVVNALDNVNARLYVDSRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSSPVEYTNSMMSAGDAQARETLERILECLDKEKCETFQDCLTWARLRFEDYFVNRVKQLIYTFPEDAATSTGSPFWSAPKRFPRPLQYSSSDPSLLNFITATAILRAETFGIPIPEWTKNPKEATEAVDRVIVPDFEPRKDAKIVTDEKATTLTTASVDDAAVINDLIAKIEQCRRNLSPDFRMKPIQFEKDDDTNYHMDVIAGLANMRARNYTIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGGHKVEDYRNTFANLALPLFSMAEPVPPKVVKHRDMAWTVWDRWVLKGNPTLREVLQWLEDKGLSAYSISCGSCLLFNSMFPRHKERMDKKVVDLARDIAKVELPPYRHHLDVVVACEDEDDNDVDIPLVSIYFR >fgenesh2_kg.4__982__AT2G30120.1 pep chromosome:v.1.0:4:14009686:14011082:-1 gene:fgenesh2_kg.4__982__AT2G30120.1 transcript:fgenesh2_kg.4__982__AT2G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LBK1] MAGRDRYLPSSAVSTSSSSRLVESQLIESDRNRARSVILEDRIAIQHREIQSLLNDNQRLAVAHIGLKDQLNLAKRELERLLETAAKVKAEGEAKVREVYQNALRMEAEARVIDGLGAELGQVRSDVQRLANDRQELATELAMLDGEMVKAKPNSDRAIEVKAEIEILRGEVRKGR >fgenesh2_kg.4__983__AT2G30130.1 pep chromosome:v.1.0:4:14024416:14025523:1 gene:fgenesh2_kg.4__983__AT2G30130.1 transcript:fgenesh2_kg.4__983__AT2G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGSSPCASCKLLRRRCAKDCIFAPYFPPDDPHKFAIVHKVFGASNVSKMLQELPVHQRADAVNSLVFEANARVRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEILCIQMQNEPTLQSHHQVLELDQDHKALLLNNNNINNCNTNNNNNNNNNLGYAMSSGQFNSNFASPSSIMQMQMQMQMQDPLKQESLWT >fgenesh2_kg.4__985__AT2G30140.2 pep chromosome:v.1.0:4:14027709:14029292:1 gene:fgenesh2_kg.4__985__AT2G30140.2 transcript:fgenesh2_kg.4__985__AT2G30140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LBK5] MDPNESPPIQFRHVVAMPYPGRGHINPMMNLCKRLVRRYPNLHVTFVVTEEWLGFIGSNPKPDRIHFATLPNLIPSELVRAKDFIGFIDAVYTRLEEPFEKLLDGLNSPPPSAIIADTYVIWAVRVGRRRNIPVVSLWTMSATILSFFLHSDLLISHGHALFEPSEEEVVDYVPGLPPTKLRDLPPIFDGYSHRVFKTAKLCFDELPGAKCLLFTTAYELEPKAVDAFSSKLDIPVYATGPLIPFEELSVQNDNKEPDYIRWLDEQPESSVLYISQGSFLSVSEAQMEEIVKGVRESGVRFLWVARGGESKLKETLEGSSGVVVSWCDQLRVLCHAAVGGFWTHCGFNSTLEGIYSGVPMLAFPLFWDQILNAKMIVEDWRVGMRIERAKKAELLIGREEIKEVVKRFMDRESEEGKEMRRRACDLSEISRGAVAKSGSSNVNIDAFVRHVTNTN >fgenesh2_kg.4__986__AT2G30150.1 pep chromosome:v.1.0:4:14033428:14034856:1 gene:fgenesh2_kg.4__986__AT2G30150.1 transcript:fgenesh2_kg.4__986__AT2G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPGRGHINPMLNLCKRLVRRDPNLIVTFVVTEEWFGLIGSDPKPNRIHFATLPNLIPSELVRANDFIGFVDAVLTRLEQPFEQLLDRLNSPPPAAIIADTYIIWAVRVGTKRNIPVASFWTTSATILSLFIHTDLLASHGHFPVELSESKLEEIVDYIPGLSPTRLRDLQIFHGYSYQVFNIFKKSFGELSKAKYLLFPSAYELEPKAIDFFTSKFDFPVYSTGPLIPFEELSVGNEDIELDYIKWLDEQPESSVLYISQGSFLSVSDAQMEEIVVGVRESGVRFLWVARGGELKLKVALEGSLGVVVSWCDQLRVLCHVAVGGFWTHCGFNSTLEGIYSGVPMLTFPVFWDQFLNAKMIVEEWRVGMRIENRIESKKQTELLIVSDEIKGLVKEFMDGKSEEGKEMRRRTCDLSEICRGAVAETGSSDANIDAFLKDITKIV >fgenesh2_kg.4__987__AT2G30160.1 pep chromosome:v.1.0:4:14036610:14038462:1 gene:fgenesh2_kg.4__987__AT2G30160.1 transcript:fgenesh2_kg.4__987__AT2G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7LBK8] MATEATTTTKFPESDLRPIPQPPDFHPAIIVPAQNTTLKFWQLMVAGSIAGSVEHMAMFPVDTVKTHMQALRSCPIKPIGIRQAFRSIIKTDGPSALYRGIWAMGLGAGPAHAVYFSFYEVSKKFLSGGNPNNSAAHAISGVFATISSDAVFTPMDMVKQRLQIGNGTYKGVWDCIKRVMREEGFGAFYASYRTTVLMNAPFTAVHFTTYEAVKRGLRDMLPEHAGGEEDEEGWLIYATAGAAAGGLAAAVTTPLDVVKTQLQCQGVCGCDRFKSGSISDVFRTIVKKDGYRGLARGWLPRMLFHAPAAAICWSTYETVKSFFQDLNGAA >fgenesh2_kg.4__989__AT2G30170.1 pep chromosome:v.1.0:4:14038489:14040482:-1 gene:fgenesh2_kg.4__989__AT2G30170.1 transcript:fgenesh2_kg.4__989__AT2G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVTRMMVPHARPSLRLSHPNLPNPSRVDFLCLCVPSEHQPLRPELSLSVGIHAIPHPDKVEKGGEDAFFVSSYRGGVMAVADGVSGWAEQDVDPSLFSKELMANASRLVDDEEVRYDPGFLIDKAHTATTSRGSATIILAMLEEVGILKIGNVGDCGLKLLREGQIIFATTPQEHYFDCPYQLSSEGSAQTFLDASFSIVEVQKGDVIVMGSDGLFDNVFDHEIISIVTKHTDVAISSRLLAEVASSHSRDTEFESPYALEARAKGFDVPLWKKVLGKKLTGGKLDDVTVIVAKVVSS >fgenesh2_kg.4__990__AT2G30200.1 pep chromosome:v.1.0:4:14041867:14044590:-1 gene:fgenesh2_kg.4__990__AT2G30200.1 transcript:fgenesh2_kg.4__990__AT2G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASSSLLLPSVSLNNLPGSKNASFCFAAKNLNRSRVSMSVSAGSQSTTVHDSFFADYKPTSAFLFPGQGAQAVGMGKEAQSVAAAGELYKKANDILGYDLLDICVNGPKEKLDSTVISQPAIYVTSLAAVELLRVREGGEQIINSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQAAADAAKSAMVSIIGLDSEKVQQLCDAANQEVDEADKVQIANYLCPGNYAVSGGLKGIEVVEAKAKSFKARMTVRLAVAGAFHTSFMEPAVTRLEAALAATEIRSPRIPVISNVDAQPHADPDTIKKILARQVTSPVQWETTVKTLLSKGLKSSYELGPGKVIAGIFKRVDKSASVENISA >fgenesh2_kg.4__992__AT2G30210.1 pep chromosome:v.1.0:4:14046176:14051094:-1 gene:fgenesh2_kg.4__992__AT2G30210.1 transcript:fgenesh2_kg.4__992__AT2G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:D7LBL1] MESLRRFSFVSFIALLAYFAFLASAEHHVQQFVIIPTPVKRLCRTHQSITVNGQFPGPTLIVRNGDSLAITVINRARYNISIHWHGIRQLRNPWADGPEYITQCPIRPGQSYTYRFKIEDQEGTLWWHAHSRWLRATVYGALIIYPRLGSPYPFSMPKRDIPILLGEWWDRNPMDVLRQAQFTGAAANVSDAYTINGQPGDLYRCSRAGTIRFPIFPGETVQLRVINAAMNQELFFSVANHQFTVVETDSAYTKPFTTSVIMIGPGQTTNLLLTANQRPGRYYMAARAYNSANAPFDNTTTTAILQYVNAPTRRGRGRGQIAPVFPVLPGFNDTATATAFTNRLRYWKRAPVPQQVDENLFFTVGLGLINCSNPNSPRCQGPNGTRFAASMNNMSFVLPRRNSVMQAYYQGMPGIFTTDFPPVPPVQFDYTGNVSRGLWQPIKGTKAYKLKYKANVQIVLQDTSIVTPENHPMHLHGYQFYVVGSGFGNFNPRTDPARFNLFDPPERNTIGTPPGGWVAIRFVADNPGAWFMHCHIDSHLGWGLAMVFLVENGRGQLQSVQAPPLDLPRC >fgenesh2_kg.4__995__AT2G30230.1 pep chromosome:v.1.0:4:14062738:14063475:1 gene:fgenesh2_kg.4__995__AT2G30230.1 transcript:fgenesh2_kg.4__995__AT2G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGHVDEITRPMTAGEILQANPNHVLSKPCSQGVVRKILILSPESELKRGSIYFLIPDTSLPEKKKTKKRKEVRCRKKPLESGSDINSDHMSTNKDLDGHCLTLCEKYLEDVMLSEKMSSAGKENRHRRKHSRSASVSTWRPHLDSITEDLN >fgenesh2_kg.4__997__AT1G49245.1 pep chromosome:v.1.0:4:14072195:14072746:-1 gene:fgenesh2_kg.4__997__AT1G49245.1 transcript:fgenesh2_kg.4__997__AT1G49245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSSSSSGREFELEKEIKRQEVSLDELSSLSSSRSVYQKNGNLFFLTSTEKAKTSAQKQLDYAKSEINKIRSQT >fgenesh2_kg.4__998__AT2G30250.1 pep chromosome:v.1.0:4:14076363:14078173:-1 gene:fgenesh2_kg.4__998__AT2G30250.1 transcript:fgenesh2_kg.4__998__AT2G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 25 [Source:UniProtKB/TrEMBL;Acc:D7LBL7] MSSTSFTDLLESSGVDCYEDDEDLRVSGSIFGGYYQERTGSGLPKFKTAQPPPLPISPSSHNFTFSDFLDSPLLLSSSHSLISPTTGTFPLQGFNGTTNNHSDHFPWQLQSQPSNASSALQETFGVQDHQKKQEMIPHEIATQNNNQSFGSDRQIKIPAYMVSRNSNDGYGWRKYGQKQVKKSDNPRSYFKCTYPDCVSKKIVETASDGQITEIIYKGGHNHPKPEFTKRPSQSSLPSSINGRRLFNPASVVSEPHDQSENSSISFDYSDLEQKTFKSEYGEVDEEEEQPEIKRMKREGEDEGMSIEVSRGVKEPRVVVQTISDIDVLIDGFRWRKYGQKVVKGNTNPRSYYKCTYQGCGVRKQVERSAADERAVLTTYEGRHNHDIPTALRRS >fgenesh2_kg.504__1__AT3G06778.1 pep scaffold:JGI8X:scaffold_504:785:2523:1 gene:fgenesh2_kg.504__1__AT3G06778.1 transcript:fgenesh2_kg.504__1__AT3G06778.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7MXQ9] MGKIRPGPDPKAALVSDILSLSRSPISCIHINCISSGSSCFIDWYLILGIQEDAEVKVIRKRYHKLALKVHPDKNNHPKADIAFKLIHEAYLCLSDETRRISFNTDRRKNICLKCSRVSHKTKENRNDSKPNRFCQTLKDIRDKFREENKVIERCLKTNSAIFMGNRTKETPVYGIPNQNRLKKESPVFNPSDYRLWGYPHVRNRVFDYNLSSDWNMFTRSRSTCVHSS >fgenesh2_kg.520__1__AT3G23840.1 pep scaffold:JGI8X:scaffold_520:1860:3333:-1 gene:fgenesh2_kg.520__1__AT3G23840.1 transcript:fgenesh2_kg.520__1__AT3G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVQEEGSGPVHGFRLSTVSASQPSETGTTHEPTGLDLAMKLHYLKAVYIYSAETARDLTVMHVKTPLFSVFDQIPCIIGRFRRHDSGRPYIKCNDCGTRFVESHCDLTVEEWLHVPDRSVDEALVYHQSVGPELAFSPLLYIQMTRFSCGGLALGLSWAHVMGDPFSLSHFFNLWAQAFAGEKIYSPKTSDTERVCQNPNSTVKKPNSIKRVDPVGDLWVAPNNSKMTTFSFNSTVNDLKSHFPANGDDEFKILAGIIWKCVATARGESAPVTITVIRLDPKELKPRAVRNGQMISSVHVDFSVAEASLEEIVKAIGEARDERFGIDDIVDDVSDFIVYGANLTFVDMSEVDFYEAKVMGKSPESVYCNVQGIGDDGAVVVLPGVVEEERVVTVTLPEDEIEKVKWEMKKCGLITPLV >fgenesh2_kg.52__1__AT5G56980.1 pep scaffold:JGI8X:scaffold_52:53227:54802:-1 gene:fgenesh2_kg.52__1__AT5G56980.1 transcript:fgenesh2_kg.52__1__AT5G56980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g56980/MHM17_10 [Source:UniProtKB/TrEMBL;Acc:D7MW02] MELLTTVASFFTPTALFLLLNLMIGTIVVTSRLGSGSRKHYQHHDGFWSGHAPAPLARAPSIIDRVKSINFHLYKFPHPETELFSMTAHHDVIGSDLHVYPDPNPAPLQRAPSLLDRVKSINMSYFKFPHDVTGSDPHSHSHVDPHPDPAPAQLQRAPSLLDRVKSIDMSYFKFQQYNPEDKDYAIHKEPTRFENIPTRKGRVDPIDISKFRIPEEDQPTGTGINHPIDPPGLTRAPSILERVKSIKLSSFYRSDPELDQNPDPVLHEEHKHVRSKSESKKPVKKKKKASTKMTKSASEKSGFGFTGSHEEAAETVESVERRRPDTTRVERSTSFGDGEDGVDAKASDFINKFKQQLKLQRLDSILRYKEMLKAN >fgenesh2_kg.532__1__AT3G10730.1 pep scaffold:JGI8X:scaffold_532:4118:6251:1 gene:fgenesh2_kg.532__1__AT3G10730.1 transcript:fgenesh2_kg.532__1__AT3G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTVSITASPTRAIRRTPVLSGENKSNFDFPPSESHANAAIGESSAGTNKDLIRSEAAAERSNTYDVGPVTRKSGSTATGTNTTTTQRRTRKSQGNKTDKGQWKTVVRVFAKQFGALLLLVGLIQLIRKLTLKDSSLSASNFPIETEMVLSELESRISAVDGLVKTTTKMMQVQVEFLDKKMESESRALRQTIDSTSSVLQSWLKKVESKTERLQVSVDELNAKPLVSREELERVYEELKKGKVGDSDLNIDELRAYARDVVEKEIGKHAADGLGRVDYALASGGAFVMGHSDPFLVGSGGNWFRTSRRRVHSKAVKMLTPSFGEPGQCFPLKGSNGYVLVRLRAPIIPEAVTLEHVSEAVAYDRSSAPKDCRVSGWLGDIDMETETMPILTEFSYDLDRSNAQTFDIAESAHSGLVNTVRLDFNSNHGSSSHTCIYRFRVHGCQLDSVSVVHA >fgenesh2_kg.53__1__AT2G36240.1 pep scaffold:JGI8X:scaffold_53:1182:2434:-1 gene:fgenesh2_kg.53__1__AT2G36240.1 transcript:fgenesh2_kg.53__1__AT2G36240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MW03] QSPKHSNFLENNLPHHQTLTPQTLLGFLRSKLRHQPLYAHYVLAVFNWAATLMITILSSGCRDHSPPGTGLTIFIFFSPCPCSSGIFSCPQLEPIFRSAIDAYYMDKALRFYQRMGKERAKPDVCTFNTLINGYCRSFKFDLALDLFREMKEQGCEPNLGEGQVDDARGLVIVYRVSLKNKADREMEMVEELWKKGQTPCFIACTTLVQGLRKSERVEKASGFMEKMMNAGILRDTTKDLKPMRQHTMFLVSGFTKEGRRKEREVIVNEMWDKDVLPDIFTYNRLMDSLSCIGKFCIEASPDVCLICVN >fgenesh2_kg.549__1__AT3G05950.1 pep scaffold:JGI8X:scaffold_549:2127:2889:1 gene:fgenesh2_kg.549__1__AT3G05950.1 transcript:fgenesh2_kg.549__1__AT3G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXS2] FCVAVDDANGVFVNGKFCKDPKYVKAEDFFTSGLNIAGNTINRVGSNVTNANVDKIPGLNTLGVSLVRIDFAPGGQNPPHTHPRATEILVVVEGTLLVGFVTSNQDNNRLFSKVLYPGDVFVFPIGMIHFQVNVGRTNAVAFAGLGSQNPGTITIADAVFGSKPLITPEILAKAFQLDVNVVRYLEARFSSNYDRHY >fgenesh2_kg.558__2__AT2G29410.1 pep scaffold:JGI8X:scaffold_558:2288:3703:1 gene:fgenesh2_kg.558__2__AT2G29410.1 transcript:fgenesh2_kg.558__2__AT2G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKWSHHHHQKTEEILGVVPLSCAFTRQEQCIVGGFKANSLAVMTDAAHLLSDVAGMGVSLLAIKVSSWEANPRNSFGFKRLEVLAAFLSVQLIWLVSGVIIHEAIQRLVSRSREVNGEIMFGISAFGFFMNLVMVLWLGHNHSHHHHHHHHQHHHHQHHHKEVVAEEEDEEMNPLKGEKSSSKEMNINIQGAYLHAMADMIQSLGVMIGGGIIWVKPKWVLVDLICTLVFSAFALAATLPMLKNIFGILMERVPRDMDIEKLERGLKRIDGVKIVYDLHVWEITVGRIVLSCHILPEPGASPKEIIIGVRNFCRKSCGIYHVTVQVESE >fgenesh2_kg.569__1__AT2G33180.1 pep scaffold:JGI8X:scaffold_569:4805:5768:1 gene:fgenesh2_kg.569__1__AT2G33180.1 transcript:fgenesh2_kg.569__1__AT2G33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVALPIHHRTGLSKFPPLKTGYPLVNRIGLALRFSNVRMRKPVYLGTILTKKSRARTLTTTEAVSGGGVSLPPLDLTEDNIHLVLSEARIELAQLFDSSVGITGQVELVELDGPFVKISLRGKFWHTRAMVLARIGNYLKQRIPEILEVEIEDEKQLDDSPANF >fgenesh2_kg.57__1__AT3G23020.1 pep scaffold:JGI8X:scaffold_57:559:3087:1 gene:fgenesh2_kg.57__1__AT3G23020.1 transcript:fgenesh2_kg.57__1__AT3G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MW15] MLLNLRLDGSSLHVLCSTKTLPISPLDKFPSVKKVKQNYVPGTHESEKGPQRSTRNGDRGCGTVAHEVVAGKNLLFVNPGNGSVGKSGFSDGFVDRRSKDGGFGGNGMVGKVHTKCSTKRLSYGGCIPAILEALDCIEDVEDALIPWSEKLTDPMERTIILKGADAVGESGGDFEWFKSKGCYELNVIHYNIMLRILGKACKWRYVQSLWDEMIRKGIKPINSTYGTLIDVYSKGGLKVHALCWLGKMSKIGMQPDEVTTGIVLQMYKKAREFQKAEEFFKKWSCDENKADSHVCLSSYTYNTMIDTYGKSGQIKEASETFKRMLEEGIVPTTVTFNTMIHVYGNNGQFGEVTSLMKTMKFHCAPDTRTYNILISLHTKNNDIERAGTYFKEMKGAGLKPDPVSYRTLLYAFSIRRMVKEAEELIAEMDDNDVEIDEYTQSALTRMYIEAEMLEKSWSWFRRVHVAGNMSSEGYSANIDAYGERGYLSEAERVFICCQEVNKRTVLEYNVMIKAYGISKSCEKACELFESMMSYGVTPDKCTYNTLVQILASADMPDKAKCYLEKMRETGYVSDCIPYCAVISSFVKLGQLNMAEEVYKEMVEYNIEPDVVVYGVLINAFADTGNVQQAMSYVEAMKEAGIPGNSVIYNSLIKLYTKVGYLDEAEAIYRKLLRSCNETQYPDVYTSHCMNNLCSERSMVRKAEAIFESMKQRREANEFTFAMMLCMYKKNGRFEEATQIAKQMREMRILTDPLSYNSVLGLYALDGRFKEAVETFKEMVSSGIQPDDSTFKSLGTILIKLGMSKKAVRKIEEIRKKEIKRGLELWISTLSSLVGIEDCEDEL >fgenesh2_kg.585__1__AT5G42955.1 pep scaffold:JGI8X:scaffold_585:1393:1740:-1 gene:fgenesh2_kg.585__1__AT5G42955.1 transcript:fgenesh2_kg.585__1__AT5G42955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFSSQITMLFIVVALVCVFVPVFSVEEAEAKSLWNTCLVKITPKCALDIIGVVFENGTISDPCCNDLVKEGKVCHDTLIKYIADKPMLIAHETEYLKKSADLWNHCVSISKSA >fgenesh2_kg.59__1__AT2G30120.1 pep scaffold:JGI8X:scaffold_59:1160:2519:1 gene:fgenesh2_kg.59__1__AT2G30120.1 transcript:fgenesh2_kg.59__1__AT2G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7MW23] MAGRDRYLPSSAVSTSSSSRLVESQLIESDRNRARSVILEDRIAIQHREIQSLLNDNQRLAVAHIGVKDQLNLAKRELERLLETAAKVKAEGEAKVREVYQNALRMEAEARVIDGLGAELGQVRSDVQRLANDRQELATELAMLDGEMVKAKPNSDRAIEVKAEIEILRGEVRKGR >fgenesh2_kg.5__1001__AT3G46310.1 pep chromosome:v.1.0:5:12578693:12578979:-1 gene:fgenesh2_kg.5__1001__AT3G46310.1 transcript:fgenesh2_kg.5__1001__AT3G46310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LMZ3] MAEVEFVRSISHGGSQGRTTAVESLSCRQMYLRSYTFSKKEENGDGDGSGGGAGRKKAAKKYTRPSKRALLRRVVVRFTWKCLSCTCPNKFDLKQ >fgenesh2_kg.5__1002__AT3G45930.1 pep chromosome:v.1.0:5:12580167:12580705:-1 gene:fgenesh2_kg.5__1002__AT3G45930.1 transcript:fgenesh2_kg.5__1002__AT3G45930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:D7LK81] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >fgenesh2_kg.5__1006__AT3G46430.1 pep chromosome:v.1.0:5:12621378:12622271:1 gene:fgenesh2_kg.5__1006__AT3G46430.1 transcript:fgenesh2_kg.5__1006__AT3G46430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFDPWPVFFKREWKRCWPFLTGFAVTGVLITKLTAGLTEEDAKNSKFVQQHRR >fgenesh2_kg.5__1008__AT3G46440.1 pep chromosome:v.1.0:5:12622764:12625492:-1 gene:fgenesh2_kg.5__1008__AT3G46440.1 transcript:fgenesh2_kg.5__1008__AT3G46440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-xyl synthase 5 [Source:UniProtKB/TrEMBL;Acc:D7LMZ9] MASSDKQTSPKPPPSPSPLRNSKFCQSNMRILISGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEALTVQKPGTQTRSFCYVSDMVDGLMRLMEGDDTGPINIGNPGEFTMVELAETVKELINPSIEIKMVENTPDDPRQRKPDITKAKEVLGWEPKVKLREGLPLMEEDFRLRLGVPKN >fgenesh2_kg.5__100__AT2G01900.1 pep chromosome:v.1.0:5:536193:539141:1 gene:fgenesh2_kg.5__100__AT2G01900.1 transcript:fgenesh2_kg.5__100__AT2G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7LPW8] MWPRLVANKILRKSVGSNNFVADFPPDTEQKFLEASGLVDERASFTSKSILLEQHKTTHLNTKVFVSTWNVGGIVPDDGFDMEDLLETHQTPCDIYVLGFQEVVPLRASNVLGSDNNKVSTKWNSLIREALNKEAKPHGDKDLSESKGINGISQDFRCIISKQMVGILITVWVRGDLWPYIRHPNVSCVGCGIMGCLGNKGSVSVRFQLHETTFCFVCSHLASGGRGRDERQRNSDVNEILARSSFPRGSSLDLPKKILDHDRVIFLGDLNYRISLPEEKTRLLVESKEWNILLENDQLRMEILNGQIFRGWQEGIVKFAPTYKYVPNSDLYYGCITYKKDEKKRAPAWCDRIIWYGNGLKQHEYTRGETKISDHRPVKAIFTTEITVIRRGKKIRNFFFSDRFEDRINGYSSIDSKDYSWIST >fgenesh2_kg.5__1011__AT3G46460.1 pep chromosome:v.1.0:5:12629225:12630663:-1 gene:fgenesh2_kg.5__1011__AT3G46460.1 transcript:fgenesh2_kg.5__1011__AT3G46460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 13 [Source:UniProtKB/TrEMBL;Acc:D7LN01] MNSQACLLLQKQLKDLCKHPVDGFSAGLVDEKNIFEWSVTIIGPPDTLYEGGFFYAIMSFPQNYPNSPPTVRFTSDIWHPNVYPDGRVCISILHPPGDDPSGYELASERWTPVHTVESIMLSIISMLSGPNDESPANVEAAKEWREKRDEFKKKVSRCVRKSQEMF >fgenesh2_kg.5__1012__AT3G46490.1 pep chromosome:v.1.0:5:12670025:12673337:1 gene:fgenesh2_kg.5__1012__AT3G46490.1 transcript:fgenesh2_kg.5__1012__AT3G46490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7LN10] MENKTEEDKVSIIKVSALTCIDLDNSNLHQSAVLLKQACLDSGFFYVINHGISEELKDEAFEQSKKFFALPLEEKMKVLRNEKYRGYAPFHDSLLDPKNQFRGDYKEGFTIGFEGGKDGPHGDKPFHSPNIWPNSDVLPGWRETMEKYYQEALRVCKSIARIMALALDLDVDYFNTPEMLGNPIADMVLFHYEGKSDPSKGIYACGAHSDFGMMSLLATDGVMGLQICKDKDVMPQKWEYIPSLKGAYIVNLGDLLERWNNGYFKSTLHRVLGNGQDRYSIPFFLKPSHDCIIECLPNCQSENNLPKYPAIKCSTYISPRYKAAHAHLKQT >fgenesh2_kg.5__1013__AT3G46500.1 pep chromosome:v.1.0:5:12674411:12676803:1 gene:fgenesh2_kg.5__1013__AT3G46500.1 transcript:fgenesh2_kg.5__1013__AT3G46500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7LN11] MKVLKNEKHQGYSPVLSQISDNQIHGDYKESFFIGIESSNDTPFCRANIWPNPDVLPGWQATMEKYHQEALRVCKAIARVLALALDVDGDYFDKPEMLGNPLAFMRLLHYEGMSDPSKGIYGCGPHSDFGMMTLLATDSVMGLQICKDRDVKPRKWEYIPSIKGAYIVNIGDLLERWSNGIFKSALHRVLGNGQDRYSIAFFLQPSHDCIVECLPTCQSENNPPKYPAIKCSTYLTQRYQDSQVDLSIDKKQT >fgenesh2_kg.5__1015__AT3G46520.1 pep chromosome:v.1.0:5:12684796:12687508:1 gene:fgenesh2_kg.5__1015__AT3G46520.1 transcript:fgenesh2_kg.5__1015__AT3G46520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-12 [Source:UniProtKB/TrEMBL;Acc:D7LN14] MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYEQELETSKTSSSVEKSFELPDGQVITIGAERFRCPEVLFQPSMIGMENPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFGGIGDRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >fgenesh2_kg.5__1018__AT3G46560.1 pep chromosome:v.1.0:5:12692429:12693373:1 gene:fgenesh2_kg.5__1018__AT3G46560.1 transcript:fgenesh2_kg.5__1018__AT3G46560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASMMAGLDGLPEEDKATMASMIDQLQLRDSLKMYNSLVERCFVDCVDSFTRKSLQKQEETCVMRCAEKFLKHTMRVGMRFAELNQNAPTQD >fgenesh2_kg.5__101__AT2G01910.1 pep chromosome:v.1.0:5:548456:552183:1 gene:fgenesh2_kg.5__101__AT2G01910.1 transcript:fgenesh2_kg.5__101__AT2G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Atmap65-6 [Source:UniProtKB/TrEMBL;Acc:D7LPX0] MLEIGSPNALFFRTNTTCNNLLRELQKIWVEIGESETEKDRMLMELERECLQIYQRKVDEAANSKAKLHQSVAAIEAEVASLMAALGVLNINSPIKLDKGSKSLKEKLAAVTPLVEELRIQKEERLKQFSDIKAQIEKISGEISGYSDHLNKAMISSLTLEEQDLTLRKLNEYQTHLRTLQKEKSDRLNKVLGYVNEVHALCGVLGVDFSQTVCEVHPSLHRTDQEQSTNISDSTLEGLEHMIQKLKTERKARIQKVKDVVASLFELWNLMDTPQEERTKFGKVTYVVRSSEATITEPGILSTETIEQVSAEVESLSKLKASRMKELVMKRRSELEDLCRLTHIQPDTSTSAEKSTALIDSGLVDPSELLGNIEMQINKIKDEAQSRKDIMDRIDRWLSACEEENWLEEYNLDENRYSAGRGGHVNLKRAERARVTINKIPGMVDNLIKKTLVWENDMQNSFLYDGVRLVNILEDYKLTRKQQEEEKKRYRDQKKRQDLLLTQRESVYGSKPSPRRSSSFRKPNGFNISNGNGSVPPTPRRSSAGTTTPDILLTPRSYSGHHRQNGYFKEFRRLTSTPLNYVAMQKEDTVSTTYTSIYSSEPDSPLQG >fgenesh2_kg.5__1020__AT3G46580.1 pep chromosome:v.1.0:5:12702440:12703726:1 gene:fgenesh2_kg.5__1020__AT3G46580.1 transcript:fgenesh2_kg.5__1020__AT3G46580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGTDQAQPPPENTATPVDLKSRKRATPGDDNWLPPDWRTEVRVRTSGTKAGTVDKFYYEPITGRKFRSKNEVLYYLEHGAPKKAVKKAENGDSLSEHSEGRGSIRRQTKSNKKANEPPPKPLNFDFLNVPEKVTWTGINGSEEAWLPFIGDYKIQESVSQDWGRAFTLVTTQNAGTTMF >fgenesh2_kg.5__1021__AT3G46590.3 pep chromosome:v.1.0:5:12712747:12716247:1 gene:fgenesh2_kg.5__1021__AT3G46590.3 transcript:fgenesh2_kg.5__1021__AT3G46590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHKVLKFGDDGYKLPAQARAPRSVRKKRIYEKKIPGDDKMCAIDLLATVAGSLLLEGESSVNACVVVVQNTVKKEFPAEDNPVKALPYSESPRLFDNGQCGFSSVITNPNHLLVGDKVEKEVQGFSSLGVNGDVKPDIVASIGSNSSTEVGACGNGSPNGSRDDVNLFSRNDDDENFSGYIRPRMNRPVPRIGDRRIRKILASRHWKGDAKPWYCSKRSYYLHHHQRSYPIKKRKYFDSISDSNSDDYRLQGKTHKGSRTISSMKSRNASFVSRDHHVRLRIKSFRVPELFIEIPETATVGSLKRMVMEAVTTILGDGLRVGLMVQGKKVRDDSKTLLQTGISEENNHLDSLGFCLEPGLETTPQPLSSSYLSEHACEDLTLCRDNALDSSHQPAAPSPADSFGKLGTTDHSRALIPVVSAAMLAPRPPNRKFKRTEQQLAAQRRIRRPFSVTEVEALVQAVEHLGTGRWRDVKVRAFEDADHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLKAHAYWSQHLMHQLQTEPPSSQVEALI >fgenesh2_kg.5__1027__AT3G46620.1 pep chromosome:v.1.0:5:12729437:12730814:-1 gene:fgenesh2_kg.5__1027__AT3G46620.1 transcript:fgenesh2_kg.5__1027__AT3G46620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LN27] MMPNSRSATITPTTESTTTTTTTPATSYWCYSCTRFISVWDDHDANAGVLCPYCDGGFIEEIEDSSNSPAAAIPVTAPEVRSVEDIHRSVIRRRRSGRRTSFNPVIVLHGGGGGGGDRVENEEGDGATRERRAYEFYYDDGSGSGLRPLPDSVSEILMGSGFERLLEQLSQIEASGNGIGRSGNPPASKSAIESLARIEISDCHMKAEANCAVCTEVFEAGIEGREMPCKHIFHGDCIVPWLSIRNSCPVCRFELPSDPIQRSNEEEHAVGMTIWRLPGGGFAVGRFNAGVREGERILPVVLTEMDGGGLGSNEGPRRISWVRAHETPEMSRNGGRSGNGGRLRRAVRGMVSFMRRVRPNRGSSNSNSNLIDLDSDGEARVMNRSTSLIRRFF >fgenesh2_kg.5__1030__AT3G46640.2 pep chromosome:v.1.0:5:12733998:12736204:1 gene:fgenesh2_kg.5__1030__AT3G46640.2 transcript:fgenesh2_kg.5__1030__AT3G46640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVQMSDYDVSGDGDRVSEWEMGLPSDEDLASLSYSLIPPNLAMAFSITPERSRTIQDVNRASETTFSSLRGGSSGQNTSSSNNNSNAVEEEDRVGSSSPGSDSKKQKISDGGGGGDGGVDPDAAAEEGDSGTEDLSGKTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLTNEGPSASDKLFSSTPVPPQSFQDIGGGGGSSGNVGVPIQGPYGAQPMMQMPVYAHHMGMQGYHHQNHKHDPYHQNHHHHHYGAGGSGGFEANPYMMQQNKFGSMASYPSVGGGSANEN >fgenesh2_kg.5__1031__AT3G46650.1 pep chromosome:v.1.0:5:12737988:12739432:-1 gene:fgenesh2_kg.5__1031__AT3G46650.1 transcript:fgenesh2_kg.5__1031__AT3G46650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LN31] MEAKRRIVLVPVPAQGHVTPMMQLGKALYSEGFSITVVEGHFNQVSSSSQHFPGFQFVTIKESLPESEFERLGGIEFMIKLNKTSEASFKDCISQLLQQQGNDIACIIYDEFMYFCGAAAKEFKLPSVIFNSTSATNQVSHPEMQDKVVENLYPLRYKDLPISEMGPLDRVFELCREVGNKRTASGVIINTVSCLESSSLSWLQQEVRIPVSPLGPLHMTASPPSSLLEEDRSCIEWLNKQKPRSVIYISVGTLGQMETKEVLEMAWGLCNSNQPFLWVIRAGSILGINGIDSLPDEFNKMVSERGYIVKRAPQIEVLGHPAVGGFWSHCGWNSTLESIGEGVPMICRPFHGEQKLNAMYIERVWRIGFQVEGKVDRGEVEKAVKRLIVDDEGAGMRERALVLKEKLKASVKNGGASYDALNELVKYLKTK >fgenesh2_kg.5__1032__AT3G46670.1 pep chromosome:v.1.0:5:12763279:12764956:-1 gene:fgenesh2_kg.5__1032__AT3G46670.1 transcript:fgenesh2_kg.5__1032__AT3G46670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LN36] MEEKLARRRVLLVPVPAQGHISPMMQLAKTLYLKGFSITIAQTKFNHFSPSDDFTDFQFVTIPESLPESDFKNLGPIEFLHKLNKECQVSFKDCLGQLFLQQGNEIACVVYDEFVYFAEAAAKEFKLPNVIFSTTSATAFVCRSVFDKLYANNVLAPLKEPKGQQNELVPEFHPLRCKDFPVSHWASLESIMELYRNTVDTRTASSVIINTASCLESSSLSRLQQQLKIPMYPIGPVHLVASTPTSLLEENKSCIEWLNKQKKNSVIFVSLGSLALMEINEVMETASGLDSSNQQFLWVIRPGSVRGSTWIEYLPKEFSKIISGRGYIVKWAPQKEVLSHPAVGGFWSHCGWNSTLESIGEGVPMICKPFSSDQKVNARYLECVWKIGIQVEGDLDRGAVERAVKRLMVEEEGEEMRKRAISLKEQLRASVISGGSSHNSLEKFVHFMRTL >fgenesh2_kg.5__1034__AT3G46690.1 pep chromosome:v.1.0:5:12768107:12769721:-1 gene:fgenesh2_kg.5__1034__AT3G46690.1 transcript:fgenesh2_kg.5__1034__AT3G46690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LN39] MEKRAEKRRIVLVPVAAQGHVTQMMQLGKALQLQGFSITVAQRQLTQISFSSQLFPGFDFVTIPESLPQSKSKKLGPAEYLMKLNKTSEASFKECISQLLMQQGNDIACIIYDKLMYFCQAAAKEFKLPSVIFSTSSATIQVCYCVLSKLNAEKFLIDMKDPEMQDKVLEGLHPLRYKDLPTSGFGPLGPLLEMCREVVNKRTASAIIINTASCLESLSLSWLQQELGILVYALGPLHITASSPGPTLLQEDKSCVEWLNKQKPRSVIYICLGSKAHMETMEMLEMAWGLCNSNQPFLWVIRPGSVAGSEWIESLPEEISKMITERGYIVKWAPQIEVLGHPAVGGFWSHCGWNSTLESIAEGVPMICRPLQGEQKLNAMYIESVWRIGILLQGEVERGGVERAVKRLIMDEEGAGMRERALDLKEKLKASVRSGGSSYNALGELVKFLNTE >fgenesh2_kg.5__1036__AT3G46750.1 pep chromosome:v.1.0:5:12803223:12805116:1 gene:fgenesh2_kg.5__1036__AT3G46750.1 transcript:fgenesh2_kg.5__1036__AT3G46750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQLDPVAELESLKTHVDHSGNPCFSTLSQRHQSGEASKRSPSGSPRKENDGEHSHHKKSLFSKMKDKAKKLQHSVSTKKRHDEEGDATMSPFSRSEDHEVREGGYASFSPRDKSKDRKIREEGGEEEEDPEYLGAPMYESKKAPEELKETARQHPRENPVITETNVLSVLPAKQDAEQEQKDCTGSNTEHHPVISEKNVLSDVKQEKPADSDTTTIVTEKTRKECTSQQEPISPSKTVTETVTEKLAPAYAKVSDATQAITKKIQDMAFPEPTEPEEEVNDVAEINTAGTNQPTGFNTKVWDKGVSMKEYISQKFEPSEDDRELSRVISKAISPRKGSSQTSSFGAATTIVPAPNSADNKAPLLANTNEIVEEENHGKILQPN >fgenesh2_kg.5__1037__AT3G46760.1 pep chromosome:v.1.0:5:12805496:12806509:1 gene:fgenesh2_kg.5__1037__AT3G46760.1 transcript:fgenesh2_kg.5__1037__AT3G46760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LN47] MGAALRSMYVNSKYEEVREEWEEDYSPQRFSYKALYKATKGFKESELFGTEANGTVYKGKLSSNAQIAVKRVSLDAEQDTKHLVSQIVGIGKLRHKNLVQLLGYCRRKGELLLVYDYMPYGNLDDFLFNEERPNLSWSQRFHIIKGVASALLYLHEQIVLHRDVKAANVLLDEDLNGRLDFGLARFGTNRNPMLGSVGYVAPELIITGMPTTKADVYSFGALLLEFACGRMFIEYPGKPEEFNLISWVCQCWKRGNLVGARDARLEGDYVCKEIEMVLKLGLLCAQYNPEDRPSMSQVVNYLEGNDVLPEMPPDTPGISIPTPYNEVLA >fgenesh2_kg.5__103__AT2G01913.1 pep chromosome:v.1.0:5:552835:553787:-1 gene:fgenesh2_kg.5__103__AT2G01913.1 transcript:fgenesh2_kg.5__103__AT2G01913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDSSGKRESVEESVMMEYDEETNQFDVEFCPVEHPVEPEEEDRPVKCPVPVSSSLIHKSMEKSKPGWVKHRASYETPVYPPPRQHVRNVRKRHNSFDVEGNSNFFTRSHDDETTSRRSNVTIYRVLQQVHEFEP >fgenesh2_kg.5__1040__AT3G46780.1 pep chromosome:v.1.0:5:12820195:12822616:1 gene:fgenesh2_kg.5__1040__AT3G46780.1 transcript:fgenesh2_kg.5__1040__AT3G46780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC16 [Source:UniProtKB/TrEMBL;Acc:D7LN50] MASSSTSFPLTIAPPQGVRFNRRKPRLTVWAKQTAFQLGKPKGDDDSEGKPKGKNPFQFDFGKLPDIKSLIPVVTNPSTGLVFGNNRKKDPGTIFVAGATGQAGIRIAQTLLQRGFSVRAGVPDLGAAQDLARVAATYKILSNDEVKRLNAVQSPFQDAESIAKAIGNATKVVVTVGATENGPDAQVSTSDALLVVQAAELAGVSHVAIVYDGSISGSTYNVLDGITSFFGNLFAKSQPLTISDLIEKVAQTDVAYTLIKTSLVEDFSPEKAYNVVVSAEGSNSGSGSSSSEAYKVPKLKIASLVADIFANTAVAENKVVEVSTDPSAPSRPVNELFSVIPEDGRRKVYAAAIARARAEEEAKVAAEKAREAAEAAKEFEKQMQKLSEKEAEAASLAEDAQQKADAVGITVDGLFNKAKDIGSGLSWNKLGSQFATAVQNASETPKVQVATVRGQAKARNLPPKKAVVKQRPSSPFASKPKEERQKKPEKEVRKVFGGLFKQETIYVDDD >fgenesh2_kg.5__1047__AT3G46830.1 pep chromosome:v.1.0:5:12844216:12846603:-1 gene:fgenesh2_kg.5__1047__AT3G46830.1 transcript:fgenesh2_kg.5__1047__AT3G46830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRVDQEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTTQVEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVLRWLRELRDHADSNIVIMMAGNKSDLNHLRSVAEEDGQSLAEKEGLSFLETSALEATNVEKAFQTILGEIYHIISKKALAAQEAAAANSAIPGQGTTINVDDTSGGAKRACCSS >fgenesh2_kg.5__1049__AT3G46850.1 pep chromosome:v.1.0:5:12849854:12852982:1 gene:fgenesh2_kg.5__1049__AT3G46850.1 transcript:fgenesh2_kg.5__1049__AT3G46850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVVSYCLLSCIFALLFVSFASAEKDDQDKQVYIVYMGALPARVDYMPMSHHTSILQDVTGESSIEDRLVRNYKRSFNGFAAWLTESEREILASMDEVVSVFPNKKLKLQTTTSWNFMGLKEGKRTKRNAIIESDTIIGVIDSGIYPESDSFSGKGFGPPPKKWRGVCEGGKNFTCNNKLIGARYYTPKLEGFPESARDYMGHGSHTASTAAGNAVKHVSFYGLGNGTARGGVPAARIAVYKVCDPGVDGCTTDGILAAFDDAIADKVDLITISIGGDKGSPFEVDPIAIGAFHAMAKGILIVNSAGNNGPEPSTVASIAPWIFTVAASNTNRAFVTKVALGNGKTVVGRSVNSFNLNGKKYPLVYGESASSSCDAASAGFCSPGCLDSKRVKGKIVLCDSPQNPDEAQAMGAVASIARSRRADVASIFSFPVSILSEDDYNTVLSYMNSTKNPKAAVLKSETIFNQRAPVVASYSSRGPNTIIPDILKPDVTAPGSEILAAYSPDAPPSKSDTRRVKYSVETGTSMSCPHVAGVAAYLKSFHPRWSPSMIQSAIMTTAWPMNASTSPFNELAEFAYGAGHVDPITAIHPGLVYEANKSDHIAFLCGLNYNGKNLRLISGDNSSCTKEQTKSLPRNLNYPSMTAQVSAAKPFKVTFRRTVTNVGRPNATYKAKVVGSKLKVKVIPDVLSLKSLYEKKSFTVTVSGAGPKAEKLVSAQLIWSDGVHFVRSPIVVYATN >fgenesh2_kg.5__104__AT2G01918.1 pep chromosome:v.1.0:5:555172:556833:-1 gene:fgenesh2_kg.5__104__AT2G01918.1 transcript:fgenesh2_kg.5__104__AT2G01918.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7LPX2] MAISKPPPHITFFHKQDSSIDTSDSNLTFSIDTSRRRRRRRDVLLSVSGTLIPQVFFFDRKWSASANAADFFNFGATPPEPERTVELAQEGLRKNAENIKRIKEMMIENKLWKEGGKELRRSASNMKQDFYLIIQAKPPKDRPLFRSLYSSLFNSITKMDYAARDEDETKVMEYYKNIVAILEDIFPRI >fgenesh2_kg.5__1050__AT3G46870.1 pep chromosome:v.1.0:5:12862871:12864443:1 gene:fgenesh2_kg.5__1050__AT3G46870.1 transcript:fgenesh2_kg.5__1050__AT3G46870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LN61] MTAIRVFSRKLPTFASIFFQNLTRNPSIHRISFSNLKPKTLLHPIPPKPFTVFVSRFHDGRPRGPLWRGKKLIGKEALFVILGLKRLKDDDEKLQKFIKTHVFRLLKLDMLAVIGELERQEETALAIKMFEVIQKQEWYQPDVFMYKDLIVSLAKSKRMDEAMALWEKMKKENLFPDSQTYTEVIRGFLRDGCPADAMNVYEDMLKSPDPPEELPFRVLLKGLLPHPLLRNKVKKDFEELFPEKHAYDPPEEIFGRC >fgenesh2_kg.5__1051__AT3G46880.1 pep chromosome:v.1.0:5:12865332:12866348:1 gene:fgenesh2_kg.5__1051__AT3G46880.1 transcript:fgenesh2_kg.5__1051__AT3G46880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGRVGSSSPSSYTAKIFGPKEPSSSANFNSIFPPPSKSIQGTSRNILSSKYGSLDQRKESATCNLSSSLYYGGQDVYSQSTHNHTHTTVKETKSLTLQNVVSHQINKDQNRDNNDANSSDASRGNWWQDDVCYRIHVFVHYITRSFSKCVSVEEHKLKQNNI >fgenesh2_kg.5__1052__AT3G46890.1 pep chromosome:v.1.0:5:12866472:12867156:-1 gene:fgenesh2_kg.5__1052__AT3G46890.1 transcript:fgenesh2_kg.5__1052__AT3G46890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNGAVEFLRRRLLPTTLIHVTALDGIVNVNSLFSLALFLGLTTSGNITFPVSSSAAENHHLHRCIAAKGPALAERLVSSHVYSFSLFLFSSLIAMSLKQAIRTTTTTNGNVVVEEARVLKAGEGRVNLAALRVGIVASCVASVLGCGFLTMALVDLVQIKLGPLECKRSFHALAAIIPLVVLVPSALVIYVFLVLYAFIY >fgenesh2_kg.5__1053__AT3G46900.1 pep chromosome:v.1.0:5:12868232:12868776:1 gene:fgenesh2_kg.5__1053__AT3G46900.1 transcript:fgenesh2_kg.5__1053__AT3G46900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDNMHNMPPPSPSSSMSNHTKPHMMMMHMTFFWGKNTEVLFSGWPGTSSGMYALCLIVVFLLAVIAEWLAHSPVLRVGGSTNRAAGLAQTAVYTLKTGLSYLVMLAVMSFNGGVFIVAIAGYAVGFFLFGSTTFKKPSDDRKTTELLPPSSGCVC >fgenesh2_kg.5__1054__AT5G62700.1 pep chromosome:v.1.0:5:12874544:12876603:-1 gene:fgenesh2_kg.5__1054__AT5G62700.1 transcript:fgenesh2_kg.5__1054__AT5G62700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:D7LN67] MREILHIQGGQCGNQIGAKFWEVVCAEHGIDPTGRYTGDSDLQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSLRSGPYGQTFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRSLTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEEGEYQQEEY >fgenesh2_kg.5__1058__AT2G39290.1 pep chromosome:v.1.0:5:12891813:12893743:1 gene:fgenesh2_kg.5__1058__AT2G39290.1 transcript:fgenesh2_kg.5__1058__AT2G39290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGLASLIVDVNLRRTLRPSPTFSFPAHLSRCIITSRYSSRTSLRFPIRHHRISYFSSSSSSEQSRPTSSSRNSFSGHGQLDGDGNSSPPPSQSSSKVLTLPTVLTLGRVAAVPLLVATFYVDSWWGTTATTSIFIAAAITDWLDGYLARKMRLGSAFGAFLDPVADKVLSFIYYSGKRDLSTLILLCTKPIDIAELGPVPWLLTVPSIAIIGREITMSAVREWAASQNGKLLEAVAVNNLGKWKTATQMTALTILLASRDSNVGWLVASGAGLLYVSAGLSVWSLAVYMRKIWKVLMK >fgenesh2_kg.5__1059__AT2G39320.1 pep chromosome:v.1.0:5:12894230:12895276:-1 gene:fgenesh2_kg.5__1059__AT2G39320.1 transcript:fgenesh2_kg.5__1059__AT2G39320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDGNCQFRALADQLYQTSDCHKRVRQEIVKQNMSTNSEWGDEVTLRVAADVYGVKIVLITSIKLTPFMEFLPKSQKEPDRVIHLSYLAGIHFNSIHKKGGSGLSSSSGSASMELQRKKEKKEKKQKKRDEDENERKEKEERKKEKEEKKKEEEKKKDKEDKKKAKVKKEKNEKKNRNHHFHFSDFM >fgenesh2_kg.5__1060__AT2G39310.1 pep chromosome:v.1.0:5:12906663:12912348:-1 gene:fgenesh2_kg.5__1060__AT2G39310.1 transcript:fgenesh2_kg.5__1060__AT2G39310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin lectin family protein [Source:UniProtKB/TrEMBL;Acc:D7LN76] MARMYRKLALCGGEGGKEWDDDVYEGVKKVYVGQDLNRITYIKFEYVKEDSEVVTTLYGTINQHPKDFVLQYPDEHITAVEGSYHPVALIATEVITSLVFKTSKGRCSPTFGPNLFGITSGTKFVFEDEGKKIVGFHGRAGDALDALGLDAQGGTDGRVWDDGSYDGVKTLRIGQDNSRITYLEFEYEKGGESKTCNHGGKGDTPSEFVLGYTDEYIKSVEATYQKPNIFSNTVITSLKFEASKGRTSFFGYNVGKKFVLEQKGHRLVGFHGKEDAAIDALGAYFGPVPTPTPLIPSKKLPAIGGNEGVTWDDGVYDGIRKILVGQGNDGVSFVKFEYSKGKELVSGDDHGKETLLGAEEFVLEDGEYLITIDGYYDKIFGVEEPTIVCLQFKTNKRESMPFGMDSGKKFSLGEEGHKIVGFHGQASDVVHSIGVTIVPITTTE >fgenesh2_kg.5__1063__AT3G46920.1 pep chromosome:v.1.0:5:12978510:12982953:-1 gene:fgenesh2_kg.5__1063__AT3G46920.1 transcript:fgenesh2_kg.5__1063__AT3G46920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LN86] MAHEPSSPSSNLVHNPANLSDYGLNYGSDLSNKRVSDGIITGFGSEQVSIDAMNTNNPNLSSSDMDGEEGEKVKFLCSYNGKIMPRPSDGMLRYVGGQTRIISVKRNVSFDEFEQKMIQVYGQPVVVIKYQLPDEDLDALVSVSSSEDIDNMMDEFDKLVERSSDGSGKLRVFLFDAASSEVDDSFGISRYGVDIGQRYVEAVNGVVGSKESVASGSSSNPNSDFSGVDVVDSLAVGQSDFVATTWTSSNFSPHTYHSNAPRLVPPEPRSSAYVLPMTVQADPFHSSQLESVSGKQILGKIQQHQQVHDLQQSMTTPSEHHSPTYVESRQEALKQPDVVHSPIQLLPSSTSLFSQQPFQGSTVGVSSHQFLPASHMSMAPLNAQVGATPVLINPILQTQENLLGNYPAERNVGIQKVAPLPTEPRYTAYQGMIAPGLPIGGGGGSQVPPSNYVVLPDGGLHQQVIMPESFQRVDDCHMCQTSFPHMHSDPIIRERNDGSTMSVPYVRSAFHASHPDDIMRVQQTDKFTGQQSFLNHSNHQERDTLHNANVVTAQLETTEPFLNEIVRDVPIKVQVSRQQQHPVDPSVAYAQYRELSGLVDDVNIHAPGIYSNCQNFISPVDKIGTEDIMGTSSHQMARKNMLSQGKVVPSPLIVLPGHESQPKASLQPTQSPLLGNPGLYLQSLVGGQQCDSVEAQSSNPAYDVVDSTFDAANLPSSLSSNPDAANLPSSLSSSVGGADHKDSSKSLFNNQDPWNLQTNSKEDVKPDLLSSSKGENDFHDYLLIGLLFSLKGSAEEHIKQELRNVAEGVAASVLQSSTSSYLEPTISVDEYPSNSKGEVSRNDEMKQQSTTQFKNIRNQLLERLNFGYSGSDSLDQLQIIKDSDLEQLRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMIDDFWNEAQNLAGLHHPNVVAFYGVVLDSPGGSVATVTEYMVNGSLRNALQKNVRKFDRRKRLLIAMDIAFGMEYLHGKKIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGISSLVSEKVDVFSFGIVLWELFTGEEPYADLHYGAIIGGIVSNTLRPPIPNFCDMDWKLLMERCWSAEPSERPSFTEIVNELRTMATKLPSKEQGSTQGAQS >fgenesh2_kg.5__1064__AT3G46950.1 pep chromosome:v.1.0:5:12987494:12989189:1 gene:fgenesh2_kg.5__1064__AT3G46950.1 transcript:fgenesh2_kg.5__1064__AT3G46950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLIIHGRRSVELQKWRNLRVSLSILQNAFAFTTKSFSSAIAKDVSPKGTTFTVTYLVESLGLTKKLAESISKKVSFEDKVNPDSVLNLFRSNGFKDSQISRIIRAYPRLLVIDAEKSLRPKLQFLKSRGASSSEVTEIVSNVPTILGKKGEKSISLYYDFVKDIMEDGKSLGHSWPEGKKGNKIRNISVLRELGVPQKLLFPLVISNYQPVCGKEKFEETLKKVVDMGFDPTKSTFVEALHVVYKMSEKTIEEKVNVYKRLGFSEVDIWAIFKKWPFFLKFSEKKIILMYETLKKCGLVEEEVISDSIETFLDLGFSRDEFKMMVKRYPQCTAYTAETVRKKFEVLVKKMNWPLEDVVLIPAVLGYSLEKRIVPRTNVIKALMSKGLIGSENPPISSVLVCTDQEFLKRYVMKHDKLVPKLMAIFTTARVS >fgenesh2_kg.5__1066__AT3G46970.1 pep chromosome:v.1.0:5:12996865:13001980:-1 gene:fgenesh2_kg.5__1066__AT3G46970.1 transcript:fgenesh2_kg.5__1066__AT3G46970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4 glucan phosphorylase [Source:UniProtKB/TrEMBL;Acc:D7LN91] MANANGKAATSLPEKISAKANPEANEATEIAGNIIYHAKYSPHFSPLKFGPEQALYATAESLRDRLIQLWNETYVHFNKVDPKQTYYLSMEYLQGRALTNAIGNLNLQGPYADALRKLGYELEEIAEQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRHGLFKQIITKKGQEEIPEDWLEKFSPWEIVRHDVVFPVRFFGKVQINPDGSRKWVGGDVVQALAYDVPIPGYNTKNTISLRLWEAKARAEDLDLFQFNEGEYELAAQLHSRAQQICTVLYPGDATENGKLLRLKQQFFLCSASLQDIISRFHERSTAEGSRKWSDFPSKVAVQMNDTHPTLAIPELMRLLMDDNGLGWDEAWDVTSRTVAYTNHTVLPEALEKWSQSLMWKLLPRHMEIIEEIDKRFVQTIRDTRVDLEDKISSLSILDNNPQKPVVRMANLCVVSSHTVNGVAQLHSDILKAELFADYVSIWPNKFQNKTNGITPRRWLRFCSPELSDIITKWLKTDKWITDLDLLTGLRQFADNEELQSEWASAKTANKKRLAQYIERVTGVSIDPTSLFDIQVKRIHEYKRQLMNILGVIYRFKKLKEMKPEERKKTVPRTVMIGGKAFATYTNAKRIVKLVNDVGDVVNSDPEVNEYLKVVFVPNYNVTVAEMLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEVGEENFFLFGATADQVPRLRKEREDGLFKPDPRFEEAKQFVKSGVFGSYDYGPLLDSLEGNTGFGRGDYFLVGYDFPSYMDAQAKVDEAYKDRKGWLKMSILSTAGSGKFSSDRTIAQYAKEIWNIEACPVP >fgenesh2_kg.5__1067__AT3G46980.1 pep chromosome:v.1.0:5:13003685:13006628:-1 gene:fgenesh2_kg.5__1067__AT3G46980.1 transcript:fgenesh2_kg.5__1067__AT3G46980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSLSIQSSIDFRNRSSLKIHGDRAILDSNLPTLRRIPSLPERDRRRKLLLCSGRSVGSLRFTGNTAVHLCGIPRHRLRVSCSDARRSPEETAAEMTAQPNFSEFITSERVKVVAMLALALALCNADRVVMSVAIVPLSLSRGWSKSFSGIVQSSFLWGYLISPIAGGTLVDRYGGKVVMAWGVALWSLATFLTPWAADSSLWALLAARAMVGVAEGVALPCMNNMVARWFPSTERSRAVGIAMAGFQLGNVVGLMLSPILMSQGGIYGPFVIFGLSGFLWLLVWLSATSSAPDRHPQITKSELEYIKQKQQPSTGENKRNTTGGIPPFGRLLSKMPTWAVIVANSMHSWGFFVILSWMPIYFNSVYHVNLKQAAWFSAVPWSMMAFTGYIAGFWSDLLIRRGTSITLTRKIMQSIGFIGPGISLIGLTTAKQPLVASAWLSLAVGLKSFSHLGFLINLQEIAPEYSGVLHGMCLTAGTLAAIVGTVGTGFFVELLGSFQGFILLTAILYLLSALFYNIYATGERVDFDTTA >fgenesh2_kg.5__1070__AT3G46990.1 pep chromosome:v.1.0:5:13008860:13011485:1 gene:fgenesh2_kg.5__1070__AT3G46990.1 transcript:fgenesh2_kg.5__1070__AT3G46990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVKQTNRRRSSSLCHRHPSAKPTSGFCASCLRERLVTIEAQSPSPAAVQTPELRRIRSYSVRNATVSVLTQPRRRSCDARSSASCLQDLFVDDDEERLDSSIRKSLVPDLKEEEEEDYNDGEDIKGFDRKIVEEEGVENKTMKEFIDLDWGNQIKKNNGKDLKEIASVLSRRLKNFTLNKRNDEKSDSRFAGNVNGRHSFDVDPRLSLDGGRISFEKPRASWDGCLIEKSYHKLTTLSTVAEDAKAKCGVEEEEEEEEGEEKSPGGTVQTKNYYSDSRRRRSFDRSVSIKRQGLLEVDELRGISNAKVSPETVGLFHGAKLLVTEKELRDSNWYSSKNVKSESKKLASKGKICIAAGEGKKQDSVELKKPRKKWPKGWNIWGLIQRKSEAKNEIKTEQSLKLEGNAVEGSLAESLLKLRRVGKGETNGGVSEKLLKSYSVSARKSCDGVLSSAAVVSGFEGGRSSCDGLFHGSINSVEAGRSSCDGLVNGIESKRNHLLQRNANVGTGARENLDNSLFRFYLTPARSHKTSKSGKSRLKN >fgenesh2_kg.5__1071__AT3G47000.1 pep chromosome:v.1.0:5:13011379:13014405:-1 gene:fgenesh2_kg.5__1071__AT3G47000.1 transcript:fgenesh2_kg.5__1071__AT3G47000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 3 protein [Source:UniProtKB/TrEMBL;Acc:D7LN94] MVVKEESSCVYKNQDAPVEVRVKDLLSRMTLPEKIGQMTQIERRVASHAAFTDFFIGSVLNAGGSVPFEDAKSSDWADMIDGFQRSALASRLGIPIIYGTDAVHGNNNVYGATVFPHNIGLGATRDADLVRRIGAATALEVRASGAHWAFAPCVAVLRDPRWGRCYESYGEDPGLVCEMASLVSGLQGVPPEEHLNGYPFVAGRNNVVACVKHFVGDGGTDKGINEGNTIASYEDLEKIHIPPYLNCLAQGVSTVMASYSSWNGTRLHADRFLLTEILKEKLGFKGFLVSDWEGLDRLSEPQGSNYRYCIKTAVNAGIDMVMVPFKYEQFIQDMTDLVKSGEISMARVNDAVERILRVKFVSGLFEYPLTDRSLLPTVGCKEHRELAQEAVRKSLALLKNGKNADKPFLPLDRNAKRILVTGTHADDLGYQCGGWTKTWFGLSGRITIGTTLLDAIKEAVGDETEVIYEKTPSKETLASSEGFSYAIVAVGEPPYAETMGDNSELKISFNGSDIVTAVAETIPTLVILISGRPVVLEPTVLEKTEALVAAWLPGTEGQGMADVIFGDYDFEGKLPVSWFKRVEHLPLDAQTNSYDPLFPFGFGLTSKPV >fgenesh2_kg.5__1072__AT3G47040.1 pep chromosome:v.1.0:5:13038714:13041589:-1 gene:fgenesh2_kg.5__1072__AT3G47040.1 transcript:fgenesh2_kg.5__1072__AT3G47040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 3 protein [Source:UniProtKB/TrEMBL;Acc:D7LN98] MEGSNETCVYKNQDAPVEARVKDLLSRMTLPEKIGQMTQIERVVTTYPVITDYFIGSVLNGGGSWPFEDAKTSDWADMIDGYQNAALASRLGIPIIYGIDAVHGNNNVYGATIFPHNIGLGATRNYLNKVVVKCDRDADLIRRIGAATALEVRASGAHWAFAPCVAALRDPRWGRSYESYSEDTDIICELSTLVSGLQGEPPKEHPNGYPFLAGRNNVVACAKHFVGDGGTDKGINEGNTIVSYEELDSSCTIFELSCSGKGFVISDWEALERLSEPFGSNYRNCVKTSVNAGVDMVMVPFKYEQFIKDLTDLVESGEVTMSRIDDAVERILRVKFVAGLFEHPLTDRSLLGTVGCKEHRELARESVRKSLVLLKNRKNSEKPFLPLDRNAKRILVTGTHADDLGYQCGGWTKAWFGLSGRITIGTTLLDAIKAAVGDKTEVIYEKTPSEETLASVQGFSYAIVAVGETPYAETLGDNSELTIPLNGNDIVTAVAEKIPTLVVLFSGRPMVLEPPVLEKAEALVAAWLPGTEGQGISGVIFGDYDFEGKLPVSWFKRVDQLPLTADANSYDPLFPLGFGLNYNSGENSKPVLTSPA >fgenesh2_kg.5__1074__AT3G47050.1 pep chromosome:v.1.0:5:13043300:13046221:-1 gene:fgenesh2_kg.5__1074__AT3G47050.1 transcript:fgenesh2_kg.5__1074__AT3G47050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 3 protein [Source:UniProtKB/TrEMBL;Acc:D7LN99] MVDNEKSSCVYKNRDAPVEARVKDLLSRMTLPEKIGQMTLIERSVASEAVIRDFSIGSVLNAAGSWPFEDAKSSNWADMIDGFQRSALESRLGIPIIYGIDAIHGNNDVYGATIFPHNIGLGATRDADLVKRIGAATALEVRASGVHWSFAPCVAVVKDPRWGRCYESYGEVAPIVSEMTSLVSGLQGEPSKEHTSGYPFHAGRKNVVACAKHFVGDGGTDKAINEGNTILRYEDLERKHIAPYKKCISQGVSTVMVSYSSWNGDKLHSHYFLLTEVLKQKLGFRGYIVSDWEGLDRLSDPPGSNYRNCVKMGINAGIDMVMVPFKYEKFINDLIDLVESGEVLMARVDDAVERILRVKFVAGLFEFPLTDRSLLPTVGCKEHRELAREAVRKSLVLLKNGKYGEFLPLNCNAERILVVGTHADDLGYQCGGWTKTMYGQSGRITDGTTLLDAIKAAVGDKTEVIYEKNPSEETLASGYRFSYAIVAVGESPYVETMGDNSELTIPFNGSEIITAVAEKIPTLVILFSGRPMVLEPQVLEKAEALVAAWLPGTEGQGIADVIFGDYEFRGKLPASWFKRVDQLPLDIESNGYLPLFPLGFGLNRDSAENSKMV >fgenesh2_kg.5__1075__AT3G47060.1 pep chromosome:v.1.0:5:13047853:13051902:1 gene:fgenesh2_kg.5__1075__AT3G47060.1 transcript:fgenesh2_kg.5__1075__AT3G47060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTFEFVQPRIHGFATRCCSNSLLYSKGSSFFNDRCRVYRQNPNRFVSNSITLPLQKKQVTVLSKHERFNLWDGFSRKKSRLVVNCQEDDQKESSSEEEESSQSTPAKSERKREKQREDKVWWSKGKKWQWQPIIQAQGIGVLLLQLGVVMFVMRLLRPGIPIPGSEPRVQTTFVSVPYSEFLSKVNSNQVQKVEVDGVQVLFKLRDDGKWQESESSRLSESSESLLRTVAPTKRVVYSTTRPGDIKTPYEKMLGNNVEFGSPEKRSGGFFNSGLIALFYIAVLAGLIRFPLSFSTSTTGQLRTRKSGGPDGGKVSGGGETITFSDVAGVDEAKEELEEIVEFLRNPDKYVRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIGSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRADVLDPALRRPGRFDRVVTVETPDKVGRESILRVHVSKKELPLGDDVNLGSIASMTTGFTGADLANLVNEAALLAGRKNKTTVEKIDFIQAVERSIAGIEKKSARLKGNEKAVVARHEAGHAVVGTAVANLLTGQPRVEKLSILPRSGGALGFTYIPPTSEDRYLLFIDELLGRLVTLLGGRAAEEVVYSGRISTGAFDDIRRATDMAYKAVAEYGLNQKIGPVSVATLSGGGIDDSGGSPWGRDQGKLVDLVQKEVTILLQSALDVALSVVRANPDVLEGLGAQLEEKEKVEGEELQKWLSMVVAPDELAVFVKGKQELLLPAQASSS >fgenesh2_kg.5__1077__AT3G47080.1 pep chromosome:v.1.0:5:13053046:13055362:-1 gene:fgenesh2_kg.5__1077__AT3G47080.1 transcript:fgenesh2_kg.5__1077__AT3G47080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LNA2] MEVKVATTTSFHWSGRHTAIPQCPSFSQTLTSSKRRRSRVKAGDVSVGGGSLRLKPSTFLGTQSGKLHRSKSCELWEFSNSATKKIRTDVKKKHNRLRRVCSASSDFFSDEAFSVKMQELASQFRNSGEESEEENKQKSEAVDNDNDNKNHRFGSMKLLQESVPGLASLEAPWAEMVNHSSIERKANSVDLPLSLRIIKRKLQEEALKEASATTYCSINRAFSSMVFMIEELHSFALQTRVGVLKQVKKEMHASLLWIFQRVFSQTPTLMVYVMILLANYTVHSVASNLAIAAAPPHSDVVTKGVDRIQERIDLGPLVSGRDGKELHGSKWLGSMNFDKVSHLPTHRDSVSGEGMREEEVSLWNSMVEEADQMQDSTVDRKMRLRLVSPITARIEVDDYANYTRTELLYKIGLAQEPNNHLLLANYAQFLYLVTQDHERAENCFKKAIESEDVDAEAYSKYAIFLWKIRNDLWAAEENFLEAISADPTNSYYAANYANFLWQTGGEETCFPLEDSPQEMV >fgenesh2_kg.5__107__AT2G01930.1 pep chromosome:v.1.0:5:558329:560074:-1 gene:fgenesh2_kg.5__107__AT2G01930.1 transcript:fgenesh2_kg.5__107__AT2G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic pentacysteine 1 [Source:UniProtKB/TrEMBL;Acc:D7LPX4] MDDDGFRNWGYYEPAAASFKGNLGLQLMSTIDRNTKPFLPGRESNLMIGSNGSYHPREHDMNYSWISQPKDNKFFNMLPISTPNYGSVMSETSGSNSMQMIHQPVVNSSRFEEIPIPPREDEIVQPSKKRKMRGSISTPTIPKAKKMRKPKEERDVASSNVQQQRVKPAKKSVDLVINGVSMDISGLPVPVCTCTGTPQQCYRWGCGGWQSACCTTNISVYPLPMSTKRRGARISGRKMSQGAFKKVLEKLATEGYSFGNAIDLKSHWARHGTNKFVTIR >fgenesh2_kg.5__1081__AT3G47130.1 pep chromosome:v.1.0:5:13082454:13083499:1 gene:fgenesh2_kg.5__1081__AT3G47130.1 transcript:fgenesh2_kg.5__1081__AT3G47130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKTKLRLNPTRIYIPLDLQINTLLRLPVNQYFKKQHLNITSSSAPPRLLISFQDFHGKNLMLVSSPNPYVSSSSSSSCCVPYKDLNVFKINGKLVYNAVRGLICLSRLSVGICNPSTRQLHIFPQLKYKEDPKNSQRPNYFVGYDSIEDQYKVLAIDRLHWRMEHKILLLGREEAWREAPCVACPHVSHTSGMYMNGTLYYGASRTDIDPPNNNSIIVSFDVRLETFNIINVPSKVLPMGYENMWLAERWRNLTDKTLINYKGKIGVVENAREGSFRMWVVEDAEKEEWSMNTFHLPESAVGLDFKVDHECLLYRRDLSGSKRIN >fgenesh2_kg.5__1082__AT3G47160.1 pep chromosome:v.1.0:5:13088702:13090933:-1 gene:fgenesh2_kg.5__1082__AT3G47160.1 transcript:fgenesh2_kg.5__1082__AT3G47160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7LNB2] MAKVSFKDSLKALEADIQHANTVALDYPREKDGARVQMRLSYNPAAQFLLFLVQWTDCHLAGTLGLLRVLIYMTYADGKTTMSVYERKTSIKDFYGVSQTWMTANRKRAAKYGTEIKDETEKVKLSEIDIEREEECGICMEMNNMVVLPNCTHSLCIKCYRDWHGRSESCPFCRDSLKRVNSGDLWMLMEKSDTVNMYTIERENKKRLFLYIEKLPLVVPDQVFASSPYDCHVK >fgenesh2_kg.5__1086__AT3G47295.1 pep chromosome:v.1.0:5:13110596:13111097:1 gene:fgenesh2_kg.5__1086__AT3G47295.1 transcript:fgenesh2_kg.5__1086__AT3G47295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSRLLLFLILTLPLVTSSSQNTASVSGFGKTGTKSRSLMVTIEDYDDPSANTRHDPSVPTNAKADTTP >fgenesh2_kg.5__1087__AT3G47300.1 pep chromosome:v.1.0:5:13111081:13112250:-1 gene:fgenesh2_kg.5__1087__AT3G47300.1 transcript:fgenesh2_kg.5__1087__AT3G47300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTQLILLGLPIFLLFTDLLNLFTPPPPKSQQQPPPSISETLDFPSQKSSGVGYGNTVEINFCVSCSYKGTAVTMKKMLETAFPGLDVVLANYPAPAPKRILAKVVPVAQMGVIGLIMGGEQIFSMIGIAQPPAWYHSLRANRFGSMASTWLLGNFLQSFLQSSGAFEVSCNGELVFSKLKEGRFPGEIELRDLIRGTLTKPFVAGSY >fgenesh2_kg.5__108__AT2G01940.1 pep chromosome:v.1.0:5:567134:569885:1 gene:fgenesh2_kg.5__108__AT2G01940.1 transcript:fgenesh2_kg.5__108__AT2G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDQVMLSNKNTNTCCVVSSSSSDPFLSSSENGVTTTNTSTQKRKRRPAGTPDPDAEVVSLSPRTLLESDRYICEICNQGFQRDQNLQMHRRRHKVPWKLLKRDNNIEVKKRVYVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCERCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDNCSVRRVNREPPLPPQTAVAVPACSSRTASTVSTPSSETNYGGAVAVATPLPLEGRPIHQRISSSILTNSSNNLNLELQLLPLSSNQNPNQENQQQNVKEPSHHHNQNHDTTNLNLSIAPSSSYQHYNNFDRIKEIMASEQIMQMAMKEKSYAEEAKREAKRQREIAETEFANAKKIRQKAQAELERAKFLKEQSMKKISSTIMQVTCQTCKGQFQAVAVPAAAADETSLVVSYMSSANTDGELENGF >fgenesh2_kg.5__1095__AT3G47380.1 pep chromosome:v.1.0:5:13155923:13156618:1 gene:fgenesh2_kg.5__1095__AT3G47380.1 transcript:fgenesh2_kg.5__1095__AT3G47380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7LNC8] MAQQIFYTLFLFLLSTTILTASSAPVAAITSKRAINFIQASCKATTYPTVCVNALSGYANSIQTSPRRLAETALNVTVTEAQSTKVFVWRLGRFKTLNKREIQAIKDCIEEIHDAVDRLTKSIHEVKMCGSAKGRDQFWFHMSNAQTWTSAALTNANTCSDGFAGRVMDGRIKNSVRARIVNLGRGTSNALALINAFAKKY >fgenesh2_kg.5__1099__AT3G47430.1 pep chromosome:v.1.0:5:13205405:13206621:1 gene:fgenesh2_kg.5__1099__AT3G47430.1 transcript:fgenesh2_kg.5__1099__AT3G47430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal biogenesis factor 11 family protein [Source:UniProtKB/TrEMBL;Acc:D7LND6] MSLDTVDKLVVFLAKRDGIDKLVKTFQYVAKLACWRFEATQPEAADRFKKWEVASGLSRKAFRTGRSLTGFNALRRNPGATPVIRFLAVLANSGEMVYFFFDHFLWLSRIGTIDAKLAKKMSFISAFGESFGYTFFIIIDCIFIRQRFKSLKKLRSSDGPKDEIGAKISEIREDIVMRLMGISANIADLLIALAEIHPNPFCNHTVTLGISGLVSAWAGWYRNWPS >fgenesh2_kg.5__1101__AT3G47450.2 pep chromosome:v.1.0:5:13208775:13211976:-1 gene:fgenesh2_kg.5__1101__AT3G47450.2 transcript:fgenesh2_kg.5__1101__AT3G47450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATNOS1/NOS1 [Source:UniProtKB/TrEMBL;Acc:D7LND8] MALRTLSTFPSLPRRYTTRREPNLTVIYRNPATSILCKSIANSEQPLSLSERDGFAAAAPTPGERFLEHQRAHEAQKVVKKELKKEKKKKKEEIIARKVVDTSISCCYGCGAPLQTSDVDSPGFADLVTYELKKKHHQLRTMICGRCQLLSHGHMITAVGGNGGYSGGKQFVSADELREKLSHLRHEKALIVKLVDIVDFHGSFLARVRDLVGANPIILVITKIDLLPKGTDMNCIGDWVVEVTMRKKLNVLSVHLTSSKSLDGVSGVASEIQKEKKGRDVYILGAANVGKSAFINALLKTMAERDPVAAAAQKYKPIQSAVPGTTLGPIQINAFLGGEKLYDTPGVHLHHRQAAVVHSDDLPALAPQNRLRGQSFDISTLPTQSPSSPKGESLNGYTFFWGGLVRIDILKALPETCFTFYGPKALDIHVVPTKTATAFYEKELGVLLTPPSGKDQMQEWKGLQSHRLLQIEINDAKRPASDVAISGLGWISIEPIRRTRGTESRELNEAEHEIRLCVSVPKPVEVFLRPTLPIGTSGTEWYQYRELTDKEEEVRPKWYF >fgenesh2_kg.5__1104__AT3G47470.1 pep chromosome:v.1.0:5:13219683:13221156:-1 gene:fgenesh2_kg.5__1104__AT3G47470.1 transcript:fgenesh2_kg.5__1104__AT3G47470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7LND9] MATVTTHASASIFRPCTTSKPRFLTSSSGRLNRDLTFKSIGSSSKTSSFKVEAKKGEWLPGLASPDYLTGSLAGDNGFDPLGLAEDPENLKWFVQAELVNGRWAMLGVAGMLLPEVFTKLGIINVPEWYDAGKEQYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKQYSLPKGEVGYPGGIFNPLNFAPTLEAKEKEIANGRLAMLAFLGFVVQHNVTGKGPFENLLQHLSDPWHNTIVQTLS >fgenesh2_kg.5__1108__AT3G47510.1 pep chromosome:v.1.0:5:13245441:13246248:1 gene:fgenesh2_kg.5__1108__AT3G47510.1 transcript:fgenesh2_kg.5__1108__AT3G47510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKALIHLLVIFFLSLSHIFFFPSHASRLGSLMEKPKIDLPPQDTLMEVEERVVMELNDYPGSGANNRHLPRQRGCVVDC >fgenesh2_kg.5__1109__AT3G47530.1 pep chromosome:v.1.0:5:13267703:13274251:-1 gene:fgenesh2_kg.5__1109__AT3G47530.1 transcript:fgenesh2_kg.5__1109__AT3G47530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LNE6] MLKSISSSSSDDHLLSLIVSSTGKLHLRQIHAVLLRTSLIRNSDVFHHFFSRLALSLIPRDINYSCRVFSQRLNPTLSHCNTMIRAFSLSQTPCEGFRLFRALRRNISFPANPLSSSFALKCCIKSGDLLGGLQIHGKIFSDGFLSDSLLMTTLMDLYSTCENSTDACKVFDEIPQRDTVSWNVLISCYLRNKRTRDVLVLFDKMKNDVDRCVKPDNVTCLLALQACANLGALDFGKQVHDFIDENGLSGALNLSNTLVSMYSRCGSMDKAYEVFNRMRERNVVSWTAMISGLAMNGFGKEAIEAFNEMLKFGISPEEQTLTGLLSACSHSGLVDEGMMFFDRMRSGEFKIKPNLHHYGCIVDLLGRARLLDKAYSLIKSMEMKPDSTIWRTLLGACRVHGNVELGERVIAHLIEFKAEEAGDYVLLLNTYSSVGKWEKVTELRSLMKKKRIQTNPGCSAIELQGTVHEFIVDDVSHPRKEEIYKMLAEINQQLKIAGYVAEITSELHNLDSEEEKGYALRYHSEKLAIAFGILVTPPETTIRVTKNLRTCVDCHNFAKFVSDVYDRVVIVRDRSRFHHFKGGSCSCNDFW >fgenesh2_kg.5__1110__AT3G47540.1 pep chromosome:v.1.0:5:13278537:13279657:1 gene:fgenesh2_kg.5__1110__AT3G47540.1 transcript:fgenesh2_kg.5__1110__AT3G47540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTKIVSVIFLCLLGPCIGVGTTSSGGSVSSIVTQGFFNNIINQAGNGCTRKRLYTRDSFIDAANSFPNFASSVTRREIATMFAHVTHETGHFCYIEETKKKSRGRCDENVEQKPCPSPRKGHSGRGQSLGLDLLSQPELVGSNPTVAFTKGLSFWINSVRPVLNQGFGATIRAINGMECNGGNSGAVNARIGYYRDYCRQLGVDPGPNLSC >fgenesh2_kg.5__1117__AT3G47610.1 pep chromosome:v.1.0:5:13333850:13336279:1 gene:fgenesh2_kg.5__1117__AT3G47610.1 transcript:fgenesh2_kg.5__1117__AT3G47610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription regulator/ zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7LRD8] MATAVGQWLEEALVDLCRKPETGLNFDRDVISGLVSYCDLAQPVDAKEYLDNIIGKEGKTIIAEYLQRRGYKDPSSHVANSSGPELQMYVKPKVDNGASSGTKKPFKTPKEGTSSNQQAGTGKVTAPAQQVNPKKKKGGKVISLAEAAKGSIVFQQGKPCACQARRHKLVSNCLSCGKIVCEQEGEGPCSFCGALVLKEGSTYAGLEEGYTPVSDADVAAEAYAKRLVEYDRNSAARTTVIDDQSDYYESESSSWLSAEEKELVRKKREEIEEAERVKKSKVVMTFDLVGRKVLLNEDDISELESGNRILGPPETKNVNRIKPNPTAKLVPIFLDPGPTEKKPNSTTTKKDNKKNRNGLCLEITGRVQHDRSELKYLQADPKSDGKSWPSGNDGLHVEDGGECSLDYD >fgenesh2_kg.5__1118__AT3G47620.1 pep chromosome:v.1.0:5:13372116:13374025:1 gene:fgenesh2_kg.5__1118__AT3G47620.1 transcript:fgenesh2_kg.5__1118__AT3G47620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LRD9] MDGGDSVGGGGGGGDDHHRHLHHHHRPTFPFQLLGKHDPDDNHQQQQQPSPSSSSSLFSLHQHQQLSQSQPQPQSQQKPQPQTTQKELLQTQEESAAVVAAKKPPLKRASTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPSVIAATGTGTIPANFTSLNISLRSSGSSMSLPSHFRSAASTFSPNNMFSPAMLQQQQQRGGGGFHHPHLQGRATTSSLFPGIDNFTPTTSFLNFHNPTKQEGDQDSEELNSEKKRRLQTTSDLHQQHQHDQIGGYTLQSSNSGSTATAAQQIPGNFWMVAAGGGGNNNQTGGLMTASIGTGGGGSGGGEPVWTFPSINTAAAALYRSGVSGVSGGAVSSGLHFMNFAAPMAFLMGQQQLATTSNHEINEDNNNNEGGRSDGGGDHHNTQRHHHHHQQQHHHNILSGINQYGRQVSGESQASGSLGGGD >fgenesh2_kg.5__1119__AT3G47630.2 pep chromosome:v.1.0:5:13377718:13380022:-1 gene:fgenesh2_kg.5__1119__AT3G47630.2 transcript:fgenesh2_kg.5__1119__AT3G47630.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTQREELSSFLGVLPPVDFCCVYGSTLHPNNQDKSKMVDYILGVSDPMKWHSLNLKMNSDHYASWMVHLGGARLITNVADKVGVGVHFNPFVNWNDRKLKYGVVRMHDLVQDILDWNRFYLSGRLQKPVHMLVDNLDIEDVNSVNKRAAVSAALLLLPSKFTEEDLYAKICSLSYMGDLRMFFAEDTNKVNKIVKGQFDIFQSMYKPFIEECETKNLLRFSSAEASHTKLVQDSSLSATRSLVYSLPTSVRSLMGKSLGEKKFVSETGRVMGEVCIASREEAAKCMGNVMKRRVMVSSARQAVSGFLAAGAINATMYLSQKMRKAWNSRA >fgenesh2_kg.5__111__AT2G01950.1 pep chromosome:v.1.0:5:576916:580141:-1 gene:fgenesh2_kg.5__111__AT2G01950.1 transcript:fgenesh2_kg.5__111__AT2G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LPX6] MTTSPIRVRIRTRIQISFIFLLTHFSLSSSSDQSSLKTDSLSLLSFKSMIQDDPNNILSNWTPRKSPCQFSGVTCLGGRVAEINLSGSGLSGIVSFNAFTSLDSLSVLKLSENFFVLNSTSLLLLPLSLTLLELSSSGLIGILPENFFSKYSNLISITLSYNNFTGKLPNDLFLSSKKLQTLDLSYNNITGSISGLTIPLSSCVSLSFLDFSGNSISGYIPDSLINCTNLKSLNLSYNNFDGQIPKSFGELKLLQSLDLSHNRLTGWIPPEIGDTCRSLQNLRLSYNNFSGVIPDSLSSCSWLQSLDLSNNNISGPFPNTILRSFGSLQILLLSNNLISGEFPTSISACKSLRIADFSSNRFSGVIPPDLCPGAASLEELRLPDNLVTGEIPPAISQCSELRTIDLSLNYLNGTIPPEIGNLQKLEQFIAWYNNLAGKIPPEIGKLQNLKDLILNNNQLTGEIPPEFFNCSNIEWISFTSNRLTGEVPKDFGILSRLAVLQLGNNNFTGEIPPELGKCTTLVWLDLNTNHLTGEIPPRLGRQPGSKALSGLLSGNTMAFVRNVGNSCKGVGGLVEFSGIRPERLLQIPSLKSCDFTRMYSGPILSLFTRYQTIEYLDLSYNQLRGKIPDEIGEMIALQVLELSHNQLSGEIPFTIGQLKNLGVFDASDNRLQGQIPESFSNLSFLVQIDLSNNELTGPIPQRGQLSTLPATQYANNPGLCGVPLPECKNGNNQLPAGPEERKRAKHGTTAASWANSIVLGVLISAASVCILIVWAIAVRARKRDAEDAKMLHSLQAVNSATTWKIEKEKEPLSINVATFQRQLRKLKFSQLIEATNGFSAASMIGHGGFGEVFKATLKDGSSVAIKKLIRLSCQGDREFMAEMETLGKIKHRNLVPLLGYCKIGEERLLVYEFMQYGSLEEVLHGPRTGEKRRILNWEERKKIAKGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDQDMEARVSDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSVGVVMLEILSGKRPTDKEEFGETNLVGWSKMKAREGKHMEVIDEDLL >fgenesh2_kg.5__1121__AT3G47640.1 pep chromosome:v.1.0:5:13391436:13393094:1 gene:fgenesh2_kg.5__1121__AT3G47640.1 transcript:fgenesh2_kg.5__1121__AT3G47640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7LRE1] MASKTPSTSSDEANATADERCRKGKVPKRINKAVRERLKREHLNELFIELADTLELNQQNSGKASILGEATRFLKDVFGQIESLRKEHASLLSESSYVTTEKNELKEETSVLETEISKLQNEIKARASQSKPDLNTSPAPEYHHHHQHPELASQFPGLPIFQGPGFQQSAATFPPPATVLVLPIQPDLQTQDISEMTQAQPLMYNTSNVSKPCPRYASAADSWSSRLLGERLKASE >fgenesh2_kg.5__1122__AT3G47650.1 pep chromosome:v.1.0:5:13393308:13394286:1 gene:fgenesh2_kg.5__1122__AT3G47650.1 transcript:fgenesh2_kg.5__1122__AT3G47650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bundle-sheath defective protein 2 family [Source:UniProtKB/TrEMBL;Acc:D7LRE2] MANSLCFFSSPPTFCFQSPSKNPKPSHFFSTNDNTSSLVQKRELLQTSRSQSFEVKAANNNPQSTKPNSLVCANCDGNGCVACSQCKGGGVNLIDHFNGQFKAGALCWLCRGKKEVLCGDCNGAGFIGGFLSTFDE >fgenesh2_kg.5__1123__AT3G47660.1 pep chromosome:v.1.0:5:13395139:13398622:1 gene:fgenesh2_kg.5__1123__AT3G47660.1 transcript:fgenesh2_kg.5__1123__AT3G47660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein [Source:UniProtKB/TrEMBL;Acc:D7LRE3] MACFQRSVPQRGRMSSKRLSSIPKAIAILKKGAYLLKYGRRGKPKFYPFRLSSDEIYLLWYCGKKEKRLKLSSVTRIIPGQRTAVFRRYPQPTKEYQSFSLIYGERSLDLDKDEAEFWLTTLRALLSRNNSSSALVLHSRSRSFAPENGEQSSTSKNSKSNIRSVSSDTSYEEHAKKASGSHCNTPQRLGKVFSEVLSQTAVLKALSLDELVHKPHTSPPEAIENRSTNHSPAVDTSKYSVSSAVSSSSQGSCFEDLKSLCDVFVWGESIGDGLLGGGMHKSGSSSSLMTDSFLPKVLKSHVALDAQSISCGTNYAVLVTKQGQLYSWGEESGGRLGHGVCSYVPHPKLIDEFDGSTVELADCGEFHTCAVTTSGDLYAWGDGDHNAGLLGLGSGASHWKPVRILGQMEGINVRTISCGPWHTAFVTSAGKLFTFGDGTFGALGHGDRISTNIPREVEALNGCRTIRTACGVWHSAAVVSVFGEASSSGKLFTWGDGDDGKLGHGDIASRLIPLSVTELDTTSFQQVACGQSITVALSMSGQVYAMGTADPSQDDVVRAPSCIEGGLGKSFVQEVACGYHHIAVLNSKAEVYTWGKGSNGQLGHGDTEYRRMPTLVKALKGKQVRKVVCGSNYTATICLHKPITGTDSSRCSGCRHPFNYMRKLHNCYNCGSVFCNSCTSKKSLAAAMAPKTNRPYRVCDDCYIKLEGIRESLGTPANSARFSNASLPSSSNEMDEIGTTPQRQLLHRVDSFDFFRQTKIPDLKTIGETSGTSCTSSTHSNMDIKGSFNLKGIRRLSRLTSFDSVKEEGKQRSKHCASKSDTSSLIRHSVTCGLPFSRRGSVELFPLSIKSSPVESVATTSDFTTDITDHDLLQEVPKKSNQCLSHEISVLKAQVEELTLKSKKLEAELGMTSKKLEVAVLMARDDAEKIKSSEEIVRSLTLQLMNATKKEVDKTRRRRNSF >fgenesh2_kg.5__1125__AT3G47690.1 pep chromosome:v.1.0:5:13402250:13404621:1 gene:fgenesh2_kg.5__1125__AT3G47690.1 transcript:fgenesh2_kg.5__1125__AT3G47690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEB1A [Source:UniProtKB/TrEMBL;Acc:D7LRE5] MATNIGMMDSAYFVGRNEILTWINDRLQLNLSRVEEAASGAVQCQMLDMTFPGVVQMHKVNFDAKNEYDMIQNYKVLQDVFNKLKITKPLEINRLVKGRPLDNLEFLQWLKRFCDSINGGIMNENYNPVERRSRNGKERSVKGSNKIPKSLQTNNNHPPPNSGSVGLSKASGPKPAKAAEVQAMSKELADLKISTDLLEKERDFYFSKLRDVEILCQTPELDDLPIVVAVKKILYATDANESALEDAQEYLNQSLGVEDEAEANGEQQEEEKTQA >fgenesh2_kg.5__1126__AT3G47700.1 pep chromosome:v.1.0:5:13404680:13407743:-1 gene:fgenesh2_kg.5__1126__AT3G47700.1 transcript:fgenesh2_kg.5__1126__AT3G47700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKPLPQVSSFSASVFSFLDDKFRDSTDLSQSPGLVSELQTEISELDQRLAGLNRQLESGLASYASFSDRVGGLFVEVNAKLANLSSSTSVPRSASDGGKEEEATEQLAGEELPSLAKEVAQVESVRAYAETALKLDTLVGDIEDAVMSSLNINLRTSRSSGFEEVRLHAIKTLKTTEEILSSVARRHPRWARIVSAVDHRVDRALAMMRPQAIADYRALLSSLGWPPQLSTLTSASLDSKSENVQNPLFNMEGSLKSQYCGNFQALCSLQGLQLQRKSRQLGSHMGENVLFHQPLWAIEELVNPLTVASQRHFTKWSEKPEFIFALVYKITRDYVDSMDELLQPLVDEAKLAGSLSLYLVKEIFPIYVGQLNEANETDLRSEAKVSWLHLIDLMISFDKRVQSLVSQSGILSLQEDGNLLRISSLSVFCDRPDWLDLWAEIELDERLVKFKADIDNDRNWTAKVQDELISTSNVYRPPIISSIFLQHLSSIIERSKSVPAIYLRARFLKLAASPTIHKFLDCLLLRCQDAEGLTALTENNDLIKVSNSINAGHYIESVLEEWSEDVYFLEMGTGQHDPQEVPGLENFTEPSEGIFGEEFEKLEKFRLEWINKLSVVVLRGFDARTREYIKNRKQWQEKRDKEWTVSRALVGALDYLQGKTSIIEENLNKADFTAMWRTLASEIDKLFFNSILMANVKFSNDGVERLKEDMEVLYGVFRTWCVRPEGFFPKLSEGLTLLKMEEKKVKDGLSRGDKWLRENSVRYLSEAEAKKVAKSRVFS >fgenesh2_kg.5__1127__AT3G47730.1 pep chromosome:v.1.0:5:13417495:13422196:-1 gene:fgenesh2_kg.5__1127__AT3G47730.1 transcript:fgenesh2_kg.5__1127__AT3G47730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQRGLPLLLQQYTALFKKNLLLSWRSKRATFLQLFASFFFILLIFCIQEAMEKSFASSTALKTVTDPTALISPPIPPCEDKFFVNLPCYDFVWSGNRSPKVTQIVNAIMKNNPGRPIPTEKVRSFVDPEAVDTWLMANPLLVPGALHFVERNATVISYGIQTNSTPEMNRGRFEDPTFKFQIPLQIAAEREIARSLIGDPNFNWVVGFKEFPHPTIEAIVALDTIGPTFFLAVAMFGFVLQISSLITEKELKLRQAMTMMGVFDTAYWLSWLTWEGILTAISALLTVLFGMMFQFDFFLKNSFPVVFLLFMLFQFNLIGLAFMLSAFISKSSSATTVGFFVFLVGFVTQLATSTGFPYAKKYSRRIRSLWSLFPPNTFSQGLKLLADATSTPQDPGISWSKRAECGPNDDIDCVITINDIYLWLLGTFFLWFVLALYFDNITPNASGVRKSIFYFLKPGYWTGKGGNRVEEGGICSCTGSVPPVDHITPDDEDVLEEETLVKQHSVDGLVDPNIAVQIRGLAKTYPGTTNFGCCKCKKTPPFHALKGLWMNIAKDQLFCLLGPNGAGKTTTINCLTGLFPVTGGDALIYGNSIRSSVGMSNIRKMIGVCPQFDILWDSLSGEEHLKLFASIKGLPPSSINSMVEKSLAEVKLTEAGKIRAGSYSGGMKRRLSVAVSLIGDPKLVFLDEPTTGMDPITRRHVWDIIQETKKGRAIILTTHSMEEADILSDRIGIVAKGRLRCIGTSIRLKSRFGTGFIANISFVESNNQENNGNNHNGENGAVDSREPVKKLFKDHLKVKPIEENKAFMTFVIPHDKENLLTGFFAELQDREEEFGISDIQLGLATLEEVFLNIARKAELESAAVDGTMVTLDLTSGSSVEIPVGARFIGIPGTESAENPRGIMVEVYWQQDESGSLCISGHSTEMPVPENIPVTDPVAPGHGGVNLLGRRGRRQVQGIVIDPEFASFVRSGSTSSRRFSL >fgenesh2_kg.5__1128__AT3G47740.1 pep chromosome:v.1.0:5:13424791:13429209:1 gene:fgenesh2_kg.5__1128__AT3G47740.1 transcript:fgenesh2_kg.5__1128__AT3G47740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGPASFLTRANAILRKNLTYQKRNIWSNVRLIIIPFYLCIVLVFVQGLFDSQVNNSVDNQCGCQCIDKLGDGKCEMICGLEYSTRDQGVFCAIPKPQPWPPLILIPRSHARAVDSSFTDESCRRKNSCPVTILFTGNNQSLGETLSRNLLRRSFTMTSSDLLFSLANNVLATTLKASATNYLDSGIVSNLSIYTIQSRCPPNSNFSFSLGQSPLNFTKEMRCVQGLNLWRNSSVEVNHEIFKGYKEGNSDGKISEIVAAYDLLDTDRTNFNVNIWYNATYKGEEGNQRYKLVRVPRLVNLVSNAYLQYLQGPGTKMLFEFVKEMPKQETKLRIDIASLIGPLFFTWVILLLLPVILNSLVYEKQQHLRIIMKMHGLGDGPYWVISYVYFLALSTLYIICLMIFGSAIGLKFFLFNDYSFQFGFYFLFINLQISIAFLVSSAFSKVETASVVAYLYVFGSGLLGGFLFRFMLEGSSFPRSWIFVMELYPGFSLYRGLYEFAQNAYQGSLNGKDEMKWKYFSDSEMDEVSYIIIVEWFVALIAAYYIDKISSSGNNPLFFLKNNNPFKKYPSLQKPSLQKQVSAVSVEMEKLDVIQESEKVEQMMLESSTSHAIVCDKLRKVYPGRDGNPPKKAVRGLSLTVPSGECFGMLGPNGAGKTTFINIMTGLVKPTSGAAFVQGFDICKDMDKVYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLKGSDLNQAVEESLKSANLLHGGIADKPAGKYSGGMKRRLSVAISLIGNPKVVYMDEPSTGLDPSSRKNLWTVIKHAKKNTAIILTTHSMEEAEFLCDRLGIFVDGRLQCIGNPKELKGRYGGFYVLTMTTTSEHEKDVEVLVRDVSPNAKKIYHIAGTQKFEIPKDEVRISEVFQAVEKAKSNFKVFAWGLADTTLEDVFIKVARTAQAFNVFS >fgenesh2_kg.5__1129__AT3G47750.1 pep chromosome:v.1.0:5:13434658:13442851:1 gene:fgenesh2_kg.5__1129__AT3G47750.1 transcript:fgenesh2_kg.5__1129__AT3G47750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHVPASFLTRANALFRKNLTYQKRNMWSNVRLIVIPFYLCVLLVGIQVLFDTQVNNSADNRCGCRCIHKNGDGKCERQSCGLQYSSQTQASFCAFPNPPPLLPLLQIPRPESRSVDHTRGSCRRTGSCPLYLKICSELLSRRTPLLTISCVISHTMSWQGTTSKSDYTNFHDPGIHSDLPILNIQPQCTPATTFKFSFRQSPLKFHKEVRCVQGLNLWRNNSVEVNDEIFKGYRQGNPEKIINEVAAAYDFLDTDTNNFNVTIWFNSTYKDDYRVQQRRVKYVRVPRSVNLVSNAYLQFLRGPGTKMLFDFVKETPKQETKLHVDVASVIGPMFLTWVIVLLFPVILNSLVYEKQQHLRIIMKMHGLGDGPYWMISYAYFLAISTLYIICLMIFGSAIGIVSLPYFLLYIEHFFRYNDYGIQFVFYFLCINLQISVAFLVSSAFSKVETATVVAYLYVFGSGLLGGFLFQFMLEGLSFPRSWIFFMELFPGFSLYRGLYEFSQYALIRNVNGSDGMKWKDFNDSAMDEVFYIIIVEWFLALIAAYYMDRVSSSAKDPFLCLKYTFKKSPSKHSLQRQGSALSVEMDRLDVAQERERVKQLMLESSTSHAIVCDNLNKVYPGRDGNPPKMAVQGLSLAVPSGECFGMLGPNGAGKTSFINMMTGLVKPTSGIALVQSLDTCKDIDRVYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLKGSDLHQAVEESLKSVNLFRDGVADKPSGKYSGGMKRRLSVVYMDEPSTGLDPASRRSLWTAIKSAKKNTAIILTTHSMEEAEFLCDRLGIFVDGMYGGSYVLTMTTSSEHEKDVEMLVQDVSPNAKKIYHIAGTQKFEIPKEEVRVSEVFQAVEKAKSNFRVFAWGLADTTLEDVFIKVARTSPASNVFS >fgenesh2_kg.5__1130__AT3G47770.1 pep chromosome:v.1.0:5:13444589:13449102:1 gene:fgenesh2_kg.5__1130__AT3G47770.1 transcript:fgenesh2_kg.5__1130__AT3G47770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAAASFLTQANALFKKNLTYQKRNIWSNVRLICAFKLCSIHWLITLLIINVVVDASTTPTKMEMANAKKKSCGLQYSSQNQAVFCAFPNPPPLLPLLHIPRSFNHTRRDSCKRTGSCPGTTSETDYTNYRDPGIYSDLPIFNIQPQCTPATTFSFSFRQPPLEFHKVILCVQGLSLWRNNSVEVNDEIFKGYRKENHAEIINEVAAAYDLLDTDRNNFNVNIWYYTTYKGDFQDWRVKYVRVPRSVNLVSNAYLQFLQGPGTKMLFDFVKEMPKQETRLRMDMASLIGPIFFTWVILLLFPVILTSLVYEKQQRLRIIMKMHGLGDGPYWMITYAYFLAISTLYIICLMIFGSAIGLKFFRSNDYSIQFIFYFLYINLQISIAFLVSSAFSKAVTASVAAYMYVFGSGLLGGFLFQFLMESLSFPRRWIFVMEFYPGFSLYRGLYEFSQYAFQRNLNGRDGMKWKDFRGSAMDEVFSIIIVEWFLALIATYCMDRVSSSAKDPFAFLKNPLKKSSSPQRPSLQKEESSVSVELEKLDVIQEKEKVQQLMLEPSTSHAIVCDNLKKVYQGRDGNPPKLAVCGLSLTVPSGECFGMLGPNGAGKTSFINMMTGLVKPTSGSAFVQGLNICKDMDKVYTSMGVCPQHDLLWETLTGKEHLFFYGRLKNLKGPDLDQAVEESLKSVNLVRGGVADTPAGKYSGGMKRRLSVAISLIGSPKVVYMDEPSTGLDPASRINLWTVIKRAKEHTAIILTTHSMEEAEFLCDRLGIFVDGKLQCIGNPKELKKRYGGSYVLTMTTLPEHEKDVETLVQDVSPNAKKIYHIAGTQKFEFPKEEVRISEVFQVVEKAKSTFRVFAWGLVDTTLEDVFIKVAKTSQAFNVFS >fgenesh2_kg.5__1131__AT3G47780.1 pep chromosome:v.1.0:5:13450768:13455468:1 gene:fgenesh2_kg.5__1131__AT3G47780.1 transcript:fgenesh2_kg.5__1131__AT3G47780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGPASFSTRANALLRKNLTYQKRNLWSNIRLIMIPFYLCILLVIIQVLFDTNINNSADNRCGCRCIVRNRNGKCQREICGLEHSKPDQAFFCSIPSPPLWPPLLQIPRPESRDGSGLPNDSCRRTGSCPVTILFTGNNRSLGTTVSGNLLTSSLSANSSDILLTLANNVLGTSVEADFTNYLDPGIASNLSIYNIQPRCISNATFPFSFEQPPLKFEKELRCVEGFNIWKNTSIEVNDLIFKGYRKGNPEGKINEISAAYDLLNTDRNNFNVHIWYNSTYKDDSGNRLTKLVRVPRSVNLVSNAYLQFLQGPGTRMLFEYAKEMPKPETSLRLDIASLIGPLFFTWVILLLFPVILSSLVYEKQQHLRIIMKMHGLGDGPYWMISYAYFLTISVLYVICLMIFGSAIGLKFFRLNAYSIQFVFYFLYVNLQIALAFLVSSVFSNVKTSTVAAYIYVFGSGLLGLFLLNFLIEDSSFPRGWIIVMELYPGFSLYRGLYELAQFAFRGNLRGEDGMKWKDFSDSAMDDVFYIIIVEWFLALIAAYYIDKISSSGKDPLFFLQNLFKKSPSFRRPSLQRQGSKVSVEMEKPDVTQESEKVEQLMLESSTSHAIVCDNLKKVYPGRDGNPPKLAVRGLSLAVPSGECFGMLGPNGAGKTSFINMMTGLLKPTSGTGLVQGLDICNDMDRVYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLKGSDLNQAVEESLKSVNLFHGGVADKPAGKYSGGMKRRLSVAISLIGNPKVVYMDEPSTGLDPASRKNLWTVIKRAKQNTAIILTTHSMEEAEFLCDRLGIFVDGGLQCIGNPRELKGRYGGSYVFTMTTSSEHEQNVEKLIQDVSPNAKKIYHIAGTQKFELPKREIRISEVFQAVEKAKSNFTVFAWGLADTTLEDVFIKVARTGEAFNVFS >fgenesh2_kg.5__1132__AT3G47790.1 pep chromosome:v.1.0:5:13456070:13460531:1 gene:fgenesh2_kg.5__1132__AT3G47790.1 transcript:fgenesh2_kg.5__1132__AT3G47790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSPASFLTQANALLRKNLVFQKRNIWSNIRLITIPFFLCLLLLVVQMLFNTQFNDVHGQCGCKEKTCDLRYSTSEQAAFCAIPNPPQWTPMLQIPAPQYRVATTYPSHSSPATFLFTGNNQSLGKILMGNMYSDLSEFDGELANYVLGSSSFPAYTNYMDSAFISDIPIYNIQNECSPNSSFSILIHQSPIAFPKKVNCVQGLNLWRNSFSNVNNELFKGYRKGNPDEKINEFAAAFDFQNTNGNNLNVCVWYNSTYKNDTVVRPMALIRVPRLVNQASNAYLEFLKGSETKILFEYVKEMPKPETKLSLDIASLIGPLFFTWVILLLFPVILTTLVYEKQQRLRIMMKMQGLGDAPHWIVSYTYFLLISILYMLCFAIFGSVIGLNFFRLNDYSIQLVFFLICINLQISVAFLAAAMFSDVKTATVIAYIYVFGTGLLGIFLFQFFLEDPLFPRGWIIAMELYPGFSLYRGLYELSQSAFSGDYRGIDGMRWRDFENGMKEVTCIMLIEWLLLLVLAYYIDQITYSGKHPLFFLLKSSSKKKQHHFCQSQTSKVVIEMEKSDVCQEREKVEHHLLESTGDCAVLCNNLKKVYSGKDGNPQKLAVRGLSLALPQGECFGMLGPNGAGKTSFINMMTGIIKPSSGTAFVQGLDILTDMDRIYTTIGVCPQHDLLWEKLSGREHLLFYGRLKNLKGSVLTQAVEESLRSVNLFHGGIGDKQVGKYSGGMKRRLSVAISLIGSPKVVYMDEPSTGLDPASRKSLWDVVKRAKRKGAIILTTHSMEEAEILCDRIGIFVDGSLQCIGNPKELKSRYGGSYVLTMTTSEEHEKEVEQLVHNISMNAKKIYRTAGTQKFELPKQEVKIGEVFQAVEKAKTMFPVVAWGLADTTLEDVFIKVAQTS >fgenesh2_kg.5__1137__AT3G47820.1 pep chromosome:v.1.0:5:13476904:13478447:1 gene:fgenesh2_kg.5__1137__AT3G47820.1 transcript:fgenesh2_kg.5__1137__AT3G47820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7LRF7] MPQHNSPGETPTEFLCPITGFLMSDPVVVASGQTFERISVQVCRNLGFAPKLHDGTQPDLSTVIPNLAMKSTILSWCDRNKMEHPRPPDYAYVEGVVRTRMDSIPPGTGHRIAKSEILPPVAENSNSNSDSDYESVMGAIRSRSRTSISSSTSLPLHQTRPVNHSTRIPNSFSTSDYSSFPPMSPEEEEIYNKLSSVDTIDHEQGLIQLRKTTRSNESTRISLCTDRILSLLRSLIVSRYNIVQTNAAASIVNLSLEKPNKLKIVRSGFVPLLIDVLKSGSTEAQEHVIGALFSLAVEEENKMVIGVLGAVEPLLHALRSSESERARQDAALALYHLSLIPNNRTRLVKAGAVPMMLSMIRSGESASRIVLLLCNLAACSEGKGAMLDGNAVSILVGKLRESGGGESDAAARENCVGALLTLSIGNMRFRGLASEAGAEEILTEIVESESGSGRLKEKAAKILQALRGGGSDFGEGAEAREWNRMLEASGLSRSQFQQGGQKGGFAYSSQF >fgenesh2_kg.5__113__AT2G01980.1 pep chromosome:v.1.0:5:612151:618323:1 gene:fgenesh2_kg.5__113__AT2G01980.1 transcript:fgenesh2_kg.5__113__AT2G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRFLEEETGSSSSSKVESSPVDAVLFVGMSLVLGIASRHLLRGTRVPYTVALLVIGIALGSLEYGAKHNLGKIGHGIRICNDIDPELLLAVFLPALLFESSFSMEVHQIKRCLGQMVLLAGPGVLISTACLGWLVKVTFPYDWDWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVLFQLFLKMVMGQNSDWGSIITFLVQVALGAVGIGLAFGIASVIWLKFIFNDTVIEITLTIAVSYFAYYTAQEWAGASGVLTVMTLGMFYAALARTAFKGDSQKSLHHFWEMVAYIANTLIFILSGVVIAEGILNSDKIAYQGNSWGFLFLLYLYIQLSRGVVVGVLYPLLCRFGYGLDWKESIILVWSGLRGAVALALSLSVKQSSGNSHISKETGTLFLFFTGGIVFLTLIVNGSTTQFVLRLLRMDILPAPKKRILEYTKYEMLNKALRAFQDQGDDEELGPADWPTVESYISSLKNSEGELVHPHNGSKSGNLDPKSLKDIRIRFLNGVQAAYWEMLDEGRISEITANILMQSVDEALDQVSTTLCDWRGLKPHVNFPNYYKFLHSKIIPHKLVTYFAVERLESACYISAAFLRAHTIARQQLYDFLGESNIGSIVINESEKEGEEAKEFLEKVRSSHPQVLRVVKTKQVTHSVLNHLLGYIENLEKVGLLEEKEIAHLHDAVQTGLKKLLRNPPIVKLPKLSDMITSHPLSVALPPAICEPLKHSKKEPMKLRGVTLYKEGSKPTGVWLIFDGIVKWKSKSLSNNHSLHPTFSHGSTLGLYEVLTGKPYMCDMITDSMVLCFFIDSEKILSLQSDSTIDDFLWQESALVLLKLLRPQIFENVAMQELRALVSTESSKLTTYVTGELIEIDCNSIGLLLEGFVKPVGIQEELISSPTTLLPPNGNQSFHNSSEASGIMRASFSQQTTQYSVETRARAINFNIGAFGADRTLHRRPSTLTPPRSSSSDQLQRSSFRKEHRGLMSWPESIYKAKQQQEINRTTLSLSERAMQLSIFGSMVNVYRRSASFGGIYYNKSQDNLLYKKLPINSAQGLVSARSESSIVTKKQLETRKYPCQLPLQAESSKWQNTMVVESSDEEDEGIVVRIDSPSKIVFWNDL >fgenesh2_kg.5__1141__AT3G47850.1 pep chromosome:v.1.0:5:13491223:13493198:-1 gene:fgenesh2_kg.5__1141__AT3G47850.1 transcript:fgenesh2_kg.5__1141__AT3G47850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEISSSYAHGSRRQRRIRRTEETQKQKTMVAISMYRGNLHKVPDVPRRWRMPDHNLSFKDFKSLLHRRKRALSRLPLNSNPNLNLSVKTELVTDQENPIIPSEGNASSGKQKLVDVKREVIGGNRVKEDENNDRGFEGARSDGGDRPGRVTELKETDYVPQKDVAKEEEANETAEKVPSEIEKKQKEVEERLQVLNAKKHNLVQVLKQILNAEEELKRRGCMQQQGTTAATRPALPLHVDVSNDSGGNAGTHMEGGETDDAANQNNAQTRTVLRLCGASSSSESPLKRAAALSQHNMVPHTSRWSPRVGPSQPGPAVTVSASGTNYIASSPSPAGSGGTSVFRESRLQSPWN >fgenesh2_kg.5__1142__AT3G47860.1 pep chromosome:v.1.0:5:13507468:13509139:-1 gene:fgenesh2_kg.5__1142__AT3G47860.1 transcript:fgenesh2_kg.5__1142__AT3G47860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTSISLSTPVSSQRFSPPPATTTRRSHISVTVCSSKGLLKNAELKCFLEKPIGNLSLRKHLVSGLAAILLLSQAGQGIALDLSSGYQNICQLGSASVEETKLTLPLDNASDGDSEAMMMMMMRGMTTKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYSFDMKESAIRVDTFCVHGSPDGYITGIRGKVQCVGAEDLEKSETDLEKREMIKEKCFLRFPTIPFIPKLPYDVIATDYDNYALVSGAKDKGFVQVYSRTPNPGPEFIAKYKNYLAQFGYDPDKIKDTPQDCEVMSDGELAAMMTMPGMEQTLTNQFPDLGLRKSVQFDPFTSVFETLKKLVPLYFK >fgenesh2_kg.5__1145__AT3G47890.1 pep chromosome:v.1.0:5:13513603:13520296:-1 gene:fgenesh2_kg.5__1145__AT3G47890.1 transcript:fgenesh2_kg.5__1145__AT3G47890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKKKAPAPLDSAVIKLECEKALKSFGRGSYNKAIRLIKDSCSRHQDSALIHRVQGTICVKVAAVYEDLATKHKYLRNAIESARKAVELSPNSIEFGHFYANLLYEAANDGKREYDEVVQECQRALSIENPIDPAKESLHDETQLKILTPEARIVHVQDELRSLIQKSNIGSLSTWMNNLGKGEEKFRLIPIRRMAEDPIESNLIQTRRPNEIKKANKTIEEIRKEVEVRVAAARLLQQKSESSPLENVGAVDNKGPDPTLGSGKRSGERRKHGNARKNGSTADRRDRVRSYWDSMSKEMKKQLLRVKVSDLKSHFSASKDGNANEIISEALSFCEANKTWRFWVCCQCSEKFIKSEAHMHHIVQEHMGNVLPKMQMVLPQSVDTERIDMLLTSPWKPLDLSAAIKLLRGQQKIQNSKFDEFHSGDNMDDGDDCFTDARNDTSPEKESLGYTCNGCNENEQEEVKLSIAFPPPDGWPISDDPERAKLLEKIRAAFELLIRHKYLAASHHDKVIQFTLDELQNLASVSQFLSRSLNQSPICICFLGASQLRKILIFLQDLTQACGLNRYSEQSNSNDEINSGDLSREVTEEILLDGEDSCLLLDEKVLGTECIQEKYMGSAFDNVAIVSSGDIANGNNVSSGADGFLSWIFAGPSSGEQVVSWMCTKEEKTNQGLEIMQHLEKEFYHLQNLCERKCEHLSYEGALQTVEDLCLEEARKRETSAEFTHESYESLLRKRRQGLNENDLELVFISSRFELDAITNVLKDAETLNHNQFGYEESYGCTSSQLRDLESGEADEWGMKDSLHEADSFIEVAIQKQKEQLSAELSRIDAQMMRNVTGMQQLELKLGPVSFNDYQIVLLPLVKSYMRAHLEALAEKDATEKSDAAREALLVELALDSKKEARGRNDNSKNTLEKSKDKKKIKDTRKLKDLKATIGNDHRFNVDSIEHSLPSVASFVDHSEADVVSEAVEALKDEEEEYRRRIELEEEERKLEKTLEYQRRIENEAKEKHIAEQNKKYSCLHPMNVTEAVYDDCIENFFDDVDLQEQEKSINQEKRNGQLDDLEGAKVNINCVFLSTNHCVISDTGKVQDVKSQEVVPNGIAIQSGVFQSDQRPGRRGRRQKASNKLVDGKYQVIPSESEDSKSQRSGTVSERQSEILRSNGDAGIKTLRQLQAEDDEEERFQADLKRAVLQSLDVYRGGRNMTSCLRTSLEDNNDWVLSDVTKESQSSPGVAIFGTGLQNEVGEYNCFLNVIIQSLWNLGLFRAEFLRSSTLEHHHVGDPCVVCSLYEILTALSAATSEARKEPVAPSSLRIALSNLYPDSSFFQEAQMNDASEVLAVIFDCLHRSFAQSSSVSDTESTESNSTGSWDCANRSCIAHSLFGMDIFEQLNCYSCGLESRHLKYTSFFHNINASALRTMKVTCAENSFDELLNLVEMNHQLACDPEAGGCGKPNHIHHILTTPPHVFTIVLGWQNTCETVEDIAATLAALNTEIDISIMYRGLDLKSTYSLVSVVCYYGQHYHCFAHSHEHDRWIMYDDQTVKVIGSWSDVLSMCERGHLQPQVLLYEKKL >fgenesh2_kg.5__1148__AT3G47930.1 pep chromosome:v.1.0:5:13529996:13533089:1 gene:fgenesh2_kg.5__1148__AT3G47930.1 transcript:fgenesh2_kg.5__1148__AT3G47930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-galactono-1,4-lactone dehydorogenase [Source:UniProtKB/TrEMBL;Acc:D7LRH0] MLRSLLLRRSVGHSLGTLSPSSSTIRSPFSPHRTLCTAGQTLTPPPPPPPRPPPPIPSTASEAEFRKYAGYAALAVFSGVATYFSFPFPENAKHKKAQIFRYAPLPEDLHTVSNWSGTHEVQTRNFSQPENLADLEALVKESHEKKLRIRPVGSGLSPNGIGLSRSGMVNLALMDKVLEVDKEKKRVTVQAGIRVQQLVDAIKDYGLTLQNFASIREQQIGGIIQIGAHGTGARLPPIDEQVISMKLVTPAKGTIELSREKDPELFHLARCGLGGLGVVAEVTLQCVERHELVEHTYVSNLQEIKKNHKKLLSANKHVKYLYIPYTDTVVVVTCNPVSKWSGPLKDKPKYTTEEAVQHVRDLYRESIVKYRVQDSGKKSPDSNEPDIKELSFTELRDKLLALDPLNDVHVAKVNQAEAEFWKKSEGYRVGWSDEILGFDCGGQQWVSESCFPAGTLANPSMKDLEYIEELKKLIEKEAIPAPSPIEQRWTARSKSPISPAFSTAEDDIFSWVGIIMYLPTADPRQRKDITDEFFHYRHLTQKQLWDQYSAYEHWAKIEIPKDKEELEALQARIRKRFPVDEYNKARRELDPNRILSNNMVEKLFPVSTTA >fgenesh2_kg.5__1149__AT3G47940.1 pep chromosome:v.1.0:5:13533251:13534993:-1 gene:fgenesh2_kg.5__1149__AT3G47940.1 transcript:fgenesh2_kg.5__1149__AT3G47940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNILKVNHNATEDDLKKAYKRLAMIWHPDKNPSTRRDEAEAKFKRISEAYDVLSDPQKRQIYDLYGEEGLKSGKIPNSSSSSEASSSSSSSSSRYSHFHQHRPQHPPNAAAFRFNPRDAEDIYAEFFGSENGGGGNGGGRGNRTYRNGHFNTGGANGYSGEMRKVPAVENPLPVSLEDLYKGVVKKMRLTRNVYDASGRMMVEEEILPIDIKPGWKKGTKLTFPKKGNEEPGIIPADIIFVVEEKPHPVYKRDGNDLLVNQEITLLEALTGKTVNLITLDGRTLLIPLTEIIKPDHEIVVPNEGMPISKEPGKKGNLKLKLSVKYPSRLTSEQKSELKRVLGGVS >fgenesh2_kg.5__114__AT2G01990.1 pep chromosome:v.1.0:5:620927:622368:-1 gene:fgenesh2_kg.5__114__AT2G01990.1 transcript:fgenesh2_kg.5__114__AT2G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LPY4] MNGSPEQSFRSPEMDYSDVSTGYLEDAIIESGERSKRRRLLFEDPSKSLKDNYMQNDWGLHESYSYLNSQFVTPHVNTDERKIGVSYFEEPISTVYESPDTSVSSGEIFVREKSLTEPSSSNCGNKNKRLITKLVYPFGLVKPGGREDDVTLNDINERILMAPSRPVRHPVGDFASRPCVSGSGPGLSGKAVVALTKIQTQGRGTITIIRT >fgenesh2_kg.5__1151__AT3G47980.1 pep chromosome:v.1.0:5:13560458:13562901:1 gene:fgenesh2_kg.5__1151__AT3G47980.1 transcript:fgenesh2_kg.5__1151__AT3G47980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane HPP family protein [Source:UniProtKB/TrEMBL;Acc:D7LRH6] MASLPVKPLSSGHLQLHRRNLIIPSSMGTVGFKRHNFLGVSSYDLCIDESVRHMRSLRSSSNRWPVSKSAGVSMPVASSDDFPAVSWETWKPEKTTVAPSLSDVIWPAAGAFAAMAILGRIDQMLNPRGISMSVAPLGAVSAILFITPSSPAARKYNMFTAQIGCAAIGVLAFSVFGPGWLARSTALAASIAFMVITRANHPPAASLPLLFIDGAKLHKLNFWYALFPGAAACILLCFLQEIVCYLKENFKF >fgenesh2_kg.5__1152__AT3G47990.1 pep chromosome:v.1.0:5:13564182:13567306:-1 gene:fgenesh2_kg.5__1152__AT3G47990.1 transcript:fgenesh2_kg.5__1152__AT3G47990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LRH7] MAMRGVDFKWYDGFFLSMLATSVIIVAVNWNRYRACEYPLHIWIVVDYTTVFLFRVFMFVDNGLAAGLGLDFGSQQRNAMFCGRVVVLSVLSLLLYPFLWAWTVIGTQWFTRAKTCLPEEGQKWGFLIWLMFSYCGLLCIAFICVGKWLTRRQVHLLRAQQGIPISEFGILVDMIRVPDWAFEAAGQEMRGISQDAATYHPGLYLTPAQAEAVEALIQELPKFRLKAVPDDCGECLICLEEFHIGHEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPDLDLSALSNLQSSGTEQPSQVNTETTEARYIRSQPQSESYFLRVQSLIHPVHTDTALETAENGGVPPVLTDLSPSRR >fgenesh2_kg.5__1153__AT3G48000.1 pep chromosome:v.1.0:5:13568381:13571591:-1 gene:fgenesh2_kg.5__1153__AT3G48000.1 transcript:fgenesh2_kg.5__1153__AT3G48000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALDH2B4 [Source:UniProtKB/TrEMBL;Acc:D7LRH8] MAARRVSSLLSRSLSASSPLLFRSQGRNCYNGGILRRFGTSSAAEEIINPSVQVSHTQLLINGNFVDSASGKTFPTLDPRTGEVIAHVAEGDAEDINRAVKAARKAFDEGPWPKMSAYERSRVMLRFADLVEKHSEELASLETWDNGKPYQQSKTVEIPMFARLFRYYAGWADKIHGLTVPADGNYHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTIVLKTAEQTPLTAFYAGKLLLEAGLPPGVLNIVSGFGATAGAALASHMDVDKLAFTGSTDTGKVILGLAANSNLKPVTLELGGKSPFIVFEDADIDKAVELAHFALFFNQGQCCCAGSRTFVHEKVYDEFVEKSKARALKRVVGDPFRKGIEQGPQIDSKQFEKVMKYIRSGVESNATLECGGDQIGDKGYFIQPTVFSNVKDDMLIAQDEIFGPVQSILKFSDVDEVIKRANETRYGLAAGVFTKSLDTANRVSRALKAGTVWVNCFDVFDAAIPFGGYKMSGNGREKGIYSLSNYLQIKAVVTALNKPAWI >fgenesh2_kg.5__1155__AT3G48020.1 pep chromosome:v.1.0:5:13576242:13576799:1 gene:fgenesh2_kg.5__1155__AT3G48020.1 transcript:fgenesh2_kg.5__1155__AT3G48020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGQANPLLGGESSCCSNSTAKSSWWHRIHSNHEETRWWVRAFLKIREWSEIVAGPRWKTFIRRFNRDPRRGRDWDDSDKFRYDPASYTLSFEDEDKDDDDEAGFGGARSFSMRYASVPVASGTTPAVKSVDAVK >fgenesh2_kg.5__1157__AT3G48040.1 pep chromosome:v.1.0:5:13585806:13587780:1 gene:fgenesh2_kg.5__1157__AT3G48040.1 transcript:fgenesh2_kg.5__1157__AT3G48040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGITVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLISRASYENVFKKWIPELQHFAPGVPIVLVGTKMDLREDKHYLSDQPGLSPVTTSQGEELRKHIGAAYYIECSSKTQQNVKAVFDAAIKVVIKPAVKQKEKKKKKQKPHNGCLSNILCGKH >fgenesh2_kg.5__1158__AT3G48060.1 pep chromosome:v.1.0:5:13588124:13593969:-1 gene:fgenesh2_kg.5__1158__AT3G48060.1 transcript:fgenesh2_kg.5__1158__AT3G48060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRVCERRHKSRRRHMLISSSRVIATVEGGGSSCLSLSSSTSFSKDGRKISVGDCALFKPPQDCPPFIGIIRLIIAEKEGKLKLGVNWLYRPTELKLGKGTLLEAEPNELFYSFHEDNIPAASLLHPCKVAFLPRGVELPSGICSFVCRRVYDVTNERLWWLTDQDYIDDRQLEVDKLLCKTRSEMHTTLQQGGRSPKSMNSPTTSQAKDGIQNNNSFLSQGKGRKRERMDHGSESVKRERSSRVDDSGSGPLRTESGLKSEISKFTEKGGLVDSEGVEKLLQLMLPERNEKKIDLIGRAILAGVVAATDKFDCLSRFVQLRGLPVFDEWLQEVHKGKVGDGGSPKDSDRLVDDFLLVLLRALDKLPVNLNALQTCNIGKSVNHLRSHKNSEIGKKARSLVDTWKKRVEAEMDAKSGSNQGVSWPGRLSHGGRHSGGSAEANKTSSSHLHASKSVSVKQQVENNLKCVATSPGSTRSAPSPGSGGTISKDGQQRNAGAGGVSEVLAAVKDEKSSSSSQSHNNSQSCSSEHAKTGNLCGKEDARSSTAGSTLKKCSGGSSRHRKSNNVFQGSSSSASPREAGFSRSFSSQRNVPSEKISQSSLTSEKTLEVPLTESSGNKLIVKLPNRGRSPAQSVSGGSLEDPAPVNSRVSSPVHAVKQELCDNNVREKNHSYRANVSSVLNAESWQSNELKDILTGSQEAAGSPLVVAGDERGGALKDSDKAAGNVKGTSSLGNDFKSGERHGGTLSSMNALIESCVRYSETNASLAGSDDVGINLLASVAADEMSKSPVASPSVSQPPNSLMNENSTVGNNTKLIASDGLPHEQHQAARTTVSNEQGEQHVSSSGTQLESEIKNESKTGDRDKSSNSETEDLQRLVDKRLENNDNSDGAVASPVLPTKAIKEKILDDSDSGEVKDIKADVKSEADCTSDSTKRVASSMLTECRDVSQKVDSVAVEHTPLDRVDDKKEEKPPTALSSELVKKVEEDVPVSSGISRGMDAVSIDRPITEMVNNMAVNHIDQKDIKKIKQDCDAFVGAIKDASAGLDSSVTKGKVEPVEGNLENIKVKERCLGLKATPGVSPKDAEDLKRPNGPKTSDADGDEAEECTSAARDASSVSAAASAGSEMDARVEFDLNEGFDGDDAKHGDSNNFSGSVFLTPTPLQPVNTLPFPVAPVSSGIPASITVAAAAKGPFVPPEDLLRNKGAVGWRGSAATSAFRPAEPRKAQDVLLSINNTSTSDASTSAGKQTRTFLDFDLNVPDERVLEDLASQRTGIATNCTSGITNSFDQVRSGVMGSALDHSSGGLDLDLNKVDDSTDMNNYNMSSSHRLDSSFQHVKLPSTGGRRDFDLNDGPAGDDAAVEPSMVLNQHSRSGLPSQPSLSGIRVNGENMASFSTWFPAANAYSAVSIPPIMPERGDQPFPMIANRGPQRMLGPTTGVSSFAPEGYRGPVLSSSPAMPFQSTTFQYPVFPFGNSFPITSANFSGASTTHMDSSSSGRACFPGVNSQILGPGVPVPSNYPRPYIVGLPNGGSNGGVLDNSAKWFRSGLDLNSGPGGHETEGRDESTLVARQLSSSASLPLKEDQARMYQMSGGVLKRKEPEGGWDGYRQSSWQ >fgenesh2_kg.5__115__AT2G02010.1 pep chromosome:v.1.0:5:627105:645623:-1 gene:fgenesh2_kg.5__115__AT2G02010.1 transcript:fgenesh2_kg.5__115__AT2G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:D7LPY5] MVLSKTASESDVSIHSTFASRYVRNSLPRFEMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMESINKNYVDMDEYPVTTELQNRCVNMIARLFNAPLGDGEAAVGVGTVGSSEAIMLAGLAFKRQWQNKRKAQGLPYDKPNIVTGANVQVCWEKFARYFEVELKEVNLREDYYVMDPEKAVEMVDENTICVAAILGSTLTGEFEDVKLLNDLLVEKNKQTGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVVWRTKTDLPDELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYRNVMDNCRENMMVLRQGLEKTGRFNIVSKENGVPLVAFSLKDSSRHNEFEVAETLRRFGWIVPAYTMPADAQHVTVLRVVIREDFSRTLAERLVADFEKVLHELDTLPARIHAKMANGKVNGVKKTPEETQREVTAYWKKLLETKKTNKNTIC >fgenesh2_kg.5__1161__AT3G48090.1 pep chromosome:v.1.0:5:13600688:13605645:-1 gene:fgenesh2_kg.5__1161__AT3G48090.1 transcript:fgenesh2_kg.5__1161__AT3G48090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhanced disease susceptibility 1 [Source:UniProtKB/TrEMBL;Acc:D7LRI7] MAFEALTGINGDLITASWMASKKAYQTERYHKEEAGTVVIFAFRPSFSAEDLFAPANISSFGEIKMKRVQFPCMRKIGKGDEATVNEAFLRNLEVIIDPKTSFYASVEMAVSSRKQIVFTGHSSGGATAILATVWYLEKYFIRNPNVYPEPRCVTFGAPLVGDSIFSHALGRENWSRFFVNFVTRFDIVPRIMLARKTSIEQTLPHVLGQLDPTNPSIQESDQRITEFYTTVMRDTSTVANRAVCELTGTAEAFLETLSSFLELSPYRPAGTFVFSTEKRLVAVNNSDAILQMLFYTSQASDEQERSLIPVRSIRDHHSYEELVQSMGMKLFNHLDGENSIGSTLNDLGVSTRGRQYVLAALEEEKKRVENQKKIENKWPQIVKELLWIENEYKPKCQAHKIGYYDSFKVSNEENDFKANVKRAQLAGIFDEVLGLSKKGQLPDEFEGGRDWIELATRYRRLIEPLDIANYHRHLKNEDTGPYMRRGRPNRYIYAQRGYEHIILKPQGRIAEDVFWNKVNGLNLGLQQEIQEILRNSGSECGSCFWAEVEELKGKPYEEVEIRVKTLEGFLQGWIRDGEVDDKEIFLEGSTFRKWWSTLPENHKLHSPLREHMMDEIRAT >fgenesh2_kg.5__1164__AT3G48110.1 pep chromosome:v.1.0:5:13612443:13620359:1 gene:fgenesh2_kg.5__1164__AT3G48110.1 transcript:fgenesh2_kg.5__1164__AT3G48110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-t-RNA synthetase [Source:UniProtKB/TrEMBL;Acc:D7LRI9] MAILHLSLPLIVSFLRPHASPRFFLLPRSLSHSPFLSRRRFHRTSAVSSAAVHHHSYRKSDDDISRAASVPTFQQAIQRLQEYWASVGCAVMQPSNTEVGAGTMNPCTFLRVLGPEPWNVAYVEPSVRPDDSRYGENPNRLQRHTQFQVILKPDPGNSQQLFINSLSALGIDVTAHDIRFVEDNWESPVLGAWGLGWEIWMDGMEITQFTYFQQAGSLPLSPVSVEITYGLERIIMLLQEVDHFKKILYADGITYGELFLENEKEMSSYYLEHASVDRLQKHFDYFDEEARSLLALGLPIPAYDQLLKTSHAFNILDARGFIGVTERARYFGRMRSLARQCAQLWLATRESLGHPLGVVSEPVPPVCHRAALEKVAEKVPEDPRSFIIEIGTEEMPPQDVINASEQLRVLVLELLEDQRLRHGAVKAFGTPRRLVVLVDAMSSKQLEEEVEVRGPPASKAFDDQGNPTKAADGFSRRYGVPLEKLYRKVSGKTEYVHARVTEPARRALEVLSEDLPGILAKISFPKSMRWNSSVMFSRPIRWVMALHGDLVVPFSFAGISSGNVSCGLRNTASASLFVQNAESYEDAMRNSGINIEIEAFMDLCCHFIGYHNLQERKKIILEKSNALAKSVNGRLVVPQDLLNEVANLVEAPVPLIGKFKESFLELPEELLTIVMQKHQKYFSIIDESGQLLPYFIAVANGAINEDVVKKGNEAVLRARYEDAKFFYEVDTRKRFSEFRDQLQGILFHEKLGTMLDKMNRLKKMVSKLCLALEIDEDLLPVVEDAASLAMSDLATAVVTEFTALSGIMARHYALRDSYSEQIAEALLEITLPRFSGDVIPKTDAGMVLAIADRLDSLVGLFAAGCQPSSTNDPFGLRRISYGLVQILVEKDKNVNFKRALELAASVQPTKVEANTVEDVYQFVTRRLEQLLVDNGVSPEVVRSVLAERGNNPCLAARTAYKMEKLSKGEIFPKIVEAYSRPTRIVRGKDVGVGVKVDENAFETSQERTLWRTYTSIKDRIHTGIEIEEFTEVSMQLVEPLEDFFNNVFVMVEEERVRKNRLALLNNIANLPTGVIDLSFLPGF >fgenesh2_kg.5__1166__AT3G48140.1 pep chromosome:v.1.0:5:13626884:13628079:1 gene:fgenesh2_kg.5__1166__AT3G48140.1 transcript:fgenesh2_kg.5__1166__AT3G48140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRWLRPEVYPLFAATGVAVGICAFSLIRNITGNPEVRCTKENRAAGILDNHAEGEKYKENFLRKFVRNKKPEIMPGLNKFFTDPTY >fgenesh2_kg.5__1167__AT3G48150.1 pep chromosome:v.1.0:5:13628244:13631232:-1 gene:fgenesh2_kg.5__1167__AT3G48150.1 transcript:fgenesh2_kg.5__1167__AT3G48150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex/cyclosome 8 [Source:UniProtKB/TrEMBL;Acc:D7LRJ3] MVSKDSCRNEIRAAIRQLSDRCLYSAAKWAGEQLVGIEQDPSNFTPANTRFQRGSSSIRRRFSTNESISTPLPSVGFSQAATPLPEEDEAIDGDFYLLAKSYFDCREYRRASHVLRDQVSKKSVFLRYYALYLAGEKRKEEEMIELEGPLGKSDAINRELVSLERDLSALRRTGAIDSFGLYLYGVVLKEKGNESLARASLVESVNSYPWNWSAWSELQSLCTSIEVLNSLNLSNHWMKEFFLGNAYQELRMHTESLAKYEYLQGIFSFSNYIQAQTAKAQYSLREFDQVEIMFEELLRNDPYRVEDMDLYSNVLYAKEACAALSYLAHKVFLTDKYRPESCCIIGNYYSLKGQHEKAVMYFRRALKLNKKYLSAWTLMGHEYVEMKNTPAAIDAYRRAVDINPCDYRAWYGLGQAYEMMGMPFYALHYFRKSIFFLPNDSRLWIAMAKCYQTEQLYMLEEAIKCYKRAVNCTDTEGIALNQLAKLHQKLGRNEEAAFYFEKDLERMDAEGLEGPNMFEALVFLATHFKTHKKFEEAEVYCTRLLDYSGPEKEKAKSLLRGIRMAQTGFPSMDLEHFPI >fgenesh2_kg.5__1169__AT3G48160.2 pep chromosome:v.1.0:5:13633086:13635803:1 gene:fgenesh2_kg.5__1169__AT3G48160.2 transcript:fgenesh2_kg.5__1169__AT3G48160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSPERLKLPITSMPESSSSLHHSYSRKQKSLGLLCTNFLALYNRDGIEMIGLDDAASKLGVERRRIYDIVNVLESVGVLTRRAKNQYTWKGFAAIPGALKELQEEGVKDTFHRFYVNENVKGSDDEDDYEESSQPHSSSQTDSSKPGSLPQSSDSSKIDNRREKSLGLLTQNFIKLFICSEFIRIISLDEAAKLLLGDAHNTSIMRTKVRRLYDIANVLSSMNLIEKTHTLDSRKPAFKWLGYNGEPTFTLSSDLMLLESRKRAFGTDLTNVNVKRSKSSSSSQEIATERKLKMKKHSTPESSYNKSFDVHESRHGSRGYQFGPFAPGTGTYPRAGLEDNSRRAFDVENLTSDYRPSYQNQVLKDLFSHYMDAWKTWYSEVTQKNPSPNTSQHR >fgenesh2_kg.5__1175__AT3G48209.1 pep chromosome:v.1.0:5:13701855:13702062:1 gene:fgenesh2_kg.5__1175__AT3G48209.1 transcript:fgenesh2_kg.5__1175__AT3G48209.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LRK3] MEMKKCSMMMMMMILMMLVMASIRGGDAVIIRTTCKQMCIDQCGGRITIPETPCLRKCLHEKCGFPAPP >fgenesh2_kg.5__1180__AT3G48270.1 pep chromosome:v.1.0:5:13735782:13737315:1 gene:fgenesh2_kg.5__1180__AT3G48270.1 transcript:fgenesh2_kg.5__1180__AT3G48270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71A26 [Source:UniProtKB/TrEMBL;Acc:D7LRL2] MMIMIFLLCLLIFVTIIFFKKQKRGKKINTLPSPPGLPLIGNLHQLGHHPHRSLCSLSHRYGPLMLLHFGRVPLLYDKHDVASAPYGEYWRQMKSVCVLHLFSNKMVRSFREVREEEISVMMENIRKSNSLPVNLSKILVSLTNDVICRVALGRKYGGEVDFKELMERLSKLLGTFSVGSYIPWLAWVDWVSGLDGQLEKTANDLDKFFERVVQDHVNGNRDRTDFVDVLLSIQREKSVGFEINRVSIKAIVLDVFVGGTDTSYTLMEWAMTELLRHPKCLNILQEEVRTICKDRPSVSEDDIKDMNYLKAVIKETLRLHPPLPLMAPHESTQDVRLRDYHIPAGTQVLINAWAIGREAATWGPDAEEFRPERHLYSSVDYRGQAFELIPFGAGRRICPAISFAVALNEVVLANLVHRFDWRLPVESTEDQTDVAESTGIAIHRMLPLYAVASSTT >fgenesh2_kg.5__1181__AT3G48280.1 pep chromosome:v.1.0:5:13738562:13740282:1 gene:fgenesh2_kg.5__1181__AT3G48280.1 transcript:fgenesh2_kg.5__1181__AT3G48280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71A25 [Source:UniProtKB/TrEMBL;Acc:D7LRL3] MMMMILLLWSIIFMTILFLKKKLSGKKGKTPPSPPGLPLIGNLHQLGRHTHRSLCSLSRRYGPLMLLHLGRVPVLIVSSADMAQEILKTHDQAFANRPRSKLSQKLLYNNRDVASAPYGEYWRQMKAVCTIHLLSNKMVRSFRDVREEEITLMMEKIRKSSSLPFNVSKVLESLTNDVICRVALGRKYGGETDFKKLTDRLSELLGTFSIGSFVPWLAWIDWIRGWDAQLDKMGKDLDDFFEKVVQDHEDGDNRDRFDLIDALLKVKREKSPGFEIERVSIKAITLDVFVGGSDTSFTLLEWAMTELLRHPESLKRLQEEVRTICKGKSSVSEDDIKEMKYLKAVIKEALRLHPPFPMMAPHESTEDVKLRDYHIPAGTQVMMNAWAIGREVATWGPDAEEFKPERHLDTSVDFRGQNFELLPFGAGRRICPAVSFAVVLNEVVLANLVHGFNWKLPEESKEDKTDVAESSGFSVHREFPLYAIASPYLT >fgenesh2_kg.5__1183__AT3G48310.1 pep chromosome:v.1.0:5:13747791:13749506:1 gene:fgenesh2_kg.5__1183__AT3G48310.1 transcript:fgenesh2_kg.5__1183__AT3G48310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71A22 [Source:UniProtKB/TrEMBL;Acc:D7LRL6] MESTIMILLLSLIIFITILFFKKQKRGKKSNTPSSPPRFPLIGNLHQLGRHPHRSLFSLSHRYGPLMLLHFGRVPVLVVSSADMARGILKTHDRVFASRPRSKIFGKLFYNARDVALAPYGEYWRQMKSVCVLQLLSNKMVRSFRNVRQEEISLMMEKIQKSSSLRVNLSELLVSLTNDVISRVALGRKYSVGMDFKELMKSLTRLLGEFSVGTYIPWLAWIDWISGLDGQLKKTGNDLDEFLEKVVQDHVDGDGQRTDFVDVLLAIQREKSVGFEIDRLSIKAIVLDVVVGGTDTSYALMEWAMTELLHRPECLNRLQEEVRTICKGNSSVSEDDIKDMNYLKAVIKETLRLYPPLPLMVPHESTQDVRLGDYHIPVGTQVMINAWAIGREVATWGPDAEEFRPERHLESSSDFRGQDFELIPFGAGRRMCPGISFAVVLNEVVLANLVHGFDWRLPVDHTEDQTNVAESTGMAIHRLFPLYAIASSTT >fgenesh2_kg.5__1186__AT3G48350.1 pep chromosome:v.1.0:5:13760250:13762070:1 gene:fgenesh2_kg.5__1186__AT3G48350.1 transcript:fgenesh2_kg.5__1186__AT3G48350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFFIVLSFLCLLQASKGFDFDEKELETEENVWKLYERWRDHHSVTRASHEALKRFNVFRHNVLHVHRTNKKNKPYKLKVNRFADITHHEFRSSYAGSNVKHHRMLRGPKRGSGGFMYENVTRVPSSVDWREKGAVTEVKNQQDCGSCWAFSTVAAVEGINKIRTNKLVSLSEQELVDCDTEENQGCAGGLMEPAFEFIKNNGGIKTEETYPYDSNDVQFCRAKSIDGETVTIDGHEHVPENDEEALLKAVAHQPVSVAIDAGSSDFQLYSEGVFIGECGTQLNHGVVIVGYGETKNGTKYWIVRNSWGPEWGEGGYVRIERGISENEGRCGIAMEASYPTKVSSTPSTPESVVRDDVKDEL >fgenesh2_kg.5__1190__AT3G48380.2 pep chromosome:v.1.0:5:13779069:13783148:1 gene:fgenesh2_kg.5__1190__AT3G48380.2 transcript:fgenesh2_kg.5__1190__AT3G48380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSETATVRVLCQKLLLSGNQPGTLQWLIGSPFFPPFTVVSTFRCIHHSPDFQQESDDLRKLLPKGFEVIGGLIVGDSDAEKTAFEAVRAARRLRKHLSEGGELDDEKIVGASCDAGTGTIHFFISKSENTTKLEPVGSVVYEDKSGKYLWENGCLLHCELPIKLPFYFPASSPSDSKEKFSDAIDAVITQFKEPYVVYIAETLKKASGDVPKPAVLRGKDLGFGADASNINRLPSADQVSDTKMLSCSHFFLNSKTAAAVNSAENADKIHISVLLNRSEKSPTSGTPVAEYFPAMEEARLIVVDLNLDVLVYAPKDLPLMHAASNLVIPALVDQLYSLKKIILPYLLMLRIYHFSPPGVLHPITTIYELNYGETEMKQVDVRKSLHLRLGLPLDRPLLRTANALDLSVNDDSRGNIKKRGSFLLKDVHIGIPSSGVAEGVASIIQGSYEYYHYLQDSFDDSGWGCAYRSLQTIISWFRLQHYTSVAVPSHREIQQTLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGVSCKIMNFRSGSELPEKCRELAMHFENQGTPIMIGGGVLAYTLLGVDYDEGSGDCAFLILDPHYTGSEDHKKIVNGGWCGWKKAVDSKGKSFFLHNKFYNLLLPQRPNMV >fgenesh2_kg.5__1192__AT3G48410.1 pep chromosome:v.1.0:5:13787489:13791265:-1 gene:fgenesh2_kg.5__1192__AT3G48410.1 transcript:fgenesh2_kg.5__1192__AT3G48410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7LRW3] MAGEEREREKKSAASGRTHTRNNTQQISSSGYLKTILLVTFVGVLAWIYQTIQPPPAKLVGSPGGATVTSPRIKLRDGRHLAYNEFGIPRDEAKFKIIYIHGFDSCMRDSHFANFLSPALVEELRIHIVSFDRPGYGESDPNLNGSPKSIALDIEELADGLGLGPKFYLFGLSMGGEITWACLNYIPHRIAGAGLVAPAINYWWRNLPGDITREAFSLMHPADQWSLRVAHYAPWLTYWWNTQKWFPVSNVIAGNPIIFSRQDMEILSKLGFVNPNQAYIRQQGEYVSLHRDLNVGFSSWEFDPIDLQDPFPNNNGSVHLWNGDEDKFVPVKLQRYIASKLPWIRYHEISGSGHLLPFVEGMTDKIIKSLLVGEENVSESREASV >fgenesh2_kg.5__1196__AT3G48430.1 pep chromosome:v.1.0:5:13802192:13807386:1 gene:fgenesh2_kg.5__1196__AT3G48430.1 transcript:fgenesh2_kg.5__1196__AT3G48430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEQSQDVFPWLKSLPVAPEFRPTLAEFQDPIAYILKIEEEASRYGICKILPPLPPPSKKTSISNLNRSLAARAAARVRDGGFGACDYDGGPTFATRQQQIGFCPRKQRPVQRPVWQSGEEYSFGEFEFKAKNFEKNYLKKCGKKSQLSALEIETLYWRATVDKPFSVEYANDMPGSAFIPLSLAAARRRESGGEGGTVGETAWNMRAMSRAEGSLLKFMKEEIPGVTSPMVYIAMMFSWFAWHVEDHDLHSLNYLHMGAGKTWYGVPKDAAVAFEEVVRVHGYGGELNPLVTFSTLGEKTTVMSPEVFVKAGIPCCRLVQNPGDFVVTFPGAYHSGFSHGFNFGEASNIATPEWLRMAKDAAIRRAAINYPPMVSHLQLLYDFALALGSRVPTSINAKPRSSRLKDKLRSEGERLTKKLFVQNIIHNNELLSSLGKGSPVALLPQSSSDISVCSDLRIGSHLITNQENPILLKSEDLSSDSVMVGLSNGLKDTVSVKEKFTSLCERSRNHLASREKDTQETLSDAERRKNDGAVALSDQRLFSCVTCGVLSFDCVAIVQPKEAAARYLMSADCSFFNDWTAASGSANLGQAARSLHPQSTEKHDVNYFYNDPVQTMDHSMKTGDQRTSTTSLTMAHKDNGALGLLASAYGDSSDSEEEGQKGLDVPTSEGETKKYDQEDADGNEEARDGRTSDFNSQRLTSEQNRLSKGGNSSLLEIALPFIPRSDDDSCRLHVFCLEHAAEVEQQLRPFGGIHIMLLCHPEYPRIEAEAKIVAEELVINHEWNDTEFRNVTREDEETIQAALDNVEPKGGNSDWTVKLGINLSYSAILSRSPLYSKQMPYNSVIYNAFGRSSPATSSPLKPEVSGKRSSRQRKYVVGKWCGKVWMSHQVHPFLLEQDLEGEESERNCHLRGALVEDVTRTGLLPCNVSRDATTMFGRKYCRKRKVRAKAVPRKKLTSFKREDGVSDDTSEDHSYKQQWRASGNEEESYFETGNTVSGDSSNQMSDQQLKGIRRHRGVKEFESDNEVSDRSLGEEYTVRGCAASESSMENGFQQSMYDDDDDDDDIDRHPRGIPRSERIAVFRNPVSYDSEENGIYHQRGRVSRSNRQANRMGGEYDSEENSLEEQDFCSTGKRQTRSTAKRKVKIETVRSPRDTKGRTLQEFASGKKNEELDSYMEGPSTRLRVRNLKPLRAASETKPKKIGKKRSGNASFSRVATEEDMEEDEEAENEEEECAAYQCDMEGCTMSFSSEKQLTLHKRNICPVKGCGKNFFSHKYLVQHQRVHSDDRPLKCPWKGCKMTFKWAWSRTEHIRVHTGARPYVCAEPGCGQTFRFVSDFSRHKRKTGHSVKKTKKR >fgenesh2_kg.5__1197__AT3G48450.1 pep chromosome:v.1.0:5:13816333:13817247:-1 gene:fgenesh2_kg.5__1197__AT3G48450.1 transcript:fgenesh2_kg.5__1197__AT3G48450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNRARPLPKFGEWDATNPASAEGFTVIFNKARDDKKTKKTAVAGPESIVSPPRNEEPPKNNNNHHNRNSQTPRSKKKWLCFR >fgenesh2_kg.5__1198__AT3G48460.1 pep chromosome:v.1.0:5:13821864:13823723:1 gene:fgenesh2_kg.5__1198__AT3G48460.1 transcript:fgenesh2_kg.5__1198__AT3G48460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LRW9] MSSSVSLLLTTAVSVTILLFSTISTAATIPNIHHPFNKIYAFGDSFTDTGNSRSGEGPAGFGHLSSPPYGMTFFRRPTNRYSDGRLTIDFVAESMNLPFLPPYLSLKSTNGNGTATDTHGVNFAVSGATVIKHAFFVKNNLSLDMTPQSIETELAWFEKYLETLGTNQKVSLFKDSLFWIGEIGVNDYAYTLGSTVSSDTIRELSISTFTRFLETLLNKGVKYMLVQGHPATGCLTLAMSLAAEDDRDSLGCVQSANNQSYTHNLALQSKLKQLRIKYPSATIVYADYWNAYRAVIQNPSKYGITEKFKACCGTGEPYNFQVFQTCGTVAATACKDPNQYINWDGVHLTEAMYKVMADMFLDGTFTRPRFSNLLFKKLNSL >fgenesh2_kg.5__1199__AT3G48470.1 pep chromosome:v.1.0:5:13824514:13829637:1 gene:fgenesh2_kg.5__1199__AT3G48470.1 transcript:fgenesh2_kg.5__1199__AT3G48470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2423 [Source:UniProtKB/TrEMBL;Acc:D7LRX0] MAEATEQERALENNLLNKVAEAISAISDARHVDQVISAIHSVAVLLFPVDPSLFSGSIGDKYRERVCVFLENLKFSTCSWFKNLWLTYDSVFWWPHRNDWLETFYRGVAFPTFARVLLLDVASDWLSCFPISVQKHLYDKFFVDGSVIEVVQVLVPFLHHVGDGGVDPNSVQTNVERLLILCLLENAGVLKITKEIGDSYQGDNFKNGSLKPLLSRLSQILTSIPDKARASSTEANSTVIVLSFVGEIFSRICRRGLSDLLLSEVTPHVLAHVRRLLNSKMGSTEMETFQLDPTSQIWSKSMEAVTDPYAVEKMAEQLLHQLYAEHASDVEAFWTIWSLFHRNVIHQASVRQAKCFLWQLDSLFRYPFFFDHFHPNDETDLLSFDFIASLVYFANNFLECPPVTITLAKGDVTQGLLETTQRLASVWSKREFLQSVPLEQQAYFQFLFPITDIADITAALGLCLENMSKEELDRTKDVMHSILQGVSCRLENPGDLVRKMASSIAFMFSKVIDPKNPLYLDDSFTGNAIDWEFGLQMAVGGVRSITNSMENEDGENKTSAALTEVNDSSRSNKEKNRKSKNISDFVLADPDEIVDLATLNCETESDKDDVDDTASVSSDNSSVTSLEPYDLMDDDKDLGKQFTHLVDVVGALRKSDDADGVEKAIYVAEKLVRASPDELTHIAGDLARTLVQVRCSDITIEGEEDSAEEKRQRALIALLVTRPFESLETLNNILYSPNVDVSQRIMILDVMSEAARELANSKTLKPKHEARGPLISNISDPQPWYLPSNASTPWKKVPETGSFHLNWANRFERELQSKPGQTKKGKSRRWSLKSADRDQNSTDWSQNKFPLYAAAFMLPAMKEFDKKRHGVDLLGRDFVVLGKLVHMLGVCIQCASMHPEASALAISLLDMLQRREVCNHPEAYVRRAVLFAASSVLVALHPSYIVSTLVEGNLDLSRALEWIRTWALQIADSDIDRDCYTMALSCLQLHAEMALQTSRALESTGGSSSSSTGRINISLPSGISKLTSIKLPSSNVHL >fgenesh2_kg.5__119__AT2G02040.1 pep chromosome:v.1.0:5:656339:658825:1 gene:fgenesh2_kg.5__119__AT2G02040.1 transcript:fgenesh2_kg.5__119__AT2G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPTR2-B [Source:UniProtKB/TrEMBL;Acc:D7LPY9] MGSIEEEAKPLIEEGLILQEAKLYAEDGSVDFNGNPPLKEKTGNWKACPFILGNECCERLAYYGIAGNLITYLTTKLHQGNVSAATNVTTWQGTCYLTPLIGAVLADAYWGRYWTIACFSGIYFIGMSALTLSAAVPALKPAECIGDFCPSATPAQYAMFFGGLYLIALGTGGIKPCVSSFGADQFDDTDSRERVRKASFFNWFYFSINIGALVSSSLLVWIQENRGWGLGFGIPTVFMGLAIASFFFGTPLYRFQKPGGSPITRISQVVVASFRKSSVKLPEDPMLLYETQDKNSAIAGSRKIEHTDDCKYLDKAAVISEEESKSGDYSNSWRLCTVTQVEELKILIRMFPIWASGIIFSAVYAQMSTMFVQQGRAMNCKIGSFQLPPAALGTFDTASVIIWVPLYDRFIIPLARRFTGVDKGFTEIQRMGIGLFVSVLCMAAAAIVEIIRLHMANDLGLVESGAPVPISVLWQIPQYFILGAAEVFYFIGQLEFFYDQSPDAMRSLCSALSLLTTALGNYLSSLILTLVTYFTTRNGQEGWISDNLNSGHLDYFFWLLAGLSLVNMAVYFFSAARYKQKKAS >fgenesh2_kg.5__1201__AT3G48510.1 pep chromosome:v.1.0:5:13844894:13846045:-1 gene:fgenesh2_kg.5__1201__AT3G48510.1 transcript:fgenesh2_kg.5__1201__AT3G48510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGGCCIARYAIGSGPYDLSKADRIMLRFRPIAPKPASPGGVTPVSSGDSGGGSSDVSFRSAGRRKRKCHQQKENGGNAKRCTRRRTSDKPVVHGGANAVTLSLLPDKPIDLKAAVEKQKRQGPLWLSFSDGGEMLTPAYQKPEVVRRTVVISSCMTVERVTDAWIDGYGLGRSDEERKMNLVRDTCPGFISDGSGRVTWTNDAYRKMARENIPVEEGTPEIISGNSFHVFVRLVMRERPMLSSPGFTCRVKLQYTCQNRERGSVTVPCDVWRMDGGGFAWRLDVKAALCL >fgenesh2_kg.5__1202__AT3G48520.1 pep chromosome:v.1.0:5:13857316:13858962:-1 gene:fgenesh2_kg.5__1202__AT3G48520.1 transcript:fgenesh2_kg.5__1202__AT3G48520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP94B3 [Source:UniProtKB/TrEMBL;Acc:D7LRX9] MAYLLSFVILAFLIIIIFFLSSSSTKKVQETTTYGPPSYPLIGSILSFNKNRHRLLQWYTELLRISPSQTILVPLLGNRRTIVTTNPENVEYILKTNFFNFPKGKPFTDLLGDLLGGGIFNVDGHSWSSQRKLASHEFSTRSLRSFAFEVLIDEVENRLVPVLSAAADVGTTVDLQDVLKRFAFDVVCKVSLGWDPDCLDLTRPVNPLVEAFDTAAEISARRATEPVCAVWMVKRVLNVGSERRLREAIRTVHVLVSEIVRAKKKSLEIGIGEEAKQDLLSRFLAAGHNGEAVRDMVISFIMAGRDTTSAAMTWLFWLLTENDDVERKILEEVDPLVSLGLGFEDLKEMNYTKACLCEAMRLYPPVSWDSKHAANDDVLPDGTRVKRGDKVTYFPYGMGRMETLWGTDSEEFKPNRWFHSEPGSTRPVLKPISPYKFPVFQAGPRVCVGKEMAFMQMKYVVGSVLSRFEIIPVNLDRPVFVPLLTAHMAGGLKVKIKRRSHILNNV >fgenesh2_kg.5__1204__AT3G48540.1 pep chromosome:v.1.0:5:13872261:13874080:-1 gene:fgenesh2_kg.5__1204__AT3G48540.1 transcript:fgenesh2_kg.5__1204__AT3G48540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein [Source:UniProtKB/TrEMBL;Acc:D7LRY1] MNSRDLTLVSTSAIFGALISALAFRFFSSNPKNPKSRRFTCTEITAIPRKFQDLDPFSPLKRNGYLSWDDYFMAIAFLSAERSKDPNRQVGACLVSQNGVILGIGYNGFPRGCSDDKLPWAKKSRTGDPLETKYPYVCHAEVNAILNTNHASAAGQKLYVTMFPCNECAKIILQSGVAEVIYFVEKRLNDSDVAYVASHKLLSMANVKVRKHQPEMDQILIKFEEHLLQPGT >fgenesh2_kg.5__1205__AT3G48550.1 pep chromosome:v.1.0:5:13876088:13876896:1 gene:fgenesh2_kg.5__1205__AT3G48550.1 transcript:fgenesh2_kg.5__1205__AT3G48550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQDHHKELQLLPSPPSTESPVRKHRPTVITTDHHHHNRSSSMIHHDLDLKLSISLSSISTAEDLPSGGGGGVEALKWQAAEQIRLAAIEKAYAERVRELTRREMEMAQSEFARARVMWQKAREEVERAERLKERSMTKMDTACLEITCHSCRQRFRP >fgenesh2_kg.5__1206__AT3G48560.1 pep chromosome:v.1.0:5:13885300:13887485:-1 gene:fgenesh2_kg.5__1206__AT3G48560.1 transcript:fgenesh2_kg.5__1206__AT3G48560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetolactate synthase [Source:UniProtKB/TrEMBL;Acc:D7LRY3] MAAATSSSISFSTKPSSSKSPLPISRFSLPFSLNPNKSSSSSSSRRRGIKSSSLSIYAVLNTTNNVTTTPSPTKPTKTEKFISRFAPDQPRKGADILVEALERQGVETVFAYPGGASMEIHQALTRSSSIRNVLPRHEQGGVFAAEGYARSSGKPGICIATSGPGATNLVSGLADALLDSVPLVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVMDVEDIPRIIEEAFFLATSGRPGPVLVDVPKDIQQQLAIPNWEQAMRLPGYMSRMPKPPEDSHLEQIVRLISESKKPVLYVGGGCLNSSDELGRFVELTGIPVASTLMGLGSYPCDDELSLHMLGMHGTVYANYAVEHSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKTPHVSVCGDVKLALQGMNKVLENRAEELKLDFGVWRNELNVQKQKFPLSFKTFGEAIPPQYAIQLLDELTDGKAIISTGVGQHQMWAAQFYKYKKPRQWLSSGGLGAMGFGLPAAIGASVANPDAIVVDIDGDGSFIMNVQELATIRVEQLPVKILLLNNQHLGMVMQWEDRFYKANRAHTYLGDPAQEDEIFPNMLQFASACGIPAARVTKKADLREAIQTMLDTPGPYLLDVICPHQEHVLPMIPSGGTFNDVITEGDGRTKY >fgenesh2_kg.5__1208__AT3G48580.1 pep chromosome:v.1.0:5:13891010:13892159:1 gene:fgenesh2_kg.5__1208__AT3G48580.1 transcript:fgenesh2_kg.5__1208__AT3G48580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7LRY5] MRGYDEKILLMVLVVVAVIAAARGQETTGFVTWANNYYQTWGNQALVINKTSELQLTLDNNSGSGFESQLIYGSGYFNVRIKAPQTTSTGVITSFYLISRSSRHDELCFQILGRNGPPYLLNTNMYLYGEGGKDQRFRLWFDPTKDYHSYSFLWNPNQLVFYVDDTPIRVYRKNPDVYYPSVQTMFLMGSVQNRSIINPKQMPYIAKFQASKIEGCQTEFMGIDKCTDPKFWWNRKQLSSKEKELYINAKKMYLDYDYCSDRQRYPKVPQECGSYS >fgenesh2_kg.5__1209__AT3G48590.1 pep chromosome:v.1.0:5:13892486:13893747:-1 gene:fgenesh2_kg.5__1209__AT3G48590.1 transcript:fgenesh2_kg.5__1209__AT3G48590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNNNQQPPPSAAGIPPPPPGTTISAAGGGASYHHLLQQQQQQLQLFWTYQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDEAAVLGGGMVVAPTASGVPYYYPPMGQPAGPGGMMIGRPAMDPSGVYVQPPSQAWQSVWQTSPGTGDDVSYGSGGSTGLDGQG >fgenesh2_kg.5__120__AT2G02050.1 pep chromosome:v.1.0:5:658986:661018:1 gene:fgenesh2_kg.5__120__AT2G02050.1 transcript:fgenesh2_kg.5__120__AT2G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPGSSKKMIATQEEMSAAKIPLGSRDQCAHLLIPLNKCRQAEFFLPWKCEDERHVYEKCEYELVMERMLAMKKIREEEALAKQNKLQGNAAVPLIPKTANA >fgenesh2_kg.5__1213__AT1G80700.1 pep chromosome:v.1.0:5:13901592:13902916:1 gene:fgenesh2_kg.5__1213__AT1G80700.1 transcript:fgenesh2_kg.5__1213__AT1G80700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LRZ0] QASPRSQLDFVTAAKILFTEPPKKNKFGFDWHVVQFIIVCLPSELGEKKRKEEEKKEKEEAEQKALEVEGATKSHEELMEMKQRLGKIEETIKEIVLETNKPSEKAPTKTQEDQSTKLSPKEESKPEKEHKGNVQKPADGFH >fgenesh2_kg.5__1214__AT3G48670.1 pep chromosome:v.1.0:5:13903666:13906770:1 gene:fgenesh2_kg.5__1214__AT3G48670.1 transcript:fgenesh2_kg.5__1214__AT3G48670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XH/XS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LRZ1] MGSTVILSSDDEDSDISESEMDEYGDKMYLNLKGGKLKVRLSPQAFVCPYCPNKKKPSFQYKDLLQHASGVGNSNSDKRSAKEKASHLALVKYLQQDLADSAVEAEPSSKRQKNGNPIQDCDQDEKLVYPWKGIVVNIPTTKAQDGRSAGESGSKLRDEYILRGFNPTRVRPLWNYWGHSGTAIVEFNKDWNGLHNGLLFDKAYRVDGHGKKDWLKKDGPKLGLYAWIARADDYNGNNIIGENLRKTGDLKTIAELTEEEARKQQKLVQNLTQLVEEKKKDMKQIEELCSVKSKELNQLMEEKEKNEQTHYRELNAIQERTMSHIQKIVDDHEKLKRLLESEKKKLEIKGNELAKREVHNGTERMKLSEDLEQNASKNSSLELAAMEQQKADEEVKKLAEDQRRQKEELHEKIIRLERQRDQKQAIELEVEQLKGQLNVMKHMASDGDAEIVKKVEIIFKDLGEKEGELEDLDKFNQTLILRERRTNDELQEARKELVNIMKEWKTTIGVKRMGELVTQPFVDAMQQKYCQQDVEDRAVEVLQLWEGYLRDPDWHPYKRIKLENQDREVEVIDDRDEKLRELKADLGDGPYNAVAKALLEINEYNPSGSYITTELWNLKEDKRATLEEGVTCLLDQWEKAKRKRGMA >fgenesh2_kg.5__1215__AT3G48680.1 pep chromosome:v.1.0:5:13908047:13910112:1 gene:fgenesh2_kg.5__1215__AT3G48680.1 transcript:fgenesh2_kg.5__1215__AT3G48680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLARIYKRSVTTAVTSNLIRRHFAAEAVAVATTETPKPKSQITPSPDRVKWDYRGQRQIIPLGQWLPKVAVDAYVAPNVVLAGQVTVWDGSSVWNGAVLRGDLNKITVGFCSNVQERCVVHAAWSSPTGLPAQTLIDRYVTVGAYSLLRSCTIEPECIIGQHSILMEGSLVETRSILEAGSVLPPGRRIPSGELWGGNPARFIRTLTNEETLEIPKLAVAINHLSGDYFSEFLPYSTIYLEVEKFKKSLGIAV >fgenesh2_kg.5__1216__AT3G48690.1 pep chromosome:v.1.0:5:13910160:13911289:-1 gene:fgenesh2_kg.5__1216__AT3G48690.1 transcript:fgenesh2_kg.5__1216__AT3G48690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEIAVDCSPLLKIYKSGRIERLMGETTVPPSSVPQNGVVSKDVVYSPDNNLSVRIYLPEKAAENGEKLPLLVYFHGGGFIIETAFSPTYHTFLTAAVSASNCVAVSVDYRRAPEHPISVPFDDSWTALKWVYTHITGSGQEAWLNKHADFSKVFLSGDSAGANIVHHMAMRAAKEKLSPDLNDTGISGIILVHPYFWSKTPIDEKDTKDETLRMKIEAFWMMASPNSADGSNDPLLNVVQSESVDLSGLGCGKVLVMVAEKDALVRQGWGYAAKLEKCGWKGEVQVVESEGEDHVFHLLKPDCDNAIEAMHKFSGFIKGEN >fgenesh2_kg.5__1217__AT3G48710.1 pep chromosome:v.1.0:5:13912272:13915757:1 gene:fgenesh2_kg.5__1217__AT3G48710.1 transcript:fgenesh2_kg.5__1217__AT3G48710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLELKTPQLADTVDVTEKDTEKKEGPVTEDTEKKKKDEVEKDEAMKEKGEENDGERVKSPVTPVSERPIRERKRTGRYIIDTPSPSSVNKPVSIEQGRGTRLREIPNVAYKLSKRKPDDNLFLLHTILYGKKGKAQMLKKNIGQFSGFVWSEQEEEKQRAKAKEKLEKCIKEKLIDFCDVLDIPINKSTVKKEELAVRVLEFLVCPKATRDILLADSEKETKKRKKSTPKNVTSGESSDVPAKRRRQAKNSGKKQEQPTETEGNGEADVGSEGTNDSHGEDDVAPEEENNKNEDTETEDEKDKAKEKTKSTNKKSLSKRTKKEKPAAEEEKSIKGSAKSSRKSSRQIDKSTSSSSKKQKVDKDDSSKEKGKTQTSKLQAKGSKDQGKSRKKGKKEPTKKELHVVVAKILKEVDFNTATLSDILRKLGSHFGVDLMHRKAEVKDIITDAINEMSDDDENGENTEDEGEKERED >fgenesh2_kg.5__1218__AT3G48720.1 pep chromosome:v.1.0:5:13938059:13941107:1 gene:fgenesh2_kg.5__1218__AT3G48720.1 transcript:fgenesh2_kg.5__1218__AT3G48720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LS03] MVASFEFVVTRKNPELIPPVSETPNGHYYLSNLDQNIAIIVKTLYYYKSESRTNEESYNVIKKSLSEVLVHYYPVAGRLTISPEGKIAVNCTGEGVVVVEAEANCGIETIKEAISENRMETLEKLVYDVPGARNILEIPPVVVQVTNFKCGGFVLGLGMSHNMFDGVAAAEFLNSWCEMAKGLPLSVPPFLDRTILRPRNPPKIEFPHNEFDEIEDISGIGKLYDEEKLVYKSFLFEPEKLEKLKIMAVEESNNSKVSTFQALTGFLWKSRCEALQYKPDQRVKLLFAADGRSRFIPRLPQGYCGNGIVLTGLVTSSGELVGNPLSHSVGLVKRLVELVTDGFMRSAMDYIEVNRTRPSMNATLLITSWSKLTLHKLDFGWGEPVFSGPVGLPGREVILFLPSGNDMKSINVFLGLPTSAMEVFEELMKKI >fgenesh2_kg.5__121__AT2G02060.1 pep chromosome:v.1.0:5:665324:667597:1 gene:fgenesh2_kg.5__121__AT2G02060.1 transcript:fgenesh2_kg.5__121__AT2G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCGGRNGNGRFNGGGFHGHGGGRVRPYVRSPVPRLRWTPDLHRCFVHAVEILGGQHRATPKLVLKMMDVKGLTISHVKSHLQMYRGSKLTLGKPEESSSSSIRRRQDSEEDNNLHDNLSLHTRNDCLLGFHSFPLSSHSSFRGGGRRKEHQQTSESGYDDDDDFLHIMNMKKTKDTTTFLSHHFPKGTEEWREQEHEEEEEDLSLCLSLNHHHWRSNGSSVSETSEAAVSTCSAPFVSKDCFGSSKIDLNLNLSISLLGS >fgenesh2_kg.5__1220__AT3G48740.1 pep chromosome:v.1.0:5:13944009:13946439:-1 gene:fgenesh2_kg.5__1220__AT3G48740.1 transcript:fgenesh2_kg.5__1220__AT3G48740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:D7LS05] MSLFNTENTWAFVFGLLGNLISFAVFLSPVPTFYRIWKKKTTEGFQSIPYVVALFSATLWLYYATQKKDVFLLVTINAFGCFIETIYIAMFLAYATKPARMLTVKTLLLMNFGGFCVILLLCQFLVKGATRAKIIGGICVGFSVCVFAAPLSIIRTVIKTRSVEYMPFSLSLTLTISAVIWLLYGLALKDIYVAFPNVIGFALGALQMILYVVYKYCKTSPHLGEKEVEAAKLPEVSLDMLKLGTVSSPEPISVVRQANKCTCGNDRRAEIEDGQTPKHGKQSSSAAAT >fgenesh2_kg.5__1221__AT3G48750.1 pep chromosome:v.1.0:5:13973961:13977151:1 gene:fgenesh2_kg.5__1221__AT3G48750.1 transcript:fgenesh2_kg.5__1221__AT3G48750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDC2/CDC2A/CDC2AAT/CDK2/CDKA_1 [Source:UniProtKB/TrEMBL;Acc:D7LS07] MDQYEKVEKIGEGTYGVVYKARDKVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHSNIVKLQDVVHSEKRLYLVFEYLDLDLKKHMDSTPDFSKDLHMIKTYLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSHHYSTPVDIWSVGCIFAEMISQKPLFPGDSEIDQLFKIFRIMGTPYEDTWRGVTSLPDYKSAFPKWKPTDLESFVPNLDPDGVDLLSKMLLMDPTKRINARAALEHEYFKDLGVMP >fgenesh2_kg.5__1224__AT3G48780.1 pep chromosome:v.1.0:5:14015163:14019160:1 gene:fgenesh2_kg.5__1224__AT3G48780.1 transcript:fgenesh2_kg.5__1224__AT3G48780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYLTAVSTYFSYGLLFAFGQLRDYSRLIFGWWRTNNLQGYAPICLAHEDFYIRRLYHRIQDCFGRPISSAPDAWIDVVERVSDDNNKTLKRTTKTSRCLNLGSYNYLGFGSFDEYCTPRVIESLKKFSATTCSSRVDAGTTSVHAELEECVAKYVGQPAAVVFGMGYATNSAIIPVLIGKGGLIISDSLNHTSIVNGARGSGATIRVFQHNTPAHLEKVLKEQIAEGQPRTHRPWKKIIVVVEGIYSMEGEICHLPEIVSICKKYKAYVYLDEAHSIGAIGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKDLIQYLKHQCPAHLYATSISTPSATQIISAIKVILGEDGSNRGAQKLARIRENSNFFRAELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLREKLAVVVVGFPATPLLLARARICISASHSREDLIKALQVISKAGDLTGIKYFPAAPKKQEVEKNGIKLD >fgenesh2_kg.5__1225__AT3G48790.1 pep chromosome:v.1.0:5:14020690:14023806:1 gene:fgenesh2_kg.5__1225__AT3G48790.1 transcript:fgenesh2_kg.5__1225__AT3G48790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LS11] TTSVHEELEECVAKFVGQPAAVVFGMGYLTNSAIIPVLIGKLQLSIHQYKKIKKNQIINPRCTAGHILVNPIKVLKEQIAEGQPRTHRLWKKIIVVVEGIYSMEGEICHLPEIVSICKKYKAYVYLDEAHSIGAIGKTGRGVCELLGVDTCDVDIMMGTFTKSFGSCGGYIAGSKDLIQYLKYHCPAHLYATSISTPAAQQVISVIKVILGEDASNRGNSIKLDRGTKVGKNKREQQLFWAELQKMGHANNALQSSKIAAFSRAWLQENLAVVVVSFPAIPLLLARARFCISVSHLREDLIKALKVISRVGDLTGIIYFTAAPKKQEDEKNGNTSKFKLRI >fgenesh2_kg.5__1226__AT3G48800.1 pep chromosome:v.1.0:5:14024450:14025306:-1 gene:fgenesh2_kg.5__1226__AT3G48800.1 transcript:fgenesh2_kg.5__1226__AT3G48800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LS12] MAELQPVDGQQTNGGVISTPASTSAEATAITAAGSKRLRRPSVRLGEIGGDQYQQHHHHAAAAYDSQGRKSKWTQTTTTSGNRKDMSKSSRTRTLTNLSSGYDNIGTLDDEREGNVDSFGVGSWRVKKRVGSSTTTAAAKRVRSNWVSKIGDGDEKISGGEELEGGFRDFSREDSESPIKEESLDRDGGGFYGRRRYESNNSSGNREFESNMDGGGREGVKIWLQELGLGRYWPMFEIHEVDEEVLPLLTLEDLKDMGINAVGSRRKMFCAIQKLGREFS >fgenesh2_kg.5__1231__AT3G48860.2 pep chromosome:v.1.0:5:14043991:14048696:1 gene:fgenesh2_kg.5__1231__AT3G48860.2 transcript:fgenesh2_kg.5__1231__AT3G48860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRAGSPVYGRQWSESSNSTETTSPAMSPAHRKQLGGIGGFSTVKRTQNVAAKAAAQRLAKVMALQNKDNGDEDDEDEDEDLSLRFASPSLKPARHAPSSLSSTGSNSSNGNINLPAVSFAPRTRSPSPALGRNFAEQVPSVRSASAGRPSMSARSTIPTPIPNLMPPSRVSVKTPVSIPPLDPPTRSRDKRFFADVPSVNSKEKGDQREASALRDELDMLQEENENVLEKLRRAEEKRVEAEARAKELEKQVASLGEGVSLEAKLLSRKEAALRQREAALNAAKQKKSGKDDEIVSLRSELENLKDEATTAAERLQEAESEAKSLRTMTQRMILTQDEMEEVVLKRCWLARYWGLAVQHGICADIAPSRHEHWSALAPLPFELVTSAAQKAKDLKCGNDRSKAARDLSDLTGEGNIESMLSVEMGLRELASLKVEDAVVLVFAQQRKLSLVRQTVSDSKGHGESRFIDAYELGEAEQEDVAFKQAWLMYFWGRAKLHGVEDDIAEERVQLWISRSSGKSQTTSHDALDVERGLTELRKLGIEQQLWEASRKEIDQLPSPTSSNHDLDADS >fgenesh2_kg.5__1232__AT3G48870.1 pep chromosome:v.1.0:5:14048830:14053446:-1 gene:fgenesh2_kg.5__1232__AT3G48870.1 transcript:fgenesh2_kg.5__1232__AT3G48870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtClpC [Source:UniProtKB/TrEMBL;Acc:D7LS18] MAWCVAVLKAPLFGPGRHVQAEEYRKPRGCVKMMCNFQAPGLRIQAKEYRKPRGCVKMMCSLQAPVLKIQSFSGLRAPSALDYMARPSPGFLVKFKRAISSRREKASRCVPKAMFERFTEKAIKVIMLSQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDSRVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGENNEVTASVGGGSSGNSKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILARRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKTVITLDMGLLVAGTKYRGEFEERLKKLMEEIRQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVEEAIQILHGLRERYEIHHKLRYTDEALVAAAQLSHQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKQLRQITKEKNEAVRGQDFEMAGSHRDREIELRAEIANVLALGKEVAKAENEAEEGGPTVTESDIQHIVATWTGIPVEKVSSDESSRLLQMEQTLHTRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTLVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDHDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVVARLEDKEIELQVTERFKERVVDEGFDPSYGARPLRRAIMRLLEDSMAEKMLSRDIKEGDSVIVDVDAEGSVVVLSGNNSGRAGGFTAEEAMEDPIPVL >fgenesh2_kg.5__1234__AT3G48880.2 pep chromosome:v.1.0:5:14053765:14055978:1 gene:fgenesh2_kg.5__1234__AT3G48880.2 transcript:fgenesh2_kg.5__1234__AT3G48880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LS19] MEEEYESRRVRRWEELDTDILVRIFQKFSVFELTSGLAHVCRGWRAACCDPILWKTLDLSHMRSSFIKIPLEPYVYVERRSDEALTRILKLSMNLSGGNTRTLIFHFNLFLSDDQLTYTAERCPGLRRVVLPAWNRIKKTGICKAIRIWKDLESLTMPSIANPPYLLTEIAKNCKNFKELKIMGPFEVFFANTLITCLPNIKTLSIRCSAIKREALMKILDGLPSLEVLNISHSHLVEYSGWQPQQKVIVRELDKTIMEKTARLKKFLTCMEHKTCVMCQRTESDEGIVRWYKYEEGDWKVDEVSSLHL >fgenesh2_kg.5__1236__AT3G48890.1 pep chromosome:v.1.0:5:14056502:14057935:1 gene:fgenesh2_kg.5__1236__AT3G48890.1 transcript:fgenesh2_kg.5__1236__AT3G48890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-associated progesterone binding protein 3 [Source:UniProtKB/TrEMBL;Acc:D7LS20] MVVQIWETLKETITAYTGLSPAAFFTVLALAFAVYQVVSGFFVSPEVHRPRSLEVQPQSEPLPPPVQLGEITEEELKLYDGSDSKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEDQDLTGDISGLGAFELEALQDWEYKFMSKYVKVGTIQKKGGEDKDSSEPSETKATSAEGLSTNTGEEAPAVTHDETSRSIDEKIAETTDKKDVANDDATAKE >fgenesh2_kg.5__1237__AT3G48900.2 pep chromosome:v.1.0:5:14064091:14068381:1 gene:fgenesh2_kg.5__1237__AT3G48900.2 transcript:fgenesh2_kg.5__1237__AT3G48900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKYLWDVLEPCKKTFPLDHLQNKRVCVDLSCWMVELHKVNKSYCAAKEKVYLRGLFHRLRALIALNCSIILVSDGAIPGIKVPTYRRRLKARFEVADDGVEPSKETSLKRNMGSEFSCIIKEAKVIASTLGILCLDGIEEAEAQCALLNSESLCDACFSSDSDIFLFGAKTVYREICLGEGGYVVCYEMDDIKKKLGLGRNSLIALALLLGSDYSQGVRGLRQEKACELVRSIGENVILEKVASEGLAFAEKPRKSKKQVRPSVCSKKGTLPLVVVNGNNREPEGLEQIKQVIDAFMDPKCHQADSATVCRALAEFSFQRTKLQEICHQLFEWPPEKTDEYILPKVAERNLRRFAILHKVPFSLARKKILLQPQMPEKCPVSEIIKTRKVQGRECFEVSWNDLEGLETSIVPADLVERACPEKIIEFKEKMAAKKKKPKPKQKHKETSSPTKSSSLAELSLELQHLDLNSTSLVTRSTVEEAEQENEVQNSKKHDYLCLIDSPDRENCNNAWSTRDRFGVGPSSLSLYLETEVIDLISPCPEARSRSVSRSHQEQKRHDHQLETVIELSDSETDDEEHCKKARELRIFLENIRKDIIL >fgenesh2_kg.5__1247__AT3G48970.1 pep chromosome:v.1.0:5:14085034:14086133:-1 gene:fgenesh2_kg.5__1247__AT3G48970.1 transcript:fgenesh2_kg.5__1247__AT3G48970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSVEIRVPNLDCEGCASKLKKTLLKLKGVEEVEVEMETQKVTARGYRLEEKKVLKAVRRAGKAAELWPYRLGNSHFASFYKYPSYVTNHYYSDAHRTDPTGGVHTFFHTPAVYSVAVAGDEIAASMFSDDNPHACTIM >fgenesh2_kg.5__1248__AT3G48980.1 pep chromosome:v.1.0:5:14088697:14091755:1 gene:fgenesh2_kg.5__1248__AT3G48980.1 transcript:fgenesh2_kg.5__1248__AT3G48980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNSPSYSSGGHSRNFDTIWSPLVKTGTGASNRSYAFFSLFLFLLLGAFLSTRLLLDPSVLIEKETVAVTDRGTTESPKYPQSTKLITEKPKEFTLNCAGFAGNDTVTCPKNNYPTSFRSSVGEGESDRSLSATCPDYFRWIHEDLRPWEKTGITREALERANATANFRLAIINGRIYVEKFREAFQTRDVFTIWGFVQLLRRYPGKIPDLELMFDCVDWPVVKAAEFAGVDQPPPPPLFRYCANDETLDIVFPDWSYWGWAEVNIKPWESLLKELREGNQRTKWIDREPYAYWKGNPTVAETRLDLMKCNLSEEYDWKARLYKQDWVKESKEGYKQSDLASQCHHRYKIYIEGSAWSVSEKYILACDSVTLLVKPHYYDFFTRGMFPGHHYWPVKEDDKCRSIKFAVDWGNLHMRKAQDIGKKASEFVQQELKMDYVYDYMFHLLIQYSKLLRFKPEIPQNSTELCSEAMACPRDGNERKFMMESLVKHPAETGPCAMPPPYDPASFYSVLKRRQSTTSRIEQWESKYWRKQNKTGS >fgenesh2_kg.5__1250__AT3G49000.1 pep chromosome:v.1.0:5:14098786:14101834:1 gene:fgenesh2_kg.5__1250__AT3G49000.1 transcript:fgenesh2_kg.5__1250__AT3G49000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase III subunit RPC82 family protein [Source:UniProtKB/TrEMBL;Acc:D7LS31] MAMSEFGIVYAVHIITVQFGSVVSKVCECLLRKGPLSSRDISRLAESDINHNQVKDILYLLIQHNCVQAFSIEPPDGSESKAIVQYIVLFNNILHRVRYNKFSRLVNEELGSECGAVLDGLLSNGRLTLGQLIERDRGSGKTIGSEAIRDSLQKLVAARFVERIPSPEPVLGNKEQEPAKKRGAKAAKILKEPETLEERVVEAATPVDAIRFPLIFEEDAHSSLADDDSNITEGKRKQRDVDSSDPSSGVIWHPNYEEFIHRLRHKACVEIVKERRDEGCAIVLRAMLEVGRSQEKKVKTDNSAPMSIGSIYEEVIKTEAGRTMLQERVEACLDQLSATSSYLPAFVTEMNDSYIVDFKSIISVAQKDEIEAVVMRRYGKEAFRMFRYLSQEGRFVETDKIADAALTEKKDTPQFLLKMWKDGYLHMQKLAITGTYVPFLLWKVNKLIVTRQMLDEMYHASLNLNLRLAHELESEKELLMLPSDKLEGPLKERVIKVRAKRLLLSSTMFKLDDAIMLFHDF >fgenesh2_kg.5__1251__AT3G49050.1 pep chromosome:v.1.0:5:14123263:14126102:1 gene:fgenesh2_kg.5__1251__AT3G49050.1 transcript:fgenesh2_kg.5__1251__AT3G49050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILCGCCPLLECVYCLGCARWGYKRCLYTAGHDSEDWGLATTDEFEPVPRFCRYILAVYEDDIRNPLWEPPEGYGINPDWLLLKKTYEDTQGRAPAYILYLDHVHQDIVVAIRGLNLAKESDYAMLLDNKLGERKFDGGYVHNGLVKSAGYVLDEECKVLKELVKKYPSYTLTFAGHSLGSGVATMLALLVVRHPERLGNIDRKRVRCFAIAPARCMSLNLAVRYADVINSVILQDDFLPRTATPLEDIFKSVFCLPCLLCIRCMKDTCVPEQKMLKDPRRLYAPGRMYHIVERKPCRLGRYPPVVKTAVPVDGRFEHIVLSCNATSDHAIIWIEREAQRALNLMMEKEKKMEIPEKQRMERQESLAREHNLEYRAALRRAVTLDVPHAESMASEYGTFDKAQEDQTEEEEETEEEEEEEKEETDLIAPMVGESSSSSSVRPTYRKKRNRRVSWDELIEHLFERDESGNLTFEKSDLPQ >fgenesh2_kg.5__1252__AT3G49060.1 pep chromosome:v.1.0:5:14133430:14137965:-1 gene:fgenesh2_kg.5__1252__AT3G49060.1 transcript:fgenesh2_kg.5__1252__AT3G49060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LS36] MGDIGGEELVLDVDETIFVAVAEDVERSKTTVLWAARNFSGKKICLLYVHRTARAASWTHKKLVGGSFKKHDVKVIERAEKPKVDELMNSYLHLLSETEVQTDKLCIAGQNIEEGILELIARHKIKWLVMGAASDKHYSWKMTDLKSKKAIFVCKKAPDYCHIWFLCKGYLIFTRASNEGSNNRQTMPPLVQLDSDNETRKSEKLESSYMRRRLRYWRSLLEQDGEKDTGQLEREKVEPRATPHFSSGSSSSFGEPVGPEPISPELVDSDTLTTSNVKEKEREGDVARKVHRYDKAMHDIGQSERTVYGEAGKNWKEDASTTEALCKARALEGLCIKESSQRKRLEELLEKEKHEVKMVIEQNSGFMKELQMVQGQNLKLESQIRKLQDLEKEHGEKFDTAMELLKSFRQKRDEIRIDHENAVKEVNALRRLIKGETGEFSGSEMLEYSFMEINEATNEFDPSWKLGEGKYGSIYKGNLQHLQVAVKMLPSYGSLNHFEFERRVEILSRVRHPNLVTLMGACPESRSLIYQYIPNGSLEDCFSSENNVPALSWESRIRIASEICSALLFLHTNIPCIIHGNLKPSKILLDSNLVTKVNDYGISQLIPIDGFDKSDPHVDPHYFVSREMTLESDIYAFGIILLLLLTRRPVSGILRDVKCALENDNISAVLDNSAGAWPIARGKKLANVAIRCCKKNPMNRPDLAVVLRFIDRMKAPEVPSSETSYSDQKVPRRPPSHYLCPIFQEVMKDPLIAADGFTYEAEAIREWLANGHDTSPMTNLKMEDCNLIPNHALHLAIQDWQNQW >fgenesh2_kg.5__1254__AT3G49110.1 pep chromosome:v.1.0:5:14156271:14163238:1 gene:fgenesh2_kg.5__1254__AT3G49110.1 transcript:fgenesh2_kg.5__1254__AT3G49110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LS43] MQFSSFTWTILITLGCLMLRASLSDAQLTPTFYDTSCPNVTNIVRDTIVNELRSDPRIAGSILRLHFHDCFVNGCDASILLDNTTSFQTEKDALGNANSARGFPVIDRMKAAVERACPRTVSCADMLTIAAQQSVTLAGGPSWKVSLGRRDSLQAFLNLANANLPAPFFTLPELKANFKKVGLDRPSDLVALSGAHTFGKNQCRFIMDRLYNFSNTGLPDPTLNTTYLQTLRGQCPRNGNQSVLVDFDLRTPLVFDNKYYVNLKEQKGLIQSDQELFSSPNATDTIPLVRSFADGTEKFFDAFVEAMNRMGNITPTTGSQGQIRLNCRVVNSNSLLHDVVEIVDFVSSM >fgenesh2_kg.5__1255__AT2G06550.1 pep chromosome:v.1.0:5:14166692:14167434:1 gene:fgenesh2_kg.5__1255__AT2G06550.1 transcript:fgenesh2_kg.5__1255__AT2G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KJW7] MGYYLTDGIYPKWATFIQSITRPQGPKASLFASCQEAVRKDVERAFGVLQARFAII >fgenesh2_kg.5__1256__AT3G49120.1 pep chromosome:v.1.0:5:14173449:14175986:1 gene:fgenesh2_kg.5__1256__AT3G49120.1 transcript:fgenesh2_kg.5__1256__AT3G49120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LS47] MHLSSSSTSFTWTTIITLGCLLLHSSLSYAQLTPTFYDSSCPNVTNIVRETIVNELRSDPRIAASILRLHFHDCFVNVSCDASILLDNTTSFRTEKDAFGNANSARGFPVIDRMKAAVERACPRTVSCADMLTIAAQQSVTLAGGPSWRVPLGRRDSLQAFLNLANANLPAPFFTLPELKASFKNVGLDRPSDLVALSGGHTFGKNQCQFILDRLYNFSNTGLPDPTLNTTYLQTLRGLCPLNGNRSALVDFDLRTPTVFDNKYYVNLKEQKGLIQSDQELFSSPNATDTIPLVRAYADGTQTFFNAFVEAMNRMGNITPTTGTQGQIRLNCRVVNSNTLLQDVVEIVDFVSSM >fgenesh2_kg.5__1263__AT3G33035.1 pep chromosome:v.1.0:5:14185336:14188809:-1 gene:fgenesh2_kg.5__1263__AT3G33035.1 transcript:fgenesh2_kg.5__1263__AT3G33035.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPR0] ILLLSLMLLLMLLLSLLMLLIFLLMLLLPMVIFLLQQKSEVEISDMLHLYGFQR >fgenesh2_kg.5__1264__AT3G49140.1 pep chromosome:v.1.0:5:14313758:14319531:-1 gene:fgenesh2_kg.5__1264__AT3G49140.1 transcript:fgenesh2_kg.5__1264__AT3G49140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRINVDLRLLHFPEFRKFQTRKVTSSVPKLELDQKNSPKETAFMLGQVLDTYPDLKTLRTVHSRIISEDLRYNSSLGVKLMRAYASLKDVATARKVFDEIPERNVIIINVMIRSYVNNGFYREGIQVFGTMCSCHVKPDHYTFPCVLKACSCSGNIVIGKKIHGSATKVGLSSTLFVGNGLVSMYGKCGFLSEARLVLDEMSRRDVVSWNSLVAGYAQNQRFDDALEVCREMESVKISHDAGTMASLLPAVSNTTTENVMYVKDMFFKMGKKSLVSWNVMIGVYMKNAMPVEAVELYSGMEADGFEPDAVSITSVLPACGDTSALSLGKKIHGYIERKKLIPNLLLENALIDMYAKCGCLDRARDVFENMKSRDVVSWTAMISAYGFSGRGCDAVALFSKMQDSGLVPDSIAFVTTLAACSHAGLLEEGRSCFKLMTDHYKITPRLEHLACMVDLLGRAGKVKEAYKFIQEMPMEPNERVWGALLGACRVHSNTDIGLLAADKLFQLAPEQSGYYVLLSNIYAKAGRWEEVTNIRNIMKSKGLKKNPGASNVEVNRIIHTFLVGDRSHPQSAEIYRELDVLVKKMKELGYVPDSESALHDVEEEDKETHLAVHSEKLAIVFALMNTEEEDSNNAIRITKNLRICGDCHVAAKLISQITSREIIIRDTNRFHVFRFGVCSCASELRDRESERLEIVVVLPMIESVMAIRLSTGFCSSTALLQYRSAPSSEEGGNCFHYASRRVFQPQRLNHIDGSGFLKYNSDYLTRKHLRKNRTQATAEYVDSASDPGKQTGKSRYHPSEEIRASLPQNAEDSRLSPAETTRTIIEVNNKGTLMLTGSIGDGVHENILWPDIPYITDQNGNLYFQVKEDEDVMQSVTSENNYVVLKHLSSDDVMDALQQVIVGFDTMEMIKEMELMGLSDSDFETEDDESGEDDSEDTGEDEDEEEWVAVLEDEDEDDDDDEDDDDDDDDDDSDSDESLGDWANLETMRSCHPMFFAKRMTEVASNDPVDWMDQPSAGLAIQGLLSHILVEDYSDIQKKLADSNSTSTNRNKDAENLEEKLADISKAGDEESDIDSSQGEKERTVVAFYKLEMIRIQLITAQGEQTEVEVEDVRKAQPDAIAHASAKIISRLEESGDKLTEALKSLCWRHNGILAEEVKLIGIDSLGFDLRLCAGAKIESLRFAFSTRATSEENAEGQIRELLFPTTNQATQPKPK >fgenesh2_kg.5__1265__AT3G49160.1 pep chromosome:v.1.0:5:14326820:14330131:-1 gene:fgenesh2_kg.5__1265__AT3G49160.1 transcript:fgenesh2_kg.5__1265__AT3G49160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LSF1] MVGIDSSHLLRDKILCFTSRSHMNNQHRKTSSSLSLNQMKLPIPRIVAFASARGEGEAESFSRLEATFGDNTSTECTWSFDFPQSKVEVSHLQSEAHLSGSNGDNNVASVIEKLNALRSHLLAAEKWNSSQLHLCDSKYLECATNLVHYMALRSLDIEQLNNHLASLGLSSLDNNNLDVLARLNASINLLMNDQNAVTESWTNAYPKGKSTKKKDKGRVLSYKESLLGKLREGRSTHIMVTVGEEATLGETFITDILKAGTSIIRINCAHGDPSIWGEIIKRVRRTSQMLEMPCRVHMDLAGPKLRTGTLKPGPCVMKVSPKKDAYGNIVSPAVVWLCLTGTEPPTHIFPDATISVQDQNFLAGLQIGDSIKLFDARGRKRRLKISKEFPVFSSTGFVAECFDTAYIESGTELSAKGKKGRRLVGRVVDVPPKESFVRLKVGDLLVIPREGSLDEPSVTVPGAHRLTCPSGYLFDSVKPGETIGFDDGKVWGVIKGSSPSEVIVSITHAGPKGTKLGSEKSINIPQSDIRFKGLTSKDIKDLEFVASHADMVGISFIRDVHDITVLRQELKKRKLDDLGIVLKIETESGFKNMSLILLEAMKCSNPLGVMIARGDLAVECGWERLANIQEEIIAICKAARVPVIMATQVLESLVKSGVPTRAEITDASNAKRASCVMLNKGKNIVEAVSMLDTILHTKLIYKKPDSENLH >fgenesh2_kg.5__1268__AT3G49180.1 pep chromosome:v.1.0:5:14336635:14338863:1 gene:fgenesh2_kg.5__1268__AT3G49180.1 transcript:fgenesh2_kg.5__1268__AT3G49180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISVIASSSIDEGIGSWDLKTGKEQLRFKQCASPAHGLTAVGEKFLASSQLRKESTSSGSIFYWSWTKPQVEVRSFPVEPIKALVANNEGTYLVGGGSSGDIYLWEVATGKLLKKWHGHYRSVTCLVFSGDDSLLISGSQDGSVRVWSLIRLFDDFPRQQGKTLYEHNFNEHTMSVTDIVIDYGGCNAMIISASEDRTCKVWSLSRGKLLKNIIFPSAINALALDPGGYVFYAGGRDGKIYIGAINATSEYGTQVLGSVSEQSKAVTCLAYCTEGNLLISGSEEGVVCVWDPKSRRPVRTLGRGKGKGPPVNNIQIVRKTIVDNSNKTQSSWKRRGSLMPPPLEKYERSGEDTMDGIVTVDPPPFSDVPVYSSFLSADLIDEQVRELQQQGSAATEIEMERLKLEYKRSLQMNDQWQKNYENLLQVVMEEEQIGGSN >fgenesh2_kg.5__1269__AT3G49190.1 pep chromosome:v.1.0:5:14338784:14341280:-1 gene:fgenesh2_kg.5__1269__AT3G49190.1 transcript:fgenesh2_kg.5__1269__AT3G49190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensation domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LSF4] MEIKTQRHISENEKEEEQPLSPAARLFHSPEFNCNIISVIGLKSKLDPCVIIRGFKETFIRHPRFSSKLVTDENGQNQRWVRTNVVVEDHVIVPEIKLQNIENTDSFLEDYVSDLMKIPLDISRPLWELHLLDLKTSDAENVAVLKIHHSVGDGMSIMSLVLACMRKTSNPDELPSLPYQYRSSSGSSLLTTGSRSDSRLLWLVKVLWTAVILGLNTICDTLEFIVTTLFVKDTETPIKGDFRSTKSKRLRLVHRTVSLDDIKLIKNAMNMTVNDVVLGVTQASLSQYLERRYGERETKRKRKNLPKRIRLRSALLVNLRPTTGIQDIADMMENGSKCRWGNWFGYIVFPFSIALRDDPLEHLKRAQKIITRKKNSFGAMLTYIFCRIIVKFLGIQLAATIINRMVSNTTMTFSNMVGPVEQVSFYGHPITYFASSGYGHPHALTINCQSYMNKMTITLIVDSTVISDPHRLCDDWQESLRSIKAAVQKRGSLGLWDYLRLLSNRMAWLLYQMAGLLYKML >fgenesh2_kg.5__126__AT2G02090.1 pep chromosome:v.1.0:5:740736:744732:1 gene:fgenesh2_kg.5__126__AT2G02090.1 transcript:fgenesh2_kg.5__126__AT2G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHR19/ETL1 [Source:UniProtKB/TrEMBL;Acc:D7LQ01] MKRDFDEISEEEWSQHSFNPSRVLKRPRTPKKTRPVNPSPPIESFAFRRPSTAMTIESNNSSDGDCVEIEDLGDSDSDVKIVNGEDLLLEDEEEVEETKVVMRAARVGRRFVIEDEEASDDDDEAESSASEDEFGRGGGGGGGRRGEDEDVVGKALQKCAKISADLRKELYGTSSGVTTCDRYSEVETSTVRIVTQNDIDDACKAEDSDFQPILKPYQLVGVNFLLLLYKKGIEGAILADEMGLGKTIQAITYLTLLNRLNNDPGPHLVVCPASVLENWERELRKWCPSFTVLQYHGAARAAYSRELNSLSKAGKPPPFNVLLVCYSLFERHSEQQKDDRKVLKRWRWSCVLMDEAHALKDKNSYRWKNLMSVARNANQRLMLTGTPLQNDLHELWSLLEFMLPDIFTTENVDLKKLLNAEDTELITRMKSILGPFILRRLKSDVMQQLVPKIQRVEYVLMEKKQEDAYKEAIEEYRAASQARLVKLSSKSLNSLAKALPKRQISNYFTQFRKIANHPLLIRRIYSDEDVIRIARKLHPIGAFGFECSLERVIEEVKGYNDFRIHQLLFQFGVNDTKGTLSDKHVMLSAKCRTLAELLPSMKKSGHRVLIFSQWTSMLDILEWTLDVIGVTYRRLDGSTQVTDRQTIVDTFNNDKSIFACLLSTRAGGQGLNLTGADTVIIHDMDFNPQIDRQAEDRCHRIGQTKPVTIFRLVTKSTVDENIYEIAKRKLVLDAAVLESGVHVDDNGDTPEKTMGEILASLLMG >fgenesh2_kg.5__1271__AT3G49210.1 pep chromosome:v.1.0:5:14346836:14349934:-1 gene:fgenesh2_kg.5__1271__AT3G49210.1 transcript:fgenesh2_kg.5__1271__AT3G49210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LSF6] MEIKTRRDTSETTVRKDGEEEDEEEQPLSPAARVFHSPEFNCYVISVIGVKKKIEPDVIIEGLKQSLIRHPRFSSKLVSTYVGNKKRQTQSWVRTNVVVNDHVIVPDIQTQNIENGNANADVFLESYVSNLTTVSLDISKPLWQLHLLDLKTSDAENVAVLKFHHSLGDGMSLMALVLACMRKTSNPDELPSLPNQNRSSSRSSRLMTGSRGDSRFLWLVMVIWSAIILVLNTVCDALEFIATTMFLKDTETPIKGDFRLSKSKRMCLVHRTVSLDDIKLIKTAMKMTVNDVVLGVSQAGLSQYLERRYGERKKKVGEDKESKKNSTDMPKAIRLRSALLVNLRPNTGIQDLADMMAKGSKCRWGNWIGYIVFPFSIGLRDDPLEHLQRAKRIIDRKKNSLEAALTFVAGQFILKTFGVEVAAKIINRALSNTTMSFSNLIGPIEEISFYGHPITYMAPSVYGHPHALTMHFQSYMNQMTISLTVDPTVISDPHRLLDDWEKSLQSIKAAVQERGSRSL >fgenesh2_kg.5__1272__AT3G49220.1 pep chromosome:v.1.0:5:14364244:14368667:1 gene:fgenesh2_kg.5__1272__AT3G49220.1 transcript:fgenesh2_kg.5__1272__AT3G49220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7LSF8] MGYERLGPSGATGSVPTSTTTAPILNQVSTSSQPNNNNRRSKKKLVVSSIVLAISLILAAAIFAGVRSRLKLNQSVPGLARKPSQAISKACGLTRFPELCVDSLMDFPGSLAASSSKDLIHVTVNMTLHHFSRALYSSASLSFVDMPPRARSAYDSCVELLDDSVDALSRALSSVVSSSAKPQDVTTWLSAALTNHDTCTEGFDGVDDGGVKDHMTAAIKNLSELVSNCLAIFSASHDGDDFAGVPIQNRRLLGVEEREDKFPRWMRPREREILEMPVSQIQADIIVSKDGNGTCKTISEAIKKAPQNSTRRIIIYVKAGRYEENNLKVGRKKINLMFVGDGKGKTVISGGRSIFDNITTFHTASFAATGAGFIARDITFENWAGPAKHQAVALRIGADHAVIYRCNIIGYQDTLYVHSNRQFFRECDIYGTVDFIFGNAAVVLQNCSIYARKPMDFQKNTITAQNRKDPNQNTGISIHASRVLAASDLQATNGSTQTYLGRPWKLFSRTVYMMSYIGGHVHTRGWLEWNTTFALDTLYYGEYLNSGPGSGLGQRVTWPGYRVINSTAEANRFTVAEFIYGSSWLPSTGVSFLAGLSI >fgenesh2_kg.5__1273__AT2G04230.1 pep chromosome:v.1.0:5:14369989:14371981:1 gene:fgenesh2_kg.5__1273__AT2G04230.1 transcript:fgenesh2_kg.5__1273__AT2G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LSF9] MEQKFKTDAMNEDRISELPDALLLQILSSLPTENAIATSVLSKRWRSLWTMLPKLKFDCDFNPVLDDYNIDPHMFSENVYRTLTLHKAPVLESLHLSFEGRTDCLDVGIWIATAFARRVRKLVLDSFYQEDQTVTLPSVLFSYNDTLEILKLKCAIDLDFPSRVCLKSLRKLYLYQVHFKDEESVCNLLCGCPSLQDLVVHRYSNADVATFTIAAPSLQRLTIEDLRQEGGYGNGGYVINAPALKYLNINGFIDIESCLIDKALELVEAKISNVSDIANENILESLTSAKRLILHLSPLEIKVPTGKIFDQLVCLELLTHEREWWNLLSIMLDSSPKLQTLKLTDFYLRDNKKNPDERKWNPPKCAPECLLFHLETFVWIGYEWQRGDEKEVATYILENARRLKKVTFSTKRMEGEKLERLEKRREMLNELASVLWDSYSCHLVFEST >fgenesh2_kg.5__1274__AT3G49240.1 pep chromosome:v.1.0:5:14377709:14379844:1 gene:fgenesh2_kg.5__1274__AT3G49240.1 transcript:fgenesh2_kg.5__1274__AT3G49240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1796 [Source:UniProtKB/TrEMBL;Acc:D7LSG1] MSISKAAFFNHLQTLARSYRHRVPPQPFLAVRYMSFATQEEAAAERRRRKRRLRMEPPVNSFNRSQQQQNQQSQIHRPIQNPNIPKLPESVASLVGKRLDLHNHILKLIRENDLEEAALYTRHSVYSNCRPTIFTVNAVLAAQLRQAKYGALLQLHGFINQAGIAPNIITYNLIFQAYLDVRKPEIALEHYKLFIDNAPLNPSLATYRILVKGLVSNDNLEKAMEIKEDMGVRGLVVDPVVYSYLMMGCVKNSDADGVLKLYEELKEKLGGFVDDGVVYGQLMKGYFMKEMEKEAMECYEEAIGENSKVRMSAMAYNYVLEALSENGKFDEALKLFDAVKKEHNPPRRLAVNLGTFNVVVDGYCAEGRYEEAMEVFRQMGDYRCSPDTLSFNNLMNQLCDNGLLAEAEKLYGEMEEKKVKPDEYTYGLLMDTCFKEGKIDEGAAYYKTMVESNLRPNLAVYNRLQDQLIKAGKLDDAKSFFDMMVSKLKMDDEAYKFIMRALSEAGRLDEMLKIVDEMLDDDTVRVSEELQEFVKEELRKGGREGDLEKLMEEKERLKAEAKAKELAEAEEKKKSQSINIASLITPKAVEEKKETAKLLWENEAGSVEEADVVEMAQGVEAGGSNGQHPPSC >fgenesh2_kg.5__1275__AT3G49250.1 pep chromosome:v.1.0:5:14380088:14382623:-1 gene:fgenesh2_kg.5__1275__AT3G49250.1 transcript:fgenesh2_kg.5__1275__AT3G49250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTGQQISFQATPLNVQDPTTMMNLGQSSPVPRDVTQNGGVGGGIAQAEFAMFNSKRLQSDLEAMGNKLKQHEDNLKFLKSQKNKLDEAIVDLQVHMSKLHSSPTPRSENCDNNLQGEDINEQILRHENSAAGVLGLVETFHGAQASQLMLTKGVVGVVAKLGKVNDENLSQILANYLGTRSMLAVVCRNYESVTALEAYDNQGNIDRNAGLHGLGASIGRTIGSNFDAICLENLRPYVGQHIADDLQRRLDLLKPKLPNGECPPGFLGFAVNMIQIDPAYLLCVTSYGHGLRETLFYSLFSRLQVYKTRADMISALPCISDGAVSLDGGIIRTQGIFNLGNRDEVNVRFAKPTASRTMDNYSEAERKMKELKWKKEKTLEDIKREQVLREHAVFNFGKKKEEFVRCLAQSSYTNQPMNTPR >fgenesh2_kg.5__1277__AT3G49260.1 pep chromosome:v.1.0:5:14389013:14392859:1 gene:fgenesh2_kg.5__1277__AT3G49260.1 transcript:fgenesh2_kg.5__1277__AT3G49260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 21 [Source:UniProtKB/TrEMBL;Acc:D7LSG5] MGKKGSGGWFSTVKRKVFKSSPKDSKRENNIGSNNADIWQQQHDTQEVVSFENFPAESSPEISHDVESTASTPATNVGDRKHAMAVAIATAAAAEAAVAAAHAAAKVVRLAGYNRQTEEDSAAVLIQSHYRGYLARRALRALKGLVRLQALVRGNHVRKQAQMTMKCMQALVRVQGRVRARRLQVAHDRFKKQFEEEEKRSGMEKPNKVFANLKTEREKPKKLHEVNRTSQYQTPGKEKERSEGMMKRERALAYAYTYQRQMQHTNGEEGIGLSSNGPDRNQWAWNWLDHWMSSQPYTGRQTGPAPGPGQYNPPPYPPFPTAAATTTSDDVSEKTVEMDVTTPTSLKANIIGLIDREYIDLGSYRQGHKQRKSPTHIPSYMAPTASAKAKVRDQGTTVKLQGTSFMPYWNSSTKNGSVNGSGCDSSSSGGAITTGYPGPRSPNPKSDIRRKPVSPSQSPTGFGKRGWRHDH >fgenesh2_kg.5__1279__AT3G49290.2 pep chromosome:v.1.0:5:14394528:14397183:1 gene:fgenesh2_kg.5__1279__AT3G49290.2 transcript:fgenesh2_kg.5__1279__AT3G49290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASHDASNYDEVSMQQSMLFSDGLQDLKNLRAQLYSAAEYFELSYTTDDKKQIVVETLKDYAVKALVNTVDHLGSVTYKVNDFIDDKVDEVSETELRVSCIEQRLRMCQEYMDHEGRSQQSLVIDTPKFHKRYILPAGEIMTATNLEKLKYFGSSLEDADDWNQFRNAVRATIRETPPPPVRKSTSQTPSPRQPPQRSATFSFTSTIPKKEQDKRSVSPHRFPLLRSGSVATRKSASISRPTTPSKSRSITPIRYPSEPRRSASVRVAFEKENQKETEQQQPSKSKRLLKALLSRRKTKKDDTLYTFLDEY >fgenesh2_kg.5__1281__AT3G49300.1 pep chromosome:v.1.0:5:14399910:14400785:-1 gene:fgenesh2_kg.5__1281__AT3G49300.1 transcript:fgenesh2_kg.5__1281__AT3G49300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKMKLVVLMSFLLLLPLFSSGLREGHGVAHSDQYSLNKVDESIPTIMDYPEPGPDPKHDPTKPGYGFPPPPPPPLPPSPKMN >fgenesh2_kg.5__1282__AT3G49307.1 pep chromosome:v.1.0:5:14402303:14403232:-1 gene:fgenesh2_kg.5__1282__AT3G49307.1 transcript:fgenesh2_kg.5__1282__AT3G49307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVFFSFLLMFSLCSSGLKEDLGVTHIDQYSSGKVKESIENLMDYPEPGPNEPGRRYPGRPHGPHPPHLRMKKKNP >fgenesh2_kg.5__1288__AT3G49370.1 pep chromosome:v.1.0:5:14447500:14450691:-1 gene:fgenesh2_kg.5__1288__AT3G49370.1 transcript:fgenesh2_kg.5__1288__AT3G49370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCYSRNISTVDDDDDIPSGIAQLPHRSDQNHHQSSSSIPQSPATSEVNPYTISPFQSPLPAGVAPSPARTPGRKFKWPFPPPSPAKPIMAALRRRRGTASHPRDGPIPEDSEDGGSGGRIGERLDKNFGFAKNFEGKYELGREVGRGHFGHTCWAKAKKGKIKGQTVAVKIISKTKMTSALSIEDVRREVKLLKALSGHSHMVKFYDVFEDSDNVFVVMELCEGGELLDSILARGGRYPEADAKRILVQILSATAFFHLQGVVHRDLKPENFLFTSKNEDAILKVIDFGLSDYARFDQRLNDVVGSAYYVAPEVLHRSYSTEADIWSIGVISYILLCGSRPFYGRTESAIFRCVLRANPNFEDLPWPSISPIAKDFVKRLLNKDHRKRMTAAQALAHPWLRDENPGLLLDFSIYKLVKSYIRASPFRRAALKSLSKAIPEEELVFLKAQFMLLEPEDGGLHLHNFTTVLTRYATDAMIESRLPDILNMMQPLAHKKLDFEEFCAAAVSVYQLEALEEWEQIATIAFDHFEREGSRAISVQELAEEMSLGPNAYPLLKDWIRSLDGKLNFLGYAKFLHGVTVRSSSSRPTR >fgenesh2_kg.5__128__AT2G02130.1 pep chromosome:v.1.0:5:746925:752159:1 gene:fgenesh2_kg.5__128__AT2G02130.1 transcript:fgenesh2_kg.5__128__AT2G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSMRLISAVLIMFMVFVATGMGPVTVEARTCESKSHRFKGPCVSTHNCANVCHNEGFGGGKCRGFRRRCYCTRHC >fgenesh2_kg.5__1290__AT3G49390.2 pep chromosome:v.1.0:5:14454345:14458089:-1 gene:fgenesh2_kg.5__1290__AT3G49390.2 transcript:fgenesh2_kg.5__1290__AT3G49390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAENAGVKVDSSSGQNLDNNTDSATETKPPCPDDDQSPKSDSSNPPTIDSTPETDDRINETAQKGQTLNGFNRNGERDNNGEIKDLADAFSKLNPMAQEFVPPSLARSQSGVFRNGLGFTNNFAAPPKLADGNDHFPTRRRSFGQGKRRINKRTSLAQKDDVIRRTVYVSDIDQQVTEENLAGVFINCGQVVDCRVCGDPNSVLRFAFIEFTNEEGARAALSMSGTVLGFYPLKVLPSKTAIAPVNPTFLPRSEDEREMCVRTVYCTNIDKRITQIDLKVFFEMLCGEVHRLRLGDYHHQTRIAFVEFAMAESAIAALHCSGIVLGALPIRVSPSKTPVRPHFPRADFK >fgenesh2_kg.5__1292__AT3G49400.1 pep chromosome:v.1.0:5:14460339:14465447:-1 gene:fgenesh2_kg.5__1292__AT3G49400.1 transcript:fgenesh2_kg.5__1292__AT3G49400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7LSI0] MASRFQEASLVTSPSYPNAVAWSSENLIAVAAGHLVIIINPALPSGPRGLITISDAEPYQIGRVRSQDLLTGGLLPSSLKRERNPCVRSLSWSEIGMSPNHGCLLAVCTAEGRVKLYRPPYSDFCAEWIEIVDVSKMLYENLSSMNFGESNNPSTSLSKDQLVEHHHEDDERISSLKARKRRKTSANNINLHEKNYTDRASCSKQDSQAEHNVLEIEVYKQASNGQDCHSLPKALKKCSQEISPETYVSREALLSSLSVAWSSLLRFSSEISSSENMLRFSLLAIGSKSGSVSIWKVHAPECYHIERTNVSPMVELHAIIQAHSSWVSTMSWGIFGCDSLNPQVVLVTGSCDGSVKIWMSNNEDLQKSVDVYKSSFSLFKQVVAVNPVQVSTLSFVVSNHYNAMHLAIGKGSGSFEVWKCEISTRKFEQIVSSNAHNQVVTGLAWSYDGRCLYSCSQDNYVLNWILCENTISEVPIPANTPGLSSTTDLPDDFLSCLGVALSPGNLAVALVRNFNVELLNPMYQARSQKAAVEFLWNGAQQSGESEDSSETVTEAILGFSKNEFACWESNFLWSLKEFKDLNKPLVLWDMVAAMLAFKQSMPEFVELVLTKWLSVSYLGFHADISMEDLVPKITKCFSAVPSRLLHILNVTSRRVMLSELKTVEINRKLQGQRTNDEEEIDLWLKLLEESERELRERLVGLSFSAYLLSESSQGTVSPSSWNWCPAGLAQLQQWVEINRDIVHSQLETLSVEVKSSLTRSSNSTETSLEEEKCPYCAAPVNFHSAEEAFCESPHQKKKKSKDKERCDQSHKLERCCVSMQVCPPTPLWFCKCCNRMTLELAPETLFALPSFPSDLKSLPKSSFSKAASKPFCLFCGVLLQRKQPEFLLSASPV >fgenesh2_kg.5__1293__AT3G49410.1 pep chromosome:v.1.0:5:14465742:14470212:1 gene:fgenesh2_kg.5__1293__AT3G49410.1 transcript:fgenesh2_kg.5__1293__AT3G49410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIEEGIISGTLPSKEAFVVHFPGYPSSISRAIETLGGIQGISQARESISNKLELRFRPEDPYAHPALGEQRPCCGFLLRISKQDIKKPESQPVLATSSDVCLEEASTVLCADIIARVSESFHFDGMADYQHVIPIHADIAQQKKRKWMDVDSLTGNSDLMGLADEDVMMLLPQFFAPKDIPDNVALKPPATSGPKKKDDAATQNFYEIDVGPVFAIDFSIPKKLKWEDFVSRSSNHWQWQVSVSALFEERPIWTRDSVVQRLLDKGLKCTHHMLNRFLLRAAYYFSSGPFLRFWIKRGYDPRNDPESRVYQRMEFRVPPELRSYCDANATNSAKPSWNDICAFKLFPFKCQTFLQLFELDDEYIQREIRKPPKQTTCSHKSGWFSEALLDTLRLRVAVRFVSVFPEPGFEDVFKSIQEEFERSEKVQSQKETLKPSLVKHREATKSSEDMEKCKSVNEDVDANVNEDGDDENLDDEDEEEEEEEEEEVDMAAGDNEISLGSHGYLDTENSSRTYLQGLFDSFPTSEPGLYGDFAVDDGSDGEFQIYEEESEGLYSIDDDHNDDDDDDDD >fgenesh2_kg.5__1296__AT3G49470.1 pep chromosome:v.1.0:5:14503303:14504516:1 gene:fgenesh2_kg.5__1296__AT3G49470.1 transcript:fgenesh2_kg.5__1296__AT3G49470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LSJ0] MSPPPAVVTESADGQLEQPPAAAIAEELEKKLQTDEPIVEDVKDDEDDDDDDDEEEDDDAQGVSGSSKQSRSEKKSRKAMLKLGMKPVTGVSRVTIKRTKNVLFFISKPDVFKSPHSETYVIFGEAKIEDLSSQLQTQAAQQFRMPEIGATSQRAEASAATVEAQVEEDEEDIDETGVEARDIDLVMTQAGVSRSKAVNALKSHDGDIVSAIMELTT >fgenesh2_kg.5__1297__AT3G49480.1 pep chromosome:v.1.0:5:14504738:14505665:-1 gene:fgenesh2_kg.5__1297__AT3G49480.1 transcript:fgenesh2_kg.5__1297__AT3G49480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSLYFCKTLERLTLSYKVLVDVPRQVSLPSLTELDLFCVVYKDEDSHVRLLSSCPVLKRLKVTRNRHVEDIVRTFSVKVPSLLRLDYKVDTTFQDSDDRFLVIDTPNLLSLHIFDIFGHSFSVEYMPHLVTVVIDLLFPIENFMRSLSSVKYLALSPFDIMVPWCDAVNNYSRLTCVNHFWFCSLIVLILKVFMVDSEIGQYANHGPGLWNQPSSVPGCLSSHLEIFEWEGYAGRKYEKELIRYILENSKCLKTVGISPNSTCSEEKQKMVVEELESMHRVPTSVLLSSARMLFRR >fgenesh2_kg.5__12__AT2G01130.1 pep chromosome:v.1.0:5:99704:105564:-1 gene:fgenesh2_kg.5__12__AT2G01130.1 transcript:fgenesh2_kg.5__12__AT2G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7LR02] MKDRVPPSLYVPPHQRLRSDYGFHPLPLSPVRYVSAYDGSVPEDRQPQHPLGTFHCANLDEWNRKFSMLLHDSLKQEVISRDKKDRRDFDKLAALATTLGLYSHAYAKVVVFSKIPLPNYRFDLDDKKPQREVNLHTDLLQRVQAYLTDYLSKKPKRIDRVPASSLSRTSSISSTDEWLSEPPEPMAATNTTLDKILWQRSLQLRDRQQYWQASVEGRRMVESRTSLPAFKQRDSVLTAISQNQVIVISGETGCGKTTQIPQFILESEIEANRGAFCSIICTQPRRISAMSVSERVAYERGEQLGESVGYKVRLEGVRGRDTRLLFCTTGILLRRLLVDRNLRGVTHVIVDEIHERGMNEDFLLIILKDLLSRRPELKLIMMSATLDAELFSSYFGGAGVIHIPGFTYPVRSHFLEDILEMTRYRLTPYNQIDDYGQERMWKMNKQIPKKRKSQIAFVVEDALRAADFKEFSPETRESLSCWNPDCIGFNLIEFLLCHICENEGPGGILVFMTGWDDISSLKEKLQIHPIFGNPDRVMLLACHGSMASFEQRLIFEEPASGVRKIVLATNIAETSITINDVAFVIDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVRPGQCYHLYAKCVYDAFAEYQLPEILRTPLHSLCLQIKSLNLGSISEFLSRALQSPELLAVQKAIKYLKIIGALDENEYLTTLGRYLSKLPMEPKLGKMLILGAILGCLDPILTVAAGLSVRDPFLTPQDKKDLAEAAKSQFSRDHSDHLALVRAYEGWKKAEEESAVYDYCWRNFLSIQSLRAIDSLRKEFFSLLKDTGLIDGNPSICNSEGNDANLTRAVICYGMYPGICSVVHNERSFSLKTMEDGQVLLYSNSVNARETKIPYPWLVFNEKIKVNSVFLRDSTACSDSTLILFGGSISKGDIDGHLKMLGGYLEFFMKLDVAEIYQTLKKELDELIQNKLINPKVDMQAHRELLSAIRLLVSEDRCDGRFVFGHQILRPLEISALSTKPSLLSRTESGPGGDNSKSQLQTILTRAGYAAPMYKTKQLKNNKFQTTVEFNETQIMGQPCSNKKGAEKDAAAEAIQWLRGGAKESHEQVNHMSKLLKKSKKDHL >fgenesh2_kg.5__1300__AT3G49510.1 pep chromosome:v.1.0:5:14532033:14542448:1 gene:fgenesh2_kg.5__1300__AT3G49510.1 transcript:fgenesh2_kg.5__1300__AT3G49510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LSJ7] MTTISDLSEDLVGEILSWVPFTSLTAVRSTCKKWNALSKNHIFGRKTASRNQFLEFMVADSRVCSLRLDLQGIRNDDVEDYVDSSMKQITIPNNDDQVEISQVYHCDGLLLCIAKDNSRLFVWNPYLGQTKWIQPRNKFHKYDRFALGYDNNRNHKILRFLYDEENNESCRRTHIDVYDFSSDSWRVLDVNPDCDIIPFYLSGVSLKGNTYFFGQEVTQASKVTNIETCLLCFDFTTERFGPRLPLLFHPPCPSFETVTLSWVRDEKLAVLYNHYVTSEILELRISTKIEPNAVLWSSFLTVDMSVVNGLPDDFSMYFEAKSFFIDEEKKVVVLFDSKVIETCRYQMAYIVGDDGYFKSVNIGVNDQVEISQVYHCDGLLLCIAKNNSSLMVWNPYLGQTKWIRPRNTFHRADSFALGYDNNRNHKILRFLYDAERNRTGQGWVIDVYDFSSDSWRVLDVNADWDELFYQSGVSLKGNSYFFAREVTTEAEVGKEDLLVTEIEDYLLCFDVTTERFGPRLSLPFNHPSPIFEYLTLSWARDDKLAVLYSHYDTSEIYEIWISTKIEPNAVSWSTFLTVDKSLINGFSTFYDPMSFFIDEEKKVAVFFDIKGTETGCYQIACIIGDNGYFKSVNIGVISNSQWKQGKLVCSSYVPSLVQLQD >fgenesh2_kg.5__1302__AT3G49540.1 pep chromosome:v.1.0:5:14550996:14551764:1 gene:fgenesh2_kg.5__1302__AT3G49540.1 transcript:fgenesh2_kg.5__1302__AT3G49540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCASRPKESDMNNEEGSVPNKPVSETVVAKENNTESGEKQNQTVAETTETTSVEAKETSPVEPTKETTPAVQPEVAAVEESSSAAAGEAAEAAPEKVEKAATENVEAKVDAVAVAVPEKVEVAVEAEKKAETEPVKAEAEPVKTEAGPVKEESKKEEKEAVVTV >fgenesh2_kg.5__1303__AT3G49550.1 pep chromosome:v.1.0:5:14560670:14561110:1 gene:fgenesh2_kg.5__1303__AT3G49550.1 transcript:fgenesh2_kg.5__1303__AT3G49550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGKSHVVGSANVIYLSALLGRDGPNPCHKCDWKCENENVCGNMYRCKLTGLTHFCDKNCNQRILYDNHNSLCRASGRIFPLSSAEEQAVKGVRRKLDDESQQPSESCVKRRRRDAQFHSSPFERSFAAVSPICSQAGDGMEMN >fgenesh2_kg.5__1304__AT3G49560.1 pep chromosome:v.1.0:5:14563095:14564672:1 gene:fgenesh2_kg.5__1304__AT3G49560.1 transcript:fgenesh2_kg.5__1304__AT3G49560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:UniProtKB/TrEMBL;Acc:D7LSK3] MVVGGGGGDQKRSGGEMMAMASLFNDQQNPIQQFHVKFKEVETNFKTWLSKQSIPVEAAVVSTMSGVQGAFIGGLMGTLSPEMPQAGVDPQAIASLKQAQALVGGPWVQARNFAAITGVNAGIASVMKRIRGKEDIESAVVAALGSGFAYSLVSQGLQGQPMNAITTAAGFAVFQGVFFKLGERFSKPSTEDPFYTRGRTMLVKLGLEKYEKNFKKGLLTDPTLPLLTDSALKDANIPPGPRLMILDHIQRDPEIKGKRK >fgenesh2_kg.5__1305__AT3G49570.1 pep chromosome:v.1.0:5:14565145:14565552:-1 gene:fgenesh2_kg.5__1305__AT3G49570.1 transcript:fgenesh2_kg.5__1305__AT3G49570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGYVTVTAEEVEELRRRNGELEREMEDMKKEMVQLWRRAVVAEEAEERLCSQLAELEVESLDQARDYHSRIVFLMDQISRLSSSSLEVVV >fgenesh2_kg.5__1306__AT3G49580.1 pep chromosome:v.1.0:5:14569113:14569560:-1 gene:fgenesh2_kg.5__1306__AT3G49580.1 transcript:fgenesh2_kg.5__1306__AT3G49580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRGGYVTVAAEEMEDLRRRNIELSREVEEMKTEMIKLWQRTVVAEEAEERLCSQLAELEVESLDQARDYHDRMLFLMDQISRLSSSSLAASS >fgenesh2_kg.5__1308__AT3G49590.1 pep chromosome:v.1.0:5:14571990:14574661:1 gene:fgenesh2_kg.5__1308__AT3G49590.1 transcript:fgenesh2_kg.5__1308__AT3G49590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPENLPSDIGRLEQIVSHFFPKALHIVLNSRIPSLQSRGRSRERSSSGLNVRKSDKWFNLVMGDRPPALEKLHSWHRNILDSMIIDIILVHPISTDNLDVDDDHHGDSTGRSAETVIERWVVQYENPLIMSPLSSESATRYQKVYKKSIILLRSLYAQTRLLPAYRVSRQLSSSLASSGYDLIYKVSSFSDIFSGPVTETMKEFRFAPVEVPPGRLCASVTYRSDLSDFNLGAHITLPPRIITDYVGSPATDPMRFFPSPGKSVEGNSFIGRAGRPPLTGSSAERPHSWTSGFHRPPAQYPTPNQSFSPAHSHQFSPGLHDFHWSRTDAFGDNHQLSPPFSPSGSPSTPRYISGGNSPRINVRPGTAPVTIPSSATFNRYVSSNFSEPGRNPLPPFSPKSTRRSPSSQDSLPGIALYRSSRSGESPGLMNQYPAHKLSKDSKYDSGRFSGVLSSSDSPRFGFSRSPSRLSSQDDLDDPDCSCPFDFDDVDESGLQYSQSLDRRKTSGSISQSLPLGRRSSQDAAVGVLVHMLKTAPPLRQDSSTYMASMSGVQREGSVSGTESEFSMARSTSDALEELRNYKQLKDLLLSKSKSGSGATRVH >fgenesh2_kg.5__1309__AT3G49600.1 pep chromosome:v.1.0:5:14574933:14581373:-1 gene:fgenesh2_kg.5__1309__AT3G49600.1 transcript:fgenesh2_kg.5__1309__AT3G49600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 26 [Source:UniProtKB/TrEMBL;Acc:D7LSK7] MSRPNTRNKNKRQRPDAVDSSSQILRKIHEANDVTDDDINQLFMIWKPVCQGCRVNSRDNPNCFCGLVPPVNGSRKSGLWQKTSEIILSLGPDPTLDRRDSDSTPAGLTNLGATCYANSILQCLYMNTAFREGVFSVEVDVLKQYPVLDQIARLFAQLHASKKSFVDSDAFVKTLELDNGVQQDTHEFLTLLLSLLERCLRHSGVYKAKTIVQDLFSGSVSHVTTCSKCGRDSEASSKVEDFYALELNVKGLKTLDASLNDYLSLEQLNGDNQYFCGSCNARVDATRCIKLRTLPPVITFQLKRCIFLPKSSQNKLTYDLSAVLIHKGSAVNSGHYVAHIKDEKTGLWWEFDDEHVSELGKRPFNEASSSTPQSESNGTASSENITDVIQSGSSDCRSAIKSEVFSSSDAYMLMYSLRCDKQENQEGQKENPIDISKGKVDSVQQLKGGYLPLHLYEWINNMNAVFLESCKQYDLRKEKELSALTERRQEVRTILSEAAVQSLEEQYFWISTDWLRLWADTTLPPALDNTPLLCSHGKVLASKVNCMKRISELAWTKLESKFNGGPKLGKADYCRDCLMDGARMVVSSDSYRDRRTFMKSIANDVLSGKCEDGMYYISRAWLQQWIKRKNLDAPTEADAGPTNAITCNHGELMPEQAPGAKRIVVPENFWSFLVEDALKVMPEDASDCTCFPVDSSQCCHCTEELSEVACLEDSLRTLKVKQRQNHEKLATGKNIPLTPQSRYFLLPSPWLVQWRIYINMTGKNSSSAPEPERLDGVINTLKCKKHTRLLERLPELVCRRGLYFQKNPSTDKLTIIPEIDWKYFCEEWGGLMENGISALIEVGNNTDQSSSPDIIDLEKHSSPDDNMEVDAQQLILRASPEICEECIGERESCELMQKLSYSEGDVFVCLVRGKEAPKAMLEASDSSFEVDRRTSKRSRRTNYGNLTSLKVSATTTVYQLKMMIWELLGVMKENQELHKGTKVIDQESATLADMNIFPGDKLWVRDTEMHEHRDIADELCDKKTGAQDIEEGFRGTLLTGNISSEAC >fgenesh2_kg.5__1311__AT3G49620.1 pep chromosome:v.1.0:5:14599958:14604866:1 gene:fgenesh2_kg.5__1311__AT3G49620.1 transcript:fgenesh2_kg.5__1311__AT3G49620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTADFKSLLPVIDISPLLAKCDDFDMAKDAGVAEVVKKLDRACRDVGFFYVVGHGISDDLIKKVREVTHQFFELPYEEKLKIKITPAGGYRGYQRIGVNFTSGKQDMHEAIDCYREFKQGRYGDIGKVLEGSNHWPGNPQEYKDLMEEYIKLCTDLSRNILRGISLALGGSPYEFEGKMLSDPFWVMRIIGYPGVNQENVIGCGAHTDYGLLTLINQDDDKTALQVKNVDGDWIPAIPIPGSFICNIGDMLTILSNGVYQSTLHKVINNSPKYRVCVAFFYETNFEAEVEPLDIFKEKYPRKETSQVAKRVVYGQHLINKVLTTFANLVENS >fgenesh2_kg.5__1316__AT3G49660.1 pep chromosome:v.1.0:5:14622521:14624529:1 gene:fgenesh2_kg.5__1316__AT3G49660.1 transcript:fgenesh2_kg.5__1316__AT3G49660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7LSL5] MAEEVPATASFTPYIHSQTLTSHIRAVSSVKFSSDGRLLASASADKTIRTYTVNTENETIAEPVREFTGHENGISDVAFSSDARFIVSASDDKTLKLWDVETGSLIKTLIGHTNYAFCVNFNPQSNMIVSGSFDETVRIWDVTTGKCLKVLPAHSDPVTAVDFNRDGSLIVSSSYDGLCRIWDSGTGHCIKTLIDDENPPVSFVRFSPNGKFILVGTLDNTLRLWNIASAKFLKTYTGHVNAQYCISSAFSVTNGKRIVSGSEDNCVYMWELNSRKLLQKLEGHTETIMNVACHPTENLIASGSLDKSVRIWTQKKE >fgenesh2_kg.5__1319__AT3G62080.2 pep chromosome:v.1.0:5:14666247:14668724:-1 gene:fgenesh2_kg.5__1319__AT3G62080.2 transcript:fgenesh2_kg.5__1319__AT3G62080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LSM4] CEGVPDWDDEVVATARFKAFSGQRSDWELKFQFWRDLILKVSRQFGLFIIDPVQVKKAWFNRGGITPLCIDHVLLLMHSEGDVVRISDLDDPGIGRISRLLRTVRNLMVQQSVKQEEILETKLVIVPLLKEKAGDVVNILSEGHWTSTCVVTLKKFQNLCNGSNEASAVLSHLSGCGKAHKISINQGELIEGVKVSFSQAALPSISTLDCDILHLLRTTEKLHDQLEVMDQRCEKSKKSALASLKSGHRKVALRHARELKLATESREKCTSLLNRVEEVLNTIADSESTKMVSEAIKTGARVMKDIKISADDVHDYLEELEETIESQKQVEKALESAPYPDTDDENFEEELLELEMDLESESSQVLPATLDTADSLTEMFSELKLGKTKQTLEEQATEPARMKDSGKKILEAA >fgenesh2_kg.5__131__AT2G02150.1 pep chromosome:v.1.0:5:757806:762721:-1 gene:fgenesh2_kg.5__131__AT2G02150.1 transcript:fgenesh2_kg.5__131__AT2G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKADCDVFDVLWSTRNVCVPGFGVFDALFSVLIDLGMVEEAIQCFSKMKRFRVFPKTRSCNGLLHKFAKLGKTDGVKRFFKDMIGAGAKPTVFTYNIMIDCMCKEGDVEAARGLFEEMKFRGLIPDTVTYNSMIDGFGKVGRLDDTVCFFEEMKDMCCEPDVITYNALINCFCKFGKLPKGLEFFREMKRNGLKPNVVSYSTLVDAFCKEGMMQQAIKFYVDMRRVGLVPNEYTYTSLIDAYCKIGNLSDAFRLANEMLQVGVEWNVVTYTALIDGLCGWENPTEGLHLLDEMVELDIKVTVVTFCVLIDGLCKNKLVSKAIDYFGRISNDFGLQANAAIYTAMIDGLCKGNQVEAATTLFEQMAQKGLVPDRTAYTSLMDGNFKQGNVLEALALRDKMVETGMKLDLLAYTSLVWGLSHCNQLQKARSFLEEMIGEGIHPDEVLCISVLKKHYELGCINEAVELQSYLMKHQLLTSDNDNALPNIYLDPNPCFFWTAEKLIDEYIVHQQELSFEQKYFFHNAEELEVHVYKLQIKQKKKNVWSSEPGSEISCHRTIISEMGARVRVQHYNLGSADSYIGTSLHDLNSVDGPPRDIDGIRGSGGGDSLDNDGDSSSADCMHESYRNSMQIHNEGVEEGGSNMENKGPVGSAYIMLNIEDVSPIEAARGRFLQIILDYFISQHVIEVAENKRDHETDSGGRDNSNKVKRKSDDTRYEGDPSFALPLMYIANLYETLVGEANVRLASLNGIRDKTIGVALEAAGGLYRKLTKKFPKKGTCMYRRRELATSVETRTRFPELVIHEEKRVRFVVVNGLDIVEKPDDLPIEDAEWFKRLTGRNDVAISARDYKFYCPRRKHRRLQNSVSSISGLPTFPGIDSSTLASTQGFRSVNEDQSQQQHTPSPSKHHMSSLSHQFHQSIHQSHHHHQSIYQNQHAATHFPGQNHQCDPELSHTHQSPSISQHMACLQPLTGGHVMPNSPAKFCDQCGAQYLRETSKFCSECGSKRLGI >fgenesh2_kg.5__1320__AT3G49680.1 pep chromosome:v.1.0:5:14691385:14694177:1 gene:fgenesh2_kg.5__1320__AT3G49680.1 transcript:fgenesh2_kg.5__1320__AT3G49680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:D7LSM8] MERAAILPSVNQNYLLCPSRAFSTRLHSFSSTRNFSPPSLSSIKLQHSSSSVSSNVSNGGISLTRCNAVLSNSSSTEVSELADIDWDNIGFGLKPTDYMYVMKCNMDGEFSKGELQRFGNIEVSPSAGVLNYGQGLFEGLKAYRKEDGNNILLFRPEENANRMRNGAERMCMPAPTVEQFVEAVKETVLANRRWVPPPGKGSLYVRPLLMGTGAVLGLAPAPEYTFIIYVSPVGNYFKEGVAPINLIVENEFHRATPGGTGGVKTIGNYAAVLKAQSIAKAKGYSDVLYLDCVYKRFLEEVSSCNIFIVKDNVISTPEIKGTILPGITRKSIIDVARTQGFQVEERNVTVDELLEADEVFCTGTAVVVSPVGSVTYKGKRVSYGEGTFGTVSKQLYTVLTSLQMGLIEDNMKWTVNLS >fgenesh2_kg.5__1326__AT3G49720.1 pep chromosome:v.1.0:5:14709366:14711551:-1 gene:fgenesh2_kg.5__1326__AT3G49720.1 transcript:fgenesh2_kg.5__1326__AT3G49720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQVGSTRRVGDGGSFPFAGALHSKSRSSPLLSICLVLVGACLLIGYAYSGPGIFKSIKEVSKVTGDYSCTAEVQRAIPVLKKAYGDGMRKVLHVGPDTCSVVSSLLKEEETEAWGVEPYDIEDADSHCKSFVSKGLVRVADIKFPLPYRAKSFSLVIVSDALDYLSPKYLNKTVPELARVASDGVVLFAGLPGQQRAKVAELSKFGRPAKMRSASWWNRFFVQTNLEENEAPSKKFDQAVSKGLYKPACQVFHLKPLH >fgenesh2_kg.5__1328__AT3G49740.1 pep chromosome:v.1.0:5:14717112:14719307:1 gene:fgenesh2_kg.5__1328__AT3G49740.1 transcript:fgenesh2_kg.5__1328__AT3G49740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LSX3] MRKALSAIGESSTTLLNLNRRLTALTRSGENRNALKLFADVHRCITLRPDQYSVSLAITAAGHLRDTIFGGQVHCYAIRSGLLCHSHVSNTLLSLYARLGNLASLKRKFEEIIEPDVYSWTTLLSASFKLGDIEYAFEVFDKMPERDDVAVWNAMITGCKESGYHGTSIELFREMHKLGVRHDKFGFATVLSMCYYGSLDFGKQVHSLVIKAGFFVASSVVNALITMYFNCQVVVDARLVFEEADVAVRDQVTFNVVIDGLAGFKREESLLVFRQMVEAGLRPTDLTFVSVMSSCSCETMGHQVHGLSIKTGYEEYTLVSNSTMTMYSSFEDFGAAHKVFESLEEKDLITWNTMISGYNQANLGQSALLLYKRMHGIGVKPDEFTFGSLLASSLDLDALEMVQACVIKFGLSSKIEISNALISAYSKHGKITKADLIFESSPKKNLISWNAIISGFYHNGFSFEGLERFSCLLEAEVLIIPDAYTLSILLSICVDISSLMLGEQTHAYALRHGQFKETLIGNAFINMYSQCGTLQKSLAVFHQMSDKDTVSWNSLISAYARHGKGESAVLTYKTMQDEGKVDPDAATLSAVLSACGHAGLVKEGLEIFNSMVEFHGLIPNVDHFSCLVDLLGRAGHLDEAESLVKISEKTIGSRVDVWWALFSACAAHGDLKLGKMVARLLMEKEKNDPSVYVQLSNIYAGAGLWKEAEETRKAINMIGAMKQRGCSWMRL >fgenesh2_kg.5__1329__AT3G49750.1 pep chromosome:v.1.0:5:14720536:14721378:-1 gene:fgenesh2_kg.5__1329__AT3G49750.1 transcript:fgenesh2_kg.5__1329__AT3G49750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LSX4] MVRSHRFSYRLLLLLLLIFETTRRLTTADPNDEACLKNLRQNLEDPASNLRNWTNSVFSNPCSGFTSYLPGATCNNGRIYKLSLTNLSLRGTISPFLSNCTNLQSLDLSSNQISGIIPPEIQFLVNLAVLNLSSNQLSGEITPQLALCAYLNVIDLHDNQLSGQIPQQLGLLARLSAFDVSNNKLSGQIPTYLSNRTGNFPRFNASSFIGNKGLYGYPLQEMMMKSKGLSVMAIVGIGLGSGIASLMISFTGVCLWLRITEKKIVEEEGKISQSMPDY >fgenesh2_kg.5__132__AT2G02160.1 pep chromosome:v.1.0:5:764521:767484:-1 gene:fgenesh2_kg.5__132__AT2G02160.1 transcript:fgenesh2_kg.5__132__AT2G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPATQPQQQQQEKKQSETVSSAEEDALKWNTDCVYFLASPLTCKKGPECEYRHSEYARMNPRDCYYWLNGNCMNPKCGFRHPPLEGLLGNQGGAPAGSVQPTHATVQHSGVAKQPVPCVFFQKGMCMKGDMCSFLHTPNPAAYKKLLPVEAKPAADPQFSKKPIENNTGEKKFADANLSKAVKAHVDISAAPRVTSAGLRDSRRVEGYVPEHMGYDPVVQKKGTGVPSFTEGGHSTQLLHKYGSDDNNSFHNGKDADDVLRESSPGFDVLVDNEARDSEYYRVEDRYGRRSQEGGNSVNEYDPDFSAIADDEKAFHDQRFDPYDQREDRYAWGNRRVSSERGDHSERRVYAEDERSENILASDLRYRLAKQRKVNGVRSVGSHDYGAPDSSVERGYRDSRRDTLRENSISSSRLQGRIKLRERSNGDEGHHFDRRNERGRDRSELSSQGRLRDRIKGRLEENHSGNQERGFRAPWARRREMEDERKPAPKSIAETKSTREESKPELSLGKRKSFEEDHHSHKRSGDSFAAPLPFSEILKRKRAAASGGSRHNNKDETITKEEAGDETKLITEEKTEVVSEPKAEVEEEGTIMEEEDVVGEEVYEGNEDEQAYEGDELNGEYYYEEGYEEEGGEYTYEEGEEVVYAAEEGEEEVTEGGEAEGEEDIEKKTVEMLS >fgenesh2_kg.5__1332__AT3G49790.1 pep chromosome:v.1.0:5:14780614:14782318:-1 gene:fgenesh2_kg.5__1332__AT3G49790.1 transcript:fgenesh2_kg.5__1332__AT3G49790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLGLSKRGYFDFALKNKNWILLAVSGYGAFRVYHSPSISQKRKQISKLFTLLLNLIEAASDSAETVSVISKDLTVFLRSDSDQIPNSFKQISKIAKSDEFNSSLIRFTQAMTVGLIRGIDDGSGSGFTDRVMDKLFTKSGSGFASAIVGSFARNLVVAFYSSSSDGESPIFSNSKLLDAVFSDDGRRLIGDCVQRFVSTAVSVYLDKTSDVNVFDDLFAGLTNPKHEGKVKQTLVTLCNNAVETFVRASRKPVQLNRSSSCQVSSQTLTVGSTKQTTWIDRVSSSLSVPSNRKYVVDLTGRVTFETVRSLLEVLIERANGKVESYVEKVRERGNETRRFVRVKTSLLHSLCLSLCLQIVEAPWMLTPRN >fgenesh2_kg.5__1333__AT3G49810.1 pep chromosome:v.1.0:5:14788312:14790599:-1 gene:fgenesh2_kg.5__1333__AT3G49810.1 transcript:fgenesh2_kg.5__1333__AT3G49810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7LSY5] MPMFQPLKRDGLIGFEGGGDGQVLDLDTAVKDGVLGGVNGGVVDEKLDLKKMIKELDLQDIPSVFICPISLEPMQDPVTLCTGQTYERLNIHKWFNLGHLTCPTTMQELWDDTVTPNKTLHHLIYTWFSQKYVLMKKRSEDVQGRAIEILGTLKKAKGQARVHALSELKQIVVAHLMARKTVVEEGGVSVISSLLGPFTSHAVGSEVVAILVSLDLDSDSKSGLMQPAKVSLIVDMLNDGSNETKINCARLIKGLVEEKGFRAELVSSHSLLVGLMRLVKDKRHRNGVSPALGLLKPISVHKQVRNLMVSIGAVPQLVDILPSLDPECLELALFVLDALCSDMEGRVAVKDSANTIPYTVRVLMRVSENCTSYALSILWSVCKLAPEECSPLAVEVGLAAKLLLVIQSGCDAALKQRSAELLKLCSLHYSDTMFISKCKLTRTIQ >fgenesh2_kg.5__1335__AT3G49840.1 pep chromosome:v.1.0:5:14806816:14809737:1 gene:fgenesh2_kg.5__1335__AT3G49840.1 transcript:fgenesh2_kg.5__1335__AT3G49840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSVFVRSSHFIVVILFLNLLTFFSVVSSDELFVVVDETGSLQVTPRTEVIDSLGITSICERLHIHGLQRRVKHIDRYAHSLKLTLLSNNASNSIDVCFHRNSSRAIGMCPHGQWKKVSKGLPWVGMMSPFDSKILDIRAFGGSSKVITLELSAKQEFFMYRIVFLIMGIVLLSLASRLSKSVVFYHIGAMSIGIIILLTLIINQGIKRLPTGGKSRFELFLYSSMIGVGGYFLQYIRGLIQDLLMQIGISEDLYIPLAIILVVFAIMLGAWSGFWTVKKFVATKDGSIDIGTTIFVSWSIRAFAVALILQSSVDPLLAGGALITGILISSILMSISRKLLAQRIYEQDDETECCSPGLIHATSFASPLPRGSKKISRTVPLSDSDIFPSSFHKTPEGRRKLTKEELEKFTKESTEKAMKELVSSPGFSEWTVKNATRINVNPLKKSSSKLTCTIKRRRWFPWF >fgenesh2_kg.5__1336__AT3G49845.1 pep chromosome:v.1.0:5:14810269:14811200:1 gene:fgenesh2_kg.5__1336__AT3G49845.1 transcript:fgenesh2_kg.5__1336__AT3G49845.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSZ1] MSYQDQHHPVGAPPPQGNSQFLFKIFWVFLFLGILLRKDILRLDIHRKDILQQVIPHHRKDTVKDIQRKAILHRNILKVLHHSILIKVLHRRIMVRLHLRRRKTRIQASWKDVWLCSAVAVSWKLAFD >fgenesh2_kg.5__1337__AT3G49850.1 pep chromosome:v.1.0:5:14811587:14813518:1 gene:fgenesh2_kg.5__1337__AT3G49850.1 transcript:fgenesh2_kg.5__1337__AT3G49850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTPEEETALKAGVLKHGTGKWRTILSDPEYSSILKSRSNVDLKDKWRNISVTALWGSRKKAKLALKRTPSSGSRQDDNATAITIVSLANGDGGGQQIYAPSPPAGSCEPPRPSTSVDKIILEAITNLKRPFGPDGKSILMYIEENFKMQPDMKRLVTSRLKYLTNVGTLVKKKHKYRISPNYMAEGARQRSPQLLLEGNKENTPKPKENGVKNLMIMGMTEKEAAAAAARAVAEAECAISEAEEAARDADEAEAKAEAAHIFAKAAMKSLKYRMHSQTR >fgenesh2_kg.5__1338__AT3G49860.1 pep chromosome:v.1.0:5:14813689:14814628:-1 gene:fgenesh2_kg.5__1338__AT3G49860.1 transcript:fgenesh2_kg.5__1338__AT3G49860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLIGLQNAGKTSLVNVVATDEYSEDMIPTVGFNMRKVTKENVAIRLWDLGGQPRFRFMWVHLRLRFLCYLYCSAYSQSDPDNLSVSRSELHDLLSKTSLNGIPLMVLGNKIDKPGALSKEALTEEMGLSSLTDREVCCFMISCKNPTTIDQVIDWVVNHSKSKN >fgenesh2_kg.5__1339__AT3G49870.1 pep chromosome:v.1.0:5:14815005:14816736:-1 gene:fgenesh2_kg.5__1339__AT3G49870.1 transcript:fgenesh2_kg.5__1339__AT3G49870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSVSKSELHDLLSKTSLNGIPLLVLGNKIDKPGALSKEALTGEMGLTSLTDREVCCFMISCKNSTNIDQVIDWLVKHSKSKN >fgenesh2_kg.5__1342__AT3G49900.1 pep chromosome:v.1.0:5:14828445:14830430:-1 gene:fgenesh2_kg.5__1342__AT3G49900.1 transcript:fgenesh2_kg.5__1342__AT3G49900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LSZ7] MKRWTNLGFVDTIYEDEDYVDHSSSLSSSSSSLSPSPKQRINLSSSPSMELESRVHKWSLANNSKPDVFVNVGGTRFHLHKDPLSKSGYLKRYLTGVNELTLSPPLNITAETFSLVAGFCYGAHIDLTPFNVVSLRIAVEILLMTEADDGGRESLRNLTESYLRRVVFVSVDYTQIVLRSCLLLLPESETTAFLIGRCVEALMEIGDGDCVNEFLEEAVRLPAGDFSVVADAVQQRFPRHDLLYRIVDAYVKEHDGEITEEEKVQICNSIDCDKLSPPLLLHAVQNPKMPLRFIVRAMLQEQLNTRHSIMAAAAVASAAPVGDRHREIAAAARDSSVTLGSLLQRDTAARQNCRLRAAMNSTSSRIECLEKELDAMKKFLSKESEKQKSDRIIIESRSRSVMDSARSASFHCVHQPSNLNKTQRGDRGSVSNLSTTFRRRRASPPQPQKSIGKRLIKGIKNAFSTSSKQGAKKNAYAVDEIYDGLEDFVWIKDDDDDNISEELHSHYIKNK >fgenesh2_kg.5__1344__AT3G49930.1 pep chromosome:v.1.0:5:14843027:14843724:1 gene:fgenesh2_kg.5__1344__AT3G49930.1 transcript:fgenesh2_kg.5__1344__AT3G49930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LT01] MALETLNSPTSTTTTTAPPPFLHCLDETEPENLESWTKRKRTKRHRIDQPNPPSEEEYLALCLLMLARGSSDHHSSPSDHQKDYKCSVCGKSFPSYQALGGHKTSHRKPVSINNDDANNSNGSVTNNGNISNGLVGQSGKTHNCSICFKSFPSGQALGGHKRCHYDGGNGNGNGDNSHRFDLNLPADQVSDETLGKSQLSGEETKSVL >fgenesh2_kg.5__1349__AT3G49990.1 pep chromosome:v.1.0:5:14885289:14886961:-1 gene:fgenesh2_kg.5__1349__AT3G49990.1 transcript:fgenesh2_kg.5__1349__AT3G49990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKFIDKKKAATFELCPRDTSDPRYSDAPGGDKIFLRVDQNPVNINGFIEEEEEEEEEGDSSRFEDAPEDLPYGYSSFGESSINPLPAHVRKEILELGYPDDGYNYLEHLREIKNTGGGSNFYANPKFVVDQLPRDVKAYDASRVKISGMVNEEGNDNKLMYSVASKTVNVKVQKAIDPEVAALLENSDGSEFGSDVEDLEEDFVIQANLTQQGEASGVSNVEFSEIPEARNRESDKPVVENPRVPRQIDELFDQLELNEYGSDSDCDGYIAKDGEEEDFIAQDVQNLIHEKAKDYELEEKYMNLADILKNSDSVKDKEEVNTAAHIIRRTVEYGENFDNGNEDEFVELTEESSDESEKYDCDTIVSTYSNRDNLPGKILAAESVRQKKLSETVAKALSSNGRIITLQGREKIPVEFLPGRRAEQTDVKSEIPKAEPIKRKTHGQESKEEKKERKNAVKTEKREARIMKKQTSELYRYETLRAQKAVASSGPKSKHL >fgenesh2_kg.5__1350__AT3G50000.1 pep chromosome:v.1.0:5:14887626:14890110:1 gene:fgenesh2_kg.5__1350__AT3G50000.1 transcript:fgenesh2_kg.5__1350__AT3G50000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIFFFSYFLRRFLLLCAILILRAPTAHSPIPPLTCVATGTVESDVSGISFNRCLDSDSLAEISLSSVTGAMSKARVYTDVNVIRPKDYWDYESLNVQWGEQDDYEVVRKVGRGKYSEVFEGINMNSNEKCIIKILKPVKKKKIRREIKILQNLCGGPNIVKLLDVVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDFCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNQDQLVKIAKVLGTDELNAYLNKYQLELDAQLEALVGRHSRKPWSKFINADNRHLVSPEAIDYLDKLLRYDHQDRLTAKEAMAHPYFAQVRAAESSRMRTQ >fgenesh2_kg.5__1354__AT3G50040.1 pep chromosome:v.1.0:5:14922491:14924261:-1 gene:fgenesh2_kg.5__1354__AT3G50040.1 transcript:fgenesh2_kg.5__1354__AT3G50040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAKPRSEMDISGCSANQQSDDVNGDRFQEEDVEVDIMKCVNQIDDEFQEDLCQASSSSSSFGDSMSARDGDDFGFGDEAQSMLSQDYPLPGTCDDGTEFLGLTKKKTNDRWRRLTKPLMWRCKWIELKVKEIQSQARAYEKEVKDYYLTKQFDLEKSKLEGFDGKSIPFREKTQRMNVFKRRRRKRVEETTDVAAYMSNHNLFSYADKRVPVNVKAQYLDSDFGTGRKATGKQDGIEDDSLISELDCSDDVLAKLLCEIDEAQGKARRLRKRVDQLMWDSQTAHTSSMPQTVAPCHRDSTIQNGKKCALIEDPLARRQREASVPIGRQCIPGDHIEHLLVPQTQIAGQRLTNNSPISSQSLRFHPILEDLLMDETEMNDDEMEADDEKLDYFRKLINEITGVPPEEADAEEVPTPVSKKRKTSH >fgenesh2_kg.5__1356__AT3G50060.1 pep chromosome:v.1.0:5:14930760:14931806:-1 gene:fgenesh2_kg.5__1356__AT3G50060.1 transcript:fgenesh2_kg.5__1356__AT3G50060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LT23] MADRVKGPWSQEEDEQLRRMVEKYGPRNWSAISKSIPGRSGKSCRLRWCNQLSPEVEHRPFSPEEDETIVTARAKFGNKWATIARLLNGRTDNAVKNHWNSTLKRKCSGGVAAVTAMTVTETDEEEDRPKKRRSVSFDSAFAPVDTESPNGFDVSDSSTIPSPSSPVAQLFKPMPISGGPLPVEMSSSSEDPTTSLSLSLPGAENTSSSYINNNNALMFPRFESQMKINVEERGGGEGRRGEFMTVVQEMIKAEVRSYMAEMQRTSGGGFVVGGFRDCGIITPKV >fgenesh2_kg.5__1358__AT3G50080.1 pep chromosome:v.1.0:5:14946522:14948205:1 gene:fgenesh2_kg.5__1358__AT3G50080.1 transcript:fgenesh2_kg.5__1358__AT3G50080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vier F-box protein 2 [Source:UniProtKB/TrEMBL;Acc:D7LT25] MGQAPSSPADSSVRDTSLWLWSPEFLDCESIGFEDGGYDFTANLPDDCLAHVFQFLSAGDRKRCSLNRHRLSLDAKAEILPFLPCIFNRFDSVTKLALRCDRRSFSLSDEALFMISIRCSNLIRVKLRGCREITDLGMESFARNCRNLRKLSCGSCNFGAKGLNAMLEHCKVLEELSVKRIRGIDELAEPIKLSSSSSLRTICLKELVNGQVFESLVATRTLKKLRIIRCLGDWDRVLEMNGDGNSSLTEIHLERLQVSDVGLSGISKCSNLETLHIVKTPECSDLGLACVVERCKLLRKLHIDGLRIKRIGDEGLISVAKHCLNLQELVLIGVDATYMSLSAIASNCKKLERLALCGSGTIGDTEIGCIAEKCVALRKFCIKGCLISDVGIKALALGCPKLVKLKVKKCRLVTGEVREWLGERRMTLVVSMDDDETSGVGIVDGGDQRVLETVAEEDPLPVTDGDGGAGVAGGGRIGLAILKTKLGLLAGRNLVACTFRRWSQSEATSSI >fgenesh2_kg.5__135__AT2G02180.1 pep chromosome:v.1.0:5:775403:777803:1 gene:fgenesh2_kg.5__135__AT2G02180.1 transcript:fgenesh2_kg.5__135__AT2G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGGVEVMRFASEMMSSSSLSSSSSSAVEMLNLKEASNWWSDVNESPIWQDRIFHVLAVLYGIVSVVAVIQLVRIQLRVPEYGWTTQKVFHFLNFVVNGVRAVVFVFRRNVQFMHPEILQHILLDIPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPSFFTINAVVYVVQIALWLVLWWKPVRIMIILSKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFTCFLIRCIMMCFAAFDEGANLDVLDHPILNFIYYLLVEILPSSLVLFILRKLPPKRGITQYHQIR >fgenesh2_kg.5__1361__AT3G50110.1 pep chromosome:v.1.0:5:14956306:14960049:-1 gene:fgenesh2_kg.5__1361__AT3G50110.1 transcript:fgenesh2_kg.5__1361__AT3G50110.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDPADSTSQSSAIVSEKDVVIPGNSENTMGVVQDPVSSAGEAHEDSISTEASIAKVDDTQMPASSTGSEPLSKADDIVPCPPGSSPRESPPSIFSSSGLSSWAKSFKFQQQDPNGTDSGMSAFTRFTSELGLHLPTKGSEEVGDSRSSNTQVGGALESLTKAVVDSSRGAVKAMQVKARHIVSQNKRRYQEGEFDLDMTYITENIIAMGFPAGDISSGLFGFFEGLYRNHMEEVIKFFETHHKDKYKVYNLCSERLYDASRFEGKVASFPFDDHNCPPIQLIPSFCQSAYTWLKEDIQNVVVVHCKAGMARTGLMICCLLLYLKFFPTAEEAIDYYNQKRCLDGKALVLPSQIRYVKYYERVQNQFDGKVPPERRCMLRGFRLINCPYWIRPAITISNHTDILFSTKKHQKTKDLGPEDFWIKAPKKGVVVFAIPGEAGLTELAGDFKIHFQDSDGDFYCWLNTTLTDNRTMLKGSDFDGFEKRKLPAPGFHVEIVMIEPDNSQPTKSKSDSTQQQSQSSSSAGSSKLKSNEKDDDVFSDSDGEEEGNSKPIDSYSTTEKTSDSMHITSKPHQINEPPKTDDPAANRSVTSSSGHYNPVPNDSLAVSDIKAIAADASVFSFGDEEEDYESD >fgenesh2_kg.5__1362__AT3G50120.1 pep chromosome:v.1.0:5:14960765:14962878:-1 gene:fgenesh2_kg.5__1362__AT3G50120.1 transcript:fgenesh2_kg.5__1362__AT3G50120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFYKDMLSWYLLTLKIREKLETQNQESESVNQDQNLPSLPEIRSDQDQNNHNLEQTRSEPGKIDVIKESPKDSRDDWVISITDKLEQAHRDDDTTLWGKLCIYRVPYYLQENDNKSYFPQTVSLGPYHHGKKRLRYMDRHKWRAVSRVLKRTNQGIKMYIDAMRELEEKARACYEGPLSLNSNEFIEMLVLDGCFVLELFRGAVEGFTELGYARNDPVFAMRGSMHSIQRDMVMLENQLPLFVLNRLLELQLGTRNQTGLVAQLAVRFFDPLMPTDEPLTKSGQSKLENSLARDKAFDPFADMGELHCLDVFRRSLLRSSPKPEPRLTRKRWSPRNTRVADKRRQQLIHCVTELREAGIKFRRRKTDRFWDIQFKNGYLEIPRLLIHDGTKSLFLNLIAFEQCHIDSSNDITSYIIFMDNLIDSHEDVSYLHYCGIIEHWLGSDSEVADLFNRLCQEVVFDTEDSYLSRLSIEVNRYYDHKWNAWRATLKHKYFNNPWAIVSFCAAVILLVLTFSQSFYAVYAYYKPPS >fgenesh2_kg.5__1364__AT3G50140.1 pep chromosome:v.1.0:5:14967413:14970257:-1 gene:fgenesh2_kg.5__1364__AT3G50140.1 transcript:fgenesh2_kg.5__1364__AT3G50140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSKRRPPPPPPPPRPLPPLPPPPPPPPPQLPFGPNLPLPHIIIRRKGRRNYQLRQMLTLYFLTFIMRKKNQTQNQQPEETREEWYLETTPQLAGTSFVSTEFHSPFRKTTITPTSLKPSLLVRTTMDYHKWRAVNMVMKRTKQGIGLYIDAMKELEERARSCYKGRIGLSSNKFTQMLVLDGCFVLDLFRGAYEGFLKLGYNRNDPVFAMRGSMHSIRRDMLMLENQLPLFVLNRLLELQLGTQYQTGLVAQLAVRFFNPLMPTYMPSTKIDNSQENNKFFNPIADKEKEELHCLDVFRRSLLQPSLKPEPRLSRSRWSRKPLVADKRQQQLLHCVTELREAGTKSLFSNLIAYEQCHIDSTNDITSYIIFMDNLIDSADDIRYLHYYDIIEHWLGNDSEVADVFNRLCQEVAFDLENTYLSELSNKVDRFYNRKWNVLKATLKHKYFSNPWAYFSFFAAVILLLLTLFQSFFTSYPYFKPPS >fgenesh2_kg.5__1365__AT3G50150.1 pep chromosome:v.1.0:5:14972399:14983742:-1 gene:fgenesh2_kg.5__1365__AT3G50150.1 transcript:fgenesh2_kg.5__1365__AT3G50150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFSDGRSTSTHKRYRRLSWFVPIHSFHETTRRDLGQNLHNHEETYAEPGKIEVKEEKPRETREEWVISIKDKMEQALRYDATNCWEKLCIYRVPFYLQENDKKSYLPQTVSIGPYHHGKEHLLPMERHKWRAVNMVMARTKHNIEMYIDAMKELEEEARACYQGPIDMKNSNEFTEMLVLDGCFVLELFKGIAQGFQKIGYAQNDPVFAKRGLMHSIQRDMIMLENQLPLFILDRLLGLQPGTPNQTGIVADVAVQFFKTLMPTSEALTKSKSPLDSQEKSDELADNGGLHCLDVFHRSLIQSSETTNRGTPYEDMRMVDKQQQLIHCVTELRDAGVKFMRKQTGQLWDIEFKNGYLKIPKLLIHDGTKSLFSNLIAFEQCHTQSSNNITSYIIFMDNLINSSQDVSYLHHDGIIEHWLGSDSEVADLFNRLCKEGIFDPKDGYLSQLSGEVNRYYSRKWNSLKATLRQKYFNNPWAYFSFSAALVLLILTFFQSLFAVYAYYKPLS >fgenesh2_kg.5__1366__AT3G50170.1 pep chromosome:v.1.0:5:14984412:14989118:-1 gene:fgenesh2_kg.5__1366__AT3G50170.1 transcript:fgenesh2_kg.5__1366__AT3G50170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVNVHSDGQPIIINKDMLTWYLLSLKLRQKFQTKNQHSEPVQDQNLHGLSEIPVQDQNFQNHKQTHSESGKEVVEERPGDTTGEDSWVISIRDKVEQAHRDDDTAIWGKLCIYRVPHYLKENDKKSYFPQTVSLGPYHHGKKRLRPMERHKWRAVNKVLKRLKQRIEMYTNAMRELEEKARACYEGPISLSSNEFTEMLVLDGCFVLELFRGTVEGFTEIGYARNDPVFAMRGLMHSIQRDMIMLENQLPLFVLDRLLELQLGTQNQTGIVAHVAVKFFDPLMPTGEALTKPDQSKLMNWLEKSLDTLGDKGELHCLDVFRRSLLHSSPTPNTRSLLKRLTRNTRVVDKRQQQMVHCVTELREAGVKFRKRKTDRFWDIEFKNGYLEIPKLLIHDGTKSLFSNLIAFEQCHIESSNHITSYIIFMDNLINASEDVSYLHYCGIIEHWLGSDSEVADLFNRLCQEVVFDPKDSHLSRLSGDVNRYYNRKWNVLKATLTHKYFNNPWAYFSFSAAVILLLLTLCQSFYAVYAYYKPNSKL >fgenesh2_kg.5__1369__AT3G50210.3 pep chromosome:v.1.0:5:15002867:15004826:-1 gene:fgenesh2_kg.5__1369__AT3G50210.3 transcript:fgenesh2_kg.5__1369__AT3G50210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFRSLPVIDISPLLAKCDDPDMADDPGVADVVRQLDKACRDAGFFYVIGHGISESLINKVREITREFFKLPYEEKLQIKMTPAAGYRGYQRIGENVTKGIPDIHEAIDCYREFEQGQYGDIGKVMEGPNQWPENPQEFKELMEEYTKLCTDLSRKILRGISLALGGSPYEFEGKMAGDPFWVMRLIGYPGAPFANGQPENDIGCGAHTDYGLLTLVNQDDDKTALQVRNLGGDWISAVPIPGSFVCNIGDMLKILSNGVYESTLHRVINNSPLYRVCVAFFYETNFDAVVEPLDICKQKYPAGRGGSQVFKKAVYGEHLVSKVQTNFAM >fgenesh2_kg.5__136__AT1G25220.1 pep chromosome:v.1.0:5:777971:780258:-1 gene:fgenesh2_kg.5__136__AT1G25220.1 transcript:fgenesh2_kg.5__136__AT1G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTQYKSCLLQPKSGSTTRRLNPSLVNPLTNPTRVSVLGKSRRDVFAKASMEMAESNSIPSVVVNSSKQNGPIIVIDNYDSFTYNLCQYMGELGCHFEVYRNDELTVEELKRKNPRGVLISPGPGTPQDSGISLQTVLELGPLVPLFGVCMGLQCIGEAFGGKIVRSPFGVMHGKSSMVHYDEKGEEGLFSGQSNPFLVGRYHSLVIEKDSFPSDELEVTAWTEDGLVMAARHRKYKHIQGVQFHPESIITTEGKTIVGNFIKLIEKKESEKLT >fgenesh2_kg.5__1370__AT3G50230.1 pep chromosome:v.1.0:5:15010754:15013598:1 gene:fgenesh2_kg.5__1370__AT3G50230.1 transcript:fgenesh2_kg.5__1370__AT3G50230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFFYSLLFLLRISAANSLPATNYFDSFLPSDAVALLSFKSTADLDNKLLYSLTEPYDYCQWRGVDCSQDRVVRLILDGVGLRGRFSPETLSRLDQLRVLSLVNNSISGSVPDLSPLTNLKTLTLSKNRFSGTLSGSILSLRRLVELDLSFNNFAGEIPSEINALSRLISLNLEFNRFSGPLPPLNHSSMTSFNVSGNNLTGLVPVTTTLLRFNASSFSSNPGLCGEIINRSCGSRSSSPFFGSTKPNATSSSSSSQAPISQSENGEAAMIVPPVVKKVKNGWLVLGFTIGLASLIVLGLCLVVFSLFMKNRRDYDDDVIMTQPKREEGNKEIKIQFQTTEPSPQKRISRNGDLIFCGDGGGVAVYTLDQLMRASAELFGRGSVGTTYKAVMVNQLIVTVKRLAPSKTAITSDLVFENQMEIVGGLKHPNLVPVKAYFQSNGERLVIYEYQPNGSLFNLIHGSRTSKAKPLHWTSCLKIAEDVAQALHYIHQSSGKFHGNLKSTNILLGHDFEACVTDYCLSVLTDSSVLPNDPDISSYKAPEVRKSIDSRRPTSKCDVYSFGVFLLELLTGKTASRQPIMEPNDMLDWVRAMRQEEERSKEENGLEMMTQTACLCRATSPEQRPTMKEVIKMIQEIKESVVMTEENETFL >fgenesh2_kg.5__1371__AT3G50240.1 pep chromosome:v.1.0:5:15014949:15020626:-1 gene:fgenesh2_kg.5__1371__AT3G50240.1 transcript:fgenesh2_kg.5__1371__AT3G50240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KICP-02 [Source:UniProtKB/TrEMBL;Acc:D7LT39] MESHSSSPSSSSSESCSVKVAVNVRPLIGDEVTQGCRECVSVSPVTPQVQMGTHSFTFDHVYGSNGSPSSLMFEECVAPLVDGLFHGYNATVLAYGQTGSGKTYTMGTAFKDGTRNGLIPQVMSALFNRIDSVKHQMGFQLHVSFIEILKEEVLDLLDSVPFNRLANGTPGKVVLSKSPVQIRESPNGVITLSGATEVPITTKEEMASCLEQGSLTRATGSTNMNNESSRSHAIFTITLEQMHKIPSISVVKDTVDEDMGEEYCCAKLHLVDLAGSERAKRTGSGGVRLKEGIHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPVANKDLICSEMQKMRQQLQYLQAALCARVATPEEVQVMREKIMNLESANEELSRELHIYRSKRTTLDSCNIDAQEDGVNFPKDDGLKRGFESMESDYEMSEATSGGISEDIAAAEEWEHALRQNSMGKELNELSKRLEEKESEMKICGIGTETIRQHLEKKMMELEKEKRTVQVERDLLLAEVEELAASSDRQAQVARDNHAQKLKALETQILNLKKKQENQVAVLKQKQKSEDAAKRLKAEIQCIKAQKVQLQQKMKQEAEQFRQWKASQEKELLQLKKEGRKTEHERLKLEALNRRQKMVLQRKTEEAAMATKRLKELLEARKSSLSVFPVTTNGQPPTRQMNEKTLRKWLDNELEVMAKVHQVRFQYEKQIQVRAALAEELTSLKQEIEFPSSPHQEKNGQFRFLSPNTRLERIASLESMLDVSSNALTAMASQLSEAEEREHSLHAKTQWNHVQSMTDAKYLLQYVFDSTAEARCKIWEKDKDIKEKKEQLNDLLCLLQLTEVQNREIVKEKKTREQTVSIALASSSSSYSGSSRSSSKHYGDNNASDPSSPSSSYHRATKHLKYAGPGIVNISVRESEALLEETRKMKAMKKMGQSGKLWKWKRSHHQWLLQFKWKWQKPWKLSEWIKQNDETTMHFMSKSHHDDDNDHSWNRHSLFQGA >fgenesh2_kg.5__1372__AT3G50260.1 pep chromosome:v.1.0:5:15024199:15026498:1 gene:fgenesh2_kg.5__1372__AT3G50260.1 transcript:fgenesh2_kg.5__1372__AT3G50260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATERF#011/CEJ1 [Source:UniProtKB/TrEMBL;Acc:D7LT40] MDAGVAVKVDVVGARKRERPFKGIRMRKWGKWVAEIREPNKRSRLWLGSYSTPEAAARAYDTAVFYLRGPTATLNFPELLPCTSTEDMSAATIRKKATEVGAQVDAIGTTVVQNNKRRRVFSQKRDFGGGLLELVDLNKLPDPENLDDDLVGK >fgenesh2_kg.5__1373__AT3G50270.1 pep chromosome:v.1.0:5:15029515:15030858:1 gene:fgenesh2_kg.5__1373__AT3G50270.1 transcript:fgenesh2_kg.5__1373__AT3G50270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LT41] MAGGVVLVSSSIVRPVSSSQLDRTKIHLTPFDLSLLQFDYPQRGLLFSKPDSDFHLISRLKASLALALEIYFPFAGTLVRTENLEDDTVSFFIDCDGSGARFLHAEAKSVSVSDFLHPDGSVPDFMKYFFPADDFKSCDGVSVPLLVIQVTEMKDGVFISFCYNHMVADGVSMWSFFHTWSKICSSGSGFNHKPLVLKGWFLEEIDYPIHIPVSETERSPPNRELSSVPITKEWIFHFSKKNIKDLKAKANSEIASSDMEVSSLQAVSAHMWRSIIRHSGVSRERETHCKLVVDLRQRVKPPLEKDCFGNMVYLASAITTAEELLDRGLGEAALQIRKLVSSQTNDTCKSFAEDWVRNVKNLKSGIGSKVGDTIVVASSPRFELYNKDFGWGKPIAIRAGPSNSISGKLSLFQGINEGSIDIQATLWDDVIVKLLADVEFLEHVTIA >fgenesh2_kg.5__1374__AT3G50280.1 pep chromosome:v.1.0:5:15031991:15033328:1 gene:fgenesh2_kg.5__1374__AT3G50280.1 transcript:fgenesh2_kg.5__1374__AT3G50280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LT42] MTDITLISSSTVRPQIINQQGREKIHLTPFDLNFLYVDYTQRGLLFPKPDPETHFISRLKTSLSSALDIYFPFAGRLSKVENHEDDTVSFYINCNGSGATFIHAVAESISVSDLLQPDGSVPDFSRIFYPMNGVKSIDGVSEPLLGLQVTEMRDGVFIGFGYNHMVADGASIWNFFKTWSKICSNGQPENLQPLALKGWFVDGMDFPIHIPVSETETPPPSNELSPTFKERVFHFTKKNISDLKAKVNSEIGSSDHKVSSLQAVSAHMWRSIIRHNGLNQEEKTRCFVAVDLRQRLNPPLEKECFGHVIYNAIATTTVGELQGQGLGWAFLQINNMLRSLTNEDYRIWAENWVRNMKIQKSGLGSKMTRDSVIVSSSPWFEVYDNDFGWGKPIAVRAGPSNSISGKLVLFRGIEEGCIDVHAFLLSDVLVKLLADVEFLENVANV >fgenesh2_kg.5__1375__AT3G50300.1 pep chromosome:v.1.0:5:15036970:15038327:1 gene:fgenesh2_kg.5__1375__AT3G50300.1 transcript:fgenesh2_kg.5__1375__AT3G50300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LT44] MEDVTVISKSIVQPGKIGHSVLSLSAALEIYFPLAGRLVKVNNHEDNTISLYIDCDDGRGASFVHAIAESISVSDIFHPHGSVPDFFKLFFPVNGVRSIDGLSEPLLAVQVTEIKDGIVISYGYNHLVADGSSMWKFIHGWSKIFLNGEWENHHQPLVLRGWFLDKIDFPIHIPVSEIETERVKNRETSTKERVFHFTKEKISYLKAKANGEIDSSDINISSLQAVLAHLWRSIVRHSGLNREEESHCGVAADFRQRLNPPLDKDCFGNVANLGMATATVGDLVDRGLGWAALQINKTVRSQTNENFRTFAENWVRNGKIPRIDVRSKRGDHVFIVNNSPWFKVYDNDFGLGKPIAVRAGPANGIGGKLVVFRGIEEGSIDVHAILTFSLWSDVLVNLFDDVESTMENVTIT >fgenesh2_kg.5__1376__AT3G50330.1 pep chromosome:v.1.0:5:15047651:15048692:-1 gene:fgenesh2_kg.5__1376__AT3G50330.1 transcript:fgenesh2_kg.5__1376__AT3G50330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LT47] MNMMMQQMEKLPEHFSNSNPNPNSHNIMMLSESNTHPFFFDPTHTHLPFDQTIPHHQPGLSFRYAPSTSSSLPDKRGGCSDNANMAAMREMIFRIAVMQPIHIDPESVKPPKRKNVRISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKKQVQSLEEHAVVNGGGMTAVAGGALAGTVGGGYGGKGCGTMRSDHHQMLGNAQIL >fgenesh2_kg.5__1377__AT3G50340.1 pep chromosome:v.1.0:5:15059363:15060831:-1 gene:fgenesh2_kg.5__1377__AT3G50340.1 transcript:fgenesh2_kg.5__1377__AT3G50340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMTGLRPAHAAGLRRLSARAAAPTTPTVRNSLVSFSSLAEQVISHLHTSRIQVQPGLTDSEFAKAEAEFAFSFPPDLRAVLTAGLPVSAGFPDWRSPGARLHLRAMIDLPIAAVSFQIARNTLWSKSWGLRPSDPEKALRVARNALKRAPLMIPIFDHCYIPCNPSLAGNPVFYIDETRIFCCGSDLSDFFERESVFRGSDTCPVVLTKQRSVSEKSAGSSSSSSSNFSRMSLDSGRVHGSSTPRWVEFWSDAAVDRRRRNSASSMSSSHSSSPERYLELPRSETPKWVDDYVSRIGSVLRGGGWSESDVDDIVHVSASGFFEGEMVILDNQAVLDALLLKAGRFSESLRKAGWSSEEVSDALGFDFRPEKEKKPVKKLSPELVQRIGKLAESVSRS >fgenesh2_kg.5__1378__AT3G50350.1 pep chromosome:v.1.0:5:15068552:15069130:1 gene:fgenesh2_kg.5__1378__AT3G50350.1 transcript:fgenesh2_kg.5__1378__AT3G50350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LT49] IDRKTFPPPHTPSPGVVRRLHAPPLSSTSLLKQHSWSPDLIREEAWSKRQDISRHRHRRRGKSLTDEDLDELKASFELGFGFGSPEIADPRLSNTLPALELYFAVQKSYNDAVSNKSATSSSSLSDGDTSPHHTVYQTNEAEAVGESGGMHREPIAAEMKI >fgenesh2_kg.5__1380__AT3G50370.1 pep chromosome:v.1.0:5:15079590:15087843:-1 gene:fgenesh2_kg.5__1380__AT3G50370.1 transcript:fgenesh2_kg.5__1380__AT3G50370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGDGNKYASVNLNKSYGYQSHHQYNQSGGYGRGRGGGGYGGEAICSTPLEPSFTPFHSGGGIAGSGTRPASSGMGWSKPAATATDGDIANHTAEGVTRGSNGLNTSLASRVGATEPMERAFHHVEKVATLRGEDFPSLKASLPSASVSGQKQKEGLNQKQKQTAGEDFSKEPRGVSGVSSSLVDMRPQNQSGHSRLGNELSESPSFSDGLHSSEQLRKKEYFPGPLPLVRLAPRSDWADDERDTSHGLRDRDRDHGYSKNEPFWDRGFDHPRPHVLPQKHAAPSLFDKPGQRENEIAKSSLTQVRPLSGGGREANAWRVSPPLQNEGANNNKNVYGARPSSRGRETTKKSNYVLSSSRENVWNNSGAREAPYQHGGRQPWSNNMDSSSNRGTYNRDGYGIEHQNRDKRPFFKSDKPHVEDPFMKDFGDSGFDVHDPFPVLGVAKKKKEALKQTEFHDPVRESFEAELERVQKMQEEERRRIIEEHERVIELARTEEEERLRLAREQDERQRRLEEEAREAAFRNEQERLEATRRAEELRKSKEEEKHRLFMEEERRKQAAKQKLLELEEKISRRQAEAAKGCSSSSTISEDKFLDIVKEKESADVVDWEDSERMVDRITTSSTLDLSVPIRSFESSATSQFSRDGSFGFPDRQKPTWRKEDIESGSNSRFIPQNMENVPHSPQEEFFGTAGYLSAPSYFKPGFPEHSVDQSWRIPGDGRTHGRNYGMESESRENFGEQYGDPGWGQNQGRPRHGPYSPYPEKLYQNPEGDDYYPFGRPRYSVRQPRVLPPPQESRQKASFRSGVEHPGPSTSIGGINYSHKGRTNSTVLANYIEDLQDHHVLPGSGIDEHHRFDSKLTGRCDSQSSLSVTSPPDSPVHLSHDDLDESADLSVLAASRMGEDAGLLEKGGAPIISSDTGKDSLMIATGSVSCWDNEEWTLDSNERLQEQEEYDEDEDGYQEEDKIHGVDENIDLAQELEEMHLEDKDSNLVLGFNEGVEVEIPSDDFEKCQRNSEATFPLHQHTIDSLDDERPSIETSLGEQAAQPADVSDPLSMHNASRSFQAAETTMQNMTIHPNSGRQSFEVGNKVDSTSNSTVSTHPVIPPHSTGLHPSLQTAIPPVSTSAHMEEPVKFQFGLFSGPSLIPSPFPAIQIGSIQMPLPLHPQFGSSLTHIQQPQSPLIQFGQLRYTSPISQGVLPPPHHSVVQANGLPTYALNQNPGSSVTVGQGNSANLLARNAATSVSHPQLSVLRRPTNVSDGGTLKNANLPPARASIEAAVSPQKQPELSGNSLLPSRKMSHGKSNFVERQSGYQVQTDTNAVRNSGLRSSGTAEVSRVDSGGNRRYRRQRVEFRVRESNWPSSEENRNGNGRAQNSTKNGSRKYVVSNKSQKQPLDNSASGLNAMQKTVSGGSFENRLGKEAVVKNPLSPNSGQANLKRNMISDKEIDAPLQSGIVRVFEQHGIEVPSDDDDFIEVRSKRQMLNDRREQREKEIKEKSQAAKALRKPRSTFQNSTTAARSNRSPPASRVANNKQFNPVSNRQTLAPIGTPSPKTDSHADEKSGSNKSTQASIALPVIPKNDQNPASGFVFSNKNKVLDNSHTPVGTWGNQLTYQPVMALTQSQLDEAMKPVSLLSCVSVENGANRISEANSTSTSVVPKNNTFSSSTSPINSLLAEGKIQFGAVTSSTVIPPCGGRTENDSSLYFEKDNKHRNPSSTGMEICEAEAEAAASAIAVAAITNDETGGNALSTGSVLPVETKIYGGTELDDGAASGAVGGQPSLSKAEESLIVSLPADLSVDTPISVWPQLPSPHNSNQMITHFPPGPPHYPFYDVNPMLRGPIFAFGPHHDAGATQSQSQKGPVTVSGPPTTWQQQNHPGVDSFYAPPAGFTGPFLTPPGAIPGVQGPPHMFVYNHFAPVGQFGGLSFMGTTYIPSGKQPDWKHNPNVSSSPVGGDGDVNNPNVASMQCNIVPASLQHLPMPMFDPSPFQSSSQEMPVRARWPYMPFSGPPTMQMQKQQEATDGSNLPSPQFNNNMLPPPPPNRYPNVQASTVVDAMVDSSNAYSSTTCAPPAKPTTTLSDPNSNNIQNPNGPGFKPPQQQQQQQQQSSQEKNSQSQHVGGSSHHHQHQHQQNRRSGYHGRNPPMARERGFPNNPKVKQIYVAKQTGNSNASASSTTTTTSPSI >fgenesh2_kg.5__1381__AT3G50373.1 pep chromosome:v.1.0:5:15088238:15088591:-1 gene:fgenesh2_kg.5__1381__AT3G50373.1 transcript:fgenesh2_kg.5__1381__AT3G50373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDDLPPPCVNDHVKRRSRKRRTIKKKQLEELISTAVRAAHVARDKGFYIVSPEAIQCVEILRHMRSLPLNARLITKTDGLRILLFLSKNGNPKIRSESKAVIDHWKGILQKKVH >fgenesh2_kg.5__1383__AT3G50380.1 pep chromosome:v.1.0:5:15088989:15103176:-1 gene:fgenesh2_kg.5__1383__AT3G50380.1 transcript:fgenesh2_kg.5__1383__AT3G50380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLRNWVQRRLRTLLLPFSRDEPDLQVELGFTDTLITLRNFRFDVSQLNQLLDGSNFQFEKFTIDHLVVRLSVWSAPAIKIEIRGVNVKLSARGTEEGSSRRKRASSDRVANEIKKVLSSIDPEGCVLHDILEKMLGRSTSQISKLKTSFSNLILRHFRIRIHGINVQVCLPGSSNLSCVMEINELRSDSENFGNLGLVRSSAAAVLFPLRRSSLTLSCFGFNIGYKRDNEIADLCGFDSLVMLITLHNLQLVDLIVRIPELNFSFRPTDLPVLMGLANLSSKDSNYVRNGRYLWKVAARRTGLMISPHTVSFQNLVSAVILWLRYVNAYEYLLSLAGYSRSMPEKSLLWKFSENKRHFGTARRKWEMICNIEKELPAEAIARARRVARYRTCLQSQNSDESYDESFVYGHFNCLSKTTGVLACIWRLISRTFWSIACFLWSNKYLTQELQTGRNNEDDSELVSLEFHAVVNLGKVSITFYPEKMISSLLTSKDSTGHMDSNIVILCLLVDEFLVMYTVGCLSQCLSASCGKLKVESSSFKNTSRFMKPTKDPSSSSEGNKKHMREDVKTILDMDPAQRISKTVNNHGSDQHEGMLHLQNLLREMWLNWNRNCMKLDKGTFTISDNPCLLVDIKSCMAYEDVGNQDSKFWKCSMVLGKLDIVLEYSSFFSLALLIWQTEWAQKLYVDEYIGGVHSSSFVTVGVDPEMSSYDEYGIYRRSIELSLHRVHPERQIQVGILLGGPQIKLLVEKAEEVDTFIGKKDLLLFDFHDFEFVVWPTSKSDVVPSRMLQGPDNRRTDRPLVQELGLSDTVIPSYEKYVSQGWNSLSSHLGFSGFDCSFCKMAEKNWSQVFVVRPVTICFSSLSEAIMSFSICLDVLVLGLTIVSKPDDLNAYFQMLLSLVSGLSRSLSGLSSAGHSSGQEFLRSDAVNVEHEIERTFCKTLFVVKASIKLKDIDVIFDVPAVDDKFERLVELDDSKIWSSVEEACIELSCEENKCLINVDLCKLQSVLFKFEGNIWKSSGNFITESLLFRSHDILFEACLSSCLLSVSMDCPSPSALGDACCMTGDFTGKEHNVQVQREVNTLDSASDSLPSNSTRWIHINLALTDLFVARGSTKNVLVEVRRSSNFVTSVCIGRKFQSISCSVEGGLFVLEPKTLIVLIHGYSTYLYFISSKVSVIQNSAPVLEKFEADSGVSEISIPSQQENWYPIEAFSIDVTQFALGFVCDDEYGGIREIVLEITLHSSLDSAGGEQKFLCEVSRLSVLSKILESVERDINITQFSSPAFSESSSFLSGTPLETSFQQSDVISSGDSTSASGDFNSVREFSANSNLQEDFHSRYKNYILEDLRVSASVKKRENTGHQFSQAWVGGCSVLGFDMTISLSELQMVLSMLSLFAALPGGESTHASLERPSSFKSESERSFESVVPDGAIVAIQDINQHMFFTVEDGGDKCVVTGTLHYSLVGERALFRVSYHRHQGWNSSTLWFSLTSLYAKNNKGEPLRLNYHSSSDIVNVSGLYDNAPTLFRASFGESENYKGDIDWETYRKLVKDTFYLVNKKSDLAVAFIDGFPEFVRKPGNPFKFKVFRESLATRNLTPVVPSEIHESETQSVMVDSSPPSITVTIDSVSLTIIHELSETRDRFPLFRGSVNITELAVQMLSSKVRIMSISNILVLYFDAQTNQWREFIHPVEVSAFYRSTFQTPDLNNTMQKVPTHIYCRIGKLDVFLTELSMDMLLFVLGKLEFAGPFSVKTSAILSNCCKIKNLSGLDLICRFNEKQTATVGRKQTASIFLRHSMNHQPEASPVAAVQLSSGKFITSSINVSLLEARTLAWRTRIISLQDARSHPGPFVVVDIKKGLEDGLSISVSPLTRIHNETSLPMEIRFQRSKQKRDDFASVPLKPGGSIDDSVAAFNAISLSGDMKKALTSLAVGNFSLSFRPESFESLFEGEKSLASEWSEELEGGKAVRLTGIFDKLSYGVKRALSIESVKVSLTTTYCSVTSESQCVGKVHFLIHSIRREVSIIRPDASSDVLEKQKACIALREQKEIFLLPTVQVSNFLSSEAAIFLTETDQYTLMDRHSIGKHATLQSGKTIDFYVNPDMIYFRVTLTTSQASCKPVNSGQWVKKLQKQKNDAQCLDVDLDFSGGKYCASLRLSLGKRGILEAAVFTSYILKNDSDCTLFFFPPNQKPLSREDIEKVDHIVPPEFGLYLPPKTEGSWFLRSRKVCVILADGHGATEAVLDLDALSGLTEISLGTTDESGFRHLVINESEETINIRQRYFQDDSVGIITIKSKQRAALRLQEETTQKKELHLFENFIKKHGSDNANPLIFIQFRKQSGEAGRGAIEFASVNVTEEGSTLAVHFQKPPNTPPPYRIENFLHSASLTYYQKDSSEIEVLGPGSGADYTWDDMTLPHKLVVIVDGMVPLREVSLDKVRPWKPLFKETQHRSIASHLMLKKKAKDHKTADKELSSIPMVKVGYEVYADGLTRVIRICEVSESLKGDSVFQSRSKIQFRVTHLGIHLLEKVKQNAEEKTVMSYSPILVARLDNVGLHSMFTDQQKFNQLCIEALNVDHKWAGAPFAAMLRQHQSSSSDANDCLFKCVFVLVSSGSSVTQVKHSSIVLQPVNLNLDEETLMRVVAFWRSSLSTNTQSSQYYFDHFEIHPIKITANFVPGSSYSSYNSAQETLRSLLHSVVKVPHIKNMVVELNGVLVTHALITVRELLLRCVKHYSWYAMRAIYIAKGSPLLPPAFASMFDDFSSSSLDAFFDPSRGLVNVPGLTVGTFKLLSKLIDNKGLSGTRRYFGDLGKTLRTAGSNVVFVALTEISDSVLRGAEMKGVDGLVSGFHHGILKLAMEPSVIGTALMEGGPDRTIKLDRNPGIDELYIEGYLQAMLDTMYRQEYLRVKVIDDQVFLKNLPPSNSLIDEMIDRVKDFLESRGLLKGDPSSSRPRRRLHGDKEWRIGPTVMTLCEHLFVSFAIRILKQHATKVITGLRPKKEEAEAETSDSGSNTAMVPVISDNKKKKMKFMWKAGIGNFVASGIVAYIDGRLCRQIPNPIARRIVSGFLLSFLDKSSEQ >fgenesh2_kg.5__1387__AT3G50430.1 pep chromosome:v.1.0:5:15129208:15132901:1 gene:fgenesh2_kg.5__1387__AT3G50430.1 transcript:fgenesh2_kg.5__1387__AT3G50430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNLASRLHLLIDRCVRQYPEREANSPTKETEKDLLLSLSQVHHCRCIVCFLEAPVLREIQSGEETVVDHDETADALYGPESKEYLCLERLVADIVGLLGMKNVHIKHLAGNILVEVSESLVQSGSQWDEFIRLLCECLRLAVIYSCPIPAVASETGFGIPDLRFLGSDVLKCKLEKASWSTVSDIFRILRNILKRLSQEEDEELLDVYLESVNSTLAKVPWSRVDTVFSHQHGSGERNFQGQSGTLGSTANSEEATVFLGNFVQFLCSMVQHVRVVEDSDDSEPSHLILQKTIKLVPDLIRWCQPKLKSQSGSCMSRYLGHKLLVLMIRLTDKSNIKCTILLSWLQYLQRDSQGFLQHTLTKFKPVQDNCLEGSPFFVSLSDREINETHSNHLQRLSVFLFLRCSFTLIYSSRHNGKQCEFDCRKKGMAEMFKWIVRQIPGIICSDHRIYSKKSVEFSASFVRLFMHEDDLLFKVLLQLLSVPLHRQELPNVEGGSLEDEEQITLFRFSTLFNPVTLFCIFLSELHYDHQVLLDYLISKDIGDSCAEYLLRCLRAVCDSWTLFVEFPFEGSTNASSPKRRKVLPETSEVEQNWRLHPQAFEDAKDCLLSLQNSVVKLHQKKLFPYNPEALLRRLSRFQELCLSHE >fgenesh2_kg.5__1389__AT3G50460.1 pep chromosome:v.1.0:5:15138941:15139772:1 gene:fgenesh2_kg.5__1389__AT3G50460.1 transcript:fgenesh2_kg.5__1389__AT3G50460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAEIIAGAALGLALQILHEAIQRAKDRSLTTSCILDRLDSTILRISPLIAKVEKLGKESDESMRKVIKDLKHLLEKAVVLVEAYAELKRRNLVGKYRYKRRIKELEGSLKWMVDVDVKVNQWADIKDLMAKMSEMNTKLDKIMGQPIDCIISDEDNTNLDIVERVDPSLEAKAGCSNGDSKPKIDIHLRWSKKSKDHGVRFVLN >fgenesh2_kg.5__1390__AT3G50470.1 pep chromosome:v.1.0:5:15140141:15141383:1 gene:fgenesh2_kg.5__1390__AT3G50470.1 transcript:fgenesh2_kg.5__1390__AT3G50470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSELMAGAALGLALQVLHDAIKKAKDRSLTTRCILDRLDATIFRITPLVAKVDKLSEEVEDSPRKVIKDLKHLLEKAVSLVEAYAELRRRNLLKKFRYKRRIKELEASLRWMVDVDVQVSQWVDIKELMAKMSEMNTKLDEITRQPTDCICFKSNHSTSQSSNQDIVEETDRSLEENVECSSDGSKPKIDIHIHWSSRKLNKDREIRFFLK >fgenesh2_kg.5__1391__AT3G50500.1 pep chromosome:v.1.0:5:15141410:15145494:-1 gene:fgenesh2_kg.5__1391__AT3G50500.1 transcript:fgenesh2_kg.5__1391__AT3G50500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDLPIMHDSDRYDFVKDIGSGNFGVARLMTDRVTKELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYAAGGELYERICVAGRFSEDEARFFFQQLISGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEILLRQEYDGKLADVWSCGVTLYVMLVGAYPFEDPQEPRDYRKTIQRILSVTYSIPEDLHLSPECRHLISRIFVADPATRITIPEITSDKWFLKNLPGDLMDENRMGSQFQEPEQPMQSLDTIMQIISEATIPTVRNRCFDDFMADNLDLDDDMDDFDSESEIDVDSSGEIVYAL >fgenesh2_kg.5__1392__AT3G50520.1 pep chromosome:v.1.0:5:15149429:15151040:1 gene:fgenesh2_kg.5__1392__AT3G50520.1 transcript:fgenesh2_kg.5__1392__AT3G50520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate/bisphosphoglycerate mutase family protein [Source:UniProtKB/TrEMBL;Acc:D7LTF7] MEESRFDCEEDLDYAEIVVVRHGETSWNAERKIQAIMISIVVIVNGHLDVELNDAGRQQAQRVAERLSKEPKIAHVYSSDLKRAFETAQIIAAKCGKLEVLTDRDLRERHLGDMQGLVYQEASKIRPEAYKAFSSNRTDVDIPGGGESLDKLYDRCTTALQRIGDKHKGERVVVVTHGGVIRSLHERARPSARKVEKILNTSVNVFRLFDGEKWTIQVWGDVSHLDQTGFLQSGFGGDRTSG >fgenesh2_kg.5__1393__AT3G50530.1 pep chromosome:v.1.0:5:15156209:15159427:1 gene:fgenesh2_kg.5__1393__AT3G50530.1 transcript:fgenesh2_kg.5__1393__AT3G50530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCTSKPNSPNSDQIPARNSPLPASESVKPSSSNEDQCVTTNNEGKKSPFFPFYSPSPAHYFFSKKTPARSPATNSTNSTPKRFFKRPFPPPSPAKHIRAVLARRHGSVKPNSAAIPEGSEAEGGGVGLDKSFGFSKSFASKYELGDEVGRGHFGYTCAAKFKKGDNKGQQVAVKVIPKAKMTTAIAIEDVRREVKILRALSGHNNLPHFYDAYEDHDNVYIVMELCEGGELLDRILSRGGKYTEEDAKTVMIQILNVVAFCHLQGVVHRDLKPENFLFTSKEDTSQLKAIDFGLSDYVRPDERLNDIVGSAYYVAPEVLHRSYSTEADIWSVGVIVYILLCGSRPFWARTESGIFRAVLKADPSFDDPPWPLLSSEARDFVKRLLNKDPRKRLTAAQALSHPWIKDSNNAKVPLDILVFKLMRAYLRSSSLRKAALRALSKTLTVDELFYLREQFALLEPSKNGTISLENIKSALMKMATDAMKDSRIPEFLGQLSALQYRRMDFEEFCAAALSVHQLEALDRWEQHARCAYELFEKEGNRPIMIDELASELGLGPSVPVHAVLHDWLRHTDGKLSFLGFVKLLHGVSSRTIKAH >fgenesh2_kg.5__1394__AT3G50550.1 pep chromosome:v.1.0:5:15162309:15163312:1 gene:fgenesh2_kg.5__1394__AT3G50550.1 transcript:fgenesh2_kg.5__1394__AT3G50550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LTF9] MADENEIIDIYSSDEEDEEDDDIDSESSEEDEDRNLSGDGSESSEDDYTDSNSDSDDDEEDDDDDDDDNEEEDSLVDKVTRLLKGKQD >fgenesh2_kg.5__1396__AT3G50570.1 pep chromosome:v.1.0:5:15172930:15173676:-1 gene:fgenesh2_kg.5__1396__AT3G50570.1 transcript:fgenesh2_kg.5__1396__AT3G50570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVIIIVALLCIVSLPNPTVGSTKKPWPKPSDLANNNNNFGDSKVGWACSSSSDPNAPPSPPGSFPNIPKIPGIPNIPFPNIPGIPMPNIPGLPNIPGLPGPPFESLLVSQSGELEKCLSKDGSKTNEKCFSQIFSSWAENDFALDKECCEIIVNMNKRCYGHLHMMFKSHFFAPLLQYSCHIKHAKN >fgenesh2_kg.5__1397__AT3G50580.1 pep chromosome:v.1.0:5:15178236:15179141:-1 gene:fgenesh2_kg.5__1397__AT3G50580.1 transcript:fgenesh2_kg.5__1397__AT3G50580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSMVLVAAAFLCLAAFPTTTVGKYWPKIEGWPNPSEISRNELMFLNTGHSFSYGDSKVWKCTYSNGSAPAISISPSTPFPSTPSKPSPPPPASKKSPPPPTPKKSPSPPSTPSSLPPPTPKKSPSTPSTPSLPPPTPKKSPSLPPSDDHSSSPINPPHHQQNPWEHMESCMRNMGPVGMCRMQMEVSFYTRLFSVSDYCCNLVVNMESECDDVAWGFFNDPFFVPLVRYTCHVTC >fgenesh2_kg.5__1398__AT3G50590.1 pep chromosome:v.1.0:5:15179681:15188042:1 gene:fgenesh2_kg.5__1398__AT3G50590.1 transcript:fgenesh2_kg.5__1398__AT3G50590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7LTG4] MEWATVQHLDLRHVGRGVSKPLQPHTAAFHPSQAVIAVAVGSHIMEFDALTGCKIASIDIGSPAVRMLYSPTSSNAVVAILEDCTIRSCDFETEQTCVLHSPEKRSEHISSDTEVHLAVTPLQPVVFFGFPKRMSVTVVGTVEGGRAPTKIKTDLKKPIVNIACHPRLPVLYVAYAEGLIRAYNIHTYAVHYTLQLDNTIKLIGASSFAFHPTLEWIFVGDRRGTLLAWDVSTERPNMIGITQVGSQPITSISWLSMLRVLVTVSKDGSLQVWKTRVIINPNRPSTQTNFFEPAAMESIDVPRILSQQGGEAVYPLPRIKTLEVHPKLNLAALIFAVKNTSYWHSSLRTWRVMRTLKIEQLRLGKEGSSSLQFCKVLGDLQEKLSSMGSSGILAEHQLQALLQEHHHKGQSQLTISDIARKAFLYSGHAKTAPISRLPLITVVDAKDQLKDIPPFHLELNFFNKPNRVLHYPVRAFYIEGLNLMAHNLCSGTDNIYKKLYTSIPGNVEYHSKHIVYSRKRHLFLVVFEFSGATNEVVLYWENTGSQLPNSKGSTAKGCDAAFIGPNDDQFAILDEDKTGLSMYILPKYTTMEENEKNLLSEENQNKEADASGIQGPQQFMFETEVDRVFSTPIESTLMFACNGTQIGLAKLFQGYRLSASDGHYISTQGEGRKSIKLKKHEIALQVQWQETPRGYVAGILTTQRVLMVSLLWVGPALLFSTTTAVCLLGWDGKVRTILSISTPYAALVGALNDRLLLANPTDISPKQKKGIEIKSCLVGLLEPLLIGFSTMQQTFEQKVDLSEILYQITTRFDSLRITPRSLDILARSAPVCGDLAVSLAQAGPQFNQVLRCAYAIKALRFSTALSVLKDEFLRSRDYPKCPPTSLLFQRFRQLGYACIKYGQFDSAKETFEVIGDYESMLDLFICHLNPSAMRRLAQKLEEESGDPELRRYCERILRVRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTDMKSIPKWELAGEVMPYMKNEDGTIPSIVADHIGVYLGCVKGRVNVVEIKEDSLVSKPGGLSLLGKPVSDKPLALPAGESSSLMGLESLGKQNVADEQAKAAEEFKKTMYGAAGDGSSSDEEGVTKPKKLQIRIREKPTSTTVDVNKLKEAAKTFKLGDGLGLTMSRTKSINTGSQDLGQMLSQPSSSTAATTTAPGSASAPVDPFAMGSWTQQPQPVSQPAPPGVAAPIPEDFFQNTIPSVEVAKTLPPPGTYLSKMDQAAIAAQGVPNQANNTTLPDIGLPDGGIPQQTSQQPGAPFQTVGLPDGGVPQQYPGQTLGPSQVPVSTQPLDLSVLGVPNTGDSGKPPGQPQSPPASVRPGQVPRGAAAPVCFKTGLAHLEQNQLPDALSCFDEAFLALAKDQSRGADIKAQATICAQYKIAVTLLREILRLQRVQGASALSAKDEMARLSRHLASLPLLAKHRINCIRTAIKRNMEVQNYGYSKQMLELLLSKAPASRQEELRGLVDLCVQRGTSNKSIDPLEDPSQLCSATLSRLSTIGYDVCDLCGAKFAALSSPGCIICGMGSIKRSDALAGPAPVSTPFG >fgenesh2_kg.5__13__AT2G01140.1 pep chromosome:v.1.0:5:105748:107548:-1 gene:fgenesh2_kg.5__13__AT2G01140.1 transcript:fgenesh2_kg.5__13__AT2G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:D7LR03] MASASFVKPNTLSSPWIGQRSFAHTSASPPRVSFAIRAGAYSDELVKTAKSVASPGRGILAIDESNATCGKRLASIGLDNTEDNRQAYRQLLLTTPGLGDYISGAILFEETLYQSTKDGKTFVDCLRDANIVPGIKVDKGLSPLPGSNDESWCQGLDGLASRSAEYYKQGARFAKWRTVVSVPCGPSALAVKEAAWGLARYAAISQENGLVPIVEPEILLDGDHPIERTLEVAEKVWSEVFFYLAQNNVMLEGILLKPSMVTPGAEHKNKASPETVAEFTLTMLKRRVPPAVPGIMFLSGGQSEAEATLNLNAMNQSPNPWHVSFSYARALQNSVLRTWQGKPEKIEASQKALLVRAKANSLAQLGKYSAEGENEDAKKGMFVKGYTY >fgenesh2_kg.5__1400__AT3G50620.1 pep chromosome:v.1.0:5:15195293:15197409:-1 gene:fgenesh2_kg.5__1400__AT3G50620.1 transcript:fgenesh2_kg.5__1400__AT3G50620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:D7LTG6] MAEYICLFGKDSAAIVIKQPKKSPLFLRMIVLVFAMVCGLYICSVCLKQLSNVSFQSSQLVQTTPFDSHSLGFVTRIHYPKPQTFNRAECGHNPVRYFAILSMQRSGSGWFETLLNSHSNVSSNGEIFSVLDRRKNISSIIQTLDRVYNLDWFTSASKNECSAAIGFKWMLNQGLLDNHKEIVDYFNRRGVSAIFLFRRNPLRRMVSVLANSYDRYAKLLNGTHKSHVHSPEEADALSRYKPVINSTSLIHDLQETENSAAKALEYFNTTRHIVVFYEDLITNQTTLKQVQEFLNIPVKDLSSRQVKIHRGDLSDHIKNWEDINKTLNGTEYEKFLRADY >fgenesh2_kg.5__1402__AT3G50630.1 pep chromosome:v.1.0:5:15210040:15210975:1 gene:fgenesh2_kg.5__1402__AT3G50630.1 transcript:fgenesh2_kg.5__1402__AT3G50630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LTG7] MAAVRRRERDVVEENGVATTTVKRRKMEEEVDVVESRIVLSPCVQATNRGGIVARNSAGASEMSVVIVRRRDSPPVEEQCEIEVSCCSRSEEKSKRRIEFVDVEENNGDDHETVTSWIYDDFNNSEESMNMDSSSAAVEDDVESRRRLRKSRHETVKEAELEDFFQTAEKDLRNKMLECSMKYNFDFEKDEPLGGGRYEWVKL >fgenesh2_kg.5__1404__AT3G50650.1 pep chromosome:v.1.0:5:15218281:15219972:-1 gene:fgenesh2_kg.5__1404__AT3G50650.1 transcript:fgenesh2_kg.5__1404__AT3G50650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LTG9] AVASEEFDSDEWMESLINGGDSLQTNPDFPIYGHDSFVSFPSRLCNPSNLNRVNKDDSASHQLSQPPTSTTIWTPSPPSPQHHPPLPQPDFDLNQPILKAIHEYARKPETLIRIKESVSEFGDPIERVGYYFLEALSHKETESPSSSSSSSSSLEDFILSYKTLNDACPYSKFAHLTANQAILEATNQSNNIHIVDFGIFQGIQWSALLQALATRPSGKPTRIRISGIPAPSLGDSPGPSLIATGNRLRDFAAILDLNFEFYPILTPIQLLNGSSFRVDPDEVLVVNFMLELYKLLDETATTVGTALRLARSLNPRIVTLGEYEVSLNRVGFANRVKNSLRFYSAVFESLEPNLERDSKERLRVERVLFGRRIFDLVRSDDDNNKPGTRFGLMEEKEQWRVLMEKAGFEPVTPSNYAVSQAKLLLWNYNYSTLYSLVESEPGFISLAWNNVPLLTVSSWR >fgenesh2_kg.5__1406__AT3G50670.1 pep chromosome:v.1.0:5:15253098:15256453:-1 gene:fgenesh2_kg.5__1406__AT3G50670.1 transcript:fgenesh2_kg.5__1406__AT3G50670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGDPFMRNPNAAVQARAKVQNRANVLQLKLMGQSHPTGLTNNLLKLFEPRPPLEYKPPPEKRKCPPYTGMAQFVSNFAEPGDPEYAPPKPEVELPSQKRERIHKLRLEKGVEKAAEDLKKYDPNNDPNATGDPYKTLFVSRLNYESSESKIKREFESYGPIKRVHLVTDQLTNKPKGYAFIEYMHTRDMKAAYKQADGQKIDGRRVLVDVERGRTVPNWRPRRLGGGLGTSRVGGGEEIVGEQQPQGRTSQSEEPSRPREEREKSREKGKERERERSRELSHEQPRERSRDRPREDKHHRDRDQGGRDRDRDSRRDRDRTRDRGDRDRRDRDRGRDRTSRDHDRDRSRKKDRDYEGGEYEHEGGGRSREKDAEYKRGEPEETHGYYEEDQGDTDRYSHRYDKMEEDDFRYEREYKRSKRSESREYVR >fgenesh2_kg.5__1409__AT3G50690.1 pep chromosome:v.1.0:5:15262740:15264948:-1 gene:fgenesh2_kg.5__1409__AT3G50690.1 transcript:fgenesh2_kg.5__1409__AT3G50690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIWEKVVEAALDGQTDRLATRTLTLDGAVKRVHGRLPPPNVLEKFQNLQHLSIANIGISSLEQFPRLGNLQKLILSDNRITVGLEFLVEAGLDSLRDLDLSNNRIQFVEDLAPLAELKLVSLDLYECPVTRVKDYRSRVFGLIKTLKYLDKTDAEGNERPESDDEDDEEDEEDEEEEEEGDEEDPGIGEVDGDERAEAPRMSNGHSERLDGVVDVDEDEESDAEDDESEQATGVNGTSYRPNGFHLQAVNGEEVGEDDGDDSESGEEEVGGDNDVVEVHEIEDSDNEEDGVDDEEDDEEDEEEEEVDNDDRGLGGSGSTGRLMNAGEIDGHEQGDDDEDGDGETGEDDQGVEDDGEFADEDDDVEKEDEESGEGYLVQPVSQVEDHDAVGSDIEPINEDNDPDEEEEVEDDLPIPDQSLPSSSRPKRKRDDDDDGEDDDDDDDDC >fgenesh2_kg.5__140__AT2G02220.1 pep chromosome:v.1.0:5:784518:787674:-1 gene:fgenesh2_kg.5__140__AT2G02220.1 transcript:fgenesh2_kg.5__140__AT2G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPSKR1 [Source:UniProtKB/TrEMBL;Acc:D7LQ11] MRVNRLCVIVIVLIELLCFFCSSESQTTVTCHSHDLEALRDFIANLEPKPDGWINSSSSTDCCNWSGITCNTNNTRRVTKLELGNKKLSGKLSESLGKLDEIRVLNLSRNFFKDSIPLSIFNLKNLQTLDLSSNDLSGEISRSINLPALQSFDLSSNKLNGSLPSHICHNSTQIRVVKLAVNYFAGNFTSGFGNCVFLEHLCLGMNDLTGNIPEDLFHLKSLNLLGIQENRLSGSLSREIRNLSSLVRLDVSWNLFSGEIPDVFDEMPKLKFFLGQTNGFIGGIPKTLANSPSLNLLNLRNNSLSGPLRLNCTAMIALNSLDLGTNRFNGPLPENLPDCKRLKNVNLARNVFHGQVPESFKNFQSLSYFSLSNSSLANISSALGILQHCKNLTTLVLTLNFHGEALPDDSSLHFEKLKVLVVANCKLTGSMPSWLSSSNELQLLDLSWNRLTGAIPSWIGSFKDLFYLDLSNNSFTGEIPKSLTQLPSLASRNISFNEPSPDFPFFMKRNESARALQYNQIFGFPPTIELGHNNLSGPIWEEFGNLKKLHVFDLKWNKLSGSIPSSLSGMTSLEALDLSNNRLSGSIPASLQTLSFLSKFSVANNNLSGVIPSGGQFQTFPNSSFESNSLCGEHRFPCSEGTDRTLIKRSRRSKGADIGMAIGIAFGSVFLLTLLLLIVLRARRRSGEVDPEIEESESMNRKELGEIGSKLVVLFQNNDKELSYDDLLDSTNSFDQANIIGCGGFGMVYKATLPDGKKVAIKKLSGDCGQIEREFEAEVETLSRAQHPNLVLLRGFCFYKNDRLLIYSYMENGSLDYWLHERNDGPALLKWRTRLRIAQGAAKGLLYLHEGCDPHILHRDIKSSNILLDENFNSHLADFGLARLMSPYETHVSTDLVGTLGYIPPEYGQASVATYKGDVYSFGVVLLELLTDKRPVDMCKPKGCRDLISWVVKMKHENRASEVFDPLIYSKENDKEMFRVLEITCLCLSENPKQRPTTQQLVSWLDDV >fgenesh2_kg.5__1410__AT3G50700.1 pep chromosome:v.1.0:5:15269606:15272118:1 gene:fgenesh2_kg.5__1410__AT3G50700.1 transcript:fgenesh2_kg.5__1410__AT3G50700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATIDD2-DOMAIN 2 [Source:UniProtKB/TrEMBL;Acc:D7LTH6] MPVDLDNSSTVSGEASVSILSTGKSTGKKKRNLPGMPDPESEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQKSNKEVKKKVYVCPEVSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTKEYKCDCGTLFSRRDSFITHRAFCDALAEESARSHNQSKKRNPDILTRQKPVPDPIPAPVDTDQSAKIISSSTLTIKQSESPKTPPEIVQEAPKPTGVNVVTRNGVFEGLFESSSASPSIYTTSSSSPSLFAPSSSIEPISLGLSTSHGSSFLGSNRFQPQPAMSATALLQKAAQMGASSSGGSLLRGLGIVSSTSTSMDAIVPHGLGLGLPCGGESSSGLKELMMGNSSVFGPKQTTLDFLGLGRAVGNGNGPSNGLSALVGGSSGIDMAKTFGSGEFSGKDISRRKS >fgenesh2_kg.5__1411__AT3G50710.1 pep chromosome:v.1.0:5:15272834:15274304:-1 gene:fgenesh2_kg.5__1411__AT3G50710.1 transcript:fgenesh2_kg.5__1411__AT3G50710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LTH7] MDRISNLSDDLLLKIFSSLPTKDVVVTMLLSKRWKFLWTMVPKLRFDDEFELDPSYYGRFLKYVDKSMVLNRAQVLETVKFDVGPCCSSEDIATWIRIGMVRHMRELEISHCEAYFREHRSIKLPKSLYTYEKLEVLKLASTVVLNVPIAVCFPSLKSLHLVCVEYKTKKSHRRLLSGCPVLEDLVLDKSYNSFHVRSFYVEIPTLQRLSILDTSGELYGDFTFVVNAPSLKYFNFVDFYGDLCLRDTMHEVVEANIKVIYKNPKKLLGPLKSVKRLSLCLSASTTLHNHMEFYQLVHLELCGDALMWWDLLTWMLESSPKLQVLKLYKCECEEHDYSEDPIEDHWEEPSSVPECLLFHLNIFEWKYYNAGEEEKKVVAYILKNARQLKTAAFSAPYLYPKEERSWELNELVYMARASSSCQLLLD >fgenesh2_kg.5__1412__AT3G50740.1 pep chromosome:v.1.0:5:15287713:15289320:-1 gene:fgenesh2_kg.5__1412__AT3G50740.1 transcript:fgenesh2_kg.5__1412__AT3G50740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LTI0] MKITKPHAAMFASPGMGHIIPVIELGKRLAGSHGFHVTIFVLETDAASAQSQFLNSPGCDAALVDVVGLPTPDITGLVDPSAFFGIKLLVMMRETIPTLRLKIAEMQHKPTALIVDLFGLDAIPLGGEFNMLTYIFIASNARFLAVALYFPTLDKDMEEEHTIKKKPMIMPGCEPVRFEDTLETFLDPNSQLYQEFVPFGSVFPTADGIIVNTWDDMEPKTLKSLQDPKLLGRIAGVPVYPIGPLSRPVDPSKTNHPVLDWLNKQPDESVLYISFGSGGSLSAKQLTELAWGLEMSQQRFVWVVRPPVDGSACSAYFSANSGEIRDGTPDYLPEGFVSRTHERGFVVSSWAPQAEILAHQAVGGFLTHCGWNSILESVVSGVPMIAWPLFADQMMNATLINEELGIAVRSKKLPSEGVIWREEIKALVRKIMVEEEGVEMRKKVKKLKDTAAESLSCDGGVAHESLSRIADESKHLLERVRCMARGA >fgenesh2_kg.5__1413__AT3G50750.1 pep chromosome:v.1.0:5:15292960:15294260:-1 gene:fgenesh2_kg.5__1413__AT3G50750.1 transcript:fgenesh2_kg.5__1413__AT3G50750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASGGGEGESTAATGRMPTWKERENNKRRERRRRAIAAKIFTGLRSQGNYKLPKHCDNNEVLKALCLEAGWIVHEDGSTYRKGSRPTEATPLCSSIQLSPQSSAFQSPIPSYQASPSSSSYPSPTRFDHIPNRFDPNQSSTYLIPYLQNLASSGNLAPLRISNSAPVTPPISSPRGSNPRLSRWQSSNFPVSAPSSPTRRLHHYTSIPECDESDVSTVDSCRWGNFQPGNVSQTCPPSPTFNLVGKSVISGGGDLSVKPWEGEKIHDVGIDDLELTLGNNTKRRR >fgenesh2_kg.5__1414__AT5G38890.1 pep chromosome:v.1.0:5:15312397:15313994:-1 gene:fgenesh2_kg.5__1414__AT5G38890.1 transcript:fgenesh2_kg.5__1414__AT5G38890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTELSRKGKGAYVNVITIYGSLTGTRRIVSPLPESLDQRAIVEVTGHKAHGPIPETGSVVIARVTKVMARMAAVDILCIGPKAVFADLISLFIFMCRSKLATEIDKVDMHQSFNAGDILSLGDAQADYLSTVKNDLGVVSAESHLSFWNYEYQSFSSWNWSMVKCRCMCFFWQLYVMKCISFRVIKKFIWPEMQCPLSGQTK >fgenesh2_kg.5__1416__AT3G50770.1 pep chromosome:v.1.0:5:15331413:15332008:1 gene:fgenesh2_kg.5__1416__AT3G50770.1 transcript:fgenesh2_kg.5__1416__AT3G50770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LTJ0] MATLQEKPSSNSFKWFSTKTLKLNLSFQNRRGSPKSNSSSTLNSPRSNTDDNNNNTKSHHASNEELRRVFSHFDSDGDGKISAFELRHYFGSVGEYISHETAQEAINEVDTDADGSLGFEDFVGLMTRRDLDGNGDGDGGGELKTAFEMFEVEKGSGCITPKGLQKMLAKLGESRTHGECEAMIKFYDIDGNGVLDFH >fgenesh2_kg.5__1419__AT3G50790.1 pep chromosome:v.1.0:5:15339274:15341322:-1 gene:fgenesh2_kg.5__1419__AT3G50790.1 transcript:fgenesh2_kg.5__1419__AT3G50790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAFATSSPPLISSVRTLRRHFSAFAAANPKLPEMSRPSHHSSLEVIGGGSDRFLPALKDSLAKPYNAFPLIGFNRHVETIYAAFYRSVPSVRLRRECLRTKDNGSVALDWVAGDDSYLPPESPILILLPGLTGGSQDSYVRHMLLRAQSKKWRCVVFNSRGCGDSPVTTPQFYSASFLGDIGEVIDHVGEKFPKANLYAAGWSLGGNILVNYLGQESHNCPLTAAVSLCNPFDLVIADEDFHKGFNNVYDKALSKSLRRIFSKHSLLFEDIGGEFNIPLAANAETVRDFDDGLTRVSFGFKSVDEYYSKSSSSKHIKHVRIPLLCIQAANDPIAPDRGIPRDDIKANPNCVLIVTPRGGHLGWVAGEEAPNGAPWTDQVVMEFLQYVENRETINGERSFDDAHQIQV >fgenesh2_kg.5__141__AT2G02230.1 pep chromosome:v.1.0:5:792131:793446:-1 gene:fgenesh2_kg.5__141__AT2G02230.1 transcript:fgenesh2_kg.5__141__AT2G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIHGGDSNSGGGSSRNDEIVATRASRFDTLPEDCISKVISHTSPRDACVVASVSKTVKSAAQSDLVWEMFLPSEYSSLVVARSANLLSKKEIFLSLANDSVLIEDGKKSFWLEKASGKKCFMLSAMELSIIWGDAPAYWQWITVPESKFEKVAELRNVCWFEIQGKISCGMLSKGTHYSVYVVYKTAYGRSYGFDSVPVEAGVGFVGKEATKKSVFLESGMDSRSGFGYSGISHAAVSRAFRMRRPWLRVPREEEEEEVEGERERGRNVEGPKERVDGWSEVELGRFFIDNGGCDEIEISLMETQNGNWKSGLIIQGIEIRPV >fgenesh2_kg.5__1421__AT3G50810.1 pep chromosome:v.1.0:5:15345118:15347363:-1 gene:fgenesh2_kg.5__1421__AT3G50810.1 transcript:fgenesh2_kg.5__1421__AT3G50810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVPGSFGTSASFALRFGQTIFSAASLIFMCFDYDFYDFTTFCYLATVMAIVTPWSILLALTDTYSVLVKLLPQELRVLSIVFAGDFVLSFLSLGGACAVASATELLASADGKICDGNLCIQYQVSAALAFLWIHIYSINGFPIVYINQRRGNDNHRFRNNVMHKCQVCEWELDAASSALFCSMECKFRSVLGSQLDELMENSEITENSEETDELVKKKRHRRKGSPHRAPFF >fgenesh2_kg.5__1423__AT3G50830.1 pep chromosome:v.1.0:5:15375699:15377422:-1 gene:fgenesh2_kg.5__1423__AT3G50830.1 transcript:fgenesh2_kg.5__1423__AT3G50830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COR413-PM2 [Source:UniProtKB/TrEMBL;Acc:D7LTJ7] MGRVDYLAMKTDDVDTVAALVNSDMEELKVAAKKLFSDVSKLGGLGFGVSFLKFIASFAAIYLLILDRTNWKTKMLTSLLIPYIFLSLPSVIFNFLSGEVGKWIAFVAVVLRLFFPKHFPDWLEMPGSLILLLVVAPHFLAHHIRGTWIGTVISLFIGCYLLQEHIRASGGFRNSFTQPRGVSNTLGIILLLVYPVWALIVRVM >fgenesh2_kg.5__1424__AT3G50840.1 pep chromosome:v.1.0:5:15378064:15385614:-1 gene:fgenesh2_kg.5__1424__AT3G50840.1 transcript:fgenesh2_kg.5__1424__AT3G50840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LTJ8] MVIKISYGFKVDIFVSTAVPLRCAAEYLEMTEDYSPENLISKTERFLSQFVFTNVRESIKALKACESVSSLAESLGVTEQCIDSIVSKASLTDPSSFYGWPMNNGGIFAVDRKKQSKDSKTELWFEDLTDLSFPIFRRVILTMKSRDLNPEIVERSLISYAKKHIHRITRSSSPSSSSSTIASENQQRELLETITSDLPITETTTRSLFGLLRSAIILNTSENCRKFLEKKIGSNLEKATLDDLLIPSYSYLNETLYDVDLVERLLRRFLENAAVSSSSLTVVGRLIDGVLGEIASDANLKPEKFYYLAVLLPAQARVYDDGLYRAVDIYFKTHTWISEADKEKICSVMDCRKLTVEGCIHAAQNERLPLRAVVQVLFLEQLQLRQVITGTLLTEEDGDETVVDLGRWKDTVKENQVLRLDLDSMRTRVNQLEKECLYLKKVIVKIDKESLWSIGKKFGCKFTTQVCDSHEATMVDGRSRRFL >fgenesh2_kg.5__1429__AT3G50850.1 pep chromosome:v.1.0:5:15388419:15389235:-1 gene:fgenesh2_kg.5__1429__AT3G50850.1 transcript:fgenesh2_kg.5__1429__AT3G50850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LTK0] MAFREDDDDVNIGENEEPSESEFHMNRINSIESTVVIRQLPSQGIAFKLWLPATTLVTLLDNYRRDPNTSPLTRTFSSFQSDGSDSSSPINIFELGSGTGIVGIAAAATLGANVTVTDLPNVIENLKFNVDANAEVVARFGGKVHVASLRWGEINDVEVLGQNVDLILASDVVYHERLYDPLLKTLRFLLLEGSKREFLMAHLKRWKKESIFFKKARRFFDVDVIHCDDPQEGSRIGVVVYRFAPKNQTF >fgenesh2_kg.5__1430__AT3G50860.1 pep chromosome:v.1.0:5:15389198:15391533:1 gene:fgenesh2_kg.5__1430__AT3G50860.1 transcript:fgenesh2_kg.5__1430__AT3G50860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complex small chain family protein [Source:UniProtKB/TrEMBL;Acc:D7LTK1] MIKAVMMLNTQGKPRLAKFYDYMPVEKQQELIRGVFSVLCSRPENVSNFLEIDSLFGPFSRLVYKHYATLYFVLVFDGSENELAMLDLIQVLVETLDKCFSNVCELDIVFNYSKMHAVLDEIVFGGQVLETSSAEVIKAVEEISKLEAASNSISLVPKSVSGWRGR >fgenesh2_kg.5__1432__AT3G50880.1 pep chromosome:v.1.0:5:15404076:15404922:1 gene:fgenesh2_kg.5__1432__AT3G50880.1 transcript:fgenesh2_kg.5__1432__AT3G50880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HhH-GPD base excision DNA repair family protein [Source:UniProtKB/TrEMBL;Acc:D7LTK3] MSLRKTLTAVNQPSLSPGSSIVAVKLADSEASGSSSRITFRPRKIRKVSSDPSPRIIITASPPLSTKSTVDLALRHLQSSDELLGAIITSHNDPPVFESSNPPFLSLARSILYQQLATKAAKCIYDRFISLFNGGESGVVPESVISLSAVDLRKIGVSGRKASYLHDLAEKYNNGVLSDELIMKMSDEELIERLTLVKGIGVWTVHMFMIFSLHRPDVLPVGDLGVRNGVKDLYGLKDLPGPLQMEQLCEKWRPYRSVGSWYMWRLIEARKTK >fgenesh2_kg.5__1433__AT3G50890.1 pep chromosome:v.1.0:5:15406646:15407776:1 gene:fgenesh2_kg.5__1433__AT3G50890.1 transcript:fgenesh2_kg.5__1433__AT3G50890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHB28 [Source:UniProtKB/TrEMBL;Acc:D7LTK4] MELGGKCNAITSTTMISTEVKPHTDPEEAKPDSDPSMALFPIKKENQKPKTRVDQAAKYRECQKNHAASTGGHVVDGCCEFMAGGEEGTLEAVKCAACNCHRSFHRKEVYGHMSSKQDQLIITPAFYSSNSSYKAMQTRGMHPTGEIGRRTSSSSEDMKKILSHRNQNIDGKGLMMMMMRKKKRVRTKISEEQKEKMKEFAERLGWRMQKKDEEEIDKFCRMVNLRRQVFKVWMHNNKQAMKRNSNISE >fgenesh2_kg.5__1437__AT3G50920.1 pep chromosome:v.1.0:5:15413582:15414780:-1 gene:fgenesh2_kg.5__1437__AT3G50920.1 transcript:fgenesh2_kg.5__1437__AT3G50920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLLLHYPTCNFYFGSSSYLKRPRLSSYSSIISRGSPLFVSGFGSMTVKRFSSRGGGSSNDGNEQFGAAEKESFINNSSEIRKDLVAGGGIEAIVNRLSKWVVSALFGSIILLRHDGAALWAVIGSISNSALSVVLKRILNQERPATTLRSDPGMPSSHAQSISFISVFAVLSFMEWLGTNGVSLFLSGLILALGSYFIRLRVSQKLHTSSQVVVGAIVGSVFCILWYTMWNSLLREAFESSLLVQISVFLFAATFALAFAAYVVLNWFKDDR >fgenesh2_kg.5__1440__AT3G50950.1 pep chromosome:v.1.0:5:15448831:15451924:1 gene:fgenesh2_kg.5__1440__AT3G50950.1 transcript:fgenesh2_kg.5__1440__AT3G50950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAVVTVCLEKAMNILEEKGRTVSDYRKQLEDLQRELQYMQSFLKDAERQKRTNEMLRNLVTDLRELVYEAEDILVDCQLADGDADDDGNEQRSSNAWLSRFNPPRVSLQYKKSKRLKEINERISKIKSQVEPYFKFRTPSNVGRDNGTDRWSSPVYNHTQVVGLEGDKRKIKEWLFRSNESELLIMAFVGMGGLGKTTIAQEVFNDKEIEHRFERRIWVSVSQTFTEEQIMRSILRNLGDASVGDDLGTLLRKIQQYLLGKRYLIVMDDVWDKNLSWWDKIYQGLPRGQGGSVIVTTRSESVAVRVQARDKTHRPQLLSSDNSWLLFCKVAFAANDGTCERPELEDVGKEIVTKCKGLPLTIKAVGGLLLCKDHVYHEWRRIADHFQDELRGNTSETDNVMSSLQLSYDELPPHLKSCFLTLSLYPEDCVIPKQQLVHGWIGEGFVIWRNGRSATESGEDCFSGLTNRCLIEVVDKTYSGTIITCKIHDMVRDLVIDIAKKDSFSNPEGLNCRHLGISGNFDEQQIKVNYKLRGVVSTTKTGEVNKLNSDLAKKFTDCKYLRVLDISKSIFDAPLSQILDEIASLQHLACLSMSNTHPLIQFPRSMEDLHNLQILDASYCQNLKQLQPCIVLFKKLLVLDMTNCGSLECFPKGIGSLVNLEVLLGFKPARSNNGCKLSEVKNLTNLRKLGLSLTRGDQIEEDELNSLINLSKLMSISISCYDSYGDDLITKIDALTPPHQLHELSLQFYPGKSSPSWLSPHKLPMLRYMSICSGNLVKMHERFWGIENTHWRIESLMLSSLSDLDMDWEALQQSMPYLRTVTANWCPELESFPIEDVGFRGGVWTKTPLHRT >fgenesh2_kg.5__1444__AT3G51000.1 pep chromosome:v.1.0:5:15464615:15465977:-1 gene:fgenesh2_kg.5__1444__AT3G51000.1 transcript:fgenesh2_kg.5__1444__AT3G51000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLREKKVKTNGIWLNVAEKGDTEGPLVLLLHGFPETWYSWRHQIDFLSSHGYHVVAPDLRGYGDSDSLPSHESYTVSHLVADVIGLLDHYGTAQAFVAGHDWGAIIGWCLCLFRPDRVKGYISLSVPYFPRDRKLKPSDFFKSFGDGLYISQFQKPGRAEAAFAKHDCLTVMKKFLLITRTDYLVAPPDTEIIDHLEIPSTIPDWITEEEIQVYAEKFQRSGFTGPLNYYRAMDLNWEILAPWQDSKVVVPTKFIAGDKDIGNEGPNGTMEYVKGEMFKSVVPNLEIVVIEDGHHFIQQEKSEQVSQEILSFLNKLSKTE >fgenesh2_kg.5__1445__AT3G51010.1 pep chromosome:v.1.0:5:15466076:15467490:-1 gene:fgenesh2_kg.5__1445__AT3G51010.1 transcript:fgenesh2_kg.5__1445__AT3G51010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGAIRSIFRPLSRTLVSRAVANYSSAPFPAKIPAAKPELCSFFGGSMTHLRLPWIPMANHFHSLSLTDTRLPKRRPMTHPKRKRSKLKPPGPYAYVQYTPGQPISSNNPNEGSVKRRNAKKRIAQRRAFILSEKKKRQALVQEAKRKKRIKQVERKMAAVARDRAWAERLTELKQFEEEKKNSMSS >fgenesh2_kg.5__1446__AT3G51020.1 pep chromosome:v.1.0:5:15468018:15468607:1 gene:fgenesh2_kg.5__1446__AT3G51020.1 transcript:fgenesh2_kg.5__1446__AT3G51020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7LTM1] MFSSSRPGSTSLTCGFKVNTNSPEWHKSMTKILKKIKGGNFWIDVDEGMAYVTGQGDPNKLLKLMGSKRGKDAEMAFVRTGTHHPQHHHHHDPNFYNNCQNSYFGQWPGYYPPAGSAMQPYHHQYPFSGGYNNYGYY >fgenesh2_kg.5__1447__AT3G51030.1 pep chromosome:v.1.0:5:15469556:15470583:-1 gene:fgenesh2_kg.5__1447__AT3G51030.1 transcript:fgenesh2_kg.5__1447__AT3G51030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:D7LTM2] MASEEGQVIACHTVETWNEQLQKANESKTLVVVDFTASWCGPCRFIAPFFADLAKKLPNVLFLKVDTDELKSVASDWAIQAMPTFMFLKEGKILDKVVGAKKDELQSTIAKHLA >fgenesh2_kg.5__1449__AT3G51040.1 pep chromosome:v.1.0:5:15470665:15472240:-1 gene:fgenesh2_kg.5__1449__AT3G51040.1 transcript:fgenesh2_kg.5__1449__AT3G51040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETVIDSEHGMTIGLSDPMKIDPRRDRFPCCIVWTPLPLISWLVPFIGHVGICREDGVILDFAGPNFVCVDNFAFGAVARYIQINKRKESSRSSGSRMFNGESRYEQEEGSHEKEPMWDDALRKGTQEYQHHSYNIFTCNCHSFVANNLNRLAVKSGGWNVVNLAALVFFKGRWVSKAAIVKSLLPPVIVYTIGILLGGWTFIASCSILAVLLTAWFIMGTYCFKKLIQL >fgenesh2_kg.5__1451__AT3G51075.1 pep chromosome:v.1.0:5:15493712:15497251:1 gene:fgenesh2_kg.5__1451__AT3G51075.1 transcript:fgenesh2_kg.5__1451__AT3G51075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRGRGNKRTSTSSYASTITMVIFVALCVFGVWMLSSNSVIPPQITQGSTRTAVAETERSDVSVSSNGNDEPEPTKQDLKMSNGSQRKRRVKQRAQRLKNKRPNNTMTSFPRKKRKITARRKRRCKRMRRVR >fgenesh2_kg.5__1454__AT3G51090.1 pep chromosome:v.1.0:5:15515596:15517615:-1 gene:fgenesh2_kg.5__1454__AT3G51090.1 transcript:fgenesh2_kg.5__1454__AT3G51090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYANYLRLARLGTSSSSGVNLSTLRSIGYSNPTFDKTHEIRSSSSSSLVSHSSSFRQFHARFISQLIKTNGKRLFLVDTLALVRSLEAQGLPSKQAEAITGAITEVLNDSLGVVSQLVVSKGEMQKAEMTQESNLSKFKSEINSSLDHHFSLLQHENEKLRNDIERIRSDIRHEIDKVTAGQRLDLNLEKGRIRDELQNQNAETSNLTNKLDREIHTLRAQLEAAKYEVIKYCIGTLVSISAVGLAVLRIVM >fgenesh2_kg.5__1455__AT3G51100.1 pep chromosome:v.1.0:5:15517914:15519909:-1 gene:fgenesh2_kg.5__1455__AT3G51100.1 transcript:fgenesh2_kg.5__1455__AT3G51100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGSSEEVTRTVMEEKPEQQQRGSLEKGRSCKGYLYYSSTLKSKAKNPRCVGIPRTLRQVPDYVVGQSEAEASKEGRTLADFYYGCLGYSVYMTDKDSSAIKQHTKTQLPVCVGLEILADRRAASGNTSSVPARVQSRNDSREVPRQQNNKPAPAPAPATATNTENGFLTRFTRNASLVAGGVMKNMKRVGNYVKETVDDSLDPYRKRPK >fgenesh2_kg.5__1459__AT3G51130.1 pep chromosome:v.1.0:5:15534144:15537609:1 gene:fgenesh2_kg.5__1459__AT3G51130.1 transcript:fgenesh2_kg.5__1459__AT3G51130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYSKIGDKLVMQRPRRRLEGTAMGATVFDLRPGVGIGPFSIGMPICEAFAQIEQQPNIYDVVHVKYYDEDPLKLDVVISFPDHGFHLRFDPWSQRLRLVEIFDVKRLQMRYATSMIGGPSTLATFVAVYALFGPTFPGIYDKERGIYSLFYPGLSFEFPIPNQYTDSCHDGEAALPLEFPDGTTPVTCRVSIYDNSSDKKVGVGKLMDRASVPPLPPGSLYMEEVHIKLGKELYFTVGGQHMPFGASPQDVWTELGRPCGIHPKQVDQMVIHSASDPRPKTTICGDYFYNYFTRGLDILFDGETHKVKKFVLHTNYPGHADFNSYIKCNFVISGGEDGAEANRGGNKITPSTNWDQVKEILGECGPAAIQTQGSTSNPFGSTYVYGYQNVAFEVMKNGHIATITLFQS >fgenesh2_kg.5__145__AT2G02370.1 pep chromosome:v.1.0:5:823001:825122:1 gene:fgenesh2_kg.5__145__AT2G02370.1 transcript:fgenesh2_kg.5__145__AT2G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLKESREDNTDSVGQMREDNEYVRLVVAHEASAAETVLSLSQSEVQSKKFMWWLKALGIFAVALLLTLVFGKWGVPFVFQKVLIPILQWEATAFGRPMLAIVLVVSLALFPVFLIPSGPSMWLAGMIFGYGLGFVIIMVGTTIGMVLPYLIGLMFRDRLHQWLKRWPRQAAVLRLAAEGSWFHQFRVVAIFRVSPFPYTIFNYAIVVTSMRFWPYFFGSIAGMIPEAFIYIYSGRLIRTFADVQYGHQRLTTVEIVYNIISLIIAVVTTVAFTVYAKRALRELQNAEANEDEEVPVRKPARFEMKNVVQHEEDNHQRMP >fgenesh2_kg.5__1460__AT3G51140.1 pep chromosome:v.1.0:5:15537695:15540022:1 gene:fgenesh2_kg.5__1460__AT3G51140.1 transcript:fgenesh2_kg.5__1460__AT3G51140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASGLTVTPPRFHFRWRSDRFRTSQRSSQAFTVLSKLNNRNSAWPVFKSSCLALPTQRSNAMITRAMGASFGDMADDSAGSAVFPRINVKDPYKRLGISRMASEDEIQGARNFLIQQYAGHKPSVDAIESAHDKIIMQKFHERKNPKIDISKKVRQVRQSKVVNFVFERFQTPPTAVLVKTAATFAVLGVLTVLFPTEEGPTLQVALSLIATFYFIHQRLKKKLWTFLYGTGAFIFSWLVGTFLMVSVIPPFIKGPRGFEVMSSLLSYVLLWVASSYLR >fgenesh2_kg.5__1461__AT3G51150.1 pep chromosome:v.1.0:5:15541171:15546837:1 gene:fgenesh2_kg.5__1461__AT3G51150.1 transcript:fgenesh2_kg.5__1461__AT3G51150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin motor family protein [Source:UniProtKB/TrEMBL;Acc:D7LTN5] MGIGEDQMQGPSGREEKIFVSVRLRPLNVKERARNDVADWECINDETVIYRSHLSISERSMYPTAYTFDRVFGPECSTREVYDQGAKEVALSVVSGVHASVFAYGQTSSGKTYTMSGITDYALADIYDYIEKHNEREFILKFSAMEIYNESVRDLLSTDISPLRLLDDPEKGTVVEKLTEETLRDWNHFKELLSICIAQRHIGETALNEVSSRSHQILRLTVESTAREYLAKDKFSTLTATVNFIDLAGSERASQSLSAGTRLKEGGHINRSLLTLGTVIRKLSKGKNGHIPFRDSKLTRILQTSLGGNARTSIICTLSPARIHVEQSRNTLLFASCAKEVTTNAQVNVVMSDKALVKHLQRELAKLESELSSPRQALVVSDTTALLKDKDLQIEKLNKEVFQLGQELERAYSRIEDLQQIIEEGPQKEILSTDSEQTNTNVVLGRQYPKLRVRSSWESLNITPESPLSAQASIMISPQSTEHGSDENVFQLADFRLNSGASSPAQHLTFVTPDQSCGQEKRFHEMDEPSEVDSEDTCTELQCIETESPGIIMYPGPNILPDRCKAVSALPICEPESKHFRPPTETEEEKEEEERVKEVSSVSIQPKEKSGPIKVSPRCVLSLTDESFPHESSNLRIDPTHQDFVTPSPVKPYAWHLERNSQTAGGMGFTRSRSCGASFVSSSSFSLSERDANTPPSWYQNERAESNLKPYNNKRPPLPNHISRMSMPATWFEKDFLDGGVNKRKSSPNGSQVSPSKSLLYARQTSGRALVNQDEGEETVPQRDKRIIHLSMEEIEQKFLALRSSKSFKDAAVDPIQDYLTMPLNWPLEFKRLEMEIIELWHACNVSLSHRSYFFLLFRGDQKDCLYMEVELRRLKYIRETFTHNNKAIENGRTLTSMSSLRALNRERYKLSQMMQKKLTKEERENLFLRWGIGLNTKHRRLQLAHRLWSESKDMDHVRESASVVGKLMGFVDMDLASKEMFGLNFSLRPRPKRSSLWKRSVLSLSNL >fgenesh2_kg.5__1463__AT3G51180.1 pep chromosome:v.1.0:5:15550512:15553143:1 gene:fgenesh2_kg.5__1463__AT3G51180.1 transcript:fgenesh2_kg.5__1463__AT3G51180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7LTN7] MKRSTKPRVSWPPGPKLCQVKVFRTEDCPAKVASQPQRHSYPKLSSGKPRGPDLPPGFEGNHYAVKPNLPRIKWKRPPSKFTVNDAWLVGGGGESTERRTENLRSSKVLEAIYPHRSAIPSRPSVSPVVEAECFDDSKTPAIRLTPIEDESESSEESSHSAVESGFTANKQGQLETNPPCSTQEQVSGLTGLAPDLSLAASAALTALMKTKEQGSLVDTDLLIKFLSDPKIIKNLITDTSGKSSETKNQPVDTNINSATRLVPQPVTASPIARKPQPVIIPQEHSVAASRSFTNPERRVSPPKPANGNIPPPKPMNGKPSSIPVHFHVGIAKEQPQPARFQSSSLPMNLNLHRPQNVFSEPKVIVNPQPQHQPYSAFRTSEMNNVQSSIGLGRGPHTGFNSYPMNLNRADVITGRAKPVVQPMKGLDYFKNLIREHGTDNHETNQYHSQTGIFNGRIDNNNKIQQQCIYFGTVSGCKLGDSCVYVHDRFRPNFEAEAPRAKRMKFRRYEKNKF >fgenesh2_kg.5__1465__AT3G51220.1 pep chromosome:v.1.0:5:15555275:15556180:-1 gene:fgenesh2_kg.5__1465__AT3G51220.1 transcript:fgenesh2_kg.5__1465__AT3G51220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAEIETGAPFRSVKEAVTLFGERILLGDNYISKSVERNSCKSIQDELVEAKENLKKAEEENKALSQLIESLTQELETAKEKLNHSLRKFREHPQVEDDLKFIEESTVKEPDNITEIKMNRFDGNEVYGDRLERRRSVKFANPPLLTKVIVGKEEKNQVMVKKQTKKMKPLVPLAAWLFARNRSS >fgenesh2_kg.5__1468__AT3G51240.1 pep chromosome:v.1.0:5:15559802:15561452:1 gene:fgenesh2_kg.5__1468__AT3G51240.1 transcript:fgenesh2_kg.5__1468__AT3G51240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavanone 3-hydroxylase [Source:UniProtKB/TrEMBL;Acc:D7LTS6] MAPGTLTELAGESKLNSKFVRDEDERPKVAYNEFSDEIPVISLAGIDDVDGKRGEICRQIVEACENWGIFQVVDHGVDTNLVADMTLLARDFFALSPEEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPVRNRDYSRWPDKPEGWVKVTEEYSERLMSLACKLLEVLSEAMGLEKESLTNACVDMDQKIVVNYYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDNGKTWITVQPVEGAFVVNLGDHGHFLSNGRFKNADHQAVVNSNSSRLSIATFQNPAPDATVYPLKVREGEKPILEEPITFAEMYKRKMGRDLELARLKKLAKEESDHKEVAKPVDQIFA >fgenesh2_kg.5__1469__AT3G51250.1 pep chromosome:v.1.0:5:15562451:15564946:1 gene:fgenesh2_kg.5__1469__AT3G51250.1 transcript:fgenesh2_kg.5__1469__AT3G51250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSYGGDGKQRPAMYPQVDQSIPDNPFAHTNPYVSSSPYLYPSLSSHNLGPNLFPDHGDASNDQSPSAPPQATEEVLIRVPGAILNLIDKSYSVELACGDFTIVRIIQGGNIVAVLANVGNEIQWPLTTNEVAAKVDGSHYFFSIHPPKEKGQGSGSDSDDEQGKKSKSKSDDDILNYGLTIVSKGQENVLLVLDQVLRDYCCFTEQKMSEKAKETGEEVLGISMVAATSPEELKGERKDVVEGQCAAYWTTLAPNVEDYTHSTAKMIASGSGKLIRGILWCGDVTVERLKKGNEVMKNRLSRAEKEKDVSPETLKRIKRVKKVTQMTEKVATGVLSGVVKVSGFITGSMANSKAGKKLFGLLPGEIVLASLDGFSKICDAVEVAGKNVMSTSSTVTTELVNHRYGTKAAEATNEGLDAAGHAFGTAWVAFKIRKAFNPKNVIKPSSLAKSAVSDLKAKKGSK >fgenesh2_kg.5__1471__AT3G51280.1 pep chromosome:v.1.0:5:15571208:15573084:1 gene:fgenesh2_kg.5__1471__AT3G51280.1 transcript:fgenesh2_kg.5__1471__AT3G51280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDVFRPTKSAPCSPAKPLGISRTQSESFHAIHKVPVGDSPYVRAKNVQLVEKDPERAIPLFWKAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRVRCSDQAQESLDNILLDLYKWCGRLDDQIGLLKHKLFLIQKGLAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQRDNFVEAEDAYRRALSIAPDNNKMCNLGICLMKQGRIDEAKETLRRVKPAVVDGPRGVDSHLKAYERAQQMLNDLGSEMMRRGGDDKVEQRRLFDAIFGSSSIWQPQPCSEQNVKPKSKPGLSNGDGFGDENVKMSVNPPVVVNPLRVDAKPFFSSKLIISNNEKLKRTRSSSQELGILSGGGGDDHEGETNTSTRRRLSMEKKATDCGLPDNKDFEDAILAAVLGTETKVDKKRLKVFQDITLCINQNL >fgenesh2_kg.5__1472__AT3G51290.1 pep chromosome:v.1.0:5:15574261:15576659:1 gene:fgenesh2_kg.5__1472__AT3G51290.1 transcript:fgenesh2_kg.5__1472__AT3G51290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich family protein [Source:UniProtKB/TrEMBL;Acc:D7LTT2] MGCCQSRIDSKEIVSRCKARKRYLKHLVKARQTLSVSHALYLRSLRAVGSSLVHFSSKETPLHLHHNPPSPSPPPPPPPRPPPPPLSPGSETTTWTTTTTSSALPPPPPPPPPPPPPSSTWDFWDPFIPPPPSSSEEEWEEETTTATRTATGTGTGSDAAVTTAPTTATPQASSVVSGFSKDTMTTTTTGSELAVVVSRNGKDLMEIIKEVDEYFLKAADSGAPLSSLLEISTSITDFTLCLSSGKMYSNYECNLNPTSFWTRAFALPKLNEYSNAGGVIGGNCIVGSHSSTVDRLYAWEKKLYQEVKNAESIKMEHEKKVEQVRRLEMKRAEYVKTEKAKKDVEKLESQLSVSSQAIQSASNEIIKLRETELYPQLVELVKGSMYESHQVQTHIVQQLKYLNTIPSTEPTSELHRQSTLQLELEFSKNPLVRSSYESKIYSFCEEWHLAIDRIPDKVASEGIKSFLTAVHGIVAQQADEHKQKKRTESMLKDFEKKSAALRALESKYSPYSVPESRKKNPVIEKRVKVEMLKGKAEEEKSKHEKSVSVTRAMTLNNLQMGFPHVFQAMVGFSSVCMQAFESVYNQAKSIGEDQEEVKRLLP >fgenesh2_kg.5__1474__AT3G51310.1 pep chromosome:v.1.0:5:15578655:15583578:-1 gene:fgenesh2_kg.5__1474__AT3G51310.1 transcript:fgenesh2_kg.5__1474__AT3G51310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 35 [Source:UniProtKB/TrEMBL;Acc:D7LTT4] MIADDDEKWLAAAIAAVKQHAFYMQRAIDSNNLKDALKFSAQMLSELRTSKLSPHKYYELYIRVFNELGTLEIFFKEETGRGCSIAELYELVQHAGNILPRLYLLCTIGSVYIKSKDVTATDILKDLVEMCRAVQHPLRGLFLRSYLAQVTRDKLPSIGSDLEGDGDAHMNALEFVLQNFTEMNKLWVRMQHQGPSREKDKREKERNELRDLVGKNLHVLSQLEGVDLGIYRDTVLPRILEQVVNCKDELAQCYLMDCIIQVFPDDFHLQTLDVLLGACPQLQPSVDIKTVLSGLMERLSNYAASSVEALPNFLQVEAFSKLNYAIGKVVEAQVDLPAAASVTLYLFLLKFTLHVYSDRLDYVDEVLGSCVTQLSATGKLCDDKAAKQIVAFLSAPLEKYNNVVTILKLTNYPRVMEYLDHETNKAMAIIIVQSVLKNNTHIATADEVDALFELAKGLIKDFDGKVDYEIDEEDFQEEQNLVARLVHKLYSDDPEEMSKIIFTVRKHILAGGPKRLPLTIPPLVFSALKLIRRLRGGDENPFGDDASATPKRILQLLSEASTVEVLSDVSAPDLALRLYLQCAQAANDCELETVTYEFFTKAYLLYEEEISDSKAQVTALRLIIGTLQRMRVFNVENRDTLTHKATGYSARLLRKPDQCRAVYECSHLFWADECENLKDGERVVLCLKRAQRIADAVQQMANASRGTSSTGSVALYVELLNKYLYFLEKGNPQVTGDTIQSLAELIRSETKKVESEPFINSTMRYIEFQRQQDGMDEKYEKIKMEWFE >fgenesh2_kg.5__1476__AT3G51325.1 pep chromosome:v.1.0:5:15586130:15586792:1 gene:fgenesh2_kg.5__1476__AT3G51325.1 transcript:fgenesh2_kg.5__1476__AT3G51325.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LTT6] MKVLEKWLALLYPRVQGGGGREEECCSVCLMRMEAKDVIKSLPCSHEFHSLCVDTWFNVSRKICCPLCRFSPATILLTDELLL >fgenesh2_kg.5__1480__AT3G51370.1 pep chromosome:v.1.0:5:15606704:15609555:1 gene:fgenesh2_kg.5__1480__AT3G51370.1 transcript:fgenesh2_kg.5__1480__AT3G51370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSACLWPSSSSGKSSDSTGKQDGLLWYKDSGQHLVGEFSMAVVQANNLLEDQSQVESGPLSTLDSGPYGTFVGVYDGHGGPETSRFVNDHLFQHLKRFAAEEASMSVDVIKKAYEATEEGFLGVVTKQWPTKPLIAAVGSCCLVGVICGGMLYIANVGDSRAVLGRAMKATGEVIALQLSAEHNVSIESVRQEMHSLHPDDSHIVMLKHNVWRVKGLIQVSRSIGDVYLKKAEFNKEPLYTKYRIREPFKRPILSGEPTITEHEIQPQDQFLIFASDGLWEQLSNQEAVDIVQNHPRNGIARRLVKMALQEAAKKREMRYSDLKKIERGVRRHFHDDITVVVIFLDTNQVSSVKGPSLSIRGGGMTFPKKI >fgenesh2_kg.5__1485__AT3G51410.1 pep chromosome:v.1.0:5:15619150:15619971:-1 gene:fgenesh2_kg.5__1485__AT3G51410.1 transcript:fgenesh2_kg.5__1485__AT3G51410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPETKLQMPIRSISLPSRIHHPSAKFQAALSQIHLFQNSSDSQSLQASLLNLSELYHSLHQLNHSLPTAQAEHSLDVSATLLDSCDAARNLILTLREHLLNLQSALRRKDKSMEVQIKEYFSFRKKIKKETNKLLLGLKKVSIFRSLFMFLSTTSTVKTKTCSLKFVSKLISGGHRSSSSIMSELQNVDVVLRSDGDNSKEMKKMLERLEERTEELEAALDSLFKSLVQYRVYLLNILTTHS >fgenesh2_kg.5__1486__AT3G51420.1 pep chromosome:v.1.0:5:15624044:15625448:1 gene:fgenesh2_kg.5__1486__AT3G51420.1 transcript:fgenesh2_kg.5__1486__AT3G51420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strictosidine synthase family protein [Source:UniProtKB/TrEMBL;Acc:D7LTU8] MAMFFSTRLLFFSIIIPLLISITLYQLIDSFDPAPLPPDSLFSSPTSIPPLLNDKFLTGAEFIGVGLLNNPEDIAYHKESGLIYTGCVDGWVKRVSVHDSANDSVVEDWVNTGGRPLGIAFGIHGEVIVADADKGLLNISGDGKKTELLTDEAEGVRLKLTDAVTVADNGVLYFTDASYKYDIHQFIFDFLEGKPHGRLISFDPTTRVTRVLLRDLYFANGISISPDQTHLVFCETIKRRCSKYYISEERVEVLIQGLPGFPDNIRYDGDGHYWIALISEVTTPWKLSMKYPFLRKLISMAAKYGVELLFMKNAGVLQVDLDGNPIALYHDHPFSHITSGVKIGNHLYCGSLLHSYITRLDLLKYPAQKKL >fgenesh2_kg.5__1488__AT3G51440.1 pep chromosome:v.1.0:5:15627602:15629175:1 gene:fgenesh2_kg.5__1488__AT3G51440.1 transcript:fgenesh2_kg.5__1488__AT3G51440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strictosidine synthase family protein [Source:UniProtKB/TrEMBL;Acc:D7LTV0] MPVFPSYRFLFFFVIVPLLISITFYRLDTFLAGAEFIGVGLLNNPEDIAYHEDSGFIYTGCVDGWVKRVKVAESVNDSVVEDWVNTGGRPLGIAFGLHGEVIVADAYKGLLNISGDGKKTELLTEEADGVRFKLTDAVTVGDNGVLYFTDASYKYSLHQFSFDILEGKPHGRLMSFDLTTKVTRVLLKDLYFANGVSMSPDQTHLVFCETPIRRCSKYYINGGRVELFIQGLPGYPDNIRYDGDGHYWIAMPSGVTTLWKLSMKYPFLRKITAMAAKYGYEPMFMENAGVLQVDLDGNPMAYYHDQALSHITTGVKIGNYLYCGSLLHSHIIRLDLMKYPAVLNKKL >fgenesh2_kg.5__1489__AT3G51460.1 pep chromosome:v.1.0:5:15630592:15635231:1 gene:fgenesh2_kg.5__1489__AT3G51460.1 transcript:fgenesh2_kg.5__1489__AT3G51460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7LTV1] METADSRNKLHSRLRLWEFPDQYIIEPADGSGASCLDISRVDASMKLIDHVPESNSVRVPKIRSIFGVVGMLKLLAGSYLVVVTESERVGSFLGHPIFKITTLKVLPCDHSLQNSPEEQKKMETEFSKLLSVAEKTTGLYFSYQVNLTLSSQRLHDLGDESKSLPLWRQAEPRFLWNNYMLEVLIDNKLDQFLLPVIQGNILCYQFFETAIGRDIVDITLIARRCTRRNGTRMWRRGADLDGYVANFVETEQIVQMNGYSSSFVQVRGSIPFMWEQVVDLTYKPKFEIVQPEEATRIAERHFLDLRKKYGSVLAVDLVNKHGGEGRLSEKYATVMQHITGDDIRYLHFDFHQICGHIHFERLSILYEQIEGFLEKNGYFLLNEKGEKMKDQLGVVRSNCIDCLDRTNVTQSMIGRKMLELQLKRIGVFGAEETISSHPNFDEHYKILWANHGDEISIQYSGTPALKGDFVRYGHRTAHGVLKDGWSSLRRYYLNNFADGTKQDAIDLLQGHYIVAVSRDMAPVPQKGGLEAVANFPVALFVVLMSFWFATMSLKQAGSDYKHKHLFFSLLWTGICVGMAALVRANGRIFCNRPRLHKPRG >fgenesh2_kg.5__1490__AT3G51470.1 pep chromosome:v.1.0:5:15636013:15637455:-1 gene:fgenesh2_kg.5__1490__AT3G51470.1 transcript:fgenesh2_kg.5__1490__AT3G51470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTEVSPMINTLEVADDKMTNLTSSGKPPRNISAMRHCNSTAWLTDSEGDERFGAKSPQGVNSTFQPVFRSGSWSDKGPKQSMEDEFICVDDLTEHIGSSTGAFYGVFDGHGGVDAASFTKKNIMKLVMEDKHFPTSTKKATRSAFVKTDHALADASSLDRSSGTTALTALILDKTMLIANAGDSRAVLGKRGRAIELSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHIKGTKGSLCPLSCEPELEEIVLTEEDEFLIMGCDGLWDVMSSQCAVTMVRRELMQHNDPERCSQALVKEALQRNSCDNLTVVVVCFSPEPPPRIEIPKSHKRRSISAEGLDLLKGVLNEL >fgenesh2_kg.5__1491__AT3G51480.1 pep chromosome:v.1.0:5:15638028:15641849:1 gene:fgenesh2_kg.5__1491__AT3G51480.1 transcript:fgenesh2_kg.5__1491__AT3G51480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7LTV3] MKWFLLMIIICDAVLLQGLPKSDSERPQVVNIGAVFTFNSLIGKVIKVAMDAAVEDVNASPNILNTTTLKIIMHDTKFNGFMSIMEPLQFMESETVAIIGPQRSTTARVVAHVATELKIPILSFSATDPTMSPLQFPFFIRTSQNDLFQMAAIADIVQFYGWREVVAIYGDDDYGRNGVAALGDRLAEKRCRISYKAALPPQPTRENITDLLIKVALSESRIIVVHASFIWGLELFHVAQNLGMMSTGYVWIATNWLSTIIDTDSPLPVDTINNIQGVIALRLHTPNSIMKQNFVQRWHNLTHVGLSTYGLYAYDTVWLLAHAIDDFFQKGGSVSFSKNPIISELGGGNLHLDALKVFDGGNIFLESILQVDRIGLTGRMKFTRDRNLVNPAFDVLNVIGTGYTTIGYWYNHLGLSAMPADELENTSFSGQKLHSVIWPGHTIQIPRGWVFSNNGRHLRIGVPNRYRFEEVVSVKSNGMITGFCVDVFIAAINLLPYAVPFELVAFGNGHDNPSNSELVRLITTGVYDAGVGDITIITERTKMADFTQPYVESGLVVVAPVRKLGSSAMAFLRPFTPQMWLVAAASFLIVGAVIWCLEHKHNDEFRGPPRRQVITTFWFSFSTLFFSHRETTTSNLGRIVLIIWLFVVLIINSSYTASLTSILTVHQLSSPIKGIETLQTNHDPIGYPQGSFVRDYLVNELNIHVSRLVPLRSPEEYDKALRDGPGKGGVAAVVDERAYIELFLSNRCEFGIVGQEFTKNGWGFAFPRNSPLAVDVSAAILQLSENGDMQRIRDKWLLRKACSLQGAEIEVDRLELKSFWGLFVVCGVACVLALAVYTVLMIRQFRRQCPEEAEGSIRRRSSPSTRIHSFLSFVKEKEEDAKARSSRERQLEDISANGSSRCN >fgenesh2_kg.5__1493__AT3G51500.1 pep chromosome:v.1.0:5:15645140:15645820:1 gene:fgenesh2_kg.5__1493__AT3G51500.1 transcript:fgenesh2_kg.5__1493__AT3G51500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LTV5] MKISTPKQPLIQLPPRIGKKVSNRSKFHAISHQLDMSPNSDSSAGNEYRALRRKYLLLEEDSFALERELKEAEDEVKALEDEKLELLDKLVVMEGL >fgenesh2_kg.5__1495__AT3G51520.1 pep chromosome:v.1.0:5:15647641:15649818:1 gene:fgenesh2_kg.5__1495__AT3G51520.1 transcript:fgenesh2_kg.5__1495__AT3G51520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol acyltransferase family [Source:UniProtKB/TrEMBL;Acc:D7LTV7] MGGSREFRAEQTSNQFHSIIAIAIWLGAIHFNVALVLCSLIFLPPSLSLMVLGLLSLFIFIPIDHRSKYGRKLARYICKHACSYFPVSLYVEEYEAFQPNRAYVFGYEPHSVLPIGVVALCDLTGFMPLTNIKVLASSAIFYTPFLRHIWTWLGLTAASRKNFTSLLNSGYSCVLVPGGVQETFHMQHDAETVFLSRRRGFVRIAMEQGSPLVPVFCFGQARVYKWWKPDWDLYLKLSRAIRFTPICFWGVFGSPLPCRQPMHVVVGKPIEVTKTLKPTDEEIDKFHGQYVEALRDLFERHKSRVGYDLELHIL >fgenesh2_kg.5__1496__AT3G51530.1 pep chromosome:v.1.0:5:15649834:15651573:-1 gene:fgenesh2_kg.5__1496__AT3G51530.1 transcript:fgenesh2_kg.5__1496__AT3G51530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LTV8] MEQGGKSSRMGDFMSEDRISELPEVLLLKILSSLPTKLVISTSVLSKRWRSLWKMVPRLEFESYRNIYEFAENVTRSLLSHKAPVLESLHLKVRDQFDGVYVGVWATIAFARHVREFVLDLSFCHGLQIRFPSSLFCFDTLETLKLMNYVYLDFPSLVSMKSLRTLHLLSLVYKGDESVHNLFSSCPNLEHLVLRRGFSHDYVKNFTIEAPSLKTLSLSDSFRARESSGYVIKAPSLKYLGIESVEGYEFFLIENASELVEASIRNVSKIVNEKILGSLESAKRLSLDLSPLKITYPTEVMYHQLVYLEMHTHKVEWWNMLTVMLDSSPKLQVLKLIDHETRQENLEFNKQYTDQGEWNQPKYVPECLMSHLETFMWRNCNWGREEEKEVATYILRNAGQLKKATFSTDPIESKRLCKLAKRSKMRNELDGVVRASSSSHLVFEFE >fgenesh2_kg.5__1499__AT3G51550.1 pep chromosome:v.1.0:5:15657677:15660916:-1 gene:fgenesh2_kg.5__1499__AT3G51550.1 transcript:fgenesh2_kg.5__1499__AT3G51550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITEGQFRLSLLLLLSAATLISAADYSPTEKILLNCGGASDLVDTDNRTWISDVKSKFLSSSSSDSKTSPALTQDPSVPEVPYMTARVFRSPFTYTFPVASGRKFVRLYFYPNSYDGLNATNSLFSVSFGPYTLLKNFSAAQTAEALTYAFIIKEFVVNVEGGTLNMTFTPESTPSNAYAFVNGIEVTSMPDIYSSTDGTLTMVGSSTSITIDNSTALENVYRLNVGGNDISPSADTGLYRSWYDDQPYIFGAGLGIPETADPNMTIKYPTGTPTYVAPVDVYSTARSMGPTAQINLNYNLTWIFSIDSGFTYLVRLHFCEVSSNITKINQRVFTIYLNNQTAEPEADIIAWTSSNGVPFHKDYVVNPPEGNGQQDMWLALHPNPINKPEYYDSILNGVEIFKMNTSDGNLAGPNPIPGPQVTADPSKVLSPTSGKSKSNTAIVAGAASGAVVLALIIGFCVFGAYRRRKRGDYQPASDATSGWLPLSLYGNSHSAGSAKTNTTGSYASSLPSNLCRHFSFAEIKAATKNFDESRVLGVGGFGKVYRGEIDGGTTKVAIKRGNPMSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAHGTMREHLYKTQNPSLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEALCARPALNPTLAKEQVSLAEWAPYCYKKGMLDQIVDPYLKGKITPECFKKFAETAMKCVLDQGIERPSMGDVLWNLEFALQLQESAEESGKGVCGDMDMDEIKYDDGNCKGKNDKSSDVYEGNVTDSRSSGIDMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR >fgenesh2_kg.5__14__AT2G01150.1 pep chromosome:v.1.0:5:111320:112034:1 gene:fgenesh2_kg.5__14__AT2G01150.1 transcript:fgenesh2_kg.5__14__AT2G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGQLSDVSSDSIPLMLLALLATFIRHVRSLLLLPSSAAPVVVVVVSSNLSVLADQLNLNRLFSYRYSDNAASECIVCLSTLKTGEQVRKLDCRHVFHKQCLEGWLQHLNFNCPLCRSPLLPHHHHHHGSDTAISAFPLRSH >fgenesh2_kg.5__1501__AT3G51570.1 pep chromosome:v.1.0:5:15667959:15672038:1 gene:fgenesh2_kg.5__1501__AT3G51570.1 transcript:fgenesh2_kg.5__1501__AT3G51570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSSQVKALPLPPQHQVFVNFRGEELRNSFVSHLRSALVRHGVNIFIDTNEQKGKPLHVFFERIEESRIALAIFSLRYTESKWCLNELVKMKECMDKGKLLIIPIFYKVKAYEVRYQKGRFGYVFKNLRNADVHQKNQWSEALSSVADRIGFPFDGKSDENNFINGIVEEVKEALSKILLDKTKDAFFYHSKNTSMSLGREKHEIYGLKQRLEELKEKLDLDCEETRILGVVGMPGIGKTTLAREIYESLRCKFLRHGLIQDIRRTSKELGLDCLPALLLEELLGVRIPDIESTRCAYESYKMELYTHKVLVVLDDVSDKEQIDVLLGRCDWIRQGSRIVIATSDKSLIQDVADYTYVVPQLNHKDGLGHFGRYAFDHHSNIHNNEVIMKLSKEFVHYGRGHPLVLKLLGADLNGKDEDHWKTKLATLAENSSQSIRDVLQVSYDELSQEHKDIFLDIACFRSEDESYIASLLDSSEAASEIKALMNKFMINVSEDRVEMHDLLYTFARELCRRAYTQDRRGPHRLWHHQDITDVLKNIEEGAEVRGIFLNMNEMKREMSLDSCTFKPMHGLRYLKIYSSHCPQQCKPNNKINLPDGLNFPLNEVRYLHWLQFPLKEIPPDFNPRNLVDLKLPHSKIERIWSNDKDKDTPKLKWVNLNHSSNLWDLSGLSKAQSLVFLNLKGCTSLKSLPEINLVSLEILILSNCSNLKEFRVISQNLETLYLDGTSIKELPLNFNILQRLVILNMKGCTKLKEFPDCLDDLKALKELILSDCSKLQKFPAIRESIMVLEILRLDATTITEIPMISSLQCLCFSKNDQISSLPDNISQLFQLKWLDLKYCKRLTSIPKLPPNLQHLDAHGCCSLKTVSNPLACLTTTQQIYSTFIFSNCNKLERSAKEEISSFAQRKCQLLLDAQKRCNGSDSEPLFSICFPGSELPSWFCHEAVGPVLELRMPPHWHENRLASVALCAVVSFPKSEEQINCFSVKCTFKLEVKEGSWIEFSFPVGRWSNQDNIVETIASEHAFIGYISCSKIFKRLENQHFSSSNPTKSTQSSKCSPTKASLNFMVIDGKSEIPRIEVLKCGLRFFEGAGSSGNYLKKLEVKEAEQNLSAVKVSEDWTYGSSSRCTHVVKTCPEQSQETVTTEVEASPEKADNAEFQINIITPREAQPQPRPCAKTSKWVCFTCCDFQKHL >fgenesh2_kg.5__1502__AT3G51580.1 pep chromosome:v.1.0:5:15672580:15674962:-1 gene:fgenesh2_kg.5__1502__AT3G51580.1 transcript:fgenesh2_kg.5__1502__AT3G51580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSQVLSLLLLILFISNASSFDISRELVDEETHKDNSNSTTKQESPLLNPKPSGDGKSNVTSSEPTLPTSNSTNPNPKEPDSVSPPPPLLPGIERNDTKVLNTTELISPPPPPANLTDSQDSGKLPAKMAPPPKSLESGKNGTEPGKESPPLAKDPDKAKDDKGSSESASVETCIGKSNICRTENSLVACTLSIDKGSANWLILVQNDGEKSLKAKIVLPVNSLQELTLPKHHSQRINISISGDTNKIILDGGKGECALHMYPSEENTLPFHFPSYEKLVTPINGAYFLIVSVVIFGGIWAFCLCRKNRRAGTGVPYRELELSGGPGLENESVVHDVETADWDEGWDDDWDENNAVKSPGGAAKSVSISANGLTARAPNRDGWDHDWDD >fgenesh2_kg.5__1503__AT3G51590.1 pep chromosome:v.1.0:5:15676913:15679318:-1 gene:fgenesh2_kg.5__1503__AT3G51590.1 transcript:fgenesh2_kg.5__1503__AT3G51590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:D7LTW4] MAFAPKIITCLIVLTIYMASPTESTIQCGTVTSTLAQCVNYLTNSGPLPSECCVGVKSLYQMAQTTPDRKQVCECLKATGKEIKGLNTDLVASLPTTCGVSVPYPISFSTNCDSISTAV >fgenesh2_kg.5__1504__AT3G51600.1 pep chromosome:v.1.0:5:15681453:15682277:-1 gene:fgenesh2_kg.5__1504__AT3G51600.1 transcript:fgenesh2_kg.5__1504__AT3G51600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:D7LTW5] MEGLLKLSTLVIVCMLVAAPMASEAAISCGAVTGSLGQCYNYLTRGGFIPRGCCSGVQRLHSMARTTRDRQQACRCIQGAARALGSRLNAGRAARLPGACRVRISYPISARTNCNTVR >fgenesh2_kg.5__1506__AT3G51620.2 pep chromosome:v.1.0:5:15688728:15692941:1 gene:fgenesh2_kg.5__1506__AT3G51620.2 transcript:fgenesh2_kg.5__1506__AT3G51620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLDDLEEESSSSLSPPLIPPPRSPSNQPEFWMRVEEATREIIEQVHPTLVSEDRRRDVILYVQKLIRITLGCEVHSFGSVPLKTYLPDGDIDLTAFGGLYHEEELAAKVFSVLEREEHNVSSHFVVKDVQLIRAEVKLVKCLVQNIVVDISFNQIGGICTLCFLEKIDHLIGKDHLFKRSIILIKAWCYYESRILGAFHGLISTYALETLVLYIFHLFHSSLNGPLAVLYKFLDYFSKFDWDNYCISLNGPVCLSSLPEIVVETPENGGEDFLLTSEFLKECMEMYSVPSRGFETNQRGFQSKHLNIVDPLKETNNLGRSVSKGNFYRIRSAFTYGARKLGQIFLQSDEAIKSELRKFFSNMLLRHGSGQRPDVLDAVPFVRYNRYNALSPASNHFQEGQVVYESESSSSSGATGNGRHDQEGSLDAGVSISSTTGHELSGSPGETAPSVSEERFSGDAKDLATLRIQKLEISDDAMKSPCLSDKESVSPLNGKHHSFHQMRNGEVLNGNGVGKQQENSCLADSRRVKDIHSNENENEHVGHEDLPFTGAVPWPQEDMHLHYSGHCVSGTPNMLSDLSGDYESQLNSLRFGRWWFDYVQNGPMSPLSPPGLPQLPNNNSWEVIRHALPFRRNAPTPVNANGVVPRQVFFHVNPQMIPGPGFAIEELPKPRGTGTYFPNANHYRDRPFSPRGRSSHQARSPRNNGRSMVQAHSEMNFPDRNTRERQLHYPNQTNGSCDMSHTDSHESFPDTNGSTNHPYEKAPDFRPTEPLPVEVLSPPEGSKPRDSIEGHHNRPHRPKSIPSSTQEDRVTPTQSYHLTDDHEFPPL >fgenesh2_kg.5__1508__AT3G51630.1 pep chromosome:v.1.0:5:15694199:15697253:1 gene:fgenesh2_kg.5__1508__AT3G51630.1 transcript:fgenesh2_kg.5__1508__AT3G51630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Map kinase [Source:UniProtKB/TrEMBL;Acc:D7LTW8] MEISSASDDSIPYVETDPSGRYGRFREVLGKGAMKTVYKAFDQVLGMEVAWNQVKLNEVFRSPEPLQRLYSEVHLLKNLNHESIIRYCTSWIDVNRRTFNFITELFTSGTLREYRRKYQKVDIRAIKSWARQILNGLAYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRGSQNAHSVIGTPEFMAPELYEEDYNELVDIYSFGMCVLEMLTGEYPYSECTNPAQIYKKVTSGKLPDSFHLIQHTEAQRFVGKCLETVSRRLPAKELLGDPFLAATDERDLAPLCRLPQQLAIQNLASNGTVVQHLPSTTDPTRTTDMSITGKMNSEDHTIFLQVQILDGDGHMRNIQFPFNILSDTPLEVALEMVKELEIVDWDPLEIAAMIENEISLLVPNWRANDSSIRHQGFGHEDDEDNGEAEGRTRLFSSASSSHDSHVAVRENNDDSSNDVIPDMDDGNKSSNRLLDSSTYHYSPAIDDDQSQQQRRRVRLQQKMRSLVDTRTQVLHRSLMELINKRRGRGFDPNANELQPQPSSTDFIRRC >fgenesh2_kg.5__150__AT2G02400.1 pep chromosome:v.1.0:5:830477:831773:-1 gene:fgenesh2_kg.5__150__AT2G02400.1 transcript:fgenesh2_kg.5__150__AT2G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamoyl-CoA reductase family [Source:UniProtKB/TrEMBL;Acc:D7LQ28] MAKETVCVTGANGFIGSWIIRTLIEKGYTKIHASVYPGSDPTHLLKLPGSDSKIKIFEADLLDSDAISRAIDGCAGVFHVASPCTLDPPVNPEKELVEPAVKGTINVLEAAKRFNVRRVVITSSISALVPNPNWPERVPVDESSWTDLDFCKSMQKWYPISKTLAEKAAWEFSEKHGTNIVTIHPSTCLGPLLQPNLNASCAVLLQLLQGSTETQEHHWLGVVHVRDVAKGHVMLFETPEASGRFLCTNGIYQFSEFAALVSKLFPEFAVHRFDKETQPGLTSCIDAAKRLIELGLVFTAVEDAVKETVQSLRDKGFL >fgenesh2_kg.5__1512__AT3G51660.1 pep chromosome:v.1.0:5:15705297:15706658:-1 gene:fgenesh2_kg.5__1512__AT3G51660.1 transcript:fgenesh2_kg.5__1512__AT3G51660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Macrophage migration inhibitory factor family protein [Source:UniProtKB/TrEMBL;Acc:D7LTX0] MPCLYITTNVNFDGVNTDPFYSEVTKAVASIVGRPQNLVMVVLKGSVEIVFGGNKEAAAYAEIVSMGGITKQVKRELIATVGSILHTHFSIHPTRFIFKVFDINSLPLPSKL >fgenesh2_kg.5__1513__AT3G51670.1 pep chromosome:v.1.0:5:15715042:15717326:1 gene:fgenesh2_kg.5__1513__AT3G51670.1 transcript:fgenesh2_kg.5__1513__AT3G51670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein [Source:UniProtKB/TrEMBL;Acc:D7LTX1] MDASLSPFDHQKTQNTEPKKSFITSLITLRSNHMKEDTYFVSELKPTEQKSLQELKEKLKASSSKASSMWGVSLLGGDDKADVILLKFLRARDFKVADSLRMLEKCLEWREEFKAEKLTEEDLGFKDLEGKVAYMRGYDKEGHPVCYNAYGVFKEREMYERVFGDEEKLNKFLRWRVQVLERGVKMLHFKPGGVNSIIQVTDLKDMPKRELRVASNQILSLFQDNYPEMVATKIFINVPWYFSVIYSMFNPFLTHRTKSKFVMSKEGNAAETLYKFIRPEDIPVQYGGLSRPTDLQNGPPKPASEFSIKGGEKVNIQIEGIEGGATITWDIVVGGWDLEYTAEFVPNAEESYAIVVEKPKKMKASDEAVCNSFTTVEAGKLILSVDNTLSRKKKVAAYRYTVRKSTTAV >fgenesh2_kg.5__1518__AT3G51720.1 pep chromosome:v.1.0:5:15737865:15741323:1 gene:fgenesh2_kg.5__1518__AT3G51720.1 transcript:fgenesh2_kg.5__1518__AT3G51720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLEPSLVGEIDTSAPFESVREAATRFGGFGFWKPSSLNISEASQNEVDDVAGMVAKASELEKELIAKEGETLKVLKSLESTKAIVEELKSKLQNKEEKENCDMNVFKELNQAKMNLCKTTKDLAAIRESVELLNKRLEEERAALEKTRERLNSENAAEMSKEIQRLSYEAKEFSRTGENARYAVDKAVVEIEQTRNKIEAAEMRLIAARKMKEAARAAEAVAIAEIKAVTRRGRRRRRRGNDEETMHEEILETIQETAREIRSSRRTLEEGLEKVNITKMEAEEGKWRWTEQRRRSSCSAKFKNPFMMDVNGLNMMMNGDGTSSSVAVLKPTMSIGQILSRKLLLADESAMMMNGRVSLGQILGKTNFGDRNGQGKEKEKRLNGKRKRFGFANLSVMLNKESKKKNKKKKIALNLM >fgenesh2_kg.5__151__AT2G02410.1 pep chromosome:v.1.0:5:833163:840966:1 gene:fgenesh2_kg.5__151__AT2G02410.1 transcript:fgenesh2_kg.5__151__AT2G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAGAPPHRGGSSAAYFYWSSSSCSYDSCRVLVVKMGGKGKKPHQSSSFKVHSEPEPPRIKSNVKHNLQLLKLWKEFQSRGSGMAKPATSYRKKKVEKDELPDDSELYRDPTNTLYYTNQGLLDDAVPVLLVDGYNVCGYWMKLKKHFMKGRLDVARQKLVDELVSFSMVKEVKVVVVFDALMSGLPTHKEDFAGVDVIFSGETCADAWIEKEVVALREDGCPKVWVVTSDVCQQQAAHGAGAYIWSSKALVSEIKSMHKEVERMMQETRSTSFQGRLLKHNLDSEVVDALKDLRDKLSENETKR >fgenesh2_kg.5__1520__AT3G51740.1 pep chromosome:v.1.0:5:15744314:15747291:1 gene:fgenesh2_kg.5__1520__AT3G51740.1 transcript:fgenesh2_kg.5__1520__AT3G51740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLFKNPFRIYEISFHFCMSLLLCFLLFSARAVAGGGGGGHSWDGIVVTQANYQALQAIKHELIDFTGVLRSWNNSASSEVCSGWAGIKCLRGQVVAIQLPWKGLGGTISEKIGQLGSLRKLSLHNNVIAGSVPRSLGYLKSLRGVYLFNNRLSGSIPASLGNCPLLQNLDLSSNQLTGIIPTSLAESTRLYRLNLSFNSLSGPLPVSVARAYTLTFLDLQHNNLSGSIPNFLVNGSHPLKTLNLDHNLFSGAIPLSLCKHGLLEEVSLSHNQLSGSIPRECGALPHLQSLDFSYNSINGTIPDSFSNLSSLVSLNLESNHLKGPIPDAIDRLHNMTELNIKRNKINGPIPETIGNISGIKQLDLSENNFTGPIPLSLVHLANLSSFNVSYNTLSGPVPPILSKKFNSSSFVGNIQLCGYSSSKPCPSPKPHHPLTLSPTSSQEPRKHHRKLSLKDIILIAIGALLAILLVLCCILLCCLIKKRAALKQKDGKEKTSEKTVSAAAASAGGEMGGKLVHFDGPFVFTADDLLCATAEIMGKSTYGTAYKATLEDGNEVAVKRLREKTTKGVKEFEGEVTALGKIRHQNLLALRAYYLGPKGEKLLVFDYMSKGSLSAFLHARGPETLIPWETRMKIAKGISRGLAHLHSNENMIHENLTASNILLDEQTNAHIADYGLSRLMTAAAATNVIATAGTLGYRAPEFSKIKNASTKTDVYSLGIIILELLTGKSPGEPTNGMDLPQWVASIVKEEWTNEVFDLELMRETQSVGDELLNTLKLALHCVDPSPAARPEANQVVNQLEEIRPETEAEMETTLIGSGGEGGKDLGSNED >fgenesh2_kg.5__1524__AT3G51770.2 pep chromosome:v.1.0:5:15756197:15760885:-1 gene:fgenesh2_kg.5__1524__AT3G51770.2 transcript:fgenesh2_kg.5__1524__AT3G51770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-overproduction protein 1 [Source:UniProtKB/TrEMBL;Acc:D7LTY2] MRSLKLAEGCKGTQVYALNPSAPPPPPPPGNSGGGGGSGGTGGVGDKLLQHLSDHLRVNSVRSKSSRTYPPPSNQANALVSPEFLLPCGLPVTDLLEPQIDPCLKFVDLVDMMAQVYRRIENCSQFEKSGAYLEQCAIFRGISDPKLFRRSLRSSRQHAVDVHAKVVLASWLRFERREDELIGTTSMDCCGRNLECPKATLVSGYDPESVYDPCICSGASRSEMMNEDECSTSEEVDYDMSFCIGDEEVRCVRYKIASLSRPFKAMLYGGFREMKRATINFTQNGISVEGMRAAEIFSRTNRLDNFPPNVVLELLKLANRFCCDELKSACDSHLAHLVNSLDEAMLLIEYGLEEAAYLLVAACLQIFLRELPSSMHNPNVIKFFCSAEGRERLASLGHASFTLYFFLSQIAMEDDMKSNTTVMLLERLVECAVDNWEKQLAYHQLGVVMLERKEYKDAQRWFNAAVEAGHLYSLVGVARSKFKRDHRYSAYKIINSLISDHKATGWMHQERSLYCSGKEKLLDLDTATELDPTLTFPYKFRAVALVEENQFGAAISELNKILGFKASPDCLEMRAWISIGMEDYEGALKDIRALLTLEPNFMMFNWKIHGDHMVELLRPLAQQWSQADCWMQLYDRWSSVDDIGSLAVVHHMLANDPGKSLLRFRQSLLLLRLNCQKAAMRSLRLARNHSKSEHERLVYEGWILYDTGHREEALAKAEESISIQRSFEAFFLKAYALADSTLDPDSSNYVIQLLQEALKCPSDGLRKGQALNNLGSVYVDCEKLDLAADCYTNALTIKHTRAHQGLARVYHLKNQRKAAFDEMTKLIEKAQNNASAYEKRSEYCDREMAQSDLSLATQLDPLRTYPYRYRAAVLMDDHKESEAIDELSRAISFKPDLQLLHLRAAFYDSMGEGAAAIKDCEAALCIDPGHADTLELYHKAREPNDQK >fgenesh2_kg.5__1525__AT3G51780.1 pep chromosome:v.1.0:5:15763689:15765335:-1 gene:fgenesh2_kg.5__1525__AT3G51780.1 transcript:fgenesh2_kg.5__1525__AT3G51780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYNSTDESEWEVRPGGMLVQRRDDTASSDQPLQDPDSASAAFSQTIRITVSHGSSHHDLHISAHATFGDVKKALVQKTGLEASELNILFRGVERDDAEQLQAAGVKDASKLVLVEDTNKRVEQVEQQPPVVVTKEMAKAIAALVAVTGEVDNLSDRVVALEVAVNGGTKVAVREFDMTVELLMRQLLKLDGIEAEGEAKVQRKAEVRRVQNLQEIVDKLKARCSNPFVDQSKAAAVSTEWESFGNGVGSLNPPPPASPSANVTQDWEKFD >fgenesh2_kg.5__1526__AT3G51790.1 pep chromosome:v.1.0:5:15766545:15767723:-1 gene:fgenesh2_kg.5__1526__AT3G51790.1 transcript:fgenesh2_kg.5__1526__AT3G51790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLFRRSSQILRSIQRNPQISSSFESPSPIFYSLTTASPDPSRLSSLTFLRSLSIARRGPTRPKKIDIGAKARQMQNRRLWTYALTFSCIAGFVVIVLNQFQDQLVFYLTPSDAMEKFAENPTKNKFRLGGLVLEGSVAQPAASQEMEFVITDLITDILVRYKGSLPDLFREGHSVVVEGFIKPYTDEVRKEVSTKPVSQKARNLDCFFSATEVLAKHDEKYMPQEVAAAIEKNKKIIEAAAVATEQAAEVVAS >fgenesh2_kg.5__152__AT2G02450.1 pep chromosome:v.1.0:5:886706:889498:1 gene:fgenesh2_kg.5__152__AT2G02450.1 transcript:fgenesh2_kg.5__152__AT2G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC034/ANAC035 [Source:UniProtKB/TrEMBL;Acc:D7LQ36] MAIVSSTTSIIPMSNQVNNNEKGIEDDDHRGGQESHVQNDDEADDHDHDMVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITFLDLYRYDPWELPAMAAIGEKEWYFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRSETSRPIGLKKTLVFYSGKAPKGTRTSWIMNEYRLPHHETEKYQKAEISLCRVYKRPGVEDHPSVPRSLSTRHHNHNSLSSSRLALRQQQHHSSSSNHSDNNLNNNNTNNLEKLSTEYSGDGSTTTTTTNSNSDVTIALANQNIYRPMPYGTSNNTSIISTSNQDDDETAIVDDLQRLVNYQISDGALTPQTQAALAMNMIPAGTIPNNALWDMWNPIVPDGNRDHYINIPFK >fgenesh2_kg.5__1530__AT3G51830.1 pep chromosome:v.1.0:5:15796814:15802084:1 gene:fgenesh2_kg.5__1530__AT3G51830.1 transcript:fgenesh2_kg.5__1530__AT3G51830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVPSSSRFKLYDQFELLEFPDKYVVKPIESPEEGFSVDRRDGNIKPLDENASSGNPTKVSTIYGVGGTIRLLAGTYLLVITSREEVGNFLGFPIFRVTAMKFLPCNEALRFATAQEKKDETYFRTLLQALETTPGLYFSYETDLTLNLQRRCKLAEGWKRKPMWKQADPRYVWNWHLLEELIECKLDGFIIPLLQGNILFFIFFIFCLSYQVAELKLKNSPAVISIISRRCTRRLGTRMWRRGANLEGDTANFVESEQIVEINGFKFSLLQVRGSIPLLWEQIVDLSYKPRLKINKHEDTPKVVQRHFHDLCQRYGEIIAVDLTDQHGDEGELSKAYATEMEKLPDVRYVSFDFHQICGTTNFDNLRVLYEQIGDEFEKQGYFLVDADENILEEQKGVIRSNCIDCLDRTNVTQSYMGQKSVNLQLQRIEVFDSTECISTFEDDYTKFRTIWAEQGDEVSLQYAGTYALKGDLVRYGKQTMTGAIKDGLSAMSRYYLNNFQDGDALDLISGRYTVGTHSPSQLQPIGSQPSFLPVASALLIGGVTVTSFTIHQAGRNTQQYLASALWAGVTAGVVAMIKANGRHLCSRPRLCHLI >fgenesh2_kg.5__1531__AT3G51840.1 pep chromosome:v.1.0:5:15802258:15805867:-1 gene:fgenesh2_kg.5__1531__AT3G51840.1 transcript:fgenesh2_kg.5__1531__AT3G51840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA oxidase 4 [Source:UniProtKB/TrEMBL;Acc:D7LTZ0] MTVLSSADRASTEKKVKSSYFDLPPMEMSVAFPQATPASTFPPCTSDYYHFNDLLTPEEQAIRKKVRECMEKEVAPIMTEYWEKAEFPFHITPKLGAMGVAGGSIKGYGCPGLSITANAVATAEIARVDASCSTFILVHSSLGMLTIALCGSEAQKEKYLPSLAQLNTVACWALTEPDNGSDASGLGTTATKVEGGWKIKGQKRWIGNSTFADLLIIFARNTTTNQINGFIVKKDAPGLKATKIPNKIGLRMVQNGDILLQNVFVPDEDRLPGVNSFQDTSKVLAVSRVMVAWQPIGISMGIYDMCHRYLKERKQFGAPLAAFQLNQQKLVQMLGNVQAMFLMGWRLCKLYETGQMTPGQASLGKAWITSKARETASLGRELLGGNGILADFLVAKAFCDLEPIYTYEGTYDINTLVTGREVTGLASFKPATRSRL >fgenesh2_kg.5__1532__AT3G51850.1 pep chromosome:v.1.0:5:15813994:15817406:1 gene:fgenesh2_kg.5__1532__AT3G51850.1 transcript:fgenesh2_kg.5__1532__AT3G51850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 13 [Source:UniProtKB/TrEMBL;Acc:D7LTZ1] MGNCCRSPAAVAREDVKSNYSGHDHARKDAGGGKKSAPIRVLSDVPKENIEDRYLLDRELGRGEFGVTYLCIERSSRDLLACKSISKRKLRTAVDIEDVKREVAIMKHLPKSSSIVTLKEACEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAGVTKTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGVIDFKREPWPNISETAKNLVRQMLEPDPKRRLTAKQVLEHPWIQNAKKAPNVPLGDVVKSRLKQFSVMNRFKRKALRVIAEFLSTEEVEDIKVMFNKMDTDNDGIVSIEELKAGLRDFGTQLAESEVQMLIEAVDTKGKGTLDYGEFVAVSLHLQKVANDEHLRKAFSYFDKDGNGYILPQELCDALKEDGGDDCVDVANDIFQEVDTDKDGRISYEEFAAMMKTGTDWRKASRHYSRGRFNSLSIKLMKDGSLNLGNE >fgenesh2_kg.5__1533__AT3G51860.1 pep chromosome:v.1.0:5:15822802:15826157:1 gene:fgenesh2_kg.5__1533__AT3G51860.1 transcript:fgenesh2_kg.5__1533__AT3G51860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIVEPWAIAENGNANATVKGLSRELRHGRTAHNMSSSSLRKKSDLRLIQKVPCKTLKNILSNLQEVILGTKLTLLFLAIPLAILANSYHYGRPLIFGLSLIGLTPLAERVSFLTEQLAFYTGPTVGGLLNATCGNATELIIAILALANNKVAVVKYSLLGSILSNLLLVLGTSLFFGGIANIRREQRFDRKQADVNFFLLLMGLLCHLLPLLLKYAATGEASTSLINKMSLTLSRTSSILMLIAYIAYLIFQLWTHRQFFEAQEDDDDAYDDEVTVEETPVIGFWSGFAWLVGMTILIALLSEYVVATIEDASDSWGLSVSFISIILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQISLFVVPLSVIVAWILGIKMDLNFNILETSSLALAIIITAFTLQDGTSHYMKGLVLLLCYVIIAACFFVDQIPQPNGIDVGLQPMNNLGEVYPA >fgenesh2_kg.5__1538__AT3G51890.1 pep chromosome:v.1.0:5:15836490:15838056:-1 gene:fgenesh2_kg.5__1538__AT3G51890.1 transcript:fgenesh2_kg.5__1538__AT3G51890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain [Source:UniProtKB/TrEMBL;Acc:D7LTZ7] MTHGGDGGNFTGYESRFQSQRFDSSFSNFDSQPEKESDLPGDDSSPQSNQKELPETQSPPLINTSDATNGSILPPSSAMEKEEGFALREWRRLNALRLEEKEKEEKEMVQQIIEAAEQYKAEFYSKRNVTIENNKKLNREKEKLLLENQEKFYAEADKNNWKAIAELIPREVPVIENRGNKKKTATITVIQGPKPGKPTDLSRMRQVLTKLKHNPPTHMKPKLPSPSGADPNVSEQATGTEKL >fgenesh2_kg.5__1539__AT3G51895.1 pep chromosome:v.1.0:5:15838310:15842726:-1 gene:fgenesh2_kg.5__1539__AT3G51895.1 transcript:fgenesh2_kg.5__1539__AT3G51895.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter [Source:UniProtKB/TrEMBL;Acc:D7LTZ8] MGTEEYRFPQGPEELHHRHHHTVEAPQPQPFLKSLQYSVKETLFPDDPFRQFKNQNASRKVVLGLKYFLPIFEWAPRYNLKFFKSDLIAGITIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYAVLGSSRDLAVGTVAVASLLTGALLSKEVDAEKDPKLYLHLAFTATFFAGVFEASLGIFRLGFIVDFLSHATIVGFMGGAATVVSLQQLKGIFGLKHFTDATDVISVMRSVFSQTHQWRWESGVLGCGFLFFLLSTRYFSTKKPKFFWVAAMAPLTSVILGSLLVYFTHAERHGVQVIGNLKKGLNPLSGSDLIFTSPYMSTAVKTGLITGIIALAEGIAVGRSFAMFKNYNIDGNKEMIAFGMMNIVGSFTSCYLTTGPFSRSAVNYNAGCKTAMSNIVMAIAVMFTLLFLTPLFHYTPLVVLSAIIISAMLGLIDYQAAIHLWKVDKFDFLVCMSAYVGVVFGSVEIGLIVAVAISIARLLLFVSRPRTAVKGNIPNSMIYRNTEQYPSSRTVPGILILEIDAPIYFANASYLRERIIRWIDEEEERVKQSGESSLQYIILDMSAVGNIDTSGISMMEEIKKVIDRRALKLVLANPKGEVVKKLTRSKFIGDHLGKEWMFLTVGEAVEACSYVLHTFKTEPASKNEPWNNV >fgenesh2_kg.5__1540__AT3G51910.1 pep chromosome:v.1.0:5:15861688:15863057:1 gene:fgenesh2_kg.5__1540__AT3G51910.1 transcript:fgenesh2_kg.5__1540__AT3G51910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-HSFA7A [Source:UniProtKB/TrEMBL;Acc:D7LTZ9] MNPFLPEGGDPPPPPPPQPQPMEGLHEIGPPPFLTKTFEMVDDPNTDHIVSWNRGGTSFVVWDLHSFSTILLPRHFKHSNFSSFIRQLNTYGFRKIEAERWEFANEGFLLGQRQLLKNIKRRTTFSTSSSPPSHDACNELRREKQLLMMELVSLRQQQQTTKSYVKAMEQRIEGAEKKQRQMMSFLARAMQSPSFLHQLLKQRDKRIKELEDDESAKRKRGSSSMSELEALALEMQGYGKQRNMLEEEDHHLVVERELDDGFWEELLSDESLASTSN >fgenesh2_kg.5__1542__AT3G51930.1 pep chromosome:v.1.0:5:15870683:15872088:1 gene:fgenesh2_kg.5__1542__AT3G51930.1 transcript:fgenesh2_kg.5__1542__AT3G51930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLIQEGVLMSSSSSSTTSNSNDSDDSLSPSASTKSFVLNTLRPPITGAYKPLAVLSAHVGSVSSLALCGEFLLSASQGKDIIVWQQPDLKIFAKFGQGDGSVKALVSVGSKVFTAHQDSRIRVWKVSRRNSENAFRLVDTLPTTKDYLGKFMKQSNYVQTRRNHKRLWIEHADSISCLAVHAGIIYSGSWDKTLKVWRLSDLKCLESIKAHDDAINGLVAGDGRVYSASADGKIKIWGRDKRKQIESTSSSSSHVLKATLEGRAEVSVNSVVVSGDGNWVYGGGSDGFVMGWEKREKGEDLEEWRLGFEMRGHNMAVLCMCVVGEMVCSGSADKSIGLWRRETSGILCKFGVIHGHEGPVKCLQASPNNVGAGFMLYSGGLDKSLRVWWVPKHDNLEEKKSSFKTLLMQKG >fgenesh2_kg.5__1543__AT3G51940.1 pep chromosome:v.1.0:5:15872617:15874455:-1 gene:fgenesh2_kg.5__1543__AT3G51940.1 transcript:fgenesh2_kg.5__1543__AT3G51940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase/ transition metal ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7LU03] MGKWNHRSRYHRRRSPERWYSERHSSSSSSDDGIPKWEKRFCEVIGSVPWQKVVEAKDFKSWYNGNVITWNDSACEETFHNEKKRFWSQVNGLHCDVSLPDPDLYISEVDWDTFVDPELIKDLEKAYFAPPGEVNIGFKRLRRDRNWSGCDTVPVKEARMLETPWKSREEVHDVNALGKKSNGWNLTDGSTDYPKDMGSSWEGKPSCVNEYVNDTTSGGCLTTEEWKENQWRTKDRVNDCWENSGQGNDDGWDKSGHQNKKVKGSESVPAEEDKKIDNPWEAQPSCIKETAKDTTWGGFSGKGWEDRGWNNNSWGSGGWENRDWGNQGMEMKEWRGKGFSRDIRQPKGYNPWKGGYVPDNVAFRESGVNAGGWQTCRGSERKQRNWDVKQASDGWGRQNDNAALRENGANAGNWQTRRVSEANQRNWNAKRTSDGWGRQNKERDDSYSYHSNYKNSWPRRDDHQNWKVNFSAK >fgenesh2_kg.5__1545__AT3G51950.1 pep chromosome:v.1.0:5:15883177:15886718:-1 gene:fgenesh2_kg.5__1545__AT3G51950.1 transcript:fgenesh2_kg.5__1545__AT3G51950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYEATRIVLSRIQSLDPENASKIMGLLLLQDHGEKEMIRLAFGPETLVHSVIVKAKKELGLMNCSRSPWSHQDELISPKNNRGSSLNPASLPFYANGGRSSRDLTNDFELMDDVNSRSDFLGSVHARSGSCVLDGLGYGGDSDLGFGGVPCSYFARGFCKNGASCRFVHSDGGADLVGSPSRIELLRSNSVPPRLAHHFMTRSSLPSFSPKGVNLQQNDIQRAAAALMIGDELQKLGRWRPERIDLSAMACPASRQIYLTFPADSRFREEDVSNYFSTFGPVQDVRIPYQQKRMFGFVTFVYPETVKSILAKGNPHFVCDSRVLVKPYKEKGKVPDKYRTNQTTERELSPTGLDSSPRDVLGGRGFYNNTQDVLWRSKFEEEILELQSRRLMNLQLLDVKKHFQLNSPTNIHSPNPFSQSLISPGPLSVIKREYEGGEKGKGSSKEGSDDDTMNLPERLEDSLPDSPFASPAHHLLLFADSTDNNGSDLWSPSSDNDDNSTPSTLSDSFNSFNCQMPRLPAIGMLPSRGGPTCRVGI >fgenesh2_kg.5__1547__AT3G51960.2 pep chromosome:v.1.0:5:15888124:15890839:-1 gene:fgenesh2_kg.5__1547__AT3G51960.2 transcript:fgenesh2_kg.5__1547__AT3G51960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP family transcription factor (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LU06] DFRGNQQGSNFDSLRGVFFGDLWMDEEEGKDQDRVTRGCSHTHSCNPPGPEDAFHSHTCFHAHTHLIIPDQQENDHSDSSNKKRSCGNREAVRKYREKKKARTAYLEDEVKRLQSLNEQMLRKLQSQEMMESELIRLRTLVVEMQGKIDVELCGFSFQKQCNGSGFVYKEDGCSVATSNMMCEAARVECEEGQTLHDPIHSFVPQSPPFSH >fgenesh2_kg.5__1548__AT3G51970.1 pep chromosome:v.1.0:5:15891147:15892355:1 gene:fgenesh2_kg.5__1548__AT3G51970.1 transcript:fgenesh2_kg.5__1548__AT3G51970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFIKAWGLVIISLCYTFFIAKLVPKGIKRLILIFPVVLIFFIVPFLISSIHILGITAFFIAWLANFKLVLFALGRGPLSSNPKPLSLPIFLAVSCLPIKIQPRPKPPNSHSHGGFGPLIYTIKAVFLVLLIKVYEYNNKLPEKVVLTLYAIHIYIALELILAATAAVVRAMSDLELEPQFNKPYLATSLQDFWGRRWNLMVTGILRPTVYEPLLQLFSVLGPNFSQFPAAFGTFVVSGIMHELIFFYMGRLRPDWKMMWFFLINGFCTTVEITIKKTINGRWRIPKVISRVLTLGFVMVTALWLFLPEFNRCNIIERALDEYAAISTVAAEIRRRVTASLL >fgenesh2_kg.5__1549__AT3G51980.1 pep chromosome:v.1.0:5:15892347:15894324:-1 gene:fgenesh2_kg.5__1549__AT3G51980.1 transcript:fgenesh2_kg.5__1549__AT3G51980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LU08] MPTIFFFRCMLLLVVISLVGCSIAEKVNSSGGIVWSTARDEAELVEDSGVVIGEQDQIDGGFSSLDGMLHWAIGHSDPATLKEAAKDAEKMSLDELQKRQLELKELVEKLKMPSDANLMQIAIDDLKNSSLSLEDRHRALQELLILVEPIDNANDLSKSGGLRVVAGELNHDDTEVRKLAAWVLGKASQNNPFVQEQVLELGALTTLIKMVNSSSDEEAVKALFAVSALIRNNIAGQDMFYAAHGYIMLKDVMSNGSLDIKLRRKAVFLVGDLAESQLQNTGKDELPIFKDRFFLKSVVDLIVVLDLDLQEKALTAIQTLLQLKSIEPQILKEFCGLEETLERMKLQLEESMADEYKRDYAADVESIRGEVELIFRQKLGLL >fgenesh2_kg.5__1551__AT2G05850.1 pep chromosome:v.1.0:5:15897916:15899710:1 gene:fgenesh2_kg.5__1551__AT2G05850.1 transcript:fgenesh2_kg.5__1551__AT2G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7LU11] MGKRHDWSLTACIFLLLSLALQIHCSSQTRFPSHKRGVGLSGDTSHFNSIRRENVLSLKEKDLIKQLPGQPSVSFRQYGGYVAVNEPASRFLYYYFVEAIKPNKSTPLVLWFNGGPACSSVGLGAFEELGPFRVHSDGKTLFRNPYSWNNEANMLFFEGPVTVGFSYSSTPFDAEKFGEQGDKLTAEDNYMFFVNWLERFPEYKGREIYIAGESYAGHYIPELAQIILHRNKQTFINLQGILIGNPGLDVLTEHDNENEFMLSHGLVTQKDIEESNKVCLGDSFNMEECTKIMVAKFDYTDSKVLDIYNIYALVCQNSTLSSEPKKCTTIMEVDPCRSNYVKAYLNRENVQEAMHANTTKLPYEWKSCNEDLNYLWNETDKDASMIPILHELMGKGVRVMIYSGDVDLAVPFTATVAVLKEMNLTVVKEWRPWFTGGQLGGFTEDYKGNLTYATVKGAGHMVPTDQPIHALNIFTSFIRNTPLPQTP >fgenesh2_kg.5__1553__AT3G52050.3 pep chromosome:v.1.0:5:15926922:15931460:1 gene:fgenesh2_kg.5__1553__AT3G52050.3 transcript:fgenesh2_kg.5__1553__AT3G52050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVFPLRLNALLLHDSTFSDVMTLHLYHHSRFLWRNLCFTRRIGNLCNRNSSLISPSLARSAKYYCSSTCNLDAAVSEISNDAASGNMLTSYKSEDVVAPETIKYPFKSEERVASTAASSNGRVMLIDGTSIIYRAYYKLLARLNHGHLAHADGNADWVLTIFSSLSLLIDVLKFLPSHVAVVFDHDGVPYGTTSNSSTGYRSAKGMNFRHTLYPAYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAMRSISAGFKVRVVSPDKDFFQILSPSLRLLRLTPRGSEMASFGMEDFAKKFGNLEPAQFVDIIALAGDKSDNIPGVDGIGNVHAVELISRFGSLENLLQSVDEIKEGKIKESLIASADQAILSKKLALLRSDLPDYIVPFDTKDLTFKKPEDNGEKLSSLLIAIADYAEGFSADPVIRRAYRLWEKLEAMP >fgenesh2_kg.5__1558__AT3G52060.2 pep chromosome:v.1.0:5:15933439:15935215:-1 gene:fgenesh2_kg.5__1558__AT3G52060.2 transcript:fgenesh2_kg.5__1558__AT3G52060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSTLVYSLSLFLSLSLLFFLSPHILLSSSNLISTADDLDDLSLFHRAAVSSSTNNRRLISLSPNPPPKIAFLFLTNSDLTFLPLWKSFFQGHQDLYNVYIHADPTSSVSPLLDSSSINAKFIPAKRTARASPTLISAERRLLANAILDDPNNLYFALISQHCIPLHSFSYIHNHLFSTNSDHHQSFIEILSDEPFLPKRYNARGDDAMLPEIRYQDFRVGSQFFVLAKRHALMVIKERKLWRKFKLPCLDVESCYPEEHYFPTLLSLEDPEGCSHFTLTRVNWTGSVGGHPHTYDASEVSPQLIHSLRRSNSSLDYVFARKFTPESLQPLMEIADTVIFRD >fgenesh2_kg.5__155__AT2G02480.1 pep chromosome:v.1.0:5:903296:908071:1 gene:fgenesh2_kg.5__155__AT2G02480.1 transcript:fgenesh2_kg.5__155__AT2G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRVSDLSKLHLKKELTQIRKAGRVLRDPGTTSSWKSPLDSSRSVAVFETPASRNGGSSSQFPIRGESSTNRGKEKKVFLYNWKTQKSSSEKSGLAKNGKEEEEEEEEDASSWTQASVNDDDDVSDARNGGDSKSDSYLGDIQSASMGFRCRDTNLASQGVSKMRKSNVGSCKKKSKQKISSSRLDFLSKYQPREDIVARNCNAGSDDTEEELSNSEDFRKVTGASPLLKKLKHKNWSRSSSKLLRANNRKEDSSCTYNSTPALSTSSYNMYAVRNPSTVGSWDGTTTSLNDGDDELDDNLDLPGRQGCGIPCYWTKKAMKHRGGCRSCCSPSFSDTLRRTGSSILCGSQSVYRRHNRHSSGGYIKQKIACRSAQGVLPLLTYGGDGRGGSSLGTGLSDDELSTNYGELDLEAQSRLDGRRWSTSYRSQDGLEAVALDGEGEDGSTPETIRSFSQKYRPMFFEELIGQSIVVQSLMNAVKRSRIAPVYLFQGPRGTGKTSTARIFSAALNCVATEEMKPCGYCKECNEFMSGKSKDFWELDGANKKGADKVRYLLKNLPTILPRNSSMYKVFVIDECHLLPLKTWLSFLKFLENPLQKVVFIFITTDLENVPRTIQSRCQKFLFDKLKDSDIVVRLKKIASDENLDVDLHALDLIAMNADGSLRDAETMLEQLSLLGKRITTALVNELVGVVSDEKLLELLELALSSDTAETVKRARELLDLGADPIVLMSQLASLIMDIIAGTYKVVDEKYSNAFLDGRNLTEADMEGLKHALKLLSEAEKQLRVSNDRSTWFTATLLQLGSMPSPGTTHTGSSRRQSSRATDDDPSSISREVMAYKQRIGGLHFSKLASPASVIKRNGNHSHEAKALSRVIDNNCYKSSSSSQVIESEASIASHENSVASTMMLNQRSSEKLNDIWRKCIERCHSKTLRQLLYTHGKLISISEVEGILVAYIAFGETDIKLRAERFLSSITNSIEMVLRRSVEVRIILLPETELLIVPHQTRKPEMTNKSGHLNNIAGINAESDVEVGSSEESRSKLPMQRIESIIREQRLETAWLQTADKDTPGSIIRVKPERNQILPQEDTYRQPNVASAISSSGLTTHHWVDELNNEVKLLKIGDNGELQENLTGTRGQHCPLSPSLLHDTNFGNNKDNLGGYESGSGRVGCNILFCWKTKKTQRRSKSKQVKGTPVRSRRNRKSRFSLFNGCAKPRKAEGNIRR >fgenesh2_kg.5__1560__AT3G52080.1 pep chromosome:v.1.0:5:15938103:15940916:1 gene:fgenesh2_kg.5__1560__AT3G52080.1 transcript:fgenesh2_kg.5__1560__AT3G52080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTTTKNVCGDKWYLNLDKPEEALKILGFIAIFVIRTLLHHLMKPLGQPYLTTDFAIGLILGNLPKFREAFSGPYSITLNNIIEFGMICHMFVMGLEMNPSVLLRPPTKDAFIAYTSMITTFVLAFVTTPFLHYTKTAPYIFSLALSLMASSTGSPILTRVIANLKIRKSDLGKLASAAGVHTDMISTLFYCFGFIFFPTEKPLARPLHRFFRALLMFCLFLAQVTFTSIVSPIFLNWVNNENPEGKPLKGSHLVMSLAFVVLICSFPTWPPESMYNPILSAFTAGLFLPNKGRMSKWIINKINYLLSTVFYPIFFFWVGFIIHMRNFDITDKMAWARFFALLGTVIVGKVTGTVLCGLLLGYHVPETASLGLLLTAKGHFHVYLAALAIRTNRVKNTTGAMIIFVIVLTVVYSPFVVMDIIKRARKRVPVHIMALQWLDPTTELRILIGLHGPHNIGSTLNLMEICHGGREPGSIFYATDMVELTDEIAATLKKGGGADQSNDSVTITDRSVTEMRESITAAVNGYGELRNGQGVTVRRMLALSTFVTMAQDICGLADELMVSIIILPFHKRLNPDGTLDSGHAGFRHVNRKILKNAPCSVGILVDRSFGQTEEAWRPGASMDIAIIFIGGRDDREALAFAAQVARHPAVKLKVIRFLEDKSSQNAQKRSSILNRASVVEQEEEMKLDDECFAEFYERYIAGGGRVSYMEKHLTNSSETFTALKSLDGEYGLVIVGRGGGRASSGLTTGLNDWQQCPELGPIGDVLSGSDFSHNTSMLIIQQQRTRGQLEGLHDDFTIL >fgenesh2_kg.5__1563__AT3G52110.1 pep chromosome:v.1.0:5:15947283:15949256:-1 gene:fgenesh2_kg.5__1563__AT3G52110.1 transcript:fgenesh2_kg.5__1563__AT3G52110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAHIDWKRIDSRFVEDVFYEHIRAPKWFDFLAPTHFDSIDDDAWFCKPECNHPKRPEDFFSTPTSSKHPSLRDTNETLTEQNQRRRGYALSPSTPNNQESENQNPNLATPPSYQAKSWKAAIKSSSVKKMNKEAPRLKSTQSARNLFSGRDIFGHISDFCYELKRLATRVTEREDTGKSEVKESHQVSGLINQPYSVHDLELKKERKPLLEVSKDKLPESTDVKGSTFKENRRRKKRVDDAENIPVSVNVETVKNKGEEGRRKKRMDDAENIPAPLKLETVKNKGHERFLQQIRTNPPSPQCFSENRTATLKPLRTKPTEVLKRKEDEAEEEKIRKSGEGREGRGLDVLWFLKPCTLAN >fgenesh2_kg.5__156__AT2G02510.1 pep chromosome:v.1.0:5:912894:914332:1 gene:fgenesh2_kg.5__156__AT2G02510.1 transcript:fgenesh2_kg.5__156__AT2G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLGSTGEFFRRRDEWRKHPMLSNQMRHALPGLGIGVASFCVFLVGEQIYSKLMAPSSQPSHQKQPAPSH >fgenesh2_kg.5__1571__AT3G52160.1 pep chromosome:v.1.0:5:15970492:15972041:-1 gene:fgenesh2_kg.5__1571__AT3G52160.1 transcript:fgenesh2_kg.5__1571__AT3G52160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7LU31] MEKEATKMMNGGVKSKSPKGSPDFLRYVSMRYVKLGYIYLLSLSRTFSFFIPPLLLLFIFVYRFLPILAFPLYIFFLLLIYHFLTPSSVFLLDFSCYRPPDHLKITKSDFIELAMKSGNFNETAIELQRKVLDQSGIGEESYMPRVVFKPGHRVNLRDGREEAAMVIFGAIDELLAATKINVKHIKILVLNCGVLNTTPSLSAMVINHYKLRHNTESYNLGGMGCSAGIIAIDLAKDLLNAHQGSYALVVSTEIVSFTWYSGNDVALLPPNCFFRMGAAAVMLSSRRIDRWRAKYQLMQLVRTHKGMDDTSYKSIELREDRDGKQGLYVSRDVMEVGRHALKANIATLGRLEPSFEHLCVLASSKKALDEIQKDLKLTEENMEASRRTLERFGNTSSSSIWYELAYLEHKGKMKRDDRVWQISFGSGFKCNSVVWKALKNIDSPRHNNPWNL >fgenesh2_kg.5__1572__AT3G52170.1 pep chromosome:v.1.0:5:15972315:15974935:-1 gene:fgenesh2_kg.5__1572__AT3G52170.1 transcript:fgenesh2_kg.5__1572__AT3G52170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7LU32] MHSMKTSYAGQIFALAKPHDSGGKRTRNRIPKEERKTLVESFIKKHQKLNNGSFPSLSLTHKEVGGSFYTIREIVREIIQENRVLGPGGLLLEGNGSVQDQSLSSSILMDPVPPLSFSDQSYDFSSEAEEMKSPGSGENINGSQASLDDRGSGILDCREVNGNQDIGLVHQAMDSTEISMTQLAASCSEENDIKRDVGLQNCMETVCDNVATKPLGKRIDVDNKDEGFEELPLMKSDDTNPVNNDERLNDAGAAMTEIENVKNVLGIIDMPAETVAEKFPLKSVTSTLDSPDGQPRDVDEVCEGGKGTETELEAHSSTINHVDLGEISSSTSSAVIKEKGTEVIVGQMPNHISVIMEKKVGEEIVNPASVDVECADTKETVVVNGVIGNIQETKEFSNGTLTAERKMPTSSTESGSPKNDRAKVDTVSSYAGNEVASVEKKATMEKGKLDAPDSSSSQKENNATLNRIKPESWKGESNMGRQETNPLLAALKSFLTAFVKFWSE >fgenesh2_kg.5__1574__AT3G52180.1 pep chromosome:v.1.0:5:15975170:15978872:-1 gene:fgenesh2_kg.5__1574__AT3G52180.1 transcript:fgenesh2_kg.5__1574__AT3G52180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch-excess 4 [Source:UniProtKB/TrEMBL;Acc:D7LU33] MNCLQNLPRCLVSPLLGFGCIQRDPSPSSLKMLVSPPIKANDPKARLFLLAVSESKSSSEMSGVSKEEEKSDEYSQDMTQAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPEDVDKLRKIGVKTIFCLQQDPDLEYFGVDIRSIQAYAKKHSDIQHIRCEIRDFDAFDLRMRLPAVVSTLYKAVKRNGGVTYVHCTAGMGRAPAVALTYMFWVQGYKLMEAHKLLMSKRSCFPKLDAIRNATIDILTGLKKKTVTLTLKDKGFSAVEISGLDIGWGQRIPLTLDKGTGFWTLKRELPEGQFEYKYIIDGEWTHNEAEPFIGPNKDGHTNNYTKVVGDPTSVDGATRERLSSEDPELLEEERSKLIQFLETCSEAEI >fgenesh2_kg.5__1575__AT3G52190.1 pep chromosome:v.1.0:5:15979371:15982476:-1 gene:fgenesh2_kg.5__1575__AT3G52190.1 transcript:fgenesh2_kg.5__1575__AT3G52190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEASRESGHVVCGSWIRRPKKVNWVLIAKASKRRGSSVSSPALLNIFSFDPVTASLSSSPLATHTLKESDGDPVTVSVHPAGDYFVCSTSKGGCKSFEIVGGATGITILAKELLPLQNAGLQKCMAFSFDGSKLAAGGLDGCLRIMEWPNLSVILDEPKAHKSIRDMDFSLDSEFLATTSTDGSARIWKAEDGFPLSTLERSGDENIELCRFSKDGTKPFLFCAAQRGDTPLVNVYDISTWKKLGFKKLSRKTASTMAVSLDGKYIALGGKDGDVSVAEVKTMEIYHYSKRLHLGQSIASLEFCPSERVMLTTSSEWGEMVTKLSVPKEWREWQIYALLFCLFMASVIAAYVFFENSDSFWQLPMGKVQKRPKISLFGGSSTPSEDHSRWNLDL >fgenesh2_kg.5__1576__AT3G52200.1 pep chromosome:v.1.0:5:15986791:15993060:1 gene:fgenesh2_kg.5__1576__AT3G52200.1 transcript:fgenesh2_kg.5__1576__AT3G52200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:D7LU35] MVLPLFRRAAIARTSSLLRARLFAPASGFHSRFSDGLYHLDDKIRSSNGVRPASIDMITRMDDSPPKPILRFGVQNFSSTGPISQTVLAMPALSPTMSHGNVVKWMKKEGDKVEVGDVLCEIETDKATVEFESQEEGFLAKILVTEGSKDIPVNEPIAIMVEEEDDIQNVPATIEGGRVGKEETSAQQEMKPDESTQQKGSIQPDTSDLPPHVVLEMPALSPTMNQGNIAKWWKKEGDKIEVGDVIGEIETDKATLEFESLEEGYLAKILIPEGSKDVAVGKPIALIVEDAESIEVIKSSSAGSSEVETVKEVPHSVVDKPTGRKAGFTKISPAAKLLILGHGLEASSIEASGPYGTLLKSDVAAAIASGKVSKTSVSTKKKQPSKETPSKSSSTSKSSVTQSDNYEDFPNSQIRKIIAKRLLESKQKTPHLYLQSDVVLDPLLAFRKELQENHGVKVSVNDIVIKAVAVALRNVRQANAYWDAEKGDIVMCDSVDISIAVATEKGLMTPIIKNADQKSISAISLEVKELAQKARSGKLAPHEFQGGTFSISNLGMYPVDHFCAIINPPQAGILAVGRGNKVVEPVIGLDGIEKPSVVTKMNVTLSADHRIFDGQVGASFMSELRSNFEDVRRLLL >fgenesh2_kg.5__1579__AT3G52210.3 pep chromosome:v.1.0:5:15993405:15996261:1 gene:fgenesh2_kg.5__1579__AT3G52210.3 transcript:fgenesh2_kg.5__1579__AT3G52210.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA capping enzyme family protein [Source:UniProtKB/TrEMBL;Acc:D7LU36] MSGFAVSKPEQSLYRLFDFAKTAIINIFAHPYTTVCELYCGGAPDTDKWEAAPIGHYIGIVDTSSGISSVREAWESQRKNYDVEFFEADPSKDDLEIQLQKKLGQADLVSCWRHLQLCFETEESARRLLTNVACLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRSGAKPNVFPNYIRSESYMITFELEEEKFPLFGKRYQLKFSGDSASEDHCLVHFPSLIRLAREAGLEYVEIQSLTDFYDDNRAQFASLLMNAGPNFVDPRGKLLPRAFDLLGLYATFIFQKPDPDLEPPLTTPIPFESSNNHDERELPVITVITDASAPAEDPSQGLGKISEQKGILGPGPADLRFSEAI >fgenesh2_kg.5__1585__AT3G52280.1 pep chromosome:v.1.0:5:16016233:16018393:-1 gene:fgenesh2_kg.5__1585__AT3G52280.1 transcript:fgenesh2_kg.5__1585__AT3G52280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVPELGHVAGGGLQVFSVDAECIKQRVDEVLQWVDSLEHKLKEVEEFYSSIGVSNSGSIGKDTDKGRHVVGIRKIQQEAARREAVAAKRMQDLMRQFGTIFRQITQHKCAWPFMHPVNVEGLGLHDYFEVIDKPMDFSTIKNQMEAKDGTGYKHVLQVYADMRLVFENAMNYNEETSDVYSMAKKLLEKFEEKWAHFLPKVQEEEKIREEEEKQAATEALLAKEASHIKTTRDLGNEICHANDELEKLMRKVVERCRKITIEEKRNIGLALLKLSPDDLQKVLGIVAQADPSFQPRAEEVSIEMDILDEPTLWRLKFFVKDALDNAMKKKKEEETKTGALNGTQKKEVSNKRNATNKLAERKTKRSRV >fgenesh2_kg.5__1586__AT3G52290.1 pep chromosome:v.1.0:5:16027191:16029713:1 gene:fgenesh2_kg.5__1586__AT3G52290.1 transcript:fgenesh2_kg.5__1586__AT3G52290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSWFSAVKKALSPEPKQKKDQKPHKAKKWFGKSKKLDVTNSGEADSPRTVKDAKLKEIEEQQSRHAYSVAIATAAAAEAAVAAAQAAAEVVRLSALSRFPGKSKEEIAAIKIQTAFRGYMARRALHALRGLVRLKSLVQGKCVRRQATSTLQSMQTLARVQSQIRERRHRLSEDKQALTRQLQQKHNKDFDKTGENWNDSTLSREKVEANMLNKQVATMRRERALAYAFTHQNTWKNSSKMGSQTFMDPNNPHWGWSWLERWMAARPNENQSVILTPDNADKESSSRAMSEMVPRGKNLSARGKTPNSRRGSSPRVRQVPSEDSNSMLSIQSEQPCNRRHSTCGSIPSTRDDESFTSSFSQSVPGYMAPTQAAKARARFSNLSPLSSEKTAKKRLCFSGSPKTVRRFSGPPKLESNLTKKDTNLA >fgenesh2_kg.5__1588__AT3G52300.1 pep chromosome:v.1.0:5:16029892:16031635:1 gene:fgenesh2_kg.5__1588__AT3G52300.1 transcript:fgenesh2_kg.5__1588__AT3G52300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit d, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7LU48] MSGAGKKIADVAFKASRTIDWDGMAKVLVTDEARREFSNLRRAFDEVNTQLQTKFSQEPEPIDWDYYRKGIGAGIVDKYKEAYDSIEIPKYVDKVTPEYKPKFDALLVELKEAEAKSLQESERLEKEIADVQEISKKLSTMTADEYFEKHPELKKKFDDEIRNDNWGY >fgenesh2_kg.5__1589__AT3G52310.1 pep chromosome:v.1.0:5:16033564:16037224:1 gene:fgenesh2_kg.5__1589__AT3G52310.1 transcript:fgenesh2_kg.5__1589__AT3G52310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7LU49] MGTSSSSSGLVKAKSETLAEALKSTSLDFSNDDGSSHGNKQHVRAKTLSSPSHSGSHKNRRNTHIRKAKSAHPALDLGSLTGGAALSRASSASLGLSFSFTGFTVPHEEIIASEQCSNDDILEDIEAATSTVVKFQAEPTFPIYLKFIDITYKVTTKGMTSSSEKSILNGISGSAYPGELLALMGPSGSGKTTLLNALGGRFNQQNIRGFVSYNDKPYSKHLKTRIGFVTQDDVLFPHLTVKETLTYTALLRLPKTLTKQEKEQRAVSVIQELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIMTNPSLLLLDEPTSSLDSTTALKIVQMLQSIAKAGKTIVTTIHQPSSRLFHRFDKLVVLSRGSLLYFGKASEAMSYFSSIGCSPLLAMNPAEFLLDLANGNMNDISIPSTLKEKMKMGNSCSEMGSSKPKLYVINANCDVDAETQYLEEAYKTQIAIMEKMKLMTPVPLDEEVKLMITCPKREWGLSWWEQYCLLSLRGIKERRHDYFSWLRVTQVLSTAIILGLLWWQSDIQHPKGLQDQVGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERESNMYRLSAYFVARTTSDLPLDLILPVLFLVVVYFMAGLRLRAESFFLSVLTVFLCIVAAQGLGLAIGASLMDLKKATTLASVTVMTFMLAGGYFVKKVPFFIAWIRFMSFNYHTYKLLVKVQYEEIMQNVNGEEIESGLKEVSALVAMIIGYRLVAYISLRRMKLHSSTYV >fgenesh2_kg.5__158__AT2G02540.1 pep chromosome:v.1.0:5:933438:935021:1 gene:fgenesh2_kg.5__158__AT2G02540.1 transcript:fgenesh2_kg.5__158__AT2G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIASQDDPIPINTSYGNSGGGHGNMIHHPHHHHANSAPSSLNMTTSNPLLVSSNGNGLGKNHEHSHHHHVGYNIMVSNNNIKKEKPVVIKYKECLKNHAATMGGNAIDGCGEFMPSGEEGSIEALTCSACNCHRNFHRREIEGEQKTFFSPYLNHHQLPPPQRKLMFHHKMIKSPLPQQMIMPVGVTTAGSNSESEDLMEEDAGGSLTFRQPPPPPPSYSYGHNQKKRFRTKFTQEQKEKMMSFAERVGWKIQRQEESVVQQLCQEIGIRRRVLKVWMHNNKHNLSKKSNNNNVNNNVELSAGNNNATTENFASINP >fgenesh2_kg.5__1591__AT3G52340.3 pep chromosome:v.1.0:5:16042026:16044304:1 gene:fgenesh2_kg.5__1591__AT3G52340.3 transcript:fgenesh2_kg.5__1591__AT3G52340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERLISHPPLMIVSDLDHTMVDHQDHENLSLLRFNSLWEYAYRRDSLLVFSTARSPILYKELRKEKPLLTPDITITSIGTEIAFGNSMVADHAWVESLNTDKWNREIVLEETSKFPELTLQPKTEQRLHKVSFYIDEGKGEALTKELSQLLEKRGLDVKIIYSWGKNVDVIPRGAGKGEALEYLLKKLQAEGIFPVNTLACGDSEHDAELFSIPDVHGVMVSNSQEELLKWRSENALNNLKVIHSTERCADGIIQAIGYFNLGPNLSPRDVSEFLDRKTDNANPGHEVVRFYLFYEKLRRGEIKNYETYIASFKESCLHAAVHFHPSGAEKSLSDTIDELKKCYGDKRGKKFWVWVDQILITDTIPGKWIVKFDKWEQCEDERQYCKTTVEFTSKGGDLVWEKVKQIWSEEPEVKDDDNSSWIL >fgenesh2_kg.5__1594__AT3G52350.1 pep chromosome:v.1.0:5:16044776:16045330:-1 gene:fgenesh2_kg.5__1594__AT3G52350.1 transcript:fgenesh2_kg.5__1594__AT3G52350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LU54] MQGTKSLACTQRETSVVQLRKRQGWKESTGLGIIKQGLGAETNIQRRKKTDKSQATTSPNSEKVSSVIGDSSESSNSLAAINSSNIGFQLLKKHGWKEGTGLGIAEQGILEPLQAEPKHNKQGLGSEKPAKRKAAQTQDTSSEEVSKKSKKLSKKLRKMIEHEKRLAENELERAFRREFWPDNI >fgenesh2_kg.5__1596__AT3G52370.1 pep chromosome:v.1.0:5:16056434:16058592:1 gene:fgenesh2_kg.5__1596__AT3G52370.1 transcript:fgenesh2_kg.5__1596__AT3G52370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-Ig-H3 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LU56] MDDLSKLLFFLLLTTITTALSDKPGSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEEAVGQHNITIFAPRNDALEKNLDPEFKSFLLQPKNLKSLQSLLMFHILPKRITSPQFSSAVVSHRTLSNDHLHFTNGKVNSAEITKPDDLTRPDGIIHGIERLLIPRSVQEDFNRRRSLRSIAAVLPEGAPEVDPRTHRLKKKPAPIPAGAPPVLPVYDAMSPGPSLAPAPAPGPGGPRHHFNGEAQVKDFIHTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIVYYHIIPEYQTEESMYNSVRRFGKVRYDSLRFPHKVEAQEADGSVKFGHGDGSAYLFDPDIYTDGRISVQGIDGVLFPEEKNPVEKKTAAPVVKKAAKPRRGKLMEVACTMLGSQFSTCQ >fgenesh2_kg.5__1599__AT3G52390.2 pep chromosome:v.1.0:5:16064958:16067119:-1 gene:fgenesh2_kg.5__1599__AT3G52390.2 transcript:fgenesh2_kg.5__1599__AT3G52390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKMIGKPKFILCNLSDVFLLMLVEAFPQTLYGMFKGLYHGKKCHVPDIATVLNRAWSAGVDRIIVTGGSLEESREALAIAETDGRLFCTVGVHPTRCNEFEETGDPEKHYQALFSLAKEGMQKGKVVAIGECGLDYDRLQFCPVDIQKKYFEKQFELAYATKLPMFLHMRAAAEDFCEIVERNKNRFPGGVAHSFTGSALDRDKLLSFDKMYLGVNGCSLKTAENLDVMKGIPIERMMIETDSPYCDIKNTHAGIKFVKSTWPSKKKEKYDQESLVKGRNEPCLVRQVLEVVAGYKGLGDINQVSSTLYHNTCRHSQKMTFKEEIQELVFFPQDLDSAADALLSGHHETD >fgenesh2_kg.5__15__AT2G01170.1 pep chromosome:v.1.0:5:113275:115627:-1 gene:fgenesh2_kg.5__15__AT2G01170.1 transcript:fgenesh2_kg.5__15__AT2G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDQSFYVPAMDSGQVRLKELGYKQELKRDLSVFSNFAISFSIISVLTGITTTYNTGLRFGGTVTLVYGWFLAGSFTMCVGLSMAEICSSYPTSGGLYYWSAMLAGPRWAPLASWMTGWFNIVGQWAVTASVDFSLAQLIQVIVLLSTGGRNGGGYKGSDFVVIGIHGGILFIHALLNSLPISVLSFIGQLAALWNLLGVLVLMILIPLVSTERATTKFVFTNFNTDNGLGITSYAYIFVLGLLMSQYTITGYDASAHMTEETVDADKNGPRGIISAIGISILFGWGYILGISYAVTDIPSLLSETNNSGGYAIAEIFYLAFKNRFGSGTGGIVCLGIVAVAVFFCGMSSVTSNSRMAYAFSRDGAMPMSPLWHKVNSREVPINAVWLSALISFCMALTSLGSIVAFQAMVSIATIGLYIAYAIPIILRVTLARNTFVPGPFSLGKYGMVVGWVAVMWVVTISVLFSLPVAYPITAETLNYTPVAVAGLVAITLSYWLFSARHWFTGPVSNILS >fgenesh2_kg.5__1600__AT3G52400.1 pep chromosome:v.1.0:5:16067325:16068829:-1 gene:fgenesh2_kg.5__1600__AT3G52400.1 transcript:fgenesh2_kg.5__1600__AT3G52400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP122 [Source:UniProtKB/TrEMBL;Acc:D7LU60] MNDLLSRSFNRSVADDSSPPHSHNIEMPKAKFSGGSCKGGNNLDKFYLDVEVVNEDLKELDRLRRNLQSSHEQSKTLHNAMAVKELMEKMETDVTAALKTARRVQGNLEALDRANEVNRSLPESGPGSSSDRQRISVVNGLRKKLKDDMENFNRVRETITEEYKETIGRRYFTVTGEYPDEATVDRLISTGESETFLQKAIQEQGRGRILDTINEIQERHDAVKDIEKSLNELHQVFLDMAVLVEHQGAQLDDIEGNVKRANSLVRSGADRLVKARFYQKNTRKWTCFAILLLLIIVVLVVLFTVKPWESNGGGGGGSPRQATPVQAQSPPPPTVNRRLLR >fgenesh2_kg.5__1601__AT3G52420.1 pep chromosome:v.1.0:5:16070964:16071240:1 gene:fgenesh2_kg.5__1601__AT3G52420.1 transcript:fgenesh2_kg.5__1601__AT3G52420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSGAKQATVVVAALALGWLAIEIAFKPFLDTFRSSIDKSDPSKDPDDFDTAAKATTSKESL >fgenesh2_kg.5__1604__AT3G52450.1 pep chromosome:v.1.0:5:16082420:16084081:-1 gene:fgenesh2_kg.5__1604__AT3G52450.1 transcript:fgenesh2_kg.5__1604__AT3G52450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7LU64] MDQEIEIPSFFVCPISLDIMKDPVIVSTGITYDRESIEKWLFSGKKNSCPVTKQVITETDLTPNHTLRRLIQSWCTLNASYGIERIPTPKPPICKSEIEKLIKDSSTSHLNQVKCLKRLRQIVSENTTNKRCLEAAEVPEFLANIVSNSVDTYSSPSSSLSSSNYNDMCQSNMLENRFDSSRSLMDEALSVLYHLDTSETALKSLLNNKKGTNLVKTLTKIMQRGIYESRAYAALLLKKLLEVADPMQIILLERELFAEVVQILHDQISHKATRSAMQILVITCPWGRNRHKAVEAGAISMIIELLMDDTFSSERRNSEMAMVVLDMLCQCAEGRAEFLNHGAAIAVVSKKILRVSQITSERAVRVLLLVGRFCATPSLLQEMLQLGVVAKLCLVLQVSCGNKTKEKAKELLKLHARVWRESPCVPRNLYDSYPA >fgenesh2_kg.5__1606__AT3G52470.1 pep chromosome:v.1.0:5:16096250:16097188:1 gene:fgenesh2_kg.5__1606__AT3G52470.1 transcript:fgenesh2_kg.5__1606__AT3G52470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDCGNHGGGKEAAVRRLCGAIIAFIIIVLITIFLVWVILRPTKPRFVLQDATVFAFNLSQPNLLTSNFQITIASRNPNSKIGIYYDRLHVYATYRNQQITLRTAIPPTYQGHKEDNVWSPFVYGTAVPIAPYNSVALGDEQGRGFVGLMIRADGRVRWKVGTLITGKYHIHVRCPAYINLGNKAAGVLVGDNAVKYTLVTKCSVNV >fgenesh2_kg.5__1607__AT3G52480.1 pep chromosome:v.1.0:5:16110935:16111652:-1 gene:fgenesh2_kg.5__1607__AT3G52480.1 transcript:fgenesh2_kg.5__1607__AT3G52480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSQTAIVLVAVFAFCLVAVTAQLAYVLWWKRRFRRRSIAGSELDAFSSRGGDPTATPPPSKELLYFFLFCLENKQFRIGSATAPPLPAAAPPVSDVASKWSINGENLLCGPSETLFTIAEDYTSESDHRTGEIDPRGSISTEDNVKDDEVKEEIVATDISDDEVDFRTTPFSTPCASPPFYTPSPSPIRDDLSRTVGEERLYR >fgenesh2_kg.5__1609__AT3G52500.1 pep chromosome:v.1.0:5:16130046:16131863:-1 gene:fgenesh2_kg.5__1609__AT3G52500.1 transcript:fgenesh2_kg.5__1609__AT3G52500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7LU75] MASSFFSFFLIFLSVVSAVKLPLSPFSHSDQSPKDPYLSLRRLAESSIARAHKLKHGTSIKPDEEALSSTATASATVVKSHLSPKSYGGYSVSLSFGTPSQTIPFVFDTGSSLVWFPCTSRYLCSDCNFSGLDPTQIPRFIPKNSSSSRVIGCQNPKCQFLFGANVQCRGCDPNTRNCTVPCPPYILQYGLGSTAGILISEKLDFPDLTVPDFVVGCSVISTRTPAGIAGFGRGPESLPSQMKLKSFSHCLVSRRFDDTNVTTDLGLDTGSGHKSGSKTPGLSYTPFRKNPNVSNTAFLEYYYLNLRRIYVGSKHVKIPYKFLAPGTNGNGGSIVDSGSTFTFMERPVFELVAEEFATQMSNYTREKDLEKVSGIAPCFNISGKGDVTVPELIFEFKGGAKMELPLSNYFSFVGNADTVCLTVVSDNTVNPGGGTGPAIILGSFQQQNYLVEYDLENDRFGFAKKKCSP >fgenesh2_kg.5__160__AT2G02560.1 pep chromosome:v.1.0:5:941108:948947:1 gene:fgenesh2_kg.5__160__AT2G02560.1 transcript:fgenesh2_kg.5__160__AT2G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin-associated and neddylation dissociated, hemivenata [Source:UniProtKB/TrEMBL;Acc:D7LQ47] MANLQVSGIIEKVQMTGKDKDYRYMATSDLLNELNKDSFKLDTDLEMRLSSIILQQLDDVAGDVSGLAVKCLAPLVKKVGEERIVEMTNKLCDKLLHGKDQHRDTASIALRTVVAQVTPSLAPSILVTLTPQMIGGISGQGMSSGIKCECLEIMCDVVQKYGSLMTDDHEKLLNTLLLQLGCNQATVRKKTVTCIGSLASSLSDDLLAKATVEVVKNLSNRNAKSEITRTNIQMIGALCRAVGYRFGTHLGNTVPVLINYCTSASENDEELREYSLQALESFLLRCPRDISPYCDEILNLTLEYISYDPNFTDNMEEDTDNETLEDEEDDESANEYTDDEDASWKVRRAAAKCLAGLIVSRSEMLAKVYQEACPKLIDRFKEREENVKMDVFNTFIDLLRQTGNVTKGQTDTDESSPKWLLKQEVSKIVKSINRQLREKSVKTKVGAFSVLRELVVVLPDCLADHIGSLVPGIERALNDKSSTSNLKIEALVFTKLVLASHAPPVFHPYIKALSSPVLAAVGERYYKVTAEALRVCGELVRVVRPSTAGMGFDFKPFVHPIYNAIMSRLTNQDQDQEVKECAITCMGLVISTFGDHLRAELPSCLPVLVDRMGNEITRLTAVKAFAVIATSPLHIDLSCVLDHLIAELTGFLRKANRVLRQATLITMNTLVTAYGDKIGSDAYEVILVELSSLISVSDLHMTALALELCCTLMTGKSCSENISLAVRNKVLPQALTLVKSPLLQGQALLDLQKFFEALVYHANTSFYTLLESLLSCAKPSPQSGGVPKQALYSIAQCVAVLCLAAGDKNCSSTVKMLMEILKDDSGTNSAKQHLALLSLGEIGRRKDLSAHAGIETIVIESFQSPFEEIKSAASYALGNIAVGNLSNYLPFILNQIDNQQKKQYILLHSLKEVIVRQSVDKADFQNSSVEKILALLFNHCESEEEGVRNVVAECLGKMALIEPEKLVPALQVRTTSPAAFTRATVVTAVKYSVVERPEKLDEIIFPQISSFLMLIKDGDRHVRRAAVSALSTFAHYKPNLIKGLLPELLPLLYDQTVIKKELIRTVDLGPFKHVVDDGLELRKAAFECVFTLLDSCLDQVNPSSFIVPFLKSGLEDHYDLKMLCHLILSLLADKSPSAVLAVLDSLVEPLHKTISFKPKQDAVKQEHDRNEDMIRSALRAISSLDRINGVDYSHKFKGLMGDMKRSVPLWEKFQTIRNE >fgenesh2_kg.5__1610__AT3G52520.1 pep chromosome:v.1.0:5:16139330:16139725:1 gene:fgenesh2_kg.5__1610__AT3G52520.1 transcript:fgenesh2_kg.5__1610__AT3G52520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAENLMNLSLLQRRNGAVSQTLDLELVSVNKSSDDPLPCYTSLKDILPSPSTTVDSPAIPTILIRNRLVKQAACSYLQPSTPTPSSNPSFLRRVSSSFLRLFSAFFDALLRIFPSPQKIFKA >fgenesh2_kg.5__1615__AT3G52561.1 pep chromosome:v.1.0:5:16174193:16174658:-1 gene:fgenesh2_kg.5__1615__AT3G52561.1 transcript:fgenesh2_kg.5__1615__AT3G52561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKNGKRVRVEPSTRTVASVVANGRFEGRSPSSDTLSSQNSCLSTTEDVKEEIASSWVDEEEAPDMVVVGCRRCLMYALVLQERKRCPKCKCTDLIFF >fgenesh2_kg.5__1618__AT3G52570.1 pep chromosome:v.1.0:5:16179409:16181369:1 gene:fgenesh2_kg.5__1618__AT3G52570.1 transcript:fgenesh2_kg.5__1618__AT3G52570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLKNRFESRFLIRFLQSPSLFVSCFTTSRSLQTLAYEEVRSSGDRKSESTALILHGLLGSGRNWRSFSRSLASSLSVSSASHWKMILVDLRNHGRSAEVEGLNPPHDLVNSAKDLADLVKASGWKWPDVVIGHSLGGKVALQFMESCARGDFGDTASPPKQLWVLDSVPGEVKAEKSDGEVEKVLKTLQSLPSPIPSRKWLVDHMVELGFSRSLSEWIGSNLKRSGDSEIWAFNLDGAVQMFNSYRETSYWSLLENPSKETEINFVIAEKSDRWDNDTTKRLEKIANQRQHVSEGKVATHLLRNSGHWVHTDNPKGLLEIVSPNFFSPHE >fgenesh2_kg.5__1623__AT3G52620.1 pep chromosome:v.1.0:5:16191110:16191640:1 gene:fgenesh2_kg.5__1623__AT3G52620.1 transcript:fgenesh2_kg.5__1623__AT3G52620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTTTFPEGDAEAELNPGQNKNFEVDPVSSEIAAEKVQKNVEKRENAKAKRDAAQTLKKTIIISAVIVAVAGAAFAITKKLKENK >fgenesh2_kg.5__1624__AT3G52630.2 pep chromosome:v.1.0:5:16191981:16192798:1 gene:fgenesh2_kg.5__1624__AT3G52630.2 transcript:fgenesh2_kg.5__1624__AT3G52630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSPSVFVNGALMRRYVGQKVRTVIQVIGSDIGSVVGKSTDDLQIVVRGSSPTPPLTTYLEVIGIAESDNAIRAETWTNFGNSFGTHNYNELCKLANGEFKHLFI >fgenesh2_kg.5__1626__AT3G52640.1 pep chromosome:v.1.0:5:16192970:16198669:-1 gene:fgenesh2_kg.5__1626__AT3G52640.1 transcript:fgenesh2_kg.5__1626__AT3G52640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLLRLVAIALALVLVSILPLHVSLASEIASIESVPDLQKLMYVAVDGYPCVRLLNLSGEIGCSNPGISKVVAPIIKLKDVKDLVQPHTILVTADEMEDFFTRHLVITEFVFTSTLVFKANHFSFLLLHESETTDCNEYTLFLNSAIHVVTWLLVHLEWIRVSSDLSFASKIGGVLVQSGSNFQQKLKGFSPDKKFPQAQFSPYENVEYKWNSAASSIMWKNYNFPVYLLSESGISAVQEILSKKKMKHGTYTSDVAEFNMVMETTKAGTHNSEACLQEGTCLPLGGYSVWSSLPPISVSSSNNRKPVVLTVASMDSASFFRDKSFGADSPISGLVALLGAVDALSRVDGLSNLKKQLVFLVLTGETWGYLGSRRFLHELDLHSDAVAGLSDTSIETVLEIGSVGKGLSGGINTFFAHKTRVSSVTNMTLDALKIAQDSLASKNIKILSADTANPGIPPSSLMAFMRKNPQTSAVVLEDFDTKFVNKFYHSHLDDLSNINSSSVVAAASVVARTLYILASDNKDTSNSALGSIHVNASFIEELLTCLLACEPGLSCNLVKDYISPTNTCPGNYAGVILGEPSSKPYLGYVGDVSRFLWNFLADKTSVQKGNTTSVCSKGVCSKTDEVCIKAESNKEGTCVVSTTRYVPAYSTRLKYNDGAWTILPQNSSDSMGMVDPVWTESNWDTIRVHVYTVQHAAYDNAVLVAGITVTTLAYIGILAAKSIITKALKQD >fgenesh2_kg.5__1629__AT3G52660.1 pep chromosome:v.1.0:5:16199422:16202566:1 gene:fgenesh2_kg.5__1629__AT3G52660.1 transcript:fgenesh2_kg.5__1629__AT3G52660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LU96] MSRTRTAASEAHDSMESEERVDLDGDNDPEEILEEEVEYEEVEEEEEIEEIEEEIEEEVEVEEEEEDAVATEEEEEKKRHVELLALPSHGSEVYLGGIPTDATEGDLKGFCQSIGEVTEVRIMREKESGDGKGYAFVTFRNKDLASKAIDTLNSTEFRGKRIKCSTTQAKHRLFLGNVPRNWTESDIKKAANRIGPGVQIVELPKEPQNMGRNRGFAFIEYHNHACAEYSKQKMSNPSFKLDDNAPTVSWAESRSGGGGDSSASQVKALYIKNLPRDITQERLKALFEHHGKILKVVIPPAKPGKEDSRYGFVHYAERTSVMRALKNTERYEIDGHMLDCTLAKPQADQKANANTVQNVQKSQLQPNYPPLLSYGMAPSPFGALGGFGASAYSQPLMHAGGHAAGGMSMMPIMLPDGRIGYVLQQPGLAAMPQPPPRPSPPYRGGSGSSSSSSSKRSSDNGRGRSRYNPY >fgenesh2_kg.5__1633__AT3G52720.1 pep chromosome:v.1.0:5:16243646:16249179:-1 gene:fgenesh2_kg.5__1633__AT3G52720.1 transcript:fgenesh2_kg.5__1633__AT3G52720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase family protein [Source:UniProtKB/TrEMBL;Acc:D7LUA2] MKMVMMIKLCFLAIALLCIAPANAQTQGVVFGYKGKNGPNQWGHLNPHFTKCAVGKLQSPIDIQRRQIFYNRRLESLHRDYYFTNATLVNHVCNVAMFFGEGAGDVIIDNKNYTLLQMHWHTPSEHHLHGVQYAAELHMVHQAKDGSFAVVASLFKIGTEEPFLSQMKDKLVKLKEERFKGSQTAQVEVGKIDTRHIERKTRKYFRYVGSLTTPPCSENVSWTILGKVRSMSKEQVELLRSPLDKSFKNNSRPCQPLNGRRVEMFHDRVDKKDTGNKKKRPN >fgenesh2_kg.5__1637__AT3G52740.1 pep chromosome:v.1.0:5:16255412:16255946:-1 gene:fgenesh2_kg.5__1637__AT3G52740.1 transcript:fgenesh2_kg.5__1637__AT3G52740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNIDDTTSPMAHPIGPSQPLSDQTKQDPPSLPSEAASYVSDKKDLALPEEKPKQNEEERVDTGRERLKKHRREIAGRVWIPEIWGQEELLKDWIDCSTFDTCLVPAGISSARAALVEEARRAASASGGLHSHCLILR >fgenesh2_kg.5__1638__AT3G52750.1 pep chromosome:v.1.0:5:16258704:16262015:-1 gene:fgenesh2_kg.5__1638__AT3G52750.1 transcript:fgenesh2_kg.5__1638__AT3G52750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ftsz2-2 [Source:UniProtKB/TrEMBL;Acc:D7LUA6] MAAYVSPCLTPPDSRVLTVLRKSVLPENHLGTRVGCLRMSDGTKRYRVVAAHKSESSSIRNSLNSHSPSHFQSQDSFLNLHPEISMLNPRKETSSVPITEDLDELSTPNTYNEARIKVIGVGGGGSNAVNRMIESEMIGVEFWIVNTDIQAMRMSPVFPDNRLQIGKELTRGLGAGGNPEIGMNAATESKEAIQEALYGSDMVFVTAGMGGGTGTGGAPIIAGVAKAMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRDNVDTLIVIPNDKLLAAVSQSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPTANLIFGAVVDPSYSGQISITLIATGFKRQEEGEGRPLQATQADASVGATRRPSPSFTEGSSIEIPEFLKKKGRSRYPRL >fgenesh2_kg.5__1639__AT3G52760.1 pep chromosome:v.1.0:5:16262940:16264027:-1 gene:fgenesh2_kg.5__1639__AT3G52760.1 transcript:fgenesh2_kg.5__1639__AT3G52760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:D7LUA7] MTKDFPIPPVVFPPSGSSPATANVQQRRVPTAPYQPPRSSPGSFSIPFMPSEIGSSVATSMPAGPFSGTISSSSSFGRGVSASFEDEEPLLDELGIHPDQIWKKTRSILNPFRINQTVHKDSDLSGPIFLYLALCLFQLLAGKIQFGVILGWVVVSSIFLYIVFNMLAGRNGNLNLHTCTSLVGYSLLPVVILSAVSLFLPQGAGPVRFVLGAAFVLWATRACSNLVVSLADGGEEHRGLISYACFLIYTLFSLLVIF >fgenesh2_kg.5__1643__AT3G52790.1 pep chromosome:v.1.0:5:16289352:16289709:-1 gene:fgenesh2_kg.5__1643__AT3G52790.1 transcript:fgenesh2_kg.5__1643__AT3G52790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding LysM domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LUB2] MGKSSSMAVAEKISWYCAVFAAMMLLMNSFQVAEEGSTEIVEQQQPSMMITGYSDRRPACDEIYEVKEGETLQTISEKCGDPYIVEGNPHIHDHDDLFPGLLIRITPSF >fgenesh2_kg.5__1644__AT3G52800.1 pep chromosome:v.1.0:5:16294599:16295994:1 gene:fgenesh2_kg.5__1644__AT3G52800.1 transcript:fgenesh2_kg.5__1644__AT3G52800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHRCQTPESNRLCVNNCGFLGSSATMNLCSNCYGDLCLKQQQQSSIKSTVESSLSVSPPSSSSEIASISSPIIPPLLKTPSVKLEVPEKKPVNSPPEQNQQQRPNRCTTCRKRVGLTGFKCRCGTMYCGVHRYPEIHGCSYDFKSAGREEIAKANPLVKAAKLQKI >fgenesh2_kg.5__1645__AT3G52810.1 pep chromosome:v.1.0:5:16296320:16299186:-1 gene:fgenesh2_kg.5__1645__AT3G52810.1 transcript:fgenesh2_kg.5__1645__AT3G52810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LUB4] MKMMKIFGLLISFSLLLLSPFVCQANYDSNFTRPPPRPLFIVSHGRPKFHPQQVHISLAGKDHMRVTYTTDDMHVASMVEYGKHPKKYDKKTAGESTSYRYFFYNSGKIHHVKIGPLQPNTKYYYRCGGHGDEFSFKTPPSKFPIEFAVAGDLGQTDWTLSTLDQMMKRDFDVFLLPGDLSYADTHQPLWDSFGRLLETLASTRPWMVTEGNHEIESFPINDQISFTSYNARWLMPHAESLSHSNLYYSFDVAGVHTVMLGSYTPYDSHSDQYQWLQADLRKVDRKKTPWLVVVMHMPWYSTNKAHYGEGEKMRNALESLLYRAQVDVVFAGHVHTYERFKPIYNKKADPCGPMYITIGDGGNREGLALRFKKPQSPLSVFRESSFGHGRLRIIDHKRAHWSWHRNNDAMSFIADEVSFESPRASSHCRSNRFRGEI >fgenesh2_kg.5__1646__AT3G52820.1 pep chromosome:v.1.0:5:16300177:16303002:-1 gene:fgenesh2_kg.5__1646__AT3G52820.1 transcript:fgenesh2_kg.5__1646__AT3G52820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LUB5] MKLLGLFFLSFTLLFLCPFISQADVPERSREPPRPIVFVHNDRSKFDPQQVHVSLAGKDHMRVTFITEDNKVESVVEYGKQPGKYDGKATGECTSYKYIFYKSGKIHHVKIGPLQPNTTYYYRCGGNGPEFSFKTPPSTFPVEFAIVGDLGQTEWTAATLSQIKSQDYDVFLLPGDLSYADTSQPLWDSFGRLVEPLASQRPWMVTEGNHEIEFFPIFEHTTFKSYNARWLMPHTESLSDSNLYYSFDVAGVHTVMLGSYTDFDSDSDQYQWLQADLAKVDRKTTPWVVVLLHAPWYNTNEAHEGEGESMRVAMECLLFSARVDVVFSGHVHAYERFKRVYNNKADPCGPIYITIGDGGNREGLALSFKKPPSPLSEYRESSFGHGRLKVMDGKRAHWSWHRNNDSNSLLADEVWLESLSTSSSCWPSSRSSDEL >fgenesh2_kg.5__1647__AT3G52840.1 pep chromosome:v.1.0:5:16307365:16312680:1 gene:fgenesh2_kg.5__1647__AT3G52840.1 transcript:fgenesh2_kg.5__1647__AT3G52840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:D7LUB6] MGMHFRDKAWIFLAILCFSSLIWSTEAVVTYDHKALIINGQRRILISGSIHYPRSTPEMWPDLIKKAKEGGLDVIQTYVFWNGHEPSPGNYYFQDRYDLVKFTKLVHQAGLYLDLRIGPYVCAEWNFGGFPVWLKYVPGIVFRTDNEPFKIAMQRFTKKIVDMMKEEKLFETQGGPIILSQIENEYGPMEWEMGAAGKAYSKWTAEMALGLSTGVPWIMCKQEDAPYPIIDTCNGFYCEGFKPNSDNKPKLWTENWTGWFTEFGGAIPNRPVEDIAFSVARFIQNGGSFLNYYMYYGGTNFDRTAGVFIATSYDYDAPLDEYGLLREPKYSHLKELHKVIKLCEPALVSVDPTITSLGDKQEVHVFKSKTSCAAFLSNYDTSSAARIMFRGFPYDLPPWSVSILPDCKTEYYNTAKIRAPTILMKMVPTSTKFSWESYNEGSPSSNDDGTFVKDGLVEQISMTRDKTDYFWYLTDITIGSDESFLKTGDDPLLTIFSAGHALHVFVNGLLAGTSYGALSNSKLTFSQKIKLSVGINKLALLSTAVGLPNAGVHYETWNTGVLGPVTLKGVNSGTWDMSKWKWSYKIGIRGEAMSFHTIAGSSAVKWWIKGSFVVKKEPLTWYKSSFDTPKGNEPLALDMNTMGKGQVWVNGHNIGRHWPAYTARGNCGRCNYAGIYNEKKCLSHCGEPSQRWYHVPRSWLKPFGNLLVIFEEWGGDPSGISLVKRTAK >fgenesh2_kg.5__1651__AT3G52880.1 pep chromosome:v.1.0:5:16328187:16331226:-1 gene:fgenesh2_kg.5__1651__AT3G52880.1 transcript:fgenesh2_kg.5__1651__AT3G52880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMDAR1 [Source:UniProtKB/TrEMBL;Acc:D7LUB9] MAEKSFKYIILGGGVSAGYAAKEFANQGVKPGELAVISKEAVAPYERPALSKGYLFPEGAARLPGFHCCVGSGGEKLLPESYKQKGIELILSTEIVKADLAAKSLVSAAGDVFKYQTLIIATESFLQILTGEETLTDFGVKGADSKNILYLREIDDADKLVEAIKAKKGGKAVVVGGGYIGLELSAALRINNFDVTMVFPEPWCMPRLFTADIAAFYETYYTNKGVKIIKGTVASGFTAQPNGEVKEVQLKDGRTLEADIVIVGVGAKPLTSLFKGQVEEDKGGIKTDAFFKTSVPDVYAVGDVATFPLKMYGDVRRVEHVDHSRKSAEQAVKAIKAAEGGAAVEEYDYLPFFYSRSFDLSWQFYGDNVGDSVLFGDSNPSNPKPRFGAYWVQGGKVVGAFMEGGSGDENKALAKVAKARPSAESLDELVKQGISFAAKI >fgenesh2_kg.5__1652__AT3G52890.2 pep chromosome:v.1.0:5:16337639:16341827:1 gene:fgenesh2_kg.5__1652__AT3G52890.2 transcript:fgenesh2_kg.5__1652__AT3G52890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kcbp-interacting protein kinase [Source:UniProtKB/TrEMBL;Acc:D7LUC0] MGSFACEIVEEKDDEVRLPKHSGRYGKSVMGSSSKDLERKQREYHGSLEYDIDKLFQSISVKPSTRLMSSSFHNHETSASAGPSRTTSPSKRIASIKKPGTPQSPRFVGLSDSVSLKQALRDRCISKASEMAAQKRLSKSAAASPRVSEADRIKSLYNQVSNESTSGRSGLVPVDKGKGSLVEIPLLPVNNKPSSSKSVSQRFEEHSNPISEPSQAGTSLGSQGVGNQTREIKLLHKSNKSGSCLSSGSGDYEIELDENVALPSTDAFVEDDVMEIDKHVTSLPSHSSKKVNGTELDKNILSSALDAEQKGKLDDAPSSGTEKSKTVRKVTRMIPRPKQPKKKILLKKKLKIGVVSATYPTKDDEEHVPSLDSSAHQLLCQRCHCSLKSTSIDNHPPSYTTSHNPEICTDSLSSASNNVGKEARQLSDENSSGSCNVSQSSEAEIVIMKQDVSSSNNSGISAMVEKETGNPTSSEKFEFSLSSKDSLGDYSRSTSMSEESNLSRFSCGNKPHMSMDVRWEAIKHVKVQYGSLGLRHFNLLKKLGCGDIGTVYLAELIGTNCLFAIKVMDNEFLARRKKSPRAQAEREILKMLDHPFLPTLYAQFTSDNLSCLVMEYCPGGDLHVLRQKQLGRCFPEPAARFYVAEILLALEYLHMLGIIYRDLKPENILVREDGHIMLTDFDLSLRCAVNPTLLRSNSPPGKDPARISGPYNTSNCIQPFCIIEPSCQVSCFSPRLSSNQQQGRKPKRGDHLSKTQQHLNRSLPQLVAEPTEARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGVLLYELLYGKTPFKGYNNDETLANVVLQNLKFPDSPLVSFQAKDLIRGLLVKEPENRLGSEKGSVEIKRHPFFEGLNWALIRCAIPPELPDFYEYGGGPEGAADLPGGSNNRYLECKAIGDHLEFELF >fgenesh2_kg.5__1654__AT3G52900.1 pep chromosome:v.1.0:5:16347457:16348363:1 gene:fgenesh2_kg.5__1654__AT3G52900.1 transcript:fgenesh2_kg.5__1654__AT3G52900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNNLGAVAASGVNINGKLPMEENEEEEIWKVAVSRFQAREEEIERKKMTVKEKVQQRLGFAEEATRCLTQTLEELEIMGDPMRKEVGMVRKKIDMANRDIKSLAQSCQKKEKEYKETLEAFNEKNKEKAHLVSMLMELLAESERLRIKKLEEINKTVGTLQ >fgenesh2_kg.5__1661__AT3G52950.1 pep chromosome:v.1.0:5:16370904:16373249:1 gene:fgenesh2_kg.5__1661__AT3G52950.1 transcript:fgenesh2_kg.5__1661__AT3G52950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LUC7] MSTQATGPSSTSGRRSTSTVRRGPPPSKKPVQSENGSVNGNTSKPNSPPPQPQSQAPSNGERTVKKLRLSKALTIPEGTTIFDACRRMAARRVDACLLTDSSALLSGIVTDKDVATRVIAEGLRPDQTLVSKVMTRNPIFVTSDSLALEALQKMVQGKFRHLPVVENGEVIALLDITKCLYDAISRMEKAAEQGSALAAAVEGVEKQWGSGYSAPYAFIETLRERMFKPALSTIITENSKVALVAPSDPVSVAAKRMRDLRVNSVIISNGNKIHGILTSKDILMRVVAQNLPPELTLVEKVMTPNPECASLETTILDALHIMHDGKFLHLPIIDKDGSAAACVDVLQITHAAISMVENSSGAVNDMANTMMQKFWDSALALEPPDDSDTQSEMSAMMHHSDIGKLSSYPSLGLGNSFSFKFEDLKGRVHRFTSAAENLEELMGIVMQRIGSDNNDVEQRPQIIYEDDEGDKVLITSDSDLVGAVTLARSTGQKVLRLHLDFTESTRSLSSETTQLKEGDSRDRGSGWVSWRGGVVVTGAVVLTSIAIVVYLKRSKN >fgenesh2_kg.5__1662__AT3G52960.1 pep chromosome:v.1.0:5:16379512:16380373:1 gene:fgenesh2_kg.5__1662__AT3G52960.1 transcript:fgenesh2_kg.5__1662__AT3G52960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSVSRFISSSSAIAKPLLSPTVSFTAPLSFTRSLAPDLSLKFRNRRTNSFSATTRSFATTPVTASISVGDKLPDSTLSYLDPSTGDVKTVTVSSLTAGKKTILFAVPGAFTPTCSQKHVPGFVSKAGELRSKGIDVIACISVNDAFVMEAWRKDLGINDEVMLLSDGNGEFTGKLGVELDLRDKPVGLGVRSRRYAILADDGVVKVLNLEEGGAFTNSSAEDMLKAL >fgenesh2_kg.5__1664__AT3G52980.1 pep chromosome:v.1.0:5:16386771:16388015:1 gene:fgenesh2_kg.5__1664__AT3G52980.1 transcript:fgenesh2_kg.5__1664__AT3G52980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LUD1] MNDVQNRIQQLEPENALKIIGYLLLMQHHNDRDMIRLAFCPDSVMRSMINCVKYELANNSHCDIPTSDHIQVRKFGSFTGSSNQSLLVSISPPSVLSMGTSFWENTNDMDSSLQNNVYPEFSTSFFSQEKQGLSLRTSRRSLSLPEFPVKICHYFNKGFCKHGNNCSDEEHVVSAVSLEKLEREIIYLLKSRRGAPISIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLVRLKNTIRLIDRPHGQHSVILAEDVLKFVEYTGEKSEHGAILAGSRQIYLTFPAESSFTEHDVSNYFSKVGPVEDVRIPCQQKRMFGFETFAYTEDVKHILAKGNPHFVCGARVL >fgenesh2_kg.5__1668__AT3G53010.1 pep chromosome:v.1.0:5:16401976:16403305:-1 gene:fgenesh2_kg.5__1668__AT3G53010.1 transcript:fgenesh2_kg.5__1668__AT3G53010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIFYYFFIINFLQSSPQIQSQTIPRNISIFILAGQSNMAGRGGVYNDTATNNTVWDGVIPPECRSNPSILRLTAKLEWKEAKEPLHVDIDVNKTNGIGPGMSFANRVITRFGQVGLVPCSIGGTKLSQWQKGQFLYEETVRRSKAAVASGGGSYQAVLWYQGESDTVDMVDASVYKKRLVKFFNDLRNDLHQPNLPIIQVALATGAGPYLDAVRKAQLKTDLENVYCVDARGLPLEPDGLHLTTSSQVRLGHMIAESFLAIPNSAQPSLYMHFSSLLCSLFVSLNIFGTIFHFL >fgenesh2_kg.5__1669__AT3G53020.1 pep chromosome:v.1.0:5:16405373:16406354:-1 gene:fgenesh2_kg.5__1669__AT3G53020.1 transcript:fgenesh2_kg.5__1669__AT3G53020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LUD5] TELCRFSGQKIYPGRGIRFIRSDSQVFLFANSKCKRYFHNKLKPSKLTWTAMYRKQHKKDAAQEAVKRRRRATKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKVEFASKQQKVKANFPKAAAASKGPKLGGGGGKR >fgenesh2_kg.5__1670__AT3G53030.1 pep chromosome:v.1.0:5:16407008:16409433:1 gene:fgenesh2_kg.5__1670__AT3G53030.1 transcript:fgenesh2_kg.5__1670__AT3G53030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKWNSDGGEYTSEDEGTEDYRRGGYHAVRIGDSFKNGRYVVQSKLGWGHFSTVWLAWDTQSSRYVALKVQKSAQHYTEAAMDEITILQQIAEGDPDDTKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGLPIPMVKEICYHMLVGLDYLHKQLSIIHTDLKPENVLLPSTIDPSKDPRKSGAPLVLPTDKDKTVVDSNGDFVKNQKTGSHRKANISAHGNAESKGNTESDKVRGVGSPVNGKPSAAEKSVEEDCPSTSDTNGLDGSEKGKQGGKKGSRSSRRHLVASADLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNYDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRHGDLRHIRRLRFWPMNKVLTEKYEFSEQDANDLSDFLVSILDFVPEKRPTAAQCLLHPWINSGPRSIKPSLSPKDQNSEDKLDTEEKKRENEEQEAVEVKMGNVVISSSDSKPGMSQSSTLKQAIDF >fgenesh2_kg.5__1671__AT3G53040.1 pep chromosome:v.1.0:5:16409614:16411453:-1 gene:fgenesh2_kg.5__1671__AT3G53040.1 transcript:fgenesh2_kg.5__1671__AT3G53040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQREAERSAKAERAEAVASLAAEDLKDINKGDVTYKVTERTTTTEPERPGLIGSVMKAVQGTKEAVIGKSHDAAESTREGSEIASEKAAGMRDATGEVRDSTAQKTKETADYTADKAREAKDKTAEKTKETAEYMAEKAREAQDKTADKTKETAGYTAEKAREAKDKTADKTKETAEYTAEKAKEAKDTTAEKLGEYKDYTVDKAKEAKDKAAEKAKETAEYTADKAREAKDTTAEKLGEYKDYTVEKANETAEKAREAKDKTAEKVGEYKDYTAEKATEGKDAGVSRLGELKDSAVDTAKRAMGFLSGKTEETKQKAVETKDTAKEKMDEAGEEARRKMEEMRLEGKELEEDASKKTQQSTESAADRAQETKDSVAQRGEEGKGSIMGALGNMTGAIKSKLTGTTTPSDEETSAAASGGESTGKTIVAVDVKDTRPGYIATVLKAADQMTGQTFNDVGEIDEEKL >fgenesh2_kg.5__1672__AT3G53065.1 pep chromosome:v.1.0:5:16424086:16424653:1 gene:fgenesh2_kg.5__1672__AT3G53065.1 transcript:fgenesh2_kg.5__1672__AT3G53065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSHCFLRCHGLIILLLVMFLSSVSNLATKINGSFDARGTKIDSDPKHISNSKGGGSPPQGKEYPLCGLNNPSEDGIIYAPFCDKGYVFSQIKFADYGQPSGSSCETLKRGNCGAPATLRLVKENCLGKERCRIYITDEMFGPTHCKGPVNFVFSAICKKT >fgenesh2_kg.5__1676__AT3G53090.1 pep chromosome:v.1.0:5:16429976:16435303:1 gene:fgenesh2_kg.5__1676__AT3G53090.1 transcript:fgenesh2_kg.5__1676__AT3G53090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase 7 [Source:UniProtKB/TrEMBL;Acc:D7LUE6] MDLNRKHKVSLRGASSGEISRDALLAKVSQERELRSYARRANAAALFIQRVWRSYIVRKKAAIEIREQWENLLSCHSDSLTKSWVSSRVLRPFLFFIRSLSVQHQKIQSRDIHCMQTCFKILLESINSNDQGYNFCSLAVGTFEESKTWACQTRRMISLCSFLLMECNYSQERIKDVIGVCALLLRILIVLTDPKSWKIITKENFEDAETAGKMIIQFIGSCKSGYYSAVRRYIKTLTKHRDERLLITTSAVTLALRPFHVKQPAFVDDYQPDSNLAIEEYVSLILTIPRLVFCLPSALIRALKHKSILMPSFRTILLLKDKILTRISEMEHSEKQSCTMEIPSVGWVIGNIISLATVSETDFMDPQESNPEMFYVLYVHVIVTLAENLLSKVENVGIQDIHLDIEATSNEAGKGNSDKISFVEMLRPVCQQWHLAKLLAASGKEICVIADKDASTTNSKKGSETLGLLDIARLYSCMLRIFCVLNPVLGPLPVLNMLSFCPGYIVSLWNSLESVLIPENGRTADDASHGSAKTSWNTRSPSEKKLKHLKNDSVNKWVNVLNKFSGKSPGPREHMECISDQPGSSQVNESTNDVWDVETLRGGPVGISKEVSSLLHLFCATYAHLLVVLDDIQFYEKQVPFMLEKQRRIASMLNTLVYNGLLRGIGPESRQLMDSAIRCLHLLYERDCRHPFCPSALWLSPGRTSRPPIAFAARTHEVLPASDVLTSPSMGSVITITPHVFPFEERVHVFREFISRDKASRKMAGEVDAPGARSIEIVVRRGHVVEDGFQQLNSIGSRLKSSIHVSFVNESGLPEAGLDYGGLSKEYGLFSQTPTSDRLLVPSPSARHLENGIQMIEFLGRIVGKALYEGILLDYSFSHVFIQKLLGRYSFIDELSGLDPELYRNLMYVKHYDGDLKELCLDFTVTEEFCGKMSITELKPGGKDISVTNENKMQYIHAMADYKLNRQIVPFSNAFYRGLTDLISPAWLKLFNAHEFNQLLSGGNHDIDVDDLRRNTKYTGGYSDSSRTIKIFWEVMKGFEPSERCLLLKFVTSCSRAPLLGFKYLQPTFIIHKVSCDTSLWAAIGGQDVERLPSASTCYNTLKLPTYKRASTMREKLLYAITSNAGFELS >fgenesh2_kg.5__1680__AT3G53130.1 pep chromosome:v.1.0:5:16443213:16448008:1 gene:fgenesh2_kg.5__1680__AT3G53130.1 transcript:fgenesh2_kg.5__1680__AT3G53130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLFSPSSSSYSSLFTPKSTRFSIKSSIEKPKPKLETNSSKSQSWVSPDWLTSLTRTISSGQNDDSGIPIANAKLEDVSDLLGGALFLPLYKWMNEYGPIYRLAAGPRNFVIVSDPAIAKHVLRNYPKYAKGLVAEVSEFLFGSGFAIAEGPLWTARRRAVVPSLHKKYLSVIVERVFCECAERLVEKLQPYAEDGSSVNMEEKFSQMTLDVIGLSLFNYNFDSLTTDSPVIEAVYTALKEAELRSTDLLPYWKIDALCKIVPRQVKAEKAVTLIRETVEDLIAKCKEIVEREGERINDEEYVNDADPSILRFLLASREEVSSVQLRDDLLSMLVAGHETTGSVLTWTLYLLSKNSSALAKAQEEVDRVLTGRKPAYEDIKELKYITRCINESMRLYPHPPVRNLIRRAQVPDILPGNYNVNTGQDIMISVYNIHRSSEVWEKAEEFLPERFDIEGAIPNETNTDFKFIPFSGGPRKCVGDQFALMEAIVALAVFLQRLNVELVPDQTISMTTGATIHTTNGLYMKVSQR >fgenesh2_kg.5__1683__AT3G53160.1 pep chromosome:v.1.0:5:16452649:16454142:-1 gene:fgenesh2_kg.5__1683__AT3G53160.1 transcript:fgenesh2_kg.5__1683__AT3G53160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LUF2] MAQGHMIPLVDISRILSQRQGVTVSIITTTQNVAKIKTSLSSSSLFPTINIVEVKFPSQQAGLPEGCESVDMLASMGDLVKFFDAANSLEEQVEKAMEEMVQPRPSCIIGDMSLPFTSRLAKKMKIPKLLFHGFSCFSLMCIQVVRQSGILKVVESNDEYFELPSLPDRVEFTKPQVSVLQPIEGNMKESTEKIIEADNDSYGVIVNSFEELEVDYAREYRQARAGKVWCVGPVSLCNKLGLDKAKRGDKASIGQDQCLQWLDSQERGSVLYVCLGSLCNLPLAQLKELGLGLEESNKPFIWVIREWGQHGDLAKWMQQSGFEERIKDRGLVIKGWAPQVFILSHASIGGFLSHCGWNSTLEGITAGVPLLTWPLFAEQFLNEKLVVQILKAGLKIGVEKSSMKYGKEEEIGVMVSRESVRKAVDELMGDSEEAEDRRRKVKELSELANKALEEGGSSDSNITLLIQDIKEQSQNQI >fgenesh2_kg.5__1684__AT3G53170.1 pep chromosome:v.1.0:5:16454884:16456322:-1 gene:fgenesh2_kg.5__1684__AT3G53170.1 transcript:fgenesh2_kg.5__1684__AT3G53170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LUF3] MCSTKVPNERTGKMNSGLISTRHQIDPKKELSRILRTDAAVKGIERKANSEKYLTLWPKAVLEALDEAIKENRWQSALKIFHLLRKQHWYEPKCKTYTKLFKVLGNCKQPDQASLLFEVMLSEGLKPTIDVYTSLIAVYGKSELLDKAFSTLEYMKSVSDCKPDVFTFTVLIRCCCKLGRFDLVKRIILEMSYLGVGCSTVTYNTIIDGYGKAGMFEEMENVLADMIEDGDSLPDVFTLNSIIGSSEMVAHEEDGELPDITTFNILILSFGKAGMYKKMSSVMDFMEKRFFSLTTVTYNIVIETFGKAGKIEKMDDVFRKMKYQGVKPNSISYCSLVNAYSKAGLVGKIDSILRQIVNSDVVLDTPFFNCIINAYGQAGDLATMKELYIQMEERKCKPDKITFATMIKTYKAHGIFDAVQELEKQMISTGENLDILLEK >fgenesh2_kg.5__1685__AT3G53180.1 pep chromosome:v.1.0:5:16458064:16462709:1 gene:fgenesh2_kg.5__1685__AT3G53180.1 transcript:fgenesh2_kg.5__1685__AT3G53180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKELKEAIEKIELVDAHAHNIVSLDSSFPFIGTFSEAAGDALTFAPHSLSFKRNLREIAQLYGTEVSLEAIEEHRKTSGLDSFTSKCFKEARISALLIDDGLKLDKKHDIEWHRNFVPFVGRVLRIETLAEQILEEECPDDGYFYGSKSTEPPVWDLDSFTKTFVERLNSLVPKIVALKTIAAYRSGLDIDTYVSKAVAENGLVEVLRAGSPVRIGNKGLIDYIVTISLEVAERCDLPLQIHTGFGDRDLDLRLSNPLHLRNLLEDKRFAKCRIVLLHAAYPFSKEASFLSSVYPQVYLDFGLAVPKLSVHGMVSSVKELLDLASIKKVMFSTDGYASPETYYLGAKKAREVIFLVLSDACASGDLSLMEAIDAAKDIFSQNSIKFYKLDIDSNSSSPQSIISPKLEMKEPDVQEDSSSFVRIIWVDTSGQQRCRAVQAQRFNKSVKKNGVGLTFASMGMTSFTDGPAEESNLTGVGEIRLVPDLSTKQTIRWTKQESMVLADMHLKPGEAWEYCPRETLRRVAKVLKDEFDLVMNAGFENEFYLLKNVVREGKEEYVPFEFGPYCSTSSFDVASPIFHEIVPALESLNIEVEQFHAESGKGQFEVSLGHTVASHAADNLVYTREVIRSVARKHGLLATFVPKYDFCDIGSGSHVHLSLWKNGENVFPASNKSSAHGISSIGEEFMAGVLFHLPSILAVIAPLPNSYDRIQPNTWSGAFQCWGRENREAALRAASPPGTPDGLVTNFEIKSFDGSANPHLGLAVIMAAGIDGLRRHLQLPTPIDINPADVAATLNRLPETLSEAVEALDKDEVFHDLLGQKLLVAIKGVRKSEVEYYSKNPDSYKQLIHRY >fgenesh2_kg.5__1686__AT3G53190.1 pep chromosome:v.1.0:5:16466766:16470626:1 gene:fgenesh2_kg.5__1686__AT3G53190.1 transcript:fgenesh2_kg.5__1686__AT3G53190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7LUF5] MLQRSCIVLLFSLFLFTPQMGFSVLNRTVLLIPHPDPELVAYEVQWKVNASITRRQALDTTDQAGSNPCFTGNPIDDCWKCDPNWPNNRQGLADCGIGFGQYALGGKGGQFYFVTDSSDDDAVDPKPGTLRYGVIQEEPLWIVFPSNMMIKLKQELIFNSYKTLDGRGANVHIVGGGCITLQYVSNIIIHNIHIHHCYQSGNTNVRSSPTHYGFRTKSDGDGISIFGSKDIWIDHCSLSRCKDGLIDAVMGSTGITISNNFFSHHNEVMLLGHSDHYEPDSGMQVTIAFNHFGEKLIQRMPRCRRGYIHVVNNDFTQWEMYAIGGSGNPTINSQGNRYTAPTNPFAKEVTKRVETPDGDWKGWNWRSEGDILVNGAFFVASGEGAEMRYEKAYSVEPKSASFITQITFHSGVLGVGGRNNNLGMWTTTGSEGNSGLDSYNDYTDEMSAAGSTNRLSFSVLVLAFMLSSISYLAMFTSSTQMFML >fgenesh2_kg.5__1687__AT3G53200.1 pep chromosome:v.1.0:5:16471315:16472508:-1 gene:fgenesh2_kg.5__1687__AT3G53200.1 transcript:fgenesh2_kg.5__1687__AT3G53200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB27 [Source:UniProtKB/TrEMBL;Acc:D7LUF6] MDFKKEETLRRGPWLEEEDERLVKFITLLGERRWDSLAIVSGLKRSGKSCRLRWMNYLNPSLKRGPMSQEEERIIFQLHALWGNKWSKIARRLPGRTDNEIKNFWRTHSRKKEEAQNYVKLFEFRGKTGEELLHKYKETEITRTRTTSQEHGFDEVVSMESAKETNCGICGRQSYGVMNSPYENRISDWISEISPDQSEANLSEDHSSSSSENNINIGTWWFQETRDFEEFSCSLWS >fgenesh2_kg.5__1688__AT3G53210.1 pep chromosome:v.1.0:5:16473223:16474946:1 gene:fgenesh2_kg.5__1688__AT3G53210.1 transcript:fgenesh2_kg.5__1688__AT3G53210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7LUF7] MSPIPERAKLHVAMVVFQTGYAGNHVIVRYALNLGVSKLVFPLYRTIVAFSVLAPSAYFLEKKERPAMKTSFLIQFFLLGLLRITLNQGFYIFGLDNTSPTFASATENVVPAVSFLMAASLGIEKVKWKRKDGIAKVVGTIVSVSGSLVITLYKGPTIYQPSLRLVNRPIKAEEAEEQNKNWTLGCLCLMGHCLCWSSWIVLQSPLLKKYSARFSFVSYSCFFAVLQFFGISAYFERDQERWKITSGGELYALLYTGLIGSAMVFAIQIYVVERGGPLFVSAYLPLQTLIAAILATFALGEHFYLGGLIGAILIMSGLYLVVMGKSWENQAFFQQQQHMISSAASEFGGEENHHNNNKPRSSLNQPLISS >fgenesh2_kg.5__1689__AT3G53220.1 pep chromosome:v.1.0:5:16475116:16475820:1 gene:fgenesh2_kg.5__1689__AT3G53220.1 transcript:fgenesh2_kg.5__1689__AT3G53220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7LUF8] MSKQESEGANIDFESKSNHNGNVKIAPNDQSFLTILDDIKSSKSPAVINYGASWCGVCSQILPAFQKLSKNFSKLKFVYADIDECPETTRHIRYTPTFQFYRDGEKVDEMYGAGEERLHDRLWLHS >fgenesh2_kg.5__168__AT2G02710.2 pep chromosome:v.1.0:5:978231:980601:-1 gene:fgenesh2_kg.5__168__AT2G02710.2 transcript:fgenesh2_kg.5__168__AT2G02710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pac motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LQ54] MSLTKSSEEDSFNGRYTLWITEALEELPHNFTITDPFISGHPIVFASLGFLKMTGYSREEVIGRNGKVFQGPKTNRRSIMEIREAIREERSVMVSLLNYRKSGSPFWMLFHMSPVFGRDDGKVINFVAVQVPISGQEHRKLGVMSSDHSELVFGSCRREVCFGSFVHQNRASPVECDDEQGLEDWEHCEASESEKLKAVEAVNNVLSILTRYSELSGRLVCGKRYCLRGVDCLSSSLVISLGRIKQSFVLTNPCLPDMPIIYASDAFLTLTGYKRQEVLGQNCRFLSGVDTDSSVLYEIKECILKGQSCTVQILNYSNRKDKSSFWNLLHISPVRNASGKTAYFVGVQVEASCRNTESKELRPETRQLSVVGAVRVAVRSSLMVTC >fgenesh2_kg.5__1690__AT3G53230.1 pep chromosome:v.1.0:5:16476993:16480622:1 gene:fgenesh2_kg.5__1690__AT3G53230.1 transcript:fgenesh2_kg.5__1690__AT3G53230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQAESSDSKGTKRDFSTAILERKKAANRLVVDEAINDDNSVVSLHPETMEKLQLFRGDTVLIKGKKRKDTVCIALADDTCDEPKIRMNKVVRSNLRVRLGDVISVHQCPDVKYGKRVHILPIDDTIEGVSGNIFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSIEFKVIETDPAEYCVVAPDTEIFCEGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDELDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEIGRLEVLRIHTKNMKLAEDVDLERVSKDTHGYVGADLAALCTEAALQCIREKMDVIDLDDEEIDAEILNSMAVTNDHFQTALGNSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGNSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRYQIFKSCLRKSPVAKDVDLRALAKYTQGFSGADITEICQRSCKYAIRENIEKDIEKERKRAESPEAMEEDEEEIAEIKAGHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPDAPTGTGAAATVGGVDPFATSGGAADDDDLYS >fgenesh2_kg.5__1691__AT3G53240.1 pep chromosome:v.1.0:5:16497845:16501028:1 gene:fgenesh2_kg.5__1691__AT3G53240.1 transcript:fgenesh2_kg.5__1691__AT3G53240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LUG2] ESIHSPDALPLLNLTLFHPFEELQSLNLSSGYFKGWFDKRQGGKGLGSFRNLETLDLGVNFYDSSVFPYLNEAVSLKTLILRDNLFKGGFPVQELRNLTSLEVLDLKFNEFSGQLPTQELTNLRNLRALDLSNNQFSGICRLEQLQELRLSRNRFVGEIPLCFSRFSKLQVLDLSSNHLSGKIPYFISDFKSMEYLSLLDNEFEGLFSLGLITKLAELKVFKLSSRSGMLQVEETNIFSGLQSQLSSISLPHCNLGKIPGFLWYQKELRVIDLSNNMLSGVFPTWLLENNTELQALLLQNNSYKTLTLPRTMRKLQFLDLSANNFNNQLPKDVGLILTSLRHLNLSNNEFQGNMPSSMARMENIEFMDLSYNNFSGKLPRNLFTGCYSLSWLKLSHNRFSGPIIRKSSDETSLITLIMDNNMFTGKIPRTLLNLRMLSVIDLSNNFLTGTIPRWLGKFFLEVLRISNNRLQGTIPPSLFNIPCLWLLDLSGNYLSGSLPPRSSSDFGYILDLHNNNLTGSIPDTLWDGLRLLDLRNNKLSGNIPLFRSTPSISVVLLRGNNLTGKIPVELCGLRNVRMLDFAHNRLNESIPSCLTNLSFGSGGHSHADSDWYPASMLSNFMEIYTEVYYKSLIVSDRFSLDYSVDFNVQVEFAVKQRYDLYMRGTLNQMFGLDLSSNELSGNIPEELGDLKRVRSLNLSRNSLSGSIPGSFSNLRSIESLDLSFNKLHGTIPSQLTMLQSLVVFNVSYNDLSGVIPQGKQFNTFGEKSYLGNVLLCGSPTNRSCGGGTTISSEKEDEDDDESGLVDIVVLWWSLGATYVTVLMGFLVFLCFDSPWSRAWFRLVDTFIDRVKDVLGVI >fgenesh2_kg.5__1697__AT3G53320.1 pep chromosome:v.1.0:5:16530861:16534106:-1 gene:fgenesh2_kg.5__1697__AT3G53320.1 transcript:fgenesh2_kg.5__1697__AT3G53320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNETKKSEVEADGLGLIDVASEDDSLLFSEFSEADKDENCLKDDKDLNFMRDTQYCDDEILVSSIEEKEEVLQPHESPEPEKVMRKGKYNLRKSLAWDNEFFTSAGVLEPEELSSMIENNHKSGKKALPTILEDIDRSTESISTFQSDCTVENSQEFVLFEDVRASIQRSAKTSDAATPGKNNELRATEVAMTPTSSTVDIIASQEKVNLLTTAPCGIRAQGLGKATKQPVASRGLSTSISKPPNGLSKVRPLSTTSTNRASLDISKTKQENNSKFPAGKEPLCPRISISRRTKPVLPKPGLPLKSSLRSSVASKNEMTSSCSSLESCASASSSASQKPSIDSIKKKSDSSSRLASQPLANRSTSRGIMGQPRIPPQPTNKTFKSKLSSSVPTAGSISECSSESSRASETSKMANGNQKTVSREKGPANANTVQTVKPLKNSKDASVVQADAKEGTKRVSAINGGLVPSASTKPSGLRVPSPKIGFFDGARHGSSSSASKKSGKSQPARSQIQESSNSKTKASSKLDSVSSPKLANKLYSKINAEDQLEG >fgenesh2_kg.5__169__AT2G02720.1 pep chromosome:v.1.0:5:986860:988965:1 gene:fgenesh2_kg.5__169__AT2G02720.1 transcript:fgenesh2_kg.5__169__AT2G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7LQ55] MLNLGSYVFVFVSLSLTVVVPSVQAHIAVYDDYWTQRQTIALRQTLESYDPNPDNVTDHFNYHAALAMETTGIVNETRRDLRQVRSGKKKPRRGGRFESLNAIDKCWRGDKNWDKNRKKLADCVLGFGRKTTGGKNGPIYVVTDPSDNDLLNPKPGTIRHAVTRDRPLWIVFARSMIIKLQQELIITNDKTIDGRGARIYITGGAGLTLQFVRNVIIHNVHIKLIKKGVGGVIRDSEHHYGHRTMSDGDGINIFGATNVWIDHVSMTDCSDGMIDAIMGSTAITISNSHFTDHDEVMLFGGTNKDVIDKKMQITVAFNHFGKRLKQRMPRVRYGLVHVVNNDYTHWEMYAIGGNMNPTIISQGNRFIAPPIEDSKQVTKREYTPYPEWKTWNWQSEKDYFLNGAYFVQSGKANAWSSTPKNPIPRKFAIRPQPGTKVRRLTKDAGTLGCKPGKSC >fgenesh2_kg.5__1702__AT3G53360.1 pep chromosome:v.1.0:5:16543503:16545812:1 gene:fgenesh2_kg.5__1702__AT3G53360.1 transcript:fgenesh2_kg.5__1702__AT3G53360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LUH3] MLRLGARVSVSNSQIPATSSVVSTIKTEELMNDHINSLCRNSFYREALEAFDFAQKNSSFKIRLRTYISLICACSSSRSLAQGRKIHDHILNSNCKYDTILNNHILSMYGKCGSLRDAREVFDFMPERNLVSYTSVITGYSQNGQEAEAITLYLKMLQADLVPDQFAFGSIIKACACAGDVVLGKQLHAQVIKLESSSHLIAQNALIAMYVRFNQMSDASKVFYGIPAKDLISWSSIIAGFSQLGFEFEALSHLKEMLSFGVFHPNEYIFGSSLKACSSLLRPDYGSQIHGLCIKLELTGNAIAGCSLCDMYARCGFLDSARRVFNQIERPDTASWNVIIAGLANNGYADEAVSVFSEMRNSGFIPDAISLRSLLCAQTKPMALCQGMQIHSFIIKCGFLADLSVCNSLLTMYTFCSDLYCCFNLFEDFRNKADSVSWNAILTACLQHEQPVEMLRLFKLMLVSECEPDHITMGNLLRGCVEISSLKLGSQVHCYSWKTGLVLEQFIKNGLIDMYAKCGSLRQARRIFDSMDNGDVVSWSTLIVGYAQSGFGEEALILFREMKSSGIEPNHVTFVGVLTACSHVGLVEEGLKLYAIMQTEHGISPTKEHCSCVVDLLARAGHLNEAERFIDEMKLEPDVVVWKTLLSACKTQGNVDLAQKAAENILKIDPFNSTAHVLLCSMHASSGNWEDAALLRSSMKKHDVKKIPGQSWIDVEDKIHIFFAEDVLHPERDDIYTVLHNIWSQMLDECNPQHKKRFQFIHETEKTNI >fgenesh2_kg.5__1703__AT3G53380.1 pep chromosome:v.1.0:5:16548066:16550381:-1 gene:fgenesh2_kg.5__1703__AT3G53380.1 transcript:fgenesh2_kg.5__1703__AT3G53380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LUH5] MSLFLFIFILLCFFNGATTTQFDFSTLAISNLKLIGDARLSNGIVGLTRDLSVPNSGAGKVLYSNPIRFRQPGTHFPTSFSTFFSFSITNVNPSSIGGGLAFVIAPDANSIGVAGGSLGLAGPNGSGSKFVAVEFDTLMDVDFKDINSNHVGFDVNGVLSSVSGDLGTVNIDLKSGNTINSWIEYDGLTRVFNVSVSYSNLKPKAPILSFPLDLDQYVNDFMFVGFSGSTQGSTEIHSIEWWSFSSSFGSGPGSGSVSPPPRANLMNPKANSVKSPPPLASQPSSSAIPISSNTQSKTSSSSCHSRFCKENPGTIAGVVTAGAFFLALFAGALFWVYSKKFKRVERSDSFASEIIKAPKEFSYKELKAGTKNFNESRIIGHGAFGVVYRGILPETGDIVAVKRCSHSSQDKKNEFLSELSIIGSLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLDKALFESRFTLPWDHRKKILLGVASALAYLHRECENQVIHRDVKSSNIMLDENFNAKLGDFGLARQIEHDKSPEATVAAGTMGYLAPEYLLTGRASEKTDVFSYGAVVLEVVSGRRPIEKDLNVQRQNVGANPNLVEWVWGLYREGKVSAAADSRLEGKFDEGEMWRVLVVGLACSHPDPAARPTMRSVVQMLIGEADVPVVPKSRPTMSFSTSHLLLSLQDTLSDCSNTVALNSSRSSSWSVPEHNVIIRSDDDHLV >fgenesh2_kg.5__1707__AT3G53420.1 pep chromosome:v.1.0:5:16572971:16575549:-1 gene:fgenesh2_kg.5__1707__AT3G53420.1 transcript:fgenesh2_kg.5__1707__AT3G53420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEAVPGEGFQTRDYHDPPPAPFIDGAELKKWSFYRAVIAEFVATLLFLYITVLTVIGYKIQSDTTAGGVDCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLPRAILYIVAQCLGAICGVGFVKAFQSSYYDRYGGGANSLADGYSTGTGLAAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIFNKSKPWDDHWIFWVGPFIGAAIAAFYHQFVLRASGSKSLGSFRSAANV >fgenesh2_kg.5__1710__AT3G53440.2 pep chromosome:v.1.0:5:16582495:16584183:1 gene:fgenesh2_kg.5__1710__AT3G53440.2 transcript:fgenesh2_kg.5__1710__AT3G53440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKSTVVLPQPIRRSARLISMQNRDSQVKPRKDLVFGSEPPKKTRREVLRGLSKRLVYSLDSPIAKKSLGLNISKDGFPSLRRSLRLSSRECCGVNKEKPFTKKTASTSSSRTKSSATVSSCSLRRSPRFSSGGGGSVDQSSSSIGRKSGNSVLSRCSTKSISSEKGKGGDGVKSKDRSRSRLRPKTKQLFSGCDDNEEEGEVSVCLSERKRMRIAKPNEEENVVPKADEVKRKGKDEEEDDEEEEDGLKTKKKLEKGGWTEELELALQGAYLTVKPSPNFWKKVAKMVPGKSAQECFDRVNSALITPRQAQPRRARNTNLSTIPQFSLSASKLLKPNSPQTKIRQRRNNLSKKVVRHLLEKQNQMDQGLGFDLFSVLEPNTTSNFLSTPMEKGQSLPKILESPVPCSSKDPTTLVSPPVLKQVKNKALHEKYIDHLHIREAKRKAESTRLAGKENIRPIEIQKKDSVRAAKDALFFDVQDAIQKLKGLEAENSSSSSEFCYDHGENDEEDEI >fgenesh2_kg.5__1715__AT3G53470.1 pep chromosome:v.1.0:5:16598881:16599455:1 gene:fgenesh2_kg.5__1715__AT3G53470.1 transcript:fgenesh2_kg.5__1715__AT3G53470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISVRISGLKSSNLIRIIPRFSSSSSSSRFRCSKNEPPRNGNESNGGGGDKSSTDWDKAWKNFKKQSKKSLFSQFNVDKYVTWNPPRSEFDLSEEVDPIKRTERSNLMLWTSPRFTLVGAIVIVSFLLLYTILAPVK >fgenesh2_kg.5__1717__AT3G53480.1 pep chromosome:v.1.0:5:16601063:16607596:1 gene:fgenesh2_kg.5__1717__AT3G53480.1 transcript:fgenesh2_kg.5__1717__AT3G53480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPDR9/PDR9 [Source:UniProtKB/TrEMBL;Acc:D7LUI6] MAHMVGADEIESLRVELAEIGRSIRSSFRRHTSSFRSSSSRYELENDGDVIDHDAEYALQWAEIERLPTVKRMRSTLLDDGDESMSEKGRRVVDVTKLGAMERHLMIEKLIKHIENDNLKLLKKIRKRIDRVGMELPTIEVRYESLKVEAECEIVEGKALPTLWNTAKRVLSELVKLTGAKTHEAKINIINDVNGVIKPGRLTLLLGPPGCGKTTLLKALSGNLENNLKCSGEISYNGHRLDEFVPQKTSAYISQYDLHIAEMTVRETVDFSARCQGVGSRTDIMMEVSKREKEKGIIPDTEVDAYMKAISVEGLQRNLQTDYILKILGLDICAETLIGDVMRRGISGGQKKRLTTAEMIVGPTKALFMDEITNGLDSSTAFQIVKSLQQFAHISSATVLVSLLQPAPESFDLFDDIMLMAKGRIMYHGPRGEVLNFFEDCGFRCPERKGVADFLQEVISKKDQAQYWRHEDLPYSFVSVDMLSKKFKELSIGKKMEHTLSKPYDRSKSHKDALSFSVYSLPNWELFIACISREYLLMKRNYFVYIFKTSQLVMAAFITMTVYIRTRMGIDIIHGNSYMSALFFALIILLVDGFPELSMTAQRLAVFYKQKQLCFYPAWAYAIPATVLKVPLSFFESLVWTGLTYYVIGYTPEASRFFKQFILLFAVHFTSISMFRCLAAIFQTVVASITAGSFGILFTFVFAGFVIPPPSMPAWLKWGFWVNPLSYGEIGLSVNEFLAPRWNQMQPNNVTLGRTILQTRGMDYDGYMYWVSLYALLGFTVLFNIIFTLALTFLKSPTSSRAMISQDKLSELQGTENSTDDSSVKKKTTDSPVKTEEEGNMVLPFKPLTVTFQDLKYFVDMPVEMRDQGYDQKKLQLLSDITGAFRPGILTALMGVSGAGKTTLLDVLAGRKTSGYIEGDIRISGFPKIQETFARVSGYCEQTDIHSPNITVEESVIYSAWLRLAPEIDSATKTKFVKQVLETIELDEIKDSLVGVTGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAIVMRAVKNVADTGRTIVCTIHQPSIDIFEAFDELVLLKRGGRMIYTGPLGQHSRHIIEYFESVPEIPKIKDNHNPATWMLDVSSQSVEVELGVDFAKIYHDSALYKRNAELVKQLSQPDSGSSDIQFKRTFAQSWWGQFRSILWKMNLSYWRSPSYNLMRMIHTLVSSLIFGSLFWKQGQNIDTQQGMFTVFGAIYGLVLFLGINNCSSAIQYIETERNVMYRERFAGMYSATAYALGQVVTEIPYIFIQAAEFVIITYPMIGFYPSTYKVFWSLYSMFCSLLTFNYLAMFLVSITPNFMVAAILQSLFYVNFNLFSGFLIPQTQVPGWWIWLYYLTPTSWTLNGFFSSQYGDIDEKINVFGESTTVARFLKDYFGFHHDRLAVTAVVQIAFPIALASMFAFFVGKLNFQRR >fgenesh2_kg.5__1718__AT3G53490.1 pep chromosome:v.1.0:5:16608877:16610526:1 gene:fgenesh2_kg.5__1718__AT3G53490.1 transcript:fgenesh2_kg.5__1718__AT3G53490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRIPSASWDFRGFLLFFIFFSSFPGTILTQEVTLDSIRIFTTHDWFSTKPTVYFQCKGENKTVLPDVKRTNVSYSFNGEESWQPLTELKGTKCKRCGIYEDDPLKYDTFDEWELCPSDFTPDGSYKRVKEKEFNATFLCHGCSQVGAGSNKQSGTEKEEEKGGMHPAIVILIVVLILGVVAVGLLVGYKYWRKKKRQQEQARFLKLFEDGDEIEDELGLENTI >fgenesh2_kg.5__1721__AT3G53510.1 pep chromosome:v.1.0:5:16613557:16616185:-1 gene:fgenesh2_kg.5__1721__AT3G53510.1 transcript:fgenesh2_kg.5__1721__AT3G53510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7LUI9] MSGLFGKLSPARRVNGDGLPLFYNIHKSVELQRCQRDTARVSVTLAELLMSVEDEGDDHSRALDVAVASNFWASVPSSCVPSSSPFVLSFKDLTYSVKIQKKFKPFPCCGNSPFNGMEMNTKVLLNGISGEAREGEMMAVLGASGSGKSTLIDALANRISKESLRGDITLNGEVLESSLHKVISAYVMQDDLLFPMLTVEETLMFSAEFRLPSSLSKKKKKARVQALIDQLGLRNAAKTVIGDEGHRGVSGGERRRVSIGTDIIHDPIILFLDEPTSGLDSTSAYMVVKVLQRIAQSGSIVIMSIHQPSYRILGLLDKLIFLSRGNTVYSGSPTHLPQFFSEFGHPIPENENKPEFALDLIRELEDSPQGTKALVEFHKQWRAKQTSSQSRRNTNVSLKDAISASISKGKLVSGATNLRSSFQTFANPFWTEMLVIGKRSILNSRRQPELFGMRLGAVLVTGIILATIFWKLDNSPRGIQERLGFFAFAMSTTFYTCAEAIPVFLQERYIFMRETAYNAYRRSSYVLAHTIISIPALIILSAAFAATTFWAVGLAGGSEGFLFFFFTILTAFWAGSSFVTFLSGVVSHVMIGFTVVVAILAYFLLFSGFFISRDRIPVYWIWFHYLSLVKYPYEGVLQNEFEDPTKCFIRGIQMFDNSPLGQVPAAVKISLLKSMSGVLGINVTAETCVTTGIDILKQQGITEISKWNCLWITVAWGFFFRVLFYFTLLIGSKNKRR >fgenesh2_kg.5__1722__AT3G53520.1 pep chromosome:v.1.0:5:16617878:16620784:1 gene:fgenesh2_kg.5__1722__AT3G53520.1 transcript:fgenesh2_kg.5__1722__AT3G53520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronic acid decarboxylase 1 [Source:UniProtKB/TrEMBL;Acc:D7LUJ0] MKQLHKQMSSKRDEETIPMSQSSPYSPKTLKHPRSLPRSLHYLFREQRLLFILVGILIGSTFFILQPSLSRLGAAESTSLITRSVSYAVSDSPPSMKTFNSGGGGRTGRVPVGIGRKRLRIVVTGGAGFVGSHLVDKLIGRGDEVIVIDNFFTGRKENLVHLFSNPRFELIRHDVVEPILLEVDHIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGERSCYDEGKRTAETLAMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQTIRKHPMTVYGDGKQTRSFQYVSDLVEGLVALMENDHVGPFNLGNPGEFTMLELAEVVKEVIDPSATIEFKPNTADDPHKRKPDISKAKELLNWEPKISLREGLPRMVSDFRNRILNEDEGKGL >fgenesh2_kg.5__1725__AT3G53530.2 pep chromosome:v.1.0:5:16621685:16623401:1 gene:fgenesh2_kg.5__1725__AT3G53530.2 transcript:fgenesh2_kg.5__1725__AT3G53530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7LUJ1] MKANMFYCASQASTATANDERTVTARAIDRHNPIIKDGRRSFTAPCSSGDDYVAPYRQLSKITRIPSSSGDGKSVQVDKGRRSNSGSLMKLISSDVSLARKSFGCVVATPKTPPGSTRYLLGSDPVSLAGSTGQDTVATVEGEAFGPKIGSSGAVEEKKKSYGSGSDQAVVLRVSLHCHCRGCQGKVKKHLSKMQVGVTSFDIDFASKKVTVTGDITPLEVLGCLSKVKNAQFWTPPPSSIPRANLET >fgenesh2_kg.5__1728__AT3G53550.1 pep chromosome:v.1.0:5:16634054:16635247:1 gene:fgenesh2_kg.5__1728__AT3G53550.1 transcript:fgenesh2_kg.5__1728__AT3G53550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LUJ3] VRELCFMLTWSAEPTSLPKSLYTCETLVSLCLSDKILIDVPSLACLPSLKENEGIVGTLVIDSPVLKKIFITDYSGDFCSFENKPRLDKAKINLLHYPDNKFMRSLSSIMCLELILSVATLAWLNAITFSRLIECKLIILNELEYWLEPLMSFKRLEKELPISWNQPSSVPECLSNHLEIFEWKGYRGRREEKEIMRYILANSKCLKRVGVFLRSTNKDKTMKELESMSRVSTSSHLIFSTQVEYMSVNNEVMSD >fgenesh2_kg.5__172__AT2G02740.1 pep chromosome:v.1.0:5:993741:995417:1 gene:fgenesh2_kg.5__172__AT2G02740.1 transcript:fgenesh2_kg.5__172__AT2G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATWHY3/PTAC11 [Source:UniProtKB/TrEMBL;Acc:D7LQ57] MSQLLSSPPMAVFSKPFINYKFTDARFPSSHSILSSGDFAGKIVPLKPMARLKLSVKSRQDDYFEKQRFGDSSSSQNAEGSSPRFYVGHSIYKGKAALTIEPRAPEFVALESGAFKLTKEGFLLLQFAPAAGVRQYDWSRKQVFSLSVTEIGNLVSLGPRESCEFFHDPFKGKGSDEGKVRKVLKVEPLPDGSGRFFNLSVQNKLLNVDESVYIPITKAEFAVLISAFNFILPHLIGWSAFANSIKPEDANRLNNASPKYGGDYEWSR >fgenesh2_kg.5__1736__AT3G53600.1 pep chromosome:v.1.0:5:16659785:16660312:1 gene:fgenesh2_kg.5__1736__AT3G53600.1 transcript:fgenesh2_kg.5__1736__AT3G53600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LUK3] MKRERSDYEESMKHIDIVESLMMLSRSFVVKQIDVKQSTGSKTNHNNHFECKTCNRKFDSFQALGGHRASHKKPKLIVDQEQVKHRNNENDMHKCTICDQMFGTGQALGGHMRKHRTSMITEQSVIPSVVYSRPVLNQCNSNKKILDLNLTPLENDLVLIFGKNLVPQIDLKFVN >fgenesh2_kg.5__1739__AT3G53610.1 pep chromosome:v.1.0:5:16660483:16662774:-1 gene:fgenesh2_kg.5__1739__AT3G53610.1 transcript:fgenesh2_kg.5__1739__AT3G53610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRAB8 [Source:UniProtKB/TrEMBL;Acc:D7LUK4] MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDSVNKILVGNKADMDESKRAVPKSKGQALADEYGMKFFETSAKTNLNVEEVFFSIAKDIKQRLADTDARAEPQTIKINQSDQGAGTSQATQKSACCGT >fgenesh2_kg.5__1740__AT3G53620.1 pep chromosome:v.1.0:5:16665309:16668416:1 gene:fgenesh2_kg.5__1740__AT3G53620.1 transcript:fgenesh2_kg.5__1740__AT3G53620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPIEVSTKTYVEKHVSLPTLNERILSSMSHRSVAAHPWHDLEIGPEAPIIFNCVVEIGKGSKVKYELDKTTGLIKVDRILYSSVVYPHNYGFIPRTLCEDSDPIDVLVIMQEPVIPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELPPHRMAEIRRFFEDYKKNENKEVAVNDFLPATAAYDAVQHSMDLYADYVVENLRR >fgenesh2_kg.5__1741__AT3G53630.1 pep chromosome:v.1.0:5:16670412:16672678:1 gene:fgenesh2_kg.5__1741__AT3G53630.1 transcript:fgenesh2_kg.5__1741__AT3G53630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFNGNSNIDNILIQTLLGRLQIRPPNSHFLSQSLDDLLFKSDDSDGDDDGEGQTSLDREEARLEKELIRVIVSGRSDSLKPNSGQAVTVNEHHICVGFHEDEESDYRVWEWHGHIMLFDEENGYTPEYIYGNYFERLPVKLPNRRVEKEKKEVKEEEVENLGLRELIDGGDAARGRILHRNNINIGSSRV >fgenesh2_kg.5__1746__AT3G53640.1 pep chromosome:v.1.0:5:16681168:16683372:-1 gene:fgenesh2_kg.5__1746__AT3G53640.1 transcript:fgenesh2_kg.5__1746__AT3G53640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDKMVESNHRKHRRSYSPSEEVVKSSKRQKHHHHKHHHDHKHRHSHHLDEENVSMSLGDGGELEMEEGEISKRGSIDRDSNRDHYRSSRRSRDKARENERERRKDQDRDRGRRELQSDQEKYKSGGDGYGEVRHEDDLDSVKGHKPNGCSFQETQGNVEKSETDNDDEGDDVVWEVEEQEALDLNRIEESRRITQAILEKYKKKLEQSSTVADVQAKVGLDTEAVDGEVAKLSSTVGESPARLVISDLHRTLASAGVEEGSPKNDMFSDDIFGESPAAGTRKGNGLVTFVRSGLHDNWDDAEGYYSYQFGELLDDRYEIMATHGKGVFSTVVRAKDTKPELAEPEEVAIKFIRNNETMHKAGQAEIRILKKLAGSDPENKRHCVRLLSTFKYRNHLCLVFESLHLNLREVVKKIGVNIGLKLSDVRVYAEQLFISLKHLKNCGVLHCDIKPDNILVNEGRNMLKLCDFGSAMFAGENQVTPYLVSRFYRAPEIILGLSYDHPLDIWSVGCCLYELYSGKIMFPGSTNNDMLRLHMELKGPFPKKMLRKGAFIDQHFDKDLCFYATEEDSVTGKTIRRIMVNIKPKDLGSVIRRRYEDEDPKVLVHFRNLLDKIFTLDPQKRLTVSQALAHPFITGK >fgenesh2_kg.5__1747__AT3G53670.1 pep chromosome:v.1.0:5:16690065:16691901:1 gene:fgenesh2_kg.5__1747__AT3G53670.1 transcript:fgenesh2_kg.5__1747__AT3G53670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCGGLDFKDAESSSASSPNICSNGSSKHISCVGSDDAQESDGDDSGYIQQYVIEDSKDKAICEPILESLPLESLDDETEDKNLASALQDMFSESMSVVTLIPAIKGGREKHGKSLEKLRVSWAEDVYDPPPSIVSHTRSKKQQPQKSKSKDNLKKNGKKGQKGSSHSRGSKDKKQISSRSSSKYSRDKFDWATQMSVLAASS >fgenesh2_kg.5__1752__AT3G53710.1 pep chromosome:v.1.0:5:16703728:16706037:-1 gene:fgenesh2_kg.5__1752__AT3G53710.1 transcript:fgenesh2_kg.5__1752__AT3G53710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARQLRTLQSQPENKVCVDCAQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMEAGGNERLNKFFAQYGIAKETDIISKYNSNAASVYRDRIQALAEGRPWNDPPVVKEANKKPPLAQGGYGNNNNNNNGGWDSWDNDDSYKSSTDMRRNQSANDFRASGTRGGAHVKSKSSSDIYTRSELEASAAGKESFFARRMAENESKPEGLPPSQGGKYVGFGSSSAPPPRSNQQDDVFSVVSQGFGRLSLVAASAAQSAASVVQTGTKEFTSKVKEGGYDHKVSETVNVVANKTTEIGHRTWGIMKGVMAMATQKVEEFTKEGTTSWNQQSESEGNGYYQNFGNGNKAANSSVGGGRSQSSSSGHYNNSQNSNSWDSWGENENKKTEAAAPKGSSASNDDDGWTGWDDHDAKDDGFDGHYQSAGDKKSVGHNEKSDSAWTGGGFL >fgenesh2_kg.5__1755__AT3G53730.1 pep chromosome:v.1.0:5:16718050:16718462:-1 gene:fgenesh2_kg.5__1755__AT3G53730.1 transcript:fgenesh2_kg.5__1755__AT3G53730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:D7LK81] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >fgenesh2_kg.5__1758__AT3G53740.2 pep chromosome:v.1.0:5:16722761:16724157:-1 gene:fgenesh2_kg.5__1758__AT3G53740.2 transcript:fgenesh2_kg.5__1758__AT3G53740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L36 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LUL9] MTTPQVKTGLFVGLNKGHVVTRRELAPRPRSRKGKTSKRTIFIRNLIKEVAGMAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKRKREEMSSTLRKMRCGGGGATEK >fgenesh2_kg.5__175__AT2G02760.1 pep chromosome:v.1.0:5:997874:1003345:1 gene:fgenesh2_kg.5__175__AT2G02760.1 transcript:fgenesh2_kg.5__175__AT2G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquiting-conjugating enzyme 2 [Source:UniProtKB/TrEMBL;Acc:D7LQ59] MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLSLQFSEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSESKREYNRRVREVVEQSWTAD >fgenesh2_kg.5__1762__AT3G53760.1 pep chromosome:v.1.0:5:16727017:16731441:-1 gene:fgenesh2_kg.5__1762__AT3G53760.1 transcript:fgenesh2_kg.5__1762__AT3G53760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:D7LUM1] MLHELLLALLGFTGDLIVDEREQRKTLGLAFNSDSPLSDECTFKLAPDISFIEPSERDLIERLIKLGFYYRELDRFAKKSRNLSWIRSVTSVHPLERADELSKQSREKKPSVYRRAIANGIGEILSVYRSAVLHIEQKLLAETTPILATVTQGLNKFFVLFPPLYEVILEIERDDIRGGQLLNVLNKRCHCGVPELRTCLQRLLWHGHQVMYNQLAAWMVYGILQDPHGEFFIKRQEDGDLDHRSSQEEISEKLARTSVHETSLTDWHSGFHISLDMLPDYISMRLGESILFAGKAIRVLRNPSPAFQFQKDKSFQQTMGGSQRIQGFMHSDFPETETELDADLTGGELLPQSEADKIEAMLKELKESSEFHKRSFECTVDSVRAIAASHLWQLVVVRADLSGHLKALKDYFLLEKGDFFQCFLEESRQLMRLPPRQSTGESDLMVPFQLAATKTIAEEDKYFSRVSLRMPSFGVTVRSSQADMARSKVSLAGKANLTSDTSVDGWDAIALEYSVDWPMQLFFTQEVLSKYLKVFQYLIRLKRTQMELEKSWASVMHQDHIESAQHRKDGINGSTSQQRRQGIRPMWRVREHMAFLIRNLQFYIQVDVIESQWKVLQTHIHDSQDFTELVGFHQEYLSALISQSFLDIGSVSRILDSIMKLCLQFCWNIESQENNPNTSELENIAEEFNKKSNSLYTILRSSKLAGSQRAPFLRRFLLRLNFNSFYEATARGVLNVVRQRPALPL >fgenesh2_kg.5__1766__AT3G53800.1 pep chromosome:v.1.0:5:16742225:16743914:1 gene:fgenesh2_kg.5__1766__AT3G53800.1 transcript:fgenesh2_kg.5__1766__AT3G53800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LUM5] MANNGPNWDGLLKWSLSHSDGSSSSSRISEEDRQWFMEAMQAHTIDSISRMKVISQIMKMPEQVLEAQGITPDDLEGMLDELQEHVESIDLANDLHSIGGLVPLLSYLMNSNAKIRAKSADVLTTVVQNNPRSQQLVMEANGFEPLFTNFIADPDIRVRTKALGAISSLIRNNQPGITAFRLANGYAGLRDALVSDTVRFQRKALNLIHYLLQESNSDCKIVRDLGFPRIMIYLASNQDFEVREFALRGLLELAHEESDRNLDRADVNLRQLLEERTRSIIVMSDEDLCAAREERQLVDSLWTVCYDEPSHLRERGLVYLPSDDELAPDVVRDRFEPPLRAWAARRHDETSEPPVPLLLGPAP >fgenesh2_kg.5__1767__AT3G53810.1 pep chromosome:v.1.0:5:16744186:16746371:-1 gene:fgenesh2_kg.5__1767__AT3G53810.1 transcript:fgenesh2_kg.5__1767__AT3G53810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIKLKLVFFFIFLLCQILRSSSQNLNFTYNGFHPPLTDISLQGLATVTPNGLLKLTNTSVQKTGHAFYSEKIRFKDSPNGYVSSFSTTFVFAIHSQIPTLSGHGIAFVVAPTLGLPFALPSQYIGLFNISNNGNDTNHIFAVEFDTIQSSEFGDPNDNHVGIDINGLRSANYSTAGYWDNHDEFRNLSLISRKRIQVWIDYDNRSHRIDVTMAPFDSDKPRKPLVSYVRDLSSILLEDMYVGFSSATGSVLSEHFVVGWSFRLNGEAPMLLLSKLPKLPRFEPRRISEFYKIGMPLISLSLIFSIIFLAFYIVRRKKKYEEELDDWETEFGKNRFRFKELYHATKGFKEKDLLGSGGFGRVYRGILPSTKLEVAVKRVSHDSKQGMKEFVAEIVSIGRMSHRNLVPLLGYCRRRGELLLVYDYMPNGSLDKYLYNNPETTLDWKQRSKIIKGVASGLFYLHEEWEQVVIHRDVKASNVLLDADFNGRLGDFGLARLYDHGSDPQTTHVVGTLGYLAPEHSRTGRATTATDVYAFGAFLLEVVSGRRPIEIHSASDDTFLLVEWVFSLWLRGNIMAAKDPNLGSSGYDLEEVEMVLKLGLLCSHSDPRARPSMRQVLQYLRGDMALPELTPLDLSAGSVMNLGGRDGFSGIAMSDLSSVFKGFTGGSSIAESLLSGGR >fgenesh2_kg.5__1769__AT3G53830.1 pep chromosome:v.1.0:5:16753487:16756501:1 gene:fgenesh2_kg.5__1769__AT3G53830.1 transcript:fgenesh2_kg.5__1769__AT3G53830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNIGGKVPIGECKATVVYMSGYLPGAAPEKSPILSPVPVRLPAAVHGGDSWKDVCGGGCGFAMAISEKGKLITWGSTDDEGQSYVASGKHGETPELFPLPTEAPVVQASSGWAHCAVVTEAGEAFTWGWKECIPSKDPVGKQQSGSSEQGEIASQGSNAASGMTLQNETRKVGEDSVKRRRVSTAKDETEGHTSGGDFFATAPSLVSVGLGVRITSVATGGRHTLALSVEDSFEDDHVFAEVSDIGQIWGWGYGGEGQLGLGSRIKMVSSPHLIPCLESIGSGKERSFILHQGGTTTTSTQVSREPGRYIKAISCGGRHSAAITDAGGLITFGWGLYGQCGHGNTNDQLRPMAVSSMKSVRMESVAAGLWHTICISSDGKVYAFGGNQFGQLGTGTDHAEILPRLLGGQNLEGKYAKAVSCGARHSAVLAEDGQLLCWGWNKYGQLGLGDTNDRSIPTQVQLDGCRLRKVACGWWHTLLLADIPT >fgenesh2_kg.5__1770__AT3G53850.1 pep chromosome:v.1.0:5:16756703:16757777:-1 gene:fgenesh2_kg.5__1770__AT3G53850.1 transcript:fgenesh2_kg.5__1770__AT3G53850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:D7LUM9] MKKLFGGPGTVCGLLLRIGQCASAAASIGVMVSAKEFSVHTAFCYLIASMGLQLLWSFGLACLDVYALRGKKDLQNPILVSLFVVGDWVTAMLSLAAACSSAGVVVLYEKDIKYCNTQSQYPCLRYEVAVALSFVTWIQIAVSSHVTFWILASV >fgenesh2_kg.5__1772__AT3G53870.1 pep chromosome:v.1.0:5:16760210:16761650:1 gene:fgenesh2_kg.5__1772__AT3G53870.1 transcript:fgenesh2_kg.5__1772__AT3G53870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3 [Source:UniProtKB/TrEMBL;Acc:D7LUN1] MTTQISKKRKFVADGVFYAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSLVQKRFKFPVDSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAARAKSMKFKDGYMVSSGQPTKEYIDSAVRHVLLRQGVLGIKVKVMLDWDPKGKLGPMTPLPDVVIIHSPKEEETYVAPAQVAAPAALIAEAPLTAVDYPAMIPVA >fgenesh2_kg.5__1773__AT3G53880.1 pep chromosome:v.1.0:5:16762956:16765022:1 gene:fgenesh2_kg.5__1773__AT3G53880.1 transcript:fgenesh2_kg.5__1773__AT3G53880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LUN2] MADEIGFFQLNTGAKIPSVGLGTWQAAPGVVGDAVAAAVKIGYQHIDCASRYGNEIEIGKVLKKLFDDGVVKREKLFITSKIWLTDLDPPDVQEALNRTLQDLQLDYVDLYLMHWPVRLKKGAVDFKPENIMPIDIPSTWKAMEALYDSGKARAIGVSNFSTKKLSDLVEAARVPPTVNQVECHPSWQQHKLHEFCKSKGIHLSGYSPLGSPGTTWVKADVLKSPVIETVAKELGKSPAQTALRWGLQMGHSILPKSTNENRIRENFDVLGWSISEEMFDKFSKIEQVRLVQGTSFVHETLSPYKTLEELWDGEI >fgenesh2_kg.5__1777__AT3G53940.1 pep chromosome:v.1.0:5:16782300:16785028:-1 gene:fgenesh2_kg.5__1777__AT3G53940.1 transcript:fgenesh2_kg.5__1777__AT3G53940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7LUN7] MEARVGVVVEGGQRALNTATATAVHNSVVDAGNRKLLQQQPQTQTQSCHQHHQCNKQSLNQQQGHFGTVERLLAGGIAGAFSKTCTAPLARLTILFQIQGMQSEAAILSSPNIWHEASRIVKEEGFRAFWKGNLVTVAHRLPYGAVNFYAYEEYKTFLHSNPVLQSYKGNAGLDISVHFVSGGLAGLTAASATYPLDLVRTRLSAQRNSIYYQGVGHAFRTICREEGILGLYKGLGATLLGVGPSLAISFAAYETFKTFWLSHRPNDSNAVVSLGCGSLSGIVSSTATFPLDLVRRRMQLEGAGGRARVYTTGLFGTFKHIFKTEGMRGLYRGIIPEYYKVVPGVGIAFMTFEELKKLLSSAPN >fgenesh2_kg.5__1778__AT3G53950.1 pep chromosome:v.1.0:5:16787477:16789225:1 gene:fgenesh2_kg.5__1778__AT3G53950.1 transcript:fgenesh2_kg.5__1778__AT3G53950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFVPSYTPGIVLVLQTILLFSIARADLPGTWELIVQDAGIASMHTAVTRFNTVILLDRTNIGPSRKALDRHRCRRDPKDAALKHDCYAHSVLFDLGTNQIRPLMIQTDTWCSSGQFLSDGSLLQTGGDKDGFKKIRKFEPCDPNETCDWVELQDTELITGRWYATNQILPDGSVIIVGGRGTNTVEYYPPRQNGAVPFQFLADVEDKQMDNLYPYVHLLPDDDGGHLFVFANSRAVKYDHRLNTVVREYPPLDGGPRNYPSGGSSAMLAIQGDFTTAEILICGGAQSGAFTARAIDAPAHGTCGRIIATAADPVWVTEEMPFGRIMGDMVNLPTGEILIINGAQAGSQGFEMGSDPCLYPLLYRPDQPIGLRFMTLNPGTVPRMYHSTANLLPDGRILLAGSNPHYFYKFNAEFPTELRIEAFSPEYLSPDRANLRPEIREIPQIVRYGEVFDVFVTVPLPVVEIIQMNWGSAPFATHSFSQGQRLVKLTVAPSVPDGVGRYRIQCTAPPNGAVSPPGYYMAFAVNQGVPSIARWIRIVS >fgenesh2_kg.5__177__AT2G02790.1 pep chromosome:v.1.0:5:1009036:1012082:1 gene:fgenesh2_kg.5__177__AT2G02790.1 transcript:fgenesh2_kg.5__177__AT2G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 29 [Source:UniProtKB/TrEMBL;Acc:D7LQ61] MGKTPSPGKWIKSLLGKKSSKSSLEKGGEKLRSAKKEELVVKVKDNNVSKLPTEPPAISSQEVAATQTVVVPDVVITEKQPSGDIEGNESSNVNLESGNDSEEVKLEEAATKVQAAFRAQQAREEFQNLKGIIRLQAVIRGHLVRRQAVATYSCIWGIVKVQALVRGKKARSSETVAQLQKTITETETSETLQGSTYSWMENPTKLSMIDKLLVSSPTTLPLKIQYSPEDPNSAKVWLGRWTQLQVWAPGPLVVKNLVPKSQTKKRSFQAVEMEKGKLKKGVKKPSGGSNTGNSSSSRSTADNEKPKRTVRKASTLGKELSRIENDKSKQSSRKSTSALKEGSSVEVKDEKPRISLKKAPLSNGIGKATRKSAEKKKEIADAVQKELPIEEVSASVADAPEDEKMNLIPETILKDSDLDKDEKSLVLDNPEQEELRTAERDDKAEEELQTAERDDKAEEEIQEPDVQISSENGNVASENTKPSDRRASLPAKIENHHQDDGLTQSGRKIPSYMAPTASAKARIRGQGSPRIAQEKPEKNGTTRRHSLPPAANGKPSTMSPRAHRLLIASAKGSMNSDRSFSSSKDIGGKRFKPIINYTQASSITFTLSSIYILLTHFVHVYFRQVDES >fgenesh2_kg.5__1781__AT3G53970.1 pep chromosome:v.1.0:5:16801504:16803733:1 gene:fgenesh2_kg.5__1781__AT3G53970.1 transcript:fgenesh2_kg.5__1781__AT3G53970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSQTVMAMIRLARPPFRNNHDKVAFAIHSSFIASGYILTATGRPAFADDALSSSSQNDVGIEGWNEFDGEYAFVYANPKKGSKKILVKCLAMDDKLLVDAIADGGAEPAHLEIKVDKYAEESIEGDYSAQFKNLDKLVTDLQSQILDKLDGKPKPVASRAQSSSERNEEPRYYDDTPNPLGPQIHPSGVVVPPIPGNGGYSDLFPGPGAGMYPGRGGFGDGSMLVGPSDPRFFPFGDGSDRPGFMGPPQPSMPPPGARFDPYGPPGVPGFEPGRFTRQPPRGPGGGHPDLEHFPGGSDFI >fgenesh2_kg.5__1783__AT3G53980.2 pep chromosome:v.1.0:5:16803989:16804650:-1 gene:fgenesh2_kg.5__1783__AT3G53980.2 transcript:fgenesh2_kg.5__1783__AT3G53980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7LUP2] MASSIKFLCILGLIFLVGAVVDGAGECGRSSPDNEAMKLAPCAGAAQDANTAVPGGCCTQIKRFSQNPKCLCAILLSDTAKASGVDPEVALTIPKRCNFANRPVGYKCGPYTLP >fgenesh2_kg.5__1786__AT3G54000.1 pep chromosome:v.1.0:5:16814058:16816078:1 gene:fgenesh2_kg.5__1786__AT3G54000.1 transcript:fgenesh2_kg.5__1786__AT3G54000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSVIDDAEFWLPPEFLTDDDFLVEKENNGVGIDDSLFPYEPRHGFGSTVKPKTVKKDDEESFLAGLTQQMVKSSLEDDFSGGFCGNHAFPAGNDNKAWGMNRPSLCVAGTGCCCRNQRLNQNSNSRVSSWDLYCAAERMSINDEPYHTGRGLLSPPTKHSSVAAAVENHSNNGTGYYNNHQSLQYQKLQAIQYQQLKQQQLMKHHRQLVHQSRGVIVNGNKNVGPVDLSSSAWSNQLPRREVMRAVFIGDHTGKRGSTGTGVFLPRSVNHASRTETREKPTISTVLVPARLAQVLNLNLGEPVRSSTNLNDMSWRQRSNNGGFSSQMHGGVRAEQSVQEPRLPSEWAY >fgenesh2_kg.5__178__AT2G02800.1 pep chromosome:v.1.0:5:1012809:1015452:-1 gene:fgenesh2_kg.5__178__AT2G02800.1 transcript:fgenesh2_kg.5__178__AT2G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLDSSAKVDSSSHSPHANSASLSSRVSSKTSRSTVPSSLSINSYSSVESLPTPRTEGEILSSPNLKAFTFNELKNATRNFRPDSLLGEGGFGYVFKGWIDGTTLTASKPGSGIVVAVKKLKTEGFQGHKEWLTEVNYLGQLSHPNLVKLVGYCVEGENRLLVYEFMPKGSLENHLFRRGAQPLTWAIRMKVAIGAAKGLTFLHDAKSQVIYRDFKAANILLDAEFNSKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDKSKVGMEQSLVDWATPYLGDKRKLFRIMDTRLGGQYPQKGAYTAASLALQCLNPDAKLRPKMSEVLAKLDQLESTKPGTGIGNRQAQIDSPRGSNGSVVQKSPRRYSYDRPLLHITPGASPLPSHNHSPRVR >fgenesh2_kg.5__1791__AT3G54030.1 pep chromosome:v.1.0:5:16836989:16839730:1 gene:fgenesh2_kg.5__1791__AT3G54030.1 transcript:fgenesh2_kg.5__1791__AT3G54030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LUP6] MGARCSKFSFCLFPSHFKSASVLESPDLGLNGGKVWPSFKEFRLEQLKSATGGFSSDNIVSEHGEKAPNVVYRGRLDDGRLIAVKRFNRLAWADHRQFLDEAKAVGSLRSDRLANLIGCCYEGEERLLVAEFMPHETLAKHLFHWENHPMKWAMRLRVALCLAQALEYCSNKGRALYHDLNAYRVLFDKDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVFSFGTVLLDLMSGKHIPPSHALDLIRGKNCAMLMDSALEGHFSNEDGTELVRLATRCLQYEARERPNVKSLVTSLVTLQKESDVASYVLMGIPHETEAEEESPLSLTPFGDACLRVDLTAIHEILSKIGYKDDEGIANELSFQMWTNQMQESLNSKKQGDLAFRSKDFTTAVDCYTQFIDGGTMVSPTVHARRCLSYLMNENAQEALTDALQAQVVSPEWPTALYLQAACLFKLGMEGDAQQALKDGTTLEAKKSNKR >fgenesh2_kg.5__1792__AT3G54040.1 pep chromosome:v.1.0:5:16843458:16845309:-1 gene:fgenesh2_kg.5__1792__AT3G54040.1 transcript:fgenesh2_kg.5__1792__AT3G54040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSLKLPIFLILSSLLHAALGENIICENLPTTMCAFSISASGKRCILETANVAGEFTCRTSAVDVEGIVNHVETDECVSACGVDRKTVGISSDSLMEAGFAAKLCSSACLDYCPNILDLYFNLAAGEGVFLPDLCDAQRMNPHRSMLEILSSGAAPGPVSEVAPGPTSEDVSSPALAPASM >fgenesh2_kg.5__1793__AT3G54050.1 pep chromosome:v.1.0:5:16847008:16848857:1 gene:fgenesh2_kg.5__1793__AT3G54050.1 transcript:fgenesh2_kg.5__1793__AT3G54050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAATTTSSHLLLSSSRHVAAASQPSILFPRSLFSNNGKRATSGRNHHVGGGVRCMAVAADAAAAAETKPAARKKSGYELQTLTSWLLRQEMKGEIDAELTIVMSSISMACKQIASLVQRAGISNLTGVQGAVNVQGEDQKKLDVISNEVFSNCLRSSGRTGIIASEEEDVPVAVEESYSGNYVVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECIVDDSDDISALGSEEQRCIVNVCQPGNNLLAAGYCMYSSSVIFVLTLGKGVFSFTLDPMYGEFVLTQENIEIPKAGKIYSFNEGNYQMWDGKLKKYIDDLKDPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDAKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRVLDIQPTEIHQRVPLYIGSTEEVEKLEKYLA >fgenesh2_kg.5__1795__AT3G54060.1 pep chromosome:v.1.0:5:16848806:16851234:-1 gene:fgenesh2_kg.5__1795__AT3G54060.1 transcript:fgenesh2_kg.5__1795__AT3G54060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSRSKGSSIIGKGEVTPTQIAFIVDRYLYDNRFSETRNLFRSEASSLISNSPIRQVPNSLMTLDAMLNHYVSLKEQKVSLDQEKVKLDQEKIRVQNLLQGMQNVMNSYNASLTAPPPPPPASAPTFQQKINSVSSSGLTQYNTPNVMSVSLLGNKRVDFRNFSTPSTSQSITGKRKGPEVSVRAPPVTRKARISTATGTNKISQADKAANNFSSQTPSETLALAKNSATNELIGHGSSVVKCLFNTADSSVPTSSTCLRTPQKHASPGSDKSNSPQKEVTPTNCTIVTKERFTVSPLKQITSYSVERSHLISCSPVKSNLKMSNKRDHVKGKLNFDDTDTEMCLEAPASTDLVSTSPSGSEPEVDLFDMDFSNLDFLGENFTLSELLVDFDLGCEGSTNHCLSQTPNQPIETVSGSSPESGDSNLESDQAFLEYTSTVTEVIQGKDMSSQDIDHLPNSSHSSLNTAKV >fgenesh2_kg.5__1798__AT3G54090.1 pep chromosome:v.1.0:5:16854962:16860383:1 gene:fgenesh2_kg.5__1798__AT3G54090.1 transcript:fgenesh2_kg.5__1798__AT3G54090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-type carbohydrate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LUQ2] MASLLLFPHLHHFDSSLDRREIVVGARDSPKSRRFLTPKSSINGGKITNGAAAETAAKPSRKGRKKKQTSTVIEKNNTESDTTETDPELNPALVDYDDGIEFPYDDPPLVCCFGAVQKEFVPVVRVHDNPMHPDMYSQWKMLQWDPPEFGRAPGGPPSNVAISHVRLGGRAAFMGKVGEDDFGDELVLMMNQERVQTRAVKFDENSKTACTRVKIKFKDGKMKAETVKEPPEDSLLASELNLAVLKEARIFHFNSEVLTSPTMQSTLFTAIQWSKKFGGLIFFDLNLPLPLWRSRNETRRLIKKAWNEANIIEVSQQELEFLLDEDYYERRRNYTPQYFAEDFDQTKNRRDYYHYTAEEVKSLWHDKLKLLVVTDGTLRLHYYTPTFDGVVVGTEDVLITPFTCDRTGSGDAVVAGIMRKLTTCPEMFEDQDVLERQLRFAVAAGIIAQWTIGAVRGFPTESATQNLKEQVYVPSMW >fgenesh2_kg.5__1800__AT3G54110.1 pep chromosome:v.1.0:5:16872524:16874923:1 gene:fgenesh2_kg.5__1800__AT3G54110.1 transcript:fgenesh2_kg.5__1800__AT3G54110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPUMP1/UCP1 [Source:UniProtKB/TrEMBL;Acc:D7LUQ4] MVAAGKSDLSLPKTFACSAFAACVGEVCTIPLDTAKVRLQLQKSALAGDVTLPKYRGLLGTVGTIAREEGLRSLWKGVVPGLHRQCLFGGLRIGMYEPVKNLYVGKDFVGDVPLSKKILAGLTTGALGIMVANPTDLVKVRLQAEGKLAAGAPRRYSGALNAYSTIVRQEGVRALWTGLGPNVARNAIINAAELASYDQVKETILKIPGFTDNVVTHILSGLGAGFFAVCIGSPVDVVKSRMMGDSGAYKGTIDCFVKTLKSDGPMAFYKGFIPNFGRLGSWNVIMFLTLEQAKKYVRELDASKRN >fgenesh2_kg.5__1802__AT3G54130.1 pep chromosome:v.1.0:5:16876476:16878363:-1 gene:fgenesh2_kg.5__1802__AT3G54130.1 transcript:fgenesh2_kg.5__1802__AT3G54130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Josephin family protein [Source:UniProtKB/TrEMBL;Acc:D7LUQ6] MERTSNGGMLYHEVQESNLCAVHCVNTVLQGPFFSEFDLAAVAADLDGKERQVMLEGAAVGGFAPGDFLAEESHNVSLGGDFSIQVLQKALEVWDLQVIPLNCPDAEPAQIDPELESAFICHLHDHWFCIRKVNGEWYNFDSLLAAPQHLSKFYLSAFLDSLKGSGWSIFIVKGNFPQECPMSSSSEASNSFGQWLSPEDAERIIKSTSSSVRGSSARNNRSNDNVDQQRPNQALSREEVQAFSEMEDDDLKAAIAASLLDASAAGANLGDMGTSQKETEKEK >fgenesh2_kg.5__1803__AT3G54140.1 pep chromosome:v.1.0:5:16881080:16883527:-1 gene:fgenesh2_kg.5__1803__AT3G54140.1 transcript:fgenesh2_kg.5__1803__AT3G54140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7LUQ9] MEEKDVYTQDGTVDIHKNPANKEKTGNWKACRFILGNECCERLAYYGMGTNLVNYLESRLNQGNATAANNVTNWSGTCYITPLIGAFIADAYLGRYWTIATFVFIYVSGMTLLTLSASVPGLKPGNCNADTCHPNSGQTAVFFVALYMIALGTGGIKPCVSSFGADQFDENDEAEKIKKSSFFNWFYFSINVGALIAATVLVWIQMNVGWGWGFGVPTVAMVIAVCFFFLGSRFYRLQRPGGSPLTRIFQVIVAAFRKMRVKVPEDKSLLFETADDESNIKGSRKLVHTDNLKFFDKAAVEIQSDSIKDGEVNPWRLCSVTQVEELKSIISLLPVWATGIVFATVYSQMNTMFVLQGNTMDQHMGKNFEIPSASLSLFDTVSVLFWTPVYDQFIIPLARKFTRNERGFTQLQRMGIGLVVSIFAMITAGVLEVVRLDYVKSHNAYDQKQIPMSIFWQIPQYLLIGCAEVFTFIGQLEFFYDQAPDAMRSLCSALSLTTVALGNYLSTVLVTVVMKITKKNGKPGWIPDNLNRGHLDYFFYLLETLSFLNFLVYLWISKRYKYKKAVGRAH >fgenesh2_kg.5__1804__AT3G54150.1 pep chromosome:v.1.0:5:16887499:16889528:-1 gene:fgenesh2_kg.5__1804__AT3G54150.1 transcript:fgenesh2_kg.5__1804__AT3G54150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSEKEAEAYLDARPRYPIDWYKKIAARTLDHKFAWDVGTGNGQAAIGLVEHYENVVATDINEAQLKRAIKHSRISYHHTPTTISEDEMVALVGGENSVDLIVAAQAVHFFDLTTFYNVVKRVLRKEGGLIVVWVYNDIIISPEIDPIMKRLVDSTLPFRTPIMNLAFDGYKTLPFPFEAIGMGSEGKPITLDIPHKLSLKGFIGFLRSWQPAMKAKEKGVELINEDLITKFEEAWGDKNQVKDVFYKAHMIVGKFPEVKFESDQVLSQDSNKGLLLETEVGRNHKRRQPSDEGDSRQSKKQNTSEDEA >fgenesh2_kg.5__1807__AT3G54180.1 pep chromosome:v.1.0:5:16898504:16899959:1 gene:fgenesh2_kg.5__1807__AT3G54180.1 transcript:fgenesh2_kg.5__1807__AT3G54180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAMEKGTGKLVALKKTRLEMDEEGIPPTALREISLLQMLSTSIYVVRLLCVEHVHQPSTKSQSTKSNLYLVFEYLDTDLKKFIDSYRKGPNPKPLEPFLIQKLMFQLCKGVAHCHSHGVLHRDLKPQNLLLVKDKELLKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTGVDMWSVGCIFAEMVRRQALFPGDSEFQQLLHIFRLLGTPTEQQWPGVSTLRDWHVYPKWEPQDLALAVPSLSPEGIDLLTKLLKYNPAERISAKTALDHPYFDSLDKSQF >fgenesh2_kg.5__1809__AT3G54200.1 pep chromosome:v.1.0:5:16904669:16905522:1 gene:fgenesh2_kg.5__1809__AT3G54200.1 transcript:fgenesh2_kg.5__1809__AT3G54200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFSIKSDDKKEEKPATAMLAPAPKPNASSMETQSANGGSGKKVRRKRNCKICICFTILLLLLIFVVILILAFTLFKPKRPVTTIDSVTVDRLRASVNPLLLKVILNLTLNVDLSLKNPNRVGFSYDSSSALLNYRGQLIGEAPLPANRIAARQTEPMNLTLTLMADRLLSESQLLSDVMAGVIPLNTFVKVSGKVTVLKVFKIKVQSSSSCDLTISVSDRNVTSQHCKYSTKL >fgenesh2_kg.5__180__AT2G02810.1 pep chromosome:v.1.0:5:1017670:1019670:1 gene:fgenesh2_kg.5__180__AT2G02810.1 transcript:fgenesh2_kg.5__180__AT2G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHGSGFRRIMLLALCISGIWSAYIYQGVLQETLSTKRFGPDEKRFEHLAFLNLAQSVVCLVWSYIMIKLWSSAGNGGAPWWAYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYGIRYTFPEYMCTFLVAGGVSFFALLKTSSKTISKLAHPNAPLGYALCFLNLAFDGFTNATQDSIASRYPKTEAWDIMLGMNLWGTIYNMIYMFGLPQGIGFEAIQFCKLHPEAAWDILKYCLCGAVGQNFIFMTISNFGSLANTTITTTRKFVSIVVSSVMSGNPLSLKQWGCVSMVFGGLSYQIYLKWRKLQRVEKKKQKS >fgenesh2_kg.5__1810__AT3G54210.1 pep chromosome:v.1.0:5:16908636:16909560:-1 gene:fgenesh2_kg.5__1810__AT3G54210.1 transcript:fgenesh2_kg.5__1810__AT3G54210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPISMAMATPTDSVSRVWSMSSLKSALPSAASLRLPSSSSRRPVTLRLPISSPSLPSFSGLSPVNPLLSIGLPDWQSFENGFKIVDGGGRIYAMRHGRRVPKLNRPPDQRKALLRGLTTQLLKHGRIKTTRARASAMRKFVDKMITLAKDGSLHKRRQALGYIYEKQIVHALFAEVPDRYGERNGGYTRIIRTLPRRGDNAPMAYIELL >fgenesh2_kg.5__1811__AT3G54220.1 pep chromosome:v.1.0:5:16911852:16914460:1 gene:fgenesh2_kg.5__1811__AT3G54220.1 transcript:fgenesh2_kg.5__1811__AT3G54220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGDFNGGQPPPHSPPRTTSSGSSSSNNRSAPPPPPLVMVRKRLASEMSSNPDYNNPSRPPRRVSHLLDSNYNTISPQQPPATVVSSQPNPPLSVCGFSGLPVFPSDRGGRNVMSVQPMDQDSSSSSASPTIWVDAIIRDLIHSSTSVSIPQLIQNVRDIIFPCNPNLGALLEYRLRSLMLLDPSSSSDPSPQTFEPLYQISNNPSPQQQQQQQEHQQQQHKPPPPPIQQQERENSSTDAPPPPETVTATVPAVQTNTAEALRERKEEIKRQKQDEEGLHLLTLLLQCAEAVSADNLEEANKLLLEISQLSTPYGTSAQRVAAYFSEAMSARLLNSCLGIYAALPSRWMPQTHSLKMVSAFQVFNGISPLVKFSHFTANQAIQEAFEKEDSVHIIDLDIMQGLQWPGLFHILASRPGGPPHVRLTGLGTSMEALQATGKRLSDFADKLGLPFEFCPLAEKVGNLDTERLNVRKREAVAVHWLQHSLYDVTGSDAHTLWLLQRLAPKVVTVVEQDLSHAGSFLGRFVEAIHYYSALFDSLGASYGEESEERHVVEQQLLSKEIRNVLAVGGPSRSGEVKFESWREKMQQCGFKGISLAGNAATQATLLLGMFPSDGYTLVDDNGTLKLGWKDLSLLTASAWTPRS >fgenesh2_kg.5__1812__AT3G54230.1 pep chromosome:v.1.0:5:16914877:16921801:1 gene:fgenesh2_kg.5__1812__AT3G54230.1 transcript:fgenesh2_kg.5__1812__AT3G54230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7LUR8] MDPSRYGRQQEWDNNSAPEGFGTQHDPNHRFGASYDERYPDERLMRDDVYNYPPGHDTLGALPQSRRRNYEENYHREHRRQEKPYIDSNYAADYYHDSEAGSRNGYYHDHEHERSSRYDGRNDYSCNDNNYRSRNYQHSRDDGREKDYDYSRRSYDSDYERGSVRDGSRKSRDPQDRDRISRDREWDSRDRDWDKKCFSRERDESPHKRYEKSRSRSTGRGEFSRSRSPRGRSHGRSYREDSYEGDHWNESERQREYEDRHDQDHFSATPSATVVVKGLSMKSTEEDLYQILAEWGPLHHVRVIREQNSGISRGFAFIDFSTVDAARTMMDRLEHDGIVLNGRKLSFHYSSQPTGRAGVSRRQEHASRRSYGGSRNMIVPTDWICTICGCINFARRTSCFQCNEPKTKDSPSADVGLSNSAPGKRISETGPTHVLVVRGLDEDADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFCSVEDATKALEATNGTALERNGKILRVAYAKSVHGSGTGISAPSHSNNLAAAAIEAATFSQQYDGVGWAPKEYNPDEKQTTGGQAQGVGEIESQKGTSAPQSGYVWDEASGYYYDAASGYYYDGNSGLYYDSNSGLWYSYDQQTQQYVPCPDQNNESKLTENQPDSAKKEKSSQQKVIISAATTPNVEKALSLPDAVQAAAAAAIASEKREKERVKEIKLASKTSLLASKKKMNNVLTMWKQRSHETQIQRPSPSLVDNPPTVLAEARSSFSTGQSMGKLKSDVIIAKERSNSNHGVSALTTAESSSSSTTGGPLMGVMRGSFGGTVGGASSSASVQVPPVLPSASSVSAPVSVSGSGRRRFSEKPTAVPTHREQTQTSYRDRAAERRNLYGSSTSSGNDVIDSSEDLMGLRKGSLDPTPFPPGVGGRGIITTTEVSSFDVITEERAIDESNVGNRMLRNMGWHEGSGLGKDGSGMKEPVQAQGVDRRAGLGSQQKKVDAEFEVQPGDTYRTLLHKKALARFRDMSDNN >fgenesh2_kg.5__1813__AT3G54240.1 pep chromosome:v.1.0:5:16922677:16923941:1 gene:fgenesh2_kg.5__1813__AT3G54240.1 transcript:fgenesh2_kg.5__1813__AT3G54240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7LUR9] MKKWLITGAVVIVLIGLGAWAFVSIRPPPPKRCGTPGGPPITAPRIRLSDGRYLAYEEHGVSRQNATFKIIFIHAFSTFRRDAVIANRVRPGFLEKNGIYVVSYDRPGYGESDPHSSRSEKTLAHDVEQLADQLQLGSKFYVVGYSMGGQAVWGVLKYIPHRLAGATLLCPVTNSWWPSFPDSLTWELWNKQSKSERFAMLVTHHTPWLLYWWNNQKLFATTAVMQSSPNMFSPQDLALLPKLAARVSYKNQTTQQGTHESLDRDLIVGFGKWSFDPMKIENPFPKGEGSVHMWQGDDDRLVPIQLQRIIAQKLSWIKYHEIPGAGHIFPMADGMAETVLKELLPIPQSS >fgenesh2_kg.5__1815__AT3G54260.1 pep chromosome:v.1.0:5:16927076:16930486:-1 gene:fgenesh2_kg.5__1815__AT3G54260.1 transcript:fgenesh2_kg.5__1815__AT3G54260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNTRVLFLSLCLILSQEAFSQFDELWLVGDDDPLNALQTRRERRGERCDYSVGKWMYDETYPLYDSSCPYLSSALSCQRNGRPDSYYQKWRWIPKACSLPRFDALKFLGKMRGKRIMLVGDSIMRNQWESLVCLVQSVIPTHRKKLTYNGPTISFHSLDFETSIEFCWAPLLVELKRGADRIRVLHLDSIEDNARYWRGVDVLVFDSAHWWTHSQKWSSWDYYMDGNKVFKAMDPMVAYERGLTTWAEWVEINLDPSKTKVIFRTVSPRESGQMCYNQKHPLPSLSSSSKPHVPQQSRVLNKVLSKMKYRVYLHDITTMSAYRRDGHPSVFKRAMHEEEKHHRSTGPSSDCSHWCLPGVPDIWNEMLSSIILTNVV >fgenesh2_kg.5__1818__AT3G54290.1 pep chromosome:v.1.0:5:16948383:16949995:-1 gene:fgenesh2_kg.5__1818__AT3G54290.1 transcript:fgenesh2_kg.5__1818__AT3G54290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCFSSSTKSTAEISPFDLVVKPPPSSTAAATAPPTQRIPTATATKTETVSFAATVRLYGPPNSLVTSYLRFALLHKKVPLRFVPSEDQKPTIQIGSETVSGGSLEVLLRYIEDKFPEPRLMIWKFNLEGFDEATPLIVRAIWLQHRSMLWHMERMLRWSEDLAARGGKKAVDPSVGTPKMEIRKFAKSYTHLQELMLEHAQMEERILFPVLESVDRGMCKSANEEHGRELPMMNGIKEDIKSIGVLDSGICSEALFSLASRFKSLQMMCKTHFEEEEKDLLPMVEAAEMGKEKQKKLLNQGLEVMSGTHSNSFDFLLEGLTPQEAMQYLDLLIKFGDPDLISSFLCSDVVD >fgenesh2_kg.5__181__AT2G02820.2 pep chromosome:v.1.0:5:1020427:1023484:-1 gene:fgenesh2_kg.5__181__AT2G02820.2 transcript:fgenesh2_kg.5__181__AT2G02820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTKQTKKKKKILVNSDDSKKKERHIVTWSPEEDDILRKQISLLGTENWAIIASKFNDKSTRQCRRRWYTYLNSDFKRGGWSPEEDTLLCEAQRLFGNRWTEIAKVVSGRTDNAVKNRFTTLCKKRAKHEAMAKENRIACCVNSNNKRLLFQDGISTPRKAESESPLTKKMRRSHIPDLTEIKSYGDRSHLKVESAMTQQSRPPFSVVAHNATGIDGTKEQKQTGNAKESDGEDKGNQEAFLKKDDPKVTALMQQAELLSSLAQKVNADNTDQSMENAWKVLQDFLNKSKENDLFRYGIPDIDFQLDEFKDLDEDLRISNEDSQSSWRQPDLHDSPASSEYSSGSGSTIMPHPSGDKTQQPMSDTQTTLQKQNDGDLLLDKGIVSDTTVEQVNLLTPCQEVLKNPNEIVPMPGDEEFNSPVQVTPLFRSLAAGIPSPQFSESERNFLLKTLGVESPSPYPSANPSQPPPCKRVLLDSL >fgenesh2_kg.5__1821__AT3G54310.1 pep chromosome:v.1.0:5:16963679:16965491:-1 gene:fgenesh2_kg.5__1821__AT3G54310.1 transcript:fgenesh2_kg.5__1821__AT3G54310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVPPHKRHENVALRASSVPPSLLTKLNKNLGSTNTKIIYANDCISRWFLVGSESEDNNFQLVPVSLKWRKDAEEKSLGILVKSDFGKQETPWLWVTEKVEDDLIKGFDRAKKTLLRYGGSEDVKLRLIARFDTLRDGPLTKSTLENLKKTFRTNVPKSYVENVRYGVVHKMRFCVEETKELYHVKVADNTRPDVTISCKCLAELNALRHLTLDVSCLDQDLDMRLVVDSKGTLTNLSENEIKNLKELTDSAVIDPTVKGGLKWPLGKSSCADRYRVCGVWHTVTTTYRNQTLRLQIREADRYDFRTGIGGTSSEVNFKLKALKSSILLKEEDVERKCVTDMLKDCLKAVWDYFLKEV >fgenesh2_kg.5__1822__AT3G54320.1 pep chromosome:v.1.0:5:16967712:16971635:1 gene:fgenesh2_kg.5__1822__AT3G54320.1 transcript:fgenesh2_kg.5__1822__AT3G54320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRLTTSTSSSSPSSSVSSSTTTSSPIQSEAPRPKRAKRAKKSSPSGDKSHNPTSPASTRRSSIYRGVTRHRWTGRFEAHLWDKSSWNSIQNKKGKQGAYDSEEAAAHTYDLAALKYWGPDTILNFPAETYTKELEEMQRVTKEEYLASLRRQSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYNTQEEAAAAYDMAAIEYRGANAVTNFDISNYIDRLKKKGVFPFPVNQPNHQEAILVEAKQEIETREAKEEPREEVKQQYVEEPPQEEKEEEKAEQQEAEFVGYKDEGAVVNCCIDSSAIMEMNRCGDNNELAWNFCMMDSGFAPFLTDQNLSNENPIEYPELFNELAFEDNIDFMFDEAKNDCLSLENLDCCVVGRESPTSSSSPLSCFSTDSASSTTTTTSVSCNYLGLFVGSE >fgenesh2_kg.5__1825__AT3G54340.1 pep chromosome:v.1.0:5:16972171:16974227:-1 gene:fgenesh2_kg.5__1825__AT3G54340.1 transcript:fgenesh2_kg.5__1825__AT3G54340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APETALA3 [Source:UniProtKB/TrEMBL;Acc:D7LUS9] MARGKIQIKRIENQTNRQVTYSKRRNGLFKKAHELTVLCDARVSIIMFSSSNKLHEYISPNTTTKEIVDLYQTVSDVDVWATQYERMQETKRKLLETNRNLRTQIKQRLGECLDELDIQELRRLEDEMDNTFKLVRERKIKSLGNQIETTKKKNKSQQDIQKNLIHELELRAEDPHYGLVDNGGDYDSVLGYQIEGSRAYALRFHQNHHHHYPNHALHAPSASDIITFHLLE >fgenesh2_kg.5__1829__AT3G54360.1 pep chromosome:v.1.0:5:16988501:16991864:-1 gene:fgenesh2_kg.5__1829__AT3G54360.1 transcript:fgenesh2_kg.5__1829__AT3G54360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LUT2] MTTSVCPFSKAARPDDASTRKQGDTAASGCPFSKAARPDDASARKQGEITASGCPFSKAARPDDASARKQDEIASNGCPEHEGKLNKDSTDSATVPAKCPFGYDSQTFKLGPFSCMLCQALLYESSRCVPCTHVFCKVCVSRFNDCPLCGADIESIEVDENLQKMVDQFIEGHARIKRSVVNGTEKEEIENDNKKVIYADVSMERGSFLVQQAMRAFSAQNYESAKSRLAMCTEDIRDQLGREGNTPELCSQLGAVLGMLGDCSRAMGDSSSAVKHFEESVEFLMKLPLNDLEITHTLSVSLNKIGDLKYYDEDLQAARSYYDRALNVRRDAMKHHPNAPSQILDVAVSLAKVADIDRTLQNEVAATDGFKEGMKLLESLKLDSEDSALEQRRLSVLEFLKKQVEKPEQYAETAL >fgenesh2_kg.5__1830__AT3G54380.1 pep chromosome:v.1.0:5:16992622:16995252:-1 gene:fgenesh2_kg.5__1830__AT3G54380.1 transcript:fgenesh2_kg.5__1830__AT3G54380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP family protein [Source:UniProtKB/TrEMBL;Acc:D7LUT3] MDRRNRGSSSSATSRVSNTYGNRQTSDNPRTGSGGGASDSLRRRSDAPPKRNNDEDESNYKEGDPADVSFIIGTCSSMCPERERVTRERLRDLSVFERLYGNPSKSSTDLAVKKFCRTLSAADVQASDVRPLPVLEETLTYLLSLLDSREHPFEVVHDFIFDRTRSIRQDLSIQNLANERVIYLYEEMVKFHVISHKRLQSCSGTSISSMHHLNMEQLAKTLTSLYNIYDANRKPDYIYENEAEFRSFYVLLHLNSSSGVMGEPLSLWFRKLTFALVKSKEICFVRNLLRLYRMGNYKNFLSRTASEATYLQYCISEPYIREMRSVAVQYINNVCYKLQPYPLLRLSQNLLMKELDVESLCHECGLETCTDPDGFTVLPAKQSTFRNPEDKFKVYDLIGIERIEK >fgenesh2_kg.5__1832__AT3G54390.1 pep chromosome:v.1.0:5:17001648:17002997:-1 gene:fgenesh2_kg.5__1832__AT3G54390.1 transcript:fgenesh2_kg.5__1832__AT3G54390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LUT4] MENGDSNQENPKSLNHDESSKKPSASSVVVDRLKRDEWSEGAVSSLLEAYESKWVLRNRAKLKGQDWEDVARHVSSRATQTKSPKTQTQCKNKIESMKKRYRSESATADGSSWPLYPRLDHLLRGTQPQPQPQAVLPLNCSVPLLLLEPPSPVVAHPPQISYGSNGVGKIPKEDGFKPEQKPEKATEMDTDSSTPVVKTKVRGKKVKRRYKEEKKEIAGSIRWLAEVVMRSERARMETMKEIERMRAEAEAKRGEMDLKRTEIMANTQLEIARLFAVAASSGQNKGVDSSLRIGRN >fgenesh2_kg.5__1834__AT3G54420.1 pep chromosome:v.1.0:5:17011416:17012570:1 gene:fgenesh2_kg.5__1834__AT3G54420.1 transcript:fgenesh2_kg.5__1834__AT3G54420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHTISKAISLVTILLALQAFYNTSKAQNCGCSSELCCSQFGFCGNTSDYCGVGCQQGPCFAPPPANGVTVSEVVTQDFFNGIISQAASSCAGNSFYSRGAFLEALDSYSRFGRVGSTDDSRREIAAFFAHVTHETGHFCYIEEIDGAAKDYCDENATQYPCNPNKGYYGRGPIQLSWNFNYGPAGTAIGFDGLNAPETVGTDPVISFKTALWYWTNRVQPVLSQGFGATIRAINGALECDGANTATVQARVRYYTDYCRQLGVDPGNNLTC >fgenesh2_kg.5__1835__AT3G54430.1 pep chromosome:v.1.0:5:17012615:17013559:-1 gene:fgenesh2_kg.5__1835__AT3G54430.1 transcript:fgenesh2_kg.5__1835__AT3G54430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRNIILLPPPPQITTRLSPSPVNIAAVEDNNTVGEKVCRDCGNRAKKECLFERCRTCCKSRGYNCVTHVKSTWIPSSATRSSSSPSERKKKLKLDKQSSANVSLLPTTTSRQERSFKEGLPGKIEAPAVFKRTRVTAISNNEQAEIGYQATVTISGHVFKGFLHYYGVDHNKAFPCLSQK >fgenesh2_kg.5__1836__AT3G54440.1 pep chromosome:v.1.0:5:17013865:17022452:-1 gene:fgenesh2_kg.5__1836__AT3G54440.1 transcript:fgenesh2_kg.5__1836__AT3G54440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, hydrolyzing O-glycosyl compounds [Source:UniProtKB/TrEMBL;Acc:D7LUT9] MVSLATQMIIPSENGYRVWEDQTLFKWRKRDPHVTLRCHESVQGALRYWYQRNNVDLTVSRSAVWNDDAVQAALDSAAFWVDGLPFVKSLSGYWKFFLAPKPANVPDKFYDPAFPDSDWNALPVPSNWQCHGFDRPIYTNVVYPFPNDPPHVPEDNPTGCYRTYFQIPKEWKDRRILLHFEAVDSAFFAWINGNPVGYSQDSRLPAEFEISDYCYPWDSGKQNVLAVQVFRWSDGSYLEDQDHWWLSGIHRDVLLLAKPKVFIADYFFKSKLADDFSYADIQVEVKIDNMQESSKHLVLSNFIIEAAVFDTKNWYNSEGFNCELSPKVAHLKLNPSPSPTLGFHGYLLEGKLDSPNLWSAEQPNVYILVLTLKDTSGKVLDSESSIVGIRQVSKAFKQLLVNGHPVVIKGVNRHEHHPRVGKTNIEACMVKDLIMMKEYNINAVRNSHYPQHPRWYELCDLFGMYMIDEANIETHGFDLSGHLKHPAKEPSWAAAMLDRVVGMVERDKNHTCIISWSLGNEAGYGPNHSAMAGWIREKDPSRLVHYEGGGSRTSSTDIVCPMYMRVWDIIKIALDQNESRPLILCEYQHAMGNSNGNIDEYWDAIDNTFGLQGGFIWDWVDQGLLKLGSDGIKRWAYGGDFGDQPNDLNFCLNGLIWPDRTPHPALHEVKHCYQPIKVSLTDGLIKVANTYFFHTTEELEFSWKIHGDGLELGSGTLSIPVIKPQNSFEIEWKSGPWFSFWNDSNAGELFLTINAKLLNPTRSLEAGHLLSSTQIPLPAKRQIIPQAIKKTDTIITCETVGDFIKISQQDSWELMINVRKGAIEGWKIQGVLLMKEDILPCFWRAPTDNDKGGGDSSYFLRWKAAQLDNVEFLVESCSVKSITDKAVEIEFIYLGSSASVSSKTDALFKVNVTYLIYGSGDIITNWSVEPNSDLPPLPRVGIEFHIEKTLDRVEWYGKGPFECYPDRKAAAHVAIYEHNVGDMHVPYIVPGESGGRTDVRWVTFRNKDGVGIYASTYGNSSPMQMNASYYTTGELNRATHEEDLIKGQNIEVHLDHKHMGLGGDDSWTPCVHDKYLIPPKPYSFSLRLCPITASTSVLDIYKDQLPC >fgenesh2_kg.5__1839__AT3G54460.1 pep chromosome:v.1.0:5:17029457:17035107:-1 gene:fgenesh2_kg.5__1839__AT3G54460.1 transcript:fgenesh2_kg.5__1839__AT3G54460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LUU1] MADDDHKLCGFLCTVLSVDSPGLLQLGSSCFIFNDGFKSDNGLILSLINPISNLKSLISSEGDQNCGEDGGLQTPQKRRKCVEGESSRTRKSPKSKSRVLSGSKEKTVQGRKRVKSIGMVNGSISVVQQLHALVANKCLNIFSQVVKIDKGENGEERAVVLVDVYLPIALWSGWQFPKSQATAAALFKHLSCDWGLRVSILDEESVWEEANGKIKAIWDLSDCHVFDCKLLCNAPDSPKRRLFKLHEIFKSLPSPGNHDVSCSSRVLPSTDSCASGVWDLSDDVLISILMKLDTKDLFSIAAVCRLFRSLTSLIVPCMNLKLFPHQQAAVGWMLERERKAEVSSHPLYLSFDTEDGFSFYVNAVTGDIITEAAPMVKDFRGGMFCDEPGLGKTITALSLILKTQGTMADPPEGLPIVWCTHKSDKKCAYYEYTSDQFTSNGMFAVKRFQSPSSCRNQFSFEAFRPLLESKSLPLKQARLMDPADQTLESKNSNFENEFETHIPASLDVKAQCRKPLGNVRKNLLHVYDGASELSKVMEAKRIGNWKKCGMITGCKRKGLTDSDVESDIWIQCDSCSKWRRIIDEGVSVTGSAWFCSNNADPAYQSCNDPEELWDRSQPIKYLQGFYTKGASGEENDNISFFTSVLREHKSSVNSTVKKALIWLAKLPLEKLSQMETVGLPGPVLGLNKDALGFQTIFRAFGLKSRVEKGVTKWFYPKFLENLVFDVPALKVALCQPLDTFRLYLSKATLIVVPTNLVNHWTTQIQKHVCSDQLRLLVWTDHIELSPHSLAWDYDVVITTFSRLSAEWNPRKKSPLIQVHWLRVMLDEGHTLGSSVSLTNKFQMAVSLTACSRWLLTGTPTPNTPNSQLSHIQPLLKFLHEKVYGENPKFWEAGILRPFEAEMEEGRLRLLQLLQRCMISSRKKDLKMIPPCIKKVTYLNFLPGHARSYNELVETVRRNILLADWNDPSHVESLLNSKQWKFRSITISNVRLSCCVAGHIKMTDAGHDIKETMDALLENGLDLSTEEYSFIQDSLIGGCNCKRCGEWCRLPVITPCRHLLCLDCVALDSERCTIPGCGYLYEMQTPETLARPENPNPKWPVPKDLIELQPSYKQDDWNPDWQSTSSSKVSYLVDRLRKLREGNRKSILSFNKTDNDNLEDNPAGTSGTFLGKESHGQDCGSQMVFVDKVLIFSQFLEHIHVIEQQLTTAGIKFGKMYSPMHSCNKMKSLAMFQNDADCMALLMDGSAALGLDLSFVTHVFLMEPIWDKSLEEQVISRAHRMGAKRPIFVETLTMCGTIEEQMMRFLEDAEKSDRLLSGDYIEAKQETTRSRRTLHDLAESNYLSHLSFVRCDGKMEFAASQLAGLKDHQLA >fgenesh2_kg.5__183__AT4G13720.1 pep chromosome:v.1.0:5:1138472:1140549:1 gene:fgenesh2_kg.5__183__AT4G13720.1 transcript:fgenesh2_kg.5__183__AT4G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine triphosphate pyrophosphatase [Source:UniProtKB/TrEMBL;Acc:D7LQ80] MAAAKAAVVLPRPVTFVIGNAKKLEEVKAIIGNSIPFKSLKLDLPELQGDPEDISKEKARLAALQVNGPVLVEDTCLCFNALKGLPGVNYDFMRLRDYRLRYVTVINFMLTFISETFLSSNFIWMSWKCKWFLEKLGHEGLNDLLMAYEDKSAYALSAFSFSLGPGAEPLTFLGKTPGKIVPARGPTDFGWDPVFQPDGYDQTYAEMAKEEKNKISHRYKSLAMVKSHFKEAGYVFQTDDGTS >fgenesh2_kg.5__1844__AT3G54500.1 pep chromosome:v.1.0:5:17043940:17047688:-1 gene:fgenesh2_kg.5__1844__AT3G54500.1 transcript:fgenesh2_kg.5__1844__AT3G54500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDWEEEELTNMIWGDDGETGDHIVPFKQLNKKEQSEETKTAKPAEQKITGTKTDLHDDKLGSTSGHNVDDGTPQPDFCMSSWSDSTKDDPDLSATQLSKCLAEPARYDSTREKTSELGKGPDIFHSSDESKEQGDFDDYSWANIGSFDDLDRMFSNDVPIFGDGSLSGGDELWSSSKDVSNSPKSLSSMLDSQDLGLDIRTEFEQQENQQFPLTGKANGPSSQSVPSVRVTLKANQYRDHKGQPSVEDQPYRQNKMIKFSKTPGTSEAWAFQDLYGQRIPSSNSPGMLVNQLTPSRSSLMAVNLQSESEGSGTSHYSHMPNQYMATSAFSNLANPYSSVPVISAVQHPDVKNQLMHPSYNPATATSVNMATDASARPSTMTPQEKLEKLRRRQQMQAMLAIQRQQKQFSHQVPVADQSITQNCLQDIPLQLVDKTNLQGLTAMPSFDPSSSLEQDDSGKFAAAVDNSAEISVLYRLQDVVAKLDMGTRTCIRDSLFRLAGSAAQRHYTSDTAHSNKTSQDEQEVIPREESRYRYAGMPDTEAVTNPTDRTVAHLLFHRPFDMLAAKRMVGPESPASSKMGTEEKGNFPKCIIRETHLNKQKAQKEEGPADLLALGNAPNSGSSSTVGERVVEASQGNKRKL >fgenesh2_kg.5__1846__AT3G54510.2 pep chromosome:v.1.0:5:17050634:17053734:1 gene:fgenesh2_kg.5__1846__AT3G54510.2 transcript:fgenesh2_kg.5__1846__AT3G54510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPESLLASASINIGLAVVALWLFSVLKKQPRNAVVYYARRLSDRHHHRPLSLHSSLCLPRFLPSVAWIPRAFRVPEDEILSRHGLDALVLIRLFKFGIRFFLLCSLLGASLLLPVDYYNESDLPTRKEYSMDAFTISNITRGSNKLWVHFSCLWFISFYALFLLHKEYKEILVIRLQQMKELRHRADQFTVLVRQVPLCPEHNTRGCTVDHFFSKHHRFSYHSHQMLYDGRDLEYLLGKQKKLKKEIEYKRHIDILSNGSQEHKHISTSEEKLQEITHMVYHLQSETMLREKELPVAFVTFKSRRNAALAAQTQQHSNPLELITEMAPEPRDVSWRNLAIPQKILPLNKIGVILAAALLTIFFAIPVTAVQGIAKYEKLKKWFPPAMAIEFIPGLSSVVTGYLPSAILKGFMYIIPFAMLGLAYLGGSIFKSNEEIKACNMVFYFLMGNVFFLSLISGSLLDEIGEYLTHPRDIPSHLAAAVSAQAEFFMTYILTDGLSGFSLEILQLGLILFDIIRSYTYGRGKERTPYLFSFPYFRVIPTVSLSIMIGMIYAVVAPLMLPFLVGYFCLGYIVYFNQMEDVYETTYDTCGRFWPFIHHYIFVSIILMQITMVGLFGLKSKPSAAIATVPLILITIAYNEYCKIRFLPSFKHFPIQTAVDIDEEDEKNGEMETHCVDAASAYNRHQPCLERVSSAEAPTNLSQPLLGTDSI >fgenesh2_kg.5__1852__AT3G54560.1 pep chromosome:v.1.0:5:17071575:17072943:1 gene:fgenesh2_kg.5__1852__AT3G54560.1 transcript:fgenesh2_kg.5__1852__AT3G54560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:D7LUV4] MAGKGGKGLVAAKTMAANKDKDKDKKKPISRSARAGIQFPVGRIHRQLKTRVSAHGRVGATAAVYTASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKE >fgenesh2_kg.5__1853__AT3G54570.1 pep chromosome:v.1.0:5:17073256:17074543:-1 gene:fgenesh2_kg.5__1853__AT3G54570.1 transcript:fgenesh2_kg.5__1853__AT3G54570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCKTAQALLGQGHGRSLGPEMMKKRPRPSRLPQPTTPEKQIVAKVTGGSPNYMKGTRSSEARRQSQSVQAGLDKKSQTGKKLDSCNRDKKQSSSSRSLKKGLSFKRSGRSSHRWDVNAHRATCSSFLKNSKFTEDMMLTSPAVLKVCPYTYCSLNAHLHRQFPPLQSFISARRRSLKSHAGIKMSGECADGCVEIYVDEKKENRSTRETDIKVIDGEMVSLLEGEGIESCGYGNENIGMMTENFAILEQSENSSEDQEREEDGFSDNTINTFLSEQLIIQDDINLGNALDEKLDSKEAEVWKAADGEKVKERIKLVSETEETLLSLAQKPCNREECTEDWKGFNPREPNYIQTSVELSSETVDLKHQDMDERKNAEEWMIDYALQHTVSKLVVERKKDVALLVEAFETTVPK >fgenesh2_kg.5__1855__AT3G54610.1 pep chromosome:v.1.0:5:17085299:17089336:1 gene:fgenesh2_kg.5__1855__AT3G54610.1 transcript:fgenesh2_kg.5__1855__AT3G54610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSSHLNAANRSRSSQTPSPSHSASASVTSSLHKRKLAATTAANAAASEDHAPPSTSFPPSSFSADTRDGPLTSNDELESISARGADTDSDPDESEDIVVDDDEDEFAPEQDQDSSIRTFTAARLDSSSGVNGSSRNTKLKTESSTIKLESSDGGKDGGSSVVGTGVSGTVGGSSISGLVPKDESVKVLAENFQTSGAYIAREETLKREEQAGRLKFVCYSNDCIDEHMMCLVGLKNIFARQLPNMPKEYIVRLLMDRKHKSVMVLRGNLVVGGITYRPYRSQKFGEIAFCAITADEQVKGYGTRLMNHLKQHARDVDGLTHFLTYADNNAVGYFVKQGFTKEIYLEKDVWHGFIKDYDGGLLMECKIDPKLPYTDLSSMIRQQRKAIDERIRELSNCQNVYPKIEFLKNEAGIPRKIIKVEEIRGLREAGWTPDQWGHTRFKLFNGSADMATNQKQLNALMRALLKTMQDHADAWPFKEPVDSRDVPDYYDIIKDPIDLKVIAKRVESEQYYVTLDMFVADARRMFNNCRTYNSPDTIYYKCATRLETHFHSKVQAGLQSGAKSQ >fgenesh2_kg.5__1859__AT3G54630.1 pep chromosome:v.1.0:5:17092701:17094573:-1 gene:fgenesh2_kg.5__1859__AT3G54630.1 transcript:fgenesh2_kg.5__1859__AT3G54630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein [Source:UniProtKB/TrEMBL;Acc:D7LUW1] MRGGATGKRRTTVGFGGAPPPPPPSIEQQRHLFNSRDSDASFASSRPSSIGLGGRASDDRSSMIRFINAFLSSHNSLISIRANPVPSVKDISETLKFILSKLDYPCDSIKWDEDLVFFLKSQKCPFKITKSSLKAPNTPHNWPTVLAVVHWLVELARFHQHLSSNSTSEPEANSMNFFAIQSFSHFIRGEDDKVNELDSEFLGKLEAEKTSVAETISGCEKISGELEAKLESLRKGPSKKESLEKAKADLENDVNKFRTIIAEYTEKNPALEKVVEEKDKELKAKEEERERISKENKELKKSVELQNFSARDVERMRRELQAVERDVAEAEVARDGWDLKAWELNSQIGNQFHQIQTLSIDCNQALRRLKIDIQFTVNERGKTPAKVMGVDYKSVVKPALCSLYDGIKGSLNEKMEELVTLQHQVSEMASKIESTKSLLGSIQLQINELEEKMKLVKKETQDLTTKCDLEAKTLVESVKTEALNLEVVEKEAAEFLKASEVRLQEAEKQSEEEVQACAAQLFALIDSISKQKEYMDLKILEIKTGVADTASAVSEIYKANFKKHLGI >fgenesh2_kg.5__185__AT4G13510.1 pep chromosome:v.1.0:5:1228937:1230600:1 gene:fgenesh2_kg.5__185__AT4G13510.1 transcript:fgenesh2_kg.5__185__AT4G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:D7LQ93] MSCSVTELAVLLGPNATEAANYICGQLSVVDNKFIDAAFAIDTTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGYAFAFGSPSNGFIGKHYFGLKDIPTATADYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSVDGWASPFRTDGDLLFRTGAIDFAGSGVVHMVGGIAGLWGAFIEGPRLGRFDNGGRAIALRGHSASLVVLGTFLLWFGWYGFNPGSFNKILVTYQTGTYQGQWSAVGRTAVTTTLAGCTAALTTLFGKRLLSGHWNVTDVCNGLLGGFAAITGGCSVVEPWAAIICGFVAALVLLGCNKLAEKLKYDDPLEAAQLHGGCGAWGLIFTALFAEKKYLNQVYGDRPGRPYGLFMGGGGKLLGAQLIQIIVITGWVSVTMGTLFFILKKMKLLRISSEDEMAGMDMTRHGGFAYMYHDDDESHKAIQLRRVEPRSPSPSGAKTIPTPV >fgenesh2_kg.5__1860__AT3G54640.1 pep chromosome:v.1.0:5:17094724:17097151:-1 gene:fgenesh2_kg.5__1860__AT3G54640.1 transcript:fgenesh2_kg.5__1860__AT3G54640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha subunit of tryptophan synthase [Source:UniProtKB/TrEMBL;Acc:D7LUW2] MAIAFKSGVFFLQSPKSQIGFRHASSSPDSSLSFKRFTPMASLSTSSPTLGLADTFTQLKKQGKVAFIPYITAGDPDLSTTAEALKVLDACGSDIIELGVPYSDPLADGPVIQAAATRSLERGTNLDNILEMLDKVVPQISCPISLFTYYNPILKRGLGKFMSTIRAVGVQGLVVPDVPLEETEMLRKEALNNDIELVLLTTPTTPTERMKRIVDVSEGFIYLVSSIGVTGARASVSGKVQSLLKDIKEATDKPVAVGFGISKPEHVKQIAGWGADGVIVGSAMVKLLGDAKSPTEGLKELEKLTKSLKSALL >fgenesh2_kg.5__1861__AT3G54650.1 pep chromosome:v.1.0:5:17098043:17101166:-1 gene:fgenesh2_kg.5__1861__AT3G54650.1 transcript:fgenesh2_kg.5__1861__AT3G54650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LUW3] MQPQPHISPTTATAAISAALESQRVRKNRGSYNCGRCGQPKKGHVCLLTAPPDIPTTPIASEPVSCISAAASSSRSTVLSLTAAPSSRQSFTHLRRALSFDDVDARNSLDESDLDAASADLDLHLDTDIVQPGRFHAVGLWEVLKRLPPSSLLMAARVCKGWRETSRKMWKAAEELRIRVPETAQIGYTGSLLQKCPRLIRLSLKIESDFDATTLACIAFSCPNLEVLEITTSGAAVNRISGDELSRFVANKRGLTSLKMEGCSNLGGFSLSSSSLSTLWLSDLHSLSKMIFNCPNLTEISLEFSRQEDDSTDLVTMVDGLGRTCTRLQNIHIASLKLSHTVVLALTAVNFRYLRMLSLVLGIDITDASVAAISSSYTNLELLDLSGSSITDTGLGMICDVLPDTLSKLLVALCPNITSSGIQFATAQLPLLELMDCGMTVSDPNSDNPTIVENPSPHKTPGYNQKMFIKHKRLKKLSLWGCSSLDALFLNCPELMDLNLNLCSNLHPESLVLQCPKLQLVYASGCQDLLTGAIRKQVSENFAAGENHMPRKRLADASKRIQALPSLYEETREDDNYAGKRRKLEKEVCTIIH >fgenesh2_kg.5__1862__AT3G54660.1 pep chromosome:v.1.0:5:17102031:17105374:-1 gene:fgenesh2_kg.5__1862__AT3G54660.1 transcript:fgenesh2_kg.5__1862__AT3G54660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPKLTSTISYSPSLQILCKKLPIAIHLPSSSSSSFLSLPKTLTSLYSLRPRIAVLSNHRYYHSRRFSVRASTDNGADSERHYDFDLFTIGAGSGGVRASRISTSFGASAAVCELPFSTISSDTAGGVGGTCVLRGCVPKKLLVYASKYSHEFEDSHGFGWKYETEPSHDWTTLIANKNAELQRLTGIYKNILSKANVKLIEGRGKVIDPHTVDVDGKIYTTRNILIAVGGRPFIPDIPGKEFAIDSDAALDLPSKPKKIAIVGGGYIALEFAGIFNGLNSEVHVFIRQKKVLRGFDEDVRDFVGEQMSLRGIEFHTEESPEAIIKAGDGSLSLKTSKGTVEGFSHVMFATGRKPNTKNLGLENVGVKMAKNGAIEVDEYSQTSVPSIWAVGDVTDRINLTPVALMEGGALAKTLFQNEPTKPDYRAVPCAVFSQPPIGTVGLTEEQAIEQYGDVDVFTSNFRPLKATLSGLPDRVFMKLIVCANTNKVLGVHMCGEDSPEIIQGFGVAVKAGLTKADFDATVGVHPTAAEEFVTMRTPTRKIRKDSSEGKASPEAKTAAGV >fgenesh2_kg.5__1865__AT3G54680.1 pep chromosome:v.1.0:5:17115786:17117237:1 gene:fgenesh2_kg.5__1865__AT3G54680.1 transcript:fgenesh2_kg.5__1865__AT3G54680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARANNKYTSINFNHILHKDPPSSSSSSSSSSSASYSSVARSNGRMLVLTKSSPKPLRSPSTSTSTTTTTTTPPISPAPRISNQAISDPDPNQISLRPLGHTGPGSSRSFPIRNPEIDKVPEVPAPAPSSFSPKPDRFVPPHLRPGFVRKDEKPGLDSSRVRDPNLNQRLPNQEQPRQGYFGYGQTGRPKSGGYERIRTDPRTTGNRPGTSGWYVQLFIPVVIII >fgenesh2_kg.5__1866__AT3G54690.1 pep chromosome:v.1.0:5:17117869:17119430:1 gene:fgenesh2_kg.5__1866__AT3G54690.1 transcript:fgenesh2_kg.5__1866__AT3G54690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar isomerase domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LUW7] MGSLPPPSLDLSSIDHNSLKNGGSSHQEISRDNLLNLFTSQQDLLNHFFKHLDLSQTLDFSRILLSTTGTVFFTGVGKSAFVANKVSQTLVSLSFRSSFLSPLDALHGDIGALSPRDVLVFFSKSGATEELLRLVPCARAKGAFLVSLTSVSGNPLAGVCDMNVHLPLQRELCPFNLAPVTSTAIQMVFGDTIAVALMAARNLSKEEYAANHPAGRIGKSLIFKVKDVMKKQEELPVCKEGDLIMDQLVELTSKGCGCLLVVDEHYRLIGTFTDGDLRRTLKASGEAIFKLSVGEMCNRKPRTIGPETMAVEAMKKMESPPSPVQFLPVVNEDNTLIGIVTLHGLVSAGL >fgenesh2_kg.5__1867__AT3G54700.1 pep chromosome:v.1.0:5:17119844:17121451:-1 gene:fgenesh2_kg.5__1867__AT3G54700.1 transcript:fgenesh2_kg.5__1867__AT3G54700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic phosphate transporter 1-7 /Pi cotransporter [Source:UniProtKB/TrEMBL;Acc:D7LUW8] MAGDQLNVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGAEKPGTLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKLGRKKVYGMTLMVMVLCSIASGLSFGSDPKTVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGIFAIIVAAAFEAKFPAPIYKVDALASTVPQADYVWRIILMVGALPAAMTYYSRSKMPETARYTALVAKDAKLAASNMSKVLQVEIEAEQQRSEDKSNSFGLFSKEFMKRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAQTMNAIQEVFKIARAQTLIALCSTVPGYWFTVAFIDVIGRFAIQMMGFFFMTVFMFALAIPYDHWTHKENRIGFVAMYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISAASGKLGAMVGAFGFLYLAQSPDKTKTEHGYPPGIGVKNSLIVLGVVNLLGMVFTLLVPESKGKSLEEMSGENEQNDESSSNNNSNNAVSTA >fgenesh2_kg.5__1868__AT3G54710.1 pep chromosome:v.1.0:5:17124258:17126630:1 gene:fgenesh2_kg.5__1868__AT3G54710.1 transcript:fgenesh2_kg.5__1868__AT3G54710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSKIESEKPVMVSESLFSTKTPNKTVKRQIFSSSPKPEFVVKLPERFEILEEFFNGLDTAIRLLKLKGSPTTYANICPKIEYLTNRIFSYDHLAQMKHIYPEAIELKRVLKYVEDTCCMKPSLHISLNTDAIVLDDTICGTKYMELRKVFHSKLVDFYKAHPKDEVPKELLPEPFNSPKRDSYSGIVSVGLGEPKLEVGRFDVHMEEIEQEEQDANKAMPDSTLSHIESRIVEIPVNDSSTPSKDLSTPIRLMSATPTLQLSKRCIELTPEGGDDNSVRSTNSLARGPSRCLNFDTLEEDAIVKDDIGNESADEGDGLLQSVKGSSRSLNFETLEEDTIVKDDISNESGDEKINYEADNDDSLLQSRPKTELEQHKLPQLVDLIHRVFHSTNRTVITKEELLHKIIANQINITDRREVEEQLSLMLQLVPDWISETKASSGDLLVSINKMSAAETVRAKLEEATSQDISLVY >fgenesh2_kg.5__1869__AT3G54720.1 pep chromosome:v.1.0:5:17127139:17130328:-1 gene:fgenesh2_kg.5__1869__AT3G54720.1 transcript:fgenesh2_kg.5__1869__AT3G54720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPLTTRPAVAGISIFPFRQPPPLCTFLFVIVLFVATFYTLHHPDAVTHSLLFSRNSHNALRLHRLFLSSASNATISSYLRELTRHPHLAGTKPSLETLNYVQSHFKSLGLETHVAEYEALLSYPTHISVTARFSNTTTLEFDLNDVPGDSPVVRPYHAYSPSGSAQGNVVFVNHGEERDYRALESIGVSVKGCVVLARKGEILGRGGIVKIAEAKGALGVLIYAENDGGGFGGIERGTVMRGIGDPVSPGWPGVVGGEKLSLEDELVTRRFPKIPSLPLSLRNAEIILASLGGARAPLEWRNSGRVGSGQRVGPGRTVINMTFQGEMKMKKIHNVVVTIRGSEEADRYVILGNHRDAWTYGAVDPNSGTSALLDISRRFAMLLNSGWRPRRTILLCSWDAEEFGMIGSTEWVEENVLNLGASAVAYLNVDCAVQGSGFFAGATPQLDGLLVDVLKLVQDPDAVGLTVEETFKSQNNILQRLSRVDSDFSGFLHHAGIPSIDMYYGADYPVYHTAFDSYDWMIHNADPLFHRHVAMAGIWGLLGIILADEPLIPFDYISYAEQLQVHRDTLSKLLEGKVSVNPLSMAIQEFSLVAKEAADEAKKLKEKSYSKDDVAAAAKRRELNDRLMLVERGFLDAEGIKGKEWFKHLVYGPAAEPESKLGFFPGIADAIAINASEGVIEHEIWRVARAIQRASKALKGGFT >fgenesh2_kg.5__1873__AT3G54750.2 pep chromosome:v.1.0:5:17137256:17141461:-1 gene:fgenesh2_kg.5__1873__AT3G54750.2 transcript:fgenesh2_kg.5__1873__AT3G54750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVVAPRSSLQTTGGSKAAPKAKRKTPSELRGEQLKRTAFVDQAKEAFDALRPCKSSAEKDNGLKKQELSKNPKYIEMRMDELYPVKKARPWMLSAKENSKENGAKQSSSLINVSLLSNVAATKRQLIREENNASTEVYDDIKAEARQTNERCSQSIFRSVTELSTRGEELSCLPDIDMNKALKGLATCEPLLVHPADISVKDDTSASLSGNFMSEFQVPGQKIPLDLSLKTYVRLVSSSPLSWLHRSIMGSTYNGMPQLKSLSCNVVNQDNSSGSGSAVVSQVLNSMSLHSWVYPQSTLPPFVLSALVASGSDRGEVDFLQNRKLAWEDAFRSLYFMFRKNLCKIFYVCTSQFVAMFTGSCESGGVKRSCNGYITQSTRRLRAMLNDLDISYSMPLCKTKMDQTTVEDLAELSEIENHNLGQIRRSRSVSNIDNTPESFLAFVGNESVHGLYDLLLNYRSSFEFLPTADVPVLYSPVPFQNAALSSPEIKCTEMVRTEHKSCCMVEIKGEYLPPWIISNICANVGANGQNFEASFVTEPTSFNLNIGLPQVPEKTDPESRVIEGTGETNDNASDIPGAVICPQLQSGHLKSLKYCNKSYTVSLSPS >fgenesh2_kg.5__1875__AT3G54770.1 pep chromosome:v.1.0:5:17151961:17154301:-1 gene:fgenesh2_kg.5__1875__AT3G54770.1 transcript:fgenesh2_kg.5__1875__AT3G54770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSNNVNGCFGDTKLTKVFVGGLAWDTHKEAMYDHFIKYGDILEAVIISDKLTRRSKGYGFVTFKDAEAATRACEDSTPIINGRRANCNLASLGGRLRKSPTMTSPQQGTKNGNRATPPHVGNHSQWYYPSGFTNQQYQLQQQHQAVPFYGYPSAYVAPNMNFNQKVGYAGGTYMNGYYAQMQPQPQSQPQPQYYHHHMYGGGRVMVGAASPMVPFYTVYPYHQSQAYGFPPPSFSKPLSFSTPPISGTVGGESIQKKAIH >fgenesh2_kg.5__187__AT2G02860.1 pep chromosome:v.1.0:5:1464354:1468568:-1 gene:fgenesh2_kg.5__187__AT2G02860.1 transcript:fgenesh2_kg.5__187__AT2G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSVSISVPYRNLRKEIELETVTKHHQDGSGSSSFSSSPLNHPDVADGESVSKNCSLVTLVLSCTVAAGVQFGWALQLSLLTPYIQTLGISHAFSSFIWLCGPITGLVVQPFVGIWSDKCTSKYGRRRPFILVGSLMISISVIIIGFSADIGYLLGDTKEHCSTFKGTRTRAAVVFIIGFWLLDLANNTVQGPARALLADLSGPDQRNTANAVFCLWMAIGNILGFSAGASGRWQEWFPFLTSRACCAACGNLKAAFLLAVVFLTICTLVTIYFAKEIPFTSNKPTRIPDSAPLLDDLQSKGLVHSKLNHGTANGIKYERVKRDMDVQLGNSKNEHQDETYVDGPGSVLVNLLTSLRHLPPAMHSVLIVMALTWLSWFPFFLFDTDWMGREVYHGNPTGDSLHVELYDQGVREGALGLLLNSVVLGISSFLIEPMCQRMGARVVWALSNFTVFACMAGTAVISLMSLGDYKNGVEFIMHGNETTRTAAVVVFALLGFPLAITYSVPFSVTAEVTADSGGGQGLAIGVLNLAIVIPQMIVSLGAGPWDQLFGGGNLPAFVLASVAAFAAGVIALQRLPTLSSSFKSTGFHIG >fgenesh2_kg.5__1882__AT3G54800.1 pep chromosome:v.1.0:5:17166356:17170399:1 gene:fgenesh2_kg.5__1882__AT3G54800.1 transcript:fgenesh2_kg.5__1882__AT3G54800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSQTDGRMEGWLYTIRHNRFGLQFSRKRYFVLLENNLTSFKSVPSDHNEEPERRASLDCCIRVTDNGRESFHRKILFIFTLYNTSNHLDQLKLGASSPEEAAKWIRSLQDASQKGFQFPDCEFVSHAEKGLVKLDVSKRNRRKNSVDWTNYSSTNYSSTSLNVETVVAHDVIAPSPWKIFGCQNGLRLFKEAKDWDSRGRHWDDHPAIMAVGVIDGTSEDIFNTLMSLGPLRSEWDFCFYKGSVVEHLDGHTDIINLQLYSDWLPWGMNRRDLLLRRYWRREEDGTYVILCHSVYHKNCPPKKGYVRACVKSGGYVVTPANNGKQSLVKHMVAIDWRSWNLYMRPSSARSITIRVVERVAALREMFKAKQGNGFTDFVSGEFLDTKPCLSKINTRPLKTEAKEVDLETVKAEEMDKPTSARNSLMDLNDASDEFFDVPEPNESTEFDSFIDTSPYSQGHQLKIPTPAGIVKKLQDLAINKKGYMDLQEVGLEENNTFFYGATLQKDPSLTLPCSWSTADPSTFLIRGNNYLKDQQKVKAKGTLMQMVGADWISSDKREDDLGGRVGGLVQEFAAKGGPEFFFIVNIQVPGSAMYSLALYYMLKTPLEEHPLLESFVNGDDAYRNSRFKLIPHISKGSWIVKQSVGKKACLVGQALEVRYTRGKNYLELDIDVGSSTVARGVTNLVLGYLNNLVIEMAFLIQANTAEELPELLLGTCRLNYLDVSKSVKER >fgenesh2_kg.5__1883__AT3G54810.2 pep chromosome:v.1.0:5:17177159:17179652:1 gene:fgenesh2_kg.5__1883__AT3G54810.2 transcript:fgenesh2_kg.5__1883__AT3G54810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LUX8] MIGQSFPEDLDCGNFFDNMDDLMDFPGGDIDVGFGIGDSDSFPTIWTTHHDTWPAASDPLFSSNTNSDSSPELYVPFEDIVKVERPPTFVEESLVEKKEDSFSTNTDSSSSHSQFRSSSPVSVLESSSSSSQTINTTSLVLPGKHGRPRTKRPRPPVQEKDRVKDNVCGGDSRLIIRIPKQFLSDHNKMINKKKKKKAKITSSSSSSGIDLEVNGKNVDSYTSEQRKCMHCEVTKTPQWRLGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFTPALHSNSHKKVAEMRSKRCSDGSYITEENDLQGLIPNNAYIGVD >fgenesh2_kg.5__1885__AT3G54820.1 pep chromosome:v.1.0:5:17185445:17187808:1 gene:fgenesh2_kg.5__1885__AT3G54820.1 transcript:fgenesh2_kg.5__1885__AT3G54820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIP2_5/PIP2D [Source:UniProtKB/TrEMBL;Acc:D7LUX9] MTKEVVGDKRSFSGKDYQDPPPEPLFDATELGKWSFYRALIAEFIATLLFLYVTVMTVIGYKSQTDQALNPDQCAGVGVLGIAWAFGGMIFILVYCTAGISGSHINPAVTFGLLLARKVTLLRAVMYMVAQCLGAICGVALVKSFQSAYYNRYGGGTNGLSDGYSIGTGVAAEIIGTFVLVYTVFSATDPKRSARDSHVPVSPVLAPLPIGFAVFIVHLATIPITGTGINPARSLGAAIIYNKDKAWDHHWIFWVGPFAGAAIAAFYHQFVLRAGAIKALGSFRSQPHV >fgenesh2_kg.5__188__AT2G02870.1 pep chromosome:v.1.0:5:1477937:1480242:1 gene:fgenesh2_kg.5__188__AT2G02870.1 transcript:fgenesh2_kg.5__188__AT2G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LQA8] MLEDRSPDSCLITRVFSSSRLSESNWSKSYMYPEDDDKLGNGKRPLEVVGEIRQTKSLKLMGFSITYDSDSSDYSLSGGEEQADAVIGDGSSSRQEQEQSDSNDNGGDSTDSHSLINEIGRDNSIDCLIRCSRSDYGSIASLNRNFRSLVKSGEIYRLRRQSGFVEHWVYFSCQLLEWVAFDPVERRWMQLPTMPSSGTFMCADKESLAVGTDLLVLGKDASSHVIYRYSLLTNSWSSGMKLNSPRCLFGSASLGEIAIFAGGCDSQRKTLDFAEMYNSELQTWITLPRMNKPRKMCSGVFMDGKFYVIGGIGGADSKVLTCGEEYDLETKKWTQIPDLSPPRSLADQADMSPAQEAPPLVAVVNNQLYAADHADMEVRKYDKENKKWLTVGRLPERAGSVNGWGLAFRACGERLIVIGGPKYSGGGFIELNSWIPSDGGPPQWTLLDRKHSPNFVYNCAVMGC >fgenesh2_kg.5__1891__AT3G54860.1 pep chromosome:v.1.0:5:17214775:17220655:-1 gene:fgenesh2_kg.5__1891__AT3G54860.1 transcript:fgenesh2_kg.5__1891__AT3G54860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATVPS33 [Source:UniProtKB/TrEMBL;Acc:D7LUY5] MAQIPSLENAPLNLKSIRDKSERELVNLLKDIRGTKCLVIDPKLSGSVSLIIQTSKLKELGLELRHLTAEPVQTECTKVIYLVRSQLTFMKFIASHIQNDISKAIQRDYYVYFVPRRSVACEKILEQEKVHNLVTVKEFPLYMVPLDEDVISFELELSEKDCLVDGDVSSLWHVAKAIHELEFSFGVISKVRAKGKASVRVADILNRMQVEEPVNSNDVGRPEVDTLILLDREVDMVTPMCSQLTYEGLIDEFLHISNGAVEVDSSVMGAQQEGKKMKVPLNSSDKLFKETRDLNFEVVVQVLRQKATSMKEDYTEINSTQTVSELKDFVKKLNSLPEMTRHIHLAQHLTTFTSKQSFLSQLDMEQTLVEAESYDICYEYIEEMIHKQEHLTNVLRLLVLFSVTNSGLPKKQFDYIRMELLHSYGFEHVVTLNNLEKAGLLKKQEFKSNWLTVKRTLQLIVEDTDTSRPNDIAYVYSGYAPLSIRLIQQAIHSGWRPVEDILKLLPGPHLETKRSGFPSSPSVDSLHGASNGVADGRRSIVLVVFIGGVTFAEISALRYLASKEGMAYDLIIATTKIVNGATLIETFMEKLG >fgenesh2_kg.5__1893__AT3G54880.1 pep chromosome:v.1.0:5:17227789:17229431:-1 gene:fgenesh2_kg.5__1893__AT3G54880.1 transcript:fgenesh2_kg.5__1893__AT3G54880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYTANCLSTENKPTLELSKLVKEEKSSVKTNSENTLTLVNHGAKMWQENREKWVGDQSRQRKNTAKDQIISWSTTYEDLLSTHEPFSESIPLPEMVDFLVDIWYDEGLYD >fgenesh2_kg.5__1897__AT3G54890.1 pep chromosome:v.1.0:5:17230416:17231896:-1 gene:fgenesh2_kg.5__1897__AT3G54890.1 transcript:fgenesh2_kg.5__1897__AT3G54890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7LUY8] MASNSLMSCGIAAVYPSLLSSSKSKFVSAGVPLPNAGNVGRIRMAAHWMPGEPRPAYLDGSAPGDFGFDPLGLGEVPENLERYKESELIHCRWAMLAVPGILVPEALGYGNWVKAQEWAAVPGGQATYLGNPVPWGTLPTILAIEFLAIAFVEHQRSMEKDPEKKKYPGGAFDPLGYSKDPKKLEELKVKEIKNGRLALLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDIVIPFN >fgenesh2_kg.5__1899__AT3G54920.1 pep chromosome:v.1.0:5:17235749:17239228:1 gene:fgenesh2_kg.5__1899__AT3G54920.1 transcript:fgenesh2_kg.5__1899__AT3G54920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7LUZ0] MLLPNFSNTIFLLCFLFTLIAATKPLNLTLPHQHPSPDSVALHVIRSVNESLARRQLGSSSSSSSSSSSSCRTGNPIDDCWKCSDSDWSSNRQRLADCSIGFGHGTLGGKNGKIYVVTDSSDNNPTNPTPGTLRYGVIQEEPLWIVFSSNMLIRLKQELIINSYKTLDGRGSAVHITGNGCLTLQYVQHIIIHNLHIYDCKPSAGFEKRGRSDGDGISIFGSQKIWVDHCSMSHCTDGLIDAVMGSTAITISNNYFTHHDEVMLLGHDDNYAPDTGMQVTIAFNHFGQGLVQRMPRCRRGYIHVVNNDFTEWKMYAIGGSGNPTINSQGNRYAAPSDPSAKEVTKRVDSKDDGEWANWNWRTEGDLMENGAFFVASGEGMSSMYSKASSVDPKAASLVDQLTRNAGVFGGPRDDQGQSGNSYSPYGGDGGGGMDDMGGMSGTTRGSSSSGDDSNVFQMIFGSDAPSQPRLTLLFSLLMISVLSLSTLFLW >fgenesh2_kg.5__18__AT2G01180.1 pep chromosome:v.1.0:5:117225:119317:-1 gene:fgenesh2_kg.5__18__AT2G01180.1 transcript:fgenesh2_kg.5__18__AT2G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPAP1 [Source:UniProtKB/TrEMBL;Acc:D7LR06] MTIGSFFSSLLFWRNSQDQEAQRGRIQEIDLGVHTIKTHGGRVASKHKHDWIILVILIAIEIGLNLISPFYRYVGKDMMTDLKYPFKDNTVPIWSVPVYAVLLPIILFVCFYLKRRCVYDLHHSILGLLFAVLITGVITDSIKVATGRPRPNFYWRCFPDGKELYDALGGVICHGKAAEVKEGHKSFPSGHTSWSFAGLTFLSLYLSGKIKAFNGEGHVAKLCLVIFPLLAACLVGISRVDDYWHHWQDVFAGALIGILVAAFCYRQFYPNPYHEEGWGPYAYFKAAQERGVPVASSQNGDALRAMSLQMDSTSLENMESGTSTAPR >fgenesh2_kg.5__1903__AT3G54940.3 pep chromosome:v.1.0:5:17242321:17244133:1 gene:fgenesh2_kg.5__1903__AT3G54940.3 transcript:fgenesh2_kg.5__1903__AT3G54940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKALAQLITCIIFFCHVVASVEDLTIRQVTADERRVRPNLLGTHTESKFRVFMSDYGKNYSTREEYIHRLGIFAKNVLKAAEHQMMDPTAVHGVTQFSDLTEEEFKRMYTGVADVGGSRGHAVGAEAPMVEVDGLPEDFDWREKGGVTEVKNQGACGSCWAFSTTGAAEGAHFVSTGKLLSLSEQQLVDCDQAVCDPKDKKACDNGCGGGLMTNAYEYLMEAGGLEEERSYPYTGKRGHCKFDPEKVAVRVVNFTTIPLDEDQIAANLVRQGPLAVGLNAVFMQTYIGGVSCPLICSKRKVNHGVLLVGYGSKGFSILRLSNKPYWIIKNSWGKKWGENGYYKLCRGHDICGINSMVSAVATQVSS >fgenesh2_kg.5__1904__AT3G54950.1 pep chromosome:v.1.0:5:17247467:17249865:-1 gene:fgenesh2_kg.5__1904__AT3G54950.1 transcript:fgenesh2_kg.5__1904__AT3G54950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:D7LUZ3] MHRVRNKPIKSTATASVKHLIKQRGGGSDGATAASKSANDNNNQNNSLLTDMQEPSIDTDKLSYEIFSILESKFLFGYDNKDDDPKINFDPKPEPEPANSAVAGSIKNQRGKICILSIDGGGMRGILPGKALAYLEHALKSKSGDPNARIADYFDVAAGSGIGGIYTAMLFGSRDGNRPIFKAEDTWQLLTRNAKGLYGGGGGILKRVLRTGSGCRSGTAKLKKVMKESFSELTLKNTLKPVLIPCYDLKSSAPFLFSRADALETDGYDFRLWEVCTATWAEPGVFEPVEMKSVDGQTKCVAVGGGLAMSNPTAAAITHVLHNKQEFPFVRGVEDLLVLSLGMGQLLDVSYEYDRIIKWKAKHWARPAALISNDGAADTVDQAVAMAFGHCRNSNYVRIQANGSSLGPCSPNIDTDPSESNVNMLVGVAEEMLKQKNVESVLFVGKRIDEQSNFEKLDWLAGELVLEHQRRNSRIAPTVAFKQSIHRADQKTRDKDIGVTARER >fgenesh2_kg.5__1905__AT3G54960.1 pep chromosome:v.1.0:5:17252683:17256222:-1 gene:fgenesh2_kg.5__1905__AT3G54960.1 transcript:fgenesh2_kg.5__1905__AT3G54960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSISLLLFVSFLLLLVDSRAENASSGSDLDEELAFLAAEESKEQSHGGGSYHEEHDQYRDFENYDDLEQGGGEFHHGEHSYEEEPLPTVDEKDVAVLTKDNFTEFVGNNSFAMVEFYAPWCGACQALAPEYAAAATELKGVAALAKIDATEEGDLAQKYEIQGFPTVFLFVDGEMRKTYEGERTKDGIVTWLKKKASPSIHNITTKEEAERVLSAEPKLVLGFLNSLVGSESEELAAASRLEDDLSFYQTASPDIAKLFELETQVKRPALVLLKKEEEKLARFDGNFTKTAIAEFVSANKVPLVINFTREGASLIFENAVKNQLILFATANESEKHLPTLREVAKSFKGKFVFVYVQMDNEDYGEAVSGFFGVTGTAPKVLVYTGNEDMRKFILDGELTVKNIKTLAEDFLADKLKPFYKSDPLPENNDGDVKVIVGNNFDEIVLDESKDVLLEIYAPWCGHCQSFEPIYNKLGKYLRGIDSLVVAKMDGTSNEHPRAKADGFPTILFFPGGNKSFDPITVDVDRTVVELYKFLKKHASTPFKLEKPATPEPVISTKKADEKIEGDSSKDEL >fgenesh2_kg.5__1909__AT3G54980.1 pep chromosome:v.1.0:5:17261107:17263899:1 gene:fgenesh2_kg.5__1909__AT3G54980.1 transcript:fgenesh2_kg.5__1909__AT3G54980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LUZ6] MRSLLGFRKIPSGLRLRWLRNNKPFCSQSRFPQESENPSQEQRLVCGSTSEENPVTSKVSLLAAKPEQKDDASVIDVLLNRRNNPEAALRFYNWARPWRGSFEDGDVFWVLIHILVTSPETYGRASDLLIRYVSTSNPTPMASVLVSNLVDSAKLFGFEVNSRAFNYLLNAYSKDRQTDYAVDIVNQMLELGVIPFVPYVNRTLSALVQRNSITEAKELYSRMVAIGVDGDNGTTQLLMRASLREEKPAEALEVFSRAIERGAEPDSLLYSLAVQACCKTLNLAMANSLLREMKEKKLCVPSQETYTSVILASVKQGNMEDAIRWKDEMVSDGISMNVVAATSLITGHCKNNDLGSALDLFYKMENEGPSPNSVTFSVLIERFSKNGEMEKALEFYKKMESLGLTPSVFHVHTIIQGWLKGQKHEEALKLFDESFETGLANVFICNTILSWLCKQGKIDKATELLRKMESRGIGPNVVSYNNVMLAHCRKKNMDLARTVFSNMLEKGLKPNNYTYSILIDGCFKNHDEQNVLEVVNQMTSSNIEVNGVVYQTIINGLCKVGQTSKARELLANMIEEKRFCVSCMSYNSIIDGFIKEGEMDYAVAAYEEMCANGISPNVITYTSLMDGLCKNNRMDQALEMRDEMKNKGVKLDIPAYGALIHGFCKKSNMESASALFSELLEEGLNPSQPVYNSLISGFRNLGNMVAALDLYKKMLKDGLRCDLGTYTTLIDGLLKEGNLILASDLYTEMQAVGLVPDEIMYTVIVNGLSKKGQFVKVVKMFEEMKKNNVTPNVLIYNAVIAGHYREGNLDEAFRLHDEMLDKGILPDGATFDILVSGKVGKFQPIRAASL >fgenesh2_kg.5__1910__AT3G54990.1 pep chromosome:v.1.0:5:17264767:17267684:-1 gene:fgenesh2_kg.5__1910__AT3G54990.1 transcript:fgenesh2_kg.5__1910__AT3G54990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLKLFSSYDEDDQDRKVPLMISTTGEEESNSSSSSTTDSAAGDACITFGILKRDDDVVPPPPPPHKETGDLFPVVADARRNIEFSIEDSHWLNLSSLQRNTQKMVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAYAAARAYDRAAIKFRGLDADINFVVDDYRHDIDKMKNLNKVEFVQTLRRESASFGRGSSKYKGLALQKCTQFKTHDQIHLFQNRGWDAAAIKYNELGKGEGAMKFGAHIKENAHSDLELSLGISSSSENIKLTTGDYYKGINRSTMGLYGKQSSIYLPMATMKPLKTVAASSGFPFISMTSSSSSMSNCFDP >fgenesh2_kg.5__1917__AT3G55060.1 pep chromosome:v.1.0:5:17299602:17303691:-1 gene:fgenesh2_kg.5__1917__AT3G55060.1 transcript:fgenesh2_kg.5__1917__AT3G55060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFRSSGNGTDKQVHCEKAADSKMKTQASSQAEQEFDSPKSQGHVSGGPALRRSLSWSSAGFLFDKFGETSKNELTSATKSQDRRRNHSSRCFTPERQVRERQCEADKFQHDSSGSSSSCSSNVSSKVLDRYIDGEEHLEPCKQKSNSSQSGVSGSINRRRLPPRVQWTVPTSPSDTSNEKRKSQSFREAKGTRLRFSSADCVENGLRHGSPRSLARNVIERLSQTHGKSKGSNHEPITIQDVYGGSLNRTFDSSSDIPANVSLAEHYEPVNEYYAQDYGGNQQNCIRGKNAYKCMEDDIDSELEMKIKEAEKRAKLFSAELEQQRCLSDCDFDVSSLVGAIRKLEDERLHLAFENVNLLRSQMVERASAREEIRWLKSDWDLHIQRLEKEKSELQAGLEKELDRRSGEWTSKLEKFQLEEKKLRERVRELAEHNVSLQRELSAFHENETENKDMITHLEGRVAELTVTADELHEENTYVKQTLSQLQESYEGATEDLDFLRRNFEEKDQECRELHKSVTKFLRTCKEQGKTIEGLRDGVSEEGKKQPSEKLDQLVKKLQVEQIRLTGIELSLRREVESMKLETDSLRHENICLLNRLKGNGQEIDSTTLKLENELKMRVCYLQDQGLSMLNESSQLCYKLLKFIKGKLTQLPETYQDKNSVKDGLSEQFMIESEMKVRGIRRGTENLKRSLQTVTSVVASNSESSSSSTGRPREQRNQSVEETLRAELSAETLITSLLREKLYSKEQEIEQLQAEVAAAVRGNEILRSEVQSSLDNLSVTTHELKDFKHQMLKKEESINRLESNLQEAAKEMARLNALLSKVSSERDEIWRDLKQCCEKNMLLNSENETLKGMVDKLEEKVLEKEGEITILQDTIGSKHLNLLSSPDFLV >fgenesh2_kg.5__1919__AT3G55070.1 pep chromosome:v.1.0:5:17305004:17308208:1 gene:fgenesh2_kg.5__1919__AT3G55070.1 transcript:fgenesh2_kg.5__1919__AT3G55070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSATNGNSDAVMTESTATITPSPVVVPSSRSNQFTESLKLEHQLLRVPFEHYKKTIRTNHRSFEKEVSTIVNGVGELADSDWSKDVTVSRLTSLVTRLQGLKRKLEEGSNVENLQAQRCRARIDHLDSVDVENITEWNNTKLKRILVDYMLRMSYFETATKLSESSNILDLVDIDIFREAKKVIDALKNREVASALAWCADNKTRLKKSKSKFEFQLRLQEFIELVRVDTADSYQKAIQYARKHLASWGATHMNELQHVLATLAFKSTTECLKYKVLFEPQQWNILVDQFKQEFCKLYGMTMEPLLNIYLQAGLSALKTPYGFEEGCTKEDPLSQENFRKLALPLPFSKQHHSKLVCYISKELMDTENPPQVLPNGYVYSTKALKEMAEKNGGTITCPRTGLVCNYTELVKAYIS >fgenesh2_kg.5__1923__AT3G55100.1 pep chromosome:v.1.0:5:17319033:17321029:-1 gene:fgenesh2_kg.5__1923__AT3G55100.1 transcript:fgenesh2_kg.5__1923__AT3G55100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7LV09] MLQRDAVIDVDAIEMQRVPFVLAFNDLTYNVTLQQRFGLRFGHSPAKIKTLLNGITGEANEGEILAILGASGAGKSTLIDALAGQIAEGSLKGTVTLNGEALQSRVLRVISAYVMQEDLLFPMLTVEETLMFAAEFRLPRSFSNSKKRNRVETLIDQLGLTTVKNTVIGDEGHRGVSGGERRRVSIGTDIIHDPIILFFDEPTSGLDSTSAFMVVQVLKRIARSGSIVIMSIHQPSGRIMEFLDHIIVLSSGQSVFSDSPATIPLFFSEFGSPIPDKENNAEFTLDLIKELEESSEGTRGLVEFNKNWQQKKLRVNQEPHHSSSSLGEAINASISRGKLVTTSYSSIPSYVNPWWVETVILAKRYMINWTRTPELIGTRVFIVMMTGFLLATVYWKVDDSPRGVQERLSFFSFAMATMFYSCADGLPAFIQERYIFLRETAHNAYRRSSYVISHSLVTLPHLFALSIGFAATTFWFVGLNGGLAGFIYYLLIIFASFWSGCSFVTFVSGVIPNVMMSYMVTFGYLSYCLLFSGFYINRDRIHLYWIWIHYISLLKYPYEAVLHNEFDDPSRCFVRGNQVFDNTIIEGVSETTKAKLLETMSSYLGMELTESTCLRTGSDLLKQHGIEQLDKWGCLWVTLAWGFFFRILFYFSLLLGSKNKRA >fgenesh2_kg.5__1924__AT3G55110.1 pep chromosome:v.1.0:5:17322750:17325623:-1 gene:fgenesh2_kg.5__1924__AT3G55110.1 transcript:fgenesh2_kg.5__1924__AT3G55110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7LV10] MPRVSAEILDLSLTGGNQSPTLGELLKDFNDSGRKKYPGENAPTHHILDLAPAAETRSVPFLLSFNNLSYNVVLRRRFDFSRRKTASVKTLLDDVTGEACDGEILAVLGGSGAGKSTLIDALAGRVAEDSLRGTVTLNGEKVLQSRLLKVISAYVMQDDLLFPMLTVKETLMFASEFRLPRSLPESKKMERVETLIDQLGLRNAADTVIGDEGHRGVSGGERRRVSIGIDIIHDPILLFLDEPTSGLDSTNAFMVVQVLKRIAQSGSIVIMSIHQPSARIIDLLDRLIILSHGKSVFNGSPASLPSFFSNFGRPIPEKENITEFALDVIRELEGSSEGTRGLVEFNEKWQQNQSARATTQSGVSLKEAITASVSRGKLVSGSSGANPVSMETVSSYANPPLAETFILAKRYIRNWIRTPELIGMRIATVMVTGLLLATIYWRLDNTPRGAQERMGFFAFGMSTMFYCCADNIPVFIQERYIFLRETTHNAYRTSSYVISHALVSLPQLLALSIAFAATTFWTVGLSGGLESFYYYCLIIYAAFWSGSSIVTFISGLIPNVMMSYMATIAYLSYCLLLGGFYINRDRIPLYWIWFHYISLLKYPYEAVLINEFDDPSRCFVKGVQVFDGTLLAEVPHTMKVKLLDTLSSSLGTKITESTCLRTGPDLLMQQGITQLSKWDCLWITLAWGLFFRILFYFTLLFGSKNKRT >fgenesh2_kg.5__1925__AT3G55120.1 pep chromosome:v.1.0:5:17328754:17330170:-1 gene:fgenesh2_kg.5__1925__AT3G55120.1 transcript:fgenesh2_kg.5__1925__AT3G55120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:D7LV11] MSFSSVSPSQFPSVTKLQVDSVTFDPSVKSPASSNPLFLGGAGVRGLDIQGKFVIFTVIGVYLEGNAVPSLSLKWKGKTTEELTESVPFFREIVTGAFEKFIKVTMKLPLTGQQYSEKVTENCVAIWKSLGIYTDCEAKAVEKFLEIFKEETFPPGSSILFVLSPTGSLTVAFSKDDSIPETGIAVIENKLLAEAVLESIIGKNGVSPGTRLSIAERLAELMTKNKVEEDASNHSIEEKLAKEN >fgenesh2_kg.5__1927__AT3G55140.1 pep chromosome:v.1.0:5:17337510:17339174:1 gene:fgenesh2_kg.5__1927__AT3G55140.1 transcript:fgenesh2_kg.5__1927__AT3G55140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7LV13] MTSLPYADADGSLRALAGRAEGFGRFAVGGLHGDLYVVTSLADDGPGTLREGGRRKEPLWIVFAVSGTINLNSYLSVSSYKTIDGRGQRIKLTGKGIRLKECEHIIICNLEFEGGRGHDVDGIQIKPKSRHIWIDRCSLRDYDDGLIDITRQSTDITVSRCYFAQHDKTMLIGADPSHVEDRCIRVTIHHCFFDGTRQRQPRLRFGKVHLYNNYTRNWGIYAVCASVEAQVFSQCNIYEAGVKKKTFEYYSEKAADKEEARAGLVRSENDLFLNGAQPSLLTGASEECVFHPSEHYPTWTVEPPSETLKQIMQICTGWQSLSRPSDHGVPK >fgenesh2_kg.5__1929__AT3G55150.1 pep chromosome:v.1.0:5:17339296:17341349:-1 gene:fgenesh2_kg.5__1929__AT3G55150.1 transcript:fgenesh2_kg.5__1929__AT3G55150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSFFSSSSPKYIPSSPSSFTSFPASPLNQNFTQAMMEETVETAESVIRKWDPNTPSFTKIVSLFNHSRKEAKEFIRCVRDLRRAMHFLVSQDSQSPKLALAQNLMQIAMARLEKEFFQILSSNRDKLDPESVSGQSSISSNSEFEDVMGSDDDDESDNEMRKAGESITQVEKASVVVMSDLKAIAESMISCGYGKECVKIYKRIRKSIVDGGLSLLGIEIYKGSRFHRIDWVTLEHMIKNWIKAAKIGIATLFRGEKLLCDHVFSASNSTRESCFYEIANEAAINLFKFPEFVAKEKKSHERIFPLMDLQAAISDLWQDIEMIFYCDAVAGVKSQALTSLQKLKVSIHSALTDFESTIQKDTTKALTPGGGIHKLTRSTMNFISSLSKYSGVLSEIFADHPLPRNTRLLESYVRTPISEDEQHNHALSVHFAWLILVLLCKLDTKAEHYKDVSLSYLFLANNLQLIIETVRSTHLRNLLGDDWLNKHEDKLGAYAGNYEIAAWSNVFMSLPEEPTDLSPEEAKIYFRRFHTAFEEAYMKQSSRVVPNAKLRDELKVSIAKKLVPEYREFYRKYLPMLGQERNIEILVRFKPDNLENYISDLFHGTPIHASSSFSSSSSSSSWKSLGCVSG >fgenesh2_kg.5__1932__AT3G55170.2 pep chromosome:v.1.0:5:17351860:17353651:-1 gene:fgenesh2_kg.5__1932__AT3G55170.2 transcript:fgenesh2_kg.5__1932__AT3G55170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Os04g0376000 [Source:UniProtKB/TrEMBL;Acc:D7LV16] MARIKVHELRGKSKSDLSTQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRKSIAQVLTVISQKQKSALREAYKNKKLLPLDLRPKKTRAIRRRLTKHQASLKTEREKKKEMYFPIRKYAIKV >fgenesh2_kg.5__1933__AT3G55180.1 pep chromosome:v.1.0:5:17355397:17357087:1 gene:fgenesh2_kg.5__1933__AT3G55180.1 transcript:fgenesh2_kg.5__1933__AT3G55180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7LV17] MVTYKEDYVSNSRGIQLFTCSWKPEEQQEPKALIFLCHGYAMESSITMSSTAMRLANAGFAVYGMDYEGHGKSGGLNGYVKKFDDLVHDVYSHYSSICAREENKGKMRFLMGESMGGAVVLLLERKKPDFWDGAVLVAPMCKLAEDIKPHPMVISFLTKLTRFIPTWKIVPSNDIIDVAFKESHIRKQVRDNEYCYKGRPRLKTAHQLLLVSLDLEKNLDQVSMPFIVLHGEDDKVTDKNVSKLLYEVASSSDKTFKLYPNMWHGLLYGESPENLEIVFSDIISWLNERASVTNQRLETELKQMDDGISMQK >fgenesh2_kg.5__1936__AT3G55230.1 pep chromosome:v.1.0:5:17379658:17380745:1 gene:fgenesh2_kg.5__1936__AT3G55230.1 transcript:fgenesh2_kg.5__1936__AT3G55230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7LV22] MAKALRLTIFLFLLIDSSVQSARLLDEVQTQPQLVPGGQIPAVPKEEDGSPQAVTTQAPIPIPLPGPAAGGQEPILEFFMHDVLGGSHPSARVVTGIVAQTEVNGIPFSKSSNNIFPVDNAVPLVNANSINNLINPNTAPLLTGLSGSQANTVIQNSNGNSQGSLSSNNLPFVTTGQLPPIAALQQLMFGSITVVDDELTEGHELGSAIIGRAQGFYLASSLDGTSQTLSLTVLLHEEHDHHDTLDDAISFFGVHRTASHASHIAVVGGTGRFEHAKGYAVVETLHNQEDQHVTDGP >fgenesh2_kg.5__1937__AT3G55240.1 pep chromosome:v.1.0:5:17381381:17382636:-1 gene:fgenesh2_kg.5__1937__AT3G55240.1 transcript:fgenesh2_kg.5__1937__AT3G55240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSASYIHMVQHMIEKCLIFHMSKEECVEALSKHANITPVITSTVWKELEKENKEFFKAYEERQSKQEQMSEEETNQMIQKIISDSSKESDD >fgenesh2_kg.5__1938__AT3G55260.1 pep chromosome:v.1.0:5:17392624:17396725:1 gene:fgenesh2_kg.5__1938__AT3G55260.1 transcript:fgenesh2_kg.5__1938__AT3G55260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:UniProtKB/TrEMBL;Acc:D7LV27] MSPNLLRLLFLLMIFISLSITSSLSTPSPADSPPYLWPLPAEFSFGNETLSVDPALTLIIAGNGGGSPIVRAAFDRYMGITFKHASGRASLLARIRFLRMVEYDITSLKIVVHSDSEELQLGVDESYTLMVSKKNEQSIVGAATIEANTVYGALRGLETFSQLCAFDYLTKSVQIYKAPWYIQDKPRFGYRGLLIDTSRHFLPMDVIKQIIESMSFAKLNVLHWHIVDEQSFPFETPTYPNLWKGAYSRWERYTVEDASEIVRFAKMRGINVMAEVDVPGHAESWGTGYPDLWPSLSCREPLDVTKNFTFDVISGILADMRKIFPFELFHLGGDEVNTDCWKNTTHVKEWLQGRNFTTKDAYKYFVLRAQQIAISKNWTPVNWEETFSSFGKDLDPRTVIQNWLVSDICQKAVAKGFRCIFSNQGYWYLDHLDVPWDEVYNTEPLNGIEDPSLQKLVIGGEVCMWGETADTSVVLQTIWPRAAAAAERMWSTREAVSKGNITLTALPRLHYFRCLLNNRGVPAAPVDNFYARRPPSGPGSCYAQ >fgenesh2_kg.5__193__AT2G02910.1 pep chromosome:v.1.0:5:1502636:1505089:-1 gene:fgenesh2_kg.5__193__AT2G02910.1 transcript:fgenesh2_kg.5__193__AT2G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPERRSTVVSSSSSSYLNQSGHNDNSFLLLRRGKKFGRIVKLKLSHLFLFFVSLFFFSCMFSGHKLLFHGSEFLPHFEQNPYMKSHMLVSSEIDIGSNKSNIERPPGSKKRTKHLPCEVPLAESVDRILDPHDYLNFTRFSLGFVVTETYDKPRFGGHQTLKERERSYSAINQTIHCGFVKGTNGFHQGTGFDLSEMDRAYMKNCVVSVSSCIFGSSDFLRRPATKKISEFSKRNVCFVMFVDEQTLSKLASEGHVPDKQGFVGLWKTVVVSNLPYTDMRKTGKVPKFLSHRLFPSSRYSIWLDSKMRLTTDPMLIIDFFLWRTKSEFAISNHYDRHCVWDEVLQNKRLNKYNHSAIDEQFMFYRSDGLKKFDPSDPNSPLPSYVPEGSFIVRAHTPMSNLFTCLWFNEVDRFTSRDQLSFAYTYLKLQRLNPDRPLRLNMFKDCERRALTKLFHHRVDSSPPSPPA >fgenesh2_kg.5__1941__AT3G55280.1 pep chromosome:v.1.0:5:17403579:17405106:1 gene:fgenesh2_kg.5__1941__AT3G55280.1 transcript:fgenesh2_kg.5__1941__AT3G55280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L23A [Source:UniProtKB/TrEMBL;Acc:D7LV29] MSPAKVDVTKKTDPKAKALKAAKAVKSGQILKKPAKKIRTKVTFHRPKTLTLQRNPKYPRISATPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRTDGTKKAYVRLTPDYDALDVANKIGII >fgenesh2_kg.5__1944__AT3G55290.1 pep chromosome:v.1.0:5:17405745:17406798:1 gene:fgenesh2_kg.5__1944__AT3G55290.1 transcript:fgenesh2_kg.5__1944__AT3G55290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LV30] MSNHQTQVLKQLEPWCELKDKVVLVTGASSGIGREICLDLAKAGCKIIAAARRVDRLNSLCSEINSSNSTGIQAAAFELDVSSDAATIQKAVREAWDIFGKIDALINNAGIRGNVKSSLDLSEDEWNKVFKTNLTGPWLVSKYVCVLMRDAKRGGSVINISSIAGIRGILPGGLAYACSKGGVDTMSRMMAIELGVHKIRVNSIAPGLFKSEITQGLMQKEWLKNVTERTVPLKVQQTVDPGLTSLVRYLIHDSSQYISGNTYIVDSGATLPGVPIFSSL >fgenesh2_kg.5__1947__AT3G55320.1 pep chromosome:v.1.0:5:17406762:17413222:-1 gene:fgenesh2_kg.5__1947__AT3G55320.1 transcript:fgenesh2_kg.5__1947__AT3G55320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:p-glycoprotein 20 [Source:UniProtKB/TrEMBL;Acc:D7LV31] MMISRGLFGWSPPHMQPLTPVSEVSEPPESPSPYLDPGAESGGGTGAAAAQAEADEEMDEQDEVEPPPAAVPFSQLFACADRFDWGLMIVGSVAAAAHGTALIVYLHYFARIVDVLAFSTDSSQQRSEHQFDRLVELSLTIVYIAGGVFISGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFISGLVIGFVNCWEIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAAGIAEQAISYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWIGRFFVHNGRANGGEIIAALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMITRSSSGVNQEGAVLASVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRDATLDQIEEAAKIAHAHTFISSLEKGYETQVGRAGLAMTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERIVQEALDLLMLGRSTIIIARRLSLIKNADYIAVMEEGQLVEMGTHDELINLGGLYAELLKCEEATKLPRRMPVRNYKESAVFQVERDSSAGCGVQEPSSPKMIKSPSLQRGNGVFRPQELCFDTEESPKDHSPAPEKMGENGLPLDDADKEPTIKRQDSFEMRLPDLPKIDVQCPRQKSNGSEPESPVSPLLTSDPKNERSHSQTFSRPLSSPDDTTANGKASKDAQHKESPSFWRLAQLSFPEWLYAVLGSLGAAIFGSFNPLLAYVIALVVTAYYKSKGGHLREEVDKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDDEENSPDTLSMRLANDATFVRAAFSNRLSIFIQDSFAVIVALLIGLALGWRLALVALATLPILTLSAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRMQLQRILRQSYLHGMAIGFAFGFSQFLLFACNALLLWCTALSVNRGYMKLSTAITEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPTIEPDDNSALKPPNVYGSIELKNIDFCYPTRPEVLVLSNFSLKVSGGQTVAVVGVSGSGKSTIISLVERYYDPVAGQVLLDGRDLKLYNLRWLRSHMGLVQQEPIIFSTTIRENIIYARHNASEAEMKEAARIANAHHFISSLPHGYDTHIGMRGVELTPGQKQRIAIARVVLKNAPIILIDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDSLAAKNGLYVRLMQPHFGKGLRQHRLI >fgenesh2_kg.5__1948__AT3G55330.1 pep chromosome:v.1.0:5:17417758:17419258:-1 gene:fgenesh2_kg.5__1948__AT3G55330.1 transcript:fgenesh2_kg.5__1948__AT3G55330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein [Source:UniProtKB/TrEMBL;Acc:D7LV32] MASLKLSPSSPISISKVGVPCSKKGLSFLVKAEHHSSSSSSHLQDKCQRRLIVTFGVVAPWISLLSRAPLSFAAESKKGFLAVSDNKDAYSFLYPFGWQEVVIEGQDKVYKDVIEPLESVSVNLIPTSKQTIKEFGPPKQIAETLIKKVLAPPNQKTTLIDASEHDVDGKTYYQFEFTVQARNYTRHALGTITVFNGKFYTLTTGANERRWEKMKDRLHTVVDSFKITV >fgenesh2_kg.5__1949__AT3G55340.1 pep chromosome:v.1.0:5:17453078:17455806:1 gene:fgenesh2_kg.5__1949__AT3G55340.1 transcript:fgenesh2_kg.5__1949__AT3G55340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LV34] MVLSNKKLKQRIRQDLVKSLSVSVAETNPQSQSLKLLLDSSSHKPRLSKREKRRNCETFAREDDGVTENEVGIGGSTEKTDTKIRKKRKRDDTVEVDELEGDEVTKEEEKPQKKKKKKKKKKKRKVNKTPKKAEEGNVEEKVKVEEIQVNNTDNKEEDGVVPKKLYVGGIPYQSTEDEIRSYFRSCGVITKVDCKMRPEDGAFSGIAFITFETEDGAKRALAFDRAAMGDRFLTIQQYVKTTTPFVPRRKTSSGFAPEMVDGYNRVYIGNLAWDTTERDIRKLFSDCVINSVRLGKNKETGEFKGYAHVDFKDSVSVAIALKLDQQVICGRPVKVCCALKDRPATDHTPGETNNVGSYNMEETYAAADPIPALAGADEVNDGNYFTTTVSSGKIKRRNCFECGEKGHLSNACPKKHQNADDQANSKLGQETVDAGPAMQSYALQKNIGDSYYMNETYAATNETNDGGLASAVSTTGKVKRRNCYECGEKGHLSTACPKKLQNTAHTNSQLEHQTVEAGPVQVTSYSLQTKTRDTENNGGSFMDETYATVPISVDVTNGANDASLTSAVSTGKIKKRNCYECGEKGHLSSACPKKLQKQG >fgenesh2_kg.5__194__AT4G02520.1 pep chromosome:v.1.0:5:1506916:1508047:-1 gene:fgenesh2_kg.5__194__AT4G02520.1 transcript:fgenesh2_kg.5__194__AT4G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase 16 [Source:UniProtKB/TrEMBL;Acc:D7LQB7] MAGIKVFGTPASTSTRRVLIALHEKNLDFELVHVELKDGEHKKEPFLSRNPFGQVPAFEDGDLKLFESRAITQYIAHRYENQGTNLLQADSKNIAQYAIMVIGMEVEAHQFDPVASKLAWEQVYKLIYGLTTDQAVVAEEEAKLAKVLDVYEARLKEFKYLAGDTFTLTDLHHIPVIQYLLGTPTKKLFTERPRVNEWVTEITNRPASQKVQ >fgenesh2_kg.5__1952__AT3G55370.2 pep chromosome:v.1.0:5:17465114:17467283:1 gene:fgenesh2_kg.5__1952__AT3G55370.2 transcript:fgenesh2_kg.5__1952__AT3G55370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Obf-binding protein 3 [Source:UniProtKB/TrEMBL;Acc:D7LV36] MVFSSLPVNQFDSQNWQQQGNQHQLDCVPTDQNPNNYLRQLSSPPASQAGSSQATVNSMVERARIAKVPLPEAALNCPRCDSTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGFRRNKRSKSRSKSTVVVSTDNNTTTSPLTSRPSYSNPSKFLSYGQVPGFSSNLPILPPLQSLGDYNSSNTGLDFGGIQIGNVISGMSSSGGILDPWRIPSSQQAQQFPFLINTTGLVQSSNALYPLLEGNGGVNQGDSQQKSSDYSNQLMSKPLMDLASGGDGAAQARNVKAEENDQDRGRDGDGGNNLSRNFLGNININSARNDEYTSWGGNSSWTGFSSNNSTGHLSF >fgenesh2_kg.5__1957__AT3G55390.1 pep chromosome:v.1.0:5:17475613:17476508:1 gene:fgenesh2_kg.5__1957__AT3G55390.1 transcript:fgenesh2_kg.5__1957__AT3G55390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:D7LV38] MRSPHAFRNGESPSSRDHTHFHSTVVAQKLRRFNSLILLLRLASFSFSLASAVFMLTNSRGSGSPHWYDFDAFRFVFVANAIVALYSVFEMGTCVWEFSRETTLWPEAFQVWFDFGHDQVFSYLLLSAGSAAAALARTMRGGDTCTANKAFCLQSDVAIGLGFAAFLFLAFSSCFSGFRVACFLITGSRFHLYS >fgenesh2_kg.5__1960__AT3G55410.1 pep chromosome:v.1.0:5:17481540:17485746:1 gene:fgenesh2_kg.5__1960__AT3G55410.1 transcript:fgenesh2_kg.5__1960__AT3G55410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFRAGSSVTKLAVRRILNQGGSYATRTRSLPSQTRSFHSTIYRPKAQSAPVPRAVPLSKLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEQREIPEDLDLALYGFTEADLDREFFLGVWQMSGFMSENRPVQTLRSILTRLEQAYCGNIGFEYMHIADRDKCNWLREKIETPTPWRYNRERREVILDRLAWSTQFENFLATKWTTAKRFGLEGGESLIPGMKEMFDRAADLGVESIVIGMSHRGRLNVLGNVVRKPLRQIFSEFSGGIRPVDEVGYTGTGDVKYHLGTSYDRPTRGGKKIHLSLVANPSHLEAADSVVVGKTRAKQYYSNDLDRTKNLGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPKAGRSSQYCTDVAKALSAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKVIKNHPSTLQIYHKKLLECGEVSQQDIDRIQEKVNTILNEEFVSSKDYLPKKRDWLSTNWAGFKSPEQISRVRNTGVKPEILKSVGKAISSLPENFKPHRAVKKVYEQRAQMIESGEGVDWALAEALAFATLVVEGNHVRLSGQDVERGTFSHRHSVLHDQETGEEYCPLDHLIMNQDPEMFTVSNSSLSEFGVLGFELGYSMESPNSLVLWEAQFGDFANGAQVIFDQFISSGEAKWLRQTGLVMLLPHGYDGQGPEHSSARLERYLQMSDDNPYVIPDMEPTMRKQIQECNWQIVNATTPANYFHVLRRQIHRDFRKPLIVMAPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEEGIRRLVLCSGKVYYELDDERKKVGATDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEAMNMGAFSYISPRLWTAMRSLNRGDMEDIKYVGRGPSAATATGFYTFHVKEQAELVQKAIGKEPIN >fgenesh2_kg.5__1961__AT3G55420.1 pep chromosome:v.1.0:5:17487931:17488991:1 gene:fgenesh2_kg.5__1961__AT3G55420.1 transcript:fgenesh2_kg.5__1961__AT3G55420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEKKTKKKKKKEKHQSSDISFKPTSDVKGLKFGGQIIVKSFTIRRARTLELLKLLSLPSSSSPPLLSTAAYLPTNFTILAHHAWHTLTLGLGTRKSKVVVFVFETEAMKSSVVAAEGGIWPPEIPLGDVNKKMIRKLKTWEMARFKFRKGCITFYVYAVRNAGNEGFAAAEDLKVILQAVVALKDFMDHTAMLVMPHQKSINYNSCPPFAMAH >fgenesh2_kg.5__1962__AT3G55430.1 pep chromosome:v.1.0:5:17489765:17492306:-1 gene:fgenesh2_kg.5__1962__AT3G55430.1 transcript:fgenesh2_kg.5__1962__AT3G55430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPPSISLLLLLSAAVFLTIPAVISAIGVNYGTLGNLPPPTQVANFIKTQTSIDSVKIFDVNPDILRAFAGTGISVVVTVPNGDIPALANGRQARRWVSANILPFHPQTKIKYISVGNEILLTGDNNMINNLLPAMRNLNNALVRAGVRDVKVTTAHSLNIIAYDLTGAPSSGRFRPVWDKGILAPILAYHRRTKSPFMVNPYPYFGFDPKNVNFAIFRTPYKAVRDPFTRHVYTNMFDALMDSTYSAMKALGYGDVNIVVGETGWPSACDAPWCSPANAAWFNLNIIKRAQGQGTPLMPKRRFETYIFGLFNEEGKPGPTAERNWGLFRADFSPVYDVGLLRNGQGGGGRPALPAPSTAGGKWCVARSAATNAQLQDNINWVCGQGVDCKPIQAGGSCFNPSSLRTHASFVMNAYFQSHGRTDGACNFSGTGMVVGNNPSNGACKY >fgenesh2_kg.5__1964__AT3G55450.1 pep chromosome:v.1.0:5:17498400:17500701:1 gene:fgenesh2_kg.5__1964__AT3G55450.1 transcript:fgenesh2_kg.5__1964__AT3G55450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSRVLNKSSSGLDDLHLSSCKSSSSAAAHKTEGEILSSTSVKSFSFNELKLATRNFRSASVVGEGGFGCVFRGWLDETTLTPTKSSSGLVIAVKRLNPDGFQGHREWLTEINYLGQLSHPNLVKLIGYCLEDEQRLLVYEFMHKGSLENHLFANGNRDFKPLSWILRIKVALDAAKGLAFLHSDPVKVIYRDIKASNILLDSDFNAKLSDFGLARDGPMGETSYVSTRVMGTFGYAAPEYVSTGHLNARSDVYSFGVVLLELLCGRQALDHNRPAKEQNLVDWARPYLTSRRKVLLIVDTRLNSQYKPEGAVRLASIAVQCLSFEPKSRPTMDQVVRALIQLQDSVVKPGLHKPANVDPVKVKDTKKLVGLKTEDKYQRNGLNKKPVGL >fgenesh2_kg.5__1965__AT3G55460.1 pep chromosome:v.1.0:5:17502092:17504980:1 gene:fgenesh2_kg.5__1965__AT3G55460.1 transcript:fgenesh2_kg.5__1965__AT3G55460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYYSPPRRGYGGRGRSPPPPPRRGYGGGGGGGGRRGSSHGSLLVRNIPLDCRPEELRVPFERFGPVRDVYIPRDYYSGEPRGFAFVEFVDAYDAGEAQRSMNRRIFAGREITVVVASESRKRPEEMRVKTRTRSREPSGSRGRSHGRSRSRSISRSRSPRRPSDSRSRYRSRSYSPAPRRRGGPPRGEEDGKYSRRSYSPGYEGATAAPDRDRNGDNEIREKPGYEAEDRLRGGRAVSRSPSGSRSRSVEVSPR >fgenesh2_kg.5__1968__AT1G19080.1 pep chromosome:v.1.0:5:17513114:17514649:-1 gene:fgenesh2_kg.5__1968__AT1G19080.1 transcript:fgenesh2_kg.5__1968__AT1G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYFDIDDILIEEEFVPVLFHKTANGVTIDPSAETNCAEQGSKVELPFWLAHELHLRQAVTINLPPCFDQKTRLEVQADAAYVDLRSRCPYFYEFGCKLEPLVTDRTLGILLSTAFKIRYKEALTKVYTAAHITASKYLSFLTKEETNLYEAAHLSMTAFKKWRTGGPRFQRASILGRKRKDSD >fgenesh2_kg.5__1969__AT3G55510.1 pep chromosome:v.1.0:5:17539282:17542952:1 gene:fgenesh2_kg.5__1969__AT3G55510.1 transcript:fgenesh2_kg.5__1969__AT3G55510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGKKARKFAKKNLQSVEKRNRKLKPFFKKKFAKRNERHHVGDEEKKKVEQQPKKRCQEEEFQDIPIDAVFGKDDDEVLRDCDSDSDGYLDELVDEADSDIMKHKVLSGSVLSTCCDLVDEKQSVQVLISLLNWYRAACHYGHEPSGIPRPDIYYDIEDSETFAKVMIFMLQKADHTFRSILGLSDSSTKEKILKLKNNPKWDSLKPLVKSFVRSTLHLVKQAADLEIIVFALTQLRVSIVFLAAFPDLLKKLIKISVHLWVTGEETLSQQAFLILKDISMVFNSECFDTCLISMFKAFLHDCDIPKANSEQRLPFLRDSLVELCSQDVQKSYTKASVSITQLAKLLKMALTTKNKEAVEKIHSGQYTNCVDLWVNFIAANVQDCDIQPLLYTIVQVINGVAQLIIGPRYLLLRVKCIQWLNHLSRTSGIFIPIASLVLDMLEYKTTNDGEKQEQKLEAVSTVKLPKNWLKSQNFQEQCIFSVIELLAVHFAQWSFHISFPDLATIPVMRLKKFHERSTMEGLKRVVKRFIEQVESNIEFVQRKRDDVTFSPNDQQSADTFMQLEKQNTNAPYTQYYHSIIDKALGTKKKKKKRGKCGN >fgenesh2_kg.5__196__AT2G02950.1 pep chromosome:v.1.0:5:1512199:1513758:-1 gene:fgenesh2_kg.5__196__AT2G02950.1 transcript:fgenesh2_kg.5__196__AT2G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome kinase substrate 1 [Source:UniProtKB/TrEMBL;Acc:D7LQB9] MVTLTPSSSSTPKTSFDFMKNNNSHSLYVSSSSPSSSSYLSSKEDAVLTTKNLMEPSKTLNMSINIQKQEFGDEKKMVKKATEDPEIGVFGAEKYFNGDMDSDQGSSVLSLTNPEVERTIVDSKQSAKKSTGTPSVRSELSWNSQSVLLQNKLVNSCNSSFKEKKKNSNGQIQKASNNKKSFLANLGCKCACSDGNSVDVDDKISVKRSADPNISVIAMRKNENQMRSSVDMNTELIKIQKQEELSQRKSLEVFGSPVTIEKKSTVVQKKLPLPPWKSRTEEEDTKSEGSDSSSDLFEIEGLTGNPKPFLTRQGSDPASPTCYAPSEVSVEWSIVTASAADFSVMSECATSPVRRNRSSQIPRIPITAKSAPERRKSSSSGGSGFLMSCKSHKSVMVSGDLDRRSSINKTTPSYVPRFPMETTKPKSFETRRRISNSSISHTQSSLLYSQ >fgenesh2_kg.5__1971__AT3G55520.1 pep chromosome:v.1.0:5:17555846:17557498:1 gene:fgenesh2_kg.5__1971__AT3G55520.1 transcript:fgenesh2_kg.5__1971__AT3G55520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7LV52] MGDAIDLSGDGGVLKEIVRRAKPDAISPSDDLPVVDVHYEGILAEDAKVFDTTREDNLLFSFELGTGSVIRSWDIALKTMKVGEVAKITCKPEYAYGRAGSPPDIPPDATLIFEVELVACRPRKGASVGSVSEERARLEDLKKQREIAAAAKEDDKKKREEAKAAAAARIQAKLDAKKGQGKGKGKGKAK >fgenesh2_kg.5__1977__AT3G55580.1 pep chromosome:v.1.0:5:17579218:17582070:1 gene:fgenesh2_kg.5__1977__AT3G55580.1 transcript:fgenesh2_kg.5__1977__AT3G55580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein [Source:UniProtKB/TrEMBL;Acc:D7LV60] MNGEANLETGGTSAAAPQEEEEVVGQRLVYMWGYLPGASQQRSPLMSPVEVKIPPAVESSWKDVSGGGCGFAMATAESGKLITWGSTDDLGQSYVTSGKHGETPEPFPLPPEVCVQKAEAGWAHCVAVTENQEVYTWGWRECIPTGRVFGQADGDSCERNISFSTEQVSPTCQGRKSSGGTSYQVEARGEPTKKRRISPSKQAAENASQSDNIDLSALPCLVSLAPGVRIVSVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRVRLVSSPHPIPCIEPSSYGKATSSGVNMSSEVQCARVLGSYVKKIACGGRHSAVITDTGALLTFGWGLYGQCGQGSTDDELSPTCVSSLLGIRIEEVAAGLWHTICASADGDVYSFGGNQFGQLGTGCDQAETLPKLLEAPNLENVNVKIISCGARHTAVIADEGKVFCWGWNKYGQLGLGDVIDRNAPSEVRIKDCVPKNIACGWWHTLLLGQSSL >fgenesh2_kg.5__1981__AT3G55610.1 pep chromosome:v.1.0:5:17590698:17595789:-1 gene:fgenesh2_kg.5__1981__AT3G55610.1 transcript:fgenesh2_kg.5__1981__AT3G55610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate synthase [Source:UniProtKB/TrEMBL;Acc:D7LV65] MTEIDRSRAFAKDVKRIVVKVGTAVVTGKGGRLALGRLGAICEQLAELNSDGFEVILVSSGAVGLGRQRLRYRQLVNSSFADLQKPQMELDGKACAGVGQSSLMAYYETMFDQLDVTVAQMLVTDSSFRDKDFRKQLSETVKAMLRMRVIPVFNENDAISTRRAPYKDSTGIFWDNDSLAALLSLELKADLLILLSDVEGLYTGPPSDSTSKLIHTFIKEKHQDEITFGEKSKLGRGGMTAKVKAAVNAAYGGVPVIITSGYAAENISKVLRGLRVGTLFHQDAHLWAPVVDTTSRDMAVAARESSRKLQALSSEDRKQILLDIANALEANEKTIKAENDLDVAAAQEAGYEESLVARLVMKPGKISSLAASVRQLAEMEDPIGRVLKKTQVADDLILEKTSSPIGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEARRSNAILHKVITDAIPKTVGGKLIGLVTSREEIPDLLQLDDVIDLVIPRGSNKLVSQIKNSTKIPVLGHADGICHVYVDKSCKLDMAKRIVSDAKLDYPAACNAMETLLVHKDLEQNGFLSDLIYVLQTKGVTLYGGPRASAKLNIPETKSFHHEYSSKACTVEIVEDVYGAIDHIHQHGSAHTDCIVTEDSEVAEIFLRQVDSAAVFHNASTRFSDGFRFGLGAEVGISTSRIHARGPVGVEGLLTTRWIMRGKGQVVDGDNGIVYTHKDLPVLQRTEAVENGI >fgenesh2_kg.5__1983__AT3G55630.3 pep chromosome:v.1.0:5:17603617:17606430:1 gene:fgenesh2_kg.5__1983__AT3G55630.3 transcript:fgenesh2_kg.5__1983__AT3G55630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthase [Source:UniProtKB/TrEMBL;Acc:D7LV67] MATEDEGDLSARYQNTLDALSSLITKRGRFASNNQSHRFRLLFHYLKVLELEDAVSQMKIIHVAGTKGKGSTCTFSESILRCYGLRTGLFTSPHLIDVRERFRLNGIEISQEKFVDYFWCCFHKLKEKTSNEVPMPTYFCFLALLAFKIFAAEQVDVVILEVGLGGRFDATNVIQKPVVCGISSLGYDHMEILGYTLAEIAAEKAGIFKSGVPAFTVPQPDEAMHVLNEKASKLEVNLQVVEPLDSSQRLGLQGEHQYLNAGLAVALCSTFLKEIGIDDKNGLNQTNGLPAKFISGLSNAYLMGRAMVVPDLELPEEIVYYLDGAHSPESMEACAIWFSKQIKQNQERNQKRSEQILLFNCMSVRDPSLLLPRLRSKCIEQGVDFKRAIFVPNVSVYNQVGSSSEVATRVESMSWQFGLQRLWESLARGKAKSNSRSDSKGNGEDKSFVFSSLPLAVDWLRDNARQSKQVRFQVLVTGSLHLVGDLLRLIKK >fgenesh2_kg.5__1987__AT3G55646.1 pep chromosome:v.1.0:5:17612861:17614516:1 gene:fgenesh2_kg.5__1987__AT3G55646.1 transcript:fgenesh2_kg.5__1987__AT3G55646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNKKKKIVSASSFDHIFGPRVSSSSSSSATGLFKSIFPPPSADQLGRQVDFASQGGHVKYQSPNERGERSNKKEKKSYYNEETEPPCHLSSSLYYGGQEKYSSTTTITHDTTYKKDGEEGDSKSASRGNWWEGSLYY >fgenesh2_kg.5__1991__AT3G55660.1 pep chromosome:v.1.0:5:17617400:17619813:-1 gene:fgenesh2_kg.5__1991__AT3G55660.1 transcript:fgenesh2_kg.5__1991__AT3G55660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSCIGFEGSRRFGESKRIIGLIDSVTESTTDSSLSSSSCGAGSSSGRSSVAERSVSSPSSPPTKSQILGWPLGQGSWRKSSGKMKKKTPTKIDDFGFKRVGTETPEIELLKERMAKLLLGEDMSGSGEGVCPALAISNAITNLYAAILGQQWRLEPIPSEKKSMWRREIEVLLSVSDHIVELVPSFQNFPNGNKIEVMNCRPRSDLFTCLPALRKLDHMLIEILDSFGETEFWYVDQGIVAAESARSNSFREDGDKWWLPLPRVPSDGLTEQSRKKLDHTREFTNQILKACMSINSIALAEMEVPQSYLEALPKNGRSCLGDFLYRNITSDNFSADHLLESIDLSSELALVEMANRVEASMYVWRRRAHSRHLISLYRSTSTRWGMIVKEMMMHQTDGDKREIFAERAESLLIRLKQRFPGLRQTALDTSKIQYNKDVGKSILESYSRVLESLAYSIGVRIEEVLFMDDISKDDGDDDSCSDKLRLLSKEAADGGSGSLRKKLSAPSLFSVSFSGTSTPYRTPSFSASTPSYSPMPLISPINGGRGGERAPFLSGRNIRERCGFGAKKALANYLRG >fgenesh2_kg.5__1993__AT3G55677.1 pep chromosome:v.1.0:5:17625283:17625885:1 gene:fgenesh2_kg.5__1993__AT3G55677.1 transcript:fgenesh2_kg.5__1993__AT3G55677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITNSHFILLFLIFSTFIVFTLALDLSDVAAEAPDGLLPLSKKHVVIRNTVTNGEVLNIHCKSSEDDLGHIRLKHGHTWGFRFRVNFSLSTYFRCHFWWNSVPGGPNYYSYWFDIFTVYRDDNPLGKYPVCEECIWEMYELRQNYICRINRDKSGWCFRMDIEP >fgenesh2_kg.5__1996__AT3G55700.1 pep chromosome:v.1.0:5:17633658:17635962:1 gene:fgenesh2_kg.5__1996__AT3G55700.1 transcript:fgenesh2_kg.5__1996__AT3G55700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LV78] MEERRGRRIIMFPLPFAGHFNPMIELAGIFHHRGFSVTILHTSYNFPDPSRHPHFTFRSIPHNKEGEEDPLSQSETSSMDLIVLMLRLKQCYAETFRQSLAEEVGGEETVCCLVSDAIWGKITEVVAEEIGVRRVVLRTGGASSFCAFAAYPLLRDKGYLPIQDSRLDELVTELLPLKVKDLPVIETKEPEELYRVVNDMVEGAKSSSGVIWNTFEDLERLSLMDCSNKLQVPFFPIGPFHKHSDDHPLKTKNKDDDKTTCWLDKQDPQSVVYASFGSLAAIEEKEFLEIAWGLRNSKLPFLWVVRPGMVRGTEWLESLPCGFLEDIGHKGKIVKWVNQLEVLAHPAVGAFWTHCGWNSTLESICEGVPMICTPCFSDQHVNARYIVDVWRVGMVLERSKMEMKEIENALRSVMMEKGDELRERSLKLKESADFCLTKDGSSSKNLEKLVSHVLSFDSYAFAS >fgenesh2_kg.5__1997__AT3G55710.1 pep chromosome:v.1.0:5:17636812:17638774:1 gene:fgenesh2_kg.5__1997__AT3G55710.1 transcript:fgenesh2_kg.5__1997__AT3G55710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LV79] MEERKGRRIIMFPLPFTGHFNPMMELAGIFHHRGFSVTILHTSFNFPDPSRHPHFTFRTITHENEGEEDPLSQSETSSGKDLVVLISLLKQCYTEPFRQSLAAEVAGGGTVCCLISDALWGRNTEVVAEEVGVRRMVLRTGGAVSFCAYAAFPLLRDKGYLPIQDSRLDELVTELPPLKVKDLPVIETKEPEELYRVVNDMVEGAKSSSGVIWNSFEDLERLSLMDSRSKLQVPFFPIGPFHKHCNDLPPKTKNKDDDEILTDWLDKEDPQSVVYVSFGSLAAIEEKEFLEIAWGLKNSERPFLWVVRPGMVRGTGWLESLPCGFLENIGHKGKFVKWVNQLEVLAHPAVGAFWTHCGWNSTIESICEGVPMICTPCFSDQHVNARYIVDVWRVGMVLERSKIERKEIENALRIVMMEKGDGLRERSLKLKERADFCLSKDGSSSKYLDELVSHVLSFDS >fgenesh2_kg.5__1998__AT3G55720.1 pep chromosome:v.1.0:5:17646212:17647868:1 gene:fgenesh2_kg.5__1998__AT3G55720.1 transcript:fgenesh2_kg.5__1998__AT3G55720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCPNFDREDGLETVLEVPMPEELFCSDNNKSGTWRSVKSSLLRSPPDNSSSLATLFGGRDAQIQMLLGIVGAPSIPLPVASDHDEIDHPISNLIKNQSIESAMAKYIVKQYTAAAGGEMALAGVESMYAIGKVKMGVTEFCAAKTLNGKRKNKMVRIRNVNNNNGNGGEMGGFVLWKKGLSQWSLELVVSGCKVSAGCDGNVAWRQSPWLAHSHASNEPSGPLRRFLQGLDPKTTANLFAGSVCVGEKAVNDEECFVLKLETQPSGLKSRSKSGMETVKHTVWGCFSQRTGLLVQLEDTYLVRIKTCLEEEDMVLWETTSETLIQDYKSVDGIQIAHRGKTRVSLLRLDESLESHSKTTMEESWEIEEVGFNVKGLSSDFFLPPGDLHTKEEEESGFSFGDYSSPMLLPLKVSTASWKLKSSKVTAIEDFGEFEG >fgenesh2_kg.5__1999__AT3G55730.1 pep chromosome:v.1.0:5:17648293:17650344:-1 gene:fgenesh2_kg.5__1999__AT3G55730.1 transcript:fgenesh2_kg.5__1999__AT3G55730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETHRSEPLPLTSADSDEGISASIEAELAELAAGDSSGGGGGGGIKSKVKGPWSTEEDAVLTKLVRKLGARNWSLIARGIPGRSGKSCRLRWCNQLDPCLKRKPFSDEEDRMIISAHAVHGNKWAVIAKMLTGRTDNAIKNHWNSTLRRKYADLWNNGQWMANSVTTAYVKNENVDEASNPSSKQQLPQGDINSPPKPPQVSDVVMEEAANEPQEQAPPLESNVPIDNNVFRPVARVGAFSVYNPTSQRNGYRDHNIVPCEGPLIQAAKPDSLAGKFLQSLCDEPQIPSKCGHGCSTHPAEIKFSSNSVLGPEFVDYEEPSAVFNQELISIATDLNNIAWIKSGLDNAVIREAEQSLKIDNFNYNDPRIKFTGMMPRQDFFCARS >fgenesh2_kg.5__2000__AT3G55740.1 pep chromosome:v.1.0:5:17665816:17668531:1 gene:fgenesh2_kg.5__2000__AT3G55740.1 transcript:fgenesh2_kg.5__2000__AT3G55740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSEARNRKVVAVEKFELEVPETAHQISSDSWFQIAFVLTTGINSAYVLGYSGTVMVPLGWIGGVVGLILATAISLYANTLIAKLHEFGGKRHIRYRDLAGFIYGKKMYRVTWGLQYVNLFMINCGFIILAGSALKAVYVLFRDDSLMKLPHFIAIAGVVCAIFAIGIPHLSALGIWLGVSTILSIIYIVVAIVLSAKDGVNKPERDYNIQGSSINKLFTITGAAANLVFAFNTGMLPEIQATVKQPVVRNMMKALYFQFTVGVLPMYAVTFIGYWAYGSSTSTYLLNSVSGPLWVKALANISAFLQSVISLHIFASPTYEYMDTKYGVKGSPLALKNLLFRTVARGSYIAVSTLLSALLPFLGDFMSLTGAISTFPLTFILANHMYLVAMNDKLSLVQKLWHWLNVCVFGLMSLAAAIAAVRLISVDSKNFHVFADV >fgenesh2_kg.5__2003__AT3G55750.1 pep chromosome:v.1.0:5:17671383:17672764:1 gene:fgenesh2_kg.5__2003__AT3G55750.1 transcript:fgenesh2_kg.5__2003__AT3G55750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L35a [Source:UniProtKB/TrEMBL;Acc:D7LV84] MKGRQGERVRLYVRGTVLGYKRSKSNQYPNTSLIQIEGVNTQEEVNWYKGKRLAYIYKAKTKKNGSHYRCIWGKVTRPHGNSGVVRSKFTSNLPPKSMGARVRVFMYPSNI >fgenesh2_kg.5__2004__AT3G55760.2 pep chromosome:v.1.0:5:17673331:17675899:1 gene:fgenesh2_kg.5__2004__AT3G55760.2 transcript:fgenesh2_kg.5__2004__AT3G55760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLGVSIGVALGSSHWDDGRRVRRRDFSASVNFTAPANGRNRRGSRSGVRILRVSNEGRESYLDMWKNAVDREKKEKAFEKIAENVVAVDGEKEKGGDMEKKSDEFQKILEVSVEERDRIQRMQVVDRAAAAISAARAILASNNSGDGKEGFPNEENTVTSEVTETPKNAKLGMWSRTVYVPRSETSGTETPGPDFWSWTPPQGSEISSNMNVDLQAVEKPAEFPTLPNPVLEKDKSADSLSIPYESMLSSERHSFTIPPFESLIEVRKEAETKPSSETSSTEHDLDLISSANAEEAARVLDSLDESSTHGVSEDGLKWWKQTGVEKRPDGVVCRWTMIRGVTADGVVEWQDKYWEASDDFGFKELGSEKSGRDATGNVWREFWRESMSQENGVVHMEKTADKWGKSGQGDEWQEKWWEHYDATGKSEKWAHKWCSIDRNTPLDAGHAHVWHERWGEKYDGQGGSTKYTDKWAERWVGDGWDKWGDKWDENFNPSAQGVKQGETWWEGKHGDRWNRTWGEGHNGSGWVHKYGKSSSGEHWDTHVPQETWYERFPHFGFFHCFDNSVQLRAVKKPSDMS >fgenesh2_kg.5__2011__AT3G55780.1 pep chromosome:v.1.0:5:17678474:17679895:1 gene:fgenesh2_kg.5__2011__AT3G55780.1 transcript:fgenesh2_kg.5__2011__AT3G55780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7LV87] MKKMHSLSSYLLLLISLTAIATPTTTSATTIGVTYSTPASISGTVQLSPDRIAEKVVSMKIPAVRLLDSNPAMIRAFAYTNVSLFLSVPNPLVPLLASNRSLAMRWVYRHVLPFHPRTKISIISVGNDVISYSPDVSPFLLRAMQNVHLSLVDLRIFKISVSTTFSFFNIVPTAFPPSSAQFQQPNGEVIIRPILQFLERTNSSFLINLYPYNMYRSSFSIPIGFALFEEFPFNFRDDLTTGVRYRNLFDMMVDAVISSMAVMGHENLPVIVAETGWPSSGIDASEVDATLLYSEMFLKALLTHLRSGCGTPLRKEGVSEVYIFELVEKDAKQGIRNWGLLHHNMTSKYSFEFSDGGKAGRYKELLIGFFVQVVMIGYGYSDTFNLNFMNNYEWNVILGLTHRNYRS >fgenesh2_kg.5__2012__AT3G55790.1 pep chromosome:v.1.0:5:17681121:17681461:1 gene:fgenesh2_kg.5__2012__AT3G55790.1 transcript:fgenesh2_kg.5__2012__AT3G55790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHKSIKLIAQMLLLLLAIHAHIQAHETSSEIYFPVWRRELLGGGGGGRGGGGGGRGGGGGHGGGGGEDFSNGGRSGGLQSSLFMVFIGYWLLVLYN >fgenesh2_kg.5__2018__AT3G55840.1 pep chromosome:v.1.0:5:17690019:17691907:-1 gene:fgenesh2_kg.5__2018__AT3G55840.1 transcript:fgenesh2_kg.5__2018__AT3G55840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDLQRNMVSPKLHVTIPEPCKLSSVSSPISSSSSAACSAYELYLRLPELRNLWSSLDFPHWISEPVLKPSLQALEITFRLILTVASDRRPYINRREWIRRLDSLSTSQIKIVAAICEDEGYDDDNVSAAPVSNGWSSLSLLSEIATCRTSESVGQKILSTIENEMRWCKYTLGLGEPNLAGKPYLPYDAVCRPEELHSLKNNPYSDHIENQENQMLYTIHQILESWIYVSVNLLYRIESRIEEGKFEKASSDVYLLERIWKLLTEIEDLHILMDPEDFLKVKKQLQIKSTSPNDAFCFRSKGLVEMAKMSKELRQKVPAVLEVEVDPTGGPRLQEAAMKLYSRKTEYEKIHLLQGMQAVESAAKRFFFGYQKLVAAMIGNAEANANRTVASHESYDSLTHVFMEPPYYPSLDAAKTFLGEFWSQL >fgenesh2_kg.5__2020__AT3G55850.2 pep chromosome:v.1.0:5:17695048:17699244:-1 gene:fgenesh2_kg.5__2020__AT3G55850.2 transcript:fgenesh2_kg.5__2020__AT3G55850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAF3/LAF3 ISF1/LAF3 ISF2 [Source:UniProtKB/TrEMBL;Acc:D7LV93] MNLFIVVSAAVFLLISVAYLPLLNDLYWSTLKSLTPPVGIVADLLVRNGTIFTSDSSLPFADSMAIRNGRILKVGSFATLKGFIGDGTMEVNLEGKIVVPGLIDSHVHLISGGLQMAQVGLRGVSQKDEFCKMVKDAVQIAKEGSWILGGGWNNDFWGGELPSASWIDEISPHNPVWLIRMDGHMALANSLALRIAGVTSLTEDPVGGTIMRMPSGEPTGLLIDAAMELVTPWVKEISVDERREALFRASKYALTRGVTTVIDLGRYFPGTTDELSWKDFQDVYLYADSSKKMMIRTCLFFPITTWSRLSDLKLQKGSVLSEWLYLGGVKAFIDGSLGSSSALFYEEYIDTPNNYGLEVMDPEKLSNFTMAADKSGLQVAIHAIGDKANDIILDMYESVAVANGYRDRRFRIEHAQHLAPGSANRFGQLHIVASVQPDHLLDDADSVARKIGSERAEKESYLFQSLLNGNALLALGSDWPVADINPLHSIRTAVKRIPPKWDHAWIPSERISFTDALIAQTISAARGAFLDHHLGSLSPGKLADFVILSTNSWDEFSKDVSASVLATYVGGKQLYP >fgenesh2_kg.5__2023__AT3G55880.2 pep chromosome:v.1.0:5:17712819:17715165:1 gene:fgenesh2_kg.5__2023__AT3G55880.2 transcript:fgenesh2_kg.5__2023__AT3G55880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISKEEISKTRRYSSSLWRGIKTIFVLFTMFLSFIIFSAPIFLAVADAILPSAILSSSSSSLNRLSPHSFPATIYSYLSNYDFRYSLIDIPLISIIRSAIILCVYGLCDGPKLSRGPYLTITMICSISSLIYVSFKAAIVFGEPVIGGYFRTEEMALFVCSWILAIGHIVVAYRTSCRERRKLLVFKIDIESVSACKNVFPRYQKILQQERLK >fgenesh2_kg.5__2026__AT3G55920.1 pep chromosome:v.1.0:5:17723667:17725249:-1 gene:fgenesh2_kg.5__2026__AT3G55920.1 transcript:fgenesh2_kg.5__2026__AT3G55920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7LVA1] MAITATRLVSLTLLWIIVLFVTLALIQIKLTDVADPSVNEKILDAKLNQVGEDLEGVTHKVYFDIQINGSPAGRILIGLFGKIVPKTAENFRALCTGEKGVGNMGKPLYFKGSSFHRIIPGFMIQGGDFTRGDGRGGESIYGDKFADENFKLKHTGPGFLSMANSGPDSNGSQFFITTVTTSWLDGHHVVFGKVLSGMEVVRKIEAQGQDSGVPKGNVIVFASGEVSL >fgenesh2_kg.5__2027__AT3G55940.1 pep chromosome:v.1.0:5:17727908:17730376:1 gene:fgenesh2_kg.5__2027__AT3G55940.1 transcript:fgenesh2_kg.5__2027__AT3G55940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:D7LVA3] MSKQTYKVCFCFRRRYRHTVSVAPPEIKTLFDKYSDKGLMTTDLLLRFLIDVQKQDKATKEEAQEIVNASSSLLHRNGLHLDAFFKYLFAVTNSPLSSQEVHQDMDAPLSHYFIFTGHNSYLTGNQLSSDCSELPIIEALKKGVRVIELDIWPNSDEDGIDVLHGRTLTSPVELIKCLRAIREHAFDVSDYPVVVTLEDHLTPKLQAKVAEMVTDIFGEMLFTPPSGECLKEFPSPAFLKNRIMISTKPPKEYKAATDDDLVKKCRNLGDEEVWGREVPSFIRRDRSVDKNDSNGDDDDDDDDDDDDDDDGDDKIKKNAPPEYKHLIAIEAGKPKGGITECLKVDPDKVRRLSLSEEQLEKASEKYAKQIVRFTQRNLLRVYPKGTRITSSNYNPLIAWSHGAQMVAFNMQGLGRSLWVMQGMFRGNGGCGYIKKPDLLLKSDAVFDPEATLPVKTTLRVTIYMGEGWYYDFPHTHFDRYSPPDFYTRVGIAGVPADTIMKKTKTLEDNWIPAWDEVFEFPLTVPELALLRIEVHEYDMSEKDDFGGQTCLPVWELRQGIRAVPLRNQDGVKCRSVKLLLRLEFV >fgenesh2_kg.5__202__AT2G02960.4 pep chromosome:v.1.0:5:1521060:1523311:-1 gene:fgenesh2_kg.5__202__AT2G02960.4 transcript:fgenesh2_kg.5__202__AT2G02960.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LQC2] MLPVPLSPVNAEVEEMRSESPVVHEKAIDISDDDDDENEPLIVSAECRICSDESPVENLESPCACSGSLKYAHRKCVQRWCNEKGNIICEICHQSYQPGYTAPPPPLQPEETTIDIGGGWTISGLDVHDPRLLAIAEAERRYLESEYVEYTASSASGAAFCRSAALILMALLLLRHALTITDDADGEEDDPSSILSLVLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALATQFALVLQSGQPRTVHFTVSPGIPSSSMAHATTSTQQQHDDPV >fgenesh2_kg.5__2030__AT3G55980.1 pep chromosome:v.1.0:5:17761640:17764487:1 gene:fgenesh2_kg.5__2030__AT3G55980.1 transcript:fgenesh2_kg.5__2030__AT3G55980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LVA7] MLLLEFAACDDLDSFKRDVEEKGLDLDEPGLWYCRRVGSKKMGFEERTPLMVAAMYGSIKVLTFIISTGKSDVNRACGEERVTALHCTVAGCSVNMIEVITVLLDASALVNSVDANGNQPLDVFVRVSRFVASPRRKAVEFLLRGGGVSGLVDEAVEEEIKIVSKYPADASLPDINEGVYGSDEFRMYSFKVKPCSRAYSHDWTECAFVHPGENARRRDPRKYPYTCVPCPEFRKGSCPKGDSCEYAHGVFESWLHPAQYKTRLCKDETGCARKVCFFAHKREEMRPVNASTGSAVAQSPFNSLEMMPGLSPLAYSSGVSTPPVSPMANGVPSSPRNGGSWQNRVNTLTPPALQLNGGSRLKSTLSARDIDMEMEMELRLRGFGNNVEETFGSYVSSPGRNSQMGQNMNQHYPSSPVRQPPSHHGFESSAAAAVAVMKARSSAFAKRSLSFKPSTQAAPQSNFSDWGSPNGKLEWGMKGEELNKMRRSVSFGIHGNNNNNAARDYRDEPDVSWVNSLVKDSVVSERNFGMNERVRIMSWAEQMYRENEQTV >fgenesh2_kg.5__2034__AT3G56030.1 pep chromosome:v.1.0:5:17775496:17776714:1 gene:fgenesh2_kg.5__2034__AT3G56030.1 transcript:fgenesh2_kg.5__2034__AT3G56030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRRFVKEANNSRFLLQSISGRSFSTVNPNPTASPGRRTCVEFDNLIYEAGNSGDFEAVRRLLNSRVVNACFNTTATFKFLTNTDSYSSSLEDLRRILPQTEAGYTRKHAYETLIARLCKLGRIDDALVLINDMAIGKFELSTSIFHPILNTLTKKNKFEEAWRVAELMRSHAIPMDVTSYNYFLTSHCYEGDVAEASKVLRKLEEEGVMSPDTRTYDALVLGACKSGNVEAAMVILRRMEEEGLSVLYATHAYVIGELLESGYYALSVEFVMAYAGKDKRLDEENLGSLASKLIKRKRFKEAKLVLKEMSVRGLRMGDALREFHDTNVLKT >fgenesh2_kg.5__2035__AT3G56040.1 pep chromosome:v.1.0:5:17776716:17781850:-1 gene:fgenesh2_kg.5__2035__AT3G56040.1 transcript:fgenesh2_kg.5__2035__AT3G56040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPQASPILHHPQNHLSLFHFRTTTSPRSFTSLHFRKPLLFLPSSSSFSSKFPQSEQLCDNHQVRHVSTVPVEYATPTPPESDDFLSEIDRLNSLRAKLDVSKDLRRKDAVIDADSRVRRFFSENRGGLPQVLGSLGLNSKEMFLVKCVIAAGQEHALCMNYEEAFEQDAEEYTVRSSVKNALYALVEMIERFDVNSSGYKGRREIGTVLDAEEITHFRKFLTFLEEIEQFYDCIGGIIGYQVMVLELLHQSSKRRNTNRSNLVEESLGCQYLEMHTPSVLDLTQKEDYASQAAIWGIEGLPDLGEIYPLGGAADRLGLVDSDTGECLPAAMLAHCGRTLLEGLIRDLQAREFLYFKLYGKQCVTPVAIMTSAAKNNHEHVSSLCERLKWFGRGQSNFRLFEQPLVPAVSAEDGQWIVSKPFVPVSKPGGHGVIWKLAYDKGVFNWFYDHGRKGATVRQVSNVVAATDVTLLALAGIGLRYNKKLGFASCKRNAGATEGINVLMEKKNFDGKWEYGISCIEYTEFDRFGISNRSPSSNGLQADFPANTNILYVDLHSAELIGSSSNAKSLPNMVLNTKKRIEYIDQYGDYHSVMGGRLECTMQNIADNFFNKFPSRCQGSLEDKLDTYIVYNERRRVTSSAKKKKPHASAALHQTPDGALLDILRNAYDLLTDCDIKLPMIEANDKYVDSPPPYIILLHPALGPLWEVSRQKFKGGSISSCSELQLEIAEFSWNNVQVDGSLIITAENAMGSTTPNDNGEPILQYGLRCGKCKLHNVRVVNRGIDWNSKSNVYWRNDVNRLETCKIILHGNAEFEASNVAIEEHHVFEVPDGHKLKITPGNAGLSINLETLKEEVKETGSWYWNYQLNGSHIHLQQVEVSRN >fgenesh2_kg.5__2037__AT3G56050.2 pep chromosome:v.1.0:5:17782555:17785831:-1 gene:fgenesh2_kg.5__2037__AT3G56050.2 transcript:fgenesh2_kg.5__2037__AT3G56050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LVB3] MSNNWKSFRLRLQNRTLLFLLVILSFGSCYSLKSQGGPFLDFVTEDLSSDTDSEDLRAVGFHRKLLGRYRNPYTHLTTFRDRPVARATPPSSSVSPRPNTKKTLTLPSPQKSPPARHVSAPPPLMHTVNFPSLRRSSKTSSNSTIPILAGCVGGAVFILLLATGVFFFKSKAGKSVNPWRTGLSGQLQKVFITGVPKLKRSEIEAACEDFSNVIGSCPIGTLFKGTLSSGVEIAVASVATASAKEWTNNIELQFRKKIEMLSKINHKNFVNLLGYCEEDEPFTRILVFEYASNGTVFEHLHYKESEHLDWVMRLRIAMGIAYCLDHMHGLKPPLVHSNLLSSSVQLTEDYAVKIADFNFGYLKGPSEAESSTNALIDTNISETTQEDNVHSFGLLLFELMTGKLPESVKKGDSIDTGLADFLRGKTLREMVDPTVECFDDKTENIGEVIKSCIRADPKQRPIMKEVTGRLREITGLSPDDAIPKLSPLWWAELEVLSTA >fgenesh2_kg.5__2040__AT3G56090.1 pep chromosome:v.1.0:5:17807287:17809245:-1 gene:fgenesh2_kg.5__2040__AT3G56090.1 transcript:fgenesh2_kg.5__2040__AT3G56090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin [Source:UniProtKB/TrEMBL;Acc:D7LVC2] MLLKAASTFSLLNIHGEKRDISPLFSISSPISSGKSGNLSFALRASESSSTLSGVVFEPFEEVKKEMDLVPSGQQLSLARHLYSPECEAAVNEQINVEYNVSYVYHALYAYFDRDNVALKGLAKFFKESSVEEREHAELLMEYQNKRGGRVKLQPMVLPQSEFDHPEKGDALYAMELALSLEKLVNEKLLNLHSVASKNDDVQLADFIESVFLNEQVEAIKKISEYVSQLRRLGKGHGTWHFDQELLGAAA >fgenesh2_kg.5__2042__AT3G56110.1 pep chromosome:v.1.0:5:17815054:17816041:-1 gene:fgenesh2_kg.5__2042__AT3G56110.1 transcript:fgenesh2_kg.5__2042__AT3G56110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7LVC4] MATPPTLPVTNQQAVQSQPPINTPAFRTFFSRLSTSIRDGLSQRRPWTELIDRSSMARPESLTDALSRIRKNLAYFKVNYVAIVSLVLAFSLFSHPLSLLVLIGLLGGWMFLYLFRPSDQPLVIFGRTFSDRETLLALVLSTIVVVFMTSVGSLLTSALMIGVAIVCVHGAFVVPDDLFMDDQEPANAGLLSFLGGSATSAAAAVSGRV >fgenesh2_kg.5__2045__AT3G56130.1 pep chromosome:v.1.0:5:17820255:17822895:1 gene:fgenesh2_kg.5__2045__AT3G56130.1 transcript:fgenesh2_kg.5__2045__AT3G56130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoyl attachment domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LVC6] MASSAALGSLHQTLVCAINSQSEVHSLSGNWSASGNSCVPRWRLSNRNSKYTLVLRAKAAKSSTATKSDDSSEASVSNGKKTVRRITFPKEVEALVHEMCDETEVAVLQLKVGDFEMNLKRKIGAATNPIPMVDISPTIAPPIPSEPMNKSVSSAPSPSKAKPSSEKVSPFKNTSYGKPAKLAALEASGSNNYVLVTSPAVGKFQRSRTVKGKKQSPSCKEGDAIKEGQVIGYLHQLGTELPVTSDVAGEVLKLLSDDGDSVGYGDPLVAILPSFHDINIQ >fgenesh2_kg.5__2047__AT3G56140.1 pep chromosome:v.1.0:5:17823089:17826605:1 gene:fgenesh2_kg.5__2047__AT3G56140.1 transcript:fgenesh2_kg.5__2047__AT3G56140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTTNDLFASQPLFHCPCSSSSRRRRFHGLHLPINLTTEKNNSLSIVALSDSDVPSRTAVSRRAFLLAPPLLSVAASLFLKPSVSLATEASSSATVTSPAESAAPPPPATATAPSPPPAPVNKEETITSRIYDATAIGEPMAMGKDKKKVWEKLMNARVVYLGEAEQVPTKDDKELELEIVRNLRKRCLESERQISVALEAFPLDLQDQLNQYMDKRMDGETLKSYVTHWPAQRWQEYEPLLSYCRDNSVRLIACGTPLKVLRTVQAEGIRGLSKSERKLYTPPAGSGFISGFSSFSRRSTFDMSLPTQIVPFGPSSYLSAQARVVEDHTMSQVILQAVADGGGSGLLLVVTGASHVEYGSRGTGLPARISRKFPKKNQVVVLLDPERQYLRREGETPVADFLWYSAARPCSRNCFDRAEIARVMNAAGRRRDALPPDIQNGLDLGLVSPEVLQNLFDLEQYPLISELTQRFQGFRERLLADPKFLNRLAIEEAISITTTLIAQYEKRKENFFEEIDYVITDTVRGSVVDFFTVWLPAPTLSFLSYADETTGPDSIDALRGLLGSIPDNAFQKSLAGREWNLNLRIASVIVGGLKLAGVGVVSSFAAVGASNALNVVRKVIKPELVVSEKPKRSPLLKTAMVYGGFLGTSANLRYQIIAGLIEHRLSDELSSQPLLVNAISFVVRTLNSYFGTQQWIDLARSTGLQTQKSIPASKEIPEALEESTVECDTTTEEESIDKLNNQ >fgenesh2_kg.5__2048__AT3G56150.1 pep chromosome:v.1.0:5:17829425:17832658:-1 gene:fgenesh2_kg.5__2048__AT3G56150.1 transcript:fgenesh2_kg.5__2048__AT3G56150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit C [Source:UniProtKB/TrEMBL;Acc:D7LVC9] MTSRFFAQGGSESEDESDYEEEVNEVQNDDVTNRYLQSGSEDELDIDTKRVVKPAKDKRFEEMTHTVEQMKNAMKINDWVSLQENFDKVNKQLEKVMRITEAVKPPTLYIKTLVMLEDFLNDALANKEAKKKMSTSNSKALNSMKQKLKKNNKLYEDDINKYRESPEVEEEKQPEDDDDESDVDDESEDDVEEPTDNLSWEKMLSKKDKLMEKLINKDPKEITWDWVNKKFKEIVASRGKKGTARFELVDQLTHLTKIAKTPAQKLEILFSVISAQFDVNPGLSGHMPINVWKKCVLNMLTILDILVKYNNIVVDDTVEPDENETSKPADYDGKIRVWGNLVAFLERIDTEFFKSLQCIDPHTREYVERLRDEPMFLALAQNIQDYFERMGDFKAAAKVALRRVEAIYYKPQEVYDAMRKLAELVEEEEEEEETEEAKEESGPPTSFIVVPEVVPRKPTFPESSRAMMDILVSLIYRDGDERTKARAMLCDINHHALMDNFGTARDLLLMSHLQDNIQHMDISTQILFNRTMAQLGLCAFRVGMITESHSCLSELYSGQRVRELLAQGVSQSRYHEKTPEQERMERRRQMPYHMHLNLELLEAVHLICAMLLEVPNMAANSHDAKRRVISKNFRRLLEISERQAFTAPPENVRDHVMAATRALTKGDFQKAFEVLNSLEVWRLLKNRDSILDMVKDRIKEEALRTYLFTYSSSYESLSLDQLAKMFDVSEPQVHSIVSKMMINEELHASWDQPTQCIVFHEVQHSRLQSLAFQLTEKLSILAESNERAMESRTGGGGLDLSSRRRDNNQDYAGAASGGGGYWQDKTNYGQGRQGNRSGYGGGRSSGQNGQWLGQNRGGGYAGRVGSGNRGMQMDGSSRMVSLNRGVRT >fgenesh2_kg.5__2049__AT3G56160.1 pep chromosome:v.1.0:5:17833329:17837361:-1 gene:fgenesh2_kg.5__2049__AT3G56160.1 transcript:fgenesh2_kg.5__2049__AT3G56160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bile acid:sodium symporter [Source:UniProtKB/TrEMBL;Acc:D7LVD0] MAIASILASTQNPFLRLSQPPSPVSRSVVFRRYLEPCGRRWIPRSIRACQSSDKVSVSVTIPSLRLGGDGAISASAQRFHFGKEFMSFVSDNFLPLALVSGVALGFANPTLGCLADKYSFTKISTCGIFIISGLTLRTEAIGAAVKGWPLGVFGLISILLLTPSFSRLIMLVQLQPRELVTGLGIFCCMPTTLSSGVALTHLAGGNAALALAVTVASNLLGILTIPFWVSRYIAGGVGVSFPTDQLFRSLIVTLLIPLIIGKVIRESFKGFANFVDNNRKLFSKINAICLSLVPWIQVSRSRSLLLSVKPKVFLAAVGIGILLHLSLLAFNAVSIRILSGVSGGSKNSKENTTAVLLVSSQKTLPVMVAVVEQLGGAFGETGLLVLPCVAAHLNQIMIDSVLVNLWRRRGKDTSTGVKTA >fgenesh2_kg.5__204__AT2G02980.1 pep chromosome:v.1.0:5:1527389:1529354:1 gene:fgenesh2_kg.5__204__AT2G02980.1 transcript:fgenesh2_kg.5__204__AT2G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LQC4] MAISSASLISSFSHAETFTKPLKIDTVNPNPPNPILLISKCNSERELMQIQAYAIKSHQEDVSFNTKLINFCTESPTESSMSYARHLFDAMSEPDIVIFNSIARGYSRSTNPLEVFNLFVEILEDDLLPDNYTFPSLLKACAVAKALEEGRQLHCLSMKLGVDDNVYVCPTLINMYTECEDVDAARCVFDRIVEPCVVCYNAMITGYARRNRPNEALSLFREMQGKNLKPNEITLLSVLSSCALLGSLDLGKWIHEYAKKHGFCKYVKVNTALIDMFAKCGSLDDAVSIFENMRYKDTQAWSAMIVAYANHGQAENSMLMFERMRSENVQPDEITFLGLLNACSHTGLVEEGREYFSWMVHEFGIVPSIKHYGSMVDLLGRAGHLEDAYEFIDKLPISPTPMLWRILLAACSSHNNLELAEKVSERILELDDSHGGDYVILSNLYARNKKWEAVDSLRKVMKDRKAVKVPGCSSIEVNNVVHEFFSGDGVKSATTKLHRALDEMVKELKLAGYVPDTSMVVHADMGDQEKEITLRYHSEKLAIAFGLLNTPPGTTIRVVKNLRVCRDCHSAAKLISLIFGRKVVLRDVQRFHHFEDGKCSCRDFW >fgenesh2_kg.5__2050__AT3G56170.1 pep chromosome:v.1.0:5:17840136:17842087:1 gene:fgenesh2_kg.5__2050__AT3G56170.1 transcript:fgenesh2_kg.5__2050__AT3G56170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Can [Source:UniProtKB/TrEMBL;Acc:D7LVD1] MGNALRLLRKCLNPHGVSASSAGVSALSRDLLNFETTSQVPEKLGSYVVSSQKAQANWYRKILEAWKQAKPRPKTPEEASRLVVATLKNHLKADVEGLLSFYGLPSPHNLVEVPTESPVSLPKGVRFELKTLPVDTKSVADGDTVTVYVSSKDPLVSSSLPKEVSLAAVKRAKAREKKNYTEADALHKTIIASGYRMISFQNEEVLAKKFRIRLSGIDSPESKMPYGKEAHDELLKMVEGKCLKVLVYTEDRYGRCVGDIYCNGKFVQEVMLKKGLAWHYVAYDKRAELAKWENEARQKRIGLWVSSNPEKPWEWRKNKREGT >fgenesh2_kg.5__2051__AT3G56180.1 pep chromosome:v.1.0:5:17845050:17846042:1 gene:fgenesh2_kg.5__2051__AT3G56180.1 transcript:fgenesh2_kg.5__2051__AT3G56180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKKTEWQVGDPAISVVSDQFCNPYPMDLVVKRKVQNFSKDYYEVFDPSGNLLLQIDGQAWGFNRKRVMRDPAGFTILSMRQKGLALKNKWEVHGGESKEREDLLFTVQQSQAVSLKTSVDVFLPENNNVKKSNTCDFHASGGYSNISFKVFKSDALIAGVGFTWGSFCKGKYNFKVRVNPEVDYAFIIALLVMVDDNENWC >fgenesh2_kg.5__2052__AT3G56190.1 pep chromosome:v.1.0:5:17846283:17848718:-1 gene:fgenesh2_kg.5__2052__AT3G56190.1 transcript:fgenesh2_kg.5__2052__AT3G56190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-soluble nsf attachment protein [Source:UniProtKB/TrEMBL;Acc:D7LVD3] MGDHLVRAEEFEKKAEKKLNGWGIFGSKYEDAADLLEKAANSYKLAKSWDQAGKAYLKLADCHLKSDSKHDAANAYAEAAKCYKKVDTNEAASCLERAVNIFCEIGRLNMAARYYKEIAEYYESDQKFEQAIAYFEKAAEFFQNEEVTTSANQCNLKVAQYAAQLEQYEKAIKIYEDIARHSLNNNLLKYGVKGHLLTAGMCHLCKADVVSITNALEKYQDLDPTFSGTRECKFLADLASAIDEEDIAKFTDVVKEFDSMTPLDSWKTTMLLRVKEKLKAKELEEDDLT >fgenesh2_kg.5__2054__AT3G56200.1 pep chromosome:v.1.0:5:17850300:17852274:1 gene:fgenesh2_kg.5__2054__AT3G56200.1 transcript:fgenesh2_kg.5__2054__AT3G56200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7LVD4] MSPQIKTHLLPKQEPSSSENHGSSTSGIVFNVSTSIIGAGIMSMPAAFKVLGIVPAFLIITIIAWLSTISVGFLMKSTLAGESTTYAGVMKESFGKTGSVAAQIATMVATFGCMIVFSIIIGDVLSGNENGGSQHLGVLQEWFGSYWWNTRIFALLFIYCFVLLPLVLCRRVERLAFSSAVSFLLAVLFVVISSVLAISALVNGQTKNPRLNPELSNGGSFWKLFTASPVIVTAFTFHFNVHPIGFELKDPLQVIPATKLSVILCAAIYFATGLFGYLLFGDATMSDILVNFDQSSGSSIGSLLNDIVRLSYALHLMLVFPLMNFSLRANLDELLFPKKPSLEKDTKRFIGLTLALLICCFLSAITVPDIWYFFQFLGSTTTVSIAFIFPAAIVLRNIHGVSTSREKIVAAIMLVLAVATSIIAISTNLYSLAAN >fgenesh2_kg.5__2055__AT3G56220.1 pep chromosome:v.1.0:5:17858026:17859125:1 gene:fgenesh2_kg.5__2055__AT3G56220.1 transcript:fgenesh2_kg.5__2055__AT3G56220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREHKRGSSLREKFHLLRSITNSHAESETSVIVDASKYIKKLKQKVEKINNETTSEQSFRESSDPNPMVTVETLENGFMIKVMSVKNEAGMLVCVLETFEDLGLDVVEARVSCTDTFSLHAIGSSNNNDGDIMDAEAVKQAVTEAIRTWSDSHA >fgenesh2_kg.5__2056__AT3G56240.1 pep chromosome:v.1.0:5:17863040:17864317:-1 gene:fgenesh2_kg.5__2056__AT3G56240.1 transcript:fgenesh2_kg.5__2056__AT3G56240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTVVLKVGMSCQGCVGAVNRVLGKMEGVESFDIDIKEQKVTVKGNVEPEAVFQTVSKTGKKTSYWPVDAETEPKAGAEPKAEAVTETKTEAETKTEAKVDAKADVEPKAAEAETKPSQV >fgenesh2_kg.5__2058__AT3G56260.1 pep chromosome:v.1.0:5:17867650:17868716:-1 gene:fgenesh2_kg.5__2058__AT3G56260.1 transcript:fgenesh2_kg.5__2058__AT3G56260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQSMKRYPPTMAEPPYHSYGSQGQEHPKTTSAPIPLFLRPSRRLRRTTLPRFCRHRRDKASDLRRSNHGSKKTTSSSFSWSSTSSSSSFSSSPPHSLKKRASHDKKSPLLYANYGEDELRSSPTSTLRYSKGGRIGCSSSMGNLTRALFPGSMENMKRNGTTGKALIISDMNKA >fgenesh2_kg.5__2059__AT3G56270.1 pep chromosome:v.1.0:5:17869773:17871915:1 gene:fgenesh2_kg.5__2059__AT3G56270.1 transcript:fgenesh2_kg.5__2059__AT3G56270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMHQNPAAETIPGTPVIREVRTGSGSQNFNPESTRRGGLRAEIDIYSPLFGGRGSRVPFNLGNNYDGVGDFDIKRMKEQTVELEKDLIMKELETLDLLEALGSTKSIVEDLKWQLQQQALRCKETPQHLRSHIKEMIDERSPDLTLLELKQASMNIGKTMDDLALIQSYSESLNIKTKEEKDVFGVVSLAEELNSLRFNPAGPDEGERFNTENLLVNPQCEQIKMVVETNDTAFHKQSKTCLRTAEMRLVAARKMEEAARAAEAFAIAEMTILSSVKNEDTFCFPEPPCFPLTLKAQMNKELSANVSRIEILRKLEEANEEVKQSKKALEMALNRVEVANTKQLEAQDAFRQWNIESWKDQKAVGAKHSMKRDSFPQRSFLSHVNQHEPLIDLLEPMLKRNVSMGNNVLNRKDEKQLVTPRRKFRFIQTHQDSIGETE >fgenesh2_kg.5__2063__AT3G56310.1 pep chromosome:v.1.0:5:17888634:17891528:1 gene:fgenesh2_kg.5__2063__AT3G56310.1 transcript:fgenesh2_kg.5__2063__AT3G56310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase [Source:UniProtKB/TrEMBL;Acc:D7LVE6] MKDSVLFLVGLFSLSVLVSQSISGRVKAPLLQSNTGGLVFSKSFNSIYDTSMYGRLQLNNGLARTPQMGWNSWNFFACNINETVIKETADALLSSGLADLGYIHVNIDDCWSNLLRDSKGQLVPHPETFPSGIKLLADYVHSKGLKLGIYSDAGVFTCQVRPGSLFHEVDDADIFASWGVDYLKYDNCFNLGIKPIKRYPPMRDALNATGRSIFYSLCEWGVDDPALWAKEVGNSWRTTDDINDTWASMTTIADLNNKWAAYAGPGGWNDPDMLEIGNGGMTYEEYRGHFSIWALMKAPLLIGCDVRNMTAETFEILSNKEVIAVNQDPLGVQGRKIQANGEDDCQQVWSGPLSGDRIVVALWNRCSEQATITASWDVIGLESTISVSVRDLWQHKDVTENASGSFEAQVDAHDCHMYVLTPQTVSHSDV >fgenesh2_kg.5__2066__AT3G56340.1 pep chromosome:v.1.0:5:17898095:17899325:-1 gene:fgenesh2_kg.5__2066__AT3G56340.1 transcript:fgenesh2_kg.5__2066__AT3G56340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:D7LVE9] MTFKRRNGGRNKHNRGHVNPIRCSNCGKCCPKDKAIKRFIVRNIVEQAAIRDVQEASVYEAYTLPKLYAKMQYCVSCAIHSHVVRVRSRTNRRVRTPPPRFARRKEDTPKPGQPGQAPRPAGGAPAAPRA >fgenesh2_kg.5__206__AT2G03050.1 pep chromosome:v.1.0:5:1534563:1535613:-1 gene:fgenesh2_kg.5__206__AT2G03050.1 transcript:fgenesh2_kg.5__206__AT2G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIARCSLFPPPCFSAVRVRCFAGETSDTGILFREKLIYLQDLNVDPHKALRVNPSLRSAPISSVVSVETLLSSTGLSRPAVGRILDMFPDLLTSDPESEILPVLRFLSDEISISEQDIPKSISRCPRLLISSVDYQLRPALTFLKTLGFVGRDTITSRNTVLLVSNVERTLIPKIEYLEEGLGFNREEVAKMVVRSPALLTYSVDNNLVPKVEFFMEEMRGDVKELKRFPQYFSFSLERKIKPRHRLLKEHGILMPLSEMLKVSDGQFNNWLLELRLRSAERR >fgenesh2_kg.5__2072__AT3G56400.1 pep chromosome:v.1.0:5:17921880:17923430:-1 gene:fgenesh2_kg.5__2072__AT3G56400.1 transcript:fgenesh2_kg.5__2072__AT3G56400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 70 [Source:UniProtKB/TrEMBL;Acc:D7LVF5] MDTNKAKKLKVMNQLVEGHELTTQLQQLVSQPGSGLGPAEDLVAKILGSFNNSISILDTFEPISSSFSSLAAVEGSQNASCDNDGKFEDSGDSRKRLGPVKGKRGCYKRKKRSETWTKESTILEDAFSWRKYGQKEILNAKFPRSYFRCTHKYTKGCKATKQVQKVELDPKMFSITYIGNHTCNTNAETPKSKTCDHHDEIFMDSEEHKSPSLTTSMKEEEENHHHHGSSTENELSLVWPEMVFEEDYHQQAIYVNGETSTSINDLGSPDHLVFGAGGDFGFIENEHFSIFSSCSNLS >fgenesh2_kg.5__2074__AT3G56410.1 pep chromosome:v.1.0:5:17930478:17935692:-1 gene:fgenesh2_kg.5__2074__AT3G56410.1 transcript:fgenesh2_kg.5__2074__AT3G56410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTVPGLSSQSRIVRCPKCHKLLQEPLDATSYKCGGCDSILHAKRLELEGNDHTNTIPQALLSSQNRSLSAEVESPEYGSRTPMRSTHREYNSRASPSVERGYHPETVYKHETSDIRREWMRRTDDFSETGDSDAFASARSSPYNTRSNASQWARHEGRYEDPPQVTFYPASPSPSSAYEYGYSSPFHGSHVSASEQSYYHHHPNQFKQYGREGWFQESSVASPTRFPGETSDGKNYHRSSQSQLHDLQYHNIYEPSSSVIPHHSVYSERNYVAAAAPHHSTYSEHSIGISKSDTSSENISLRNKKRYVRERNPVVKRHILPSAGGAPFATCSYCLELLHLPQVSPQGKRKRYQVRCGSCSGVLKFSIREKADTVLDSPSFVDYGMDFADENVTNHQDSASEGYEEIYPDGNHLPCLDDDFGDTICKSNDAVILSQSLETFEDKGIKEDMRNISGKLLDLKLEALQPHLKPVVNPRLREQQPASSETIGETSRIHLEQSQEAHSEKSTEMDNNNCERAWCDLEELEYEKNEIIKPEEIVGEGSGESFEVPVYQNERMSESSEEDERVAERSVSHSVEILYENEYVSETRVQSENTGDANESEWEETQENEEYGGESTLKENGDKPQLHLEKYRYIRDSICDSSLSYGEPFEDTSVKEDTEHISNTISDDNSLVNENQRFIGHPDQSGEATVTISSIQEQSKYEYENLSERQELEMTISDRVRYELEESRYETNETLEPSKVVEDGSVVSFAKASEAFETIIEGETGGERLVSHQMDSPNEKVENSYGTLEPVYLEESGFAGENTWEGTIYDRAALHLEEYESNYENYSRPFEWTSETAPTFGMHDYELGTILEPDEDADGRSESSSRGSFNDDGSSEERVIFHDSQDAIPEQSHDEHESSSKRQELSDTVFDIVRSELQEYRYETNEPLEPSKMVGDESVVPVEKAGETFISEKDEENSVSHQMESQNEDMENSYGTIEPVYLEGSRCERENPWAETMGDGVGLKLEEYKSYPFEWTSERAPAFHLHEYEQWTVPEPEEDANGRSIPSSRDSFNDHESLKEKVVSHEEEPWLLDENKTEALKVGVMVEDGPSLHLTKCENESMKLEETLEWTSERAPTFRLHEYELGEMLESDNDVDERSDYSSRGSFNDHGNSKERELFNEEEPQLVIDGRTEALQVGQMAKDTVSLHLEECGDESMKLDETFEWTSGRTLTFRRHGYEVGTKLEPDEDADGRSESSSRGSFNERGSPKERAVLHEVGPWLVDDYENNALKVGVMAEDGSLHLEKCENGKMELDETLEPSDDIFRLSLDDTLEQERMTFHLKMSQDKQENLRHTFKQGEAEKDVPGFGSRVSSEGHESPSKMAELDSDTEEEILGDHLEHLQKQNEKSGLTFEPHAHIYSTTEPSEIVGLRHALYQTQNSPLRSPLTSPIHTPISSPLHYLMASQIRSPIASPMHSHIVSPLRSPINSSGSLSDVLLFSKKT >fgenesh2_kg.5__2078__AT3G56440.1 pep chromosome:v.1.0:5:17940372:17943335:1 gene:fgenesh2_kg.5__2078__AT3G56440.1 transcript:fgenesh2_kg.5__2078__AT3G56440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRNFQPGGYDSRNTFTSGSFGPPDFGESDEAELVSVSWNQDYSCFAAGTSHGFRIYNCEPFKETFRRELKGGGFKIVEMLFRSNILALVGGGPNSQYPSNKVLIWDDHQSRCISEFTFRSEIRAVKLRRDRIVVVLEHKIYVYNFMDLRLLHQIENLANPRGLCCLSHHMNTSVLACPGIRRGEVRVEHFGLNMVQIINAHDSNLACMTMTLDGLLLATASTKGTLIRIFNTMDGTRLQEVRRGVDRADIYSIALSPNMQWLAVSSDKGTVHIFSLRVRVIGEDAYSTEHETSSNSMQPLVSPASGANPGSSLSFLRGVLPKYFSSEWSFAQFHVPEVTQYFAAFGAQNTIAIIGMDGSFYRCNFDPVNGGEMTQLEHFHFLKPDSPR >fgenesh2_kg.5__2079__AT3G56460.1 pep chromosome:v.1.0:5:17943293:17949472:-1 gene:fgenesh2_kg.5__2079__AT3G56460.1 transcript:fgenesh2_kg.5__2079__AT3G56460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7LVG1] MEALVCRKLGDPTATNLGNPESPVEVSKTHPIPSLNSDTAVRVRVIATSLNYANYLQILGKYQEKPPLPFIPGSDYSGIVDAIGPAVTKLRVGDRVCSFADLGSFAQFIVADQSRLFLVPERCDMVAAAALPVAFGTSHVALVHRARLTSGQVLLVLGAAGGVGLAAVQIGKVCGAIVIAVGRGTEKIQLLKSMGVDHVVDLGTENVISSVKEFIKTRKLRGVDVLYDPVGGKLTKESMKVLKWGAQILVIGFASGEIPVIPANIALVKNWTVHGLYWGSYRIHQPNVLEDSIKELLSWLSRGLITIHISHTYSLSQANLAFGDLKDRKAIGKVMIALDHKTALSSKL >fgenesh2_kg.5__2081__AT3G56470.1 pep chromosome:v.1.0:5:17950022:17951101:1 gene:fgenesh2_kg.5__2081__AT3G56470.1 transcript:fgenesh2_kg.5__2081__AT3G56470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LVG2] KKKTKNKKQSRKEKEKDQTFINLPSDLLQLVISRLSLKDNIRASAVCKTWHEACVSLRVVHTSPWLIYFSKTDESYELYDPSMQKTYNLHFPELSGFRVCYSKDGWLLMYNANSYKLLFFNPFTRDHIPVPPLWMAYDQRMAFSCAPTSTSCLLFTISSVTWNYITIKTCCADAQEWKTFEFKNRLPRNFNTFEQIVFSNGVFYCLTNTGCLALFDPSLNSWNVLPGRPPKRPGSNGCFMTEHQGEIFLIYMYRHMNPTVLKLDLTSFDWTERKTLGGLTIYASALSSESRAEQQKPSGISNCLCLSVFHGFKRTCIYYEVDEESEICFKWRKQNPYENIWIMPPLNLLDLPLFDQLIK >fgenesh2_kg.5__2082__AT3G56490.1 pep chromosome:v.1.0:5:17959157:17961024:1 gene:fgenesh2_kg.5__2082__AT3G56490.1 transcript:fgenesh2_kg.5__2082__AT3G56490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRVSILSSHFSPVSAVMASEKEAALAATPSDSPTIFDKIISKEIPSTMVYEDDKVLAFRDITPQGPVHILLIPKVRDGLTGLSKAEERHIDILGRLLYTAKLVAKQEGLEEGFRIVINDGPQGCQSVYHIHVHLIGGRQMNWPPG >fgenesh2_kg.5__2084__AT3G56510.2 pep chromosome:v.1.0:5:17961555:17964311:-1 gene:fgenesh2_kg.5__2084__AT3G56510.2 transcript:fgenesh2_kg.5__2084__AT3G56510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEESHDLTNGISEEESKETKKSQKADRKKKKLKEKLLKEASKADNRGVCYLSRIPPHMDHVRLRHILAQFGELGRIYLAPEDSEAQVHRKRAGGFRGQRFSEGWVEFAKKSVAKRVADMLNGEQIGGKKKSSVYYDIWNIKYLTKFKWDDLTEEIAYKSAIREQKLNMVLSAAKREKDFYLSKIEKSRAMTEIDARMKKKRKIQEESGSNAEAAPVFPPRVIRHFRQKKSIENETSQSKPGLSTDFLASVFGGS >fgenesh2_kg.5__2087__AT3G56530.1 pep chromosome:v.1.0:5:17969410:17970494:-1 gene:fgenesh2_kg.5__2087__AT3G56530.1 transcript:fgenesh2_kg.5__2087__AT3G56530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPQRNKRKARSSPEPPHNSDIPSSAADNFFSPSTNPFVFPPGYRFVPNDEELILHYLKPFSQGNKCSFLNVPINHVNIYESNPQQLSEKYEKGNDKEWFFISERTKIGEAGRNKKRVANGGYWNATVATKRIDAGNGIVGYKISLEYYVGKQPNGVKGDWLMHEYWFESSDDNNNEKVDHVLCKIYLTPTAAKKKKAEEEENEKLKKEEVVFKEEVKQLDLHQSDQSHPHDIVYQPQSFPYDLDHFSELISFEQQPVIPEDFEDFLADFIKPHPLDGDEESNNYGLFEGFFDTQGMIKH >fgenesh2_kg.5__2090__AT3G56570.1 pep chromosome:v.1.0:5:17981298:17983772:1 gene:fgenesh2_kg.5__2090__AT3G56570.1 transcript:fgenesh2_kg.5__2090__AT3G56570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LVH5] MATRRLRAFKRWMKANGVDCSDALNLVDDQNDGVSVRAFCDLKEGDVVANISKTACLTIKTSGAREMIESADLDGSLGLSVALMYERSLGEESPWAGYLQILPVQEDLPLVWSLQDLDSLLSGTELHKVVKEDHVLIYEDWKENILPLTSSLPQNVDSDSFGIKEYLAAKSLIASRSFQIDDYHGSGMVPLADLFNHKTGAEDVHFTHESDTEADESENDDAANEATDEDDPSSKISSSPEQSFEDVPGENTDDEAKEEEEEDDENSSMLQNDQSGLKMIMVKDVSAGTEVFNTYGLMGNAALLHRYGFTEFDNLYDIVNIDLELVTEWSTSSFTSRYTRARLALWRKLGYTGCESQNSEYFEVSSTGEPQTELLILLYILLLPDDTYNKLDLAESTTGASLSKEGRETSSSSYEITIGKHKFVFGQSGNDILLTDGVCEALLTIVDKRESLYGSLNSLEDDIVRLKTCCLPRDRRVYHSLVLRVSERKILKKLRSYIHTKANEFSGGKRRKKMLPKS >fgenesh2_kg.5__2095__AT3G56610.1 pep chromosome:v.1.0:5:17995868:17996295:-1 gene:fgenesh2_kg.5__2095__AT3G56610.1 transcript:fgenesh2_kg.5__2095__AT3G56610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKATTVTKSMFVALFTIIAMAALASAATSLVQSPESDHLVNKCMAKLSSRCAMYVTAEVLYSHGPLKQHGCCLEVYHMGRVCLNIVTKHVIQTFLPKLRKQDSLEKSTQIWYLCVPV >fgenesh2_kg.5__2096__AT3G56620.1 pep chromosome:v.1.0:5:17996701:17998902:-1 gene:fgenesh2_kg.5__2096__AT3G56620.1 transcript:fgenesh2_kg.5__2096__AT3G56620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7LVH9] MGLKMSDSAKPYFAMICLQFGYAGMNLVTKVVLDRGMSHYVLVAYRNAFATAAIAPFALLSERKVRPKMTFPIFMQIFALALLGPLIDQNLYYAGLKLTSPTFAGAVTNIVPALTYIISIICRMEKVEIRKVRFQAKVVGTLVIVVGAMLMILFKIPFINFLRSHLTGDSSPAGEDYLKATVFLLIASFSWASFFVLQAATLKRYSSHLSLSTMVCFMGTLQSTALTFVMEPNLSAWNIGFDMNLLASAYAGIMSSSIAYYVQGMMTKQKSVVFVTAFNPLVVIIGSIIGFLILGQNLYLGGVLGMAILLVGVCAVLWGKEGDEEENIEEKYLEVVKCCNRCDIKVVSMMPRIDEEVDVEMQSAGTAKVAVGFS >fgenesh2_kg.5__209__AT2G03070.1 pep chromosome:v.1.0:5:1539314:1542622:1 gene:fgenesh2_kg.5__209__AT2G03070.1 transcript:fgenesh2_kg.5__209__AT2G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQPQQPPPPVAEKLNPKLEKELNLESLKTRAVSLVKAVSRILEDFDAYGRTNTTPKWQDILGQYSMVNLELFNIVEEVKKVSNAFVVLPKNVNAMNAGILPVMLSSKLLPEMETDDNAKREQLLQGVQSLPIPMQIERLKARMDMIAAACENAEKVLADTRKAYGFGTRQGPSMLPTMDKGQAAKIQEQESMLRAAVNDGAGTKLPPDQRQITTALPPHLADVLIINDAGKIALPGQLNNINNQGMMQVSGTQFMGRSAASPSGPNFDNTTSPLPYSNSPRATGMVNAPSPQQQIQQQLQQQQQRSKLMQLPQHQQQLLAQQQQQLRQSSMQGLGQSQIPALHDMHGQAQQKFQTLHGQHQMPYSQPMAAHQQFQARQLSGGHIQHSMSQGQLNPAMNRHLNQFSGGANSALFTSAQGSPSSQMIPNMSSMQSQTLVPRMQQFGVSGTNPQRSHSSQMLGDQMFNSSGMMQTQQPQQQQQQQQQQGGYGNMQTNLQPNNMMQNAQQRHQNPQ >fgenesh2_kg.5__2100__AT3G56680.1 pep chromosome:v.1.0:5:18023173:18025531:1 gene:fgenesh2_kg.5__2100__AT3G56680.1 transcript:fgenesh2_kg.5__2100__AT3G56680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALPETAVGTGGMVIGDSGLNVDPFLVEALQNSRHRLTILRMELDVQRLLQNPEQQQFEFQHFPTSYLRLAAHRVANHYGLATAVQESGADGNENRILVTKTTESKFPSVRLSEIPVAKQSENDKFESMKVSIKTRPSKGSGYGAGDLEKKCGPLRSVEERKEEYDRARERIFSGLTGLNNDDSSSETQVYRRNPSLLNRDDKQVSKNAYVEVKKNLSLRESGPASRVAIFRDREKDRFDPDYDRRNQRYIRSLPVNQNFNLPPFNIQQIPTPYYEMGFTGYNQIPSTPVPLGFGPPPSSIMSPYGSTMDAMYMHWPNAAMMYAHPYEQLRNGSLQAQFVQQPLSFDYMQNR >fgenesh2_kg.5__2102__AT3G56690.1 pep chromosome:v.1.0:5:18025614:18030420:-1 gene:fgenesh2_kg.5__2102__AT3G56690.1 transcript:fgenesh2_kg.5__2102__AT3G56690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CIP111 [Source:UniProtKB/TrEMBL;Acc:D7LVI7] MPSKKKQSRTPSRLSNSEPPASPRTPASSTTSRDTDFINEEELRRSIEEASAAFPSLLGKSAIIGRVADVASESIRGSKIWLSETSMVAASLSPGSTVSVSLASPESRFSRSFPLSLIKSEYGDDKESRIADEPGNYFVLATVFSSSKVLKDAVRISLNLCYGLGCPVSGRTVFVYPVSGPSLSDQFHGNGGSLSDDVNHLSLLACKELCLELTPFRNMLQAKNGFESSHEQNGNGNSTPKTPSNLQKFSSPRPESPASPILQDSVFSSKQRFSSESSIDLREVLSNESSKKLLQICASSWLYPCSLLYGNFVAVPILSEICIFCVKRADKRQSDTSKRNQAFIINQETKVYLHHTLDLASEIRERKSVQGLQFDEDDEGENVGCEISKLGGLSKEYAILRDIVVSSSTKNSLSSLGLRPTKGVLIYGPPGTGKTSLARSFARDSGVNFFSVNGPEIISQYLGESEKALDEVFRSASNATPAVVFIDDLDAIAPARKEGGEELSQRMVATLLNLMDGISRSDGVVVIAATNRPDSIEPALRRPGRLDREIEIGVPSSAQRSDILRVILHGMRHSLSDIQIEQLAMATHGFVGADLSALCCEAAFVCLRRHLDQSSSSSNLPLEEAPIAESSTNMSDISSDSSDSASSCITVSPTTSGAQRTFSLNGTVSRVADDIQSSSNSCSEQILRKEDERTLSVGFEDFENAKTKIRPSAMREVILEVPKVNWEDVGGQNEVKNQLMEAVEWPQKHQDAFKRIGTRPPSGILMFGPPGCSKTLMARAVASEAKLNFLAVKGPELFSKWVGESEKAVRSLFAKARANAPSIIFFDEIDSLASIRGKENDGVSVSDRVMSQLLVELDGLHQRVGVTVIAATNRPDKIDSALLRPGRFDRLLYVGPPDEADREAILKIHLRKIPCSSDICLKEFASITKGYTGADISLICREAAIAALEESLEMEEISMRHLKAAISQIEPTEIQSYKALSEKFQRLVHTDPQREEEVTQPGNKSRTLWTPLKSVAMFLRRHIGS >fgenesh2_kg.5__2103__AT3G56710.1 pep chromosome:v.1.0:5:18035562:18036368:-1 gene:fgenesh2_kg.5__2103__AT3G56710.1 transcript:fgenesh2_kg.5__2103__AT3G56710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSSTFLTTTSLDKRKPSPVSRKSPKQKKKTTSTNKPIKVRYISNPMRVQTCASKFRELVQELTGQDAVDLQPEPIYSPSSDDHNLSPPPFTAENLEPRVLHQEPFDERVGDCYVPPLNGEEMFLPDQMSAGFSGFFSNAFYNVNDFGSIDSI >fgenesh2_kg.5__2104__AT3G56720.1 pep chromosome:v.1.0:5:18045684:18047912:1 gene:fgenesh2_kg.5__2104__AT3G56720.1 transcript:fgenesh2_kg.5__2104__AT3G56720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTELNSPPHDDGGDTTTAFRKPSNDGPSRKYRRRALADDGSSSSDGSPERKQSPNPKHSRKDSEPLHARKEDRRESDRSRYGRGGIDSHKRDRYSRDDNYGSKRDEYNRHERDAHRSSHDPRGGRHSDRRRVETEHSRSRNDSDRHSRDKYSNSGHRVNSEEKGEGLSSGRRHADSRVEDNEKRGSRWSFGDLHSRDERKEHEDPEIRKEKDVHVKSPRDRSDDKCLATENRDTHSKKLKGFISEKFTTGSTNEEKQTSILKPEPGDVDAAKVAAMQAAELVNKNLVGTGYLTTDQKKKLLWGKKKSTASEESAHRWDNASALIGDPERQEKFNKLMGVKANIVNQEQNLSEVEVEKQKELQMDLEKQYTAGLRRRDGRTVGLGL >fgenesh2_kg.5__2106__AT3G56750.1 pep chromosome:v.1.0:5:18053326:18055816:-1 gene:fgenesh2_kg.5__2106__AT3G56750.1 transcript:fgenesh2_kg.5__2106__AT3G56750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSKAQRTKPTPGSQRLVLLCIVVFAFLLLLSSVISTGKLGLPYQQTLIDYFVWSPRGKRHHSLSEKYLYWGNRIDCPGKNCETCAGLGHQESSLRCALEEAMFLNRTFVMPSGMCINPIHNKKGILNRSDNKTTEEGWVGSSCAMDSLYDIDLISEKIPVILDDSKTWHIVLSTSMKLGERGIAHVSGVSRHRLKESHYSNLLIINRTASPLAWFVECKDRSNRSAVMLPYSFLPNMAAAKLRNAAEKIKAQLGDYDAIHVRRGDKLKTRKDRFGVERIQFPHLDRDTRPEFILRRIEKRIPRGRTLFIGSNERKPGFFSPLAIRYKLAYSSNFSEILDPIIENNYQLFMMERLVMMGAKTYFKTFKEYETDLTLTDDPKKNKNWEIPVYTMDERKEEAS >fgenesh2_kg.5__2107__AT3G56760.1 pep chromosome:v.1.0:5:18055992:18059138:-1 gene:fgenesh2_kg.5__2107__AT3G56760.1 transcript:fgenesh2_kg.5__2107__AT3G56760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPIEQQSKNLQISNEIEESPKNSSQKAKSSGFPFYSPSPLPSLFKTSPAVSSSSVSSTPLRIFKRPFPPPSPAKHIRALLARRHGFVKPNEASIPEGSECEVGLDKKFGFSKQFASHYEIDGEVGRGHFGYTCSAKGKKGSLKGQDVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHKNLVQFYDAFEDDENVYIVMELCQGGELLDKILQRGGKYSEVDAKKVMVQILSVVAYCHLQGVVHRDLKPENFLFTTKDESSPLKAIDFGLSDYVRPDERLNDIVGSAYYVAPEVLHRTYGTEADMWSIGVIAYILLCGSRPFWARSESGIFRAVLKAEPNFEEAPWPSISPDAVDFVKRLLNKDYRKRLTAAQALCHPWLVGSHELKIPSDMIIYKLVKVYIMSSALRKSALAALAKTLTVPQLTYLQEQFNLLGPSKNGYISMQNYKTAILKSSTEATKDSRVLDFVHMISCLQYKKLDFEEFCASALSVYQLEAMETWEQHARRAYELFEKDGNRVIMIEELATELGLGPSVPVQVVLQDWIRHSDGKLSFLGFVRLLHGVSSRTLQKA >fgenesh2_kg.5__2108__AT3G56770.1 pep chromosome:v.1.0:5:18071986:18073377:-1 gene:fgenesh2_kg.5__2108__AT3G56770.1 transcript:fgenesh2_kg.5__2108__AT3G56770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7LVJ6] MQAEISDQIFYSFLTGGLCASSTSSTTTSLFSSFAIEDKVAEDKALASLRNHKEAERKRREKINFHLNKLRNLLSCNSKTDKATLLAKVVQRVRELKQQTLEITDETLPSETDEISVLNFEDCSNDDGRRIIFKVSFCCEDRPDLLQDLMETLKYLQMETLFAEMTTVGGRTRNVLVVAADKEHHGVQSVNFLQNALKSLLERSSKSVMMGHGGGGEERLKRRRALDHIIMV >fgenesh2_kg.5__2109__AT3G56780.1 pep chromosome:v.1.0:5:18074506:18081626:1 gene:fgenesh2_kg.5__2109__AT3G56780.1 transcript:fgenesh2_kg.5__2109__AT3G56780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LVJ7] MTKKVCSCINELPDDLILKILSFLSTKHVVVTSLLSKEWKSLWTRVPILKYDVRDHTRFFERFLDKSLLSHQSHVLESLHVKLSVTLWNKDIGPWISTALRHHHCHLRELEIDACIVHTLLPPELFTCETLVVLKLKGIVIDVEAPLTTVCLPSLKTLHIDHSSLFDFESLQMLLSSCNFLTDLMVTRESRFFFAEFDVSWCKTLVALKLEGLKDVISIYSSAVCLPFLKTLHVARMVDFSNDSFCRLLSKCPVLSDLTLEEKTSDVLLNLDIDMPYLQRLSIITIVDDPKHLCSLLTNYTRKLAIIAPSFKFFNIQELVYAGYQYIVRVRLGVPTLEDANIFRRIVHLELSICSERYGGMLVDLLLCFIKLVVLKLENVYLLTPLGRWEPPSLVPECLLSSLEALEWKGYTGRYGDKDVGSNKLKRT >fgenesh2_kg.5__2111__AT3G56810.1 pep chromosome:v.1.0:5:18084707:18087178:-1 gene:fgenesh2_kg.5__2111__AT3G56810.1 transcript:fgenesh2_kg.5__2111__AT3G56810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LVJ9] MKKSKRENLLSPSSSWRENHNPPRKDSNSSAVSSGCLPGFFNLFLSTSNRRKSITLGSKKREQKTVVYASPPQDSSNGDGGRIVAPPLPRNEGDGDAARVSLVGALEKCDRDLEELRRTIDVIKTTYILHKKLEVSPPTTRENFKFSGTVLAGDVVVRTQTQKNTKTTRHEPDTATMLSMMNHHEYCKDIKPYKVNNINLITRPDHYAIHDVISKRATSTTTTESCGTLPLVVRRVRRSLMESVNQVCDDVASGQRREVAKIGLALHDHICRDLIAETVRELSFSDYDDDEFYKSPVDSSAFNGGGGKRRHIRRGSSNSLPLDACRRRL >fgenesh2_kg.5__2112__AT3G56820.1 pep chromosome:v.1.0:5:18088105:18090040:-1 gene:fgenesh2_kg.5__2112__AT3G56820.1 transcript:fgenesh2_kg.5__2112__AT3G56820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRVVIDNQRLSPIINLLKKPQAFPILLSFFLFLTWISLRLQHSSHVSSSSSHPKSTLNSHPDLKVFDDDDKVNLVRFGLASLSPARKDDRGWLLDPVILARDSQLKGGAASCVSIHVGEIRPGGLRGNHRHHTCNETFVIWGAKTRFRLENHEVDKGYAEVFIGEDEVAVAVSPSGTAHALVNVDPVRSTFFIGCQDYIQNNSSTSDYKVWNDL >fgenesh2_kg.5__2115__AT3G56830.3 pep chromosome:v.1.0:5:18090918:18092460:-1 gene:fgenesh2_kg.5__2115__AT3G56830.3 transcript:fgenesh2_kg.5__2115__AT3G56830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAITVTLPKCGSKFLPKRSLRDKGALSLAICSLCHFLHPAQPLLVRHQRRMSWTTIRSSVGGDRFDPASGSSSNNSSRGLRLIKALQVLRTKLLVKFQEIKKDLPKKLFFLLVGFYSATAFSTFIGQTGDWDVLSAGVAVLVVECIGALMYRASIPLINKMRGTITMFNYWKTGLALGLFLDSFKF >fgenesh2_kg.5__2117__AT3G56860.3 pep chromosome:v.1.0:5:18097209:18100513:-1 gene:fgenesh2_kg.5__2117__AT3G56860.3 transcript:fgenesh2_kg.5__2117__AT3G56860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKRKLEGESNEAEEPSQKLKQTPEEEQQQLVIKTQADVEEVEYEEVEEEQEEEVEDDDEDDDDDGDENQAAGNRIEAAATSGSGNQGDVNDDDDDEPIQDLLEPFSKEQLLSLLKEAAEKHPDVANRIREVADEDPVHRKIFVHGLGWDTKTETLIEAFKQYGEIEDCKAVFDKISGKSKGYGFILYKSRSGARNALKQPQKKIGSRMTACQLASKGPVFGGASIAAAAVSAPAQHSNSEHTQKKIYVSNVGAELDPQKLLGFFSKFGEIEEGPLGLDKFTGRPKGFCLFVYKSAESAKRALEEPHKTFEGHILHCQKAIDGPKPGKQQHHHNPHAHNNPRYQRNDNNGYGPPGGHGHLMAGNPAGMGGPAAQALNPAIGQALTAILASQGAGLAFNPAIGQALLGSLGTATGVNPNGVGMPTGYGTQAMAPGTMPGYGTQPGLQGGYQTPQPGQGSTSRGQHGVGPYGAPYMGH >fgenesh2_kg.5__2121__AT3G56880.1 pep chromosome:v.1.0:5:18115976:18116984:1 gene:fgenesh2_kg.5__2121__AT3G56880.1 transcript:fgenesh2_kg.5__2121__AT3G56880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LVK7] MASSEGLASVDPWSFRQNFNIDSWLISDSFSHDSDLLAKALHRSISTSTESSPLSPSSFFDSSTAAVLVSDLSPQTLSNVSFGSDPEIPAKRKRGPGVSGGKPTKRRSRVSNKKSQTTFITADAANFRQMVQQVTGAKFLGSSNSIFAPIVKPEPHRLASRLPPSCGNLDRSSAVPTLDTSSFLSNHHQENIITDLGSVSAPIGSFHHQSSAATTTANVGGGSAVELDSYPSFPTLESWKVM >fgenesh2_kg.5__2123__AT3G56900.1 pep chromosome:v.1.0:5:18123664:18127007:1 gene:fgenesh2_kg.5__2123__AT3G56900.1 transcript:fgenesh2_kg.5__2123__AT3G56900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPHPGSVTVCEINRDLITAQNLSDERALETYGKVLGMVFSPVSFDSTPSSLQENEGQENGDKASVESKGLVATLQMKVSDILKQILQPTDVTLLSEIDLQGVSWHQGKHIIAFISGANQVTIRDYDDKDEKEPCVLTSDSQRSVKALEWRPNGGKSLSISCRGGICIWAASYPGNMALVRSGGSALRGSLSRGSGTRWILVDFLRCQNDEQISALSWSPCGRYLASASYDSSSFTIWDVSQGAGTPIRRGLGGISMLKWSPTGDYFFAARFDGTFCLWETNTWTSEPWSLSSGSGSVTGAIWDPEGRFILISFSKSSTLGSVHFSSKPPSLDAHLLPVELPEIASLTGSEGIEKIAWDASGERLAVSYKGGDENYKGLIAIYDTRRTPIVSASLVGFIRGPGENPKALSFSFHDKFKQGPLLSVCWSTGFCCTYPLIFRSHVLP >fgenesh2_kg.5__2124__AT3G56910.1 pep chromosome:v.1.0:5:18126970:18127881:-1 gene:fgenesh2_kg.5__2124__AT3G56910.1 transcript:fgenesh2_kg.5__2124__AT3G56910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LVL1] LCFNSLPFLSSLSSSSSSRLLQPSSFASPVWSLKPNAVESKNRVSLSAYSLNSSHGRAVAIVVKAAASGVDGAEPESNEEPNTVVAAVPVDKLPLESKEAKEKLLLELRLKMKLAKKIRLRRKRLVRKRRMRKKGRWPPSKMKKNKNV >fgenesh2_kg.5__2126__AT2G27550.1 pep chromosome:v.1.0:5:18147773:18149152:1 gene:fgenesh2_kg.5__2126__AT2G27550.1 transcript:fgenesh2_kg.5__2126__AT2G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARISSDPLMVGRVIGDVVDNCLQAVKMTVTYNSDKQVYNGHELFPSAVTNKPKVEVHGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWVVTDIPGTTDVSFGKEIIGYEMPRPNIGIHRFVYLLFKQNRRGSVVSVPSYRDQFNTREFAHENDLGLPVAAVFFNCQRETAARRR >fgenesh2_kg.5__2127__AT2G27590.1 pep chromosome:v.1.0:5:18160180:18162238:-1 gene:fgenesh2_kg.5__2127__AT2G27590.1 transcript:fgenesh2_kg.5__2127__AT2G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKREKKPNRRRHKGEFSNDTEDFYDQHPPVVASVDDEDEENSEEEEDENCNEGNESSDLPSKFLLYQQSVQSPKGDISYLQKFFLMYVGGRQPLHFQEDFCGTALLSAEWLKTDTRRTAIGLDFDLEALEWCMDNNISKLGSDVYSRMSLFHGNVLSPLEAKQVKSKSHELIQNISLDDGDDNEDLVDPSVVESLEKDGPDSLPKRDIVCAFNFSCCCLQERSELVSYFKNARDALSKKGGIFVMDLYGGASAEGQLKLQRKFPNFTYTWEQAEFDILSRKTRISLHYHLQKQNRKIRHAFSYSWRLWSLPEIKDCMEEAGFSSVHFWLREMPDASEMRRTDGFGAGRDIKYEQVKSFQQCDSWNAYIVAVSL >fgenesh2_kg.5__2128__AT3G56930.1 pep chromosome:v.1.0:5:18164916:18167751:1 gene:fgenesh2_kg.5__2128__AT3G56930.1 transcript:fgenesh2_kg.5__2128__AT3G56930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LVL6] MAWNETKLKRLYQVWRGSNKFLCGGRLIFGPDASSLYLSTILILGPAVMFFVKMYTKMADPRTKNPNLCIPILCVSWILTILDIFFLLMTSSRDPGIVPRSLRPPETDDAPDSTTPSMEWVSGRTPNIRIPRVKDVTVNGHTVKVKFCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGVRNYRFFFMFISTSTTLCIYVFAFSWLNIFQRHMDEKISIWKAISKDVLSDILIVYCFITVWFVGGLTIFHSYLICTNQVTTYENFRYRYDKKENPYNKGILGNIWEIFLSKIPPSMNKFRSFVKEEDYMMMVETPTSNLGESLVSSKEKIDIEMGGGRIVDESGKSYSLPEILRNLNYEDLEDDCEEDDLKAKDHHHHHHHQHNEGIIPPFDPFFTNVNGSNKDERNGEESGGSSSDDEDAGKRVRVEDEEKVEGYERNWNTDKGMNINAGSEDGTSSPVSTSPMLRK >fgenesh2_kg.5__2129__AT3G56940.1 pep chromosome:v.1.0:5:18168001:18169934:1 gene:fgenesh2_kg.5__2129__AT3G56940.1 transcript:fgenesh2_kg.5__2129__AT3G56940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKPISKFTSPKLSNPSKYLSGRRFSTVIRMSATSSPPPPATTTSKSKKGTKKEIQESLLTPRFYTTDFEEMEQLFNTEINKNLNEEEFVALLQEFKTDYNQTHFVRNKEFKEAADKLQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKANPEFQCYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWQAKLWSRFFCLSVYVTMYLNDCQRTDFYEGIGLKTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVVSYEKLLAIGQTDDPSFIKTLKRIPLVTSLASEILAAYLMPPVESGSVDFAEFEPNLVY >fgenesh2_kg.5__2132__AT3G56970.1 pep chromosome:v.1.0:5:18175812:18181371:-1 gene:fgenesh2_kg.5__2132__AT3G56970.1 transcript:fgenesh2_kg.5__2132__AT3G56970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive gene 3 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LVM0] MCALVPPLFPNFGWPLTGQCESYYGGGDNLNNGTFLDFPVPPTYGVLTHHQNSLEVSVSSEGNEIANNPVVAKKLNHNASERDRRKKINSLFSSLRSCLPVSDQSKKLSIPETVSKSLKYIPELQQQVKKLLQKKEELLVRVSGHRDFEIYDKQQSKAVASYLSTVSATRLGDNEVMVQISSSKIHNFSISNVLGGIEEDGVVLVDVSSSRSQGERLFYTLHLQVENMDDYYKINCEELSERMLYLYEKCENSF >fgenesh2_kg.5__2134__AT3G56990.1 pep chromosome:v.1.0:5:18184570:18188443:-1 gene:fgenesh2_kg.5__2134__AT3G56990.1 transcript:fgenesh2_kg.5__2134__AT3G56990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYGDRLKSTSINGVKLYHVSSAPNVATWLNPKKQRALRKNPHYMQRVELIQELKFETATTRIKATPDGEYLIASGIYPPQVKVYELGQLALKFERHLDSEIVDFEILDDDFSKLAFLCADRSIHLHAKYGKHHTLRIPRMGRDMTYDPWSCDLLCAASSPDLYRINLEQGRFLSPLSTQSPALNVVSRSNLHGLVACGGEDGVVEFFDMRMKSSAARINAVTHGGDAAAEVTAIEFDDSEGLQVAVGSSAGKVFIYDLRTSTPIRVKDHMYESPILSIKWQRTLNTQQPKLITTDKHIVRIWDPNTGEGMTSIEPTQGGINDICVFRGSGLMLLALDSSLIPSYFIPELGPAPKWCSPLENLTEELEESGQTTIYDNYKFVTKEDLEKLQLTHLIGTDLLKAQMHGYFMNHHLYKKALAVAEPFAYDAYLERKKQEKLEANRTQRITKKRRLPKVNRELAARLHGDDSEEENKTAEDEEAAKKVSKKKKPILSGENFADGRFSSMFQNPDYQIDPESYEYGVLHPVASSKKQPSLLDEHFEAVSDDDENSDSDASLASDDEADDGDATRPSKKARIPKLFEVKDERHAAAFYNRTSLAKEDSLPMGERVKAIENRRGNFGGLKDIKFGPGGSREISFKARSSSKYKEDRGDRDDEDEDGQRNRRRGVQSLGLKSDNVRGGFRGRGGGGFRGRGGGGSRGRGGGGGSRGRGGRGGGRGRGRR >fgenesh2_kg.5__2135__AT3G57000.1 pep chromosome:v.1.0:5:18188676:18190588:1 gene:fgenesh2_kg.5__2135__AT3G57000.1 transcript:fgenesh2_kg.5__2135__AT3G57000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPYGIKVNKRKERTERYDKEEEEVEEQPKFERKQKARESSKKAKKEITSRAEEGNEEEEITEEVTAAAAEDIVGGIPIVLSAPNKEKPGVVFVLEKASLEVAKVGKTYQLLNSDDHANFLRKNNRNPADYRPDITLQALLMILDSPVNKAGRLKAVYVRTEKGVLFEVKPHVRIPRTFKRFAGIMLQLLQKLSISAVGSGEKLLRCVKNPIEEYHLPVNSHRTGFSHSSEKLVNMQKHLATISDDTDTVFVVGAMAHGKIECDYIDEFLSISGYPLSAAYCISRICEALATNWNII >fgenesh2_kg.5__213__AT2G03130.1 pep chromosome:v.1.0:5:1610813:1611406:1 gene:fgenesh2_kg.5__213__AT2G03130.1 transcript:fgenesh2_kg.5__213__AT2G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLRDGQSGGGANEGAKAEKTVFEVKLESFEACAKIKIIMEDAKELVEKAPTVVKTGVSKEEGEEIVAKLKALGVKAVLELSVTVSEFPSLFWYSLFHLAY >fgenesh2_kg.5__2140__AT3G57040.1 pep chromosome:v.1.0:5:18215789:18217694:1 gene:fgenesh2_kg.5__2140__AT3G57040.1 transcript:fgenesh2_kg.5__2140__AT3G57040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESQFHVLAVDDSLFDRKYIERLLQKSSCQVTTVDSGSKALEFLGLRQGIDSNDPNALSKSPVTHQVVEVNLIITDYCMPGMTGYDLLKKVKESSAFRDIPVVIMSSENVPARISRCLEEGAEEFFLKPVRLADLNKLKPHMMKTKLKNQKLEEIEKTSKVENGIVAAAVEPEIKDSTKVVIEILPLQQDLLLVEQEEQPSSTNNKRKSVEEGISTDRARPRFDGIATTV >fgenesh2_kg.5__2142__AT3G57050.2 pep chromosome:v.1.0:5:18217966:18220898:-1 gene:fgenesh2_kg.5__2142__AT3G57050.2 transcript:fgenesh2_kg.5__2142__AT3G57050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-lyase [Source:UniProtKB/TrEMBL;Acc:D7LVN0] MASSLSLHSSFVPSFADLPDRGLVNKNSPTSVSISKVPTWEKKQISNRNIFKLNCVMEKSVDAMNIKEEASVSTLLVNLDNKFDPFEAMSTPLYQTATFKQPSAIENGPYDYTRSGNPTRDALESLLAKLDKADRAFCFTSGMAALSAVTHLIKNGEEIVAGDDVYGGSDRLLSQVVPRSGVVVKRVNTTNLDEVAAAIGPRTKLVWLESPTNPRQQISDIRKIAEMAHAQGALVLVDNSIMSPVLSRPLELGADIVMHSATKFIAGHSDVMAGVLAVKGEKLAKEVYFLQNSEGSGLAPFDCWLCLRGIKTMALRIEKQQENARKIAMYLSSHPRVKKVYYAGLPDHPGHHLHFSQAKGAGSVFSFITGSVALSKHLVETTKYFSIAVSFGSVKSLISMPCFMSHASIPAEVREARGLTEDLVRISAGIEDADDLISDLDIAFNTCPL >fgenesh2_kg.5__2143__AT3G57060.1 pep chromosome:v.1.0:5:18221213:18227339:-1 gene:fgenesh2_kg.5__2143__AT3G57060.1 transcript:fgenesh2_kg.5__2143__AT3G57060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 1 [Source:UniProtKB/TrEMBL;Acc:D7LVN1] MAPPFVFPQILRALEEDPEDNHRLFAQNPVDVTSLRPSDLEEFVKGVSFDLSDRELFCIEDQDVFDRVYSLVRSFFSLPPSCKCNLVESLRSNLSVLLPNVDSISRSVQDQEDDVPIIDRITSHRNALKIYTFFLLTIIMTEESHISSVDSTKVAARGRKKQVIQSWNWEPQRGRMLNLVANSLEINLSLLFGSSDLDENYLSFIVKNSFTLFENATILKDAEAKDALCRIIGASATKYHYIVQSCASIMHLIHKYDFAVVHVADAVARAESKYADGTLAVTIIRDIGRTDPKAYVKDTAGADNVGRFLVELADRLPKLMSTNVGVLVPHFGGESYKIRNALVGVLGKLVAKAFNDVEGDMSSKSLCLRTKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGLWNEVASISAGRLEDKSAIVRKSALNLLITMLQHNPFGPQLRIASFEATLEQYKRKLNELEPSLPTEHASKESTSDGDSCSGDGEIDDLHLETTNKMHQDSLSDSCQPENGEGISEKDVSVPDIGNLEQTKALIASLEAGLRFSKCMSASMPILVQLMASSSATDVENAILLLMRCKQFQIDGAEACLRKILPLAFSQDKSIYEAVENAFISIYIRKNPVETAKQLLNLAIDSNIGDQAALEFIVNALVSKGEISSSTTSALWDFFGFNINGTTAEQSRGALSILCMAAKSSPRILGSHIQDIIDIGFGRWAKVEPLLARTACTAIQRLSEEDRKKLLLNNGSRLFGILESLITGNWLPENIYYATADKAISAIYMIHPTPEALASTIIKMSVSTVFDVVGQDEAQTDTVNNKVDILTPIQVTKLSRFLFAISHIAMNQLVYIESCIQKIRRQKTKKDKSAAESQDTDENLGATQENNNINAELGLAASDDALLDTLAEKTEKEIVSGGCGEKNLIGECATFLSKLCRNFTLLQKHPELQASAMLALCRFMIIDASFCESHLQLLFTVVENAPSEVVRSNCTLSLGDLAVRFPNLLEPWTENMYARLRDASVSVRKNAVLVLSHLILNDMMKVKGHINEMAICIEDDVERISSLAKLFFHELSKKGSNPIYNLLPDILGQLSNRNLERESFCNVMQFLIGSIKKDKQMEALVEKLCNRFSGVTDGKQWEYISYSLSLLTFTEKGIKKLIESFKSYEHALAEDLVTENFRSIINKGKKFAKPELKACIEEFEEKINKFHMEKKEQEETARNAEVHREKTKTMESLAVLSKVIEEPVEEYDEGEGVSDSEIVDPSMEELGDNLNATGSEEEQSDPEEEQSDSEEEPGSAQCGEEGESESESRESSSSIRRNLRSGSRS >fgenesh2_kg.5__2144__AT3G57070.1 pep chromosome:v.1.0:5:18230306:18232097:1 gene:fgenesh2_kg.5__2144__AT3G57070.1 transcript:fgenesh2_kg.5__2144__AT3G57070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSASKTASSVSSTSSSSAFSPPPTKFGSDSSSSPAVQRAFSFPTPLVHHPPARKGDTHHLVSLTSTSYGSLLLLDLDGSNNSSDQQTLPRISISGKNTPDPVSPDSVINTWELMDGLDDEFEFEIPKPGKRLNSDFCSKPDPNRNVSLNGSALKLDESYEIVRIEEDDEDWVPLTYKPKQPLWKHLSEESFLSDLDPSIVSSYKKALSSKQLSNHSNTRNPLRPTKSLSCSPSSILISEEPKSVSSSQLISSQAKPRLPGTEDKIVLYFTTLRGIRKTYEDCCCVRAILRGLQVTVDERDISMDSKYRKELQSVLVAAEKPVCLPQVFIRGTHIGGVEEIMQLNDGGELAEMLKDFPACERLGTCRSCGDARFVPCTNCDGSTKVFEEQDERFKRCPKCNENGLVRCRVCCL >fgenesh2_kg.5__2147__AT3G57120.1 pep chromosome:v.1.0:5:18250223:18251718:1 gene:fgenesh2_kg.5__2147__AT3G57120.1 transcript:fgenesh2_kg.5__2147__AT3G57120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNASEPKRTRSRPSQSQERHPSTRRSPSTSTTGTTTTTTSNSNKTGASSSSSGASSSVASRTSLASLRESLPENPHIYNVSEIRAATNNFLANRLSSSSSKASWRCNLRGKEVVVFQRKFRRRIAMDELRDRLSDICRSHHGSIINLLGASVSGGGRGGGGGGDHIYLVYEYVNGASLAECLRNPKNPNFTVLSNWTSRIQIATDLAHGLDYIHNKTGLKIENLVHNHIKSSAVIVTEPDFNAKICHFGTAQLCGETDEMSPERDESRNPRRSDSRAIRFEGVRGYMSPEFQSSGIATQKSDVYAFGVMMLELLSGEEPLKYRYEKATGDFERTSVIETAGAAIEYGDGDREGRLRRWMDRRLGDSFPVTVVEKLTRLALDCVQDDPVNRPEMGRVAGKISQLYLESEKWSANMKRPTDITVSFAPR >fgenesh2_kg.5__2151__AT3G57150.1 pep chromosome:v.1.0:5:18271464:18273456:-1 gene:fgenesh2_kg.5__2151__AT3G57150.1 transcript:fgenesh2_kg.5__2151__AT3G57150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEISHSEKKKQGKTENDAADTGDYMIKPQSFTPAIDTSQWPILLKNYDLLNVRTGHYTPISAGYSPLKRPLQEYIRYGVINLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHSAVPDVAKVARALESLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDADRHLVVFWVSCEAGTYIRTMCVHLGLLLGVGGHMQELRRVRSGILGENNNMVTMHDVMDAQFVYDNSRDESYLRRVIMPLEMILTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIDVGTEVVLMTTKGEAIAVGIAEMTTSVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPRASMKKKLIADGKLDKHGKPNEKTPVEWSRNVVLPTGGDAIIAGAAAAPVEIKAEAENGEAGEVRKRKHDDSSDSPAPVSTKKSKTKEVEGDESEEKVKSSKKKKKKDKGEEKEEEVGSEKKEKKKKKDKKEEVKEEVASPKSEKKKKKKSKDTEAAVDSEDEKSEKKKKKKDKKKKNKDSEDDEE >fgenesh2_kg.5__2154__AT3G57180.1 pep chromosome:v.1.0:5:18280399:18282929:-1 gene:fgenesh2_kg.5__2154__AT3G57180.1 transcript:fgenesh2_kg.5__2154__AT3G57180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding protein [Source:UniProtKB/TrEMBL;Acc:D7LVP5] MVVLISSTVTICRVKPKLEDGSFRVSRLIPRPEVPFFSGLSDEKKKKKCAVLVTCLAVKKEQVVQSVESVKGTIFPKKSKNLIMSEGKDEDEEYGKIICPGCGIFMQDNDPDLPGFYQKRKVIAKNLEVDEEEEEDELDGFEMVDDDELEEEEEDDEMDDEIKNAMEGSNSESESQFDWESDEWEDKEEVNDVELDEKKKRVSKTERKKIAREEAKKDNYDDVTVCARCHSLRNYGQVKNQAAENLLPDFDFDRLISTRLIKPMSNSSTTVVVMVVDCVDFDGSFPKRAAKSLFQVLQKAENDPKGSKNLPKLVLVATKVDLLPTQISPARLDRWVRHRAKAGGAPKLSGVYMVSARKDLGVKNLLAYIKELAGPRGNVWVIGAQNAGKSTLINALSKKDGAKVTRLTEAPVPGTTLGILRIGGILSAKAKMYDTPGLLHPYLMSLRLNPEERKMVEIRKELQPRSYRAGQSVHIGGLVRLDLVSASVETIYITIWASHSVSLHLGKTENAEEIFKGHSGLRLQPPIGENRASELGTWEEKEIQVSGNSWDVKSIDISVAGLGWLSLGLKGAATLALWTYQGIDVTLREPLVIDRAPFLERPGFWLPKAITEVLGTHSSKLDDARRRKKQQDSTDFLSDIVS >fgenesh2_kg.5__2158__AT3G57230.1 pep chromosome:v.1.0:5:18296383:18299611:1 gene:fgenesh2_kg.5__2158__AT3G57230.1 transcript:fgenesh2_kg.5__2158__AT3G57230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LVQ0] MGRGKIAIKRINNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVIIFSSTGRLYEFSSSSMKSVIERYSDAKGETSSENDPASEIQFWQKEAAILKRQLHNLQENHRQMMGEELSGLSVEALQNLENQLELSLRGVRMKKDQMLIEEIQVLNREGNLVHQENLDLHKKVNLMHQQNKELHEKVSEVEGVKITNKNSLLTNGLDMRDNSSEHVHLQLSQPQHD >fgenesh2_kg.5__215__AT2G03150.1 pep chromosome:v.1.0:5:1621819:1629495:1 gene:fgenesh2_kg.5__215__AT2G03150.1 transcript:fgenesh2_kg.5__215__AT2G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1579 [Source:UniProtKB/TrEMBL;Acc:D7LQE7] MYSTRGTGYGQQQYGSQSGYAQNLGSGYPGSSVSGAEGGGSQISLSSRHPSITGASQETDIGGGYRTHLSTAASHYGTQYGSVYGSSSLSSSQPLSTNGLGSSVLDNRSGYVPTLPDSPKFASGSYLSPSSHGYGQKADDLYSDKLSGYIPVDRRQYGERPSAYMGRELQNEPTSRYTDSSNFTRQTDLYDRIDQASLLRGEQLLKMQSLHTSSVDAGVNRQADYLTERSSTVRHSDQEAMHYGGRLESDPHGLSVRNTSSYATQHTPSLLGAVPRRNLDDYIYPESSSNPGYGVSLPPGRDYGTGKGIHSAASLDLDYPGGMLPRGVGAGPRVDDLRKDDRASYLREFDLREEERRREDLRARDKEREREREREHERERDRQRERERQRARDRERERILERREKEREGERERERKRALEIKRDRTPTARATSRDTKERTPVPKSISRDARSSSLRRDAHHREAAIRRSSPIKPIRRDYVCKVLSSRLVDMERDYLTLDKRYPRLFVPSEFSKVVVNWPKQKLTLSMHTAVSFEHDYIEDGGADVKSTSTKPLALKTGGKTVWNAKMVLMSGLSRTALEDLSSDKLFEDRIPHICNIIKFAVLKKDHSFMAIGGSWDPTDGMDPSVDQSSLIQTMLRHTKDKLHLDLSNCRHWNPFLEIHYDRVGTDGVFSYKEITVLFVPDLSECLPSFDTWRTQWLAHRKALTERDRLLSQEVKKDTVEVTKDAEKNSPGDTSGTPTTGTKKTVKKIIKRVVKRPVSDGKATGKKGEKSDEKDVAISETTVPKEESTGTSANKKIVKKVVETGDTSDPSAKANEQTPSKTIVKKKIIKRVAKRKVAEIDNKMDVDSKKDGDSVENKVVEAGKKTPDSGSMEIKPMAESLEDVKDKNASKTVDIKHNAGSPDTKKKEGASSSSKKDTKTGEDKKVEKKNNSETQSEGKQIDRNNEKKVKEKITEKEIKERGGKDESRIQVKDRKKCEEPPRPGFILQTKRNKDSKLRSLSASLDSLLDYTDKDLDESSFELSLFAESLYEMLQYQMGFPFSVSFKKLRVKFVRQRNQRKRHQEESSVKQNEAKSQNKRQKTAEHKDKETSVITESAPGKDDKENSGKETVDGSQEIADKEAVANTKETLSSKEVTVGEAANREVDNQDEEDDDGDDDPEEDPEEDPEEDPEEDPEEDPEECEEMDVANSEQDNPAEEAQKKEENLEKTSGTVADPITEVATDNRKEEKGRIDPKTEIKPKSETDKHGKQEGGTSDAPKREETVDKELLQAFRFFDRNQAGYVRVEDMRVTIHSLGKFLSHREVKELVQSALLESNTGRDDRILYNKLVRLSL >fgenesh2_kg.5__2160__AT3G57260.1 pep chromosome:v.1.0:5:18306194:18307359:-1 gene:fgenesh2_kg.5__2160__AT3G57260.1 transcript:fgenesh2_kg.5__2160__AT3G57260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,3-glucanase 2 [Source:UniProtKB/TrEMBL;Acc:D7LVQ3] MSESRILGSPPMLMILLSLVIASFFNTTAGQIGVCYGMLGETLPSPSDVVALYKKQNIQRMRLYGPDPDALAALRDSNIELILDVPSSDLERLASSQTEADKWVQENVQSYTDGVRFRYINVGNEVKPSAGGVLLQAMQYIEKAVSGAGLGVKVSTAIATDTTTDTFPPSQGRFTDEYKSFLEPVIGFLMSKQSPLLVNLYPYFSYMGDTAKVPLDYALFTAQSTVADDPYSYQNLFDANLDSVYAALEKSGGGSLEIVVSESGWPTEGGVGTSVENAKTYVNNLIQHVKNGSPRRPGKAIETYIFAMFDENKKEPAFEKFWGLFHPDRQPKYEVNFN >fgenesh2_kg.5__2161__AT3G57270.1 pep chromosome:v.1.0:5:18311658:18313317:-1 gene:fgenesh2_kg.5__2161__AT3G57270.1 transcript:fgenesh2_kg.5__2161__AT3G57270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRFLASLTFLLGLFFANTIPAVRQVGVCYGRNGDNLPSAAETVELFKQRNIRRVRLYTPDHDVLDALRGSNIEVTLGLPNSYLQSVASSQSQANTWVQTNVMNYVNGVRFRYISVGNEVKISDSYAQFLVPAMINIDRAVLAAGLGGRIKISTAVDMGVLGESYPPSKGSFRGDVMVVMEPIIRFLVSKNSPLLLNLYTYFSYAGNKDQIRLDYALFTAPPGIVLDPPRSYQNLFDAMLDAMHSALERSGGGSLEVVVAETGWPTGGGIDTNIQNAGIYNNNLINHVKNGTPKRPGREIETYIFAMYDEDKKPTPPYVEKFWGLFYPNKQPKYAINFG >fgenesh2_kg.5__2163__AT3G57290.1 pep chromosome:v.1.0:5:18325531:18327983:-1 gene:fgenesh2_kg.5__2163__AT3G57290.1 transcript:fgenesh2_kg.5__2163__AT3G57290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit E [Source:UniProtKB/TrEMBL;Acc:D7LVR1] MEEIKENYDLTPRVAPNLDRHLVFPILEFLQERQLYPDEQILKFKIELLNQTNMVDYAMDIHKSLYHTEDAPQDMVERRAEVVARLKSLEEAAAPLVSFLLNPTAVQELRADKQYNLQMLKERYQIGPDQIEALYQYAKFQFECGNYSGAADYLYQYRTLCSNLERSLSALWGKLASEILMQNWDIALEELNRLKEIIDSKSFSSPLNQVQNRIWLMHWGLYIFFNHDNGRTQIIDLFNQDKYLNAIQTSAPHLLRYLATAFIVNKRRRPQLKEFIKVIQQEHYSYKDPIIEFLACVFVNYDFDGAQKKMKECEEVIVNDPFLGKRVEDGNFSTVPLRDEFLENARLFIFETYCKIHQRIDMGVLAEKLNLNYEEAERWIVNLIRTSKLDAKIDSESGTVIMEPTQPNVHEQLINHTKGLSGRTYKLVNQLLEHTQAQATR >fgenesh2_kg.5__2166__AT3G57320.1 pep chromosome:v.1.0:5:18339254:18340157:1 gene:fgenesh2_kg.5__2166__AT3G57320.1 transcript:fgenesh2_kg.5__2166__AT3G57320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHHHLPENLKPFFHRATEAQERLARLEAALASTKTDIPDSKLVEENKQIQSKLEEANATVKQEQTKVKELTIENAKQKYRILHLVRALREADAKLEKLSK >fgenesh2_kg.5__2168__AT3G57330.1 pep chromosome:v.1.0:5:18340803:18345997:-1 gene:fgenesh2_kg.5__2168__AT3G57330.1 transcript:fgenesh2_kg.5__2168__AT3G57330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7LVR5] MSNLLKDFEVEAKNPSLEARQRWRSSVGLVKNRARRFRMISNLEKLAENDKKRCEIQEKIRVAFYVQKAALQFIDAGARREYKLTDEVKQAGFYVEADELASMVRNHDTKSLTKSGGPEGIAQKVSVSLTEGVRSSELHIREKIYGENRYPEKPARSFLTFVWEALQDITLIILMVCAVVSIGVGVATEGFPKGMYDGTGILLSIILVVMVTAISDYKQSLQFRDLDREKKKIIIQVTRDGSRQEISIHDLVVGDVVHLSIGDQVPADGIFISGYNLEIDESSLSGESEPSHVNKEKPFLLSGTKVQNGSAKMLVTTVGMRTEWGKLMDTLSEGGEDETPLQVKLNGVATIIGKIGLGFAVTTFLVLCIRFVVEKATAGSITEWSSEDALTFLDYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMSDRALVRHLAACETMGSSTCICTDKTGTLTTNHMVVNKVWICETIKERQEENFQLNLSEQVKHILIQAIFQNTGSEVVKDKEGKTQILGSPTERAILEFGLLLGGDVDTQRREHKILKIEPFNSDKKKMSVLTSHSGGKVRAFCKGASEIVLKMCEKVVDSNGESVPLSEEKIASISDVIEGFASEALRTLCLVYTDLDEAPSGNLPDGGYTLVAVVGIKDPVRPGVREAVQTCQAAGITVRMVTGDNISTAKAIAKECGILTAGGVAIEGSEFRNLPPHEMRAILPKIQVMARSLPLDKHTLVNNLRKMGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFATIVNVAKWGRAVYINIQKFVQFQLTVNVVALIINFVSACITGAAPLTAVQLLWVNMIMDTLGALALATEPPNEGLMKRQPIARTASFITRAMWRNIIGQSIYQLIVLGILNFAGKQILNLNGPDSTAVLNTIIFNSFVFCQVFNEVNSREIEKINVFKGMFKSWVFVAVMTATVGFQVIIVEFLGAFANTVPLSWQHWLLCILIGSVSMIVAVGLKCIPVESNRHHDGYELLPSGPSDSA >fgenesh2_kg.5__2169__AT3G57340.1 pep chromosome:v.1.0:5:18348715:18350199:1 gene:fgenesh2_kg.5__2169__AT3G57340.1 transcript:fgenesh2_kg.5__2169__AT3G57340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDDALKCLKICKNAMEAGDRPRALKFLAKARRLDPNLPIDDLVSELNDNKSDESGSAKSPGSATAKDSPNSSDRPSLRQRGSSSSSSTMSYTEEQISIVRKIKSKKDYYEILGLESNCSVDDVRKAYRKLSLKVHPDKNQAPGSEEAFKSVSKAFQCLSNEEARKKYDVSGSDEPIYQPRRSARSNGFNGGYYYEDEFDPNEIFRSFFGGGGFGGGGMPPATAQFRSFNFGATRHRTANNNQAPDAGFNARILLQLLPVVFILLLNFMPSSQPVYQLSATYPYHYKFTTQKGVNYFVKSSKFEQDYPQDSNDRHTLEEQVERDYVSILSQNCRYELQRKQWGFVRETPHCDMMRRFDTAAA >fgenesh2_kg.5__216__AT2G03190.1 pep chromosome:v.1.0:5:1639379:1639885:1 gene:fgenesh2_kg.5__216__AT2G03190.1 transcript:fgenesh2_kg.5__216__AT2G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKIVLTSSDDESFEVDEAVARKLQIIAHMIDDDCADKAIPLQNVTGKILAVVIEYCKKHVNDVDDSDVVEEISENVDEEAKKELVTWDAEFMKDIDMETMFQLLLAANYLNVKSLLDLTSQTIADYIKDKTPQEVREIFNIENDFTPEEEEEIRKENEWAFEDLN >fgenesh2_kg.5__2172__AT3G57360.1 pep chromosome:v.1.0:5:18355427:18356505:1 gene:fgenesh2_kg.5__2172__AT3G57360.1 transcript:fgenesh2_kg.5__2172__AT3G57360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g57360 [Source:UniProtKB/TrEMBL;Acc:D7LVR8] MEEKDWEVSSSSDNEAGFPNDDDEEFHSGGSVPKLQFRVGSSKARWMAELGMAEVEVKRGKLWTTTGIIRSGKTYCFIEEALYLSEIGELQILSNEDDIVIPLKDLYEKMAEEKSGCSWDNYEVYRYLKGLGYILGRNGISWTLKDAAITRPNGEEESACAGECLEDKDTVTKLLGDMQICDAKAVFDVYLPNSRFKKSSPGEPSFVACFSGDSPPSKEDIKVLQKRIAAPLMFCHVAQGRASFFSFSSIDLPVLP >fgenesh2_kg.5__2173__AT3G57380.1 pep chromosome:v.1.0:5:18359338:18361300:1 gene:fgenesh2_kg.5__2173__AT3G57380.1 transcript:fgenesh2_kg.5__2173__AT3G57380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYKRLIKKGEKLRLSVKEEGGSGANVVAVSGGGYSKTTKQKILFIIFLSLLSCCYIFSFSSFSLLDAFSREVKGFGPYELFIAPLCSGTSNGTICCDRTGFRSDVCIMKGDVRTHSASSSVFLFTSLKNKITITGKIKPYTRKWETSVMQTVQQLNLVYRDEKNNYLVSVDEHNNNICDVFYNVPAVFFSTGGYTGNVYHEFNDGIIPLFITSHHFNKKVVFVIVEYHSWWVMKYGDIVSQLSDYPPVDFNGDKRTQCFKEAIVGLKIHDELTVDSSLMLGNKTILDFRNVLNQAYWPRIRGLSQEEELEAANKTGKRVQEDGFKKPKLVILSRNGSREILNDGLLVALAEEIGFIVYVLRPDKTTELAKIYKCLNSSDVMIGVHGAAMTHFLFMKPKTVFIQIIPIGTEWAAETYYGKPAKKMRLKYIGYKIKPKESSLYDEYGKDDPIIRDPKSFTQKGWDYTKKIYLERQNVKLDLKRFRKPLSRAYDFSMKRIGPVYSSHKPDVTN >fgenesh2_kg.5__2175__AT3G57390.2 pep chromosome:v.1.0:5:18363104:18365248:1 gene:fgenesh2_kg.5__2175__AT3G57390.2 transcript:fgenesh2_kg.5__2175__AT3G57390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILSRYGYTTASTEHKQREKQLLICASHENEAVLRNDDSVKGELERLQLAIERLKGKALDGMSFPDLISLENQLNESLHSVKDQKTQILLNQIEKSRLQEKRALEENQILRKQVELLGRGSGPKVLNERPQVSSPEADPESSSSEEDENDNEEHHSETSLQLGLSSTGYCTKRKKPKIELVCDNSGSQVASD >fgenesh2_kg.5__2176__AT3G57400.1 pep chromosome:v.1.0:5:18369206:18370948:1 gene:fgenesh2_kg.5__2176__AT3G57400.1 transcript:fgenesh2_kg.5__2176__AT3G57400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISAKETKNNNSRGMGLLLVFFPDHHNDDSPSSSSSSPSPATTLFRSRSSRLLLSKAQSTISICILLLFLTLFLFTLSTFEPSSGFPAVSSRPHRRFLLNRDISGSESRRRYNRFALQGMGTLFLRGTKSMHDLIVAHVASDTTEDDLRLFMRLLHRSGVTSKSDVVLLFNSGSRFVELIEEENDSFLKLVDVHRNSNSSNQIDSVWGFNLANFMKKQSSKKETSSSSSEPIWGKKTHRANNFNDSSSLNNSTESTELLTHGSVVGFDVTELDPENSLSGFMDHVPISLRRWACYPMLLGRVRRNFKHVMLVDAKTSLFLGDPLTRIRNRSPESVLFFSKHSSSKKSSEVNPAILIGGAKGIRRLASSMHTEIVRATIQQQHKKKNSVTESVVLSQLVGNVHMTKNFEVVTSESVVPEASSLAELRTRNSAASSIKNHDIIQRGGGNSNHIIDIIMKRICSCELDSSVYNYC >fgenesh2_kg.5__2178__AT3G57410.1 pep chromosome:v.1.0:5:18375102:18383115:-1 gene:fgenesh2_kg.5__2178__AT3G57410.1 transcript:fgenesh2_kg.5__2178__AT3G57410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTKVLDPAFQGVGQKPGTEIWRIENFEPVPVPKSEHGKFYMGDTYIVLQSTQNKGGAYLFDIHFWIGKDTSQDEAGTAAVKTVELDAALGGRAVQYREIQGHESDKFLSYFKPCIIPLEGGVASGFRKPEEEEFETRLYTCKGKRAVHLKQVPFARSSLNHDDVFILDTKEKIYQFNGANSNIQERAKALVVVQYLKDKFHEGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIARKVASEDEIIPETTPPKLYSIADGLVESIDGDLSKSMLENGKCYLLDCGSEVFIWVGRVTQVEERKTAIQAAEDFVASENRPKATRITRVIQGYEPHSFKSNFDSWPSGSATPANEEGRGKVAALLKQQGVGLKGLSKSTPVNEDIPPLLEGGGKLEVWYIDGNSKTPLSKDDVGKLYSGDCYLVLYTYHSGERKEDYFLCCWFGKNSNQEDQETAVRLASTMTNSLKGRPVQARIFEGKEPPQFVALFQHMVVLKGGLSSGYKNSMTEKGSSDETYTPESIALIQVSGTGVHNNKALQVEAVATSLNSYDCFLLQSGTSMFLWVGNHSTHEQQELAAKVAEFLKPGITIKHAKEGTESSSFWFALGGKQNFTSKKVSSETVRDPHLFSFSFNRGKFQVEEIHNFDQDDLLTEEMHLLDTHAEVFVWVGQCVDPKEKQTAFEIGQRYINLAGSLEGLSPKVPLYKITEGNEPCFFTTYFSWDPTKATVQGNSFQKKAALLLGTHHVVEDQSSSGNQGPRQRAAALAALTSAFNSSSGRTSSPSRDRSNGSQGGPRQRAEALAALTSAFNSSPSSKSPPRRPGLTSQASQRAAAVAALSQVLTAEKKKSPDTSPSAEAKDEKAFSEVEASEEASEAKEEEEVSPAAEVSAEEAKSKQDDSEVETTGATFTYERLQAKSGKPVTGIDFKRREAYLSEEEFKTVFGMEKEAFYKLPRWKQDLLKKKFDLF >fgenesh2_kg.5__2180__AT3G57430.1 pep chromosome:v.1.0:5:18406791:18409469:-1 gene:fgenesh2_kg.5__2180__AT3G57430.1 transcript:fgenesh2_kg.5__2180__AT3G57430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPLAFTFSLPFPSQPLPFSRQKHPYLLRATPTSVTDDVASTVYGAPSKFISQSHSPEWWIDLLRSKVRSNLLREAVLTYIDMIVLGIKPDNFAFPALLKAVADLQDMDLGKQIHAHVYKFGYGVDSVTVANTLVNLYRKCGDFGAVYKVFDRISERNQVSWNSLISSLCSFEKWEMALEAFRCMLDEDVEPSSFTLVSVALACSNFPMPEGLLMGKQVHAYGLRKGELNSFIINTLVAMYGKMGKLASSKVLLGSFEGRDLVTWNTVLSSLCQNEQFLEALEYLREMVLEGVEPDGFTISSVLPACSHLEMLRTGKELHAYALKNGSLDENSFVGSALVDMYCNCKQVLSGCRVFDGMFDRKIGLWNAMITGYAQNEYDEEALLLFIEMEESAGLLANSTTMAGVVPACVRSGAFSKKEAIHGFVVKRGLDRDRFVQNALMDMYSRLGKIDIAKRIFGKMEDRDLVTWNTIITGYVFSERHEDALLMLHKMQILERKASERASRVSLKPNSITLMTILPSCAALSALAKGKEIHAYAIKNNLATDVAVGSALVDMYAKCGCLQMSRKVFDQIPIRNVITWNVIVMAYGMHGNSQDAIDMLRMMMVQGVKPNEVTFISVFAACSHSGMVNEGLKIFYNMKKDYGVEPSSDHYACVVDLLGRAGRVKEAYQLINLIPRNFDKAGAWSSLLGACRIHNNLEIGEIAAQNLIQLEPNVASHYVLLANIYSSAGLWYKATEVRRNMKAQGVRKEPGCSWIEHGDEVHKFVAGDSSHPQSEKLRGYLETLWERMRKEGYIPDTSCVLHNVEEDEKEILLCGHSEKLAIAFGILNTSPGTIIRVAKNLRVCNDCHLATKFISKVVDREIILRDVRRFHHFKNGTCSCGDYW >fgenesh2_kg.5__2182__AT3G57450.1 pep chromosome:v.1.0:5:18413036:18413701:1 gene:fgenesh2_kg.5__2182__AT3G57450.1 transcript:fgenesh2_kg.5__2182__AT3G57450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LVT2] MGKYTEMLDAGVRIAARFHSHCPQTARLYYHPPSDGHHHHGGVKDLIGGGGVLGSGQDSTGLVGGLGTGTTGGCGIKSSQGYDDARDL >fgenesh2_kg.5__2186__AT3G57480.1 pep chromosome:v.1.0:5:18435191:18436484:-1 gene:fgenesh2_kg.5__2186__AT3G57480.1 transcript:fgenesh2_kg.5__2186__AT3G57480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPDLGKHCSVDYCKQIDFLPFTCDRCLQVFCLDHRSYMKHDCPKGNRGDVTVVICPLCAKGVRLNPDEDPNITWEKHVNTDCDPSNYEKAVKKKKCPVPRCRELLTFSNTIKCRDCSIDHCLKHRFGPDHSCSGPKKPDSSFSFMGFLSTNTKEAPPPSSSSSSSRWSSLFASAEASISRLGNDISQKLQFASGSDGNSGKTQEKNGKQNCGKVTVDVCPKCSRGFRDPVDLLKHIDKDHRGTSKA >fgenesh2_kg.5__2188__AT3G57500.1 pep chromosome:v.1.0:5:18439041:18439757:1 gene:fgenesh2_kg.5__2188__AT3G57500.1 transcript:fgenesh2_kg.5__2188__AT3G57500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVTARLDDQLSTTTTPTTIYIEPPSQDQPSHNSDHRSIETLVVVLAVITILSVLAGVFARLCGGRHLSDGGDHDIEGWVERKCRSCIDAGVPTVAAAPPPPPPPAAAAEEQSKPAADDQTKK >fgenesh2_kg.5__2189__AT3G57510.1 pep chromosome:v.1.0:5:18440343:18443062:-1 gene:fgenesh2_kg.5__2189__AT3G57510.1 transcript:fgenesh2_kg.5__2189__AT3G57510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endo-polygalacturonase 1 [Source:UniProtKB/TrEMBL;Acc:D7LVT9] MARCCRLLAVFLCVLLMLSLCEALSSNVDDGYGHEDGSFESDSLIKLNNDDVLSLKSSDETTLESSTVSVSNFGAKGDGKTDDTQAFKKAWKKACSTNGVTTFLIPKGKTYLLKSTRFRGPCKSLRNFQILGTLSASTKRSDYKDKNHWLILEDVNNLSIDGGSTGIINGNGKIWWQNSCKIDKSKPCTKAPTALTLYNLKNLNVKNLRVRNAQQIQISIEKCNKVEVSNVVITAPGDSPNTDGIHITNTQNIRVSNSDIGTGDDCISIEDGTQNLQIFDLTCGPGHGISIGSLGDDNSKAYVSGINVDGAKFSESDNGVRIKTYQGGSGTAKNIKFQNIRMENVKNPIIIDQNYCDKDKCEEQGSAVQVKNVVYKNISGTSATDVAITLNCSEKYPCQGIVLENVNIKGGTASCKNANVKNQGTVSPKCS >fgenesh2_kg.5__218__AT2G03210.1 pep chromosome:v.1.0:5:1657063:1658757:-1 gene:fgenesh2_kg.5__218__AT2G03210.1 transcript:fgenesh2_kg.5__218__AT2G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITEILAFFMVLIPVLLVIVAMFGYDQGNGFVEASRIIRMEPEPNVTSSDDSALQRDQEQKDSVDLSLLGGLLVSGFKKESCLSRYQSYLYRKASPYRPSLHLLSKLRAYEELHKRCGPGTRPYTNAERLLKPKQTGDSEPEGCKYVVWMSFSGLGNRIISIASVFLYAMLTDRVLLVEGGEQFADLFCEPFLDTTWLLPKDFTLTSQFSGFGQNSAHCHGDMLKKKLINESSVLSLSHLYLHLAHDYNDHDKMFFCAEDQNLLENVPWLIMRTNNFFAPSLFLIPSFEEELGMMFPKKGTVFHHLGRYLFHPSNQVWGLITRYYQAYLAKADERIGLQIRVFDEKSGVSPQVTKQIISCVQNEDLLPKLSKGEEQHKQPSEEDSKLKSVLITSLTTGYFEILKTMYWENPTVTGEVIGIHQPSHEGHQQTEKLMHNRKAWAEMYLLSLTDKLVISAWSTFGYVAQGLGGLRAWILYKQENQTNPNPPCGRAMSPDPCFHAPPYYDCKAKQGTDTGNVVPHVRHCEDISWGLKLVDNS >fgenesh2_kg.5__2194__AT3G57540.1 pep chromosome:v.1.0:5:18459133:18460779:-1 gene:fgenesh2_kg.5__2194__AT3G57540.1 transcript:fgenesh2_kg.5__2194__AT3G57540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLYGQESSTENSTTSTADASDRRDETPPSETVVRDIHAMTTTTELTRPQQRGGGGYLSPSRSIAFSDGTTSSGENFTTVSREFNALVIAGSSMDNNSNGSNQSGGHRDVIRDERNELTRIGENDDVGDHDQVPEEDTNPWAIVPDGYNNRDGSENNIVLTSSSGGQNRMVTTASVQRVKREEVEAKITAWQTAKVAKINNRFKRQDAVINGWLNEQVHKANSWMKKIERKLEDRRAKAMEKTQNKVAKAQRKAEERRATAEGKRGTEVARVLEVANLMRAVGRPPAKRSFFAFS >fgenesh2_kg.5__2195__AT3G57550.1 pep chromosome:v.1.0:5:18464764:18467541:1 gene:fgenesh2_kg.5__2195__AT3G57550.1 transcript:fgenesh2_kg.5__2195__AT3G57550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase-encoding gene 1 [Source:UniProtKB/TrEMBL;Acc:D7LVU3] MGEAPAFFVDHLENGYTNGFGVKSEPKNRDTSVQIGDRSFVIGGNHEGNPLFLGVQIHDKITNKCSSPTVLGTGPKPCKGYSAIVLPKGRILVIKKGSASDDSIWFLEVDTPFIREQKKLLGREVVAWSKGVRGNAEKPIVISGPSGVGKGTLISMLMKEFPSMFGFSVSHTTRAPRCMEKNGVHYHFTDKTVMEKEIKDGKFLEFASVHGNLYGTSIESVEVVTDSGKRCILDIDVQGARSVKASSLDAIFIFVCPPSMKELEDRLRARGTETEEQIQKRLRNAEAEIKAGKSSGIFEHILYNDNLEECYKNLKNLLGINDLAPVNGVEAVEGIDLPKEHTVTKMDDKILIQETGEGTKNKMIVLDLSSINGGAPGRTRGIVLDTVKSS >fgenesh2_kg.5__2197__AT3G57560.1 pep chromosome:v.1.0:5:18469433:18470550:-1 gene:fgenesh2_kg.5__2197__AT3G57560.1 transcript:fgenesh2_kg.5__2197__AT3G57560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LVU4] MATVTSNASPKSFSFSISNPLKSLIPNKSPSLNYPPRKNHHRLGLSINATVSTPPSIATGNAPSPDYRVEILSESLPFIQKFRGKTIVVKYGGAAMTSPELKSSVVSDLVLLACVGLRPILVHGGGPDINRYLKQLNIPAEFRDGLRVTDATTMEIVSMVLVGKVNKNLVSLINAAGATAVGLSGHDGRLLTARPVPNSAQLGFVGEVARVDPSVLRPLVDYGYIPVIASVAADDSGQAYNINADTVAGELAAALGAEKLILLTDVAGILENKEDPSSLVKEIDIKGVKKMIEDGKVAGGMIPKVKCCIRSLAQGVKTASIIDGRRQHSLLHEIMSDEGAGTMITG >fgenesh2_kg.5__2198__AT3G57570.1 pep chromosome:v.1.0:5:18470813:18478514:1 gene:fgenesh2_kg.5__2198__AT3G57570.1 transcript:fgenesh2_kg.5__2198__AT3G57570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LVU5] MERLEEELIRRSEPESLVSVTVGRFMSTLLSARPKKLRESVSRLSPDSQKGSSGSIDEALWFLEKCVRDAAQRDEAMGEILVPIIEHTLRFKDSKHGNPAMILLNWLFQDEVLFQALSRNLSNIISRNEDRILALGWCLLIRRLVECDDTGDQSFWHGIREKHSMFVEIVSSCVPHLSIIVRDGSILQDGYEVPSRLSLSAADCLLSITGALAKRDNTLVNRPKPSTIMGSHQPVALIPHISEKKKKQSFLPEDLNIEANCILWNHLEDLTRLVQCLFAGLSQVLKWLIELKEHHGGSQKEAGTAASAGGALLLSSCWRHYSVLLHMEDQKFPKISKELLEQYLSGIKGKKFECILSEYGMKLVPCLLHQLRSNNEEISEDVVAIFKAVIFKLQSQSGDSFSDTMCMDVVIPSLLHLLDERDGAAKAVSVLLADYCSNEILQRLVSGTSVQRLNSMDVISEVILMSKDSFPSHIHWKEIADCLLKCLGDEETCICKQTSELLKLIEPSFVLPDLVTLIYASNGKVHSSATGTLLGVLKHYNEDSDVICMLLTCLSNIQALDTSESNGHSTEGCMLYVICGKTSFCCFPSRVKHLSYICTIQNFSLARACSSSSCTVTGSTFDSDRVLKMIPEWAKSVQNWDSLIGPLLDKMFLEPSNAIIVRFLSCISEYLADTSDLVLPHVLSHMKKLNKVDESFISRSDTKSSVDKAKSEKSLFDHLCPLLILRLLPQRVFDDIDSSTIYGKFLSGDSVNDYQDIKFEDCQCIAAFILERAFSKFEFEEVRKLSAELCGRLHPQVLFPTVLLQLEKATELQDSLKIKACLFSICTSLVVRGWESFSHSVTPKIRKVLENILLWPSVEDEISKVQHGCIDCLALMICAELQDLKSLKTSGGEQMRTTEEDASGNSVLDYTIHCLVEDRSNCSSIPKLSTGENPLPIPFRLCMANVIISACQKIPESTKKTFARKALPPLVHSLKVISVPEVRAACIQVLFSAMYYLKSTLLPVSSDLLKLSLRFLEQGSEKEKLAGAKLMASLMASEDMILENISEGLLEARSVLSKASLSDPSQDVREVCAKLLACITPL >fgenesh2_kg.5__219__AT2G03220.1 pep chromosome:v.1.0:5:1659032:1661313:-1 gene:fgenesh2_kg.5__219__AT2G03220.1 transcript:fgenesh2_kg.5__219__AT2G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNPYRRRSSPIRTTTGGSKSVNLSELLPVKLLSFGTMKLTRTFTTCLILFSVLVAFSMIFHQHPSDSNRIMGFAEARVLDAGVFPNVTNIDSNGSDKLLGGLLASGFNEESCLSRYQSVLYRKPSPYKPSPYLVSKLRNYEMLHKRCGPGTESYKKALKQLDQEHIDGNGECKYVVWVSFSGLGNRILSLASVFLYALLTNRVLLVDRGKDMDDLFCEPFPGMSWLLPLDFPMTDQFDGLNQKSSRCYGDIVKNEAMDTEKTFSYLYLHLVHDYGDHDKMFFCEGDQNLIGKVPWLIVKTDNYFVPSLWLIPGFEDELNKLFPQKATVFHHLGRYLFHPTNQVWGLVTRYYEAYLSHADEKIGIQVRVFDVGAGPFQHVMDQISSCTQKEKLLPEVDTLVERSRQVKTPKHKAVLVTSLSSGYSENLKSMYWEYPTSTGEIIGVHQPSQEGYQQTEKKMHNGKALAEIYLLSLTDNLVTSAWSTFGYVAQGLGGLKPWILYKPENRTAPDPACGRAMSMEPCFHAPPFYDCKAKTGIDTGKLVPHVRHCEDMSWGLKLV >fgenesh2_kg.5__21__AT2G01200.2 pep chromosome:v.1.0:5:127746:129078:1 gene:fgenesh2_kg.5__21__AT2G01200.2 transcript:fgenesh2_kg.5__21__AT2G01200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7LR08] MDPNTTADFFQGSSKFHTYYSQTKKGGGVIDLGLSLSTIQHETYLPPARVIGLDGYGELIDWSQQPSYRSITQLKSEDTGHKRLAHGYYNNEEESRGKYAYVKVNLDGLVVGRKVCLLDQGAYSTLALQLNDMFGMQTVSGLRLFQTESEFSLVYRDREGIWRNVGDVPWKEFLESVDRMRIARRNDALFPF >fgenesh2_kg.5__2200__AT3G57590.1 pep chromosome:v.1.0:5:18480919:18482124:-1 gene:fgenesh2_kg.5__2200__AT3G57590.1 transcript:fgenesh2_kg.5__2200__AT3G57590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LVU6] MEPIPTDLIIEIFSRLPAKSVAGFRTLSKHWASTLRSPGFTELFQTRSSNRPRLLLAAERNGEWLFFSSPQPQNRYEKSSHLDYHTKFSGDVSRYICSYASGLVYFPDLWLSKDASPVICNPITGMYESLPDLMRYRNSRGFLGFDSIDKQFKVLSEAYPFCDQREHHEILSLGTGELSWRSNIISCPAYDRSLSEGICINGVLYYLAQTVGVSSSVIICFDVRSEEFKFIDAECFNDQVDDTSELILVNYVGKLGGINWKYCQAGERRTVELSMWVLEDVEKHEWVKYVYSLPENEVLDSCDFSVAGVTTRGDIVLCMKYTCKLFYVFYFDPERNTLQSVEIQGFGTNLEAVENRGRVYAFVNHVEDLRVDDAKQLKSSISQVKHLCSCCNKVSQPNYHY >fgenesh2_kg.5__2201__AT3G57600.1 pep chromosome:v.1.0:5:18483373:18484398:1 gene:fgenesh2_kg.5__2201__AT3G57600.1 transcript:fgenesh2_kg.5__2201__AT3G57600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSSMKQWKKGPARGKGGPQNALCQYRGVRQRTWGKWVAEIREPKKRARLWLGSFATAEEAAMAYDEAALKLYGHDAYLNLPHLQRNTRPSLTNSQRFKWVPSRKFISMFPSCGMLNVNAQPSVHVIQQRLEELKKTGLLSQSYSSSSSSTESKTNTSFLDEKTSKGETDNMFEGADQKKPEIDLTEFLQQLGILKDENQAEPSEVAECHSPPPWNEQEETGSPFKAQNFSWDTLIEMPRTETTTMQFDSSNFRSYDFEDDVSFPSIWDYCGSLD >fgenesh2_kg.5__2202__AT3G57610.1 pep chromosome:v.1.0:5:18484981:18487349:-1 gene:fgenesh2_kg.5__2202__AT3G57610.1 transcript:fgenesh2_kg.5__2202__AT3G57610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate synthetase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7LVU8] MSLSSLSLDSNPRFAAGGPYYRRYPPLRHPRSFVSCSAKRPAVSASLSVAADSAATESLGRIGSLSQVSGVLGCQWGDEGKGKLVDILAQHFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEDTTCVIGNGVVVHLPGLFKEIDGLESNGVSCKGRILVSDRAHLLFDFHQEVDGLRESELAKSFIGTTKRGIGPAYSSKVIRNGIRVGDLRHMDTLPQKLDLLLSDAAARFQGFKYTPDMLREEVEAYKRYADRLEPYITDTVHFMNDSISQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVVGDLIGVVKAYTTRVGSGPFPTENLGPGGDLLRLAGQEFGTTTGRPRRCGWLDIVALKFSCQINGFASLNLTKLDVLSDLNEIQLGVAYKRSDGTPVESFPGDLRLLEELQVEYEVLPGWKCDISSVRNYSDLPKAAQQYVERIEELVGVPIHYIGIGPGRDAIIYK >fgenesh2_kg.5__2205__AT3G57630.1 pep chromosome:v.1.0:5:18490442:18494994:-1 gene:fgenesh2_kg.5__2205__AT3G57630.1 transcript:fgenesh2_kg.5__2205__AT3G57630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7LVV0] MFSHQKWKFSWSQIATVASVIVLVSLVHLFLGPVVPSFDSIIVRQAQNLSGPTNESITQVTKDLSQSLVVAFDRRFPADSHGAVVYRNASWKAEIGQWLSSCDAVAKEVDVIEPIGGRKCMNDCSGQGVCNYEFGLCRCFHGFTGDDCSQKLHLDCNYEKTPEMPYGKWVVSICSRHCDTTRAMCFCGEGTKYPNRPVPESCGFQINSPANPDEPKMTDWSKPDLDILTTNSSKQGWCNVDPEDAYALKVQIKEECDCKYDCLWGRFCEIPVQCTCVNQCSGHGKCRGGFCQCDKGWFGTDCSTPSTLSTVGEWPQWLRPAHLEVPSEKNVPGNLTNLSAVVKKKRPLIYIYDLPPDFNSLLIEGRHFKLECVNRIYDERNATVWTDYLYGSQMAFYENILATAHRTLNGEEADFFFVPVLDSCIINRADDAPHINMQNHTGLRSSFTLEFYKRAYEHIVEKYPYWNRSAGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWGDNWDDISDERRGDHPCFDPRKDLVIPAWKVPDPYSMRANYWARPREKRKTLFYFNGNLGPAYEKGRPEDSYSMGIRQKLAEEFGSSPNKEGKLGKQHAEDVIVTPLRSDNYHKDIANSIFCGAFPGDGWSGRMEDSILQGCVPVIIQDGIYLPYENMLNYESFAVRVSEDDIPNLINTLRGFSETEIQFRLANVKKLWQRFLFRDSILLEAERQKASYGHEEEWAVQFSKLKHDDIFATFIQTLHFKLHNDPWRREQVVNRTKDYGLPQECLHKTN >fgenesh2_kg.5__2206__AT3G57640.1 pep chromosome:v.1.0:5:18496650:18506483:-1 gene:fgenesh2_kg.5__2206__AT3G57640.1 transcript:fgenesh2_kg.5__2206__AT3G57640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTYIKKRSSNLAMAKQIPSNSSPLIKSSKPQTLSANPILFLNYSMRFHTIDIIQVKTITIIIITCYSSRNGDIGLLVGCCLESEHPVLVYRALKKPSSLDLKTVVSWRQRLKIAEEIATALAYLHTAFPRPFVYRILRLEDILLDDEDGVAKLCNFSHCASIPQGETFVKLGSGCIGGDYDYMDDNYLINARDFVSFENRRKFAKSIDEIVDSKILEKIGEVTEEERCRMEAFIVLLERCIGLRGEVPKMVEVAKELKIFLRDSSSSSDETSL >fgenesh2_kg.5__2208__AT3G57660.1 pep chromosome:v.1.0:5:18517304:18526738:1 gene:fgenesh2_kg.5__2208__AT3G57660.1 transcript:fgenesh2_kg.5__2208__AT3G57660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:D7LVV3] MAHVQTTEVCLSFHRSVLFPMGASQVVESVRFSFMTEQDVRKHSFLKVTSPILLDNVGEPYPGGLYDPKLGPIEDKKACDTCGQLNLACPGHCGHIELVYPIYHPLLFNLLYNFLQRTCFICHHFMAKPYDVERAVSQLKLIIKGDIVSAKQLESNTPTKSDSSDVSCESGVTTDSSEGCEDSDMEDQRWTSLQFAEVTAVMKNFMRLSSKSCNRCKAVNPQLEKPMFGWVRMKAMKGSDIVANVIRGLKLKKSTSSVENPDDFDDSGIDALSEVEDGDKETREKSTEVVKEFEEHNNSSKRDLLPTEVREILKDLWENEHDFCSFIGDLWQSGSEKIDYSMFFLESVLVPPIKFRPSTKGGDSVMEHPQTVGLNKVLGSNIQLGNACTNKLDQSKIISRWMNLQESVNVLFDSKTATVQSQREGSGICQLLEKKEGLFRQKMMGKRVNHACRSVISPDPYIAVNDIGIPPCFALKLTYPERVTPWNVEKLREAIINGPDIHPGATHYSDKLSTVKLPSTEKARRAIARKLLSSRGATTELGKTCDINFEGKTVHRHMRDGDVVLVNRQPTLHKPSLMAHIVRVLRGEKTLRLHYANCSTYNADFDGDEMNVHFPQDEISRAEAYNIVNANNQYARPSNGDPLRALIQDHIVSSVLLTKRDTFLDKDHFNQLLFSSGVTDMVLSSFSGRSGKKVMVSASDAELLTVTPAILKPVPLWTGKQVITAVLNQITKGHPPFTVEKATKLPVDFFKCRSREVKPNSGDLTKKKKIDESWKQNLNEDKLLIRKNEFVCGVIDKAQFADYGLVHTVHELYGSNAAGNLLSVFSRLFTVFLQIHGFTCGVDDLIILKDMDEERTKQLQECENVGERVLRKTFGIDVDVQIDPQDMRSRIERILYEDGESALASLDRSIVNDLNQCSSKGVMNDLLSDGLLKTPGMNCISLMTISGAKGSKVNFQQISSHLGQQDLEGKRVPRMVSGKTLPCFHPWDWSPRAGGFISDRFLSGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLMKNLESLKINYDCTVRDADGSIIQFQYGEDGVDVHRSSFIEKFKELAINQDMVLQRCSEDMLSGANSYISDLPISLKNGAEKFVEAMPMNERIASKFVRQEELLKLVKSKFFASLAQPGEPVGVLAAQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTAAADIKTPIMTCPLLKGKTKEDANDITGKLRKITVADIIKSMDLSVVPYTVYKNEVCSIHKLKINLYKPEHYPKHTDITEKDWEETMTVVFLRKLEDAIEIHMKMLHRIRGICNDKGPEAGNETDNDDSVSGKQNKDDGDDDGEGTEVDDLGSDAQKQKKQVTDEMDYEENSEDETNEPSSISGVEDPEMDSENEDAEVSKEDTPEPQEEADVSKEETMEPQKEVKAVKNVKEQSKKKRRKFVGATSDRHIFVRGEGEKFEVHFQFATDDPHILLAQIAQKTAQKVYIQDSGKIERCTVANCGDPQVIYHGDNPKERREISNDEKKASPALHASGVDFPALWEFQDKLDVRYLYSNSIHDMLNVFGVEAARETIIREINHVFKSYGISVSIRHLNLIADYMTFSGGYRPMSRMGGIAESTSPFCRMTFETATKFIVQAATYGEKDTLETPSARICLGLPALSGTGCFDLMQRVEL >fgenesh2_kg.5__2209__AT3G57670.1 pep chromosome:v.1.0:5:18535467:18538005:1 gene:fgenesh2_kg.5__2209__AT3G57670.1 transcript:fgenesh2_kg.5__2209__AT3G57670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPYSNFFTDWFKSNPFHHYPNSSTNPSPHPLPLPLPPPSSFFFFPQSGDLRRPPPPPTPPPSPPLREALPLLSLSPANKQQDHHHNHDHLIQEPPSTSMDVDYDHHHQDDHHNLEDDDHDVTVALHIGLPSPSAHEMASLLMMSSSSSSSRTTHHHDDMNHKKDLDHDYSHGATGGGEDDDEDSVGVDGGCRISRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGNGHGAYGIDGFDEEDEPASEVEQLDNDHESMQSK >fgenesh2_kg.5__220__AT2G03230.1 pep chromosome:v.1.0:5:1661773:1662180:1 gene:fgenesh2_kg.5__220__AT2G03230.1 transcript:fgenesh2_kg.5__220__AT2G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LQF5] MSSMNPNAENSAYQGGDSSAASQDPNKSKTVSSDDNLNETNKEKEEEDCDECWLSRFLKKGGCKDAFIEVDHCWEVKDGGTNCRDARLKLNTCMYANVDYYGQYLAMQKERFTQSLKELEKGEEAAAAAKKKEEEE >fgenesh2_kg.5__2211__AT3G57690.1 pep chromosome:v.1.0:5:18557725:18558086:1 gene:fgenesh2_kg.5__2211__AT3G57690.1 transcript:fgenesh2_kg.5__2211__AT3G57690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LVV7] MEMKKIACGVLFAAASMTAVMAADEVGAPAPGPAASAASVALPALGSLVGASLVSLFSYYLH >fgenesh2_kg.5__2215__AT3G57730.1 pep chromosome:v.1.0:5:18565308:18566932:-1 gene:fgenesh2_kg.5__2215__AT3G57730.1 transcript:fgenesh2_kg.5__2215__AT3G57730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LVW1] KKLKQNLRSGSVKKTEKSMKKERWFLENGSIFLKELIADCNGKSIPIRSFTSSQIRKATKNFDSSCFVTEEGFYIWYKGVIEDRSYMIKRFSEYKVTDYRVGEVYKDIVLSARMSNHSNFLQLLGCCLDFPFPVLVFEFAERGVLNHRGGVTVNGEESLLPLSLRLKIGKEIANALAYLHMAFPKIIIFRDVKPMHIEAEDVLGTYGYLDPLYFATRIVTEYTDVYSFGVLLMVVMTGRSVYFTGPDGYRVGILAYVKGLSEYGKLSEVICPMMMQDMTSAQRLQVEACVLLALRFCEERVEDRTKMIQVAKELKRIEHHVLRS >fgenesh2_kg.5__2216__AT3G57740.1 pep chromosome:v.1.0:5:18572137:18573213:1 gene:fgenesh2_kg.5__2216__AT3G57740.1 transcript:fgenesh2_kg.5__2216__AT3G57740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LVW3] MSCWRNNTKKKKNFEVNQRQRLFQENGKVLLEDLIELCNGKSNPIKTFSAKEILEATDNFSESNLVIRFEFMYRDMLQNRPVLIKRTIWSYYKSDTLDKICRDIAVSSMVSGHKNFLKFLGCCLEFEHPVLVCEYAERIPFNTPNPEMLLPWGMRIKIAKEIAIAVSYLHTAFSRTMIHTDIQPSNIFLDSNGTAKLSGFCLCISIPEGETFVKVHADRVEGTLDYLEYNYAATGLITEYTNVFSFGVLLQNFFTRMDGVVDCCCSEDESLFEEFEDKQNVMNLRISDRISKFMEEGRIFEMLDSKMLESMGDDETEEHKIRRMEAVLMLSLRCTGHRGDVPKMMEVAKELKRIERWT >fgenesh2_kg.5__2217__AT3G57750.2 pep chromosome:v.1.0:5:18573429:18574704:1 gene:fgenesh2_kg.5__2217__AT3G57750.2 transcript:fgenesh2_kg.5__2217__AT3G57750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNNKKKRRSDLKNGGILLEELIASFDGKTNPIRCFSSDQILKATDNFSESRIISSWGYFIWYKGVIEEIPVSIKKWSSQNLSSFTEAYRDISVSSQMSGHKNALKLIGCCLEFDLPALICEYTEHGPLNRDGGLASGEVLPWKVRLKIAKEIASSVTYLHTAFPETIIHRNINPTNIFIDENWTAKLSDFWFCVAIPEGELYVEDDVKGVIGFVDPDYYWTMKVTEKVDIYSFGVVMLVLLSGRAAVFNGPDEAPMSLNDHVSEVMEKGEFDEIVDKEIWNDLGGDDDLVLRRSQVEAFLRLALRCVRYKKQDPVGSMLEVAKELKLIEKLS >fgenesh2_kg.5__2219__AT3G57760.1 pep chromosome:v.1.0:5:18575431:18576947:1 gene:fgenesh2_kg.5__2219__AT3G57760.1 transcript:fgenesh2_kg.5__2219__AT3G57760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LVW5] MDWLTTKRIGAKTRKRNVKENGEVVLKELIECCDGKCNPIKNFSYDQIIKATDNFSQSNRASRIDVYYRCYKGILDDRPVLIKKGKYTLDMKEICRDIAISSMVSGHKNFLKLLGCCLEFTPPVLVFEYAEIITLGPLLVSHPRNLRRIKIAREVANSLTYLHTAFSRVFIHSNLDPFTIFLDGNGVAKLGNFCNCITIPEGETFVHDDTLQKYHEFRHITLKGTHGLGVCNLPVIDPDCKSTGNVTTKTDMHSFGAFMLALVQIREVDDELSLSSDMLRALSDLFIKPYDDVRYVRFPLHHHISKILRKFGYAEVVDSDMSEVAVWPVKAFLRLALRCIGCNLGDPLNSMIQVAKELRLIEKSAYYPSNCRQMSTI >fgenesh2_kg.5__2223__AT3G57780.1 pep chromosome:v.1.0:5:18585846:18588445:-1 gene:fgenesh2_kg.5__2223__AT3G57780.1 transcript:fgenesh2_kg.5__2223__AT3G57780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERTTNSMQLNRSTKNGKRDQKPQKTNGAKRSSEQERLKAFKEESNVPVVVDDTTTHSKLSDDGDHAVNESSVTHQESESGRISEKTEKEESVNGLACDDEEEEEKDESKDFDAIAHEKTDSVSYYETCKGVNVDKAVEVWDDASNGGLSGGSENEAGDVKEKSEKLEEEEEALKQKVEDLETRVEKLEEELREVAALEISLYSVVPDHSSSEHKLHTPARRISRLYIHACKHWSQRKQATVARNSVSGLILASKSCGNDVSRLTFWLSNIIALREIILQAFGKTSVPSHVRETSESNGSENNGLGKLKRKKNQWNKQSNGFKQVLEDWQEPETFTAALEKVEFWIFSRIVESVWWQVFTPHMQSLENGGNTNEKLMGPVLGDNEQGSFSISLWKNAFKVALTRLCPMRGAGHECGCLPTLAKMVMEKCISRIDLAMFNAILRESEHQIPTDPVSDPILDSKVLPILAGNLSFGSGAQLKNAIGNWSRCLAEMFSINTRDSVEENDSIESEKSFSLLNELSDLLMLPKDMLMDRSTREEVCPSISLPLIKRILCNFTPDEFCPDDVPGAVLEELNNESISEQKVSGVSFPYAASPVSYNPPSSLNVAEKVPEVGDISRISRNVSMIQRKGYTSDEELEELDSPLTSIIENVSLSPISVQSRNVKQETEHIGAVVANARYELLREAWSM >fgenesh2_kg.5__2225__AT3G57800.1 pep chromosome:v.1.0:5:18594988:18598820:-1 gene:fgenesh2_kg.5__2225__AT3G57800.1 transcript:fgenesh2_kg.5__2225__AT3G57800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7LVX0] MDLTGGFGARSGGIGPCREPIGLESLHLGDEFRQLVTTLPPENAGGSFTALLELPPTQAVELLHFTDSSSSQVAAVTGIGGENAPPLHSFGGTLAFPSNSVLMERAARFSVIATEQQNGNVSGETPTSSVPSNSSANLDRVKTEPAETDSSQRLISDSAIENQIPCPSQNNRNGKRKDFEKKVKSSTKKNKSSEENEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCDKGTDFGGKIKIKVCFGVHLLMISGKKAVNFLWKVSCEDLIDCSFNPLGFRLTRHSLAASFTIQGTALVLDEIINHVQSLQRQVEMLSMRLAAVNPRIDFNLDTILASENGSLMDGSFNGTPMQLAWPHQAIETEQSFHHRQLPPPPTQQWPFDGLNQPVWGREEDQADGNDNSNLMAVSENVMVASANLHPNQVKMEL >fgenesh2_kg.5__2227__AT3G57810.2 pep chromosome:v.1.0:5:18602985:18605313:1 gene:fgenesh2_kg.5__2227__AT3G57810.2 transcript:fgenesh2_kg.5__2227__AT3G57810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMICYSPITTCSRNAINIKGHLGTHLYGVVAQGSYKFSCHSLLLGLSRRHYTGFRVSVSNRPSSWHDKRLLINRSTVGPKEKLEVSFLSPDAKMKCSKIESNMRNLYWYSRFAYTGVIVSLLVCYSSTSQSAYADASRDKDANNVHNHSSHGKFHNGKRVYTDYSIIGIPGDGRCLFRSVAHGFCLRSGKLAPGEKMQRELADELRTKVADEFIKRRQETEWFVEGDFDSYVRQIREPHVWGGEPELFIASHVLQMPITVYMKDEKAGGLISIAEYGQEYGKDDPIRVLYHGFGHYDALLIHESKASIPKSKL >fgenesh2_kg.5__2229__AT3G57830.1 pep chromosome:v.1.0:5:18606838:18609764:1 gene:fgenesh2_kg.5__2229__AT3G57830.1 transcript:fgenesh2_kg.5__2229__AT3G57830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLRLLSLLLSSYFSFFISFSSSLSPDGLSLLALKSAIFKDPTRVMTSWSESDPTPCHWPGIICTHGRVTSLVLSGRRLSGYIPSELGLLDSLIKLDLARNNFSKPLPTRLFNAVNLRYIDLSHNSISGPIPAQIQSIKNLTHIDFSSNLLNGSLPESLTQLGSLVGTLNLSYNRFSGEIPPSYGRFPVFVSLDLGHNNLTGKIPQIGSLLNQGPTAFAGNSDLCGFPLQKLCKEETTNPKLVAPKPEGSQILPKRPNPSFIDKDGRKNKPITGSVTVSLISGVSIVIGAVSISVWLIRRKLSKSEKKNTAAPLDDEEDQEGKFVVMDEGFELELEDLLRASAYVVGKSRSGIVYRVVAGMGSGTVAATFTSSTVVAVRRLSDGDATWQRKDFENEVEAIGRVQHPNIVRLRAYYYAEDERLLITDYLRNGSLYSALHGGPSNTLPSLSWPERLLIAQGTARGLMYIHEYSPRKYVHGNLKSTKILLDDELQPRISGFGLTRLVSGYSKLTGSLSAIRQSLDQTYLTPATTVTRITAPSVAYLAPEARASSGCKLSQKCDVYSFGVVLMELLTGRLPNGSYKNNGEELVHVVRNWVKEEKPLAEILDPEILNKSHADKQVIAAIHVALNCTEMDPEVRPRMRSVSESLGRIKSV >fgenesh2_kg.5__2231__AT3G57870.1 pep chromosome:v.1.0:5:18615960:18617656:-1 gene:fgenesh2_kg.5__2231__AT3G57870.1 transcript:fgenesh2_kg.5__2231__AT3G57870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIARGRLAEERKSWRKNHPHGFVAKPETGQDGTVNLMVWHCTIPGKAGTDWEGGFFPLTMHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDYGWRPAITVKQILVGIQDLLDTPNPADPAQTDGYHLFCQDPVEYKKRVKLQSKQYPALV >fgenesh2_kg.5__2232__AT3G57880.1 pep chromosome:v.1.0:5:18618348:18621920:-1 gene:fgenesh2_kg.5__2232__AT3G57880.1 transcript:fgenesh2_kg.5__2232__AT3G57880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LVX7] MQRPPPEDFSLKETRPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKELPGKDMTGSCDPYVEVKLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASFLEATVKDKDVVKDDLIGRVVFDLNEVPKRVPPDSPLAPQWYRLEDRKGDKVKGELMLAVWFGTQADEAFPEAWHSDAATVSGTDALANIRSKVYLSPKLWYLRVNVIEAQDLIPSDKQRYPEVYVKAIVGNQALRTRVSQSRTINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCAIPLQYLDRRFDHKPVNSRWYNLEKHIMVDGEKKETKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKPNIGVLELGILNATGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIIDSFTPRWNEQYTWEVFDPCTVVTVGVFDNCHLHGGEKNGGAKDSRIGKVRIRLSTLETDRVYTHSYPLLVLHPNGVKKMGEIHLAVRFTCSSLLNMMYMYSLPLLPKMHYIHPLTVSQLDNLRHQATQIVSMRLTRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLSGLIAVGKWFEQICNWKNPITTVLIHLLFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHADSAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVLFCLIAAVILYVTPFQVVALCIGIYVLRHPRFRYKLPSVPLNFFRRLPARTDCML >fgenesh2_kg.5__2233__AT3G57890.1 pep chromosome:v.1.0:5:18625658:18629692:1 gene:fgenesh2_kg.5__2233__AT3G57890.1 transcript:fgenesh2_kg.5__2233__AT3G57890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEQDLIDQSPPPSAPDPEPNPNPNSVIHPRRVSFEHGLLPIQKLVFTDPIQTLAPVKQKLADAASNNRVGSAVIADVLQISGDHARLVLETLGSVLHSESDPLVRAKPEEVDSVGADLRDLILFLYIQSYKKLLPRTHKDSAAVADVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQADDEAHQLSYLQKHLANIISLLAEPVEGEGDESLVLSMEAFEHLGFLVQFGDKGSDVSPLSQATPFFANSDPDMPAVPVPASQVHDWLLQNIASALESITERISGKENGPSNDQDDAMSDVCAAPNKVAPSTRGPCLIEGVSKTSLVKQASDLRGRSVKVVNCHDSVIYLLAPLRYATVHGCSDTTIVLGAVGKALKVEHCERVHVIVAAKRVCIANCRECVFFLGVNQRPLIVGDNHKLQVAPYNTFYSHLEEHMGEVGVEPTINKWDKPLALGAVDPHDSLSHPAGVADAQAESAASVDPDQFVNFLIPNWFSGEETGSTKDNPFPLPDTYMAAQQRNLKNFEETRRSLKEAPLEENRKRELSSALHVYFKDWLYASGNIRQLYCLQGD >fgenesh2_kg.5__2234__AT3G57910.1 pep chromosome:v.1.0:5:18630310:18631897:1 gene:fgenesh2_kg.5__2234__AT3G57910.1 transcript:fgenesh2_kg.5__2234__AT3G57910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTRNLTGLGGEAAKEEDDDYMGDLSQFIPQELTQTSKRKESEKKTVIVEPSRKKLKNLNWHERRRLEKERKQIEEDEQTLARIVDTPIGESNVGFKLLKQMGYKPGSALGKEGSGRAEPVTMDIRRSRAGVGREDPHKEKKKKEEIEAEIEKRKVEEMLEDFGSRQKSQWRKKRFLINFRKAKAALDQLENVEVVPEKKNEEDEDGKPDEEEEEEEEITEEDLQEILMKLRDEHRYCPFCGFQYETTEALLSNCPGVNEDDH >fgenesh2_kg.5__2235__AT3G57920.1 pep chromosome:v.1.0:5:18631988:18633533:-1 gene:fgenesh2_kg.5__2235__AT3G57920.1 transcript:fgenesh2_kg.5__2235__AT3G57920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLMGSGQAESGGSSSTESSSLSGGLRFGQKIYFEDGSGSGSKNRVNTGRKSTMTARCQVEGCRMDLSNVKAYYSRHKVCCIHSKSSKVIVSGLHQRFCQQCSRFHQLSEFDLEKRSCRRRLACHNERRRKPQPTTALFTSRYTRIAPSLYGNPNAAMIKSVLGDPTAWSTARSVMRRSGPWQINPERESHQIMNVLSHGSSSFTTCPEITNNNSTDSSCALSLLSNSNPIQQQQLQTPTNLWRPSSGFDSMISFSDRVTMAQPPPISTHHQYLSQTWDVMAGGKSNSHYMSPVSQISEPAEFQISNGTTMGGFELSLHQQVLRQYMEPENTRAYDSSPQHFNWSL >fgenesh2_kg.5__2237__AT3G57930.1 pep chromosome:v.1.0:5:18634631:18636270:-1 gene:fgenesh2_kg.5__2237__AT3G57930.1 transcript:fgenesh2_kg.5__2237__AT3G57930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRGKGKRQNATAREDRGSGEEEKIPAFRRRGRPQKPVKDEIEEEEVELVKKTEEEEDKDDDTNGSVTSKEDVTANGRKRKKPVESKESNLTEEENGVGSKSSTEDSMKSSSSIGFRQNGSRRKNKPRRAAEAVVECNGV >fgenesh2_kg.5__2238__AT3G57940.1 pep chromosome:v.1.0:5:18638102:18644825:1 gene:fgenesh2_kg.5__2238__AT3G57940.1 transcript:fgenesh2_kg.5__2238__AT3G57940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA cytidine acetyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LVY2] MRKKVDERIRTLIENGVKLRHRSMFVIIGDKSRDQIVNLHHMLSKAVIKCNPSVLWCYKDKLDISSHKQKRSKQLKRLRERGQLDPEKLDAFSRLLDVGRVTHCLYKDSERILGNTFGMCILQDFEALTPNLLARTIETVEGGGLVVLILRSLTSLTSLCTMVMDVHDRFRTESHSEAAGRFNERFLLSLASCKACVVMDDELNILPLSSHIRSITQVPTEKDSEGLSEAERDLKSLKEELSDDFPVGPLIKKCCTLDQGKAVVTFFDAILDKALRSIVALIASRGRGKSAALGLAVSGAVAAGYSNIYITAPSPDNLKTFFEFVCKGFDALEYKEHLDYDVVKSANPDFKKAIVRINIFKQHRQTIQYIQPHEHEKLSQVELLVIDEAAAIPLPVVKSLLGPYLVFLSSTVSGYEGTGRSLSLKLLQQLDEQSRAPATGLEGSLSGCLFKKIELNESIRYGSGDPIESWLNGLLCLDVATCLPNPACHPSPSQCDLYYVNRDTLFSYHKDSELFLQRMMALCVSSHYKNSPNDLQLLADAPAHHLFVLLGPVDESQNKIPDILCVIQVCLEGKISENSALQSLRDGHSPYGDQIPWKFCEQFRDTEFPGFSGARIVRIAVHPNAMKMGYGSAAVELLTRYFEGQIAPISEADDKVDVEHAPIKVTEAAEKVSMLEEQVKPRTNLPPLLVPLHDRRPEKLHYIGVSFGLTLDLFRFWRKHNFAPFYVSQIPSAVTGEHTCMLLKPFKNDELEVNESDELGFFTPFYKDFKIRFSKLLSDKFKKMDYKLAMSVLNPKINFPEVDSSGSSSGGFLKTLDGILSPYDMERLRAYTKNLTDFNLVYDICKTLAHQYFEEKLPVSLSYVQASILLCLGLQETDISSIERQMQLERGQIHSLILKVARELYKYLNGVAGKEIESALPRLKERELEPHNLSVDDDIREGAKQVEEQIMKEKIGGLMDSELQQYVIGDKESEALQHSKISSSGIISVKSTKSENENGFDKSTQKRSSGKRSSSSSKSKSSKKRRA >fgenesh2_kg.5__2239__AT3G57950.1 pep chromosome:v.1.0:5:18651799:18652355:1 gene:fgenesh2_kg.5__2239__AT3G57950.1 transcript:fgenesh2_kg.5__2239__AT3G57950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LVY3] MQLKSSSPSSSSSSSSSSMKLKTLIQNLLTHPLYRFLRALARAKSIFLEISKHNNNNNNKKRKLMMLFPTKASKNQRKIFFGSFRLHYNWCSSHVAPVPQPFPFPSSYINGGEDDDSQLSGYLEWLEQKKVEDVEEIRDVVADDDIDHLADMFIANCHEKFLLEKVESYRRFQEMLERG >fgenesh2_kg.5__2241__AT3G57990.1 pep chromosome:v.1.0:5:18663807:18665263:-1 gene:fgenesh2_kg.5__2241__AT3G57990.1 transcript:fgenesh2_kg.5__2241__AT3G57990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASMKFREEQKPLFRAKVPLSILGLPFQSGIVAGESKELSLNLSTFFESGPSLKVAYRPNDSWNPFSLIVKTGSGSFGSPISSSMLMSAEFNLLGKGNPSFMLHFKPQFGDFSIKKSHSSSQTNLIKSMNGSVSGDDSSIEVVDTPAVNGCGGGFRKVTVLPSTSAGDIAGLLSGVEVAARTSLPVRGRAVLNFRWGVRVPTEIRRDFDPTAAISLRRFPFLVMNKIGIEHVDGSDAKVTKPTSDPGQLTTSGDVAEVCLAVNRQMEELRTENKQLKRAVEDLREVISNVRPYSPATIDYGSHSKYREPERSNNNGRSRADRWSSERTTTSDYGGKKSKEEGDVAEELKKALKGAA >fgenesh2_kg.5__2243__AT3G58010.1 pep chromosome:v.1.0:5:18674164:18675921:-1 gene:fgenesh2_kg.5__2243__AT3G58010.1 transcript:fgenesh2_kg.5__2243__AT3G58010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQRGSVSGTSAVRLSFSSSASPASSSPPPSRVSLNFQSEKKSCCRRMICRAMVQDAVQGIPSVYAREMERLSAKESLILAFNDAGGFEALVTGKITDMQKIDVNERITTLERLNPTPRPTTSPYLEGRWSFEWFGSNTPGSLAVRVIFERFPSTLVSLSSMDIVIKDNNTMATANIKLLNSIEYKIILSSKLTIEGPLRMKEEYLEGMLESPTVIEEAVPDQLRGLLGQASTTLQQLPAPIKDTLANGLRIPLGGTYQRFFMISYLDDEILIVRDTAGVPEVLRRVETSSSISSSSVVENLEYNS >fgenesh2_kg.5__2246__AT3G58030.2 pep chromosome:v.1.0:5:18684413:18686874:1 gene:fgenesh2_kg.5__2246__AT3G58030.2 transcript:fgenesh2_kg.5__2246__AT3G58030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LVZ3] MGEELADTMNLDLNLGPGPESDLQPAPNETVNLADWTNDPPDRSSEAVTRIRTRHRTRFRQLNLPIPVLSETHTMAIELNQLMGSSVNGAALQTGEGSERGNEDLKMCENGDGALGDGVSDKKADIEKSSGSDGNFFDCNICLDLSKEPVLTCCGHLYCWPCLYQWLQISDAKECPVCKGEVTSKTVTPIYGRGNHKREIEESLDTKIPMRPHARRIESLRNTIQRSPFTIPMEEMIRRIQNRFDRDSTPVPDFSNREASERVNDRANSILNRLMTSRGVRSEQNQASAAAAAIVAATEDIDLNPNIAPDLEGETNTRFHPLLIRRQLQSHRVARISTFTSALSSAERLVDAYFRTHPLGRNHQEQNHHAPVMVDDRDSFSSIAAVINSESQVDTAVEIDSMVTHSTSSSRRRNENGSRVSDVDSADSRPPRRRRFT >fgenesh2_kg.5__2249__AT3G58050.1 pep chromosome:v.1.0:5:18693419:18698027:1 gene:fgenesh2_kg.5__2249__AT3G58050.1 transcript:fgenesh2_kg.5__2249__AT3G58050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAQRNDQYSFGFWSKEIDGVSYNQLQKFWSELSPKARQELLKIDKQTLFEQARKNMYCSRCNGLLLEGFLQIVMHGKSLHPEGSIGTSPCNKSGGSKYQNDCNLVVSNGCSDEMQDPSVHPWGGLTTTRDGSLTLLDCYLYAKSLKGLQNVFDSAPARERERELLYPDACGGGGRGWISQGMASYGRGHGTRETCALHTARLSCDTLVDFWSALSEETRQSLLRMKEEDFMERLRYRKFLDMIWISHSELLDEWAFLCLDFEDVPTKFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTTWFCVADTAFQYEVSIDSVKADWRETFSENAGMYHHFEWAIGTGEGKCDILKFENVGMNGRVQVNGLNLRGLNSCYITLRAYKLDGRWSEVSVKAHALKGQNCVHGRLVVGDGFVSIKRGENIRRFFEHAEEAEEEEDEDMMDKDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLTLKLLEQRVHVACKEIITLEKQVKLLEEEEKEKREEEERKEKKRSKEREKKLRRKERLKEKEKGKEKKNPESSDKDMLLNLSREEEDLPNLDDETNNTINCEESEIETGDADLSPPGSPDVQERQCLDGCPSPRAENHYCHRPDREFTNLEDENGYFTNDHQKTVHQNARYWKEVQSDNALRWSDKRRYSDNASFVSRSEARYRNDRLEVPSRGFNGSNRQLRVKASKTGGLNGIKSHEKFQCCDNRISERFDFNSCSCKPSGEYQAKLEPNISATRSMREPKTVSNSDSAPDASKPVFRGNRYTQTDYNRELRLKSKVGVGPNPSTTRDSLHSKQVWEPMEPKKYPRSNSESQVTVRCSTFKAEEIEDTIVAENSSDLLSQCKATEKLDNIKLIDNNSMESGETKNGWHLKDPMMSSTSSSDNCSSCLSEGESNTVSSNNGNTESSSTSDSEDASQQSEGRESIVVGTQNDILIPDTTGKSEIPETPIVVTGNNSDNNSNNNMAHGVVDGQPQGGMFPHLLNQNLQFPVFQTASPMSYFHQAPSVSWPMAPANGLIPFAHPNPYLYTGPLGYSMNGDSPLCLQYGNPLNHAATPFFNPGPVPVFHSYSKTNTEDQAQNLEPPHELNGLAPPETQTVSEDSFSLFHFSGPVGLSTGSKSKPAHSKDGVLRDPVGNNDTKAKESKEVEEYNLFATSNGLRFSLF >fgenesh2_kg.5__2251__AT3G58070.1 pep chromosome:v.1.0:5:18708343:18709329:-1 gene:fgenesh2_kg.5__2251__AT3G58070.1 transcript:fgenesh2_kg.5__2251__AT3G58070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAARETETQDFMNVESFSQLPFIRRPKDKNPKPIRVFGKDFTGGGFSSTTGQEDYSDPYQTKDKEEEEEEEDQTGDNSKDNNSISNNRRFECHYCFRNFPTSQALGGHQNAHKRERQLAKRGVPSYFYHPDNNPYSYRHYPSWTSGPLTAARSYGGYASGPQLSAYYTRPSYGSQLGLWRLPPRVQGVYNSNAALTSNGSSSNSSLPLLAGSRTQLPSQVGGSAAQNRMSSYRYGLSSNVQDHVSLDLHL >fgenesh2_kg.5__2253__AT3G58110.1 pep chromosome:v.1.0:5:18724274:18726664:1 gene:fgenesh2_kg.5__2253__AT3G58110.1 transcript:fgenesh2_kg.5__2253__AT3G58110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPSDPTDRDAEALSGNPSLIEKPSLVEQGSISLENANSVPNKALNLESTQDEETQNLQDPEESDGRDQQLEASIEESRNEEDDMDATQAVSSSYYRRGGGPKRKKGIQKKRKQQEKSKEKLEVLLKTLKPIAFVPCKTLDFARHEKLLKTLGLWDFVHLEFDQNIRQDLVANLVAYYNSEGRCSYVNGARINVSRADLARALKLPKKKDFVVTEEERELLENDESVRFIDEILSTWVLLQRDDMWIMPVEIVEWTRDIKQKHLEKLDWPKLLWFMVEKELKAEPLLGDCFFASHLQLLIKTQKEDLLKEKCKADDEGDDDDDDDDVKEVDFMVKSPKEDCLEVKEEDVGAADSGKDDGAIDSKEDKYVEEHMIELNLGQETVSEMVSGEERGPVEGQPMDVEENKKEEDERWAWNEDTHAGSHFLRRCNLSSAREGDEDNHIEGSMEMGEDEPIDDVGEEDEEDTEKHEEGFPFFPNGDSLQGVGQENLMLGDASPLGYNSGLQIHGNSIGGDFLASRVDMHMTMGSGSSSLFGNGNNKREIEHENHISYHSHNPGNKRLRTAEPSWDEKPPPVDMCLDQMVYWVEKTRLSNAEKDREREQSVMNQQYLMNELQSKAAMIQELERTKFEEQQRKDMMIYKLESELRMMTSVVEGYRKALKETQKASREHRKRCPLRDEKPVYKDVKGSGGLVLCTTEIEKLRLKQEEEDRMTRTLVERQIEDFESQWLNKFGEHMETVELLNERFIENENEVKILRETLSESKNHETSEVAAAIEETTEET >fgenesh2_kg.5__2254__AT3G58120.1 pep chromosome:v.1.0:5:18728501:18730852:-1 gene:fgenesh2_kg.5__2254__AT3G58120.1 transcript:fgenesh2_kg.5__2254__AT3G58120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7LW03] MAQLPPKIPTMTTPNWPDFSSQKLPSIAATAAAAATAGPQQQNPSWMDEFLDFSATRRGTHRRSISDSIAFLEPPSSGVGNHHFDRFDDEQFMSMFNDDVHNNNHHHHHHHNINGNVGPTRSSSNTSTPSDHNSLSDDENNKEQPPSDQDHHMENNVANQNNAAGNNYNEPDEVQSQCKTEPQDGPSANQNSGGSSGNRIHDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSVLSPRVAFLDHQRLLLNVDNSAIKQRIAALAQDKIFKDAHQEALKREIERLRQVYHQQSLKKMENNVSDQSPADIKPSVEKEQLLNV >fgenesh2_kg.5__2256__AT3G58130.2 pep chromosome:v.1.0:5:18735743:18738014:1 gene:fgenesh2_kg.5__2256__AT3G58130.2 transcript:fgenesh2_kg.5__2256__AT3G58130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLVVSLSLIAIWVASFCKIFFRATSTSSKTLQKKNVMFVIAHPDDESMFFSPTINYLASNACNLHILCFSTGNADGMGSIRKDELHRACAVLKIPRQQLKILDHPNLQDGFGQLWSHDLLKEIIQEEVTNHDIHTIITFDNYGVSGHCNHQDVHRGVLKFLQTNSGRNIKAWELVSLNIFRKYFGPVDIWLSILSAKQHPSKVIIINEQPWKSFKAMAQHLSQWVWFRKLFVSFSSYTYANTLNRINA >fgenesh2_kg.5__2261__AT3G58170.1 pep chromosome:v.1.0:5:18751020:18752577:-1 gene:fgenesh2_kg.5__2261__AT3G58170.1 transcript:fgenesh2_kg.5__2261__AT3G58170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATBS14A [Source:UniProtKB/TrEMBL;Acc:D7LW09] MNPRREPRGGRSSLFDAIEEGGIRAAPSYSHEINEHENERALEGLQDRVILLKRLSGDINEEVDTHNRMLDRMGNDMDSSRGFLSGTMDRFKTVFETKSSRRMLTLVASFVGLFLVIYYLTR >fgenesh2_kg.5__2263__AT3G58190.1 pep chromosome:v.1.0:5:18756718:18757776:-1 gene:fgenesh2_kg.5__2263__AT3G58190.1 transcript:fgenesh2_kg.5__2263__AT3G58190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral organ boundaries-domain 29, lob domain-containing protein 29 [Source:UniProtKB/TrEMBL;Acc:D7LW12] MSSSSSSSGSPCGACKFLRRKCAKGCVFAPYFCHEQGASHFAAIHKVFGASNASKLLSHLPISDRCEAAITISYEAQARLQDPIYGCVSHIFALQQQVANLQAELEILKQQAAQSMIFADSPTSENPNSYYGDTTKAPCHHDLQNIYYHQDQSHLGYQTGSSGTVQHGDATESSYHNETSSGIGEFSIYSDLEQHLNTFNQDHLKELQSASFGYISFS >fgenesh2_kg.5__2264__AT3G58200.1 pep chromosome:v.1.0:5:18770380:18771678:-1 gene:fgenesh2_kg.5__2264__AT3G58200.1 transcript:fgenesh2_kg.5__2264__AT3G58200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEADNKFRWVIKKFSSLGSERVFSDIFVVGSCKWRLMAYPKGVRDDRCFSLFLVVADFKTLPCGWKRHTRLRLNVVNQLSEELSILKETQMGFLVNDEVKIVVEVDVVEVIGKLEESEEATQPLKKVKLEAFVESKDLLKETSSVKEEIIDVNGFHVLPSQVEFVSPVFERYPEIASVFQAKKQHLRTACMYVLLSLIETLCKSLEELCSDDLVGGDNALQYLKFSGFKVDWLEKKLEEIKVKKKDEQIGESRMQELEEELKLFKKCSDIEALMETEKTKLLVTRGSPLTLDDVL >fgenesh2_kg.5__2265__AT3G58210.1 pep chromosome:v.1.0:5:18772820:18774516:-1 gene:fgenesh2_kg.5__2265__AT3G58210.1 transcript:fgenesh2_kg.5__2265__AT3G58210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVDNKFTWVIQNFSSSQSSAILSNQFVVGGCKWHLLAYPEGLNKSDDHFSLFLEVADHKSLPHGWGRHARYRLTTVNQHSDKISKRTEASKWFDQKTPGWGLSGMLPLSKLHAKDGGFLVNDELKIVAEVDVIEVIGKLDVSGESEEETQPMKKIKVDYDHVETPPVSELMDVNGFQVLPSQVAFVKCIFAKHPDIAKEFRPKNPHLRKACMTFLLSLIETLCQPPQKLSNEDLVEANNALTYVKVSGFKVDWLEKKLEEVKKKKEEEQTGVTRIQELEEGLKDYKQKCLNMEAMLEKEKAKLLAARASLTLDDVI >fgenesh2_kg.5__2272__AT3G58270.1 pep chromosome:v.1.0:5:18826259:18828318:-1 gene:fgenesh2_kg.5__2272__AT3G58270.1 transcript:fgenesh2_kg.5__2272__AT3G58270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDNKFTWVIKNFSSQQSTKIYSDEFFVDGCKWRLLAFPKGNGVEKLSLYLAVAGGEFLPDGWRRHADIHLSVVNQLSEELSLTRETEHLFDASTCDWGFASMFSLKKLHDKDGGFLVNGELKIIVEVSVLEVIGKLDVPEECEETTKSLSKVDDNDVPEESEETTEALSKVVENDGAESNDSLKEASSVKESMDVNGFRVLPSQVETVSCIFERHPDIASEFSPKNQHLRSAYMNVLLSLIKTMCQSTQELSKDDLSDADAALAYLTDAGLNLNWLEEKLEEVSEKKENEEAGETRVHEIEEELKELKLKCSNLEAQLGKEKANVSVARAPFSFDDVV >fgenesh2_kg.5__2275__AT3G58300.1 pep chromosome:v.1.0:5:18833228:18833856:-1 gene:fgenesh2_kg.5__2275__AT3G58300.1 transcript:fgenesh2_kg.5__2275__AT3G58300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKTESSESSDDEQRYSSSSEEWSEDSSEDVNEIVEVNGFQILDSQVSQVNAIFEKHPDIASDFSLKNQQLKNAYMGVLLDLIMTLCQSPKELTMNDLNKADRTILDLTKAGLRLHWLRQKLDEAFLKKEKKRAIGARIRELEEQKQKAAALAAETPFDFSDVV >fgenesh2_kg.5__2277__AT3G58330.1 pep chromosome:v.1.0:5:18838322:18838922:-1 gene:fgenesh2_kg.5__2277__AT3G58330.1 transcript:fgenesh2_kg.5__2277__AT3G58330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRENSCEVSDSEDGDFSPNQDSSSEDEETVEVNGFQVLPSQETLVSEMFKKHPDLTSNFDLKNQQLKNAYMDVLLDLNETLSQSTKKLSLVDLDKAESTLFDLTRAGLKVCWLRQKLDEAYVKKEKQRVSGARIRELEEQVKKRKLTLSDLETDLKKEKAAALNFSFE >fgenesh2_kg.5__2279__AT3G58350.1 pep chromosome:v.1.0:5:18847734:18858938:-1 gene:fgenesh2_kg.5__2279__AT3G58350.1 transcript:fgenesh2_kg.5__2279__AT3G58350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQFDKKITWTIKNFPSLPADLIYSDHFVVGGCKWNLRAYPKGYNNANYLSLFLGVAVPTSLPSGWRRHTKFRLTLVNQSSDKLSQSKRTELEQWFDEKTTNWGLPSMCPLNEIHAKDSGFLLNGELKIVVEIKVLETIGKLDITEETSTVTESVDVNGFQLLPSQAKSVSRMFEKHPEMASDLRPKNPNLRSGYMSLLLSLIETMSQLPREMSKEDLLDAYAALGSMRDAGFKLDWLEKKLYDVSEKKENEEASETGLQEMEEELKDMKHKCLEMEALVEKEKAKVSTAKASISFDDIV >fgenesh2_kg.5__2284__AT3G58440.1 pep chromosome:v.1.0:5:18883017:18884908:-1 gene:fgenesh2_kg.5__2284__AT3G58440.1 transcript:fgenesh2_kg.5__2284__AT3G58440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLKMQEKFTWVLENFSSLQDECYSPVFAVAGCNWRLLACPRGVRRNDRYFSVYLDLAPESSPPGWRREVKFSITLVNVWPIANRVLGEPCFFDAKTSNWGFEDFLLLEKLCNKGEGFLVNDRLTIVAEVHVLPPIVVPLEPVKIIASLKKLDDIDDENDESSDDEDASQEDTDDNDDGVCDISLLSQLMNALEDASHTEENHGISCNSVLAETEVSNGENDDAPKKDDDDDEASSLVLNDSDRNGSTIEQVKVTRLHLLLEDASQTFKNGGTGLNTVASVMGTCDNVLMEIQQVQETVDINGFEVVSSKVESVRRIFERHPDIAVEFRGKNQHLRNACMNFLLSLIETMCQSLEELSNEDLVEADIALTYLRDAGFKVDWLEKKLDQLKEKKEEEMSGLARLHEIEENLVILKQKWSDLDALAEKEKAELSATRAAMSFDDFVN >fgenesh2_kg.5__2286__AT3G58450.1 pep chromosome:v.1.0:5:18894883:18896093:1 gene:fgenesh2_kg.5__2286__AT3G58450.1 transcript:fgenesh2_kg.5__2286__AT3G58450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein family protein [Source:UniProtKB/TrEMBL;Acc:D7LW42] METYVDAIGEDTAATTTTETDTNKNKKKLKVMVAIDESKNSFDALEWAVDHLRVVISAEPETGQEGGLLTLVHVHPTYLQYIYPSGGTASAVYATDSVPEPMRKAREESTTNLFTRALEICRGKMVKTETMILEGDPKEMICQAVEQTHVDLLVVGSRGLGMIKRAFLGSVSDYCAQHAKCPILIVRPSLGKSK >fgenesh2_kg.5__2290__AT3G58490.1 pep chromosome:v.1.0:5:18909793:18912109:1 gene:fgenesh2_kg.5__2290__AT3G58490.1 transcript:fgenesh2_kg.5__2290__AT3G58490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7LW46] MEGSGTWQGLILVGIVTWICASSYLKFTHKFRSLLQPWVTRQVVGGVPLILRIQKCQNGVLDAFFSGLSCVVSVPFYTAFLPLLFWSGHGRLARQMTLLIAFCDYLGNCIKDVVSAPRPSCPPVRRITATKDEEDNAMEYGLPSSHTLNTVCLSGYLLHYVLSSLEHETVSIQYYGFALACLLVVLIAFGRIYLGMHSVVDIISGLAIGVLILGLWLIVNEKIDDFITSKQNVSSFWTALSFLLLFAYPTPEHPTPSYEYHTAFNGVTLGIVTGVQQTYTQFHHEAAPRIFSPELPILSYLGRVMVGIPTILLVKFCSKSLAKWTLPTVSNALGIPIRSSMYIPKLKVDKVYASGKKTDEPKNSVGYLQKLCELLSQDSFDIDTGIRFFQYAGLAWSVVDLVPSLFSYANL >fgenesh2_kg.5__2291__AT3G58500.1 pep chromosome:v.1.0:5:18912264:18916091:-1 gene:fgenesh2_kg.5__2291__AT3G58500.1 transcript:fgenesh2_kg.5__2291__AT3G58500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LW47] MGANSLPTDATLDLDEQISQLMQCKPLSEQQVRALCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVGLKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >fgenesh2_kg.5__2293__AT3G58510.1 pep chromosome:v.1.0:5:18917141:18920998:1 gene:fgenesh2_kg.5__2293__AT3G58510.1 transcript:fgenesh2_kg.5__2293__AT3G58510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASWADVADSEKAGSKPKTSYVPPHLRNRPSEPAAAPLPQNDHAGYGGQPAGSRWAPPSSGGGGGTGGGYRNDGGRPGYGYGGGGGGGGGWNNSSGGWNRKEREVNPFGDDAELEPVFTEQENTGINFDAYEDIPVETSGGDVPPPVNTFADIDLGDALNLNIRRCKYVRPTPVQRHAIPILLGQRDLMACAQTGSGKTAAFCFPIISGIMKDQHVQRPRGSRTVYPLAVILSPTRELACQIHDEAKKFSYQTGVKVVVAYGGTPINQQLRELERGVDILVATPGRLNDLLERARVSMQRIKFLALDEADRMLDMGFEPQIRKIVEQMDMPPRGERQTMLFSATFPREIQRLAADFMSNYIFLAVGRVGSSTDLITQRIEFVQESDKRSHLMDLLHAQRETQDKQSLTLVFVETKRGADTLENWLCMNEFPATSIHGDRTQQEREVALRSFKSGRTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGIATAFFNENNAQMARLLAELMQEANQEVPEWLTRYASRASFGGGKKRSGGRFGGRDFRREGSYSRGGGGGGGGNDYYGGGGYGGGGYGGAPSGGYGAGVTSAWD >fgenesh2_kg.5__2296__AT3G58520.1 pep chromosome:v.1.0:5:18920973:18922638:-1 gene:fgenesh2_kg.5__2296__AT3G58520.1 transcript:fgenesh2_kg.5__2296__AT3G58520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMTKVRLKWVKNKNLDHVIDTETDLKAACILKDAIKRSPTGFLTAKSVADWQKLLGLTVPVLRFLRRYPTLFHEFPHARYASLPCFKLTDTALMLDSQEEIIHQSHEADTVERLCRVLMMMRTKTVSLRSLHSLKFDLGLPDNYEKTLVMKYPDHFCFVKASNGNPCLKLVKWRDEFAFSALQKRNEDNGVTGEDSSYREFKRGQSTLTFPMSFPRGYGAQKKVKAWMDEFQKLPYISPYDDSSNIDPESDLMEKRAVGVLHELLSLTIHKKTKRNYLRSMRAELDIPHKFTRLFTRYPGIFYLSLKCKTTTVILKEGYRRGKLVNPHPLTRLRDKFYHVMRTGFLYRARGLGMVSKEELLLDRPEDDLEEEGSEEEEIVEGSELEEDSEDE >fgenesh2_kg.5__2297__AT3G58530.1 pep chromosome:v.1.0:5:18922702:18925403:1 gene:fgenesh2_kg.5__2297__AT3G58530.1 transcript:fgenesh2_kg.5__2297__AT3G58530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKVTEEEEESWRREIVTSVMRLVSTRLPQTDLISLLLVSPWLYRTLISYPSIWLNIDLREMTNAGDRLLAALSLPRYRQVKHINLEFAQGVVDSHLKLVKAEYPDALLSLECLNLNGCQKISDNGIEAITSICPKLKVFSIYWNVRVTDAGIRHLVKNCRHIIDLNLSGCKSLTDKSMQLVAESYQDLESLDITRCVKITDDGLLQVLQKCSSLQTLNLYALSGFTDKAYKKISLLPDLRFLDLCGAQNLSDEGLGHIAKCNKLESLNLTWCVRITDAGVITIANSCTSLEFLSLFGIVGVTDRCLETLSQTCSTSLTTLDVNGCIGIKRRSREELLQMFPRLTCFKVHS >fgenesh2_kg.5__22__AT2G01210.1 pep chromosome:v.1.0:5:129194:131578:-1 gene:fgenesh2_kg.5__22__AT2G01210.1 transcript:fgenesh2_kg.5__22__AT2G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASLIIFVALLCNVTVISGLNDEGFALLTFKQSVHDDPTGSLSNWNSSDEDACSWNGVTCKELRVVSLSIPRKSLYGSLPSSLGFLSSLRHLNLRSNRFYGSLPIQLFQLQGLQSLVLYGNSFDGSLSDEIGKLKLLQTLDLSQNLFNGSLPLSILQCNRLRTLDVSRNNLSGALPDGFGSAFVSLEKLDLAFNQFNGSIPSDIGNLSNLQGTADFSHNHFTGSIPPALGDLPEKVYIDLTFNNLSGPIPQTGALMNRGPTAFIGNTGLCGPPLKDLCPGYELGLNASYPFIPSNNPPEDSDTSNSETKQKSSGLSKSAVIAIVLCDVFGICLVGLLFTYCYSKFCPCNRENQFGFEKESKKRAAECLCFRKDESETPSENVEHCDIVALDAQVAFNLEELLKASAFVLGKSGIGIVYKVVLENGLTLAVRRLGEGGSQRFKEFQTEVEAIGKIRHPNIASLRAYYWSVDEKLLIYDYVSNGNLATALHGKLGMVTVAPLTWSERLRIVKGIATGLVYLHEFSPKKYIHGDLKPSNILIGQDMEPKISDFGLARLANIAGGSSPTTQSNRIIQTDQQPQERQQHHHKSVSSEFTAHSSSGSYYQAPETLKMVKPSQKWDVYSYGIILLELIAGRSPAVEVGTSEMDLVRWVQVCIEEKKPLCDVLDPCLAPEADKEDEIVAVLKIAISCVNSSPEKRPTMRHVSDTLDRLPVAGD >fgenesh2_kg.5__2300__AT3G58570.1 pep chromosome:v.1.0:5:18931829:18935778:1 gene:fgenesh2_kg.5__2300__AT3G58570.1 transcript:fgenesh2_kg.5__2300__AT3G58570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSWADVSESERAPSGAWGYSRPSRTNYVPPHLRSRTPSSEFVAPSPGNDRGGYGGRGQGYGGRGSGYGGRGGVGGGWNTRSGGWDRRDTETNPFGNDGNSDPVVNEQENTVINFEAYEDIPIETSGDNVPPPVNTFAEIDLGEVLNLNIQRCKYVKPTPVQRNAIPILAAGRDLMACAQTGSGKTAAFCFPIISGIMKDQHIERPRGVRGVYPLAVILSPTRELACQIHDEARKFSYQTGVKVVVAYGGTPVNQQIRELERGVDILVATPGRLNDLLERGRVSLQMVKFLALDEADRMLDMGFEPQIRKIVQQMDMPPPGVRQTMLFSATFPREIQRLASDFLSNYIFLAVGRVGSSTDLIVQRVEFVHDSDKRSHLMDLLHAQRENGNQGKQALTLVFVETKKGADSLENWLCINGFPATTIHGDRSQQEREVALRSFKTGRTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGNSGLATAFFNDNNTSMAKPLAELMQEANQEVPDWLTRYASRASFGGGKNRRSGGRFGGRDFRRESFSRGGGGADYYGGGGGYGGVPGGGYGAMPGGYGPVPGGGYGTVPGGGYAPYGRGGGAYYGGGPGGYGTVPSQGYGPGVASAWD >fgenesh2_kg.5__2302__AT3G58590.1 pep chromosome:v.1.0:5:18942596:18945038:1 gene:fgenesh2_kg.5__2302__AT3G58590.1 transcript:fgenesh2_kg.5__2302__AT3G58590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LW55] MSLSCGDLANQNGRLVSLLNVCRKAPSFARTKALHALSITLCSVILQPVYISNNIICLYEKLGEVSLAGKVFDQMPERNKVSFNTIINGYSKYGDAEKAWGVLSEMRYFGYLPNQSTVSGLLSCASLDIRAGTQLHGLSLKYGLFMADAYVGTCLLCFYGRLELLEMAEQVFEDMPFKSLETWNHMMSLLGHHGFLKECMFLFRELVGMGACLTESSLLGVLKGVSCENDLEISKQLHCSATKQGLDCEISVVNSLISAYGKCGNTHMAERMFQEAGSWDIVSWNAIIGATAKSENPLKTLKLFVSMPEHGFSPNQGTYISVLGASSLRQLLSFGRQIHGMLIKNGCKTDIFLGNALIDFYAKCGSLEDSHLCFDYIRDKNIVCWNALLSGYSNKDGPICLSLFLQMLQMGFRPTEYTFSTTLKSCCVTELQQLHSVIVRMGYEDNDYVLSSLMRSYAKNQLMSDALFLLDWASGPTSVVPLNIVAGIYSRRGQYHESVKLISTLEQPDTVSWNIAIAACSRSDNHGEVIDLFKHMLQSNIRPDNYTFVSILSLCSKLCDLTLGSSIHGLITKTDFSCVDTFVCNVLIDMYGKCGSIRSVIKVFEETREKNLITWTALISSLGIYGYGHEALEKFKETLSLGFKPDRVSFISILTACRHGGMVKEGMDLFQKMKDYGIEPEMDHYRCAVDLLARNGYLKEAEHLIHRMPFPADAPVWRTFLDGCNRFAEEQRNTLNVVSFQ >fgenesh2_kg.5__2303__AT3G58600.1 pep chromosome:v.1.0:5:18945119:18947630:-1 gene:fgenesh2_kg.5__2303__AT3G58600.1 transcript:fgenesh2_kg.5__2303__AT3G58600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQASSSSEGKKSFTDPVTVDDNEEREAIEIVLFQVPECYVYLIPPRKTAASYRADEWDVNKWAWEGALKVVSKGEECIIKLVDKTTGELYAQAFLREGELHPVEAVIDSSRYFVLRVEEKIDGRVRHAFIGLGFRERTEAYDFQAALHDHMKYLNKKKTAEEMEQHFQNTSSVDYSLKDGETIVLQLKNRSDKDSKSKTVEKSLGNLSLEDKGKSIETTIPSIIPPPPPPGPLSPVTTAQKSPSNLPPSISLQRSSEQQDLDTKREEEEKKEDLKANDGGVEDAPDDDFGDFQAAG >fgenesh2_kg.5__2304__AT3G58610.1 pep chromosome:v.1.0:5:18947870:18951369:1 gene:fgenesh2_kg.5__2304__AT3G58610.1 transcript:fgenesh2_kg.5__2304__AT3G58610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketol-acid reductoisomerase [Source:UniProtKB/TrEMBL;Acc:D7LW57] MAAATSSIAPSLSCPSPSSSSKTLRSSKARTLALPNIGFLSSSSKSLRSLTATVAGNGIGSATGSSLSARMVSSSAVKAPVSLDFETSVFKKEKVSLAGYEEYIVRGGRDLFKHLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLVEAKSDIVVKIGLRKGSRSFEEARAAGFTEETGTLGDIWETISGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSSGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQDVDGRAADVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGIVESLFRRYTENGMSEDLAYKNTVECITGTISRTISTQGMLAVYNSLSEEGKKDFETAYSASFYPCMEILYECYEDVQSGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGEGVRKSRPAGDLGPLYPFTAGVYVALMMAQIEILRKKGHSYSEIINESVIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVAVDSGAAINRDLISNFFSDPVHGAIEVCAQLRPTVDISVPADADFVRPELRQSSN >fgenesh2_kg.5__2306__AT3G58620.1 pep chromosome:v.1.0:5:18960758:18963460:1 gene:fgenesh2_kg.5__2306__AT3G58620.1 transcript:fgenesh2_kg.5__2306__AT3G58620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYRRHSLEPSITTKFRDSLNFQRDDDVIDKPDFRELDFASPISPLRPRGSSSAAATPAPSGSSSSSSGSASGKQAVTSQFARRSHSGEFSGLSETSPVKPGSRNVNRSLKPGHRRSASAGTPLIYSGLGFSPVNNNSSRGGGSGATSPNPGVLPTGNICPSGRIMKTGMATRASVRPETLCTGTANYGHGNIIRSGGKVSHATKAAAEMGDSEEAKKAGNEMYRKGNYAEALALYDRAISLSPENPAYRSNRAAALAASGRLEEAVKECLEAVRFDPSYARAHQRLASLYLRLGEAENARRHLFFSGQCPDQADLRRLQTLEKHLRLCTEARKIGDWRTVISEIDAAIANGADSSPQLVACKAEAFLRLHQIKDSDLCLSNIPRMDHHHTQSPAKLFGMTCDAYVLCVQAQVDMALGRFESAVVKAERAMTIDHSNNPEVVSVLNNVKNLAKARTRGNELFSSRRYSEASVAYGDGLKFDAFNSVLYCNRAACWFKLGMWEKSVDDCNQALRIQPSYTKALLRRAASYGKLGRWEDAVRDYEVLRKELPGDSEVAESLQRARTALSNKSEELKYLGFNNEVEEVSTIDKFKTATSLPGISVFHFKSSSNRQSEAISPFVNTLCLRYPLVHFFKVDVEESLALAKAESIKKVPTFKIFKKGEKVKEMVCPSHQLLEDSVTHFLL >fgenesh2_kg.5__2307__AT3G58630.1 pep chromosome:v.1.0:5:18966025:18968672:-1 gene:fgenesh2_kg.5__2307__AT3G58630.1 transcript:fgenesh2_kg.5__2307__AT3G58630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LW59] MMDAVNDSFSPGSSRPSPSTLSREDCWSEEATFTLIQAWGNRYVELSRGNLRQKHWQEVANAVNDRHYNTGRNVSAAKSQPYRTDVQCKNRIDTLKKKFKVEKARVSESNPGAYISPWPFFSALDDLLRESFPTSSNPDSTDNIPSQRLSLPMAIIPVPVAPRSAIPRRPATSPAIIPHVGDDLLGFRGNLNAFAAAAAAAACPASEDDSEGSRSRSSGRSGSKKKRERETEKKQGYKEVADAIERLGQIYERVEERKRKEMVELEKQRMRFAKELECHRMQLFTEMQVRLHKLRRTSGSKGPTSSASAALDYGMIDFPSYF >fgenesh2_kg.5__2310__AT3G58660.1 pep chromosome:v.1.0:5:18983629:18985080:1 gene:fgenesh2_kg.5__2310__AT3G58660.1 transcript:fgenesh2_kg.5__2310__AT3G58660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAVSPPPQEQTEQQLVPASQTSRVSPYTVGSALNGLTKWRSEKSKTEKPQLLEQDELVYLIASLKKIPQTSRTNAYRIPLPHPLINPTEDSPELCLIIDDRPKSGLTKADVMKKIKSENIPITKVIKLSKLKTDYKAFEAKRKLCDSYDMFFADRRIIPLLPRVIGKKFFTSKKIPVAIDLKHKNWKQQIEKACGSAMFFIRTGTCSVIKVGKLSMELNEIIENVMATLNGVVELLPNKWKYVRSLHLKLSESLALPIYQSVPDLKLKIDAFATGKSVVKEEKEGEVEAADGGEKSVTGKGKKKKGRIHEVRYMDSNVAEVLDEDEIGGDEDNNVIVESEEKEEKKMKKRKEEVSEVAESVKPMKKAAKGKLKPDVEKGMMKSDVVKSKDGLKAKKTTKIAKDESGGGLKPKRKKSVLRK >fgenesh2_kg.5__2312__AT3G58670.1 pep chromosome:v.1.0:5:18985322:18987927:-1 gene:fgenesh2_kg.5__2312__AT3G58670.1 transcript:fgenesh2_kg.5__2312__AT3G58670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYFIQRLFNTCKSSLSPNGPVSEEALDKVRNVLEKIKPSDVGLEQEAQLVRNWPGPGNERNGNHNSLPAIKYLQLHECDSFSIGIFCMPPGSVIPLHNHPGMTVLSKLVYGSMHVKSYDWAEPDQSELDDPLQARPAKLVKDTDMTAPCPATTLYPTTGGNIHCFKAITHCAIFDILSPPYSSTHGRHCNYFRKSPMLDLPGEIEVMNGEVISNVTWLEEYQPPDNFVIWRVPYRGPVIRK >fgenesh2_kg.5__2314__AT3G58690.1 pep chromosome:v.1.0:5:18994688:18997778:1 gene:fgenesh2_kg.5__2314__AT3G58690.1 transcript:fgenesh2_kg.5__2314__AT3G58690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LW66] METDEAYQKKERAALVAIVVLACLALSSLFVAFSYYCYIRNKVSKRHRISKRFDCEEKGDCQKVQDVTDNGLQIFTFKQLHSATGGFSKSNVVGHGGFGLVYRGVLNDGRKVAIKFMDHAGKQGEEEFKMEVELLSRLRSPYLLALLGYCSDNSHKLLVYEFMANGGLQEHLYRTNRSGSVPVRLDWETRMRIAVEAAKGLEYLHEQVSPPVIHRDFKSSNILLDRNFNAKVSDFGLAKVGSDKAGGHVSTRVLSTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRASGEGVLVSWALPQLADREKVVDIMDPTLEGQYSTKEVVQVAAIAAMCVQAEADYRPLMADVVQSLVPLVRNRRSASKLSGCSSSFSLARSPNSPGKASIGSQ >fgenesh2_kg.5__2315__AT3G58700.1 pep chromosome:v.1.0:5:18997963:18999244:1 gene:fgenesh2_kg.5__2315__AT3G58700.1 transcript:fgenesh2_kg.5__2315__AT3G58700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L11 [Source:UniProtKB/TrEMBL;Acc:D7LW67] MASEKKLSNPMRDIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKGRYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVARRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQNITG >fgenesh2_kg.5__2317__AT3G58710.2 pep chromosome:v.1.0:5:19001767:19003563:1 gene:fgenesh2_kg.5__2317__AT3G58710.2 transcript:fgenesh2_kg.5__2317__AT3G58710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 69 [Source:UniProtKB/TrEMBL;Acc:D7LW68] MHRRAIQESDDEEDETYNDVVPESPSSCEDSKISKPTPKKSRRNVEKRVVSVPIADVEGSKSRGEVYPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPSKLMITYACDHNHPFPSSSANNKSHHRSPVVLKTAKKEEEYEEEEEELTVTAAEEPPAGLDLSHVDSPLLLGGCYSEIGEFGWFYDASISSSSGSSNFLDVTLERGLSLGQEEDESLFGDLGDLPDCASVFRRGTVATEEQHRRCDFGAIPFCDSSR >fgenesh2_kg.5__2321__AT3G58750.1 pep chromosome:v.1.0:5:19011179:19014559:-1 gene:fgenesh2_kg.5__2321__AT3G58750.1 transcript:fgenesh2_kg.5__2321__AT3G58750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase [Source:UniProtKB/TrEMBL;Acc:D7LW72] MEISQRVKARLAVLTAHLAVSDTVGLEQVLPAIEPWCTSAHITAAPHGSLKGNLTIVDERTGKKYQVPVSEHGTVKAVDLKKITTGKDDKGLKLYDPGYLNTAPVRSSICYIDGDEGILRYRGYPIEELAESSTFIEVAYLLMYGNLPSQSQLADWEFTVSQHSAVPQGVLDIIQSMPHDAHPMGVLVSAMSALSIFHPDANPALSGQDIYKSKQVRDKQIVRILGKAPTIAAAAYLRTAGRPPVLPSGNLSYSENFLYMLDSMGNRSYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVYTACAGAVGALYGPLHGGANEAVLKMLAEIGTAENIPDFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIKKLADEVFSIVGRDPLIEVAVALEKAALSDEYFVKRKLYPNVDFYSGLIYRAMGFPPEFFTVLFAVPRMAGYLSHWRESLDDPDTRIMRPQQAYTGVWMRHYEPVRERTLSSDSGTDKFGQVSISNASRRRLAGSSAL >fgenesh2_kg.5__2323__AT3G58770.1 pep chromosome:v.1.0:5:19019688:19022669:1 gene:fgenesh2_kg.5__2323__AT3G58770.1 transcript:fgenesh2_kg.5__2323__AT3G58770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDYGGFSIREYTKKVRSENVRKCWPFAGDLFQSFLPPITVSKFRWWSHELASLLTKSPVSVDDSHQAKAKSKPCKKRSIIEICATAPKIQFAEDHVVHKKIKTTKSKDSGRREFANKVNQFKEQAQQKVDHVGICSLGIKESSGVMSLSSNKAGLFSKEHDSEFQIPAIFKAKRKVCFVRSPDKSKTHVSFSDHTGNLSQLEKSTVSLELCCLEMNRLSLSGNLSRRKDPSSVNDKQVKVMSTDQTSTVMHFETRQQYKFQPAVSKSDLSCFLGPHLSSQERVNDALDLERKEHVAQPIQSFISTSSESPYRACPSFSQPVSADMHRGALLYQSPFDPLLVEWMQKSALYRQRHVGEAILGFPLNLEGDLVDANGEANRSFDRSGLCTSSSKSASAGNDLLLGNLVDFSSEKKHSTEPALAKDSAPRNEKRHKYFPARLGLDETFTEKAYFTNTNDGECGYTLHSSVSEVNPRSHTINMSKQSCVKQNLNSGKVISKRDDLCLQNTQATMRLMGQDVSVSTRTGDRFLAPDASIDYSSLGSHTHQSWLCQRTTLEVSMSPSTTTLDKNWNRALLRDTSKDPFSLFCEPHVSLASQSRAYVIPDSILNPCCSLVSLPLSDKDLNFHGSGLGLPNSFTFSQQQLPFPSNYNNVDIGLLPDARKPSFGLPFSCVDSTRRSQAPWPQSSFENSCSELSFINPSEQLTSFYGSSLSSSIINVGTTNKRVAPVEEYPMKNHKIPKLLPMQGGMNYVKEFLCRPAN >fgenesh2_kg.5__2325__AT3G58780.1 pep chromosome:v.1.0:5:19027831:19032364:1 gene:fgenesh2_kg.5__2325__AT3G58780.1 transcript:fgenesh2_kg.5__2325__AT3G58780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGSSHDGESSKKIGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVIFSTRGRLYEYANNSVRGTIERYKKACSDAVNPPSVTEANTQYYQQEASKLRRQIRDIQNSNRHIVGESLGSLNLKELKNLEGRLEKGISRVRSKKNELLVAEIEYMQKREMELQHNNMYLRAKIAEGARLNPEQQESSVIQGTTVYESGVSSHDQSQHHNRNYIPVNLLEPNQQFSGQDQPPLQLV >fgenesh2_kg.5__232__AT2G03320.1 pep chromosome:v.1.0:5:1751395:1752506:1 gene:fgenesh2_kg.5__232__AT2G03320.1 transcript:fgenesh2_kg.5__232__AT2G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LQG1] MASKVSEKLSFRKSAEEPYLPIAATTLISHGTGRVDFVFVDNEAQEIINVSPTSQELPSSTTTSISHSNERSNSLRSIVIQ >fgenesh2_kg.5__2331__AT3G58810.2 pep chromosome:v.1.0:5:19045718:19049085:1 gene:fgenesh2_kg.5__2331__AT3G58810.2 transcript:fgenesh2_kg.5__2331__AT3G58810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein A2 [Source:UniProtKB/TrEMBL;Acc:D7LW80] MEDHIHEHEHMVQICGEVSSGETSLVGIKKTCGEAPCGFSDAKTSSIEAQERAASMRKLLIAVVLCAIFIVVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWASGWKANPQQSYGFFRIEILGALVSIQMIWLLAGILVYEAIVRLNNGSGEVEGSLMFAVSAVGLLVNIAMAILLGHDHGHGGHSHSHDHGHGHSHDHDHGIAATEHHHGQRHDESHLSEVLIEQKKQRNVNIQGAYLHVLGDSIQSVGVMIGGAIIWYKPEWKILDLICTLVFSVIVLGTTIEMLRNILEVLMESTPREIDATMLEKGVCEIEEVVAVHELHIWAITVGKLLLACHVKIRPEAEADMVLDKIIDYIKREHNISHVTIQIERQ >fgenesh2_kg.5__2333__AT3G58830.1 pep chromosome:v.1.0:5:19052866:19054427:-1 gene:fgenesh2_kg.5__2333__AT3G58830.1 transcript:fgenesh2_kg.5__2333__AT3G58830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase superfamily protein [Source:UniProtKB/TrEMBL;Acc:D7LW81] MAASTSFYYPIPKSFLLSPPRHKRNPNLISCSTKPICSPPPSSSLQTTTTHRSQKQNLRLPTFEDSFLLYQFSSPTEDPGFSNRIPEQFDGEPRELVLPRVEDNNKGLAISSNMWWADLKAALGQRINVEGIVSSVSVVVKDRQLVLPHVSVKDLRYIDWGELKRKGFKGVVFDKDNTLTAPYSLAIWPPLRPSIERCKAVFGHDIAVFSNSAGLTEYDHDDSKAKALEAEIGIRVLRHRVKKPAGTAEEVEKHFGCASSELIMVGDRPFTDIVYGNRNGFLTVLTEPLSRAEEPFIVRQVRRLELALLKRWLRKGLKPVDHSLVSDVTQFVKVPSDL >fgenesh2_kg.5__2335__AT3G58840.2 pep chromosome:v.1.0:5:19055237:19056466:-1 gene:fgenesh2_kg.5__2335__AT3G58840.2 transcript:fgenesh2_kg.5__2335__AT3G58840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LW82] MADVENRAAKGISDYDQGGGKTTELERKIAEMENKSQELTKENRELKERLERLTGEIEEMKGVEAEMNQRFGEMEKEIEEYEEEKKALESVSTRAVELETEVSNLQDDLITSLNGVDKTAEEVAELKKALAEKGEKLEGYEKEAEGLRKDRAEVEKRVRDLERKIGVLEVREMEEKSKKLRLEEEMRELADEKQREIDELQKTVGALNLELVKNVEELAKRKSEKKLTEEALSVTQKREKEMELEKEDLLKKVEEGNKTVFGLNERTMKPSNGVRDTNGSDQKGSMEAEFQWPVVAAGSVGAAGLVAATLFVCYSKLR >fgenesh2_kg.5__2336__AT3G58850.1 pep chromosome:v.1.0:5:19056912:19057484:-1 gene:fgenesh2_kg.5__2336__AT3G58850.1 transcript:fgenesh2_kg.5__2336__AT3G58850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLATSHTKRSSPSPSTAVNTTSTGFNRRTRQRLSDTTASVSETDVEDEDDEEEGVDEKIEALQTIVPGGTALGVDALFEETASYILALQCQINAIKLLTAFLERGDKEDMKFGG >fgenesh2_kg.5__233__AT3G11810.1 pep chromosome:v.1.0:5:1782758:1783975:1 gene:fgenesh2_kg.5__233__AT3G11810.1 transcript:fgenesh2_kg.5__233__AT3G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPSLSPPPPVNLWIILSESKRIINAHSRHFLALSVLFLLPLCFSVTVYPSVFRLITDQSSASHNSVSLLRGLHQNDDVDTKTTVLLLIGYIVVVTVFNLLAIGSIAYSVFQGFYGRPVKFISAVKSSVASFLPLLATLISSNFIVLGVFLILGILAFLLTKLIEIIPGVYYSSSYFQGFIIVVTIMSIAITIKLYVNWILAWVVVVVESAWGLTPLKRSNSLVKGMKGVSLSIIFFFASTESILVWISTLAASAQLNDDENGGKLWTNAFFVVQIVITSAFLTLLTLYNLAATTVMYMYCKAVHGELVWEIAEEFAREYVSLPFDDGKVPHLVSVAYNNI >fgenesh2_kg.5__234__AT2G03340.1 pep chromosome:v.1.0:5:1784141:1786793:-1 gene:fgenesh2_kg.5__234__AT2G03340.1 transcript:fgenesh2_kg.5__234__AT2G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 3 [Source:UniProtKB/TrEMBL;Acc:D7LQG6] MAEKEEKEPSKLKSSTGVTRPTIALPPRPFSEMFFSGGVGFSPGPMTLVSNLFSDPDEIKSFSQLLAGAMASPAAAAVAAAAVVASAAHHQTPVSSVGDGGGSGGDVDPRFKQSRPTGLMITQPPGMFTVPPGLSPATLLDSPSFFGLFSPLQGAFGMTHQQALAQVTAQAVQGNNVHMQQSQSEYPSSTQQQQQQTSLTEVPSFSSGPRSQIRASVQEKLQGQRDTSEISVFEHRSQPQNADKPADDGYNWRKYGQKQVKGSDFPRSYYKCTHPACPVKKKVERSLDGQVTEIIYKGQHNHELPQKRGNNNGNSKSSDIATQFQTSNSSLNKSKRDQETSQVTTTEQMSEASDSEEVGNTETTVGEKHEDEPDPKRRNTEVRVSEPVASSHRTVTEPRIIVQTKSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTPDCGVRKHVERAANDPKAVVTTYEGKHNHDVPAGRTSSHQLRPNNQPNTSTVNFNQQQPVARLRLKEEQII >fgenesh2_kg.5__2355__AT3G59020.2 pep chromosome:v.1.0:5:19154114:19161268:-1 gene:fgenesh2_kg.5__2355__AT3G59020.2 transcript:fgenesh2_kg.5__2355__AT3G59020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transporter [Source:UniProtKB/TrEMBL;Acc:D7LW98] MDLPSLALIVGAAAFSPNPDERRAAEQSLNQLQHTPQHLIRILQIIVDGGSDLSVRQSASIHFKNFIAKHWEPHSGDQNKILPSDKNVVRDQILVYVSQVPPILRVQMGECLKTIIYADYPEQWPHLLDWVKHNLQEQQVYGALFVLRILSSKYEFKSDEDRAPIHRVVEETFPHLLNIFNKLVHVENPSLEVADHIKLICKIFWSCIYLELPRPLFDPNFFNAWMGLFLNILERPVPVEGQPEDPELRKSWGWWKAKKWVAHILNRLYTRFGDLKLQNPDNKAFAQMFQMNYAAKILECHLKLLNAIRIGGYLPDRVINLILQYLSNSISKNSMYNLLQPHLDTLLFEIVFPLMCFNDNDQMLWDEDPHEYVRKGYDIIEDLYSPRTASMDFVTELVRKRGKDNFPKFIQFIVDIFKRYTEAPLEDKPYHLKDGALLAVGTLCDKLRQNEPYKSELENMLVQHVFPEFSSPAGHLRAKAAWVAGQYAHINFLDQSNFSKALHCVISGMRDPELPVRVDSVFALRSFIEACKNLDEIRPVLPQLLDEFFKLMNEVENEDLAFTLETIVYKFGEEISPYALGLCQNLASAFWRCIDTDNGDDEADDAGALAAVGCLRAISTILESISSLPHLYGQIEPQLLPIMRKMLTTDGQDVFEEVLEIVSYITTFSPTISLDMWSLWPLMMEALVDWAIDFFPNILVPLHNYISRGTGHYLTCKEPDYQQNLWNVISVLMANKNIDDSDLEPAPKLLGIVLQTCKGQVDQWVEPYLRITLDRLRGAEKSSFKCLLVEVVANAFYYNAPLALGILQRFGIATEIFTLWFQMLQEKKKSGARSNFKREHDKKVCILGLTSLFNLPAGQLPGEVLPHVFRALLELLVAYKDQLAEAAKAEEEEEEEDGDDDDMDEFQTDDEDEDGDDENPDETDGGTLRKLAAQAKDFRSYSDDDDFSDDDFSDDEELDSPIDEVDPFVLFMDAVTAMQVSDSPRFQSLTQTLDPHYHGLASTIAQHTELRRAEILKEKLEKQSSATVAS >fgenesh2_kg.5__2359__AT3G59052.1 pep chromosome:v.1.0:5:19171708:19174678:-1 gene:fgenesh2_kg.5__2359__AT3G59052.1 transcript:fgenesh2_kg.5__2359__AT3G59052.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPAO3 [Source:UniProtKB/TrEMBL;Acc:D7LWA1] MESGGKTNRQLRKAICVSTDEKMKKKRSPSVIVIGGGMAGISAARTLQDASFQVVVLESRDRIGGRVHTDYSFGFPVDLGASWLHGVCKENPLAAVIGRLGLPLYRTSGDNSVLYDHDLESYALFDKAGNQVPQELVTKVGENFEHILEEISKVRDEQDEDMSIAQAFSIVFKRNPELRLEGLAHNVLQWYLCRMEGWFAADAETISAKCWDQEELLPGGHGLMVRGYRPVINTLSKGLDIRLSHRVTKIVRRYSGVKVTTEKGDTFVADAAVIALPLGVLKSGMITFEPKLPQWKQEAINDLGVGIENKIILHFDNVFWPNVEFLGVVAETSYGCSYFLNLHKATSHPVLVYMPAGQLARDIEKKSDESAANFAFSQLQKILPDASSPINYLVSRWGSDINSLGSYSYDIVNKPHDLYERLRVPLDNLFFAGEATSSSYPGSVHGAYSTGVLAAEDCRMRVLERYGELEHEMEEEAPASVPLLISRM >fgenesh2_kg.5__235__AT2G03350.1 pep chromosome:v.1.0:5:1793953:1795469:-1 gene:fgenesh2_kg.5__235__AT2G03350.1 transcript:fgenesh2_kg.5__235__AT2G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALTKVTSFKVGSSWISKKAKEELSNITNDLTTFSSTVEEKAKWVFNKLKGKPLKSLPDLLKEYNLPPGLFPQNIICYEFDETKNKLTVFFSSPCEVTFKDGSAIRYATRVKGILLRGKLMGVEGMKTKVLVWVKVTTISVESSKSDKLWFTAGVKKSRSKDVYDTPHDAIKVVGEF >fgenesh2_kg.5__2365__AT3G59070.1 pep chromosome:v.1.0:5:19179578:19181578:-1 gene:fgenesh2_kg.5__2365__AT3G59070.1 transcript:fgenesh2_kg.5__2365__AT3G59070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSRATLVVLCCLFVLIPSFTTATTEQGLHARCESYSFNNGKSFRSCTDLPVLNSYLHFNYAQETGVLEIAYRHSNLESSSWIAWAINPTSKGMLGAQALVAYRNSTSSVMRAYTSSINSYSPMLQESPLSFRVMQVSAEYFNGEMMIFATLVLPPNTTVVNHLWQDGPLKEGGRLGMHAMSGDHLKSMASLDLLSGQVTTTKSVNRNMLLVKRIHGIVNTVSWGIFMPIGVMAARYMKNYEVLDPTWFYVHVVCQTMGYFVGLIGGLGTTIYMARHTGMRTTLHTVIGLLLFALGFLQILALKARPDKDHKYRKYWNWYHHTVGYIVIVLSIYNIYKGLSILQPGSGWKIAYTTIICCIAAFAIVMEILQFKKRWAGLFCKKTKNLEADHQTASVDVCLIFANFKDTKLFFFSFDRRDGKGGREKASGGFEIQIENYNLTKNFMIPSVFVVFSPHTSPPLLAFHPYHPPPLTRLLA >fgenesh2_kg.5__2367__AT3G59080.1 pep chromosome:v.1.0:5:19183704:19185693:1 gene:fgenesh2_kg.5__2367__AT3G59080.1 transcript:fgenesh2_kg.5__2367__AT3G59080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LWA4] VTAFSGDSRALAGNNEQKKNISGFSGIDFPNPMRFGSVSSSSSNDCGFSSSENEPTMERTGENKTVKFHLKRRESTTTEKTTTNSVLELQIRDLTRIQTLHKRVLAKKNQNTVSQKQKKKNKEVVTTPVASSVEEQAGQLVATLESGMTLGSGEYFMDVLVGSPPKHFSLILDTGSDLNWIQCLPCHDCFQQNGAFYDPKASASYKNITCNDPRCNLVSPPDPPKPCKSDNQSCPYYYWYGDSSNTTGDFAVETFTVNLTTSGGSSELYNVENMMFGCGHWNRGLFHGAAGLLGLGRGPLSFSSQLQSLYGHSFSYCLVDRNSDTNVSSKLIFGEDKDLLSHPNLNFTSFVARKENLVDTFYYVQIKSIIVAGEVLNIPEETWNISSDGAGGTIIDSGTTLSYFAEPAYEFIKNKIAEKAKGKYPVYRDFPILDPCFNVSGIDSIQLPELGIAFADGAVWNFPTENSFIWLNEDLVCLAILGTPKSAFSIIGNYQQQNFHILYDTKRSRLGYAPTKCADI >fgenesh2_kg.5__2369__AT3G59090.1 pep chromosome:v.1.0:5:19186680:19190215:1 gene:fgenesh2_kg.5__2369__AT3G59090.1 transcript:fgenesh2_kg.5__2369__AT3G59090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLATLFESTKDKCYAPSFIAVNLSLAFIDAALAFIAFLQLSRFHRRNKQVGWTRQKVLHLMIGSSNTGSVVYFVAAIIATCTRWHHWSNALGFLLMAFPKILFLATFLLLLSFWVDVCHQGNGEEDDDDDEENSIQQVLLEKSNTKPGSSNASDRRKCCSFHGIHVGTRQKFVVAVIILVFILMISFAILIWIASGKNPMNSSLLAEVYVDIFAAIILITGGGICFYGLRLLFNLRKVRSEQVSAEMRKVSGLAGVSVVCFTVSSLIALLTHIPLFYHWNPSKLHGIKALVLLIIYYFIGFTVPLAFVLWVLRELPPQNIVSRQEDTRRITYVNYETVARQPPQDWASTTVSKNQVSKASPI >fgenesh2_kg.5__2372__AT3G59110.1 pep chromosome:v.1.0:5:19202982:19206492:1 gene:fgenesh2_kg.5__2372__AT3G59110.1 transcript:fgenesh2_kg.5__2372__AT3G59110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LWA7] MSSESSLSADMSKKVSFLGLKGMKLWVLICLVVGTFVVLVLCILSLWIAFRRKSRRSSHKLLPFSQIPRVAKDIRVDDRVGFQDHNENLCITNADKSSDRNSGKMMSFLGRTKSSDNDSISQCSSVHHHERACSSHSGEDGSFGTAWRQASLSQGGLVTASPLVGLPEISHLGWGHWFTLRDLQLATNRFAAENVIGEGGYGVVYKGRLINGNDVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVNRMLVYEYVNSGNLEQWLHGTMGKHSTLTWEARMKILVGTAQALAYLHEAIEPKVVHRDIKASNILIDDDFNAKLSDFGLAKLLDSGESHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVLLLETITGRDPVDYERPTNEVNLVEWLKMMVGTRRAEEVVDSRIEPPPATRALKRALLVALKCVDPEAQKRPKMSQVVRMLESDEHPFREERRNRRSRTASMEIVETTEESADTSKRPGDSENHTTKPEKTHV >fgenesh2_kg.5__2374__AT3G59140.1 pep chromosome:v.1.0:5:19211792:19216994:-1 gene:fgenesh2_kg.5__2374__AT3G59140.1 transcript:fgenesh2_kg.5__2374__AT3G59140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMRP14 [Source:UniProtKB/TrEMBL;Acc:D7LWA8] MIENFWTWFCGNHHTSNCTLGFLQICFGITLSFLTLCICFFHKESPKRIHRFFCLRIVSAVFNGIIGSLDLVLGIWVLREHSNKPLILWLVILIQGFTWLLINLVICIRGARIRKSSLRLLSIFSFFYGLVSSCLSVNNAVFGEELAVRTILDVLLLPGSVLLLLSAYKGYRFDESGESGLNEPLNVGDSSGNNEKADSDNRVSQFAVAGLFSKLSFWWLNSLIKRGNVKDLEEEDIPELREEERAETCYSLFKENLNEQKRRLGSSCQPSILKVTVLCVWRDLLTSGCFAFLKIVAVSAGPLLLNAFILVAEGNESFRYEGLVLAVLLFFSKMIESLSQRQWYFRCRIVGLRVRSLLTAAINKKQLRLNNSSRLIHSGSEIMNYATVDAYRIGEFPYWFHQLWTTSFQLLIALGILFHSVGVATFSALAVIILTVLCNAPIAKLQNKFQSELMTSQDERLKACNESLVNMKVLKLYAWESHFKKVIEKLRNIEKAYNAVLFWSSPVFVSAATFATCYFLGIPLRASNVFTFVATLRLVQDPVRMIPDVIGVTIQAKVAFSRIATFLEAPELQGGERRRKQRSEGDQNAIVIKSASFSWEEKGLTKPNLRNVSLEVKFGEKVAVCGEVGSGKSTLLAAILGETPCVSGTIDFYGTIAYVSQTAWIQTGTIRDNILFGGVIDEQRYRETIQKSSLDKYLEILPDGDQTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTASSLFQEYVMDALAGKAVLLVTHQVDFLPAFDSVLLMSDGEITEADTYQELLARSRDFQDLVNAHRETAGSERVFAVDNPSKPVKEINRVLSSQSKVLKPSRLIKQEEREKGDTGLRPYIQYMNQNKGYIFFFIASLAQVMFAIGQILQNSWMAANVDNPQVSTLKLILVYLLIGLSSVLCLMVRSVCVVIMCMKSSASLFSQLLNSLFRAPMSFYDSTPLGRILSRVSSDLSIVDLDVPFGLIFVVASTVNTGCSLGVLAIVTWQVLFVSVPMVYLAFRLQKYYFQTAKELMRINGTTRSYVANHLAESVAGAITIRAFDEEERFFKKSLTLIDTNASPFFHSFAANEWLIQRLETVSAIVLASTAFCMVLLPTGTFSSGFIGMALSYGLSLNLGLVYSVQNQCYLANWIISVERLNQYTHLTPEAPEVIEETRPPVNWPVTGRVEISDLQIRYRRESPLVLKGISCTFEGGNKIGIVGRTGSGKTTLISALFRLVEPVGGKIVVDGVDISKIGVHDLRSRFGIIPQDPTLFNGTVRFNLDPLCQHSDAEIWEVLGKCQLKEVVQEKENGLDSLVVEDGSNWSMGQRQLFCLGRAVLRRSRVLVLDEATASIDNATDLILQKTIRREFADCTVITVAHRIPTVMDCTMVLSISDGRIVEYDEPMKLMKDENSLFGKLVKEYWSHYNSADSRC >fgenesh2_kg.5__2382__AT3G59220.1 pep chromosome:v.1.0:5:19249217:19250661:1 gene:fgenesh2_kg.5__2382__AT3G59220.1 transcript:fgenesh2_kg.5__2382__AT3G59220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSDNNSVPRLVIKKVLAKLQKEGEGAIVRNGITEIDQKLLDPFVLLVEFSLSLSAGFPDHPHRGFESVTYMLQGGIIHKDPKGHKGTIQAGDVQWMTAGRGIIHSEFPEEEVNNGFQLWINLPSTDKMIEPKYKELSSSDILRAEEKGVEVKVIAGDSMGIKSPVYTRTPTMFLDFTLKPGSQTHQTVPESWTTFAYIIEGDEGVFGSLNSSAISAHHVVVFGPGDLVSVWNKSTSRSLRFLLIAGEPIGEPVVQCGPFVMNSQAEIDIAFDDYQNAKNGFEMAKC >fgenesh2_kg.5__2388__AT3G59290.1 pep chromosome:v.1.0:5:19277604:19283747:1 gene:fgenesh2_kg.5__2388__AT3G59290.1 transcript:fgenesh2_kg.5__2388__AT3G59290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAFGQTVRDLKRGVNKKVLKVPGIEQKVLDATSNESWGPHGSLLADIAHASRNYHEYQLTMGVLWKRLSDSGKNWRHVYKALTVLEYMVGHGSERVIEEIREHAYQITTLSGFQYIDSSGKDQGSNVRKKAQSLVALVNDKERIAEVREKAAANRDKYHNSMHRPSGGYGDKYDYEGRYGDRDEGRSSYGREREYGYKDDDRNSRDGDRYSRDSEDRYGRDGNRDDESRGRSRSVDNYNGSRGRSSDRERPIEDDGQSSSRYSGARADDHSQDGRGGLERKFSEQNIGAAPPSYEEAVSESRSPVYSERDGGETPQIAPPGAAASPLAENSSVDNKAADFVNESPPQQVEAFDEFDPRGSVSAARAPTAGALAPAPIPPTVVSTPVPPAPINAEMDLLGSLSDVFSPNPLAIVTSDSTSVETNGQANTGPAPSFSTSQSSTQPFDDPFGDSPFKAITSADTDPSHHQSFGVPFQPTPPTSNPDNEHNFGFGEAFSAVPSSEPGSQAPPNSSVFPQEQFDTSQSEIDILAGILPPSGPPVSLSPQPDSTMPRSQFPPNGNSYESYHHQAAPTDLNMPGQTPFGQASQQYNMVSHSKNHHEGMQFNNGGFTQQPGYAGSATSQPPQYTPGVSSHPTSENFPHQPGSATSASLQNPYATTSNSAGQFEGGNFMTQQPYGVTQQVHAAPSHIPQRTQSGPVAAYGNNNNIVGDMHQPGSAPSSSSQTPYPTTPNAPAGQFDGGNFMTQQPYGVTQQVHGVPSHIPQRTQSGPVAAHGNSNNVVGDMFSPSGLSSLETSASQPSLTPLTGAIEIVPQNQKKFEPKSTIWADTLSRGLVNFNISGPKTNPLADIGVDFEAINRKEKRLEKPTTAQQVTSTINMGKAMGSGTGLGRAGAGAMRPPTNSMVSSSMPTGMNVGGYGGMNQHQPMGMNQNHPMGMNQNLSMGMNQNHPMGMNQNYPMGMGMNMNMGGYGQGYPMQPQQGMGMAPPGPPQGMTGAYNPMMGQGGYNPQQQQPYGGGYR >fgenesh2_kg.5__2389__AT3G59300.1 pep chromosome:v.1.0:5:19285209:19289495:1 gene:fgenesh2_kg.5__2389__AT3G59300.1 transcript:fgenesh2_kg.5__2389__AT3G59300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAAASSFSLGASHCHQSYADEFSSSIPYKRNGNARNRVFDGCGSANLSVLSSRCKIPFFGSAFHVSSGGHDLGLTKVSVAADYSDSVPDSSFYGYHPLEDLKPSKRVQETKLSASEVARTTVEANSSAVLVFPGAIHCEPHDHNSWSEFKYVIDDYGDIFFEIPDDENILEDPGASNPVKAFFGMDVPRYENTRHHEEYNISDIGNLDQIIFDDHYFEIMDSEARDIPIDWGMPDTSNGVHPIYFAKHLSKAISMDYDRKMDYPSNGVSILGCLRPAFLDEESYIRRLFLSEDRDDYSWEVQGDDNPNTSSRQDENDMSSSLYRLEIVGIELLSLYGAESSISLQDFQDAEPDILVHSMSAIIERFNNRGINSSIALKALCKKKGLHAEEANLISVDSLGMDVRVFAGAQVQTHRFPFKTRATTEMAAEKKIHQLLFPRSRRRKLKSHDESLNDVYR >fgenesh2_kg.5__2392__AT3G59350.1 pep chromosome:v.1.0:5:19300647:19303204:1 gene:fgenesh2_kg.5__2392__AT3G59350.1 transcript:fgenesh2_kg.5__2392__AT3G59350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYHRRGLVANDRSPAHFVRLDKPRAVDDLYIGKREKMRRWLCCACHVEEPYHSSENEHLRSPKHHNDFGHHTRKPQAAVKPDALKEPPSIDVPALSLDELKEKTDNFGSKALIGEGSYGRAYYATLKDGKAVAVKKLDNSAEPESNVEFLTQVSRVSKLKHDNFVELFGYCVEGNFRILAYEFATMGSLHDILHGRKGVQGAQPGPTLDWIQRVRIAVDAARGLEYLHEKVQPAVIHRDIRSSNVLLFEDFKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKAVAKLAAVAALCVQYESEFRPNMSIVVKALQPLLRSSTAAAVPVQET >fgenesh2_kg.5__2394__AT3G59360.2 pep chromosome:v.1.0:5:19303300:19308142:-1 gene:fgenesh2_kg.5__2394__AT3G59360.2 transcript:fgenesh2_kg.5__2394__AT3G59360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose transporter 6 [Source:UniProtKB/TrEMBL;Acc:D7LWC1] MKNGIAECSVCHSKLVSPGSKTISRAYDDHKIRVSSKQRVLNVLLVVGDCMLVGLQPVLVYMSKVDGKFNFSPISVNFLTEIAKVIFAIVMLLIQARHQKVGEKPLLSVSTFVQAARNNVLLAVPALLYAINNYLKFTMQLYFNPATVKMLSNLKVLVIAVLLKMVMKRRFSIIQWEALALLLIGISVNQLRSLPEGATAIGIPLATGAYVCTVIFVTVPSMASVFNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILGTVIYKGPGSFDILQGHSRATMFLILNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHVITMNFLLGISIVFISMHQFFSPLAKVRDEQQQNGNLELVNAKDTHRANDSFINMAAGANEEASHRGESDDRTPLLPR >fgenesh2_kg.5__2396__AT3G59455.1 pep chromosome:v.1.0:5:19315257:19315747:-1 gene:fgenesh2_kg.5__2396__AT3G59455.1 transcript:fgenesh2_kg.5__2396__AT3G59455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINPLMFIALMVLLTSFPTPTLSYCKESLYLCIQHMKDRATWLKCCDRLIVAGPCMCKYIKDPVQKKDAYRLMASCGKTIPINQDLRKYYKCG >fgenesh2_kg.5__2398__AT3G59390.2 pep chromosome:v.1.0:5:19324659:19326833:1 gene:fgenesh2_kg.5__2398__AT3G59390.2 transcript:fgenesh2_kg.5__2398__AT3G59390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGFSLFLAVLHLMGFLFPLRVSAIRKDIGFLEERSCRTTVQGRYLISDDEGNVCDVLSLESRTRCCPWKGERFSCHGCNLLSQCCNSYEFCVSCCLNPSRTLLEKVVKVKVAKPATAGTYKSVFDFCAGRCRHNSESVVHENAYHSEFHHCFSLTSNASGANLTQVETRLLGIDVIVGSQGDSCDAVCKSRGQLCVMNKLSLLNQCDVMKRYMTCKGSCLASAGADQPAEVVEDAPRDLYPGACLYTRTQSMLSCDGSHQHTRRLCPCA >fgenesh2_kg.5__2399__AT3G59400.1 pep chromosome:v.1.0:5:19327286:19328274:-1 gene:fgenesh2_kg.5__2399__AT3G59400.1 transcript:fgenesh2_kg.5__2399__AT3G59400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LWC8] MATTNSLHHHHHSSSYTHHRHNLHSQSHFGPTSLSLKQPTSAATFSLICSASSSSSSSSSAAVSAVSTTNASATTAETATKFDVLENHLVNQNFRQADEETRRLLIQIAGEAAVKRGYVFFSEVKTISTEDLQTIDNLWTKHSDGRFGYSVQRKIWLKVKKDFTRFFVKVEWMKLLDTEVVQYNYRAFPDEFKWELNDETPLGHLPLTNALRGTQLLKCVLSHPAFATADDNSGETEEELNRGVAVAKEQTGVGADKRVFKTN >fgenesh2_kg.5__2400__AT3G59410.1 pep chromosome:v.1.0:5:19329109:19337560:1 gene:fgenesh2_kg.5__2400__AT3G59410.1 transcript:fgenesh2_kg.5__2400__AT3G59410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LWD0] MGRSSSKKKKKRGGSGRRGQLKDHGSNADEDNELLSEEITALSAIFQEDCKIVSDSRSPPQIVIKLRFSYSKDMGYEDIDISAMLVVRCLPGYPYKCPKLQITPEQGLTTADAEKLLSLLEDQANSNAREGRVMIFNLVEAAQEFLSEIIPESHDEEPVPCLTAHRSAQFIEQPMLSNKAKSCTGGPFVYGFIDLFSGLEDARNWSLTPDENRGIVSSVQSHPLDTSRILHEKSDKNLKRFVDHAKEEIALPAPTAKLNTVQEDNVDDTSISSFDSSKSTDDVESGLFQNEKKESNLQDDTAEDDSSNSESESLGSWSSDSLSQDQVPQISKKDLLMVHLLRVACTSRGPLADALPQITDELHQLGILSEEALDLASKSSPDFNRTFEHAFNQNMVSTSVPQFWEPPSDSGEPNASLPSSRYLNDFEELKPLGQGGFGHVVLCKNKLDGRQYAVKKIRLKEKEIPVNSRIVREVATLSRLQHQHVVRYYQAWFETGVVDPFAGANWGSKTAGSSMFSYSGAVSTEIPEQDNNLESTYLYIQMEYCPRTLRQVFESYNHFDKDFAWHLIRQIVEGLAHIHGQGIIHRDFTPNNIFFDARNDIKIGDFGLAKFLKLEQLDQDGGFSTDVAGSGVDSTGQAGTYFYTAPEIEQDWPKIDEKADMYSLGVVFFELWHPFGTAMERHVILTDLKLKGELPLKWVNEFPEQASLLRRLMSPSPSDRPSATELLKHAFPPRMESELLDNILRIMQTSEDSSVYDRVVSVIFDEEVLEMKSHQSSSSRLCADDSYIQYTEINTELRDYVVDITKEVFRQHCAKHLEVIPMRLLSDCPQFSRKTVKLLTNGGDMLELCYELRLPFVNWISVNQKSSFKRYEISHVYRRAIGHSPPNPCLQADFDIVGGTPSLTEAEVLKVIVDITTHIFHRGSCDIHLNHGDLLDAIWSWAGIKAEHRRKVAELLSMMGSLRPQSSERKLKWVFIRRQLLQELKLPEAVVNRLQTVASRFCGDADQALPRLRGALRADRPTRKALDELSNLLTYLRVWRIEEHVHIDVLMPPTESYHRNLFFQVFLTKENSSGTSSDGVLLAVGGRYDFLVQEVCDREYKMNLPGAVGVSLALETIFQHLPMDLRPIRNEVSTSVLVCSRGGGGLLVQRMELVAELWEKSIKAEFVPTPDPSLTEQYEYANEHEIKCLVIIAESGVAQNQIEFVKVRHLELKKEKVVGREELVKFLLDAMAVQFRNPSVWS >fgenesh2_kg.5__2401__AT3G59420.1 pep chromosome:v.1.0:5:19338036:19341418:-1 gene:fgenesh2_kg.5__2401__AT3G59420.1 transcript:fgenesh2_kg.5__2401__AT3G59420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMCERRAREWILLVKLVLFTSIWQLASALGSMSSIAISYGEGGSVFCGLKSDGSHLVVCYGSNSAILYGTPGHLQFIGLTGGDGFMCGLLMLSHQPYCWGNSAFIQMGVPQPMTKGSEYFEVSAGDYHLCGLRKPIVGRRKGSNISSSSLVDCWGYNMTRNFVFDKQLHSLSAGSEFNCALSSKDKSVFCWGDENSSQVISLIPKETKFQKIAAGGYHVCGILDGLESRVLCWGKSLEFEEEIAGTSTEEQILDLPPKEPLLAVVGGKFYACGIKRYDHSAVCWGFFVNRSTPAPKGIGFYDLAAGNYFTCGVLSGTSMSPVCWGLGFPASIPLAVSPGLCIDTPCPPGTHELSNQNNSPCKVTGSHICLPCSTSCPPGMYQKSECTERSDQVCAYNCSSCSSPDCSSNCSSSSTSGGKEKGKFWSLQLPIATAEIGFALFLVAVVSITAALYIRYRLRNCRCSENDARSSKDSAFMKDNGKIRPDLDELQKRRRARVFTYEELEKAADGFKEESIVGKGSFSCVYKGVLRDGTTVAVKKAIMSSDKQKNSNEFRTELDLLSRLNHAHLLSLLGYCEEGGERLLVYEFMAHGSLHNHLHGKNKALKEQLDWVKRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPVDSGSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMHYEEGNIVEWAVPLIKAGDINALLDPVLKHPSEIEALKRIVSVACKCVRMRGKDRPSMDKVTTSLERALAQLMGNPSSEQPILPTEVVLGSSRMHKKSWRIGSKGSGSENTEFRGGSWITFPSVTSSQRRKSSASEGDVAEEEDGRKQQEALRSLEEEIGPASPGQSLFLHHNF >fgenesh2_kg.5__2403__AT3G59440.1 pep chromosome:v.1.0:5:19347278:19348223:1 gene:fgenesh2_kg.5__2403__AT3G59440.1 transcript:fgenesh2_kg.5__2403__AT3G59440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVFLPYNLFNSFLLCLVPKKLRVFFPPSWYIDDKNPPQSESPGRRDPVDLKRVFQMFDKNGDGRITKEELNDSLENLGIFMPDKDLVQMIQKMDANGDGIVDIKEFESLYGSIVEEKEEEDMRDAFNVFDQDGDGFITVEELKSVMASLGLKQGKTLECCKEMIKQVDEDGDGRVNYMEFLQMMKSGDFSNRS >fgenesh2_kg.5__2404__AT3G59455.1 pep chromosome:v.1.0:5:19353765:19354255:1 gene:fgenesh2_kg.5__2404__AT3G59455.1 transcript:fgenesh2_kg.5__2404__AT3G59455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINPLMFIALMVLLTSFPTPTLSYCKESLYLCIQHMKDRATWLKCCDRLIVAGPCMCKYIKDPVQKKDAYRLMASCGKTIPINQDLRKYYKCG >fgenesh2_kg.5__2405__AT3G59480.1 pep chromosome:v.1.0:5:19359059:19360595:1 gene:fgenesh2_kg.5__2405__AT3G59480.1 transcript:fgenesh2_kg.5__2405__AT3G59480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-type carbohydrate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LWD7] MAASNGEKSLIVSFGEMLIDFVPTVSGVSLADAPGFIKAPGGAPANVAIAISRLGGRAAFVGKLGDDEFGHMLAGILKQNGVSAEGINFDTGARTALAFVTLKSDGEREFMFYRNPSADMLLRPDELNLDLIRSAKVFHYGSISLIVEPCRSAHLKAMEVAKEAGALLSYDPNLRLPLWPSKEEAQKQILSIWDKAEVIKVSDEELMFLTGSDKVDDETALSLWHSNLKLLLVTLGDKGCRYYTKSFRGSVDPFHVNAVDTTGAGDSYVGALLCKIVDDRAVLEDEARLREVLRYANACGAITTTKKGAIPALPTESEVQSLLKGN >fgenesh2_kg.5__2407__AT3G59490.1 pep chromosome:v.1.0:5:19360668:19362317:-1 gene:fgenesh2_kg.5__2407__AT3G59490.1 transcript:fgenesh2_kg.5__2407__AT3G59490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPSSEELLQFLSSCLSQIKWRLKITSKRRLEIDVLALCTGMRPVVMIDYGGKMPELQNRLLSLLELIREGLPVFKDLKVMVIEDMIYLINVRRLPLFVSSSLNSEPELFFIDLEQDPPKMVEQSKESNLGMQLRSIQKLFSLRFPLDDSNTGSTTALDEANSSQSSLCIDLSCCLQDTKVTIPTLNGWLLDYPVVYLFGTDHIEEAIYNLSTKSLRIFKVLVCSNATTEDSHLEELTSAI >fgenesh2_kg.5__2410__AT3G59520.1 pep chromosome:v.1.0:5:19369859:19371235:1 gene:fgenesh2_kg.5__2410__AT3G59520.1 transcript:fgenesh2_kg.5__2410__AT3G59520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid family protein [Source:UniProtKB/TrEMBL;Acc:D7LWE1] MGRPLFYDIIEKPATSCIVTICSVIWFVIQKKSIGYSQVGLSYETAIEGHYWRMITSALSHISVLHLVFNMSALWSLGVVEQLGHVGLGTAYYLHYTLVLVVFSGVLVIGIYHLLIARFKIDYFRRVTAVGYSCVVFGWMTILSMKQPSSKLDLFGLLSLPISFAPFESLIFTSIIVPQASFLGHLSGILVGYAISWGLIGGMNNYWALTMLGWIVVVFVFSLKKSGAYDFSFLEIESLTDASLPSVRFIGNGRTLQASAVPLSGVEVV >fgenesh2_kg.5__2413__AT3G59540.1 pep chromosome:v.1.0:5:19378822:19380022:-1 gene:fgenesh2_kg.5__2413__AT3G59540.1 transcript:fgenesh2_kg.5__2413__AT3G59540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L38 [Source:UniProtKB/TrEMBL;Acc:D7LK18] MPKQIHEIKDFLLTARRKDARSVKIKRSKDIVKFKVRCSRYLYTLCVFDQEKADKLKQSLPPGLSVQDL >fgenesh2_kg.5__2414__AT3G59550.1 pep chromosome:v.1.0:5:19380271:19383870:1 gene:fgenesh2_kg.5__2414__AT3G59550.1 transcript:fgenesh2_kg.5__2414__AT3G59550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTLLARKGPLGTVWCAAHVQHRLKKSQYTAVNIPDTVDNIMFPEAPLALRLSGHLLFGVVRIYSKKVDYLYNDWNLLNTWVAKAFVSSQVNLPEDARQAPPESVTLPQALNLDEFDLEDDRLDMEFDNHTRSEEDITLTDQIPTGIDPYVAVTFDEDIISESIPMDVDQSTEPVSGHNGETDVETAHEAGQDNEPRDSNIAFDTGTYSPRNVTEEFPEVQDPRQSNLTEEINPNTERNDANSPGNVPEIEKRRDAGHDLSPTSHPSFAAEQQNIRVERTESLDETLNEKEPTIPSIDEEMLNSGRHSAFELRSGSPGSAGSEEERADFVHPSPQLVLQPSPPPPPQRRKRKRKNFDEVHVLTNRYIRERLEDPSDTLRKRKKMPSSKVNVWRMNNQSRKDQKFDEPLFTGFSDVLGRVFEKDYVASKPYLAVSDETLPEPSSVASPTREAETEINPVSPIPRVIVPDSTNPDSTVQLSPVQQTDDAQDFTGPRPTHAESVATEAQSPQTFDNDDIGIEHLRDGGFPVYMPSPPPRSSPFRTNDFTTQPGTWETESYRTEPSTSTVPEDLPGPRNLGLSPVSETTNEELYFLEVGGNSPVGTPSQDSDALTGRARALAQYLRQRSSSSPTSSHPSGDLSLSEILAGKTRKLAARMFFETLVLKSRGLIDMQQDRPYGDIALKLMPALFSKVQT >fgenesh2_kg.5__2415__AT3G59570.1 pep chromosome:v.1.0:5:19389763:19394087:-1 gene:fgenesh2_kg.5__2415__AT3G59570.1 transcript:fgenesh2_kg.5__2415__AT3G59570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RabGAP/TBC domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LWE7] MISGGEGKKWTCRKPALVSLQKVVASLLRDLSQPCLSQSGIQVVLTIGKMLKPQKWQAFFDCDGKVSGFHKALKLIILGGIDPSIRAQVWEFLLGCYALGSTSEYRRQLRVARRERYNELLKQCQMMHSSVGTGSLAYVVGSKVMDMRKSYKNEAVKEAIDEGREACLDGNENTEDHRDWSNNDTNKSHVHRRGSSSESVDLVSPDSTVYETSPFVPASSPYGFPSPGPFADDIFDFPSLPVTDLFGRSSLGKKGISTPDEETSTHSDLRSEDEGMHSFRIYKNADLVIEPHGSSNSNVTVHHESVEKLSHSGCTSEIVDGLRISDVPETPSASETPTRGGSVKKDRVSEWLWTLHRIVVDVVRTDSHLEFYEDPGNLGRMSDILAVYAWVDPATGYCQGMSDLVSPFVFLFEDNADAFWCFEMLIRRTRANFQMEGPTGVMDQLQSLWRILQLTDKEMFSHLSRIGAESLHFAFRMLLVLFRRELSFNEALRMWEMMWAADFDESFAETLEKDCLKPLVVQLPKRSGVDMGDHKIDDGNGTTTNCEPTSKCDRTSKSSLLSKSGLLPESGPLPKTGPLSDGTGMKPAVSSCGKGDDPLPVFCVAAILIMNRHKIMKETHSIDDMIKIFNDKLLAIRVRRCIRTAIKLRKKYLYKNQVIKIESHTLSQNHKQHQIQNQTAMENQILEEFQSHDENCSQRPSLCNSHVP >fgenesh2_kg.5__2419__AT3G59630.1 pep chromosome:v.1.0:5:19412365:19442309:-1 gene:fgenesh2_kg.5__2419__AT3G59630.1 transcript:fgenesh2_kg.5__2419__AT3G59630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diphthamide synthesis DPH2 family protein [Source:UniProtKB/TrEMBL;Acc:D7LWF1] MELEFESKYEINRTAEFLISKSFTRIALQFPDELLKDSTKVVSALKSKTRLLTDREIRFFVMADTTYGSCCIDEVGALHIDAECVVHYGRTCLSPTSVLPAFFVFGKASINVSSCVKHLIDYASKRDKPIMILYGLEYAHVIPHIREELGLSKTGSQLKLSVGNVLCSFISPSRDPREPMEHPRPLSESDSSDSLSSSRSYRLGGLTWDLPEGSKIEDYLLFWIGSDSSAFANVVLTFNGCDIVRYDAEEDSLVTEFYQQRRILKRRYYLVEKAKDANIIGILVGTLGVAGYLHMIHHMQALISAAGKKSYILAMGRPNPAKLANFPECDVFIYISCAQTALLDSKEFMSPVITPFEANLAFSRGSEWTGAYLMHFQDVINSVKSESEAHSGSEEPRFSFFQGGYVEDHKTNDQAKNGEEDTGETMALVQAAEKALQLRGNDHNSLTKQTAAKSGPEYFLRRAYRGLEINSENTSPEPYIVGRSGKASGYKHE >fgenesh2_kg.5__2420__AT3G59640.2 pep chromosome:v.1.0:5:19444934:19446687:1 gene:fgenesh2_kg.5__2420__AT3G59640.2 transcript:fgenesh2_kg.5__2420__AT3G59640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein [Source:UniProtKB/TrEMBL;Acc:D7LWF3] MSSTQANLCRPSLFCARTTQTRHVSVASFKSSLRFDYRPLPKLTIRASASSSSMSTLFSPLQNHRCRNQRQGPVLCLLGGKDKSNGSNEISSPWKAIENAMGKKSVEDMLREQIQKKDTGGIPPQGGGGGGGRNGGSNGSGGSSGEDGGFASFGDETLQVVLATLGFIFLYIYIINGEELFRLARDYIRYLIGRPKSVRLTRVMEGWSRFFERMSRKRVYNEYWLKIKRSSTRLPGMITRANTDAS >fgenesh2_kg.5__2422__AT3G59650.1 pep chromosome:v.1.0:5:19459649:19460741:1 gene:fgenesh2_kg.5__2422__AT3G59650.1 transcript:fgenesh2_kg.5__2422__AT3G59650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ribosomal protein L51/S25/CI-B8 family protein [Source:UniProtKB/TrEMBL;Acc:D7LWF9] MALRGVWQLKKLVVSYCNWGGSSRGIRAFMESELPALKEKNPQLEVVTELSRGQHPYLKGIYRNRNERVVCVKNMDPEEVLLNATRLRNSLGRKVVKLRTRHVTKHPSVQGTWTTAVKF >fgenesh2_kg.5__2423__AT3G59660.1 pep chromosome:v.1.0:5:19460758:19465410:-1 gene:fgenesh2_kg.5__2423__AT3G59660.1 transcript:fgenesh2_kg.5__2423__AT3G59660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LWG0] MVQSAVEVLLPSPWEIEVAVVASVFLIASYWLFAYRGGGDDDVVGVGFDRSRLMQNLDSGDAIFDKDKIGQMRGDTQTNAAYIIKVELLAAKNLIGANLNGTSDPYAIVNCGSEKRFSSMVPGSRNPMWGEEFNFPTDELPVKISVTIHDWDIIWKSTVLGSVTINVEREGQTGPVWHSLDSPSGQVCLNINAIKLPVNAPRAVTGYAGAGRRRVTLDQQGPTIVHQKPGPLQTIFDLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVVVPLGDIDEIRRSQHALINPAITIILRMGAGGHGVPPLGTPDGRVRYKFASFWNRNHTLKALQRAVNNYHAMLEVEKKERAESALRAHSSSVKGGGKVQVKAPEDTAAVPVKFQAFIKEEVLVNIYNDVFASTPEQVLNVLLADDSTYTNEYRSARKDKNLNIEPWHTAEEYDGQVREIKFRSICNSPMCPPDTAVTEWQHVVLSPDKKVLVFETVQQPHDVPFGSYFEVHCRWRLEAKDETSSVIDIRVGVHFKKWCLMQSKIKAGAIDEASFLSDAFVFDTLHKVALSYLSSHTHPQVALVI >fgenesh2_kg.5__2424__AT3G59670.1 pep chromosome:v.1.0:5:19467579:19470965:1 gene:fgenesh2_kg.5__2424__AT3G59670.1 transcript:fgenesh2_kg.5__2424__AT3G59670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVEPHMELNQESEQFNAEKLSPKKEQSPGGDHEECGLTSANTSEETVTSVSGGEELDVDIVESDENNASTTDEDPNATEYSSSFSDTASENADMLLDGLTGEAEVESHYWDETDLGPAYDSFSSIFHFRKKRLTNHWRRFIRPLMWRSKWVELRIRELESRALEYPKELESYDQEKLEANIDPSVLESCGEGIKSLPFSNPCYKKRAAKRRRKRKKVESTDDITSYMACHNLFSYIETKRLSSDGMGLADDFGDAKDPQSDSKEPVDLDDDDSLFHHREGDNVLEEVLWKIELVHSQVHRLKTQVDVVMSKNAARFSSSENLSLLAASSAPSPTVSAGGNGDVISIGAIYNASQHMADVLGDLVFSSQGVISSYGDAFHIPDIIESTVGLFADADVTLNHPQIGDSCEDILDNILIRNGVAEEMNSDLMETSCHDEAEKAEEGEGTSVPPLQQTEETEQYSQEEKSLVLQGREDSVLRSCLASEMLVPRNKRTRGGERKASSWCKKHLSDPESQ >fgenesh2_kg.5__2427__AT3G59690.1 pep chromosome:v.1.0:5:19479166:19482108:1 gene:fgenesh2_kg.5__2427__AT3G59690.1 transcript:fgenesh2_kg.5__2427__AT3G59690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 13 [Source:UniProtKB/TrEMBL;Acc:D7LWG3] MGKKGNWFSAIKRVFTPHSKEKQLSNNNQEPERKSENKEKKKKGFGKKIRNGETNSFLPIFGQPSSIEKILCEAEREHNLVFRPPSPTDRTKASSTSVPSPSVRLASPKVPSQRYVSSPKPISPRVASPRVPSPKPPSPRAVSPKIVLQREFVHRPEPSLLVKNAYATKIQAAFRGYMARRSFRALKGLVRLQGVVRGHSVKRQTMNAMKYMQLLVRVQTQVQSRRIQMLENRAKNDKDDTKLASSLASEDWDDSVLTKEEKDARLHRKIDAMIKRERSMAYAYSHQLWKNSPKSAQDIITSGFPLWWNWVDRQKNQNQPFRLTPTRPSPSPQPQSSSQNHFRLNNSFDTSTPNSSKSTFVTPSRPIHTPQPYSGSVSRYSRGGGRATQDSPFKDDDSLTSCPPFSAPSYMAPTVSAKAKLRANSNPKERMDRTPVSTNEKRRSSFPLGSFKWNKGSLFMSSNSNNKGPGSSSSGAVVLEKHKTLKSVGNLSIDSTVSMPATIGRRAFNRFA >fgenesh2_kg.5__2429__AT3G59710.1 pep chromosome:v.1.0:5:19485518:19489259:-1 gene:fgenesh2_kg.5__2429__AT3G59710.1 transcript:fgenesh2_kg.5__2429__AT3G59710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LWG5] MDVNNLPIYILITYIIYGIIHEWWSEETTAVVTGANKGIGFAVVKRLLELGLTVVLTARNAENGIQAADSLRLTGFRNVHFGCLDISDPSSIAAFASWFRHNFGVLDILVNNAAVSFNAVGENLINEPETIIKTNFYGPKLLTEALLPLFRRSVSVSRILNMSSRLGTLNKLRSPSIRRILESEDLTNEQIDATVTQFLQDVKSGTWEKQGWPENWPDYAISKMALNAYSRVLARRYDGKKLSVNCLCPGFTRTSMTGGQGTHTADEAAATVAKLVLIPPEKLTSGKFYICLEPKKIIAKL >fgenesh2_kg.5__2436__AT3G59800.1 pep chromosome:v.1.0:5:19516823:19518846:-1 gene:fgenesh2_kg.5__2436__AT3G59800.1 transcript:fgenesh2_kg.5__2436__AT3G59800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRSRVGSSSAQPDEVEDGMVDGSFHTPEWHAARLASLKTTHTITWEEYKQKQKEEEMKKGELEADTDKLMREYRAQLDAERSLKLSKGRNYSSDKSRKDKKDRDSKKKKSKKRKHYSSSESSSSSDEDESRRSRSSSKRSKKERKHKSSRDKHSSKSKDETDGPVPLSKFFGNLKN >fgenesh2_kg.5__2437__AT3G59810.1 pep chromosome:v.1.0:5:19519010:19520275:1 gene:fgenesh2_kg.5__2437__AT3G59810.1 transcript:fgenesh2_kg.5__2437__AT3G59810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGEKVSGTTKTPADFLKSIRGRPVVVKLNSGVDYRGILTCLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTVKMTVADGA >fgenesh2_kg.5__2439__AT3G59820.2 pep chromosome:v.1.0:5:19536073:19539832:-1 gene:fgenesh2_kg.5__2439__AT3G59820.2 transcript:fgenesh2_kg.5__2439__AT3G59820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIVRRKNIISDYLNVYARSIQSFQSIGNSSQTVHSHAYHSGVNRPPVETKRVTEHKSFTRRDGLLLLSRNGYFNRSFHGFHSSGIGYGTSEVGPSLGMRYVSLSIRNATTVAAKQPEEEDKKVDELAKNRKEASPEECDQAVESLSSVKAKAKAKRLQESKKVARSIVQRAWAIVLKIGPALRAVASMSRADWAKKLTHWKQEFVSTLKHYWLGTKLLWADTRISSRLLLKLAGGKSLSRRERQQLTRTTADIFRLVPFAVFILVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLLARIEYAKFLQETAKEMAKEVKHSRTGEVKKTAEDLDEFLDKVRRGQIVQNDELLGFAKLFNDELTLDNISRPRLVSMCKYMGISPYGTDAYLRYMLRKRLRSIKEDDKLIRAEGVDSLSEAELREDCRERGMLGLVSVEEMRQQLRDWMDLSLNHSVPSSLLILSRAFTVSGRVKAEDAVRATLSSLPDEVVDTVGITSLPSEDPVSERRRKLEYLEMQEELIKEEEEKEEEELTRIKDVKGGEEDKALQEMTIPTASEAQEQARARVLEQQDDLCKLSRALGVLASASSVCREREEFLRLVKKEMEFYNTMVEREDVDGEKAAMKAYKAAREDIDQADEVAEADEVSSALMEKVDGLIQNLEKEIDDVDIKIGKGWQLLDRDRDGKVTPDEVAAAAMYLKDTLAKEGLQQLISSLSKDKEGRIMVEDIVRLGRLGSKPEENVTEEESN >fgenesh2_kg.5__2441__AT3G59840.1 pep chromosome:v.1.0:5:19543615:19544309:-1 gene:fgenesh2_kg.5__2441__AT3G59840.1 transcript:fgenesh2_kg.5__2441__AT3G59840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSWFTGSPKPSQSETSKPEMIQMPKSETPAPGMNGAIEVPRPDRATVFEFGSVAATGDRVTLAGYCPVSDDLEPCRWEILPADGKDAPQFRVVF >fgenesh2_kg.5__2442__AT3G59850.1 pep chromosome:v.1.0:5:19550977:19555968:-1 gene:fgenesh2_kg.5__2442__AT3G59850.1 transcript:fgenesh2_kg.5__2442__AT3G59850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLLTVLLFLLSIPSSSAQTYNILSYGAKPDGKTDSTKALTAVWAKACASVKPVTILVPKGRFFLRSIIFDGAKCKRKSVTFRIQGTLVAPSDYRIIGKENYWILFQHLDGLSVYGGVLDAQGTSLWSCKKSGKNCPSGATSIGFQSSSNVVISGLTSLNSQMFHVAINGCRNVKLEGVKVSADGNSPNTDGIHVQSSSTVSILNSKISTGDDCVSIGPGTNGLWIENVACGPGHGISIGSLGKESVEAGVQNITVKTATFTGTENGVRIKSWARPSNGFAKNIRFQHCVMNNVQNPIVIDQNYCPGNENCPNQVSGIKISDVMFFDIHGTSATEVGVKLDCSSKKPCTGIRIQDVKLTYRNKPATTDCSHAGGSEAGFQRPNSCL >fgenesh2_kg.5__2445__AT3G59890.1 pep chromosome:v.1.0:5:19578809:19581428:-1 gene:fgenesh2_kg.5__2445__AT3G59890.1 transcript:fgenesh2_kg.5__2445__AT3G59890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrodipicolinate reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LWI7] MAANGLMAASNVFLHRPENLNFSFTSRTNQMAPLGVKGRVGFMGNVKLCSTVVLSMAKSETLEEAGKSVAPGNGISIMVNGCSGKMGKAVIKAADSAGVNIVPTSFGSAEEAGQRVEVCGKEILVHGPTEREKVLSSVFEKYPELIVVDYTIPSAVNDNAELYGKVGVPFVMGTTGGDRTRLYKTVEESKIYAVISPQMGKQVVAFLAAMEIMSEQFPGAFAGYSLEVMESHQASKLDASGTAKAVISCFQKLGVSYDMDQIQLIRDPKQQIEVVGVPEEHVSGHAFHLYHLTSPDKTVSFEFQHNVCGRSIYAEGTVDAVLFLAKKIRSKAEKRIYNMIDVLREGNMR >fgenesh2_kg.5__2446__AT3G59900.1 pep chromosome:v.1.0:5:19584754:19585637:1 gene:fgenesh2_kg.5__2446__AT3G59900.1 transcript:fgenesh2_kg.5__2446__AT3G59900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGRNNRKNMSFRSSPEKNKQELRRSFSAQKRLMIPANYFSLESLFLLVGLTASLLILPLVLPPLPPPPFMLLLVPIGIMVLLVVLAFMPSSDSNNANRDVTCNFM >fgenesh2_kg.5__2447__AT3G59910.1 pep chromosome:v.1.0:5:19585733:19588950:-1 gene:fgenesh2_kg.5__2447__AT3G59910.1 transcript:fgenesh2_kg.5__2447__AT3G59910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGVCDNIKLTDQMHPDEPFCSEMKTETIASSQKTEGGEGSNVVFSREAPLIGKDPAGTNSGECCGCSANKLNFKENDDLIEKENIEQLKKLNRQERIELGRLFQGAVTSLDWELADRLIQLADPQTLNDLLCVGLDSVWFLSTNPEFQRITGLIKKIICHGAHDFTRATLRTSFLASCVSACQSRTMSLADTVTVMAQRLHERLQECNGDEILKAEAGAKVQKFTEWALKCIGFHSRCQGAKDRVSQNSAAEIELQLSAFKMFLDLAGNHLSGRDFTEAFDAACFPLTLFSNSFDPGWASGMSATVIHGLLGMLVEGGADNVNQCFLEASRFGSTELVRVLLQIAQRNSLDVDVDLALGFASHYCKIGTMKCLVEEGNAIAFLGPLMRAAERGCMQVVQWFVKRGCRDMELCLALTAATSSCQVEVAAYLLPRVPPPVLTALSIEILKAAGERSGGSLQGVEFLLKSDFLGDSTATYSVADSIARSSEDESVPSDLKSFLQEHWSESAFEKGMRESHENFMNFMRVLKKGESAISLRDLPAPLRVAIAYMPLYRECMKGDGRLLSQRLRGQLVEAVRQLQGCAVAVVEVSQTRNLMAVLEHHLTAIFD >fgenesh2_kg.5__2448__AT3G59920.1 pep chromosome:v.1.0:5:19592201:19595510:1 gene:fgenesh2_kg.5__2448__AT3G59920.1 transcript:fgenesh2_kg.5__2448__AT3G59920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine nucleotide diphosphate dissociation inhibitor [Source:UniProtKB/TrEMBL;Acc:D7LWJ0] MDEEYEVIVLGTGLKECILSGLLSVDGVKVLHMDRNDYYGGESTSLNLNQLWKKFRGEEKAPEHLGASRDYNVDMMPKFMMGNGKLVRTLIHTDVTKYLSFKAVDGSYVFVKGKVQKVPATPMEALKSSLMGIFEKRRAGKFFSFVQEYDEKDPKTHDGMDLSRVTTKELIAKYGLDGNTIDFIGHAVALHTNDQHLDQPALDTVLRMKLYAESLARFQGTSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDEEGKVTGVTSEGETAKCKKIVCDPSYLPNKVRKIGRVARAIAIMSHPIPNTNDSHSVQVIIPQKQLARKSDMYVFCCSYSHNVAPKGKFIAFVSTDAETDNPQTELKPGTDLLGPVDEIFFDMYDRYEPVNEPELDNCFISTSYDATTHFETTVADVLNMYTLITGKQLDLSVDLSAASAAEE >fgenesh2_kg.5__2450__AT3G59940.1 pep chromosome:v.1.0:5:19603592:19605029:1 gene:fgenesh2_kg.5__2450__AT3G59940.1 transcript:fgenesh2_kg.5__2450__AT3G59940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LWJ2] MGVSKKKSGEIRGDLIPGLPEELAIECLVRVPFLFHSSMNSVCRSWKCVISSRSFIKERISFGKAESLLCLVQPLTSPPSPVMIDGGEMSEKQKKEEEEGESQMTQQPRVTGTPLYGLNVYNATLDTWHRVAIPERIPLFCECVAIQDAGKVLLIGGWDPETLQPLRDVFVLDFFAGEGSGRRWRRGKPMSAARSFFACASIGSTKVYVAGGHDDQKNALRSAEVYDVEKDEWSTIPPMTEGRDECHGFSMATDFGFCVLSGYGTETQGQFRSDGEIYDPITNSWSTIENVWPFPDLSPRGRTAAAAAESAGDFRGCRLWCFIDSERQSQPRWEIEDDSMKWKVVMETIRLPVTTMTSVFAESLSGQAVAMIGGGGEESGTMMMKTTAENNGGKWSHVNTPSGFSSLPFSCSSIYV >fgenesh2_kg.5__2452__AT3G59950.1 pep chromosome:v.1.0:5:19604987:19608475:-1 gene:fgenesh2_kg.5__2452__AT3G59950.1 transcript:fgenesh2_kg.5__2452__AT3G59950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine protease [Source:UniProtKB/TrEMBL;Acc:D7LWJ3] MKAICDRFVPSKCSSSCTSEKRDISPTSLVSDSPSSDDKSNLTLCSDVVESSSPVSQPCREASTSEHKQVCTTHNSWTVILKTASMASGAIRRFQDRVLGPSRTGISSSTSEIWLLGVCYKISEAESFEEADAGRVLAAFRQDFSSLILMTYRRGFEPIGDTTYTSDVNWGCMLRSGQMLFAQALLFQRLGRSWRKKDSEPPNEKYLEILELFGDTEASAFSIHNLILAGESYGLAAGSWVGPYAVCRSWESLARKNKEETDVKHKSFSMAVHIVSGSEDGERGGAPILCIEDVTKTCLEFSEGDTEWPPILLLVPLVLGLDKVNPRYIPSLIATFTFPQSLGILGGKPGASTYIVGVQEDKGFYLDPHDVQQVVTVKKENQDVDTSSYHCNTLRYVPLESLDPSLALGFYCQDKDDFDDFCIRATKLAGDSNGAPLFTVTQSHRTNDCGIAETSSSTVISTEISGEEHEDDWQLL >fgenesh2_kg.5__2454__AT3G59960.1 pep chromosome:v.1.0:5:19611430:19613455:1 gene:fgenesh2_kg.5__2454__AT3G59960.1 transcript:fgenesh2_kg.5__2454__AT3G59960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LWJ4] MSSSKKGSDRNQIRKSLRKLKKQIGELEKLESPPEPLNKGKPIFIKRNIYLKKKFKKRVKDHGIFCSCSLDPGSSTICGSDCNCGILLSSCSSSCKCSSECTNKPFQQRHIKKMKLVQTEKCGYGIVADEDINSGEFIIEYVGEVVIDEKICEERLWKLNHKVEKNFYLCQINWNMVIDATHKGNKSRYINHSCNPNTEMQKWIIDGETRIGIFATRFINKGEQLTYDYQFVQFGADQDCYCGAVCCRKKLGAKPCKTKRTTSEEAVKPVACKVTWKTPKIIWIYFRQANLDASGKAWNNISQRKICCRDCIGAAVVIKGQFLTVVVDIFQVMYEDGVTEIIDMCREVWMIVTA >fgenesh2_kg.5__2455__AT3G59970.3 pep chromosome:v.1.0:5:19614276:19617426:1 gene:fgenesh2_kg.5__2455__AT3G59970.3 transcript:fgenesh2_kg.5__2455__AT3G59970.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylenetetrahydrofolate reductase [Source:UniProtKB/TrEMBL;Acc:D7LWJ5] MKVVDKIKSVTEQGQTAFSFEFFPPKTEDGVENLFERMDRLVSYGPTFCDITWGAGGSTADLTLEIASRMQNVICVETMMHLTCTNMPIEKIDHALETIRSNGIQNVLALRGDPPHGQDKFVQVEGGFACALDLVNHIRSKYGDYFGITVAGYPEAHPDVIEANGLATPESYQSDLAYLKKKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGINCPIVPGIMPISNYKGFLRMAGFCKTKIPAELTAALEPIKDNDEAVKAYGIHFATEMCKKILAHGITTLHLYTLNVDKSAIGILMNLGLIDESKISRSLPWRRPANVFRTKEDVRPIFWANRPKSYISRTKGWNDFPHGRWGDTRSASYSTLSDYQFTRPKARDKKLQQEWVVPLKSIEDVQEKFKELCIGNLKSSPWSELDGLQPETKIINEQLGKINSNGFLTINSQPSVNAAKSDSPAIGWGGPGGYVYQKAYLEFFCSKDKLDTLVEKSKAFPLITYMAVNKAENWVSNTDESDVNAVTWGVFPAKEVIQPTIVDPASFKVWKDEAFEIWSRSWAKLYPEDDPSRKLLEEVKNGYYLVSLVDNDYINGDIFAVFA >fgenesh2_kg.5__2459__AT3G59990.3 pep chromosome:v.1.0:5:19618656:19621627:-1 gene:fgenesh2_kg.5__2459__AT3G59990.3 transcript:fgenesh2_kg.5__2459__AT3G59990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 2 [Source:UniProtKB/TrEMBL;Acc:D7LWJ7] MASESPDVVVVVAPVVENGGAESSNGKDEQLESELSKKLEIAEDAKEDNDEEEGSKAEASTKKKKKKNKSKKKKELPQQTNPPSIPVVELFPSGEFPEGEIQEYKDENLWRTTSEEKRELERLEKPIYNSVRQAAEVHRQVRKYVRSIVKPGMLMTDICETLENTVRKLISENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGHIIDCAFTVAFNPMFDPLLAASREATYTGIKEAGIDVRLCDIGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDARHVPLRLPRAKQLLATINKNFSTLAFCRRYLDRIGETKYLMALKNLCDSGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVLSKGDDY >fgenesh2_kg.5__245__AT2G03460.1 pep chromosome:v.1.0:5:1855391:1856435:-1 gene:fgenesh2_kg.5__245__AT2G03460.1 transcript:fgenesh2_kg.5__245__AT2G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRSQVGSCYLESSSSVSVLDCRSHTWREAPSLREGLCSVSASVIDRKIYVAGRSIDGDNNSKNSVEVFDTETQIWDPEAITCSETQGDFYECKTTCIDRKFHVVTLGQKEAVVYNSKKGRWDRVRRKIDQYKFSAYCEIENVLYSGTHGVFRWYDTKASRWRDLKGLAGLPEFGSGANIKLAGYGGKIAVLWEEDLPSCGPDSGYKKMIRCAEIALERRKCHEIWGIIEWFGDVLTVPVGYVVLEKVLAVTL >fgenesh2_kg.5__2461__AT3G60000.2 pep chromosome:v.1.0:5:19625403:19627307:1 gene:fgenesh2_kg.5__2461__AT3G60000.2 transcript:fgenesh2_kg.5__2461__AT3G60000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTSGPKQNQPSTPAPPPSTRRPRVREVRSRFMSPVTSSSSSAGDLHFLTSNSPEYHHLQHQHHQIQRSVSAQRLRRQLKMADGDENRSSETAARSLDSPFTLWQSGKTSRQSHLKPLKENSHRLETPTPIVPPPSRSRLSQQRLLTASAATRLLQSSGISASNEKEGIINTQEKPKYNGSDHFPTLSCTTHPKVFNNSVPSSSLNPFVSSPLSNCNGRESSSFSRLGLALPPVAPNSKVPADTKKQRKVSEQLEDVHSLKLLHNRYLQWRFANANAQVKTQTQKAQTETMIHSFGSKISELHDSVQRKRIEFQRLLKTKALLAITESQTPCLEQWCAIEEEYSTSVWQTIQALSNASLRLPLDGDIMVDSKQLGDALVAASKIIDGITLNVGNYMPKAKEMESLVTELARVTSRERSLTENCRVALLKTQASLIEECSMRGQLIQQTPKEESPTK >fgenesh2_kg.5__2464__AT3G60070.1 pep chromosome:v.1.0:5:19657262:19660210:-1 gene:fgenesh2_kg.5__2464__AT3G60070.1 transcript:fgenesh2_kg.5__2464__AT3G60070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g60070/T2O9_50 [Source:UniProtKB/TrEMBL;Acc:D7LWK6] MTGGSEEDPFTKPIGRWSVFFYGVGHMLNDITASCWFTYLLLFLTQIGLSPRDAAIVMLSGQVADAFATIFTGELIDRFGHFKIWHAAGSLLVAISFSSVFGGCLPCSILHNDSLTLETFSYSMFAAIFNIGWAATQVSHMAMVNCISLNSTSRVALTSSRNAFTMVANLGLYAIALVVFGVIKAGSKEDTETQYRWIAYSSITIGCCFVVIFLMGTKEPRLRIDLKQTSRARIPWVYWFRKLLYYQVAMVYLLTRLVLNVSQAYLAFFVIDDLQMGQSAKALVPAIIYICSFVVSVLLQEIPWNGRRLKAYYTAGGIIWIFCGAAILLLPRDISSFMYAISVFIGIANALMMVTAISMQSVLVGAEVGGCAFVCGSLSFLDKMSCGLALYVLQSHQTTSPRGQLNNNNQQSVYLSVTRYGLGLVPALCSFVGVAVTFFMELEAAGSLSKPLLREPLLE >fgenesh2_kg.5__2467__AT3G60100.1 pep chromosome:v.1.0:5:19667519:19671186:1 gene:fgenesh2_kg.5__2467__AT3G60100.1 transcript:fgenesh2_kg.5__2467__AT3G60100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase [Source:UniProtKB/TrEMBL;Acc:D7LWK9] MVVFRSLSAISRLRSRAVQQSSLSNSVRWLHSSELDLKSQMQEIIPEQQDRLKKLKSEHGKVPVGNITVDMVLGGMRGMTGLLWETSLLDADEGIRFRGMSIPECQKVLPSAQSGEEPLPEGLLWLLLTGKVPTKEQVNALSKELAHRAAVPAIDALPSTAHPMTQFASGVMALQVQSEFQKAYEQGDIHKSKYWEPTFEDALNLIARVPVLASYVYRRMYKDGSIIPLDDSLDYGANFSHMLGYDSPQMKELMRLYVTIHSDHEGGNVSAHAGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVEECGENISKEQLKDYVWKTLNSGKVVPGYGHGVLRKPDPRYVCQREFALKHLPDDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNYYGLTEARYYTVLFGVSRSLGICSQLIWDRALGLPLERPKSVNMDWLDNFMRLNH >fgenesh2_kg.5__2469__AT3G60120.1 pep chromosome:v.1.0:5:19686994:19689532:1 gene:fgenesh2_kg.5__2469__AT3G60120.1 transcript:fgenesh2_kg.5__2469__AT3G60120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7LWL3] MYSKKNSFGRSDFPEGFLFGTASSAYQYEGAINEAPRGESVWDTFVRKYPERNCYSNADQAIEFYKHYKEDIQRMKDINMDAFRFSISWPRIFPLGKKSKGVNKEGIKFYNDLIDELLANGITPLATLFHWDTPQALEDEYNGFLSEEAVDDFKDFAALCFEEFGDRVKLWVTLNEPWVYSIGGYDTGRKAPGRASKYMNEAAVAGESGLEVYTVSHNLLLAHAEAVEVFRNNPKCKDGKIGIAHCPVWFEPYDSNCPKDKEACERAMEFMFGWHMDPTVYGDYPEVMKKSIGKRLPSFTAAQSKKLIGSFDFVGVNYYSAFYVKNIDEVNHDTPNWRSDARIEWRKQNKAGQTLGVRGGSEWDFLYPQGLRKFLNYGKNKYESPKFMITENGHCDIDYEKKPKLSNLMDLQRTEYHKKHLQSIQQAIQEDGVVVEGYFAWSLLDNCEWNAGYGVRYGLFYVDYNNGLKRFPKMSAMWFKEFLKREEEIEDSEEEYVLKSTMNKKRFLLATGSAASCFIPKMSESSKALELFF >fgenesh2_kg.5__246__AT2G03470.1 pep chromosome:v.1.0:5:1858946:1861309:-1 gene:fgenesh2_kg.5__246__AT2G03470.1 transcript:fgenesh2_kg.5__246__AT2G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LQI1] MGLKRPYDAEEMQECNAKHARQLSYNNHNQFDEAIPYHHVSMDKKTSVLVEDLSGLCEIPTWTNDANHVEKGFETWISTTDLCQEDSQSGGTTQSDLSHQSSGSDFTWRPLSPVEDVYSCLMNQPPRKQVLVGSNHQADIPEFVKEEVLGGDLEGKLMGKCIIPMCDSDLCGTGQGRKECLCPDKGSIRCVRRHIMEAREGLIENIGYERFMELGFCEMGEEVASLWTEDEEDLFHKVVYSNPFSVGRDFWKQLKAMFPSRTMKEFVSYYFNVFILRRRGTQNRFKALDVDSDDDEWQVEYNIFYSTKSLDEEDNNGNRSSHEDNEEEEEEEEETSSSDDDDDDEGEEKDDSPSNDAHCVYMDKASKDTVGEEVNVEDDSCMSFELQDSNLIFTHSPIQNRECHRSGDDSYSFDDQRFTSDCWNKNNDLLPTSNIIEEIFGQDEWEDNDDDNLKGK >fgenesh2_kg.5__2471__AT3G60130.1 pep chromosome:v.1.0:5:19690487:19693916:1 gene:fgenesh2_kg.5__2471__AT3G60130.1 transcript:fgenesh2_kg.5__2471__AT3G60130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKFLSLLLLITLACIGVSAKKHSSRPRLRRNDFPEDFIFGSATSAYQCEGAAHEDGRGPSIWDSFSENFPEKIMDGSNGSIADDSYNLYKEDVNLLHQIGFDAYRFSISWSRILPRGTIKGGINQAGIDYYNNLINQLLSKGVKPFVTLFHWDLPEALEDAYGGFLGDEIVNDFRDYAELCFQKFGDRVKQWTTLNEPFTVVHEGYITGQKAPGRCSNFTNPDCLGGDAATEPYIVGHNLLLAHGVAVKVYREKYQATQNGEIGIALNTVWHYPYSESYADRLAAARATAFTFDYFLEPIVYGRYPIEMVSHVKDGRLPTFTPEESEMLKGSYDFIGINYYSSFYAKDAPCATENITMSTDSCVSIVGERNGVPIGPTAGSDWLLIYPKGIRDLLLHAKFRYNDPVLYITENGVDEANIGKVFLNDDLRIDYYAHHLKMVSDAISIGVNVKGYFAWSLMDNFEWSEGYTVRFGLVFVDFEDGRKRYLKKSAKWFRKLLKGKHNGTNQQVAVI >fgenesh2_kg.5__2473__AT3G60140.1 pep chromosome:v.1.0:5:19696635:19700697:1 gene:fgenesh2_kg.5__2473__AT3G60140.1 transcript:fgenesh2_kg.5__2473__AT3G60140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSWFFIILSIISLLANMINSLKLDRHSFPDDFIFGTAASAFQYEGATNEGGKSPTIWDHFSRTYPERTKMHNADVAIDFYHRYKDDIKLMKELNMDAFRFSISWSRLIPSGKLKDGVNKEGVKFYKDLIDELLANDIQPSMTLYHWDHPQSLEDEYGGFLSPKIVDDFRDFARICFEEFGDKVKMWTTINEPYIMTVAGYDQGNKAAGRCSKWVNEKCQAGDSSTEPYIVSHHTLLAHAAAVEEFRKCKKTSQDGQIGIVLSPRWFEPYHSDSTDDKEAAERAIAFEIGWHLDPVIHGDYPEVVKKYAGNKLPSFTAEESKMLKNSSDFVGINYYTARFAAHLHHIDPEKPRFKTDHHVEWKLTNHSGHIIGPGEERGFLFSHPEGLRKVLNYIKDKYNNMPVYIKENGINDNDDGTKPREEIVKDTFRIEYHKTHFEELHKAIVEDGCDVKGYYAWSLMDNFEWEHGYTARFGLYYVDFVNGLKRYPKDSVKWFKRFLKRSVGVTNEEEVNEKSRAEGNKTLYEQKCFEESAGFFVSFMATNQSRIEEEKNRCSFDFPRNHFGVLQGIENPSSFY >fgenesh2_kg.5__2474__AT3G60160.1 pep chromosome:v.1.0:5:19702998:19708461:-1 gene:fgenesh2_kg.5__2474__AT3G60160.1 transcript:fgenesh2_kg.5__2474__AT3G60160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMRP9 [Source:UniProtKB/TrEMBL;Acc:D7LWL6] MFKPFGSAAETSFHLLRTQWLQLENSLCLKERISIATQVAFLAFFVIHLALKWFGVVRNRGSNDVEEDLKKQSITVKQSFSYNISLLCSVSILGTHCFILLLLFRDSVVSRCDSSVSVFSAEISQAFSWLIVSVVVVKIRERRLVKFPWMLRSWWLCSFILSFAFEAQFITAKHEPLGFQDYADLIGLLASLFLIAVSIRGNTGFRLLESGGITEPLLLDGQTEQNKKDVSSTSPYGNATLFQRITFSWINPLFSLGYKRPLQKDDVPDIDVKDSARFCSYAFDQKLKITKEKEGPGNAFFYNSVLRYVWRKAAINAVFAVVNASTAYIGPYLINDFVEFLGEKQSQSLNHGYLLALGFLSAKIVETVTQRQWIFGARQLGLRLRAALISHIYQKGLVLSSQSRQSHTSGEIINYMSVDVQRITDFIWYVNNIWMLPIQIFSAIYILQKHLGLGALAALVTTLMVMACNYPLTRLQRNYQSDIMNAKDDRMKATSEILKNMKILKLQAWDNQFLNKVKTLRKKEYDCLWKSLRLQAFTTFILWGAPSLISVVTFVTCMLMGVKLTAGAVLSALATFQMLQSPIFGLPDLLSALVQSKVSADRIASYLQQSETQKDAVEYCSKDHTELSVEIENGAFSWGPEPSRPTLDEIELKVKRGMKVAICGAVGSGKSSLLSSILGEIQKLKGTVRVSGKQAYVPQSPWILSGTIRDNILFGSIYESEKYERTVKACALIKDFELFSNGDLTEIGERGINMSGGQKQRIQIARAVYQNADIYLLDDPFSAVDAHTGRELFEECLMGILKDKTVLYVTHQVEFLPAADLILVMQNGRVMQAGKFEELLKQNIGFEVLVGAHNEALDSILSIEKSSRNFKEESKDDTASIAESLQTQCDSEHNISTENKKKEAKLVQDEETEKGVIGKEVYLAYLTTVKGGLLVPLIILAQSCFQMLQIASNYWMAWTAPPTAESIPKLGMDRILLVYALLAAGSSLCVLARTVLVAIGGLLTAETFFSRMLCSIFRAPMSFFDSTPTGRILNRASTDQSVLDLEMAVKLGWCAFSIIQIVGTIFVMSQVAWQRYYTPTARELSRMSGVERAPILHHFAESLAGATTIRAFDQRDRFISSNLILIDNHSRPWFHVASAMEWLSFRLNLLSHFVFAFSLVLLVTLPEGVINPSIAGLGVTYGLSLNVLQATVIWNICNAENKMISVERILQYSKIPSEAPLVIDDHRPLDNWPNSGSIVFKDLQVRYAENFPAVLKNINCEFPGGKKIGVVGRTGSGKSTLIQALFRIVEPSQGTIVIDNVDITKIGLHDLRSRLGIIPQDPALFDGTIRLNLDPLAQYTDREIWEALDKCQLGDVIRAKDEKLDATVVENGENWSVGQRQLVCLGRVLLKKSNILVLDEATASVDSATDGVIQKIINQEFKDRTVVTIAHRIHTVIESDLVLVLSDGRIAEFDSPAKLLQREDSFFSKLIKEYSLRSNHFTGSNDLLS >fgenesh2_kg.5__2476__AT3G60966.1 pep chromosome:v.1.0:5:19715870:19717245:-1 gene:fgenesh2_kg.5__2476__AT3G60966.1 transcript:fgenesh2_kg.5__2476__AT3G60966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQSVFRFCEYITVSVLVTSVAVFSLRFATFIVTRPWRRHRTFTFRRWITAANDHSSPPFCAVCLQEAEEGEKMKRLTICRHCFHADCIDTWLSEMSKCPLCRAQIPPLPPASPLLFLFFPSGVIDLISNKESRRVAL >fgenesh2_kg.5__2478__AT3G60180.1 pep chromosome:v.1.0:5:19717504:19719021:-1 gene:fgenesh2_kg.5__2478__AT3G60180.1 transcript:fgenesh2_kg.5__2478__AT3G60180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UMP-CMP kinase [Source:UniProtKB/TrEMBL;Acc:D7LRM4] METPVDALIKDEHESPRWKKSTVVFVLGGPGSGKGTQCANLVKHFSYTHFSAGDLLRAEIKSGSQFGAMIQSTIVEGRIVPSEITVKLLCKAMEESGNDKFLIDGFPRNEENRIVFENVAKIEPAFVLFFDCPEEELERRIMSRNQGREDDNIETINKRFKVFVESTLPIISYYESKGKLRKINAAKPSEEVFEAVKVLFAS >fgenesh2_kg.5__2480__AT3G60190.1 pep chromosome:v.1.0:5:19719230:19722907:-1 gene:fgenesh2_kg.5__2480__AT3G60190.1 transcript:fgenesh2_kg.5__2480__AT3G60190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMESLIGLVNRIQRACTVLGDYGGGTGSNAFNSLWEALPTVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTDDGTEEYAEFLHLPKRQFTDFALVRKEIQDETDRITGKNKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPETIAEDIESMVRTYVDKPNCIILAISPANQDIATSDAIKLAKDVDPTGERTFGVLTKLDLMDKGTNALEVLEGRSYRLQHPWVGIVNRSQADINKNVDMMLARRKEREYFDTSSDYGHLASKMGSEYLAKLLSKHLESVIRTRIPSILSLINKSIEELERELDRMGRPVAVDAGAQLYTILEMCRAFDKIFKEHLDGGRPGGDRIYGVFDNQLPAALKKLPFDRHLSLQSVKKIVSEADGYQPHLIAPEQGYRRLIEGALGYFRGPAEASVDAVHYVLKELVRKSISETEELKRFPSLQVELAAAANSSLEKFREESKKSVIRLVDMESAYLTAEFFRKLPQEMERPVLTNNKNQTASPSPATLDQYGDGHFRRIASNVSAYVNMVSDTLRNTIPKACVYCQVRQAKLALLNYFYSQISKREGKQLGQLLDEDPALMDRRLECAKRLELYKKARDEIDAVAWVR >fgenesh2_kg.5__2481__AT3G60200.1 pep chromosome:v.1.0:5:19729813:19730749:1 gene:fgenesh2_kg.5__2481__AT3G60200.1 transcript:fgenesh2_kg.5__2481__AT3G60200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKRHTVDYSSSNGVCASCLRERLLSLAASAAITAAVEDNQSRKSNNHPPLLIFPRSVSPYVTRRKSDAGVGVGGDPLTSNRRFITTPQIDLVGYSCKDFESNRSYKSKQGKKLSRFSNLFRARSEDFDYNRKSNNPRFSCDASEISSSSSSRSWISSILSTGSRKKQPTTACYIEDVIAGRRPQRIYCRGMSPARDTEPEPSSESIEELRRTPATKTPGRRKIANGIGKTMSGMAFCLSPLVRASPNCPFKRKMRFPSEFGNSGEVTAVPEKPHISAAASFCANRSKKLVDLGRVDRRR >fgenesh2_kg.5__2482__AT3G60210.1 pep chromosome:v.1.0:5:19731752:19733438:-1 gene:fgenesh2_kg.5__2482__AT3G60210.1 transcript:fgenesh2_kg.5__2482__AT3G60210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFITVPKPFLSLPIKTNAPTLPHQALLGIRRNSLRINAVSTKWEPAKVVPQADRVLVRLEVLPEKSSGGVLLPKSAVKFERYLTGEVVSVGSEVGEVEPGKKVVFSDMSAYEVDFGTDAKHCFCKESDLLAIVQ >fgenesh2_kg.5__2483__AT3G60220.1 pep chromosome:v.1.0:5:19736750:19738223:-1 gene:fgenesh2_kg.5__2483__AT3G60220.1 transcript:fgenesh2_kg.5__2483__AT3G60220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLINPSHGGGNYDSHSSSLDSLKPSVLVIILILLMTLLISVSICFLLRCLNRFSHRSVIPPSSSSTSAATVTSDSRRFSGHRVSPETERSSVLDSLPIFKFSSVTRRSSSTNSGDCAVCLSKFEPEEQLRLLPLCCHAFHADCIDIWLVSNQTCPLCRSPLFASESDLMKSLVGGNNNSNGGENSFRLEIGSISRRQTESVEQHRSYSIGSFDYIVDDVDSEISESNFNRGSISAKQEDATTTTTATAVTSNPASFEASLAADIGNDGSRSWLKDYVDRLSRGISSRAMSFRSSGRFFTGSSRRTEELTVMDLEANHAGEEISELFRWLSGV >fgenesh2_kg.5__2487__AT3G60250.2 pep chromosome:v.1.0:5:19768083:19769821:-1 gene:fgenesh2_kg.5__2487__AT3G60250.2 transcript:fgenesh2_kg.5__2487__AT3G60250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit beta [Source:UniProtKB/TrEMBL;Acc:D7LRN2] MYKERSGGGGGSSRSEILGGAIDRKRINDALNKKLEKSSTPTTTSRVFTSKDKDPFSFTSTSTAKSQLPDVESETDSEGSDVSGSEGDDTSWISWFCNLRGNDFFCEVDEDYIQDDFNLCGLSGQVPYYDYALDLILDVESSNSEMFTEEQNEMVESAAEMLYGLIHVRYILTTKGMAAMTEKYKNCDFGRCPRVFCCGQSCLPVGQSDIPRSSTVKIYCPKCEDISYPRSKFQGNIDGAYFGTTFPHLFLMTYGNLKPQKPTQNYVPKIFGFKVHKP >fgenesh2_kg.5__2489__AT3G60260.1 pep chromosome:v.1.0:5:19771837:19775133:1 gene:fgenesh2_kg.5__2489__AT3G60260.1 transcript:fgenesh2_kg.5__2489__AT3G60260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGGSFVAVRRISQGLERGSVYHSSSAEVVAGSAAWLGRGLSCVCVQGRDGDPRPSFDLTPAQEECLQRLQSRIDVAYDSSISQHQEALKDLWKLAFPEEELHGIVSEQWKEMGWQGKDPSTDFRGGGFISLENLLYFARQFPKSFQDLLRKQVGDRSVWEYPFAVAGINITFMLIQMLDLEAVKPRSIVGETFLRFLSVNESAFDLLYCIAFKLMDQQWLSMRASYMEFNTVMKSTRRQLEREIMVEDITCLEDLPSYSLLSQ >fgenesh2_kg.5__2493__AT3G60280.1 pep chromosome:v.1.0:5:19777847:19778764:-1 gene:fgenesh2_kg.5__2493__AT3G60280.1 transcript:fgenesh2_kg.5__2493__AT3G60280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVAAALLLFLAAVPAVFAVTFKVGDNAGWTSGIDYTDWVTGKTFRVGDTLEFIYDLSHSVSVVDKAGYDGCDSSGATQNFFDGDTKIDLTTVGTMHFLCPTFGHCLDGMKLAVPVLAAAPSPSTPSSPPSPPSPPSPPPSASPPTNGTPDSDTLTPPPTPLTPSSPNAASTVVMSYGIIGVTMILMYGVMT >fgenesh2_kg.5__2495__AT3G60310.1 pep chromosome:v.1.0:5:19785683:19789238:1 gene:fgenesh2_kg.5__2495__AT3G60310.1 transcript:fgenesh2_kg.5__2495__AT3G60310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSAVADLLAALAYSLQNGDQLFEEEVEESTSSMGLAISELNRSLTLDIGGEDSGVRVLDASLSLMCFKAPQVFDSAIEYMVRTLVCALSSSSNCKVLKYRNEETLQFGSSNLPQCSEELIEISKDIIDKLGANGRLATLLFQAVVRSAASTCKINSFNAHTKLMDGRNRAVSKLLVYLPRESSVESHEIPLRILFWYQDPLSLKEDVSRILKDVVERPFLSIKKELFERAEWRDIVICLALSPTMFINTRALLHKWLLLTGLASVFEVLAGLVSAIMDTISKPSLWGIPMELASRLPFSDTYFPSQCQFLRILAGPLSFKSLLMLAHTVSKASAVPRQQQRDTNCKPTPIKVQALDDKTEWALAINFPDWFYFASAMLFSEGNSFENIQHRCTSKVADCRQACDVEDLSIAAATYIAWILNPGSGTIQVSVSKSLIRVSEICIGNSCGSEAHRTETITGKRKKPDRLVSGKIKSSSIVEDILRDFENKITNSVSYELDSRKTHPSFSCGLQNNFLVRRVVVGILFGSPYSVTDEEYELVLHYAATGKILAFKKSRSTGFKQGKGFSGISALLPNEITKEEAIEGTLLVFNLTDTLESMCVSSFEAGEDAEKFINHFKLRSSKYLVKCIDRLIQLHCTEDGDPILSDINIRLLQWTIKGLEDPHFNKVLDNIAAKLDCKFSPV >fgenesh2_kg.5__2496__AT3G60320.1 pep chromosome:v.1.0:5:19789911:19793382:-1 gene:fgenesh2_kg.5__2496__AT3G60320.1 transcript:fgenesh2_kg.5__2496__AT3G60320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7LRN9] MGCAASKLDNEDAVRRCKDRRRLMKEAVYARHHLAAAHADYCRSLRLTGSALSSFASGEPLSVSDQTPAVFLHPPPPPLSEQSPAKFIPPRFPPSPAPSSVYPPSTSPSVATSKVMSTSSNRRRKQQPKPKLPHILSESSPSSSPRSESSNFMPNFYPGAYQNSTYSATPSHASSVWNWENFYPPSPPDSEFFNRKAQEKKQNSDSRFNDEDTETERSEYDFFDTRKQKKKQFESMSNAVEEETETEREEVQCSEWEDHDHYSTTSSSDAAEEEEEDDDDRESISEIGTRSEFGSTVRSNSTRRHHQQPSPMPQVYGGAEQGKYDKVDDATISSGSYRGGGEIADMKMVVRHRDLKEIVDAIKENFDKAAASGEQVSQMLELGRAELDRSFSQLKKTVIHSSSILSNLSSTWTSKPPLAVKYRLDTTALDQPNSSKSLCSTLDRLLAWEKKLYEEIKAREGLKIEHEKKLSQLQSQEYKGEDEAKLDKTKASITRLQSLIIVTSQAVTTTSTAIIRLRDTDLVPQLVELCHGFMYMWKSMHQYHETQNSIVEQVRGLINRSGKGESTSELHRQATRDLESAVSSWHSSFSRLIKFQRDFIHSVHAWFKLTLLPVCQEDAANLHKEPLDAYTFCDEWKLALDRVPDTVASEAIKSFINVVHVISAKQADEHKIKKRTESASKELEKKASSLRNLERKYYQSYSVVGLGLPESGPDNQHMLDARDPLSDKKSELAVCQRRVEEEMLKYSKAIEVTRAMTLNNLQTGLPGVFQSLTSFSALFMESLQTVCTRSYSIK >fgenesh2_kg.5__2499__AT3G60340.1 pep chromosome:v.1.0:5:19807906:19810269:1 gene:fgenesh2_kg.5__2499__AT3G60340.1 transcript:fgenesh2_kg.5__2499__AT3G60340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Palmitoyl protein thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7LRP2] MAFLKAAIIVALICNFVSLASSVPFIVLHGIGDKCSNAGVTQFTELLSDWSGSQGYCMEIGNGSWDSWTMPLLDQTSVVCEKVKSMPELSDGYSIVGLSQGNMIGRALIEFCDGAPPVKNFVSVAGPHAGTASIPFCGATWICIMLDSMIKAEIYSDYMQEHLAPSGFLKIPTDIAGYMEGCRFLPKLNNELPVKNSTYKERFSSLENLVLIMFEHDTILIPKETSWFGYYPDGSFKTILPPQETKLYTEDWIGLKTLDEAGKVKFVNVSGNHLQISHTDMKKHIVPYLCDKASSSSSTTMAVSDSSSSHQWLPSVGNFIMDLARPEVDQLQLVLRRM >fgenesh2_kg.5__24__AT2G01220.2 pep chromosome:v.1.0:5:132671:136421:1 gene:fgenesh2_kg.5__24__AT2G01220.2 transcript:fgenesh2_kg.5__24__AT2G01220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LR10] MGEAAIRTIVEAIHSSPTQAVVYLSGGASLALGWLMSVPGASNTLLEAVVPYSRVSMVQLLGRVPSQHCSQAMAKEMALLAYNRALKLSKPGYPVLGVGFTGSLATSPPKRGDHRFFLSMRASNRIWESSVTLTKNLRSREEEDKVSSCALIQAMAKACQVSGTLDSGLTESEVPYESETHFTEEQELEQLINGHLCCKIYPFSGEAHGSDEDRKIILPGSFNPLHEGHLKLLEVAMRVCGGGYPCFEISAINADKPPLSVTQIKDRVKQFEAVGKTIIVSNQPYFYKKAELFPGSSFVIGADTAARLVNPKYYEGSYKRMLEILGDCKRTGCTFLVGGRNVDGVFKVLEDLDIPEEIIDMFISIPADIFRMDISSTELRKKQGGITK >fgenesh2_kg.5__2501__AT3G60360.1 pep chromosome:v.1.0:5:19817548:19819583:-1 gene:fgenesh2_kg.5__2501__AT3G60360.1 transcript:fgenesh2_kg.5__2501__AT3G60360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein 11 [Source:UniProtKB/TrEMBL;Acc:D7LRP4] MSSLRNAIPRPAHKERSQPQARKRFGLLEKHKDYVIRANAYHKKQETLKILRQKAAFKNPDEFNFKMINSKTVDGYHRPKDEVNKYSAEELMIMKTQDIGYVFQKWQSEKNKIEKLTASLQCTGGQSSRRHVYYAEDREEARELEFQGRSKSDISAVEIPKDIKKKMDRSYRDLEARKSRAKDLEKLYTDMSMQKELQKKGRKRKLRDDEVLNPNGKPVYKWRADRKR >fgenesh2_kg.5__2502__AT3G60370.1 pep chromosome:v.1.0:5:19819945:19821749:-1 gene:fgenesh2_kg.5__2502__AT3G60370.1 transcript:fgenesh2_kg.5__2502__AT3G60370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7LRP5] MVTILSTPPLSPRLTFLCETKLSLSRSSKRSVCCSLSEEPKDQSLSRRSLVYVLVASPCLLFPALSSSAKTKSKSPYDERRLLEQNKRIQRENNAPDEFPNFVREGFEVKVVASENYIKADSGLIYRDFDVGQGDSPKDGQQVTFHYIGYNESGRRIDSTYIQGSPARIRMGTNALVPGFEMGIRDMKPGGRRRIIIPPELGPPVGPSTFFSSKQFEVFDVELLSIQNCERRTIIGFYSDVTCS >fgenesh2_kg.5__2504__AT3G60390.1 pep chromosome:v.1.0:5:19828487:19830545:-1 gene:fgenesh2_kg.5__2504__AT3G60390.1 transcript:fgenesh2_kg.5__2504__AT3G60390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDDGLGLSLSLSLGFNQKDPSSRLNPMPLASYSTSSHMHMQQSNYSHPQKIQNTWINMFHSSERNTDMRSFLRGIDVNRAPSTVVVDVEDDGAGVSSPNSTVSSVMSGKRSERELMTAAATAGGGGRVEDNEMERASCSLGGGSDDEDGSGNGDDGSRKKLRLSKEQALVLEETFKEHSTLNPKQKMALAKQLNLRTRQVEVWFQNRRARTKLKQTEVDCEYLKRCCENLTDENRRLQKEVSELRALKLSPHLYMHMKPPTTLTMCPSCERVAVTSSSSSVAPPVMTSSSPMGPMSPWAAIPLRQRPAAGSH >fgenesh2_kg.5__2508__AT3G60410.1 pep chromosome:v.1.0:5:19841635:19844631:1 gene:fgenesh2_kg.5__2508__AT3G60410.1 transcript:fgenesh2_kg.5__2508__AT3G60410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPPTHGSDAPPPPQPPSVVNGSETSRRQKHIAAASSSPVKSHPLHNFPLSDLRWAMNHANTHRLRKASSRSPLREANHGKGNLVIEEVNEASGSSFELRPEKKKGNNAAGVSDSAADRSTTKSTTPDGRSKIFIRIRTKNNEETADIANSVVAAAVQVTDDSAGQAIDAEGERISDGGGQEADEFGPKTWNLRPRRPPPTKKRSIGHSGGILKSCNGALPENNKSLGTVRTESIRSRNGVDAKMATTERKEKKPRLMISLSKLEIDEDIYALTGSKPSRRPKKRAKNVQKQLDVLFPGLWMGNVSSDAYKVSEHA >fgenesh2_kg.5__2510__AT3G60450.1 pep chromosome:v.1.0:5:19867605:19869010:1 gene:fgenesh2_kg.5__2510__AT3G60450.1 transcript:fgenesh2_kg.5__2510__AT3G60450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKSNMDDGYQNIFVMRHGDRIDNFEPLWVSTAERPWDPPLVQDGMIRAFRTGQRIRSQIGFPIHRVFVSPFLRCLQTASEVVAALSAVNVDPNAMSSKDVPSIDKSKLKVSIELGLCEMLNSVAIRRELAPKDGKFDFTISDIETMFPEGMVDHNVDMVYKELPKWEESVEGCRDRYVKVVKALADKYPSENLLLVTHGEGVGTTFSTFYKDTTVYEVDYCAYVEMRREVSSKDGSVKAGDYEVVLSHGQAGIRFSHDPVSYEPVISQSPV >fgenesh2_kg.5__2511__AT3G60460.1 pep chromosome:v.1.0:5:19869170:19870254:-1 gene:fgenesh2_kg.5__2511__AT3G60460.1 transcript:fgenesh2_kg.5__2511__AT3G60460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLETKKEEIKKGPWKAEEDEVLINHVKRYGPRDWSSIRSKGLLQRTGKSCRLRWVNKLRPNLKNGCKFSADEERTVIELQSEFGNKWARIATYLPGRTDNDVKNFWSSRQKRLARILHNSSDASSSSFNPKSSSSHRVKGKNVKPIRQSFNASQGFGLVEEEVTVASSCSQMVPNSSDQFDDETLRLPDLGVKLEHQPFAFGTDLVLTEFSDSPNDANQQGIIPFSPESRELLARLDDPFYYDILGPADSSEPLFALPQPFFEPSPAPRRCRHVSKDEEPDVFLDDFPADMFDQVDPIRSP >fgenesh2_kg.5__2513__AT3G60490.1 pep chromosome:v.1.0:5:19884701:19885516:1 gene:fgenesh2_kg.5__2513__AT3G60490.1 transcript:fgenesh2_kg.5__2513__AT3G60490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQINIESSATHHQDNNVSVITASTVAATISSSVVTSSSESWSTSKRSLVQDNGVGGKRRKISNVSDDNKNPTSYRGVRMRSWGKWVSEIREPRKKSRIWLGTYPTAEMAARAHDVAALAIKGNSGYLNFPELSGLLPRPVSCSPKDIQAAATKAAEATTWQKPIINEKLAEPEGDELSHSELLSTAQSSTSSCFVFSSDTSETSSTDKESNEEKVFDLPDLFTDGLMNQNDAFCLCNGTFTWQLYGEDVGLRFEEPFNWQND >fgenesh2_kg.5__2514__AT3G60500.2 pep chromosome:v.1.0:5:19888351:19890987:1 gene:fgenesh2_kg.5__2514__AT3G60500.2 transcript:fgenesh2_kg.5__2514__AT3G60500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLANMWRLTVNESKFVETALQSELRVDGRGLYDYRKLTIKFGKEYGSSEVQLGQTHVMGFVTAQMVQPYKDRPNEGSLSIFTEFSPMADPSFEPGRPGESAVELGRIIDRGLRESRAVDTESLCILAGKMVWSVRIDLHILDNGGNLVDAANIAALAALMTFRRPDCTVGGENGQEVIIHPPEEREPLPLIIHHLPIAFTFGFFNKGNIVVMDPTYVEEAVMCGRMTVTVNANGDICAIQKPGEEGVNQSVILHCLRLASSRAAATTKIIREEVEAYNRERSLQKVKRHPTLAKSEVSGPTVAVKEEYRKSSDQEKAAEISREHVERLKLSPEELRSSKEQEATNFKGGPSNWDPYSEAMDVDSLKVSLASEGDSITKSSSKKKTNGSGNAQKDGGEISVEEVTGDLGKKDTKHKDGEMTLKDAVKPKKKRKNKT >fgenesh2_kg.5__2517__AT3G60510.1 pep chromosome:v.1.0:5:19905896:19908856:-1 gene:fgenesh2_kg.5__2517__AT3G60510.1 transcript:fgenesh2_kg.5__2517__AT3G60510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase/isomerase family protein [Source:UniProtKB/TrEMBL;Acc:D7LRR5] MHNANGLLGRIVRDKLWRFGYRRSFCSLKVTPEDLDYQVLVEGSGCSRTAILNRPPALNALTTHMGYRLQKLYKNWEEDPNIGFVMMKGSGRAFCAGGDIVSLYHLRKRGSPDAIREFFSSLYSFIYLLGTYLKPHVAILNGVTMGGGTGVSIPGTFRVATDRTIFATPETIIGFHPDAGASFNLSHLPGRLGEYLGLTGLKLSGAEMLACGLATHYIRSEEIPVMEEQLKKLLTDDPSVVESSLEKCAEVAHPEKTGVIRRIDLLEKCFSHDTVEEIIDSLEIEAGRRKDTWCITTLRRLKESSPLSLKVALRSIREGRLQTLDQCLIREYRMSLQGLIGPMSGNFCEGVRARLIDKDEAPKWDPPSLEKVSEDMVDNYFSALTPTEPDLELPVKLRESI >fgenesh2_kg.5__2520__AT3G60530.1 pep chromosome:v.1.0:5:19923199:19924409:1 gene:fgenesh2_kg.5__2520__AT3G60530.1 transcript:fgenesh2_kg.5__2520__AT3G60530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LRR7] MSSPDLLRIDDLLDFSNDEIFSSSTSSTVTSSAASSAGSSENPFNFPSSAYTSPPLLTDFTHDLCVPSDDAAHLEWLSRFVDDSFSDFPANPLTMTVRPEISFTGKPRSRRSRAPAPSVAGTWAPMPESELCHSVAKPKPKKVYNAESITADVGGGARRCTHCASEKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPASSPTFVLTQHSNSHRKVMELRRQKEQQESCVRIPPFQPQ >fgenesh2_kg.5__2523__AT3G60550.1 pep chromosome:v.1.0:5:19936650:19937448:1 gene:fgenesh2_kg.5__2523__AT3G60550.1 transcript:fgenesh2_kg.5__2523__AT3G60550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCP3_2 [Source:UniProtKB/TrEMBL;Acc:D7LRS1] MAVSNSLTISPRKLRSDLYSYSYQNNSETPLVISVLSSLIDRTLTRNERISRRALPSSGAGGKTQIFDCREIPDMTIQSYLERIFRYTKAGPSVYVVAYVYIDRFCQTNPSFRISLTNVHRLLITTIMIASKYVEDLNYRNSYFAKVGGLETEDLNKLELEFLFLMGFKLHVNVTVFESYCCHLEREVSIGGGYQIEKALRCAEEIKSKQMIVQDPKHHHHFARILL >fgenesh2_kg.5__2528__AT3G60600.2 pep chromosome:v.1.0:5:19959313:19961494:1 gene:fgenesh2_kg.5__2528__AT3G60600.2 transcript:fgenesh2_kg.5__2528__AT3G60600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:VAMP/synaptobrevin-associated protein 27-1 [Source:UniProtKB/TrEMBL;Acc:D7LRS7] MSNSELLTVEPLDLQFPFELKKQISCSLYLTNKTDNNVAFKVKTTNPKKYCVRPNTGVVLPRSTCEVLVTMQAQKEAPSDMQCKDKFLLQGVIASPGVTAKEVTPEMFSKEAGHLVEETKLRVTYVAPPRPPSPVHEGSEEGSSPRASVSDNGHGSEFSVMLCFRDLAWTGLNLKKTHLRYFSQFFQVCNRQNHVKSSFAFALFKTDALLLWRLRIFLYVDYLKGSLHF >fgenesh2_kg.5__2529__AT3G60630.1 pep chromosome:v.1.0:5:19980738:19982990:-1 gene:fgenesh2_kg.5__2529__AT3G60630.1 transcript:fgenesh2_kg.5__2529__AT3G60630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7LRS9] MPLPFEQFQGKGVLGFLDSSSSPGYKIWANPEKLHGRVDEDLCFIVNNGGFSEPTSVLDSVRSPSPFVSSSTTTLSSSHGGPSGGGAAAATFSGADGKCDPMGFEDLDGVLSGGSPGQEQSIFRLIMAGDVVDPGSQFVGFDTGSGSDPVIDNPNPLFGYGFPFHDPPEEEKFQISIHPNPSFFSDPPSSPPAKRLNSGQPGSQHHHHQWGFPFTDPGHESHDPFLTPPKIAGEDQNDQDQSMVIIDQLFSAAAELTTNGGDNNPVLAQGILARLNHNLNNNDTNNNPKPPFHRAASYITEALHSILQGSSSSPPSLSPPQNLIFRIAAYRAFSETSPFLQFVNFTANQTILESFEGFDRIHIVDFDIGYGGQWASLIQELAGKRNRSSSPPSLKITAFASPSTVSDEFELRFTEENLRNFAGETGVSFEIELLNMEILLNPTYWPLSFFRSSEKEAIAVNLPISSIVSGYLPLILRFLKQISPNVVVCSDRSCDRNNDAPFPNGVINALQYYTSLLESLDSGNLNNAEAASSIERFCVQPSIQKLLTNRYRWMERSPPWRSLFGQCGFSPVTLSQTAETQAEYLLQRNPMRGFHLEKRQSSSSSLVLCWQRKELVTVSAWKC >fgenesh2_kg.5__252__AT2G03510.1 pep chromosome:v.1.0:5:1885330:1887830:1 gene:fgenesh2_kg.5__252__AT2G03510.1 transcript:fgenesh2_kg.5__252__AT2G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 family protein [Source:UniProtKB/TrEMBL;Acc:D7LQI5] MDAQQRRPEIPRTPPVGEPGGDVSSIFIAFGVFAAIAALVMFPSSLVHQVPEGHVGAYWRGGALLNIITEPGFHLKLPFITNYEPVQVTLQTDQVSLIPCGTKGGVMITFEKIEVVNRLRKDYVYDTLLNYGVNYDNTWIYDKIHHEINQFCSSHSLQQVYIDIFDQIDERMKDALQADCTRYAPGIEILSVRVTKPKIPESVRRNFEQMEEERTKVLIAIEKQRVAEKEAETKKIMAISEAEKNANVSKILMQQKLTEKDSSRREADIENQMYLDRQKSLADADYYRVLKEAEANKLKLTPEFLELKFIDAIARNTKIFFGDKVPNMVLDQRLLGNFLNHSTKDKDGSLEMATDT >fgenesh2_kg.5__2531__AT3G60660.1 pep chromosome:v.1.0:5:19992431:19994356:1 gene:fgenesh2_kg.5__2531__AT3G60660.1 transcript:fgenesh2_kg.5__2531__AT3G60660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNQAGSSLDSLIASFNKRICELQELVIARNMYPASTIPDLSAIDTALSSMELQVQSIKDRLREETEAIPKAKKLIESSLKQQGKLQKMSIYAPSHIPDKATMLNSDLNRCLLQENAKQYEQHSIPSSLKFDEEVAVLPKEKKGRGSPPLWYITAEELNSLSSYMRGRLTLEKVNAAINDMASYAEANAHLIAASKQKLAENLWEKALKLRDIVTEQAVKGKHFFLETDMKGPSLKLDNTGKAILTVLRHLGRISETRIGQNRVIILMKPH >fgenesh2_kg.5__2532__AT3G60670.1 pep chromosome:v.1.0:5:19995645:19997384:-1 gene:fgenesh2_kg.5__2532__AT3G60670.1 transcript:fgenesh2_kg.5__2532__AT3G60670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEFPAWLEVLLKDKFFNACLDHEDVKKNEKNILCIDCCLSICPHCLSSHTTHRLLQIRRYVYRDVLRVEDGSKLMDCSLIQPYITNSSKVVFINERPQSRQFRGSGNICITCDRSLQSPYLFCSLSCKISDVIMRQRGLAGFLRVCNVLDLTDEVTTTTPSSTLEPTGSTRTTSESAGNGEDMFWCQALACTATTEIVRKKRSSLSTTCRRVTEVVSTTDTEAPVNFLNRRKNTPPQRAPLY >fgenesh2_kg.5__2534__AT3G60690.1 pep chromosome:v.1.0:5:20015189:20015968:1 gene:fgenesh2_kg.5__2534__AT3G60690.1 transcript:fgenesh2_kg.5__2534__AT3G60690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7LRT5] MRRLRGIKIRRPFQRISRWILRRIRIRRYTRLSPNRPVCKPRAITRLISWGRSLTSHSARFLGSKCLNPGYIPIGQEPIRAQPDPVPKGHSAVYIGKKDGDFQRVLVPIVYFNHPLFGELLREAEEEFGFCQEGGITIPCPYSDFKRVQTRIESGSGFCKLPWSRRRQ >fgenesh2_kg.5__2535__AT3G60710.1 pep chromosome:v.1.0:5:20018018:20019493:1 gene:fgenesh2_kg.5__2535__AT3G60710.1 transcript:fgenesh2_kg.5__2535__AT3G60710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LRT6] GQLEDLISQLPNELLHEILLNLPTSESVRTSVLSTRWRNLWQSVPGLLLTRGIQHFAINGSIDVVLEMLISCSPVLQVLSIYNIGVELLKVRSQTLRSLSLLRIYISIRLCTSFLQGSRRSQILASISGNTLDGNYAYSKLQQLPEFKNLTRLDVACISLSSCPNLKSIDLELHGYPKMEEIASSPVPQCLKTSIENRSRKAETEFANYILENATLLKKLTLWLDEEENECSSVKIGRVASKFCLGYIFEAKPFSRFLSSSNSYY >fgenesh2_kg.5__2536__AT3G60720.1 pep chromosome:v.1.0:5:20019841:20021873:1 gene:fgenesh2_kg.5__2536__AT3G60720.1 transcript:fgenesh2_kg.5__2536__AT3G60720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLFLSSFFLFLFFYSSSSSRSSSESHIFIYGGCSPEKYTPNTPFESNRDTFLSSVVTSSSDASFNSFAVGNDSSSSSAVFGLYQCRDDLRSSDCSKCIQTSVDQITLICPYSYGASLQLEGCFLRYETNDFLGKPDTSLRYKKCSSKSVENDYDFFKRRDDVLSDLESTQLGYKVSRSGLVEGYAQCVGDLSPSDCTACLAESVGKLKNLCGSAVAAEVYLAQCYARYWGSGYYDFSSDPTNGDHVGKSIAIIVGVIAGFAILVVLLSLCRNSMRKPILTCQIFT >fgenesh2_kg.5__2537__AT3G60730.1 pep chromosome:v.1.0:5:20023004:20025421:1 gene:fgenesh2_kg.5__2537__AT3G60730.1 transcript:fgenesh2_kg.5__2537__AT3G60730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7LRT8] MYTFVKVTDLITIMFLLAIAAVVTASNTAELDVLEMARTAVVEARASFGSVAVVEATSEVATSSYYNLGLSECEKLYDVSEARLSKLVVAHENFTVEDVRTWLSGVLANHHTCLDGLVQQRQGHKPLVHSNVTFVLHEALAFYKKSRGHMKKTLHGPARENHGPERPKHGPTRSNHGPGRPNHEPSRPNQSGGMLVSWNPTSSRADFVVAQDGSGTHRTINQALAAVSRMGKSRLNRVIIYIKAGVYNEKVDIDRHMKNIMLVGDGMDRTIVTNNRNVPDGSTTYGSATFGVSGDGFWARDMTFENTAGPHKHQAVALRVSSDLSLFYRCSFKGYQDTLFTHSLRQFYRDCHIYGTIDFIFGDATAVFQNCDIFVRRPMDHQGNMITAQGRDDPHSNSGISIQHSRIRAAPEFEAVKGRFKSYLGRPWKKYSRTVLLKTDIDELIDPRGWREWSGSYALSTLYYGEFMNTGAGAGTSRRVNWPGFHVLRGQEEASPFTVSRFIQGDSWIPITGVPFSAGV >fgenesh2_kg.5__253__AT2G03520.1 pep chromosome:v.1.0:5:1888163:1890279:1 gene:fgenesh2_kg.5__253__AT2G03520.1 transcript:fgenesh2_kg.5__253__AT2G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureide permease 4 [Source:UniProtKB/TrEMBL;Acc:D7LQI6] MYVVESKAGAIGCMILSLCCLGSWPAILTLLERRGRLPQHTLLDFATANLLAAIVIAFSLGEIGKSTFRKPDFTTQLPQDNWPSVLLAVAGGVLLSIGNLATQYAFAFVGLSVTEVITASITVVIGTTLNYFLDDKINKAEILFPGVGCFLIAVFLGAAVHASNAADVKAKLKSLPSEYKAETKDFYSSTKNVEDNPEKEKNDVESQEKQAGKAKAGTAGFFVELENKRAIKVFGKSIMIGLFITLFAGTCLSLFSPAFNLATNDQWSTLPKGVPKLVVYTAFFYFSIAGFLIALILNIIFLYRPMVALARSSLKKYIYDSKGRGWAVFAGFLCGFGNGLQFMGGQAAGYAAADSVQALPLVSTFWGIVLLGEYRRSSKRTYALLVSMLAMFVAAVAILMASSGHRK >fgenesh2_kg.5__2540__AT3G60750.1 pep chromosome:v.1.0:5:20035170:20038648:1 gene:fgenesh2_kg.5__2540__AT3G60750.1 transcript:fgenesh2_kg.5__2540__AT3G60750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSLALSQALLARAISHHGSDQRGSLPAFSGLKSTGSRASASSRRRIAQSMNKNRSLRPLVRAAAVETVEPTTDSSIVDKSVNSIRFLAIDAVEKANSGHPGLPMGCAPMAHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLLYALLHLAGYDSVQEEDLKQFRQLGSKTPGHPENFETPGIEVTTGPLGQGIANAVGLALAEKHLAARFNKPDAEVVDHYTYAILGDGCQMEGISNEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTENVDQRFEALGWHVIWVKNGNTGYDEIRAAIKEAKAVTDKPTLIKVTTTIGYGSPNKANSYSVHGAALGEKEVEATRNNLGWPYEPFQVPDDVKSHWSRHTPEGATLESDWSAKFAAYEKKYPEEAAELKSIITGELPAGWEKALPTYTPESPGDATRNLSQQCLNALAKVIPGFLGGSADLASSNMTLLKAFGDFQKATPEERNLRFGVREHGMGAISNGIALHSPGLIPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHIASFRAMPNTLMFRPADGNETAGAYKIAVTKRKTPSILALSRQKLPQLPGTSIEGVEKGGYTISDDSSGNKPDVILIGTGSELEIAAQAAEVLRKEGKTVRVVSFVCWELFDEQSDEYKESVLPSDVSARVSIEAASTFGWGKIVGGKGKSIGINSFGASAPAPLLYKEFGITVEAVVDAAKSFF >fgenesh2_kg.5__2542__AT3G60780.1 pep chromosome:v.1.0:5:20041496:20042602:1 gene:fgenesh2_kg.5__2542__AT3G60780.1 transcript:fgenesh2_kg.5__2542__AT3G60780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPETASDAYIHTVRSCKSYRESSVAEFLSATAAGWNTRLIVETWSRGDPIATSVGLAVAAIHTCGRHVCIVPDEESRSEYEAVMKGAVNSESTEVMVLDSAEDVVERITGVDFMVVDSKRREFVKALGLAKTSKMGAVLVCKNATQKSIPGFKWHGVLRRGTRVVRSVFLPVGRGLEIAHVGASGGGNDLKKIPSRWIKHIDPRSGEEHLFKR >fgenesh2_kg.5__2543__AT3G60790.1 pep chromosome:v.1.0:5:20043444:20045786:1 gene:fgenesh2_kg.5__2543__AT3G60790.1 transcript:fgenesh2_kg.5__2543__AT3G60790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSSSSSSSSPLSSSPSSTPPPLSSNARGKLLWTGSSSKPKGKRIQYPVDNIDRISMLPDELLQKILSTLSTKDAVKTSTLSKRWVDQWKWRPHLSVGMRNISRTNPTSRLREISLRVAESMTRTINNHRGRLESCTISHILFQCKDGTLDRWVQTVTHEKQTKELTLVNYIGCMGPFGGYNRLYLSPSTFSHPSLTSLSLTRYKLTETAFNNCSNLKTLKLFDIMSDVSVLSRVFKACSSLEVLVLQITCLKLGCVLKIENKNLEVLQVTCPTLINKMEVNAPRLEILDIKYIYCDSFLLDAPKIMFNRDYWFGAISVPHISYHISSLAQEKKRIWLELLVSQFYNMKRYGSLSVSVDVRNPNELKILKEVLLLWNEEMKDLEIIFKNNNAPREEGESSINGGARNKWLDGEKPFPDAFFRVRTVWMYNFDGSNEEEFALASRFVTQGTVIDKLMIETSTYPPVKQLMTEAKVAKLMELPKGNDYFTIECF >fgenesh2_kg.5__2545__AT3G60810.1 pep chromosome:v.1.0:5:20049538:20050943:1 gene:fgenesh2_kg.5__2545__AT3G60810.1 transcript:fgenesh2_kg.5__2545__AT3G60810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMASPTTCLYHHNHKCRRKLGSDARRISASIPENSDDKHPKLIGRREVILKSSELAMIGAIFQLSGKKPDYLGVQKNERLALCPATNNCISTSENISDRVHYAPPWNYNGGRKTPVNRQVAMKELLNVIKSVKPDKFTPRIVEKKDDYVHVEYESPILGLVDDVEFLFTPGKNSKVEYRSASRKGNFDFDVNRKRIKALRQELEKKGWVSENSF >fgenesh2_kg.5__2549__AT3G60830.1 pep chromosome:v.1.0:5:20053444:20055372:1 gene:fgenesh2_kg.5__2549__AT3G60830.1 transcript:fgenesh2_kg.5__2549__AT3G60830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVVDAGSKLLKAGAAIPDQSPAMIIPSQMKRMVDDGSSSADNPTTVFEDVTLDPIERGLIRDWDAMEDLLRYVVYTGLGWEEGNEGNILFTDPLCTPKAIREQLVQLMFETFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKMDIAPVLEGAVQHIASKRFELGGTELTKLFAQELGKSNPSMNLSMSDVEKLKEQYANCAEDEFAYEKTQNCEIEQHTLPDGQVISIGRERYSVGEALFQPSILGLEEHGIVEQLVRIISTVSSENHRQLLENTVLCGGTTSMTGFESRFQKEANLCSSAIRPTLVKPPEYMPENLGMYSAWVGGAILAKVVFPQNQHVTKADYDETGPSVVHRKCF >fgenesh2_kg.5__254__AT2G03530.1 pep chromosome:v.1.0:5:1890523:1892694:1 gene:fgenesh2_kg.5__254__AT2G03530.1 transcript:fgenesh2_kg.5__254__AT2G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVESKGGAIACMLLALLSLGTWPAILTLLERRGRLPQHTYLDYSITNLLAAIIIAFTFGQIGSTKPDSPNFITQLAQDNWPSVMFAMAGGIVLSLGNLATQYAWALVGLSVTEVVTSSITVVIGSTLNYFLDDKINKAEILFPGVACFLIAVCLGSAVHRSNADDNKAKLRDFEMAKQEASGPSTEIGTNSSKDLETNVTDETSTKPKEGTARFLIELENKRAIKVFGKRKIIGLAITFFAGLCFSLFSPAFNLATNDQWHRLKQGVPKLVLYTAFFYFSVSCFIIALILNIGFLYHPVLGLPKSSFKAYLNDWNGRYWAFLAGFLCGFGNGLQFMGGQAAGYAAADSVQALPLVSTFWGVVLFGEYRRSSRKTYLLLFSMLFMFISAVAVLMASSGHRK >fgenesh2_kg.5__2551__AT3G60850.1 pep chromosome:v.1.0:5:20064170:20066335:1 gene:fgenesh2_kg.5__2551__AT3G60850.1 transcript:fgenesh2_kg.5__2551__AT3G60850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHKTEEGLFHHHDLDPTADILVQSQPSILHHHHDHNSEVDPAFSLPEFVLFRSSPSGDSPGHSSDEHDDSLTGNPQIDASSNSRVSSAGRDGLFISPDPHISSQFYTFNAASHSLMIRCLREVRLATPAEIRIATPRSVLKSWRAVWKDRNEETAYLTAWKRIQDKLTSRLDPATGNEFLCFKNNSQQFVSHINQWQDIVMGFHGDGDLKHLGPKETIERIKQVWTVGAKLYGIPESFIRVCVAACPVCNADSGSGSRNKRRRFEYTESFDVPAKEVPDRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPVSIKKSRILKREPYQSKRCGCGFRIRAIVPIANYSEKTKTFVYQEEGTAVFKLYAVHSGHEPGAMDGNARIMHRVVGHKGFLMDQETVYGVQDDLETEGMGLTGKDDGAEMQFAVLHQVQELRSELGTLEGKIGNFSQEVLGSVSTELFEMLNKIRNIGVESVKDTTGLVSEKSHSDEILVGDNDLTHWSDHHHEHLYGDGKDAELIEDDEDSFERSLDDVVPWEQIRPPSDCRSQKDLLAETCKPDKWLKCNDFDENSILNSEDSKLTKPMSHDGGSIITDVGLAGIQVDDSFYQENSKWYDSPCGLDSNTDGEDNGFRHGEIL >fgenesh2_kg.5__2552__AT3G60860.1 pep chromosome:v.1.0:5:20067063:20074006:1 gene:fgenesh2_kg.5__2552__AT3G60860.1 transcript:fgenesh2_kg.5__2552__AT3G60860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide exchange family protein [Source:UniProtKB/TrEMBL;Acc:D7LRV1] MASSEADSRLSRVVTPALEKIVKNASWRKHSKLANECKAVIERLNSLQKSPPPSSSAAADSEPDSSVPGPLNDGGSIEYSLADSEFIFSPLINACGTGLAKIIEPAIDCIQKLIAHGYIRGESDPSGGAESLLLFKLIESVCKCHDLGDESIELPVLKTMLSAINSISLRIHGECLLMIVRTCYDIYLGSKNVVNQTTAKASLIQILVIVFRRMEADSSTVPIQPIVVAELMEPLEKSDADGTMTKFVQGFITKIMQDIDGVLNPTMPGSGSGSGSGGQDGVYGTTTVVTTNPTDLLDSTDKDMLDAKYWEISMYKSALEGRKGELVDGDVERDDDDLEVQIENKLRRDALMVFRALCKLSMKAPPKESSTDPQSMRGKILALELLKILLENAGAVFRTSERFSRDIKRYLCLSLLKNSASTLMIIFQLSCSIFISLVARFRAGLKAEIGVFFPMIVLRVVENVAQPNFQQKMIVLRFLDKLCLDSQILVDIFLNYDCDVNSSNIFERMVNGLLKTAQGVTPGTATTLLPPQEAATKLEAMKCLVAILKSMGDWLNKQLRLPVSNSLNKSEAVEIDLGPGSPQLANGNADETADKSDSYSDSSGGTSDALAIEQRRAYKLELQEGISLFNRKPTKGIEFLINAGKVGESPEEIAGFLKDASGLNKTLIGDYLGEREDLPLKVMHAYVDSFDFQGMEFDEAIRTFLEGFKLPGEAQKIDRIMEKFAERYCKCNSKVFTSADTAYVLAYSVIMLNTDAHNPMVKNKMSADDFIRNNRGIDDGKDLPADYMRSLYERITKHEIKMKEDDLPLQQKQHANSNKMLGLDGILNIVIRKQWGDSYAETSDDLMKHMQEQFKEKARKSESTYYAATDVVILRFMIEACWAPMLAAFSVPLDQSDDLIVINICLEGFHHAIHATSLMSMKTHRDAFVTSLAKFTSLHSPADIKQRNIEAIKAILRLADEEGNYLQDAWEHILTCVSRFEQLHLLGEGAPPDATFFASKQNESEKSKQPKQYILPVLKRKGPGKSQYAATGVLRGSYDSMSLGGKGSKNVRQEQMSSIVSNLNLLEQVGEMNQIFSQSQKLNSEAIIDFVKALCKVSMDELRSPSNPRVFSLTKIVEIAHYNMNRIRLVWSSIWQVLSGFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMTPFVIVMRRSNDVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTTAAYDDHKNIVFLSFEIIEKIIREYFPYITETETTTFTDCVNCLVAFTNNRFSKDISLSSIAFLRYCATKLAEGDLNSLSTNKDKENSGKIPQSSLHSGKSGKQENGEIVNNNNHLYFWFPLLSGLSELSFDPRPEIRKSALQIMFDTLRNHGHLFSLPLWEKIFESVLFPIFDYVRHSIDPSGEDESADQGSYGGDVDELDHDAWLYETCTLALQLVVDLFVKFYTTVNPLLKKVLMLLVSFIKRPHQSLAGIGIAAFVRLMSDADGLFSEEKWLEVVSALKEAAKTTCPDFSYFLSEEFVERSQRNALNIQNSNAESAAPTATDGNEESQRTATHLYASISDAKCRAAVQLLLIQAVMEIYNMYRPQLSAINTLVLLDALHGVALHAHGINSNTILRSRLQELGPMTQMQDPPLLRLENESYQICLTFLQNLVADKTKKEEEEEEIESLLVNICQEVLNFYIETSASAKKQQSESSRASEYRWRIPLGSGKRRELAARAPLIVATLQAICTLEEASFEKNLKCLFPLLASLISCEHGSNEVQTALADMLGLSVGPVLLQWC >fgenesh2_kg.5__2553__AT3G60870.1 pep chromosome:v.1.0:5:20075827:20076633:1 gene:fgenesh2_kg.5__2553__AT3G60870.1 transcript:fgenesh2_kg.5__2553__AT3G60870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LRV2] MDEVSRSHPPHFLSSDLHHYHHQNAGLKRDREEDDVEPNNDGKDQDTTPSEGGNIKKRRPRGRPAGSKNKPKAPIIVTRDSANAFRCHVMEITNGCDVMESLAVFARRRQRGVCVLTGNGAVTNVTVRQPGGGVVSLHGRFEILSLSGSFLPPPAPPAATGLTVYLAGGQGQVIGGSLVGPLMASGPVVIMAASFGNAAYERLQLEEEETDREIDGNASMAVGTQTQKQLMHDATSFVQGSPSNLINSVSLPSEAYWGTQRPSF >fgenesh2_kg.5__2554__AT3G60880.2 pep chromosome:v.1.0:5:20077620:20079480:1 gene:fgenesh2_kg.5__2554__AT3G60880.2 transcript:fgenesh2_kg.5__2554__AT3G60880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrodipicolinate synthase 1 [Source:UniProtKB/TrEMBL;Acc:D7LRV3] MATLKGYGLISIDSALHFPRSHQLESYKRRNAKWVSPKAAVVPNFHLPMRSLEDKNRTNTDDIRSLRVITAIKTPYLPDGRFDLQAYDDLVNMQIENGAEGVIVGGTTGEGQLMSWDEHIMLIGHTVNCFGGRIKVIGNTGSNSTREAIHATEQGFAVGMHGALHINPYYGKTSIEGMTAHFQTVLHMGPTIIYNVPGRTSQDIPPQVIFKLSRNPNMAGVKECVGNNRVEEYTENGIVVWSGNDDQCHDSRWDHGATGVISVTSNLVPGLMRKLMFEGRNSALNSKLLPLMDWLFQEPNPIGVNTALAQLGVARPVFRLPYVPLPLSKRIEFVRLVKEIGREHFVGDRDVQVLDDDDFILIGRY >fgenesh2_kg.5__2558__AT3G60900.1 pep chromosome:v.1.0:5:20082175:20084064:-1 gene:fgenesh2_kg.5__2558__AT3G60900.1 transcript:fgenesh2_kg.5__2558__AT3G60900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g60900/T4C21_310 [Source:UniProtKB/TrEMBL;Acc:D7LRV5] MAVSRAFSLFAFTLSLLAVAYTVSGHNITQILSDTPEYSSFNNYLSQTKLADEINSRTTITVLVLNNGAMSSLAGKHPLSVVKNALSLLVLLDYYDPLKLHQLAKGSTLTTTLYQTTGHAPGNLGFVNVTDLKGGKVGFGSAAPGSKLDSSYTKSVKQIPYNISVLEINAPIIAPGILTAAAPSSGGVNNLTGLLEKAGCKTFANLLVSSGVLKTYESTVEKGLTVFAPSDEAFKAKGVPDLTNLTQAEVVSLLEYHALAEYKPKGSLKTNKDAISTLATNGAGKYDLTTSTSGDEVILHTGVGPSRLADTVVDETPVVIFTVDNVLLPTELFGKSPSPAPAPAPEPVSAPTPSPANAPSPVEAPSPTAASPPAPPVDESSPEGAPSDSPTSSENSNAKNAALHVTAPALFTALVTLAATSLLS >fgenesh2_kg.5__2559__AT3G60910.1 pep chromosome:v.1.0:5:20084557:20086185:1 gene:fgenesh2_kg.5__2559__AT3G60910.1 transcript:fgenesh2_kg.5__2559__AT3G60910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDVSSCNTYNYGDALYWDARYVQDALSFDWYQRYSSLRPFVRSFVSTSSRVLMVGCGNSLMSEDMVNDGYEDIMNVDISSVAIEMMQTKYASVPQLKYMQMDVRDMSYFADDSFDTVIDKGTLDSLMCGSDALLSAPRMLGEVSRLIKPGGTYFLITYGDPKVRMPHLTRSAYNWKISLYIIPRPGFKRPESCSSSAKSCMEAIPITSEGMLPHDYVLEDPDSHFIYICKKKDEEEAQLPSYPLMADVL >fgenesh2_kg.5__2562__AT3G61040.1 pep chromosome:v.1.0:5:20103741:20105678:-1 gene:fgenesh2_kg.5__2562__AT3G61040.1 transcript:fgenesh2_kg.5__2562__AT3G61040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVAIVLFLLLCFFIFFFFFYTTGKYCSGGAKNPPGPSGLSLLRNILQTVEKPHRSLVDLSRIYGSVMSLKLGCLTTVVISSPEAAKEVLKTHDHVLSYRVSSDPVRAAGHHELSLLWLPPLARWRFLRKITRNQLFSTQRLEATSGIRTRKVQELMSFHHSSHHSLNIISNALFSKNLSNFDDLKTSHDFHNVVVRMMEISGKPNLADFFPFLGFLDLQGARKEARLLMHKLFRVFQELIDTKRSSNASRNNNDMLDSLLDIAHEEESELDDNNIKHLLLDLFLAGVDTSSSAVEWAMAELLQNPKMIVKVQEEIRQVIGLNGIVQDLDIVKLPYLQAVVKESLRLHPPAPFLIPRKSDTDDVRIFEFLIPKNTQVLVNVWAIGRDPNVWENPKQFEPERFLGRGIDVKGNNFELIPFGAGRRICPGMPLAFRIMHLVLASLLYGFDWEYQNGVVPEDVDMNEAFGVGATLHKAKPLCVVPIKKHV >fgenesh2_kg.5__2565__AT3G61050.2 pep chromosome:v.1.0:5:20108491:20112357:1 gene:fgenesh2_kg.5__2565__AT3G61050.2 transcript:fgenesh2_kg.5__2565__AT3G61050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane single C2 domain protein [Source:UniProtKB/TrEMBL;Acc:D7LRW1] MGLISGILFGIIFGVALMAGWSRMMTHRSSKRVAKAVDMKLLGSLSRDDLKKICGDNFPQWISFPAFEQVKWLNKLLSKMWPYIAEAATMVIRDSVEPLLEDYRPPGITSLKFSKLTLGNVAPKIEGIRVQSFKEGQVTMDVDLRWGGDPNIVLGVTALVASIPIQLKDLQVFTVARVIFQLADEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTAIPGLSDMIDDTVDTIVKDMLQWPHRIVVPIGGIPVDLSDLELKPQGKLIVTVVKATNLKNKELIGKSDPYATIHIRPVFKYKTKAIENNLNPVWDQTFELIAEDKETQSLTVEVFDKDVGQDERLGLVKLPLSSLEAGVTKELELNLLSSLDTLKVKDKKDRGSITLKVHYHEFNKEEQLAALEEEKKIMEERKRLKEAGVIGSTMDAVGMVGSGLGAGVGMVGTGIGAGVGLVGTGVSSGVGMVGSGFGAVGSGLSKAGRFMGRTITGQSSKRSGSSTPVNTVPENDGAKQQ >fgenesh2_kg.5__2566__AT3G61060.2 pep chromosome:v.1.0:5:20114323:20116004:1 gene:fgenesh2_kg.5__2566__AT3G61060.2 transcript:fgenesh2_kg.5__2566__AT3G61060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPP2-A13 [Source:UniProtKB/TrEMBL;Acc:D7LS52] MGANISGGLTEFDRDGDVYARKPGLVDLPENCVALIMIRLDPPEICRLARLNRMFRRASSADFIWESKLPSNYRVIAHKVFDEITLRKLIKKDLYAKLSRPNLFEDGTKELWIDKNTGRLCLSISSKALRITGIDDRRYWSHIPTDESRFQSVAYVQQIWWFEVGGEFEIQFPSGTYSLFFRIQLGKTSKRLGRRICNSEHIHGWDIKPVRFQLATSDNQQAVSFCYLNKNPGSWSHYHVGDFKVTNPDISTGIKFSMTQIDCTHTKGGLCIDSVLILPKDCAKEVIGSQ >fgenesh2_kg.5__2569__AT3G61070.2 pep chromosome:v.1.0:5:20116246:20118386:-1 gene:fgenesh2_kg.5__2569__AT3G61070.2 transcript:fgenesh2_kg.5__2569__AT3G61070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal biogenesis factor 11 family protein [Source:UniProtKB/TrEMBL;Acc:D7LS53] MTTLDLSRAELALIVLYLNKAEARDKICRAIQYGSKFLSGGQPGTAQTVDKNTSLARKVFRLFKFVNDFHGLISPVPKGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRSGIYKNKERTELLGRISLFCWLGSSVCTSAVEIGELGRLSSSMKKMEKELKDDDELYRAKRQKSNDRTLALIKSSMDIVVAIGLLQLAPKTVSPRVTGAFGFTTSLISCYQLLPSRPKLKTP >fgenesh2_kg.5__256__AT2G03550.1 pep chromosome:v.1.0:5:1902511:1903512:1 gene:fgenesh2_kg.5__256__AT2G03550.1 transcript:fgenesh2_kg.5__256__AT2G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEVAFDRSPAFRVYKSGRIERLLGETTVPPSLTPQNGVVSKDVIYSPEKNLFLRIYLPEKVSDITDKKLPILIYFHGGGFIIETAFSPTYHTFLTSAVAAAKCLAISVDYLRAPEFPIPIPYEDSWDSLKWVLTHITGTGPETWINKHGDFGKVFLAGDSAGGNIAHHLTIRAKREKLSGIILIHPYFWGKTPIDEFEVRDVGKTKGVEGSWRVASPNSKEGVDDPWLNVVGSKSSDLSGLGCGRVLVLVAGDDLFVRQGWCYAAKLKKSGWEGEVEVMETKNEGHVFHLKNPNTDNARQVVKKLAEFINK >fgenesh2_kg.5__2570__AT3G61080.1 pep chromosome:v.1.0:5:20118765:20120821:1 gene:fgenesh2_kg.5__2570__AT3G61080.1 transcript:fgenesh2_kg.5__2570__AT3G61080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructosamine kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LS54] MAVASLSSCFSARPNLLLCNLSPRPKIFAMAAMSEDPIREWILTQGKATQITKIGSVGGGCINLASHYHTDAGSFFVKTNRSIGPAMFEGEALGLEAMYETRTIRVPKPHKVGEFPTGGSYIIMEFIDFGGSRGNQAELGRKLAEMHKAGKSSKGFGFEVDNTIGSTPQINTWSSDWIEFYGEKRLGYQLKLARDQYGDSAIYQKGHTLIQNMASLFENVVIEPCLLHGDLWSGNIAYDKNSEPVILDPACYYGHNEADFGMSWCAGFGESFYNAYFKVMPKQPGYEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKV >fgenesh2_kg.5__2572__AT3G61100.1 pep chromosome:v.1.0:5:20122260:20122997:-1 gene:fgenesh2_kg.5__2572__AT3G61100.1 transcript:fgenesh2_kg.5__2572__AT3G61100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVEKIVVFWDVVECPLPDDLLPSLVSGNIELALQRQGYLPCNVSIRVYGKKNYEFKDEFLLANIMFLPAGDASARCKRMVKDIDKWALGNGKSDLMVISRVNTELATYLADWKAKDFKILGARPENAPGKCSSCKMTTLDELFTQEWVWESLSVGGDPITR >fgenesh2_kg.5__2573__AT3G61110.1 pep chromosome:v.1.0:5:20123304:20124449:1 gene:fgenesh2_kg.5__2573__AT3G61110.1 transcript:fgenesh2_kg.5__2573__AT3G61110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S27 [Source:UniProtKB/TrEMBL;Acc:D7LS57] MVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVMCGNCQTILCQPTGGKAKLTEGCSFRRKGD >fgenesh2_kg.5__2575__AT3G61120.1 pep chromosome:v.1.0:5:20129939:20132101:-1 gene:fgenesh2_kg.5__2575__AT3G61120.1 transcript:fgenesh2_kg.5__2575__AT3G61120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVEVKRIENKITRQVTFSKRKSGLLKKAYELSVLCDAEVSLIIFSNGGKLYEFSNVGVGRTIERYYRCKNNLLDNNDTLEDTQGLRQEVTKLKSKYESLLRTHRNLVGEDLEGMSLKELQTLERQLEGALSATRKHKTQVAMEQMEELRRKERELGDINNKLKLETEDHDFRGFQDLLLNPVLTAGCSTDFAFQSSHQNYILDCDVGYFLPIGFQQHYEQGEGSSVSKSNARSDVETNFVQ >fgenesh2_kg.5__2576__AT3G61130.1 pep chromosome:v.1.0:5:20133558:20137235:1 gene:fgenesh2_kg.5__2576__AT3G61130.1 transcript:fgenesh2_kg.5__2576__AT3G61130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LS61] MALKRGLSGVNRIRGSGGGSRSVLVLLIFFCVFAPLVFFVGRGVYIDSSTDYSNASVKQNLDWRERLAMQSVRSLFSKEVLDVIATSTADLGPLSLDSFKKNNLSASWRGGGVDTSFRHSENPTTPDFKSNILNEKRDSISKDSSHQKVETPTKIHRRQLREKRREIRANELVQHNDDTILKLENAAIERSKSVDSAVLGKYSIWRRENENDNSDSNIRLMRDQVIMARVYSGIAKLKNKNELLQELQARLKDSQRVLGESTSDADLPRSAHEKLRAMGQALAKAKMQLYDCKLVTGKLRAMLQTADEQVRSLKKQSTFLAQLAAKTIPNPIHCLSMRLTIDYYLLSPEKRKFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKDPSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMREYYFKADHPTSGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLNKILFLDDDIIVQKDLTPLWEVNLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFNPNACGWAYGMNMFDLKEWKKRDITGIYHKWQNMNENRTLWKLGTLPPGLITFYGLTHPLNKAWHVLGLGYNPSIDRKDIENAAVVHYNGNMKPWLELAMSKYRPYWTKYIKFDHPYLRRCNLHE >fgenesh2_kg.5__2577__AT3G61140.1 pep chromosome:v.1.0:5:20137906:20141621:1 gene:fgenesh2_kg.5__2577__AT3G61140.1 transcript:fgenesh2_kg.5__2577__AT3G61140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUSCA protein FUS6 [Source:UniProtKB/TrEMBL;Acc:D7LS62] MEREDDASGPMMEMCTNGVEETSNRRPIISGEPLDIEAYAALYKGRTKIMRLLFIANHCGGNHAIQLEALRMAYDEIKKGENTQLFREVVNKIGGRLGDKYGMDSSWCEAVDRRAEQKKGKLENELSSYRTNLIKESIRMGYNDFGDFYYACGMLGDAFKNYIRTRDYCTTTKHIIHMCMNAILVSIEMGQFTHVTSYVNKAEQNPDPLDPIVIAKLRCASGLAHLELKKYKLAARKFLDVNPELGNSYNEVIAPQDIATYGGLCALASFDRSELKAFIDNINFRNFLELVPEVRELINDFYSSRYASCLEYLASLKANLLLDIHLHDHVDTLYDQIRKKALIQYTLPFVSVDLSRMADAFKTSVSGLEKELEALITDNQIQARIDSHNKILYARHADQRNATFQKVLQMGNEFDRDVRAMLLRANLLKHEYHARSSRKL >fgenesh2_kg.5__2579__AT3G61160.1 pep chromosome:v.1.0:5:20147316:20150190:1 gene:fgenesh2_kg.5__2579__AT3G61160.1 transcript:fgenesh2_kg.5__2579__AT3G61160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVRRLTSIASGRNFVSSDNVGETEKPRSKPNQNREETESTETTSYEKDSVSTSENSDHLPREMGTGDDKDMDCGIIKGNGTESGRIITTNKKSLNDQKDKTISYRAEHVIGTGSFGVVFQAKCLETEEKVAIKKVLQDKRYKNRELQIMRMLDHPNVVELKHSFFSTTEKDELYLNLVLEYVPETIYRASRSYTKMNHHMPMIYIQLYTYQICRAMNYLHQVVGVCHRDIKPQNLLVNNVTHEVKICDFGSAKMLIPGEPNISYICSRYYRAPELIFGATEYTSAIDMWSVGCVMAELFLGHPLFPGETSVDQLVEIIKILGTPAREEIKNMNPRYNDFKFPQIKAQPWHKIFRRQVSPEAMDLASRLLQYSPNLRCTALEACAHPFFDDLRDPRASLPNGRALPPLFDFTAQELAGASVELRHRLIPEHARK >fgenesh2_kg.5__2581__AT3G61170.1 pep chromosome:v.1.0:5:20150064:20152611:-1 gene:fgenesh2_kg.5__2581__AT3G61170.1 transcript:fgenesh2_kg.5__2581__AT3G61170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LS65] MYRLKYRKWRLPLKPLGHCCIHSHADRTKLHSNLLLGDLSKSGRVDEARQMFDKMPERDEFTWNTMIVAYSNSRRLADAEQLFRSNPVKNTISWNALISGYCKSGSKVEAFNLFWEMQSDGIKPNEYTLGSVLRMCTSLLLLLRGEQIHGHTIKTGFDLDVNVVNGLLAMYAQCKRISEAEYLFDTMAGEKNNVTWTSMLTGYSQNGFAFKAIECFRDLRRDGNQSNQYTFPSVLTACASVSACRVGVQVHGCIVKSGFKTNIYVQSALIDMYAKCRDLESARALLEGMEVDDVVSWNSMIVGCVRQGLIEEALSMFGRMHERDMKIDDFTIPSILNCFASSRTEMKIASSAHCLIVKPGMRLTSLGIMDSALKVFEGMIEKDVISWTALVTGNTHNGFYEEALKLFCNMRVGGIYPDQIVTASVLSASAELTLLEFGQQVHGNYIKSGFPSSLSVNNSLVTMYTKCGSLEDANVIFNSMEIRDLITWTCIIVGLIEEAQRYFDSMRTVYGITPGPEHYACMIDLFGRSGDFVKAEELLHQMEVEPDATVWKAILAASRKHGNIENGERAAKTLMKLEPNNAVPYVLLSNMYSAAGRQDEAANVRRLMKSRNINKEPGCSWVEEKGKVHSFMSEDRRHPRMVEIYSKVDEMMLLIKEAGYLADMSFALHDLDKEGKELGLAYHSEKLAVAFGLLVVPSGAPIRIIKNLRVCGDCHSAMKLLVTFALEEKLGKFCISVCNSGSYKVTNLQLYTFKLLVHVVAEVSEGS >fgenesh2_kg.5__2582__AT3G61172.1 pep chromosome:v.1.0:5:20153112:20153458:-1 gene:fgenesh2_kg.5__2582__AT3G61172.1 transcript:fgenesh2_kg.5__2582__AT3G61172.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 8 [Source:UniProtKB/TrEMBL;Acc:D7LS66] MTKLTIVAIFLVFFLGMMAKETQGQHICHQILLDNNCDGATCTDLCDKKLQGTGQCYRTVDRRFICLCNYICRT >fgenesh2_kg.5__2583__AT3G61177.1 pep chromosome:v.1.0:5:20154306:20154636:-1 gene:fgenesh2_kg.5__2583__AT3G61177.1 transcript:fgenesh2_kg.5__2583__AT3G61177.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 53 [Source:UniProtKB/TrEMBL;Acc:D7LS67] MAKSTILAILMIVLVLGMVTKETQGQEMCRDLLMRAQNCDAGTCATLCKQKWKGNGSCFPNFQIKSCLCTFPCKT >fgenesh2_kg.5__2585__AT3G61180.1 pep chromosome:v.1.0:5:20155750:20157796:1 gene:fgenesh2_kg.5__2585__AT3G61180.1 transcript:fgenesh2_kg.5__2585__AT3G61180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSIPFTVSSSPATDTMDVSPLLGRNRRNRPRSSQPLRGAASRLLRRASNRRMMLREPSVRVREVAAEQLEERQSQWAYSKPIIVLDILWNFVFVIVSIAILGFSSEEDPDVPLRLWIIGYNFQCLLHVGCVIAEYKRRREANSPPSGEDSSNHESLSGSDDESDGYSINDTDDDHGTSFTKHLESANTMFSFVWWIIGFYWVTADTEALAQSSPQLYWLCVAFLAFDVMFVVICVAVASLIGIAVCCCLPCIIAILYALADQEGAPDEEIERLLKFKFLVVKNSEKVNGEIRETQGGIMTGLGAESQTERVLSSEDAECSICLCAYEDGVELRELPCRHHFHSLCVDKWLRINATCPLCKFNILKNGEPSGSEQV >fgenesh2_kg.5__2587__AT3G61190.1 pep chromosome:v.1.0:5:20161157:20161990:-1 gene:fgenesh2_kg.5__2587__AT3G61190.1 transcript:fgenesh2_kg.5__2587__AT3G61190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTLEIDLRSAEGLKLNRRPIKKKTLCVVTIDEKSRNSNLDESRRSNPTWNYKSEIPINGNVRFITIEVFYRTGSGHQKKIGEAKIPTKDFMGRYSPEGHLNFLSYRLRDEFGDKCGIVNLSILVKSSTTAIRDYGACSSQAADTGLWRPRSETPSIDGYGGRIVTGVPVWGVYQRQF >fgenesh2_kg.5__2588__AT3G61200.1 pep chromosome:v.1.0:5:20169582:20170500:-1 gene:fgenesh2_kg.5__2588__AT3G61200.1 transcript:fgenesh2_kg.5__2588__AT3G61200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7LS72] MNWEKFRETGCPDDTTMSSSPISSETTAVSKEIDPNYVLMVADFFKAISPDSSCNDFTSFDSFSVLFQSNTRALSISRGRVSCSVIVTPGIANFFNGLHGGAVASIAERVAMACVKTVVSEDKQLFLGELSMSYLSSASISSELVVEGSVVRTGRNLSVVTVEFKIKETMKVTYLSRATFYHSLISKL >fgenesh2_kg.5__2589__AT3G61210.1 pep chromosome:v.1.0:5:20170875:20172029:-1 gene:fgenesh2_kg.5__2589__AT3G61210.1 transcript:fgenesh2_kg.5__2589__AT3G61210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVALSGKQADEYLNARPKYPTLWYKVLAGRTSNHKVAWDVGTGNGQAAIGVAEYYEKVVATDINESQLQRAMKHPKVTYHHTPSSMSDDDLVTLLGGENSIDIIIAAQALHYFDLKRFYPIVKRVLRKQGGIIAVWVYNDLIITPKVDSIMKRLVDSTLPFRNPTMNLAFDGYRTIEFPFKNIRMGTQGRPKALEIPHKLSLNGFLGFLKSWQPLVKAKEQGEDLLTSCMIDEFKEAWGDDKQVKNVFYKAYMLAGKL >fgenesh2_kg.5__2590__AT3G61220.1 pep chromosome:v.1.0:5:20174758:20176184:1 gene:fgenesh2_kg.5__2590__AT3G61220.1 transcript:fgenesh2_kg.5__2590__AT3G61220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LS74] MAEETPRYAVVTGANRGIGFEICRQLASQGIRVVLTSRDEKRGLEAVETLKKELQISDQSLVFHQLDVSDPASSTSLAEFVKTLFGKLDILVNNAGVGGIITDADALRAGAGKEGFKWDEIITETYELAEECIKINYYGPKRMCEAFIPLLKLSDSPRIVNVSSSMGLLKNVLNEWAKGILSDAENLTDERIDQVINQLLNDFKEGTVKEKNWAKFMSAYVVSKASLNGYTRILAKKHPEFRVNAVCPGFVKTDMNFKTGVLSVEEGASSPVRLALLPHQETPSGCFFSRKQVSEF >fgenesh2_kg.5__2591__AT3G61230.1 pep chromosome:v.1.0:5:20176549:20177709:-1 gene:fgenesh2_kg.5__2591__AT3G61230.1 transcript:fgenesh2_kg.5__2591__AT3G61230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LIM domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LS75] MAAFTGTLDKCKACDKTVYVMDLMTLEGMPYHKSCFRCSHCNGTLVICNYSSMDGVLYCKTHFEQLFKESGNFSKNFQTAGKTEKSNETTRAPNRLSSFFSGTQDKCAACKKTVYPLEKMTMEGESYHKTCFRCAHSGCPLTHSSYAALDGILYCKVHFSQLFLEKGNYNHVLQAAANHRRTAAEEDKTEPKENEANPTEEDTSDAAGEEHESS >fgenesh2_kg.5__2593__AT3G61240.1 pep chromosome:v.1.0:5:20179439:20183126:1 gene:fgenesh2_kg.5__2593__AT3G61240.1 transcript:fgenesh2_kg.5__2593__AT3G61240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRGRYPPGVGTGRGAPPNPDYQSYRQQQPQDQQYVQRGYSQNPQQMQLQQQHHQQQQQQQWSRRSQLPGNASNANEVQKTSQPEASSDANGQDWKATLRLPPPDTRYQTADVTATKGNEFEDYFLKRDLLKGIYEKGFEKPSPIQEESIPIALTGSDILARAKNGTGKTGAFCIPVLEKIDPSNNVIQAMILVPTRELALQTSQVCKELSKYLNIQVMVTTGGTSLRDDIMRLHQPVHLLVGTPGRILDLTKKGVCVLKDCAMLVMDEADKLLSAEFQPSLEELIQFLPQNRQFLMFSATFPVTVKAFKDRHLRKPYVINLMDQLTLMGVTQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMVQDHRNRVFHEFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPRTSESYLHRVGRSGRFGHLGLAVNLVTYEDRFKMYQTEQELGTEIKPIPSNIDQAIYCQ >fgenesh2_kg.5__2595__AT3G61260.1 pep chromosome:v.1.0:5:20189631:20191187:-1 gene:fgenesh2_kg.5__2595__AT3G61260.1 transcript:fgenesh2_kg.5__2595__AT3G61260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQKIALNSESPAKVPAPAPAGLPAPTPAEIPAPAPAPAPAPADVTKDVGEEQIQNPPPEQISDDSKALAVVEKPVEEPAPVKSSSASLDRDVKLADLSKEKRLSFVRAWEESEKSKAENKAEKKIADVHAWENSKKAAVEAQLKKIEEQLEKKKAEYAERMKNKVAAIHKEAEERRAMIEAKRGEDILKAEETAAKYRATGIVPKATCGCF >fgenesh2_kg.5__2596__AT3G61270.1 pep chromosome:v.1.0:5:20193927:20195907:1 gene:fgenesh2_kg.5__2596__AT3G61270.1 transcript:fgenesh2_kg.5__2596__AT3G61270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNIKDDQHKPVTFPRKSIVKATVFIVVLFISAALLDFLGYLDFNAFAGLKLITKRKEPNPYGCDFVQNQSNQTHFSQNRASRLNPIRSKPSTCPSYFRWIHEDLRPWKQTGITRGMIEEASRTAHFRLVIRNGKAYVKRYRKSIQTRDDFTLWGIVQLLRWFPGKLPDLELMFDADDRPVVRSADFIGQQKKPPPVFRYCSDDASLDIVFPDWSFWGWAEVNIKPWGESLEAIKEGNNMTQWKERVAYAYWRGNPHVDPGRGDLLKCNVSENEEWNTRLYIQDWDKESKEGYKNSNLENQCTHRYKIYIEGWAWSVSEKYIMACDSMTLYVKPRFYDFYIRGMMPLQHYWPIRDDSKCTSLKFAVHWGNTHVDKAREIGELGSRFIREEVNMKYVYDYMFHLLKEYATLLKFKPEIPLDAEEITPDSMGCPATERWRDFMAESMVLSPSEESPCEMLPPYDPLALKEVLERKANLTRQVELWESKYFQDLTNKP >fgenesh2_kg.5__2597__AT3G61300.1 pep chromosome:v.1.0:5:20199088:20202125:1 gene:fgenesh2_kg.5__2597__AT3G61300.1 transcript:fgenesh2_kg.5__2597__AT3G61300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LS82] MSNLKLGVEVISARLKPREDYGRVNAFVELRFEDQKVITTTKIDDSSPVWNEKFFFNISDTEDLSNQFLEAYVYNKTSSITKSCLGKIRILGTAFLPYSEAVGLPYPLEKEKWSMFSSAAAIGGELALKVFLTDNPYPKVPNLTLTKKIPSKSRHKFHNIPTNEKSKHSPQGNQQPSQPQPQSLQPQPPQPPPIMDSSLFQAPRFSSPIPTTMGFNPNPPDYSVKETNPILGGGKRARSSDHDLVEPMEFLFIKIVKARNLPSMDITGSLDPYIEVKLGNFTGKTKHFEKNQNPIWNEVFAFSKSNQQSNVLEVIVMDKDMVKDDFVGLIQFDLNEIPTRVAPDSPLAPEWYRVNNEKGGEIMLAVWFGTQADEAFSDATYSDALNAVNKSSLRSKVYHSPRLWYLRVNVIEAQDLVIVPDRTRLPNPYVKIRLNNQLVRTKPSQSLNPRWNEEFTLVAAEPFEDLIISIEDRVAANREETLGEVHIPIGTIDKRIDDNRTVPNRWFSLKTENQRRVRFAATRLHLNVCLEGGYHVLDESTYYSSDLRPSMKELLSHKQPSIGVLELGILRMEGLSLSQEGKKETVDAYCVAKYGTKWVRTRTVTECLNPRFNEQYTWEVYEPATVITIGVFDNNQINGGNGNKGDGKIGKIRVRISTLEAGRIYTNSYPLLVLRPSGLKKMGELHLAIRFSCSSMFQMLMQYWKPLLPKMHYARPLKVVHQEILRQHAVNLVAARLSRAEPPLRKEVVEYISDSNSHLWSMRKSRANLFRLSSVFSGLLGTGEWFQDICRWKKPVASTAIHVIYLVLVCSPEMILPVMSLCLFMLGVWNYRLRPRQPPHMDTRLSFADNIHPEELNEEFDTFPFSSQDPGIVKMRYERLRSIASRAQTVVGDIAGQGERVQALLSWRDPRATSIFMVLCLVSTVILYVVPFKVFVLLAGLYIMRHPRFRGKTPPGLINFFRRLPAKTDCML >fgenesh2_kg.5__25__AT2G01240.1 pep chromosome:v.1.0:5:137047:137881:-1 gene:fgenesh2_kg.5__25__AT2G01240.1 transcript:fgenesh2_kg.5__25__AT2G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:D7LR11] MSVEGQDKLGDNTCNGHTFLVPLRDHGGSICSASLLNLVTPHAPPFPLGQLNQPDSPLKALFLKMEGHLLMMYEIAYGKDNKTFLKTILYVTTIHIIGSYISLLTILYICLVCSMTIPVLYMQFQEVIDSFMGKVSEEKDKLLEVFKTKVVSKIPRAPKVE >fgenesh2_kg.5__2602__AT3G61370.1 pep chromosome:v.1.0:5:20215749:20216523:1 gene:fgenesh2_kg.5__2602__AT3G61370.1 transcript:fgenesh2_kg.5__2602__AT3G61370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTETAKKKGTPLILKTDKAQKLAEKWVANMTRPAEDDPIETAQEERPHRLGLGAKVSRQTKRRPSDDPLDQKLEAKFAAGKRKNARSVAESAGSSKNVGDDSEGDDESESKSQAFGKKKKNTSTPH >fgenesh2_kg.5__2604__AT3G61380.1 pep chromosome:v.1.0:5:20225431:20228214:-1 gene:fgenesh2_kg.5__2604__AT3G61380.1 transcript:fgenesh2_kg.5__2604__AT3G61380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICRRNLKREGFDGIGCVWVFISLFGSNQKRLLMDNKRGSKRLSGNEMKQITCSCESSHESVDEDIDVSVAGDEHVETEVCKSLVDESDEKFKEIIKRLIAQKEGEIQTCKDLLEAFHVLGSEEESFLKKKISHEDDQTLGDSKRVEEDNKRGVVSKQEAVVIPKRKVNFFSRKWKSDERRNRSQVAKTIVVLKPGPNSLDVDSSSGTYSTGNKSKTGKKISRFLIGLIKGRLQSAVRKKSCDVPADKMSQNCCVQEEIQSKSEKHVSDKEEPVCNERTTQDDKEETIYSSEDSKKIMSGLYIAAKKHLSEMLANGDIDVNLPDKEVPRILGKILSLPEFCSPADSPILIPAHDLVCNLSPLSQPTEKPEILQCSSATNDLTDEDSDKDDNTLFTIDVSVPRVYENETENIDKEEKSEIDPLSETYSSSVSGQVENADEDVEEEMFNQAHSPSSPPESPPTSSVRLTEYKETATDAQGKLSPVSVLETLFTDDESSPTTSTSFSSAEMRMQPLCIRFDEVGSPKPEKDNNVEASIDDKELTLAYIEAVVKSACLSWEELLTRPFYSEQLLELELTDDIVFSSTQLCDDKNLLYDCINEVLMDFCWNEFNPGPWISFVKPEVQLISDMEIAAKVAQEGVYWHLLPLPSPHTLDQIVKKDMARTGSWMDLRFEVGCLGSYTSEMILDELVEEIIRSFRDMVQAELMQDQNSDNL >fgenesh2_kg.5__2606__AT3G61410.1 pep chromosome:v.1.0:5:20247991:20249605:1 gene:fgenesh2_kg.5__2606__AT3G61410.1 transcript:fgenesh2_kg.5__2606__AT3G61410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQEEAGVMDERIYVALGRETAKNKSNLAWVLDNCEGNKICIVLVHRPAQMIPVLGTKFDAATVDEELVRAYREKQKAKTDKILDEYLRICLRKGVQAEKLCVVMNSIEKGIVQMISENKVRKFIMGAASDKHYSTKMEELRSKKAIFVCQHASVTCHIRFICKGYLIHTREARMDEVRALSALLSDFQRLVSSQSSTISDQDSRGSKRKSEEEEEGEEEERTSRTSSSRSASTLSYFGGSEASSSVSVMEEKSNRSSPPSLPCSGMGLRMITFLINSTKLWQRLAIQNHKHCE >fgenesh2_kg.5__2607__AT3G61415.1 pep chromosome:v.1.0:5:20249762:20253457:-1 gene:fgenesh2_kg.5__2607__AT3G61415.1 transcript:fgenesh2_kg.5__2607__AT3G61415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIKPEMMKSYIWLETADGSIQQVEQEVAMFCPMICQEVIQKGVGSSKNYAISLPQRVNPAMLSLIFDYCRFHQVPGRSNKERKIYDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIREIFHLPDDLTEEEKLEPLKNTMDDPRIRLLNRLYAKKRKELKEREKLKSIEVEEHVDERSVDDLLSFINGRDPKVVKTSKSKKKNKKRKEHKNGTSNGTCEASEKDSHNLHSKSQSAEIIDKTASCLGDVSNLLSMEDDIFTPKTEFEDGYIDDEIDPALKEMLDREVEDFARRLNSSWVLSIGQERQPVHFSINGNGTTRRLTGPAAGHK >fgenesh2_kg.5__2609__AT3G61420.1 pep chromosome:v.1.0:5:20254747:20259445:-1 gene:fgenesh2_kg.5__2609__AT3G61420.1 transcript:fgenesh2_kg.5__2609__AT3G61420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFVPNDPKSDLKLKVQTHNIKSQKYTKQGSNKPPWLNLTNKQGRSHIFEFENYPDMHACRDFITKALAKCDEESNKSVVSTPSEQLSMAEFELRFKLLRENSELQKLHKQFVESKVLTEDEFWSTRKKLLGKYSIRKSKQQMGLKSMMVSGIKPSSDGRTNRVTFTLTSEIIFQIFAEKPAVRQAFIDYVPKKMTEKDFWTKYFRAEYLYSTKNTAEAAEDEELAVFLKPDEILAREARQKMRRVDPTLDMDADQGDDYTHLMDHGIQRDGTNDAVEPQNDQHRRSLLQDLNRHAAVVLEGRCIVDVESEETRIVAEALTRAKQVSKANQERLERMSRAAEMEDLQAPQNFPLAPLSIKDPRDYFESQQGNVLSEPRGARASKRNVYEAYGLLKESILEIRMTGLSDPLVKPEVSFEVFSSLTRTISTAKNIIGKNPRESFLDRLPKSTKDEVIHDVIFNHAATPSNPCSRNLTAYGLMCMAMKQSVQSDLRHQVSLLVRSMQQALDAAFQHYESDLQRRTAKIA >fgenesh2_kg.5__2610__AT3G61430.2 pep chromosome:v.1.0:5:20261131:20263194:1 gene:fgenesh2_kg.5__2610__AT3G61430.2 transcript:fgenesh2_kg.5__2610__AT3G61430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRVGANKFPERQPIGTSAQSDKDYNEPPPAPFFEPGELSSWSFWRAGIAEFIATFLFLYITVLTVMGVKRSPNMCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALYYIVMQCLGAICGAGVVKGFQPKQYQALGGGANTVAHGYTKGSGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHSWDDHWVFWVGPFIGAALAALYHVVVIRAIPFKSRS >fgenesh2_kg.5__2612__AT3G61440.1 pep chromosome:v.1.0:5:20263662:20265801:1 gene:fgenesh2_kg.5__2612__AT3G61440.1 transcript:fgenesh2_kg.5__2612__AT3G61440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:D7LS98] MASVSRRLLRKETIPCFSHTVRKLFSTVGSPSFAQRLRDLPKDFPSTNAKRDASLLIGKTPLVFLNKVTEGCEAYIAAKQEHFQPTCSIKDRPAIAMIADAEKKKLIIPGKSTLIEPTSGNMGISLAFMAALKGYRIIMTMPSYTSLERRVTMRSFGAELVLTDPAKGMGGTVKKAYDLLENTPDAFMCQQFANPANTQIHFDTTGPEIWEDTLGNVDIFVMGIGSGGTVSGVGQYLKSKNPNVKIYGVEPAESNILNGGKPGPHAITGNGVGFKPEILDMDVMESVLEVSSEDAIKMARELALKEGLMVGISSGANTVAAIRLAKMPENKGKLIVTIHASFGERYLSSVLFDELRKEAEEMKPVSVD >fgenesh2_kg.5__2615__AT3G61460.1 pep chromosome:v.1.0:5:20269793:20270499:-1 gene:fgenesh2_kg.5__2615__AT3G61460.1 transcript:fgenesh2_kg.5__2615__AT3G61460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brassinosteroid-responsive ring-H2 [Source:UniProtKB/TrEMBL;Acc:D7LSA0] MGFPVGYTEVFLPKLFVQTLSILGFIRTIVFSIFRFLGLSDFLEMDQTWPDYTSYPTRIPETRSPFSALLIREILPVIKFEELTNSGEDLPENCAVCLYEFEGEQEIRWLRNCRHIFHRSCLDRWMDHDQKTCPLCRTPFVPDEMQEEFNQRLWAASGVHDFHCPVTELL >fgenesh2_kg.5__2616__AT3G61470.1 pep chromosome:v.1.0:5:20274093:20275632:1 gene:fgenesh2_kg.5__2616__AT3G61470.1 transcript:fgenesh2_kg.5__2616__AT3G61470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7LSA1] MASSLCASSAIAAISSPSFLGGKKLRLKKKLTVPAVSRPDASVRAVAADPDRPIWFPGSTPPEWLDGSLPGDFGFDPLGLSSDPDSLKWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGEQEYFTDKTTLFVVELILIGWAEGRRWADIIKPGSVNTDPVFPNNKLTGTDVGYPGGLWFDPLGWGSGSPAKLKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATIFAAFTPK >fgenesh2_kg.5__2618__AT5G28350.1 pep chromosome:v.1.0:5:20280200:20305636:1 gene:fgenesh2_kg.5__2618__AT5G28350.1 transcript:fgenesh2_kg.5__2618__AT5G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQVIPLLEGSCPSSQRVVYLKLAGRLLLVVSPSHLELWGSSQQRVRLGKYMRDDRSVREEGENLQAVWSPDSKLIALLTSSFFLHIYKIKFTDKRVKPGERQPSELSFATISLLLSEQVPFAGKDLSVSNFVRDSKTMLLGLSDGCLYSISWKGEFGGAFSIGSHPSDSNDDRLLSYSLGNGLVSGVASAALASDDEFSTKSAIVQLELCTRSKLLFVLNSDGQLVVCSVNKKGLKYTESIKAEKKLGGDAVCASVASEQQILAVGTRKGMVELYDLSQSISLLRTVSLHDWGYSADYTGPVNNIAWTPDNSAFAVGWKSRGLAVWSVSGCRLMSTVRQIGLSSTSSPKINPKQDSKYEPLMNGTSAIQWDEYGYRLFATEEASSDRILAFSFGKCCLNRGVSGKTYVRQVMYGDDRLLMVQAEDTDELKLLHLKLPVSYITQNWPVQHVAASEDGKYLAVAGLHGLILYDVRFKKWRVFGDVSQEQQIHCKGLLWLGKIVVICNYIEASETYELLFYPRYHLDQSSLLCRKVLLGKPMVMDVYQDYILVSYLPFVIHVYHVKIYGELTPSSKVDLQLSTVRELSIMTAKSHPAAMRFVPDQHPREGELDNDNLSSDLSDREPSRCLILRGNGELSLLDLVDGRERELTDSVELFWVTCGQSEEKTNLVEEVSWLDYGHRGMQVWYPSLGDDPFMQEDFLQLDPELEFDREVYPLGLLPNVGVVVGVSQRMSFSASAEFPCFEPTPQAQTILHCLLRHLLQRDKNEEALLLAQLSAEKPHFSHCLEWLLFTVFDAEISRPNPNRNQISGPGYIKKLSLLKKACDLIKNFPEYYDVVVNVARKTDARHWADLFSAAGISTTLFEDCFQRRWYRTAACYILVIAKLEGVAVSQYCALRLLQATLDESLYDLAGELVRFLLRSGRDVEQAPTESDSLSPKLLGFLIFGSSHKKSSLDKSSSFKEQSPHVASVKSILESHASYLMSGKELSKLVAFVKGTQFDIVDFLQRERYGCAQLQNFAAGLELIGQKLQMSELQNRLDAEFLLAQMCSVKFKEWIVVLATLLQRSEVLYDIFRYDLRLWKAYSMTLESHLAFAQYHDLLQILEEKLSATSREESNRGSIS >fgenesh2_kg.5__2622__AT3G61490.1 pep chromosome:v.1.0:5:20307070:20309206:1 gene:fgenesh2_kg.5__2622__AT3G61490.1 transcript:fgenesh2_kg.5__2622__AT3G61490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7LSA5] MKNILACIVTIITLSNLITTSQGRRVLQSFETFEYTAITCRSHSASITEYGGVGDGKTLNTKAFQSAVDHLSQYSSDGGAQLFVPAGKWLTGSFNLTSHFTLFLHKDAILLAAQDLNEYPILKALPSYGRGRDAAGGRFASLFFGTNLSDVIITGNNGTIDGQGSFWWQKFHGGKLKYTRPYLIELMFSDTIQISNLTFLDSPSWNIHPVYSSNIIVKGVTIIAPVKSPNTDGINPDSCTNTRIEDCYIISGDDCIAVKSGWDEYGISFGMPTKHLVIRRLTCISPYSAAIALGSEMSGGIEDVRAEDITAYQTESGVRIKTAVGRGAFVKNIYVKGMNLHTMKWVFWMTGNYKAHADSHYDPHALPEITGINYRDIVAENVSMAGRLEGISGDPFTGICISNATISMAAKHKKAIWMCSDVEGVTSGVDPKPCDLLDGQESETKKKMIDGGCDFPTDVLEIDNVELKTCSYQMS >fgenesh2_kg.5__2625__AT3G61500.1 pep chromosome:v.1.0:5:20312026:20312994:-1 gene:fgenesh2_kg.5__2625__AT3G61500.1 transcript:fgenesh2_kg.5__2625__AT3G61500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPNSSNLSSPILNNFESSLTERLKKLIPQNKDEILTLPWMIIAMELLYDTHNDINIMITDLKLHDMTDPDHGSWCEVYMKINVKFLDLFNAFSSLLLSMNHGILCLKLLRHKLEMKSEDQCTEICSTLDSWRENITAEISKCRKVLGRYVKSLNFHKVKNCIKVAKVFMKAFYAANVLTAYICSVFVIVLSNSDKDILPIHVSKQSLWKKDFLDLQTIVNVKTRDILSSDGTMVLKELESVAAKMKKMYLTIQEGDDLVQELFEESIVECKEEVEKLDQRVDELSRKIDNLSSITFKGRQTIREGLFDSMIKELTHEPHI >fgenesh2_kg.5__2627__AT3G61520.1 pep chromosome:v.1.0:5:20319198:20321557:-1 gene:fgenesh2_kg.5__2627__AT3G61520.1 transcript:fgenesh2_kg.5__2627__AT3G61520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LSA9] MSIVLSISRRRHSYILLNQFRLIRCFSDEVDPRPEIKSESQEFVVVKFLKNLQKIPQHDWASSESLSSLLVSSSSHSPLVFSQITRRLGSYSLAISFFEYLDSKSQSLKRREESLSLALQSVIEFAGSEPDSRDKLLRLYEIAKEKNIPLTVVATKLLIRWFGRMGMANQSVLVYERLDSNMKNSQVRNVVIDVLLRNGLVDDAFKVLDEMLQKESVFPPNRITADIVLHEVWKGRLLTEEKIIGLISRFSSHGVSPNSVWLTRFISSLCKNARTNAAWDILSDLMKNKAPLEAPPFNALLSCLGRNMNIGRMNALVLKMDEMKIRPDVVTLGILINTLCKSRRVDEALQVFEQMCGKRTDDGNVIKADSIHFNTLIDGLCKVGRLKEAEELLVRMKMEERCVPNTVTYNCLIDGYCRAGKLETAKEVVSRMKEDGIKPDVVTVNTIVGGMCRHHGLNMAVLFFMDMEKEGVKGNVVTYMTLIHACCSLSNIEKAMHWFDKMLEAGCSPDAKIYYALISGLCQVRRDHDAIRVVEKLREGGFSLDLLAYNMLIGLFCDKNNAEKVYEMLTDMEKEGMKPDSITYNTLISFFGKHKDFESVERMMEQMREDELDPTVATYGAVIEAYCSVGELGEALKLFKDMGLRSKVNPNTVIYNILINAFSKLGNFGQALSLKEEMKMKMVRPNVETYNALFKCLKEKNQAETLLKLMDEMVEQSCEPNQITMEILMERLSGSDELVKLRKFMQGYSVASPTEKASPFDVFSLG >fgenesh2_kg.5__2630__AT3G61530.1 pep chromosome:v.1.0:5:20321784:20323536:-1 gene:fgenesh2_kg.5__2630__AT3G61530.1 transcript:fgenesh2_kg.5__2630__AT3G61530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-methyl-2-oxobutanoate hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LSB0] MAASLVRSCSRASRAITTVRFMSNVPENTVYGGPKSQNPNQRVTLTQLRQKHRKGEPITMVTAYDYPSAVHIDTAGIDVCLVGDSAAMVVHGYDTTLPISLDEMLVHCRAVARGAKRPLLVGDLPFGTYESSTNQAVDTAVRVLKEGGMDAIKLEGGSPSRITAAKSIVEAGIAVMGHVGLTPQAISVLGGFRPQGKNIASAVKVVETAMALQEAGCFSVVLECVPPPVAAAATSALNIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQYAQVGEVINKALLEYKEEVSKHLFPGPSHSPYKIASSDLDGFLSELQNLGLDKAASAAAASAEKMEPSDSSSSQ >fgenesh2_kg.5__2632__AT3G61540.1 pep chromosome:v.1.0:5:20323605:20326081:1 gene:fgenesh2_kg.5__2632__AT3G61540.1 transcript:fgenesh2_kg.5__2632__AT3G61540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase family protein [Source:UniProtKB/TrEMBL;Acc:D7LSB1] MLATHALVTPIPKLTAFVLSLSTTPSKSSGSVPGFINFYRRRRFCRVITSMAGAESVSVEIAGESRPEHVTGKWFSVPELRLRDHRFIVPLDYSFNSKTSPKITVFAREIVAGGKEEQAMPYLLYLQGGPGFEGPRPSEASGWIQRACEEFRVILLDQRGTGLSTPLTSSSMLQFKSAKDLADYLVHFRADNIVKDAEFIRVRLVPNADPWTILGQSFGGFCALTYLSFAPEGLKQVLITGGIPPIGKTCTADDVYEAGFEQVARQNEKYYQRFPEDIEIVREIVKYLVESEGGGVPLPSGGILTPKGLQTLGLSGLGSSTGFERLHYMLERVWDPILVPGAPKRISQFFLNAFESWHSFDTNPLYALLHEAIYCEGASSGWSAHRLRDKYEYKFDVLKAVKESQPVLFTGEMIFPWMFDEIHALKPFKAAADLLAKKEDWPPLYDVPRLQNNKVPVAAAVYYEDMYVNFKLVTETASQISGIRLWVTNEFMHSGLRDAGRQIIDHLLGMINGKKPLF >fgenesh2_kg.5__2634__AT3G61560.1 pep chromosome:v.1.0:5:20328016:20329577:1 gene:fgenesh2_kg.5__2634__AT3G61560.1 transcript:fgenesh2_kg.5__2634__AT3G61560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:D7LSB3] MAEELEKSMPKEESLMDKIAEKIHDHDSSSSSDSEHEKPESPSALKAKIYRLFGREKPVHKVLGGGLRTADVFLWRDKKLSASVLGVATAIWVLFELVEYHFLSLVCHILIFALGALFLLSNAHAFMNKTPPKIPEIHIKEEHFLMIVSALRNELNQAFVILRSIALGRDLKKFLMVVVGLWIISVVGNWFNFLTLLYICFVVLHTVPMLYEKHEDKVDPVAEKTLKELKKHYMVFDEKVLSKLPIASLKAKLG >fgenesh2_kg.5__2638__AT3G61590.1 pep chromosome:v.1.0:5:20344633:20347043:1 gene:fgenesh2_kg.5__2638__AT3G61590.1 transcript:fgenesh2_kg.5__2638__AT3G61590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LSB9] MEAETSWTNYPYSYITYVPEAESYREQSDDEAKVETFSMDSLLPDDLLERILSFLPIASIFRAGTVCKRWNEIVSSRRFLCNFSNNSVSQRPWYFMFTSTDDPSGYAYDPIIRKWYSFDLPCIETSNWFVASSCGLVCFMDNDCRNKIYVSNPITKQWRRLIEPPGHRSTDYTAMSTSVNRANQSNRAVTRGNRTYSVSIVKSKQVTGNFFQWDISIHLYSSETMTWTTSVTDVLSGWRGGNESVICNDVLYFMIYSTGGSDHRHGLIASNLSSIGSSSSGILMRSFIPMPCSLTCGRLMNLRERLVIVGGIGKHDRPEVIKGIGIWVLKGKEWVEMAKMPQRFFQGFGEFDEVFASSGTDDLVYIQSYGSPALLTFDMNLKYWRWSQKCPVTKKFPLQLFTGFCFEPRLEIAP >fgenesh2_kg.5__2639__AT3G61600.1 pep chromosome:v.1.0:5:20348253:20351081:1 gene:fgenesh2_kg.5__2639__AT3G61600.1 transcript:fgenesh2_kg.5__2639__AT3G61600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LSC0] MRGTNENTDLFDPKTQMDPDFTRHGSSSDGDFGFAFNDSNFSDRLLRIEIMGGPSDSRSDVEGCTSIADWARHRKRRREDIKKESGGVTISDIVACPEEQILTDEQPDMDGCPGGENLDDEGGEAMVEEALSGDEEETSSEPNWGMDCSTVVRVKELHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASEEAALMELLNFMYSNAVSVTTAPALLDVLMAADKFEVASCMRYCSRLLRNMPMTPESALLYLELPSSVLMAKAVQPLTDAAKQFLAARYKDITKFHEEVMTLPLAGIEAILSSDDLQIASEDAVYDFILKWARAQYPCLEERREILGSRLALSIRFPFMTCRKLKKVLTCSDFEHEIASKLVLEALFFKAEAPHRQRSLASEESASLNRRLIERAYKYRPVKVVEFELPRPQCVVYLDLKREECGGLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFGVDYEFSARSKPAEDFISKYKGNYTFTGGKAVGYRNLFGVPWTSFIAEDSQYFINGILHLRAELTIKRSTDP >fgenesh2_kg.5__2641__AT3G61610.1 pep chromosome:v.1.0:5:20351952:20354143:1 gene:fgenesh2_kg.5__2641__AT3G61610.1 transcript:fgenesh2_kg.5__2641__AT3G61610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase family protein [Source:UniProtKB/TrEMBL;Acc:D7LSC1] MGHYATVWDQKEASEIIKDWNGVDQVLLRNPHGASAKISLHGGQVVSWRNEQGEELLFTSNKALFKPPKSMRGGIQICYPQFGDCGLLDQHGFARNKIWVIDENPPPLYSNESTGKSFVDLLLKPSEDDLKLWPHSFEFRLRVSLAVDGDLTLVSRIRNINGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLSKRELLTEQGDAITFESEMDRTYLRSPTVVAVLDHERKRTYVIGKEGLPDTVVWNPWEKKSKTMADFGDDEYKTMLCVDGAAVERPITLKPGEEWTGRLMLTAVKSSFCFDQLELQSKGF >fgenesh2_kg.5__2642__AT3G61620.1 pep chromosome:v.1.0:5:20354334:20356180:-1 gene:fgenesh2_kg.5__2642__AT3G61620.1 transcript:fgenesh2_kg.5__2642__AT3G61620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVNPEGLRLDGRRFNEMRQIVAEVGVVSKADGSAVFEMGNTKVIAAVYGPREIQNKSQQKKNDHAVVLCEYSMAQFSTGDRRRQKFDRRSTELSLVIRQTMEACILTELMPHSQIDIFLQVLQADGGTRSACINAATLALADAGIPMRDLAVSCSAGYLNSTPLLDLNYVEDSAGGADVTVGILPKLDKVTLLQMDAKLPMETFETVFALASEGCKAIAERIREVLQENTKQLEYRRAA >fgenesh2_kg.5__2643__AT3G61630.1 pep chromosome:v.1.0:5:20357828:20359166:1 gene:fgenesh2_kg.5__2643__AT3G61630.1 transcript:fgenesh2_kg.5__2643__AT3G61630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTRRVKFTEHRTVTNVAAKPSNGSPRLVRITVTDPFATDSSSDDDDNNVTMAPRVKRYVEEIRFCQGESSSTAARKAKHKAEKAVVVDDVSTSVKPKKYRGVRQRPWGKFAAEIRDPSSRTRIWLGTFVTAEEAAIAYDRAAIHLKGHKALTNFLTPPTTTTPTPVIDLQTVSACDSGRDFRQSLRSPTSVLGFNVNEETEHEIEPIELSPEKKSTVLKEEEESSASLVFPDPYLLPDFSLAGECFWDTEIAPDLLFLDEEIKIQSTLANTETSKQGENESEDFSFSLIDDFESSSWDVDNFFDHHRHHHSFD >fgenesh2_kg.5__2646__AT3G61660.1 pep chromosome:v.1.0:5:20372731:20373113:1 gene:fgenesh2_kg.5__2646__AT3G61660.1 transcript:fgenesh2_kg.5__2646__AT3G61660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LSC7] MVVDDDRILWDCGSPLYDSYELVSLTHIIERHFMSLPSLAGAGKVCCSRSPSDLGEIANRAASTVVDLCCSSDSTTGKLLCGAFGTKWWKRKKNTDKKKKKMFCRIFSKIRIPTTRSGSSKM >fgenesh2_kg.5__2647__AT3G61670.1 pep chromosome:v.1.0:5:20375312:20378464:1 gene:fgenesh2_kg.5__2647__AT3G61670.1 transcript:fgenesh2_kg.5__2647__AT3G61670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTKVRLVRCPKCENLLSEPEDSPFFQCGGCFTVLRAKIKEREADSVSVKSVEDKAKPISANSTSSPEKAIVDSSETSSDSDVPSLRHHQNVVPVDVESDPGSKEQGNRSILGDKDDLKSQSGRQDSGLDRFRKRTTTRCDSESVINRLSTSRHPCDEGTSSSANYFPDSLHEFQKHLKDQSNEAIEQDRAGLLRQLEKIKEQLVQSCNVATDKSKEQVPSSSSASGLNKAPPMRFHSTGNHAVGGPSYYHQHPEPQFPYNNNNNEVPMHHSLMHPSYGDTHRFPIHGRGPHPYFSGQYVGNNNNGHDLFDAYPQQNGHFHHSSCSCYQCYDNKYWRGPAPVIPDAPYNAGFYPHESVMGFAPPHNPRTYGSRGLQPHGRWPSNFSDAQMDSLSRIRPPKVVLSGGSRHIRPLAGGAPFITCQNCFELLQLPKKPEAGTKKQQKVRCGACSCLIDLSVVNNKFVLSTNTASTRQGEARVAADYTSDDYDLLGYVFHSLDDEPRDLPGLISDKSQDMQHVHSHSASLSEDELSSDSLTAKPLAQAQDNFVDYSSINHDRSGAGSRSSRSEHDKVTLSKTTAMRQNSMKEVSLASEMEVNFNDYSHRNSGVSKDQQQRAKKSGFASIVKKSFKDLTKSIQNDEGNKSNVSINGNPLTERLLRKAEKQAGVIQPGNYWYDYRAGFWGVMGGPGLGILPPFIEELNYPMPENCSGGTTGVFVNGRELHRKDLDLLAGRGLPPDRDRSYIVDITGRVIDEDTGEELDCLGKLAP >fgenesh2_kg.5__2648__AT3G61680.1 pep chromosome:v.1.0:5:20413082:20416613:1 gene:fgenesh2_kg.5__2648__AT3G61680.1 transcript:fgenesh2_kg.5__2648__AT3G61680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNTAMASTSPAAANDVLREHIGLRRSLSGQDLVVKGGGIRRSSSDNHLCCRSGNNNRILAVSVRPGMKTSRSVGMFSFQISSSIIPSPIKTLLFETDTSQDEKEIDEIEIETEPNLDGAKKANWVERLLEIRRQWKKEQRTESGNGDVAEESVDVTCGCEDEEEGCIANYGSENGDWGRESFSRLLVKVSWSEAKKLSQLAYLCNLAYTIPEIKGEDLRRNYGLKFVTSSLEKKAKAAILREKLEQDPTRVPVITSPELESEKQPQRSASSSASAYKIAASAASYIHSCKEYDLSESSNPVYKSAAAAQAAASTMTAVVAAGEEEKLEAARELQSLQSSPCEWFVCDDPNTYTRCFVIQGSDSLASWKANLFFEPAKFEETDVLVHRGIYEAAKGIYEQFLPEITEHLSRHGDRAKFQFTGHSLGGSLSLIVNLMLISRGLVSSEAMKSVVTFGSPFVFCGGEKILAELGLDESHVHCVMMHRDIVPRAFSCNYPDHVALVLKRLNGSFRTHPCLNKNKLLYSPMGKVFILQPSESVSPTHPWLPPGNALYILEKSNEGYSPTALRAFLNRPHPLETLSQRAAYGSEGSVLRDHDSKNYVKAVNGVLRQHTKLIVRKARIQRRSVWPVLTSAGRGLNGNLPTTEEIMTRV >fgenesh2_kg.5__2649__AT3G61690.1 pep chromosome:v.1.0:5:20419059:20425312:1 gene:fgenesh2_kg.5__2649__AT3G61690.1 transcript:fgenesh2_kg.5__2649__AT3G61690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LSD8] MGEHESWAASPPSPTLLYPNGLLPGKAASVTRQLDAERWAKAEDRTAKLIACIQPNPPSEDRRNAVASYVRRLIMECFPQVQIFMFGSVPLKTYLPDGDIDLTAFSANQNLKDSWANLVRDMLEKEEKNENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQIGGLCTLCFLEEVDHYINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFYLFNNSFSGPLEVLYRFLEFFSKFDWQNFCLSLWGPVPVSSLPDVTAAPPRKDVGELRVSEAFYRACSKVYAVNIAPQEIQGQPFVSKHFNVIDPLRENNNLGRSVSKGNFFRIRSAFTLGAKKLARLLECPKENLIHEVNQFFMNTWERHGSGRRPDAPGNDLWLSRLGDPESYHQAENVSNSSSNKRNQNAIRLGGVHGARSMPSQQNNCGTEIISRVTYQTQKSRGNSYQPAQDVISNQSASNDKLQQTAKPETLVNNFHGTHIFARTRSSPELTETYDEALLQSRRSRAPEAGKRQTNSTRVDNIRKMNMESETLSSSVRYSADSSSVRHTPSPRSPDSTADMSSAVNSYYDELGSVSVNEDFSVAGEQEEQDLVNSMTSAAGQGFNGHFPFPFNFSTGHLPFPITPSILASMGYGQRNMPGIIPSNLPFIDTPWSTNVQFPQNFVSPPFTHYFPSGAHPISEKPSKTGSDDMGSSEVNVDESDNDLWHEPERGTHSFGLENGGYGMHQADDKHQSSFAEHSFVPSSRKNRLTRGDGFKNSHSPVRGSSQIQSEERTAGSRSVSGASSVRSRTSSESSWDGSTTRGSKPAKDRRNRKVVSGAASTLYGKGKSVPEHSIQIDDDNREWIPVSSNEIIDRDLEPRPTVPSFQVQRHQIHGHELAQASGSESTVSLAPFLLGHGMQQNEVDNSGYTFYPTGPPVPIVAMLPMYNYQAGGNATSDTLASHHSVDEGVENHDPCKSFDSSRGLDQSEIVVSSHATRIGSSAEQVEHKNDILNGDFISHWQNLQYGRSCQNSQHPPVLYPAPVVVPPAYLQGRLPWDGPGRPLAYTNVVNQLMTYGPRLVPVAPVQPVSTRPPNIYPRYANETPRYRSGTGTYFPNPKISPREQRPTSGMRRGNYGHDRTDHHSDREGNWNAGTKTRGSGRNHNNRNQADNKPSSRQDRSDRHWGSSYRHESSSYSSHHSQNGPIRSNTSQDASGNIAYGMYRLPPGMKQNSVTSSEGHNVPSVMMFYPYDHNNVYNSPSEHHEYGSLGPAGEAPHLNDEDQPRFRGAAASAHMSSPDDPSSPHFPRGK >fgenesh2_kg.5__2651__AT3G61700.2 pep chromosome:v.1.0:5:20432604:20436517:1 gene:fgenesh2_kg.5__2651__AT3G61700.2 transcript:fgenesh2_kg.5__2651__AT3G61700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGQCAQELQSSTQASHDSQGEQKTNLSIDAPIQDSGSVSASSNDSRKVSRQDIELVQNLIERCLQLYMNRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQIILFNHLLEHQYHLMKYSPGPPKIPLAPIQNGMHPMAPVNMPMGYPVLQHPQMHVPGHPHLDAMGVSSCHVVNGVPAPANFHPLRMNTANDMVIDTTANDATPQVIPPNSGGMPEMVASPASVASSGHFPFAASDMSGMVMDTSVLDSAFTSDVGPDGEGAGNSRDSLRSFDQIPWNFSLSDLTADLSNLGDLGALGNYPGSPFLPSDSEIFLDSPEQEDIEEFFVDSVPGPRSNSDEEKP >fgenesh2_kg.5__2652__AT3G61710.1 pep chromosome:v.1.0:5:20440174:20443245:-1 gene:fgenesh2_kg.5__2652__AT3G61710.1 transcript:fgenesh2_kg.5__2652__AT3G61710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEEIPDKSRIDPNLPKWVCQNCHHALTIVGVDSYAGKFFNDSPPSGTQGSSIHGANSVLGSTRMDNSFVVLPRHKPHQGIPPRPRGASSAQPDATQSGKAMEESFVVVYKSEPASDSGASHNLSLEVGQNGPLHSNTSGFNATINVLTRAFDIARTQTQVEQPLCLECMRVLSDKLEKEVEDVTRDVEAYEACVQRLEGETQDVLSEADFLKEKKKIEEEERKLVAAIEETEKQNAEVNHQLKELESKGNRFNELEDRYWQEFNNFQFQLIAHQEERDAILAKIEVSQAHLELLNKTNVLTDAFPIRYDGDFGTINNFRLGRLPAIKVEWDEINAAWGQACLLLHTMCNYFRPKFQCRVKIQPMGSYPRIVDSNNETYELFGPVNLFWSTRYDKAMTLYLMCLKDFADFANSKDQENNIPPEKCLKLPYKIEKDKVEGFSITQSFNKQESWTKALKYTLCNLKWALYWFVGNTNFQPLSATVSLPSDISAAGSLYAKRGPDSSKPSAGKKP >fgenesh2_kg.5__2655__AT3G61720.1 pep chromosome:v.1.0:5:20445811:20448186:-1 gene:fgenesh2_kg.5__2655__AT3G61720.1 transcript:fgenesh2_kg.5__2655__AT3G61720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LSN2] MAANKDEFSVKQISPKLGGERGARNRYGPTSSHDLVEQMEFLYVEVIQAIRNSAVNPIARTCIPIVEITLGNYKSSTKNLPIGPNMDWNQVFAFDKTKGDVLSVTLKDGPTNTVINKRNFKLAADIPTRVPPDARIAPQWYSMHNTETDFYMELLMSVWFGTQVDEVYTEAWFSDASEVSAGYVINTRPKVYLAPRLCYVRVTIVSGHDLIPTDRKRTPSVYVTATLGQVALKTGVSSGTNPSWNQDLIFVASESLEGTVYIRLIDRVDDQHEECIGILKKKLSEMTPLKVPSSAPALFYDIETPVKVEPAGDSRRFASRLKMKLATDQAYHVADECTQYSSDYRAFAKGLWPCLLGKLEIGILGATGLKGSDEKKQGIDSYVVAKYGNKWGRTRTVVDSVSPKWNEQYSWDVYETCTVLTLGIYDNRQIFDKNQANDVPIGKVRIPLNRVQSDWIYTCSYPILKLGSSGLKKMGELQLAIRFVYVAQGYARYTAPFRLMLPKAHYKSPLSMSQIDKLRAQAVEINCANLAKTEPALRSEVVSDMLKPKNKSFSIRITKANFDRLYRVLKMVFWCVSVIASVRSTTELIPKVIACFVSLVFLFMEYWIYWLATSWVFGICIVLILLREIVKSPGKIYDWLPYWIVTPPPPLILVDLKLRKLDSINLDELAEEFDSFPSAENDVNILKMRYDRLRKIMENVMLLMGDAATQGERFLAAFKLLERPLVLIAFLVLCYVYMLVACLIWDITLVRKWVFMAFVVHWVQFPCVRNNLPEGNLNFFRRLPSNEDLMF >fgenesh2_kg.5__266__AT2G03640.2 pep chromosome:v.1.0:5:1940951:1943436:-1 gene:fgenesh2_kg.5__266__AT2G03640.2 transcript:fgenesh2_kg.5__266__AT2G03640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7LQJ9] MTPESNAPSVDPQFVGNGFVQEYYNHLYESSSEVHKFYLEDSLISRPGLDGEMVTIKSLKAINDQIMSVDYKSSKIQILTADSQPTLKNGVVTLVTGLVIGKDGGRRKFSQSFFLVPRNGSYFVLNDTFRYVSDEFFEPESTKEVEESQSTKAFTVEPANEIVEAVIVPTQAKTTVTKPASVIANGHAKVPEEKVVNGNINMPKVAEAKLQEEAPKKSFALIVQSLAENAGNFQDKASPAKPKRVEKSIVAPKPKAPASILKQASGETVKQQAQGSSIFVANLPMDATIEQLYETFKGFGAIRKDGIQVRSYPEKKNCIGFVAFENGESIKNVFQAHKETPIRIGNRRASIEEKRGSNNQNGSRSSGRSNSSYRNDGYKPRGSGVNGGRGYGRRNNESDGDGKAYQNNGHGNTEAKN >fgenesh2_kg.5__2672__AT3G61790.1 pep chromosome:v.1.0:5:20486170:20488259:-1 gene:fgenesh2_kg.5__2672__AT3G61790.1 transcript:fgenesh2_kg.5__2672__AT3G61790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:D7LSP3] MDCTSTVDVTDDEEIHQDRHSYASVSKHHTNHTNLNAAASGLLPTTTSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKNRVHNRCPTCRQELGDIRCLALEKVAESLELPCKHMSLGCPEIFPYYSKLKHETVCNFRPYNCPYAGSECSVTGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDETEARNYNYSLEVGGYGRKLIWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQQSGEGGGACIPNLS >fgenesh2_kg.5__2675__AT3G61820.1 pep chromosome:v.1.0:5:20494534:20496223:-1 gene:fgenesh2_kg.5__2675__AT3G61820.1 transcript:fgenesh2_kg.5__2675__AT3G61820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7LSP6] MERNVLNTLVFSVFVVLFFTSISSSASSQYQTLVVNTLPSSATLSWPESKSFSDESVSESTTSLSVHLSHVDALSSFSDASPVDLFKLRLQRDSLRVKSITSLAAVSTGRNATKRTPRSAGGFSGAVISGLSQGSGEYFMRLGVGTPATNVYMVLDTGSDVVWLQCSPCKACYNQSDVIFDPKKSKTFATVPCGSRLCRRLDDSSECVTRRSKTCLYQVSYGDGSFTEGDFSTETLTFHGARVDHVPLGCGHDNEGLFVGAAGLLGLGRGGLSFPSQTKSRYNGKFSYCLVDRTSSGSSSKPPSTIVFGNDAVPKTSVFTPLLTNPKLDTFYYLQLLGISVGGSRVPGVSESQFKLDATGNGGVIIDSGTSVTRLTQSAYVALRDAFRLGATKLKRAPSYSLFDTCFDLSGMTTVKVPTVVFHFGGGEVSLPASNYLIPVNTEGRFCFAFAGTMGSLSIIGNIQQQGFRVAYDLVGSRVGFLSRAC >fgenesh2_kg.5__2677__AT3G61840.1 pep chromosome:v.1.0:5:20511570:20512285:1 gene:fgenesh2_kg.5__2677__AT3G61840.1 transcript:fgenesh2_kg.5__2677__AT3G61840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTQKKNKKASFDEEDDNEAMIIITSKLQHVLAAKSRRLQYLSSPVTSNNVSLSLLSSPDDSLSRASIPFSWEVEPGKPKHHVRHPSYSKCLDLPPRMLLPDEFTKMPLTANHRCRLSGWKRWFHWKKERGGEDYVAGSCSFIYPSEDEDDMKTTRTRGLHCFSYVTRCYFWVN >fgenesh2_kg.5__2679__AT3G61850.1 pep chromosome:v.1.0:5:20514487:20516718:1 gene:fgenesh2_kg.5__2679__AT3G61850.1 transcript:fgenesh2_kg.5__2679__AT3G61850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKWTQGFQEMINVKPMEQMISSTNNNTSQQQPTFIATNARPNATASNGGSGENNNNTATTMETRKARPLEKVNCPRCNSTNTKFCYYNNYSLTQPRYFCKGCRRYWTEGGSLRNVPVGGSSRKNKRSSTPLASPSNPKLPDLNPPILFSSQIPNKSKDLNLLSFPVMQDHHHHALELLRSNGVSSRGMNTFLPGQMMDSNSVLYSSLGFPAMPDYKQSNNNLSFSIDHHQGIGHNTINSNQRAQDNNDDMNGASRVLFPFSDMKELSSTTQEKSHGNNTYWSGMFSNTGGSSW >fgenesh2_kg.5__267__AT2G03667.1 pep chromosome:v.1.0:5:1945151:1948456:1 gene:fgenesh2_kg.5__267__AT2G03667.1 transcript:fgenesh2_kg.5__267__AT2G03667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIAVVVCGVRIELSTLSSFLSETVTPFERLQVCVEDVKSVLSQRGPDSVGEKTIHLQQNASTCGQDSVTLSVSEASGGTYELEETTSLGELHFIGSTLQLRGTSPIRQPLVDSSGNILAYNGEVFGGIELNCYDNDTEVLLKSLEKAKALVPDVLSMIKGPWAIIYWQETSRTLWFGKDAFGRRSLLVHWPTVEDPRFLLSSVSPASSVAQGLDTENGNSIHRFWEELPCGVYSISFGVSELCIRGEVTKHEWRNTIWKELIEWKRELVVPRPEDLSTSSHSGIQEDKSVSTSLGLAQTVLVVLKESVRRRTSLHSIYQGEKEAVPVAVLFSGGLDSMILAALLDQCLDPKYEVDLLNVSFDGPNAPDRISAKAGIKELKKIAPLRRWKLVEIDADLSKLTFETKRVMSLINPADTYMDLNIGTALWLAARGDGWIHEDNENPSVEEDKQRVKYKSDARILLVGAGADEQCAGYGRHRTKYRNGSWVALDQEMKLDMQRIWKRNLGRDDRCIADNGKEGRFPFLDEDVIKTLLDIPLWEIADLEQPSGKGDKKILRQVAKLLGLHEVAKMPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVRFQAPSH >fgenesh2_kg.5__2682__AT3G61860.1 pep chromosome:v.1.0:5:20518961:20521337:-1 gene:fgenesh2_kg.5__2682__AT3G61860.1 transcript:fgenesh2_kg.5__2682__AT3G61860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine/serine-rich splicing factor 31 [Source:UniProtKB/TrEMBL;Acc:D7LSQ1] MRPVFVGNFEYETRQSDLERLFDKYGRVERVDMKSGYAFVYFEDERDAEDAIRKLDNFPFGYEKRRLSVEWAKGERGRPRGDAKATSNLKPTKTLFVINFDPIRTKEHDIEKHFEPYGKVINVRIRRNFSFVQFETQEDATKALEATQRSKILDRVVSVEYALKDDDERDDRYGGRSPRRSLSPVYRRRPSPDYGRRPSPDYGRRPSPDNGRARSPEYDRYKGPAAYERRRSPDYGRRSSDYGRQRSPGYDRYRSRSPVPRGRP >fgenesh2_kg.5__2683__AT3G61870.1 pep chromosome:v.1.0:5:20521804:20523228:1 gene:fgenesh2_kg.5__2683__AT3G61870.1 transcript:fgenesh2_kg.5__2683__AT3G61870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLHCLSTLHLLPRTHHPKTLNSLKPITKSQPCKIPDIPSTPNALQLLKSSSLPLAVIALPFFLDPQDAAAVGGEFGILEGRSFALIHPIVMGGLFAYTLWAGYLGWQWRRVRTIQNEISELKKQLKPTPVSPDGSTAVDSSSPPSTTELQIQRLTEERKELVKGSYRDKHFDAGSVLLGFGVLEAVFGGVNTYLRTGKLFPGPHLYAGAGITVLWAAAAALVPAMQKGNETARSLHIALNAVNVLLFIWQIPTGLDIVLKVFEFTKWP >fgenesh2_kg.5__2686__AT3G61890.1 pep chromosome:v.1.0:5:20540887:20542181:-1 gene:fgenesh2_kg.5__2686__AT3G61890.1 transcript:fgenesh2_kg.5__2686__AT3G61890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHB-12 [Source:UniProtKB/TrEMBL;Acc:D7LSQ4] MEEGDLFNCCFSEINSGMTMNKKKMKKKSNNQKRFSEEQIKSLELIFESETRLEPRKKVQVARELGLQPRQVAIWFQNKRARWKTKQLEKEYNILRSNYNNLASQFEIMKKEKQSLVTELQRQNEEMQKPKEEKHHECCGDQGVALSSSTESHNGKSEPEVRLNQGIVLCDDGDYNNNIKTEYFGFEEETDHELMNIVEKADDSCLTSSENWGGFNSDSLLDQSSSNYPNWWEFWS >fgenesh2_kg.5__2690__AT3G61930.1 pep chromosome:v.1.0:5:20576683:20577355:1 gene:fgenesh2_kg.5__2690__AT3G61930.1 transcript:fgenesh2_kg.5__2690__AT3G61930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFLRRSCAVHPASGEGGRGRHVEDPQQQRRRSVRIKVRMRRDQLEDLLYLARRGDQSDDGGKIGFLILKECMEGRLPASVLGSGGDVRSQRCGSYLVSRRLGSITEE >fgenesh2_kg.5__2691__AT3G61940.1 pep chromosome:v.1.0:5:20578365:20579848:1 gene:fgenesh2_kg.5__2691__AT3G61940.1 transcript:fgenesh2_kg.5__2691__AT3G61940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRSKVCGETACGFSISTSDAKERAASMRKLCFVVVSCLLFMSIEVVGGIKANSLAILADAAHLLTDVGAFAISMLSLWASSWEANPRQSYGFFRIEILGALVSIQLIWLLTGILVYEAVTRLVQETNDDVDGFFMVLVATFGLLVNIIMIVVLGHDHGHGHGHGHCRGHSHSHGEKAEQLLEKSKEIKNINVQGAYLHVLGDLIQSIGVMIGGGMIWYNPKWKVIDLICTLVFSVIVLGTTIKMLRSILEVLMESTPREIDARQLEKGLMEIEEVVDVHELHIWAITVGKALFSCHVKVRPEADDEMVLNKVIDYIWREYRISHVTIQIER >fgenesh2_kg.5__2692__AT3G61950.1 pep chromosome:v.1.0:5:20580823:20582652:1 gene:fgenesh2_kg.5__2692__AT3G61950.1 transcript:fgenesh2_kg.5__2692__AT3G61950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7LSR1] MKRFQGHINPCFFDRKPDVRSLEVQGFAEAQSFAFKEEEEESLQDTVPFLQMLQSEDPSSFFSIKEPNFLTLLSLQTLKEPWELESFPESDSPRQSETNCFYQKPSMEGANQALSSQEPFLSQAIMTLPSSTSSPLTANSRRKRKINHLLPQEMTREKRKRRKTKPSKNIEEIENQRINHIAVERNRRRQMNEHINSLRALLPPSYIQRGDQASIVGGAINYVKVLEQIIQSLESQKRTQQESSEVVENAINHLSGISSNALWTTQEDQTYIPKIEATVIQNHVSLKVQCPKKQGQLLKGIISLEKLKLTVLHLNITTSSHSSVSYSFNLKMEDECELESADEITAAVHQIFDIPTI >fgenesh2_kg.5__2696__AT3G61970.1 pep chromosome:v.1.0:5:20596240:20597723:1 gene:fgenesh2_kg.5__2696__AT3G61970.1 transcript:fgenesh2_kg.5__2696__AT3G61970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEDKEKPIEEASSSMEREHMFDKVVTPSDVGKLNRLVIPKQHAERYFPLDNSTTNDNNKGLLLNFEDRSGNSWRFRYSYWNSSQSYVMTKGWSRFVKDKKLDAGDIVSFQRDSGNKDKLYIDWRRRPKIPDHHHHHHQFAGAMFPRFYTFPHPQMPTNYESHNLYHRFHQRDLGIGYYVRSMERSNPTAVIESVPVMMQRRAQVASMASRGEKRLRLFGVDMECGGGGGGGGSVNSTEEESSSSGGSMPRGGVSMVGAGSLLQLRLVSSDDESLVAMEAASLEDHHFFTKKGKSSLSFDLDR >fgenesh2_kg.5__2697__AT3G61980.1 pep chromosome:v.1.0:5:20603501:20604244:-1 gene:fgenesh2_kg.5__2697__AT3G61980.1 transcript:fgenesh2_kg.5__2697__AT3G61980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease inhibitor, Kazal-type family protein [Source:UniProtKB/TrEMBL;Acc:D7LSR6] MPSLTLSIPFLFLVLCLIGLQAADDFLDNSGGDVCPRVSDSGGCPINCFRADPVCGADGVTYWCGCPDAACHGARVVKRGACDTGNAGSASVPGQALLLIHIVWLFLLGLSLLVGVF >fgenesh2_kg.5__2698__AT3G61990.1 pep chromosome:v.1.0:5:20604445:20606451:-1 gene:fgenesh2_kg.5__2698__AT3G61990.1 transcript:fgenesh2_kg.5__2698__AT3G61990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase family 3 protein [Source:UniProtKB/TrEMBL;Acc:D7LSR7] MPSFSLSFPFLLLVFCFIGLLAADDLPHKSGGDVCSGGSDRRTPDIRLNRPTSSVVGNCPAEPSPLVMADDEKYGNKMVISLTPRLYDYVLNNVREHEVLRQLREETAISQIQVSPDQAQLLAMLVEILGAERCIEVGVYTGYSSLAVALVLPESGRLVACDKDANALEVAKRYYELAGVSHKVTVKHGLAAESLMSMIQNGEESSYDFAFLDADKAMYQEYFESLLRLVRVGGVIVIDNVLWHGWVADSMVNDERTISLRNFNKKLMDDQRVSISMVSIGDGMTICRKR >fgenesh2_kg.5__2699__AT3G62000.1 pep chromosome:v.1.0:5:20606777:20608724:-1 gene:fgenesh2_kg.5__2699__AT3G62000.1 transcript:fgenesh2_kg.5__2699__AT3G62000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase family 3 protein [Source:UniProtKB/TrEMBL;Acc:D7LSR8] MSTGLALNRCSISVCRTAGTLLNRPTVSVVRSLKFSHRLIGNCSIAPADPVVVAEDVKYGNKEVISLTPRLYDYVLSNVREPKILRQLREETSKMRGSQMQVSPDQAQLLAMLVQLLGAERCIEVGVYTGYSSLAVALVLPESGCLVACERDSNSLEVAKRYYKLAGVSHKVNVKHGLAAESLKSMIQNGEGSSYDFAFVDADKRMYQDYFELLLQLVRVGGVIVMDNVLWHGRVTDPLVNDAKTISIRNFNKKLMDDKRVSISMVPIGDGMTICRKR >fgenesh2_kg.5__2700__AT3G62010.1 pep chromosome:v.1.0:5:20612353:20619079:1 gene:fgenesh2_kg.5__2700__AT3G62010.1 transcript:fgenesh2_kg.5__2700__AT3G62010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7LSR9] MSIPKEPEQVMKLRDGSVLGKKTILKSDHFPGCQNKRMTPQIEGAPNYRQADSLRVHGVAIPTAVGIRNVLRHIGAHKDGKQAKVLWISLREEPVVYINGRPFVLRDVEKPFTNLEYTGINRVRVEQMEARLKEDILMEASRYGNKILVTDELPDGQMVDQWEPVSTDSLKTLLEVYEELQAEGYLLDYERVPITDEKSPKETDFDLLIRKISQADINTEIIFNCQMGRGRTTTGMVIATLVYFKRTRASDQGFPRNNSFGRIFKAGENITVNLPNSEEAIRRGEYAVVRSLIRVLEGGVEGKRQVDKVIDKCASMQNLREAIATYRSSILRQPDEKKREAALSFFVEYLERYYFLICFAVYLHSEGAFLQSGSLGHVSFADWMRARPELYSILRRLLRRDPMGALGYAAMKPSLTKIAESTDGRPHEMSVVAALRSGAVLGSQTVLKSDHSPGCQILSLPERVEGAPNFREVPGFPVYGVANPTIDGIRSVIERVGSSRGGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRDRVEGMEARLKEDILREAKRYDGAIMVIHETKDGQIFDLWENVDADSVQTPLEVYKCLEADGFPIKYARVPITDGKAPKSSDFDTLTSNIASASKDTAFVFNCQMGRGRTTTGTVIACLVKLRINYGRPIKVLYDVLTHDIVDEDSSSGGEETGSNNAEARPRNSGRRTEEEQGRAFGMDDILLLWKITRLFDNGVESREALDAVIDRCSALQNIREAVLQYRKVFNQQHVEPRVRSAALKRGAEYLERYFRLIAFAAYLGSKAFDGFFVEGESKVTFKNWLNQRPEVQAMKWSIRLRPGRFFTIPEELRAQHESQHGDAVMESIVNERSGSVLGKGSILKMYFFPGQRTSSRLQINGAPHVYKVDRYPVYSMATPTISGAKKMLAYLGTKLKEEGGVSTERIVVTDLREEAVVYINGTPFVLRELSKPVDTLKHVGITGAVVESIESRLKEDILAEVRETGGRMLLHREEYSPASNQSRVIGYWENIQPDNVKTPAEVYAALKDENYNISYRRIPLTREKDALASDVDAIQYCKDDSAGSYLFVSHTGFGGVSYAMAITCLLLQPGQNFTATPTTDSSTLEEDDSPSRVCDEEALSMGDYRDILSLIRVLSHGPQSKSDVDGIVELCAGAGHLREDIVYYSKELNKLPITKDENRSYIMDMGVKALRRYFYLITFRSYLYCTSPEEMKFLDWMKSRPELGHLCNNLRIDK >fgenesh2_kg.5__2702__AT3G62020.1 pep chromosome:v.1.0:5:20619104:20619972:-1 gene:fgenesh2_kg.5__2702__AT3G62020.1 transcript:fgenesh2_kg.5__2702__AT3G62020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRCYGFFFALFSLTVVALAYDPDTLQDLCVADHTSGIKVNGFTCKPESNITASDFFFAGIGKPAVVNNTVGSAVTGANVEKIAGLNTLGVSLARIDYAPGGLNPPHTHPRATEVIFVLEGELDVGFITTANKLFAKTVKKGEVFVFPRGLIHYQKNNDKAKPASVISAFNSQLPGTQSIAATLFTATPAIPDHVLTTAFQIGTKEIEKIKSKFAPKKV >fgenesh2_kg.5__2703__AT3G62030.1 pep chromosome:v.1.0:5:20635747:20637133:1 gene:fgenesh2_kg.5__2703__AT3G62030.1 transcript:fgenesh2_kg.5__2703__AT3G62030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LSS4] IGFVVKSQLVCANRTSQSVCFGVRSSGIALSSRLHYASPIKQFSGVHASTKHQRTACVKSMAAEEEEVIEPQAKVTNKVYFDVEIGGEVAGRIVMGLFGEVVPKTVENFRALCTGEKKYGYKGSSFHRIIKDFMIQGGDFTEGNGTGGISIYGAKFEDENFTLKHTGPGILSMANAGPNTNGSQFFICTVKTPWLDNKHVVFGQVIEGMKLVRTLESQETRAFDVPKKGCRIYACGELPLEA >fgenesh2_kg.5__2705__AT3G62060.1 pep chromosome:v.1.0:5:20655558:20658508:1 gene:fgenesh2_kg.5__2705__AT3G62060.1 transcript:fgenesh2_kg.5__2705__AT3G62060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:D7LST0] MKSVLLWVAVVVCLLCSVTAVIRSGSSDGFGKPIDTDTAISLLEYKLMAPSVPMVPLTLIRGADSKGAVCLDGTLPGYHLDRGFGSGANSWLIQLEGGGWCNNHRSCVYRKTSRRGSSKFMEKALAFTGILSNKSEENPDFFNWNRIKLRYCDGASFSGDSQDESSQLFYRGQRIWQVAMEEFLSLGMKQANQALLSGCSAGGLASILHCDEFRELLPSSTKVKCLSDAGMFLDAVDVSGGHSLRNMFQGVVTVQNLQKDLSSTCTNHLDPTSCFFPQNLVSDIKTPMFLLNTAYDSWQIQESLAPPTADPGGIWKACKSDHSRCNSSQIQFFEEFRTQMVLAVNSFSNSDQNGLYINSCFAHCQTERQDTWFAQDSPQLNGKRVAESVGDWYFDRANNVKAIDCPYPCDTTCHNLIFE >fgenesh2_kg.5__2707__AT3G62080.2 pep chromosome:v.1.0:5:20661929:20664631:1 gene:fgenesh2_kg.5__2707__AT3G62080.2 transcript:fgenesh2_kg.5__2707__AT3G62080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:UniProtKB/TrEMBL;Acc:D7LST2] MDSEAVKEFIRREVPDWDDEVVTTARFKAFSGQRSDWELKFQFWRDLILKVSRQFGLFIIDPVKVKKAWFNRGGITPLCIDHVLLLMHSEGDVVRISDIDDPGSGRISRLLRTVRNLMVRQSVKPEEILETKLVFVPLLKEKAADVVNILSEGHWTSTCVVTLKKFRNLCNGSNEASAVLSHLSGCGKAHKISINRGELIEGVKVSFSQAALPSISTLDCDILHLLRTTEKLQDQLEVMDQRCEKSKKSALASLKSGHRKVALRHARELKLATESREKCTSLLNRVEEVLNTIADSESTKMVSEAIKTGARVMKDVKISADDVHDYLEELEETIESQKQVEKALESAPYPDTDDENIEEELLELEMDLESESSQVLPATSDTADSLTEMFSELKLGKTKQTLEEQATEPARMKDSGKKILEAA >fgenesh2_kg.5__2710__AT3G62150.1 pep chromosome:v.1.0:5:20679804:20684632:1 gene:fgenesh2_kg.5__2710__AT3G62150.1 transcript:fgenesh2_kg.5__2710__AT3G62150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:p-glycoprotein 21 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LST6] MDSVMESEEGLKVDSPNRANVETSNSKIHEEEEKELKTESVKKKTEKNKKEEEDEKTKTVPFHKLFAFADSFDIVLMILGTIGAVGNGLGFPIMTILFGDVIDVFGQNQNSSDVSDKIAKVALKFVYLGLGTLVAALLQVSGWMISGERQAGRIRSLYLQTILRQDIAFFDVETNTGEVVGRMSGDTVLIQDAMGEKVGKAIQLVSTFVGGFVIAFTEGWLLTLVMVSSIPLLVMTGAALAIVISKMASRGQTSYAKAAVVVEQTVGSIRTVASFTGEKQAISNYNKHLVSAYRAGVFEGASTGLGLGTLNIVIFCTYALAVWYGGKMILEKGYTGGQVLIIIFAVLTGSMSLGQASPCLSAFAAGQAAAYKMFETIKRKPEIDASDTTGKVLDDIRGDIELKDVNFSYPARPEEQIFRGFSLSISSGSTVALVGQSGSGKSTVVSLIERFYDPQSGEVRIDGINLKEFQLKWIRSKIGLVSQEPVLFTSSIKENIAYGKENATIEEIRKATELANASKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAVARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVVVAHRLSTVRNADMIAVIHQGKIVEKGSHSELLRDPEGSYSQLIRLQEDTKQTEDSTDEQKLSMESMKRSSLRKSSLSRSLSKRSSSFSMFGFPAGIDTNNEAIPEQDIKVSTPIKEKKVSFFRVAALNKPEIPMLILGSIAAVLNGVILPIFGILISSVIEAFFKPPQQLKSDTRFWAIIFMLLGVASMVVYPAQTIFFSIAGCKLVQRIRSMCFEKVVRMEVGWFDETENSSGAIGARLSADAATVRGLVGDALAQTVQNLASVTAGLVIAFVASWQLAFIVLAMLPLIGLNGYIYMKFMVGFSADAKEASQVANDAVGSIRTVASFCAEEKVMKMYKKKCEGPMRTGIRQGIVSGIGFGVSFFVLFSSYAASFYAGARLVDDGKTTFDSVFRVFFALTMAAVAISQSSSLSPDSSKASNAAASIFAVIDRESKIDPSDESGRVLDNVKGDIELRHISFKYPSRPDVQIFQDLCLSIRAGKTIALVGESGSGKSTVIALLQRFYDPDSGQITLDGVEIKTLQLKWLRQQTGLVSQEPVLFNETIRANIAYGKGGDASETKIVSAAELSNAHGFISGLQQGYDTMVGERGVQLSGGQKQRVAIARAIVKDPKVLLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHETLINIKDGVYASLVQLHLSAST >fgenesh2_kg.5__2712__AT3G62130.1 pep chromosome:v.1.0:5:20690165:20692217:-1 gene:fgenesh2_kg.5__2712__AT3G62130.1 transcript:fgenesh2_kg.5__2712__AT3G62130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGERRNGDSMSHNHRAPKKPRLAGLLTESDIDSEFAHHQTGVARINNGSFGCCPGSVLEAQREWQLRYLRQPDEFYFNGLRRGLVASRTVISDLINADDVDEVSLVDNATTAAAIVLQKVGRCFSEGKYKKEDTVVMFHCAFQSVKKSIQAYVSRVGGFTVEVRLPFPVNSNEEIISKFREGLEKGRANGRTVRLAIIDHITSMPCVLMPVRELVKICREEGVEQVFVDAAHAIGSVKVDVKEIGADYYVSNLHKWLFCPPSIAFFYCKKRGSESDVHHPVVSHEFGNGLPIESAWIGTRDYSSQLVVPSVMEFVNRFEGGIEGIMIRNHDEAVRMGLMLADAWGTNLGSPPEMCVGMVMIGLPSKLCVESDEDATKLRSYLRVHYSVEVPVYFLGLRDGEEGVKDKDSGLITAYVRISHQIYNKTEDYERLRDAITELVKDQMTCQNLPSG >fgenesh2_kg.5__2714__AT3G62110.1 pep chromosome:v.1.0:5:20698074:20701300:1 gene:fgenesh2_kg.5__2714__AT3G62110.1 transcript:fgenesh2_kg.5__2714__AT3G62110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7LSU1] MKRSFLLLYVLLVQSFYGAWCSVGGSLHCEYSSLASLHRPHSVSITEFGAVGDGVTLNTKAFQNALFYLNSFSDKGGAKLFVPAGQWLTGSFDLISHLTLWLDKGATILGSTSSENWPVVDPLPSYGRGRELPGRRHRSLIYGQNLTDVVITGENGTIDGQGSVWWDWFRNGELNYTRPHLVELMNSTGLIISNLTFLNSPFWNIHPVYCRDVVVKNLTILAPLESPNTDGVDPDSSTNVCIEDCYIVTGDDLVSIKSGWDEYGISYARPSSKIKINRLTGQTTSSSGIAIGSEMSGGVSEIYIKDLHLFNSNTGIRIKTSPGRGGYVRNVHILNVKLDNVKKAIRFTGKYGEHPDEKFDPKALPAIEKITFENVNGDGIGVAGLLEGIAGDEFKNICFLNVTLRVKKNSKKSPWECSNVRGYSQWVSPEITCDSLKESIFPEHQSDCFGLSENNLDISSGLSRSPWLLSW >fgenesh2_kg.5__2715__AT3G62100.1 pep chromosome:v.1.0:5:20701570:20703329:-1 gene:fgenesh2_kg.5__2715__AT3G62100.1 transcript:fgenesh2_kg.5__2715__AT3G62100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7LSU2] MGRGRSSSSSSIESSCKSNPFGVSSSNTRNLSTDLRLGLSFGSSSGQYYNGGENHEYDGVGAAEEMMIMEEEDQNECNSVGSFYVKVNMEGVPIGRKIDLLSLNGYHDLITTLDYMFNASILWAEEEEMCSEKSHVLTYADKEGDWMMVGDVPWEMFLSSVRRLKISRAYHY >fgenesh2_kg.5__2718__AT3G62170.1 pep chromosome:v.1.0:5:20724680:20726988:-1 gene:fgenesh2_kg.5__2718__AT3G62170.1 transcript:fgenesh2_kg.5__2718__AT3G62170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7LSU7] MAVGKVVISVASLLLVVGVAIGVITYVNKGGGANGDSNAPINSHQKAVQTICQSTTDQGSCAKTLEPVKSDDPSKLVKAFLMATKDAITKSSNFTASTEGGMGTNMNATSKAVLDYCKKVLTYALEDLETIVEEMGEDLQQSGTKLDQLKQWLTGVFNYQTDCLDDIEEVELKKIMGEGISNSKVLTSNAIDIFHSVVTAMAQMGVKVDDMKNISIGAGASGAPARRLLEDTDAKGLPKWFSGKDRKLMAKAGRGAPAGGAGGDDGIGEGGGGGGKIKATHVVAKDGSGQFKTISQAVMACPDKNPGRCIIHIKAGIYNEQVTIPKKKNNIFMFGDGATQTIITFNRSVKLSPGTTTSLSGTVQVESEGFMAKWIGFKNTAGPLGHQAVALRVNGDRAVIFNCRFDGYQDTLYVNNGRQFYRNIVVSGTVDFIFGKSATVIQNSLILVRKGSPGQSNYVTADGNEKGAAMKIGIVLHNCRIIPDKELEADKLTIKSFLGRPWKKFATTVIIGTEIGDLIKPEGWTEWQGEQNHKTAKYIEFNNRGPGAATTQRPPWVKVAKSAAEVEAYTVANWVGPANWIQEANVPVQLGL >fgenesh2_kg.5__2720__AT3G62200.1 pep chromosome:v.1.0:5:20731875:20734281:-1 gene:fgenesh2_kg.5__2720__AT3G62200.1 transcript:fgenesh2_kg.5__2720__AT3G62200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LSV0] MSTNTTSDGDFGTASVAAEMAEAQYVRAKTSVWWDIENCQVPKGLDAHGIAQNITSALQKMNYCGPVSISAYGDTNRIPLSIQHALNSTGIALNHVPAGVKDASDKKILVDMLFWALDNPAPANFMLISGDRDFSNALHGLRMRRYNVLLAQPLKASVPLVHAAKTVWLWTSLSAGGIPLTRAESLQLVANQTTPTSGSEIPSSQPLDSNFDSRRVFDNKPKVKYLSKQSNHQPNNNYRQQQNTQGKQFKKAPHEFFGSSQPSVSTSRPPPNLPSSNVNTFPGNVMTNPQNQNQYNYPPRPGPFPPRQPYPNPDPSWNNGNSIPNHAQNYYPNAGRPGASNMRPPYGNVFRPYRPENLNPPIGNGFRPMQHPRNDGPRFPSPPLLTAPDISNLSVSQYPSQTQNRPNFNPQVRQEFRPKMESSYSHNGPNKGYIPRTSSAPVTHSTTTTGYTNSSSPGVPPSQPPVVTGSGSSNGMWGTQECPPPSEYVQGLIGVILHALNILKTEKVMPTEPNISDCIQYGDPKHRGTDIKKALESALEHHMIVVTNVGKLKLYIGKNEALWNCVNPLGANAKQYPKATWDRIQEFLTSSSGRVAFTATQCRYEAAQVLKKECLKELTLGDILQILNITATTKKWITHHQTGWKPITISLAAETKETTNETATETDTGIQTVA >fgenesh2_kg.5__2722__AT3G62250.1 pep chromosome:v.1.0:5:20742929:20743594:1 gene:fgenesh2_kg.5__2722__AT3G62250.1 transcript:fgenesh2_kg.5__2722__AT3G62250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLAVLQFYKIDGSGKVQRLRKECPNATCGAGTFMASHFDRHYCGKCGLTYVYQKEGGDE >fgenesh2_kg.5__2723__AT3G62260.2 pep chromosome:v.1.0:5:20744383:20746640:-1 gene:fgenesh2_kg.5__2723__AT3G62260.2 transcript:fgenesh2_kg.5__2723__AT3G62260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVVFQQSLPAVLEIELFDGVSSAVKSPVSSPKLGFCQSTASVSSSLTTSPVQADIFPEGDCDPSVSDYIPTIRSGSFADIGPKRHMEDEHIRIDDLASQVGSLFELPKPSAFYAVFDGHGGSEAAAYVRENAIRFFFEDEQFPQTSQVSSDYVEEVQSSLRNAFLQADLALAEDCSISSSCGTTALTALICGRLLMVANAGDCRAVLCRKGKAIDMSEDHKPINLLERRRVEESGGFIDNDGYLNEVLAVTRALGDWDLKLPHGSQSPLISEPEIKQITLSEDDEFLVIGCDGIWDVLTSQEAVSIVKRGLNRHNDPTRCARELVMEALRRNSFDNLTAVVVCFMSMDRGDKPVVPLEKRRCFSLTPEAFCSLRNLLDG >fgenesh2_kg.5__2725__AT3G62270.1 pep chromosome:v.1.0:5:20750018:20753699:-1 gene:fgenesh2_kg.5__2725__AT3G62270.1 transcript:fgenesh2_kg.5__2725__AT3G62270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anion exchange family protein [Source:UniProtKB/TrEMBL;Acc:D7LSV6] MEETFVPFEGIKNDVKGRLMCYKQDWIGGIKAGFRILAPTTYIFFASAIPVISFGEQLERSTDGVLTAVQTLASTAICGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFAKGRPELGRNLFLAWSGWVCVWTSLILFVLAICGACSIINRFTRVAGELFGLLIAMLFMQQAIKGLVDEFRAPAREDLKLVEFLPSWRFANGMFALVLSFGLLITALRSRKARSWRYGTGWLRSLIADYGVPLMVLVWTGVSYIPTGDVPKGIPRRLFSPNPWSPGAYENWTVVKEMLQVPIVYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFLTLMCGLLGIPPSNGVIPQSPMHTKSLATLKYQLLRNRLVATARRSIKQNASLGQLYGNMQDAYNQMQTPLVYQQPQGLKELRESTIQATTFTGNLDAPVDETLFDIEKEIDDLLPIEVKEQRVSNLLQAIMVGGCVAAMPLLKMIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRFKVLEDNHATFVETVPFKTIAMFTIFQTTYLLTCFGLTWIPIAGVMFPLMIMFLIPVRQYILPRFFKSAHLQDLDAAEYEEAPALPFHLAVPEAEMGSTASYPCDSEILDEFITRSRGEFRHTCSPKVTSSTSTPVYNRNLSQVFSPRVNDLRGEMSPRLAGKGQSSPKPSPLNPSSSSK >fgenesh2_kg.5__2726__AT3G62280.1 pep chromosome:v.1.0:5:20758057:20759735:-1 gene:fgenesh2_kg.5__2726__AT3G62280.1 transcript:fgenesh2_kg.5__2726__AT3G62280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:D7LSV7] MDSAVSSLQCFFFILCLSLMVCSNSEISSKSNKKRILINFGDSNSDTGGVLAGVGLPIGLPHGITFFHRGTGRLGDGRLIVDFFCEHLKMTYLSPYLDSLSPNFKRGVNFAVSGATALPVFSFPLAIQIRQFVHFKNRSQELISSGRRDLIDDNGFKNALYMIDIGQNDLLLALYDSNLTYTPVVEKIPSMLLEIKKAIQTVYLYGGRKFWVHNTGPLGCAPKELAIHPHNDSDLDPIGCFRVHNEVAEAFNKGLFSLCNELRSQFKDATLVYVDIYSIKYKLSADFKRYGFVDPLMACCGYGGRPNNYDRKATCGQPGSTICRDVTKAIVWDGVHYTEAANRFVVDAVLTNRYSYPKIPLDRFW >fgenesh2_kg.5__2727__AT3G62290.1 pep chromosome:v.1.0:5:20760253:20762292:1 gene:fgenesh2_kg.5__2727__AT3G62290.1 transcript:fgenesh2_kg.5__2727__AT3G62290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor [Source:UniProtKB/TrEMBL;Acc:D7LSV8] MGLSFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKVYIPSFKNA >fgenesh2_kg.5__2728__AT3G62300.1 pep chromosome:v.1.0:5:20762683:20765707:1 gene:fgenesh2_kg.5__2728__AT3G62300.1 transcript:fgenesh2_kg.5__2728__AT3G62300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LSV9] TTGRKEKLSVSKGSEIEVSSQEYEYGTGNVWYCAILEETLAKSKRKKLSVRHLDPLLKDDYSPPLIQTTVHRLMRPVPPPDPFPEVDFEEGDVVDAAHKGGWWSGLVIKVLGNRRFLVYLRFQPDVIELQRKDLRPHFTWKDDEWFRYEKLQLTESDFSAGKSVEVRTEVHNSGHVWAPAMVIKENEDGTLLVKLKTLSDEEVDCTKISVSYSKIRPSPLPIGLRDYKLMENVDALVESGWCPGVVSKVLFGKRYAVALGPNKESKEFSRLQLRPSIEWKDGVWHKEEKVYDSEESSHGVEETARSTRIRVTVRTALREKNASASVKNLRATRSSSGAIQNMQNPLPASSSGDVAEAGRASVTVSETPLSVTAALSGELGSRMTDVVMNENTPVTSQPEIAAPKDFHPSIVLGVAAAVKTQGKTSPKKKLQAMKNQKSSTNDSAKEKVSVNKRKRGQPRKFISTEPKQKTGVSGNNSKAATIEHTDMTEDDRPLASWIHAGNSSSGQSVSRTPDLGLNTVVEKHVDVLETPPARESTMVLPFVKKSQLWKVLESMEVFKTVPQRPHFSPLLDSEEEFREGDAIGTMVKFSSLLEKVNNLQVDDPISSINRIDECFLKLEKHGFNVTTPRSRIAKLLSIKERQTCALEELKAVEEKITENDNKRRKYEEDIAELQRQEVLMKEAKVTLDNEIARMQSQAAVLDQKVQNVDQEFQAIVATQWK >fgenesh2_kg.5__2730__AT3G62340.1 pep chromosome:v.1.0:5:20781766:20783610:-1 gene:fgenesh2_kg.5__2730__AT3G62340.1 transcript:fgenesh2_kg.5__2730__AT3G62340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIGVGMPFYDLGQTRVYSHFSDFHDLSAERYPVGFMDLLGVHRHALTHSPLSSEKKRDDEEEEEEEEDGEEHQHKTNKRFKFTKSIEKTKMKVPKVSFITKSEVLHLDDGYKWRKYGQKPVKDSPFPRNYDVKKRVERSFSDPSSVITTYEGQHTHPRPVLIMPKDRSSRSSGSDSRSHFGHFGLPTLPPQLLDYNNHQQQQQGPSPSSFETEYINRQEKGIDRDDDDDHVVKKSRTRDLLDGAGLVKDHGLLQDVVSSHIIKEEY >fgenesh2_kg.5__2732__AT3G62360.1 pep chromosome:v.1.0:5:20785851:20793571:-1 gene:fgenesh2_kg.5__2732__AT3G62360.1 transcript:fgenesh2_kg.5__2732__AT3G62360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRKICHSLIVFLIAISTVYGVSADSIKGCGGFVEASSSLVSSRKGSDGKLDYSHITVELQTVDGLVKDSTQCAPNGYYFIPVYDKGSFILKINGPEGWSWNPDKVTVVVDDSSCNNNEDINFRFTGFTLSGKVLGAVGGESCVIKNGGPADVNVELLSSDGDPVASVLTSSDGSYLFKNIIPGKYNIRASHPELQVEVRGSIEVELGFANGMVDDIFFVRGYDLKGSVVAQGNPILGVHIYLHSDDVSMVDCPQGFGDAAGERKPLCHAVSDAEGIFSFKSIPCGKYELVPHYKGENTVFDVSPPVMPVSVEHQHVTVPQKFQVTGFSIGGRVVDGNSVGVEGVKILVDGSLRSVTDKEGYYKLDQPAKLVVTQPLLVNFWRLLESRVKNMARFLKVTSNQYTIDAVKEHYKFDKLKKFMVLPNMASLPDISAVSYEICGVVRMFGSRHKAKVALTHGPTNVKPQMKLTDETGTFCFEVPPGEYRLSALAATPKGASELLFLPAYLDVAVKSPLLNIEFSQARVNVHGSVTCKEKCGPSVSVVLVGAAGDRDKKTVVLTDESSQFLFSDILPGKYRVEVKSISPEAASDEDSWCWDRSSIDVNVGTEDIKGIEFVQKGYWINIVSTHEVDARIAHPNGSPTSLKIKKGSQKICVESPGGHELQLSDSCMSFGSNSIKIDVSNPQPIHLKAEKYLLKGLINVESSSTIESELPENFIVDIQDKKGNVINSITAKLASDGSGVYEYYTWENLGEKISFVPRDSRGNVEKKMLFYPKELHAVVSNDGCQASVSPFTGRLGLYIEGSVSPPLPGVHIKVSAAKDSLISSLKKGEVAIETSTSPAGSFVAGPLYDDIPYATEASKAGYHIKRLGPYSFSCQKLGQISVRVNSKDNAETSIPPLLLSLSGDHGYRNNSISGAGGLFVFDSLFPGNFYLRPLLKEYSFKPATLAIELSSGESSEAVFEATRVAYSAMGRVALLSGQPQEGVAIEARSDSKGYYEETTSDINGNYRLRGLHPDATYVIKVSKKIGSGNNKIERASPESVSLQIGYEDINGLDFLVFEQPETTILTCHVEGKQNEDLNSNLLVEIKSAIDKSKIENVFPLPLSNFFQVKGLPKGKHLVQLKSSRPLISHKVESEIIEVDFETNAQIHIGPLRYSIVADHQSQEVTPAAILPLVIGVSAIALFLSIPRLKDIYQSTVGISSPGFTTSAKREPRKAVARKKTF >fgenesh2_kg.5__2734__AT3G62380.1 pep chromosome:v.1.0:5:20796587:20800859:-1 gene:fgenesh2_kg.5__2734__AT3G62380.1 transcript:fgenesh2_kg.5__2734__AT3G62380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LSW8] MSSDRCSRTLFLDSYSKDHHDHIKIFIHSYTSPVPYPIYSIYDTVGQIMGYCNGIVCIYDLGYIYLINPATRKLRILSPEFLRENSPDCAKHVVETQIKRKNGILITRRQIEINKNPDLRNKHLLENQKEIKKIREKKFCGWSNELTFSVGFGRDIVTGTYKVILVYLFDRKVVKTEALNLENGERRYVCFPISYDRIGNDKRSIFANGSLYCAGGNTSKLIKLAAIDLHTETFRYVSLPTWYTKESKSVYLWSLKDSLCISDFAAKVCKWAKIFSVNILSTKCLDANFWKLSLAAYYFRSTGRNPANNNPLDQIKSPMITAAPFCIRRDWVLRSSFM >fgenesh2_kg.5__2735__AT3G62390.1 pep chromosome:v.1.0:5:20812370:20814493:-1 gene:fgenesh2_kg.5__2735__AT3G62390.1 transcript:fgenesh2_kg.5__2735__AT3G62390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRSISVKSTRVLAFIITTISSAIVFFTFFSSSLIKSNSSLYPTPDSNFQIDLSPLAAVSDSSVSPQASPVPISTHFNSPENTSGSSKISVFEQKIRGESLVKEVREIANLTSIKVVEVSSNNGEEKTKKRIEECDVTKGKWVYDSDYPLYTNASCPFIDEGFSCQSNGRLNLNYMNWRWEPQDCDAPRFNATKMLEMIRGKRLVFVGDSINRNQWESMLCLLFQAVKDPKRVYEIHNRRITKEKGNYSFRFVDYKCTVEFYVTHFLVREGRARIGKKRRETLRIDAMDRTSSRWKGANILVFNTAHWWSHYKTKSGVNYYQEGDQIHPKLDVSTAFKKALQTWSSWVDKNVDPKKTRVFFRSAAPSHFSGGEWNSGGHCREANMPLNQTFKPSYSSKKSIVEEVLKQMRTPVTLLNVSGLSQYRIDAHPSIYGTKPENRRSKAVQDCSHWCLPGVPDTWNHFLYLHLLHKR >fgenesh2_kg.5__2738__AT3G62410.1 pep chromosome:v.1.0:5:20816354:20816943:1 gene:fgenesh2_kg.5__2738__AT3G62410.1 transcript:fgenesh2_kg.5__2738__AT3G62410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CP12-2 [Source:UniProtKB/TrEMBL;Acc:D7LT64] MATIATSLNIATQRVIVTSENRPVLLAGPVHLNNPWNLGSRTTNRMVKLKPIRAAPEGGISDVVEKSIKEAQETCAGDPVSGECVAAWDEVEELSAAASHARDKKKSDGSDPLEEYCKDNPETNECRTYDN >fgenesh2_kg.5__2740__AT3G62420.1 pep chromosome:v.1.0:5:20817095:20818249:-1 gene:fgenesh2_kg.5__2740__AT3G62420.1 transcript:fgenesh2_kg.5__2740__AT3G62420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATBZIP53 [Source:UniProtKB/TrEMBL;Acc:D7LT65] MGSLQRQTSPESDNDPRYATVTDERKRKRMISNRESARRSRMRKQKQLGDLINEVTLLKNDNAKITEQVDEASKKYIEMESKNNVLRAQALELTDRLRSLNSVLEMVEEISGQALDIPEIPESMQNPWQMPCPMQPIRASADMFDC >fgenesh2_kg.5__2747__AT3G62550.1 pep chromosome:v.1.0:5:20833365:20834594:1 gene:fgenesh2_kg.5__2747__AT3G62550.1 transcript:fgenesh2_kg.5__2747__AT3G62550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein family protein [Source:UniProtKB/TrEMBL;Acc:D7LT71] MEETKERMIVVAVDESEESMEALSWSLDNLFPYGSNNTLILLYVKPPLPVYSSLDAAGFIVTGDPVAALKKYEYELVESVMARSRTVYQDYESDINIERRIGRGDAKEVICKAVEKLRANMLVMGTHDYGFFKRALLGSVSEYCAKRVKCPVIIVKKQPEDN >fgenesh2_kg.5__2749__AT3G62570.1 pep chromosome:v.1.0:5:20840605:20842765:1 gene:fgenesh2_kg.5__2749__AT3G62570.1 transcript:fgenesh2_kg.5__2749__AT3G62570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LT73] MAVYPVLNGDKKHWWFTHKKIVDKYIQDARSLMSSEEQNDVASAIHLLDAALSISPRSETALELKARSLLFLRRFKDVVNMLQDYIPSLKLTVNEEDGSYSYEGSSFSSSSAQLSRKLLSNSSPRRDSSFKCFSVSDLKNKIMAGICKNRDKDKQWRYVVLGQACCHLGLMEDALILLQTGKRLATAEFRRLSVSLADDSVSLLLSESSSSSSSSYAYPPRKLSECETVTNLLAHTKNLLRRRAAGFAAFDAGLFSDSIRHFSKILDGRRRPAPQGFLADCYMHRAAAYKSAGKIAEAIADCNKTLALEPSCIHALETRAALLETVRCLPDSLHDLEHLKILYNTILRDRKLPGPPWKRHNVKYREIPGKLCELTTKSKKLKAKIANGEIGDVDYYGLVGVRRGCTRSELDRANLLLCLRHKPDKALAFIERCDFFDQNEISSVKDRAKMSSLLLYRLIQRGYSVLTATIAEEEQRKKMMALTQTSTKTVEEHEPVENPGTIKITGFVDIKPGNSNAFQGVFCRDLAAVGSLLSRTGFNQPIPMKYDAISC >fgenesh2_kg.5__2750__AT3G62580.1 pep chromosome:v.1.0:5:20844678:20845798:1 gene:fgenesh2_kg.5__2750__AT3G62580.1 transcript:fgenesh2_kg.5__2750__AT3G62580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWYTSFIAALAFLAVGVIFSPETFGSLSNGENSEKLSIFLKLAHLLSFATAWGAALWATFIGGIIMFKNLPRHQFGNLQSKMFPAYFTLVGSCCAISLSAFGYLHPWKSSSTVDKYQLGFLLSAFAFNLTNLFVFTPMTINMMKERHKVERENNIGDEVGWSKNREKAKTIPKLAAMNKKFGMIHGLSSLANIFSFGSLAMHSWYLAGKLNL >fgenesh2_kg.5__2751__AT3G62590.1 pep chromosome:v.1.0:5:20845781:20848189:-1 gene:fgenesh2_kg.5__2751__AT3G62590.1 transcript:fgenesh2_kg.5__2751__AT3G62590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQGVVSPMIPVGPSSFIRAIGGSVEEKSTAGSLPRWVSRRRPRPLEFLRIGGKRDEKGPVRDDAAVLLEREERVGNDNGNWVLKILEVGSIWKGKRQRSGGGGEEDDEEQVTESKNDKEDLCEECDFCRVDDDDDEEEKEETVFGREEFSEMLSKVPVEDAQIFAKLSFLGNLAYSIPKIKPDNLLKYQKLRFVTSSIEKRTSLKVEENNNGEEEEEKKKLINPAVAYRIAASAASRLFSHSKSVLPFGSSKRQDNEEASLLATADSVTAVVAAKEEVKQAVADDLKSNRSPPCEWFVCDDDKSGTRFFFIQGSDSLASWQANLLFEPVPFEDLDVLVHRGIYEAAKGLYEQMLPEVHAHLNSRGRHRAFLRFSGHSLGGSLSLLVNLMLLIRGQVPASSLLPVITFGSPCIMCGGDRLLQKLGLPKSHLLGISMHRDIVPRAFSCNYPNRAANILKALNGNFRNHPCLNNQNVLYSPMGKLLILQPSERFSPPHPLLPPGSGIYLLTSKNTDETEKSLRAAKSVFFNSPHPLEILSDRRSYGSEGKIKRNHDMSSYLKALRHVIRKELKQIKAERDQWRRKFFIINILFTGRDSLKLITRFVASRSSQLVIIFFLPIRLLIMNVYGVVFHHSQAHFSFFK >fgenesh2_kg.5__2754__AT3G62650.1 pep chromosome:v.1.0:5:20866744:20867460:1 gene:fgenesh2_kg.5__2754__AT3G62650.1 transcript:fgenesh2_kg.5__2754__AT3G62650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LT81] MEITPKRYWRRWRGYEKLDGSSETSSGRRKGKRIKMDPTRKKRFWRIKIVPKLRILKTATPKKFLVWLRDSYVKMMMRLANSRVVGSSGYGGSGFGSGQMKEYDEKMLVEIYKSILMAQAQGNLVHRETPNNNHKLPSEPAVVSVVSSVVTC >fgenesh2_kg.5__2756__AT3G62660.1 pep chromosome:v.1.0:5:20872195:20874209:1 gene:fgenesh2_kg.5__2756__AT3G62660.1 transcript:fgenesh2_kg.5__2756__AT3G62660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LT84] MRFSGLFSAALVIIVLSPSLQSFPPAEAIRSSHLDAYLRFPSSDPPPHRFSFRKAPVFRNAADCAAADIDSGVCNPSLVHVAITLDFEYLRGSIAAVHSILKHSSCPESVFFHFLVSETDLESLIRSTFPELKLKVYFFDPEIVRTLISTSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVVVDDIAKLWKTNLGSKTIGAPEYCHANFTKYFTPAFWSDERFSGAFAGRKPCYFNTGVMVMDLERWRRVGYTEVIEKWMEIQKSDRIYELGSLPPFLLVFAGEVAPIEHRWNQHGLGGDNVRGSCRDLHPGPVSLLHWSGSGKPWFRLDSRRPCPLDTLWAPYDLYGH >fgenesh2_kg.5__2757__AT3G62670.1 pep chromosome:v.1.0:5:20876078:20877849:-1 gene:fgenesh2_kg.5__2757__AT3G62670.1 transcript:fgenesh2_kg.5__2757__AT3G62670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:D7LT85] MSVSANLLDENSRDLRKEVPCDDEIGSPINDDDEEFPTTSIRVLLVDADSNSLLPMKNLMIQYSYQVTKYEDGEEAMAFLMKNKQEIDLVIWDFHMPDINGLDALNTIGKEMDLPVVIMSHDHKKETVMESIKYGACDFLVKPVSKEVIAVLWRHVYRKRMSKSGLDKPGESGTVESDPDEYDDLGQDNLYQSNEEGSKNSSDQKGEKPATKKPRMQWTTELHHKFEVAVEKLGSLEKAFPKTILKYMQEEMNVQGLTRNNVASHLQKYRQNSKQKTRTHQEPQEDFFWRNAGPDATLAASNPPLSSNLNIHATASYLMNNQAAPRASYFMNNQAASPIPYPSNSCLPMNNNNYFMTKPFTYIDQFQQQQQQYHSSLNLPSMLTKQEPRHVPLSAMENFDTPMIYNSSFHFDHDEYFPPEGFNNFDQIGQN >fgenesh2_kg.5__275__AT2G03740.1 pep chromosome:v.1.0:5:1990507:1991591:-1 gene:fgenesh2_kg.5__275__AT2G03740.1 transcript:fgenesh2_kg.5__275__AT2G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LQK9] MSISGAVLSGLGPSFLISGGKRSGVGGGAMRVSRKNVIISPQRKKSWVSAAVKGAGNSTNDPKWLDDASEKASEYVKEKGSNVGNVSAQKGQELQTQMERAKDYIFGKAGEAMDSVAENAKRASEYVTEKGKEAKEETASRTDKAKDFIVEKAGDIKDSATDMRNKTSKYVGDKATEAKEAILPPKTDA >fgenesh2_kg.5__2761__AT3G62710.1 pep chromosome:v.1.0:5:20900106:20903042:-1 gene:fgenesh2_kg.5__2761__AT3G62710.1 transcript:fgenesh2_kg.5__2761__AT3G62710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 3 protein [Source:UniProtKB/TrEMBL;Acc:D7LT89] MAAAMYGVRSFFFSAVIVILFASRFGDATAADRGYIKYKDPKVAVEERVEDLLIRMTLPEKLGQMCQIDRFNFSITRPTDIFTKYMIGSVLSNPFDTGKNISERILDINVMKKLSLSTRLGIPLLYALDAVHGHSTFIDATIFPHNVGLGATRDPQLVKKIGAITAQEVRATGVAQVFAPCVAVCRDPRWGRCYESYSEDPAVVNMMTESIIDGLQGNAPYLADPKINVAGCAKHFVGDGGTINGINENNTVADNATLFGIHMPPFEIAVKKGIASIMASYSSLNGVKMHANRAMITDYLKNTLKFQGFVISDWFGIDRITPIPKSNYTYSIEASINAGIDMVMVPWEYKEYLAELTKLVNGGYIPMSRIDDAVRRILRVKFSIGLFENSLADEKLATPEFGSEAHREVGREAVRKSMVLLKNGKTDADKIVPLPKKVKKIVVAGRHANNMGWQCGGFTLTWQGFNGTGEDIPANKKFGFPTGKTRGTTILEAIQKAVDPTTEVVYVEEPNQDTAKLHADAAYTIVVVGETPYAETRGDSPTLGIADPGPDTLRLTCGNGMKCLVILVTGRPLVMEPYLDMIDALAVAWLPGTEGQGVADVLFGDHPFTGTLPRTWMKHVVQLPMNVGDEYYDPLYPFGYGIKT >fgenesh2_kg.5__2766__AT4G27830.1 pep chromosome:v.1.0:5:20909862:20911945:1 gene:fgenesh2_kg.5__2766__AT4G27830.1 transcript:fgenesh2_kg.5__2766__AT4G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 1 protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LT92] EDVKLMADMGLQAFRFSISWTRLIPNGRGPINPKGLLFYKNLIKELRGHGIEPHVTLYHYDLPQSLEDEYGGWINRKIIEDFTAFADVCFREFGDDVKLWTTINEATIFAIASYGEGVAPPGHCSPNKFINCSTGNSSTEPYLAGHNMLLAHASASKLYKLKYKRMQRGSIGLSIFAFGLSPYTNSKDDEIATQRAKAFLFGWMLKPLVFGDYPDEMKRTLGSRLPVFSEEESEQVKGSSDFVGIIHYTTFYVTNRASASIFPIMNQGFFKDMGVYMISAGNSSFLLWEATPWGLEGVLEHLKQSYNNPPIYILENGKPMKHDSMLQDIPRVEYIQGYIGAVLNAIKNGSDTRGYFVWSMIDVYELLSGYTTSFGMYYVNFSDPGRKRSPKLSASWYSGFLNGTIDVVSQDSTQLQSNFSASSSL >fgenesh2_kg.5__2767__AT3G62750.1 pep chromosome:v.1.0:5:20915666:20918428:1 gene:fgenesh2_kg.5__2767__AT3G62750.1 transcript:fgenesh2_kg.5__2767__AT3G62750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, hydrolyzing O-glycosyl compounds [Source:UniProtKB/TrEMBL;Acc:D7LT94] MKHFYLFSIILAIVLVTSYIDAFTRTDFPEDFLFGAATSAYQWEGAVDEDGRTPSVWDTSSHCHNGSNGDIACDGYHKYKEDVKLMANMGLEAFRFSISWTRLIPNGRGPINPKGLLFYKNLIKELRSHGIEPHVTLYHYDLPQSLEDEYGGWINRKIIEDFTGFADVCFREFGDDVKLWTTINEATIFAFAFYGEGIKFGHCSPTKYINCSTGNSCMETYIAGHNMLLAHASASSLYKLKYKSKQRGSIGLSIFALGLSPYTNSKDDEIATERAKAFLFGWMLKPLVYGDYPDEMKRILGSRLPVFSEEESEQVKGSSDFVGIIHYTTVYVTNRPAPYIFPSSTNKGFFTDMGAYIISAGNSSSFEFDATPWGLEGILEHLKQSYNNPPIYILENGTPMKHDSMLQDTPRVEYIQAYIGAMLNAIKNGSDMRGYFVWSLIDLYEITVGYTTSFGMYYVNFSDPGRKRSPKLSAFWYSGFLKGTIDVAKDITQLQSNFSAGSSSL >fgenesh2_kg.5__2768__AT3G62760.1 pep chromosome:v.1.0:5:20918706:20919943:-1 gene:fgenesh2_kg.5__2768__AT3G62760.1 transcript:fgenesh2_kg.5__2768__AT3G62760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYGDEMSASVARVLLCLHEKNTEFELVPVNLFACHHKLPSFLSMNPFGQVPALQDDDLTLFESRAITAYIAEKHRDKGTDLTRHENSKEAAIVKLWSEVEAHHFNPAIFAVIHQLIVVPLQGESPDAAIVEKNLEKLGKVLDVYEERLGKTKYLAGDTYTLADLHHVPYTYYFMKTSHAGLINDRPNVKAWWEDLCSRPAFLKVSPGLTVAPTTN >fgenesh2_kg.5__276__AT2G03750.1 pep chromosome:v.1.0:5:2009792:2010881:-1 gene:fgenesh2_kg.5__276__AT2G03750.1 transcript:fgenesh2_kg.5__276__AT2G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:D7LQL0] MEASEESHLPNYMKDDNVSQETKNLIASLPSDKDFMGYGLYNYKGCWYYPNTLQAVLDFQKHFKPRDTDIILASLPKGGTTWLKSLVFAVVHREKYRENPQTHPLLSQNPHDLVPFLEIELYANSQIPDLTKFPSPMIFSTHTHLQALREATTKASSPCKIVYVCRGIKDTFVSGWHYRNMLHRTKMDQATFELMFDAYCRGVLLYGPYWEHVLSYWKWSLEDKENVLFMKYEEIIEEPRIQVKRLAEFLKCPFTAEEEECGSVEEILKLCSLRNLSNLEVNKKGTTRIGLDSQIFFRKGEVGDWKNHLTPQMAKTVDEIIECRLRGSGLIFQ >fgenesh2_kg.5__2771__AT3G62770.2 pep chromosome:v.1.0:5:20921058:20923580:-1 gene:fgenesh2_kg.5__2771__AT3G62770.2 transcript:fgenesh2_kg.5__2771__AT3G62770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g62770/F26K9_200 [Source:UniProtKB/TrEMBL;Acc:D7LT96] MATVSSSSWPNPNPNPDPTSASDSDSTFPSHRDRGDETDSLDSFSSMSLNSDEPNQNSNQSPISPPTPNLPVTPPPSVLHLSFNQDHACFAVGTDRGFRILNCDPFREIFRRDFDRGGGVAVVEMLFRCNILALVGGGPDPQYPPNKVMIWDDHQGRCIGELSFRSDVRSVRLRRDRIIVVLEQKIFVYNFSDLKLMHQIETIANPKGLCAVSQGVGSMVLVCPGLQKGQVRIEHYASKRTKFVMAHDSRIACFALTQDGHLLATASSKGTLVRVFNTVDGTLRQESHRDVTLQVRRGADRAEIYSLAFSSNAQWLAVSSDKGTVHVFGLKVNSGSQVKDSSRIAPDATPSSPSSSLSLFKVLPKYFSSEWSVAQFRLVEGTQYIAAFGHQKNTVVILGMDGSFYRCQFDPVNGGEMSQLEYHNCLKPPSVF >fgenesh2_kg.5__2772__AT3G62780.1 pep chromosome:v.1.0:5:20923953:20924933:-1 gene:fgenesh2_kg.5__2772__AT3G62780.1 transcript:fgenesh2_kg.5__2772__AT3G62780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSLEIKVTSAKGLKKVSKMDVFVAVKLSGDPICSDHREQRTQVARDGGTSPKWSNDVMKFTIDQTLAEANRLVITFKIKCEQRGGVDKDIGEVHVSVKELLDHLGNDKTGQRYVTYQIGKTKGDISFTYSFTGPVGVPTGGGCSRYAAQVPVRPVSTYRPVSNGPVLSQLNGPVLSQLLPSVGSFSYNHVPSQPPIYPPLTQPEILPPACFPGVYPMSNMPGSPPTMYQSIFPGLSCPPEGYSTVDPPLTQPGLYPPMSPYRF >fgenesh2_kg.5__2773__AT3G62790.1 pep chromosome:v.1.0:5:20925001:20926285:-1 gene:fgenesh2_kg.5__2773__AT3G62790.1 transcript:fgenesh2_kg.5__2773__AT3G62790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGITGNKGRCYDFWMDFSECMSHCREPKDCTLLREDYLECLHHSKEFQRRNRIYKEEQRKLRAASRKGEETGDGTHTQH >fgenesh2_kg.5__2775__AT3G62800.2 pep chromosome:v.1.0:5:20928374:20930316:-1 gene:fgenesh2_kg.5__2775__AT3G62800.2 transcript:fgenesh2_kg.5__2775__AT3G62800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding domain (Dsrbd)-containing protein 4 [Source:UniProtKB/TrEMBL;Acc:D7LT99] MDHVYKGQLQAYALKHNLELPVYANEREGPPHAPRFRCKVTFCGQTFQSLEFFPTLKSAEHAAAKIALASLTPQSPEAKIAQKENSMLPVYATATSGPSHSPTFISTVEFAGKVFTGDEAKTKKLAEMSAAKIAFMSIKNGNSNQTSSPSLSCERQEAASSNVKSSLQEIHSQPSKVVMTPDAPSKLMKVSEDEFPDLHSAPASNAKEINVALHVPENPANDGTLNAPTTDGMKMNIAASSSPIPQNPTNVVTLNASSTNGIKRNIAACSSRMPQHPTNDGSETSSCVDESEKKKLIMGTGHLSIPTGQHVVCRPWNPEITLPQDAEMLFRDDRFIAYRLGKP >fgenesh2_kg.5__2776__AT3G62810.1 pep chromosome:v.1.0:5:20930503:20931167:1 gene:fgenesh2_kg.5__2776__AT3G62810.1 transcript:fgenesh2_kg.5__2776__AT3G62810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 family protein [Source:UniProtKB/TrEMBL;Acc:D7LTA0] MVTGEALIAYRALLRATRKSFAGDTEMLKASASEIRKKFEENRLVASNADITRLLEEAREATQFISTMIVQAKLNERGGYEVKASQEHAGATLELPSEEMLRKKSV >fgenesh2_kg.5__2778__AT3G62830.2 pep chromosome:v.1.0:5:20934698:20938023:1 gene:fgenesh2_kg.5__2778__AT3G62830.2 transcript:fgenesh2_kg.5__2778__AT3G62830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronic acid decarboxylase 2 [Source:UniProtKB/TrEMBL;Acc:D7LTA2] MANELINRRHEADQPIADAYYPKPIKPWFMVTRPMRYMLREQRLIFVLVGIAIATLVFTIFPRSTQSSAYSDPFSGYGIRPDESYVPAIQAQRKPSLEYLNRIGATGGKIPLGLKRKGLRVVVTGGAGFVGSHLVDRLMARGDTVIVVDNFFTGRKENVMHHFSNPNFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQFVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQETIDHNANIEFRPNTEDDPHKRKPDITKAKELLGWEPKVSLRQGLPLMVKDFRQRVFGDQREGSSAAATTTKTTSA >fgenesh2_kg.5__277__AT2G03760.1 pep chromosome:v.1.0:5:2011109:2012439:-1 gene:fgenesh2_kg.5__277__AT2G03760.1 transcript:fgenesh2_kg.5__277__AT2G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:D7LQL1] MSSSSVPDYLRDEDVTQETRDLISSLPKEKGWLVSEMYQYQGRWHTQALLQGILICQKRFEAKDSDIILVTNPKSGTTWLKALVFALLNRHKFPVSSSGNHPLLVTNPHLLVPFLEGVYYESPDFDFSGLPSPRLMNTHISHLSLPESVKSSSCKIVYCCRNPKDMFVSLWHFGKKLAPEETADYPIEKAVEAFCEGKFIGGPFWDHILEYWYASRENPNKVLFVTYEELKKQTGAEMKRIAEFLGCGFIEEEEVKEIVTLCSFESLSKLEVNKEGKLPNGMETKTFFRKGEIGGWGDTLSESLAEEIDRSIEEKFQGSGLKFSS >fgenesh2_kg.5__2784__AT3G62870.1 pep chromosome:v.1.0:5:20945907:20947428:-1 gene:fgenesh2_kg.5__2784__AT3G62870.1 transcript:fgenesh2_kg.5__2784__AT3G62870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L7A [Source:UniProtKB/TrEMBL;Acc:D7LTA6] MAPKKGVKVASKKKPEKVTNPLFERRPKQFGIGGALPPKKDLSRYIKWPKSIRLQRQKRILKQRLKVPPALNQFTKTLDKNLATSLFKILLKYRPEDKAAKKDRLLKKAQAEAEGKPAESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGAVVHQKTAAALCLTTVKNEDKLEFSKILEAIKANFNDKYEEYRKKWGGGIMGSKSQAKTKAKERVLAKEAAQRLN >fgenesh2_kg.5__2786__AT3G62880.1 pep chromosome:v.1.0:5:20947791:20949144:-1 gene:fgenesh2_kg.5__2786__AT3G62880.1 transcript:fgenesh2_kg.5__2786__AT3G62880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Atoep16-4 [Source:UniProtKB/TrEMBL;Acc:D7LTA7] MEEELLSAVPCSSLTVESVIRVATAGGLYGLCAGPRDARKIGLSGVSQASYVAKSIGRFGFQCGLVSGVFTMTHCGLQRYRGKNDWVNALVGGAVAGAAVAISTRNWTQVVGMAGLVSAFSVLANCTRTEPKQQ >fgenesh2_kg.5__2787__AT3G62900.1 pep chromosome:v.1.0:5:20952268:20957960:-1 gene:fgenesh2_kg.5__2787__AT3G62900.1 transcript:fgenesh2_kg.5__2787__AT3G62900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7LTA9] MEESELEEGEACSYNITNEYAGSIDPDNDLSYIDEKLQHILGHFQKDFEGGVSAENLGAKYGGYGSFLPTYQRSPVWSHPKTPAKPQSSTGTRSPNNLLGESGNAASSSVPKKAKSGLASSGNPKKSVKSKKPSSSARMESATKKPGVFSKQNSLKLRIKMVPDGLSTEKNAAAIYSGLGLDVSPSLSLDNNSLSGSEGMNGEPQGYSPMESPTSILNVMTSLPVDHRQLLSPLSDDLIRFITREKSEKGYKYTSPSRLFTESSSAMANDLEPQKAGEKPSVEKKKKMVERSSFSAETNVRSKKGLFDATDATVKESVETNTSYPTAAEKETASSKLFDASKENYNGTVRGEMVGDVDRRLWGLTRHKDLGAHHENPKTISAGSVREDKKAKFGDDEASGHPRKVGKYKGSKASDSVKKESSASKVKSGHKVEPEHPLRKQKYDQIEQEPPSSTKVKEQQTSVVFETKLNGQAEKKEVVVLKPQSDSKKAEDTYKDFFGDIGDSEEEEEQNCSLDVKDLRISEKGLPPLEDMPDKSSFPLVEPQNVGPEPMLRKLGSDASLPKANPVIIQEHWVACDKCGKWRLLPFGVVPEDLPEKWMCTMLNWLPGVNYCNVPEDETTKALYAMYQIPVPESQASMQSNPSGPKPQFNQGDDNTKKKKKGFKKIDNGMYKEGSRTAETNKTIQTSSRNGIQNSHGLGDLAEDERQIHKQKEKGKSVDHLSDESKSLKANNKRKSDLESSMLAKKMKIESFLFPDESEHGNGRPTSSSGVPVTSADIKPKPRVSSKMPKEEGGASDTGNSNSTGGIKKRKLRESHGSRIYSENENHERKKARVRKEEKEPSYSQGNGKLEKKNRSHSKREYAHVQNSIAATSSSSKISDSHKPRNSSHEAKCSPVESVSSSPMRLSNMEKSTSARKKKEESYDANVFAAGSLRKFSDGEGEDVGGSDRSQSRMKDKHGSHESSVLDIWDNKGSLKAKERADPSLDASFENGGHKDLPRKLDHILGEGKQSSDHHQRSNDSLAKKSGKASSSRSKEKSQSIRSDSRDGPRHIEKKIYDGSPDSRADMIARPNIPKPYDSERISERSNRADLASPSRPPSRGIQGDSSMLSVRKKVDKCSTSAGNNNIHADDVTKATAQIRRKSEPSPSPLRKEVTSAQAAHNTLKEAKDLKHTADRLKSSVSNLEHIELYFQACLKFLHGAFLLEMSSNESARQGETMVQSMKIYSSTANLCGFCAHEYEKSKDMGAAALAYKCMEVAYMRVVNSSYTSANRYRNELQTSLQMIPPGESPSSSASDVDNVNHPAAVDRVGTSRGISSPLVAGNHDVNLSMDASRKSRVALAACIENLGEAQQQGEGIISIKSALDYNFQDIEGLLRLVKLAMKANNR >fgenesh2_kg.5__2789__AT3G62920.1 pep chromosome:v.1.0:5:20975025:20975616:1 gene:fgenesh2_kg.5__2789__AT3G62920.1 transcript:fgenesh2_kg.5__2789__AT3G62920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYRIARALPFSGILRQLEQEAETVINVLQPGPLGIIEHKFSAQEIREAKATVSRAVDNWRRHSEVEHANGLLKDYLYK >fgenesh2_kg.5__2791__AT3G62940.1 pep chromosome:v.1.0:5:20977218:20978917:-1 gene:fgenesh2_kg.5__2791__AT3G62940.1 transcript:fgenesh2_kg.5__2791__AT3G62940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDSVSQETPIDASQEQHETVDEMLARHRQEIKQLQNKETEMKKVAAKGSKAEQKAKKKQVEEDVSKLSSKLKEKQLKELASQGFSSSSSTVAKDQTNEKKGDIDTLVRAIAGVSVTAQQEHSKPSKSVKRREKRAKEEADREQRIKEEQSQVTSDRVVENAKLEKKLKPLGLTINEIKPDGHCLYRAVENQLANRSGGASPYTYQNLREMAASYMREHKTDFLPFFLSETEGDSNSGSAEERFEKYCREVESTAAWGSQLELGALTHCLRKHIKVYSGSFPDVEMGKEYKSGDDSSLLLSYHRHAFGLGEHYNSVVLVHNITG >fgenesh2_kg.5__2794__AT3G62960.1 pep chromosome:v.1.0:5:20984864:20985456:1 gene:fgenesh2_kg.5__2794__AT3G62960.1 transcript:fgenesh2_kg.5__2794__AT3G62960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LTB6] MDKVMRMSSEKGVVIFTKSSCCLCYAVQILFRDLRVQPTIHEIDNDPDCREIEKALVRLGCANAVPAVFVSGKLVGSTNDVMSLHLSGSLVPLIKP >fgenesh2_kg.5__2796__AT3G63000.1 pep chromosome:v.1.0:5:21005541:21007289:1 gene:fgenesh2_kg.5__2796__AT3G63000.1 transcript:fgenesh2_kg.5__2796__AT3G63000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPL4 family protein [Source:UniProtKB/TrEMBL;Acc:D7LTC0] MTMLRIRSRDGLERVSVDGPHITVSQLKTLIQDQLQIPIHNQTLSTNRNLLLAKTPADFLGFTDMADPNLRISSLNLAHGSMLFLAYEGERTIRGGPAVTPAGSFGRKMTVEDLIARQMRVGRQEKSHCDSVSFDRDCANAFQHYVNESLAFAVKRGGFMYGNVSEEGQVEVNFIYEPPQQGMEDNLILMRDSEEEKRVDAIALGLGMRRVGFIFNQTVTQDKKEYTLSNVEVLLAAQLHAESELKEWVTAVVKLEINEDGAADVHFEAFQMSDMCVRLFKEGWFETEIGSEDDPKLSKLKKEVVVGVKDVKEVDNDFFLVLVKILDHQVPLSCTFPIENRNIQTTMRALKTHMERARSLPFVKRISDFHLLLFVAQFLDVSSDVPALAECVRLQSHVPEGYELLIDSMANTC >fgenesh2_kg.5__2797__AT3G63010.1 pep chromosome:v.1.0:5:21013200:21015304:1 gene:fgenesh2_kg.5__2797__AT3G63010.1 transcript:fgenesh2_kg.5__2797__AT3G63010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATGID1B/GID1B [Source:UniProtKB/TrEMBL;Acc:D7LTC1] MAGGNEVNLNECKRIVPLNTWVLISNFKLAYKVLRRPDGSFNRDLAEFLDRKVPANTFPVDGVFSFDHVDSTTNLLTRIYQPASLLDLTRHGTLELTKPLSTTEIVPVLIFFHGGSFTHSSANSAIYDTFCRRLVTICGVVVVSVDYRRSPEHRYPCAYDDGWNALKWVKSRVWLQSGKDSNVYVYLAGDSSGGNIAHNVAVRATKEGVKVLGNILLHPMFGGQERTESEKSLDGKYFVTIQDRDWYWRAFLPEGEDRDHPACNPFGPRGQSLRGVNFPKSLVVVAGLDLVQDWQLAYVDGLKKNGLEVNLLYLKQATIGFYFLPNNDHFHCLMEELKKFVHPIEDSQSKSSPLLLTP >fgenesh2_kg.5__2799__AT3G63040.1 pep chromosome:v.1.0:5:21022231:21022854:-1 gene:fgenesh2_kg.5__2799__AT3G63040.1 transcript:fgenesh2_kg.5__2799__AT3G63040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGMTPTNAKLVVISLIWAILVLESATVSSAVEDLVVEQCKHDCKHDIHNRKECEDRCNEQMMMEMEKETSGRQEEEPNPFCDAFCKPFEGLYQQCMAVCPRTKSIGGDGVANGELQAYCNRKCPSYIGPEAYKKCMSGCFTSNEEVCETSCSILQEEPLTIRCMRICHERIPGAVASST >fgenesh2_kg.5__279__AT2G03780.1 pep chromosome:v.1.0:5:2014193:2016058:-1 gene:fgenesh2_kg.5__279__AT2G03780.1 transcript:fgenesh2_kg.5__279__AT2G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translin family protein [Source:UniProtKB/TrEMBL;Acc:D7LQL3] MLSCSSSAFQRVAFMLMAPKLKPQRLHQIAETGVEQLVKKARTMSTESSMKDAFSTYADYLNNFNEKRERVVKASRDITMNSKKVIFQVHRLSKDNKEEVLEKAGKDLEAVRDQHFAQLMRELQGTDFWKLRRAYSPGVQEYVEAATFYKFCLSGTLCTLDEINTTLVPLSDPSLEPLQINILDYILGLADLTGELMRMAIGRISDGEIEFAQRICQFVRQIHRELMLVVPKMDDSYDMKSKMEVMLQSVIKIENACFSVHVRGSEYIPLLGDDAPTSYLLGAAVVE >fgenesh2_kg.5__2800__AT3G63050.1 pep chromosome:v.1.0:5:21023551:21024014:-1 gene:fgenesh2_kg.5__2800__AT3G63050.1 transcript:fgenesh2_kg.5__2800__AT3G63050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGKDDDKRGIFRRLLRLVVVKLMKGHKEDRSVTKKLIKKESKSDITIYFKQREDSEDNANPINFSRSSEKERVIMLVNGSNGSKKNLASELSVRRSVAQTGAGMTKPKPLFNDINTKSHAFIESRLAKMRKGL >fgenesh2_kg.5__2801__AT3G63052.1 pep chromosome:v.1.0:5:21024538:21024729:-1 gene:fgenesh2_kg.5__2801__AT3G63052.1 transcript:fgenesh2_kg.5__2801__AT3G63052.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LTC6] MGQMGLPKFRPRKVPGLLSAEMRPLRLRRVCGAYVVSPPMISWPFDSYSPGP >fgenesh2_kg.5__2802__AT3G63060.1 pep chromosome:v.1.0:5:21028743:21029740:-1 gene:fgenesh2_kg.5__2802__AT3G63060.1 transcript:fgenesh2_kg.5__2802__AT3G63060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEGKFSVVQMNTNRRLRFNQPSRLPSSGESGIENEQVLVLVFESISWDIHTLCTIASLSRRFCAIAKRILWRRLCVNRAPGMVAALSSSDPSGRIDGGWHALAKLMFFCGGGESTRYFNLSQPSPGHFACESRFSKTSGRFFLPKNCRRDLLYMSDPCEHHAVGGDEHLGVFRGVFREFMRSKTRECLVRRQAALEEKVRCPYCGGRVWSMTAARLVPKSAARRLGSREGGLEFFVCVNGHLHGTCWLIPLSSEEEDDDNSDGSVI >fgenesh2_kg.5__2803__AT3G63070.1 pep chromosome:v.1.0:5:21031944:21039088:1 gene:fgenesh2_kg.5__2803__AT3G63070.1 transcript:fgenesh2_kg.5__2803__AT3G63070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PWWP domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LTD0] MAPSRKKAGGKAAAASSARREWKGKVGDLVLAKVKGFPAWPAAVSQPEKWGYSADSKKVLVHFFGTQQIALCNPADVESFTEEKKQLLLTKRHAKGSDFVRAVKEITESYEKLKQQDQASDPKCTEETTVGSSVNTVQLPQACENLIGSRLNTQIESSSSHGRDESTLLSEDAAAEQMLALRHNTLAHNGACDSAAAKDLCEIATYSSRRRNERVRALKCAPQSIILPVEHSKFSSRLELDRLQRSMLQCSDGGPSVNGIDERAIRRRKRIRRSGQSESDDVVSSDLNLHGSDEENASEIATVESDNNSRNEGNGVDSGSKVEHSDTVADGCDGGHELNKGLDFQISTMVKRKKRKPTRKRETSDIIDPPAKVEAEGLGHNASDSCQRSQNSHERLNERPCEENGDEHLPLVKRARVRMSRAFYADEKVNTSSQVEERSSKDTLMSAALQTSPSVNHKNDIVSVHDTSAAEKFNSFELSAKLSDVMVDVVPSHMENSSDRMSPSRACVQTVGDRQTAVKFHENVFTMTLDDEVTRAQSNQLSSLAERKAHVPEVVQGCSEESQTGNCLNSETDPTDMQCSLQNEKNETPLNPDIVDSSAKSPGLCSSLDMTTIGVPAQSPHQHQSQEYDPGDHSLVIGGDSLNEKCEKIDYCMTQVVQSQALEPPPLFCSVVNYEEVENPQETENTLRKENQGSPGKELDSDKQAHMIQNPALSATESEMIVEEAEPQYETMYGHCADAVENRELEKSCVVDEQKEQMQATNSISVSENLSREKLNSSPAKGTPHCNSVCRISTAESANAMQENSNCSTNVQFGEEKPLNNDTVKEESKVETGPTQVKKVISSDVQFTVESFETALDSLVRTKETIGRATRLAMDLAKFGVSAKAMEILAHTLESESNLQRRVDLFFLVDSIAQCSKGLNGDAGGVYLSSIQAMLPRLLTAAVPPGATTQENRKQCLKVLRLWLERRILPESIVRHHIRELDSVSNVPACLYSRRSARTERALDDPVRDMEGILVDEYGSNSTLQLHGFCLPAMLRDEDEGSDSDGGDFESVTPEHESRSLEEHVTPSITERHTRILEDVDGELEMEDVAPPWEGGSSASAITDQADNRESANCQPVSGTSHQNVTSSSPPAPPSQNAQLAMSDSYSNGFEYSRNPSMHGDYQAGPPMHYGSPESSYSSRVSLSKSMPRGEGSNFQHRPYPSSHPPPPPSHHYSYMELDHHIKSRREGPSYPHRSHYTLEFDERNYQDSYERMRPAPCENRENWRYHPPSSHGPRYHDRHKGSYQSSSYSGHHRDSGRFQNNRWSHSPRAYNNRHSFHYKPHSEGPVPVGMRDPPGTWHQR >fgenesh2_kg.5__2804__AT3G63090.1 pep chromosome:v.1.0:5:21043916:21045127:1 gene:fgenesh2_kg.5__2804__AT3G63090.1 transcript:fgenesh2_kg.5__2804__AT3G63090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRNLTISYEIIKSLKKEPSLLGLQIRSISSLKVVWKKDTRLDEAIEQDKRYKLCARVVKEVLNEPGQVIPLRYLEKRRERLRLTFKAKSFVEMNPCLFEIYYDRIKPKSDLVQFIRPTPRLRAFLDEEQRIYAENEPLIVSKLCKLLMMAKDKVISADKLVHVKRDFGFPNDFLMKLVQKYPNYFRLTGLPEEGKSFLELVSWNPDFAKSKIEQRAEDEALQTGVRVRPNFNVKLPSGFFLRKEMREWTRDWLEQDYISPYEDVSHLDQASKEMEKRTVAVVHELLSLSLLKRVPVPTLGKFCHEFRFSNAFSSVFTRHSGIFYLSLKGGIKTAVLREAYKDDDLVDRDPLLAIKDRFLSLLEEGWQERKDRLKQQREQVQKDRELLLATKSNEPKERLCD >fgenesh2_kg.5__2805__AT3G63095.1 pep chromosome:v.1.0:5:21045771:21046493:-1 gene:fgenesh2_kg.5__2805__AT3G63095.1 transcript:fgenesh2_kg.5__2805__AT3G63095.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LTD4] MAEAGPEGRTRTRCPFASTTCSTLVAQTSLLCVDDGVGGGGGGVDGGGDRRGCCRHYCGGRCHDHGRYYGHCDHCHGRGYGYGHRDHGHDHGLVIIMVIVMVVIVVIIVVVVIIIIVVIVMIVVVVMVMVMVVVVMVRRRGSDGRRRWG >fgenesh2_kg.5__2807__AT3G63120.1 pep chromosome:v.1.0:5:21053373:21054879:-1 gene:fgenesh2_kg.5__2807__AT3G63120.1 transcript:fgenesh2_kg.5__2807__AT3G63120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLATDPGFIDSDVYLRLGLIIEGKRLKKPPTVLSRLSSSLERSLFLNHDNILTESPDSVTVFDGRSPPEISISHYLDRIFKYSCCSPSCFVIAHIYIDHFLQKTRAPLKPLNVHRLIITSVMLAAKVFDDRYFNNAYYARVGGVSTRELNRLEMELLFTLDFKLQVDPQTFHTHCCQLEKQNSDGFQIEWPIKEACRANKETWQKRTPDSVCSQTTAR >fgenesh2_kg.5__2808__AT3G63140.1 pep chromosome:v.1.0:5:21057508:21059351:-1 gene:fgenesh2_kg.5__2808__AT3G63140.1 transcript:fgenesh2_kg.5__2808__AT3G63140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSSSLFFSSKTTSPISNLLIPPSLHRFSLPSSSSSFSSLSSSSSSSSSSSLLTFSLRTSRRLSPQGFTVKASSVGDKKNVLIVNTNSGGHAVIGFYFAKELLSAGHGVTIMTVGDESSDKMKKPPFNRFSEIISGGGKTVWGNPADVANVVGGETFDVVLDNNGKDLDTVRPVVDWAKSSGVKQFLFISSAGIYKSTEQPPHVEGDAVKADAGHVAVEKYLAETFGNWASFRPQYMIGSGNNKDCEEWFFDRIVRDRAVPIPGSGLQLTNISHVRDLSSMLTSAVTNPKAASGNIFNCVSDRAVTLDGMAKLCAAAAGKTVEIVHYDPKAIGVDAKKAFPFRNMHFYAEPRAAKEILGWESKTNLPEDLKERFEEYVKIGRDKKEIKFELDDKILEALKTPVAA >fgenesh2_kg.5__2809__AT3G63150.1 pep chromosome:v.1.0:5:21059849:21063523:-1 gene:fgenesh2_kg.5__2809__AT3G63150.1 transcript:fgenesh2_kg.5__2809__AT3G63150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase [Source:UniProtKB/TrEMBL;Acc:D7LTE0] MMLGGKSSTGSRTSLRVAVAGDKGTGKSSLISAVASETFPDNVPRVLPPITLPADAFPDYIPITIVDTPSSIDNRIKLIEEFRKADVVILTYACDQPATLDRLSSYWLPELRRLEIKAPVIVVGCKLDLRDERSPARLEVIMAPIMKEYREIETCIECSALTLIQAPDVFYFASKAVLHPTFPLFDQEKHCLKPRLRRAVQRIFNLCDHDLDGALNDAELNDFQVNFFGAPLDPVELMGVKKVVQERQPDGVTHLGLTLPGFLFLFSLFIERGRPETAWAILRKCGYNDSLELSAKLLPVPAKQSPDQSIELTNEAMDFLSGIFQLYDLDNDGALQPAELDDLFQTAPDSPWLEAPYKDAAEKTPGGRLTINGFLSEWALMTLLDPQKSLANLIYIGYGHDPASTFSVTRKRSVDRKKQRTERNVFQCFVFGPKKSGKSALLDSFLGRKFSNSYKATMGERYAANVIDQPGGSKKTLILREIPEDRIKKFLTNKESLAACDVAVVVYDSSDIYSWKKAREILMEVARRGEESGYGTPCLLVAAKDDLDPYPMSVQESDRVCMELGIDIPVSLSMKLGEPNSLFSRIVSTAENPHLSIPETESGRRSKNIRQLVNSSLVFVSVGTAVGFAGLAAYRAYSARKNT >fgenesh2_kg.5__2810__AT3G63160.1 pep chromosome:v.1.0:5:21065102:21065576:-1 gene:fgenesh2_kg.5__2810__AT3G63160.1 transcript:fgenesh2_kg.5__2810__AT3G63160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKSGGEVHFPKLEKPTGKKQTATVVVGVLAVGLLAIEFVFKPLFKKLSSSKDKSDSDDATAPPPSVP >fgenesh2_kg.5__2811__AT3G63170.1 pep chromosome:v.1.0:5:21066138:21067815:1 gene:fgenesh2_kg.5__2811__AT3G63170.1 transcript:fgenesh2_kg.5__2811__AT3G63170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFRFPFSFSQPPRATSSFSGFSISAVAVSVTVGAGAAIAASRNPNHPIVEWALSSHRSSLLPWGSITLADSTPESVVEPKTGFSFPASIGDSRRLLGVGLRKKSLLGLKNIDVYAFGVYADCHDVKKLVGDKYADLPASEIRGNKAFMDDLMEADIKMTIRLQIVYGKLNIRSVRNAFQESVGNRLNKFGGPDNDELLQSFTSLFKDEYKIPRNSTIDLTKEPGHVLCVAIEGNHVGSVQSQLLCRSILDLYIGEEPFDKNAREDFLDNAASLAFDN >fgenesh2_kg.5__2812__AT3G63180.1 pep chromosome:v.1.0:5:21067801:21072115:-1 gene:fgenesh2_kg.5__2812__AT3G63180.1 transcript:fgenesh2_kg.5__2812__AT3G63180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGVTKRRKRLSENSREQMHQEVATVRDRPGKKERDPESFNRSKRRRSERFAPRNERDVEEDSSDESQGDDDVQQNYQRKSFPSGRIPRHAASLKVTDEMIGVAVPRKARSACIKRSHDCRTSSGSVVSPSSSTLSVKQKKILNGSKSRMPKPPKSSGTAEDDLEIEIAEVLSGLKKQPHSSKRGDHSENSQKSSEVKETCGLITCKARGESANIDKLTNGTKTSLVVAHIHKEKEPRLDSEVSKGVSDGPQLENNKVFESHSTSSKLDMDLMVPPTMPSSPGRVSLLPLVSDYCKASRDSSLKSKENVTLKTPEEVKQKKVEKREWLNLDIEGPNQETGRDSNLSLQNLDWSQPQQAKSAQHSSVLPLPVAVGSSPSVVPPRGYVPLIQTGTPVDGSNGSSTLVQGALFSASQPRPKRCATHFFIARNIQLHQHFVKTNHLSTPNKGSVYLKGGDLRPAAGNPSLQGSSPILSLNSQAPDRIVDNISVPKDKASESGHFASTRQNKPQPPPASISVVPAPAFIFPANHHLQPVMVPSKSSRPTKCPRVAVGSASVNFTHPSSSASEVSSPYFTVLPNNAYSFQLSSTIRGGTPSQAVPFYNGSFYSPQMFQQHPQPLQRQSQAQRESKASSCSSSSHRQPQPQPQVSVNTLSSQANVQQHRQMSQKSEAKAAGDNTDSRGSHTQKGGPFGQIMTAPVQPQNFSMSFASFANSAAPATLNFSSNGYHIISTPPGVTQQNNHQSSEAKTGGGSCSSNAEDPKKNLPGKPPGMMNGQTLVFDNPSRTLNFVSGTWPPPAATAINGDPSVFTQHLTQRQQQSGRSKMMTHSQADSVSAPSSQWKNPATSSSLTSGTSLNLKQFQSQQQTRTHGQTQISFAAPSNPQPSQGKQGRSGGSSSSVTGYASHGKAANPKVSNSKALPLSPVPLSREQTENSASGSSQKSSPVCGRTVPPIITLCPGHLSELKY >fgenesh2_kg.5__2819__AT3G63240.1 pep chromosome:v.1.0:5:21111028:21114603:-1 gene:fgenesh2_kg.5__2819__AT3G63240.1 transcript:fgenesh2_kg.5__2819__AT3G63240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7LTP1] MGDGSLKKSKLSWPKTLVKKWLNIKSKSEDFHADDLDRGEGGGDWRNNVNEREEACSVHKSKTETPSKRNSGRARRNKLDVDPPLDHHRVFTATWNVAGKSPPSYLNLDDWLHTSPPSDIYVLGFQEIVPLNAGNVLGTEDNGPARKWVSLIRRTLNSLPGGSCRTPSPVPHPVAELDSDFEGDSAAGPNSFFYHRSRSMRMDLSASSLPPQFDRRFSVCDRFMLGDRPDDFYDQSFRYCSSEDEPDSPSHDHYSPVSRTGSFVADDRDKSKYCLVASKQMVGIFLTVWVKSDLRDSVKNLKVSCVGRGLMGYLGNKGSISISMSVHQTSFCFVCSHLTSGQKEGDELRRNSDVLEILRKTRFPRVNNAGDDKSPQTILEHDRVIWLGDLNYRIALSYRSAKALVEMRNWSALLEKDQLRIEQRKGCVFEGWNEGTIYFPPTYKYSNNSDIYAGDDRLPKAKRRTPAWCDRILWYGSGINQLSYVRGESRFSDHRPVYSLFSVEIESVCRNRIKKSSSYTSSRIEVEELLPQRYGYSELNPY >fgenesh2_kg.5__281__AT2G03810.2 pep chromosome:v.1.0:5:2034867:2038513:1 gene:fgenesh2_kg.5__281__AT2G03810.2 transcript:fgenesh2_kg.5__281__AT2G03810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LQL5] MRADNDHALGNSVEICKDESKPHQCLEENWEDAELKVPENGKNNNNVCELFYDTRSGDEWDNEAGKKVRDISHDCDANVDSPDKKDPVFYMDKNVTACDLPEIVVCYKENTYHVVKDICVDEGVPVQEKFLFGEKDSVKSSSTEDLTKADKTNVNPSESKSAEDSNTKVDDSEFCNNCKTDRDVEESSREDFADAEGSSAYNQEHLIVTEEAKASPSHGLNPSEIEPDENSNDEVAISSETDSKESLTLGDILSREDEQKSLNHGNISSDSHEEQSPSQLQDKEKRSLETAAIETELEKTEEPKPVEEKLPSASTTTLQEPNKTCNDPEKPETENHHQQNSLVENSYEDDKLSSSRFGETSFSAAESVSISGHITYSGPIAYSGSLSVRSDASTTSGRSFAFPILQSEWNSSPVRMAKADKRRQKGGWRHTLLCC >fgenesh2_kg.5__2821__AT3G63250.1 pep chromosome:v.1.0:5:21118773:21120916:-1 gene:fgenesh2_kg.5__2821__AT3G63250.1 transcript:fgenesh2_kg.5__2821__AT3G63250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase AtHMT-2 [Source:UniProtKB/TrEMBL;Acc:D7LTP3] MTGSSFCSMKDYLKQTGGFAVIDGGLATEFERHGADLNDPLWSAKCLLTSPHLIHTVHLDYLEAGADIISSASYQATIQGFEAKGFSREISESLLRKSVEIACEARNTYYDKCGTSSSMDDKILKKRPILVAASVGSYGAYLADGSEYSGVYGDLITLEKLKDFHRRRLQVLAESGADLIAFETIPNKIEAQAFAELLEEGDVKIPGWFSFNSKDGVNVVSGDSIKECISIAENCEKVVAVGINCTPPRFIEGLVLEIEKVTSKPILVYPNSGESYDADRKEWVENTGVGDEDFVSYVEKWMDAGVSLLGGCCRTTPTTIRAIHKRLVNRRSH >fgenesh2_kg.5__2822__AT3G63260.1 pep chromosome:v.1.0:5:21121211:21123181:-1 gene:fgenesh2_kg.5__2822__AT3G63260.1 transcript:fgenesh2_kg.5__2822__AT3G63260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGEADKSLEIGSGSADPKLGGAGSRSAGEERYLRADKLDFSKWDLHMGQTSSSSVVTNNSASTSAPAPAMQEWEIDLSKLDMRHVLAHGTYGTVYRGVYAGQDVAVKVLDWGEDGYATAAETAALRTSFEQEVAVWQKLDHPNVTKFIGASMGTSDLRIPPAGDTGGRGNGAHPARACCVVVEYVAGGTLKKFLIKKYRAKLPIKDVIQLALDLARGLSYLHSKAIVHRDVKSENMLLQPNKTLKIADFGVARVEAQNPQDMTGETGTLGYMAPEVLEGKPYNRKCDVYSFGVCLWEIYCCDMPYADCSFAEISHAVVHKNLRPEIPKCCPNAVANIMKRCWDPNPDRRPEMEEVVKLLEAIDTSKGGGMIAPDQFQGCLCFFKPRGP >fgenesh2_kg.5__2825__AT3G63280.1 pep chromosome:v.1.0:5:21126050:21132592:1 gene:fgenesh2_kg.5__2825__AT3G63280.1 transcript:fgenesh2_kg.5__2825__AT3G63280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LTP5] MERYEVLEQIGKGSFGSALLVRHKQERNKYVLKKIRLARQSDRARRSAHQEMELISTVRNPFVVEYKDSWVEKGCYVCIVIGYCEGGDMTETIKRACGVHFPEEKLCQWLVQLLMALDYLHSNHILHRDVKCSNIFLTKEQDIRLGDFGLAKILTSDDLTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCMYEMAAHKPPFKASDVQTLITKIHKLIMDPIPAMYSGSFRGLIKSMLRKNPELRPSANELLNHPHLQPYISMVYLKLESPRRSTYPLQFSESCETEKERRRSSFSNDRRLNPSVSDTEAGSVSSSGKASHSPMFNGRKVSEVTVGVVREEIVPQRQEGVKKQSSAARTPRVAGTSAKASGMPRRLETPSSTPRTVSKHEVIKVSNPTDRRRRVSLPLAVENPYIYESDITALCGLNSPDVSVNAPRIDKIAEFPEDVFQNQNRETASRAVARRSFSSTPRPHGEDNTNRSITKDKCTVQTRSVSEVKQRRFDTSSYQQRAEALEGLLEFSARLLQQERYDELGVLLKPFGAERVSSRETAIWLTKSFKEASV >fgenesh2_kg.5__2827__AT3G63290.1 pep chromosome:v.1.0:5:21132744:21134546:1 gene:fgenesh2_kg.5__2827__AT3G63290.1 transcript:fgenesh2_kg.5__2827__AT3G63290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEILEPYQLSFSDLLLSSRFHSSSPSDDRISRNVMDALGPTGPGLLCITGVLGSALLRRKLLPMARKLALLDPDKRKRFLKEHHLGSDLPLKNPERDVSSFAMQLNYERTTCISSLEKLWFDEAVAKLDLHQEDDEFTNLGGAFKELGFCMRELGLSIARICDRDIGGGLLEESLLESCTAKGRLIHYHSAADKCALREAESRNQSGKRVSSKRRVQNAAEQEGNHRSGAGLSGSHFNLWQQWHYDYGIFTVLTDPMFLSSYSYQECTLMSSHSCLQIYHPSKNKFYMVKTPQDSFIVQIGESADILSKGKLRSTLHCVCKPEKLDHISRETFVVFLQPKWSQTFSVSEYTMEHLRSDSLQRQLTDTDEIIPRPDIQKIVPPLSSRLRDGMTFAEFSRETTKQYYGGSGLQSNR >fgenesh2_kg.5__2829__AT3G63300.1 pep chromosome:v.1.0:5:21135947:21138641:1 gene:fgenesh2_kg.5__2829__AT3G63300.1 transcript:fgenesh2_kg.5__2829__AT3G63300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELRRPNPINSSRRPEIFSSGGSSTQLPESPRGPMEFLSRSWSVSALEVSRALHTAKSASATNRPPSSINTPIPEETLNPEKEECPPENTSNNSSVSSQFSFAASATSQLVLERIMSQSEVSPLTSGRLSHSSGPLNGGGSFTETDSPPISPSDDFDDVVKYFRTHNTIQPLFSGTGGSRGTTGNGSNTPMAGTGPKTVGRWLKDRKEKKKEETRAQNAQVHAAVSVAAVASAVAAVAAATAASSPGKNEQMARIDMAMASAAALVAAQCVEAAEIMGAERDFLTSVVSSAVNVKSHDDIVTLTAAAATALRGAATLKARALKEVWNIAAVLPAEKGTSSALCGQVDTKHSDSSFSGELPVAGEDFLGVCNQELLAKGTELLKRTRGGDLHWKIVSVYINKAGQVVLKMKSKHVGGTFTKKKKHMVLEVRKDIPAWAGRDLFNGDKHHYFGLKTETKRVVEFECRNQREYEIWTQGVCRLLAIAAERKQKSSMCKWMAP >fgenesh2_kg.5__2831__AT3G63310.1 pep chromosome:v.1.0:5:21138867:21139874:-1 gene:fgenesh2_kg.5__2831__AT3G63310.1 transcript:fgenesh2_kg.5__2831__AT3G63310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate binding protein [Source:UniProtKB/TrEMBL;Acc:D7LTP8] MWNQKHDLESAQTPLYPMMLESPELRWSFIRKVYSIISIQLLVTIAVAATVVKVHSISVFFTTTTAGFALYILVIFTPLIVMCPLYYYHQKHPVNYLLLGIFTVALAFAVGLTCAFTSGKVILESVILTSVVVISLTLYTFWAAKRGHDFNFLGPFLFGAVIVLMVFSFIQILFPLGKISVMIYGCLASIIFCGYIVYDTDNLIKRHSYDEYIWAAVSLYLDVINLFLSLLTLLRAADS >fgenesh2_kg.5__2832__AT3G63320.1 pep chromosome:v.1.0:5:21140754:21142483:-1 gene:fgenesh2_kg.5__2832__AT3G63320.1 transcript:fgenesh2_kg.5__2832__AT3G63320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELRPCSDLPIALFGIADPYPPPPVVATWRRFKVGGNTRRIVFSALLIFAFPFPTGTPTDVVVGIAAVFDGHNGAEASEMASQLLLDYFALHTYFLLDATFSKELSGTLPNPLMHLYDLNFQRFQDSSPLNFGDSLHLDILKEALLRAIHDIDATFTKEASNRKLDSGSTATVALTADGQLLVASIGDSKALLCSEKFETPEEARATSIKLYRERKRNRDSSSSRFSDFKLEHRNGLIRFIAKELTKDHHPDREDEMLRVKAAGGYVTEWAGVPRVNGQLAVSRSIGDLTYKSYGVISAPEVMDWQPLLANDSYLVVSSDGIFEKLEVQDVCDRLWEVKNQTSFGAGVPSYCSVSLADCLVNTAFEKGSMDNMAAVVVPLQSNIDWQSQPKEQYVGGNIDEFASGLQMKNTYALPCEFL >fgenesh2_kg.5__2834__AT3G63330.1 pep chromosome:v.1.0:5:21142600:21145560:-1 gene:fgenesh2_kg.5__2834__AT3G63330.1 transcript:fgenesh2_kg.5__2834__AT3G63330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LTQ0] MVERGPTVYLSGLREKHFGELFLNAYNISESSSATQTSSSQPASSGLGLSEEGLKHIARYIEYFESRYNDIWLVFHHEGVSLSKLMYTAEEAENSSAGEKAEEASHGQILRPSKWWTWLKTTESGKEEMRRIIWQLLLGLKACHDRNITHRDIKPENMVICIEDIKSGRCLKGVPNGDHNFKTKMRIIDFGSALDEYTMKHLYGSTGPSGAEQTHDYAPPEAIMNSSWHHGPTSLTLKYDMWSVGVVMLEMILGSPNVFEISSVTRALLDQHIRGWSENFKELAYKLRSLMEMCILIPGSSLKHGGASAKQGGISLASWKCSEEFFAEQIKSRDPLKIGFPNVWALRLVRGLLQWYPEDRVTVDEALQHPYFQPPPSS >fgenesh2_kg.5__2838__AT3G63380.1 pep chromosome:v.1.0:5:21158874:21162410:-1 gene:fgenesh2_kg.5__2838__AT3G63380.1 transcript:fgenesh2_kg.5__2838__AT3G63380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7LTQ5] MRDLKEYDYSALLLNLTTSSLNKAQRRWRFAYAAIYSVRAMLSLVKEIVPARIDPKTSDAPLSLSYTALESGDGAKINSMPLSYVPDIDQEQLVEIMKGKDLPRILALGGLEGVAASLRTNATKGIHGNEQEVSRRRDLFGSNTYHKPPPKGLLFFVYEAFKDPTILILLVCATFALGFGIKEHGIKEGWYEGGSIFVAVFLVIVVSALSNFRQERQFDKLSKISNNIKVEVLRDSRRQHISIFDVVVGDVVFLKIGDQIPADGLFLDGHSLQVDESSMTGESDHLEVNHKDNPFLFSGTKIVDGFAQMLVVSVGMSTTWGQTMSSINQDSSERTPLQVRLDTLTSTIGKIGLTVAALVLVVLLVRYFTGNTEKEGKREYNGSKTPVDTVVNSVVRIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMSDQAMVRKLSACETMGSATVICTDKTGTLTLNEMKVTKFWLGQESIHEDSTKMISPDVLDLLYQGTGLNTTGSVCVSDSGSTPEFSGSPTEKALLSWTVLNLGMDMESVKQKHEVLRVETFNSAKKRSGVLVRRKSDNTVHVHWKGAAEMVLAMCSHYYTSTGSVDLMDSTGKNRIQAIIQGMAASSLRCIAFAHKVASNDSVLEEDGLTLMGIVGLKDPCRPGVSKAVVTCKLAGVTIKMITGDNVFTAKAIAFECGILDHNDKDEEDAVVEGVQFRNYTDEERMQKVDKIRVMARSSPSDKLLMVKCLRLKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLKWGRCVYNNIQKFIQFQLTVNVAALVINFIAAISAGEVPLTAVQLLWVNLIMDTLGALALATERPTNELLKRKPVGRTEALITNVMWRNLLVQSLYQIAVLLILQFKGMSIFNVRKEVKDTLIFNTFVLCQVFNEFNAREMEKKNVFKGLHRNRLFIGIIAITIVLQVIMVEFLKKFADTVRLNGWQWGTCIAIASLSWPIGFFTKFIPVSETPFLSYFKNPRSLIKGSRSPSLKKP >fgenesh2_kg.5__2843__AT3G63430.1 pep chromosome:v.1.0:5:21172574:21174700:1 gene:fgenesh2_kg.5__2843__AT3G63430.1 transcript:fgenesh2_kg.5__2843__AT3G63430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKEQDLEKQIGGCMAGFFNIFDRPNLLSPNKRLSSSPSAESESASGRSSPLNSRRNQQSVYSTPELRSPPSDSLNLTTLAAVTEDFKQQRSSSSRSSSSPWRFSKEAPRLSLDSRAVVDAKGCLKPRQIRPDADENLRGSPSVIARLMGLEPLPLQRSASESRVSRDYLFDFQDDKGAEDPAAFMKPARAPPLVVRRKSFFDSPEPRQCGRDLERVSKMSGFDDAPPTDLETLKQLLEALRLKGLLHSSHKHESRNLVFDHHQSPIKPIRSGLVRRDRGTRGRGRNSPSVNRRPRPTLKEQRRVAPLPWRRPEPLQWRIEDQSSSTLAEETNSDVQKWKVDVYNRQGKTLLERCDKLLHSIAEMAAAAEAGESQPSPVSVLDASIYHEDSSPSPVMKRTLDFSAESEDESWGGSILSSSDTEYVYISDILRASDCLSQESDIFSFLEKQQYLKGKCTSIAAAQERRLIFDAVQEIVARGRSLPPWRMVAEADKMQVIWSEFQKIREKKSSTDEEEDLVGYVCRVLGRDLSEDRWRDCHVEMSEAVLDIERLVFKDLIGETIRHLAALNRSDSLRRRLLF >fgenesh2_kg.5__2845__AT3G63450.1 pep chromosome:v.1.0:5:21179207:21181271:-1 gene:fgenesh2_kg.5__2845__AT3G63450.1 transcript:fgenesh2_kg.5__2845__AT3G63450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYEATRVVLSRIQSLDPANASKIMGLLLLQDHGEKEMIRLAFGPQNLLHSVIAKAKKDLDERLSFLAPRTGGCVSDDLGFGWGQYSYADCKLDIHHQSSVPPRLAHQFTGYPFSPKGVNLQQSEAKSRAAALMMGDDLHKLGRWRPERIDLSAMACPASRQIYLTFPADSIFREEDVSDYFSMVVQYFGPVQDVRIPFQQKRMFGFVTFMYPDTVKSILAKGNPHFVCDSRVLVKPYKEKGKVPDKYRDIMDFQLGGRAFHDNTQDLLWKRRFEERALELQSTRLMNLQLLDVKKQFQLSFDQTFVSPRLVSRNQRVCTKENDEVAIKLPESLEDDRLVDSPFASPTQHCLEFEECADTNGSRLSSPYFDDDESSTSTLKDSLKSFNCQMPRLSMMGISQGSSGPTTCRVGI >fgenesh2_kg.5__2849__AT3G63470.1 pep chromosome:v.1.0:5:21192215:21194758:1 gene:fgenesh2_kg.5__2849__AT3G63470.1 transcript:fgenesh2_kg.5__2849__AT3G63470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7LTR4] MRKGQGYSYSEIASVLVLLCVVVSRIECSSQVHALSRLYLSKRGVGGSSTMDTSHFKAVKDLKPSSLRSVANQEELRERDLIRRLPGQPPVSFDQYGGYVTVNESAGRSFFYYFVEASNSKSKDSSPLLLWLNGGPGCSSLAYGALQELGPFRVHSDGKTLFRNRYAWNNAANVLFLESPAGVGFSYTNTTSDLEKHGDRNTAADNYIFLVKWLERFPEYKGRDLYIAGESYAGHYVPQLAHTILLHHRSSLNLKGILIGNAVINDETDLMGMYDFFESHALISEDSLARLKNNCDLKTESASVMTEECAVVSDQIDMDTYYLDIYNIYAPLCLNSTLTHRPKRGTTIREFDPCSDHYVQAYLNRPEVQAALHANATKLPYEWQPCSSVIKKWNDSPTTVIPLIKELMGQGVRVWVFSGDTDGRIPVTSTKYSLKKMNLTAKTAWHPWYLGGEVGGYTEEYKGKLTFATVRGAGHQVPSFQPKRSLSLFIHFLNDTPLPDTSRY >fgenesh2_kg.5__2856__AT3G63510.1 pep chromosome:v.1.0:5:21204080:21206319:1 gene:fgenesh2_kg.5__2856__AT3G63510.1 transcript:fgenesh2_kg.5__2856__AT3G63510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCLPSVRPNVSEMFFKSPMLKPTARFSTTSSPYLPPSFSVAPMMEWTDNHYRTLARLITKHAWLYTEMIAAETLVHQQANLDRFLAFSPQQHPIVLQLGGSNVENLAKAAKLSDAYGYDEINLNCGCPSPKVAGHGCFGVRLMLKPKLVGEAMSAIAANTNVPVTVKCRIGVDDHDSYDELCDFIYKVSTLSPTRHFIVHSRKALLGGISPADNRRIPPLKYEYFYALVRDFPDLRFTINGGITSVSKVNAALKEGAHGVMVGRAAYNNPWQMLGHVDTAVYGVPSRGLTRRQVLEQYQVYGDSVLGTHGNGRPNVRDLVKPLLNLFHSENGNSLWKRRADAAFKECRSVGSLLEESLRAIPDCVLDSPISGSPESGEEDVFADVHNVLPPPYEAAGEGIILCA >fgenesh2_kg.5__2858__AT3G63520.1 pep chromosome:v.1.0:5:21206482:21209647:1 gene:fgenesh2_kg.5__2858__AT3G63520.1 transcript:fgenesh2_kg.5__2858__AT3G63520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLSDGSSVVSVNPKPSKGFSSKLVDLLERLVVKLMHDASLPLHYLSGNFAPIREETPPVKDLPVHGFLPECLNGEFVRVGPNPKFDAVAGYHWHVLFFFFHFTIFNYSSWMIHGVRIKDGKATYVSRYVKTSRLKQEEFFGAAKFMKIGDLKGFFGLLMVNVQLLRTKLKILDNTYGNGTANTALIYHHGKLLALQEADKPYVIKVLEDGDLQTLGMIDYDKRLTHSFTAHPKVDPVTGEMFTFGYSHTPPYLTYRVISKDGIMHDPVPITISEPIMMHDFAITETYVIFMDLPMHFRPKEMVKEKKMIYSFDPTKKARFGVLPRYAKDELMIRWFELPNCFIFHNANAWEEEDEVVLITCRLENPDLDMVSGKVKEKLENFGNELYEMKFNMKTGSASQKKLSASAVDFPRINECYTGKKQRYVYGTILDSIAKVTGIIKFDLHAEAETGKRMLEVGGNIKGIYDLGQGRYGSEAIYVPREAAEEDDGYLIFFVHDENTGKSCVHVIDAKTMSAEPVAVVELPHRVPYGFHALFVTEEQLQEQTLI >fgenesh2_kg.5__286__AT2G03830.1 pep chromosome:v.1.0:5:2044349:2046276:1 gene:fgenesh2_kg.5__286__AT2G03830.1 transcript:fgenesh2_kg.5__286__AT2G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRVIFFLCALAIVLLLTPTSSLQLKPPYSSPSQGLSKKIVTKMVTRKLMIISSEHVMTSTVHEGSSEQLQVTSSGRSKGEEKKLSEEEEEKKALSKYLSMDYRTFRRRRPVHNKALPLDP >fgenesh2_kg.5__288__AT3G02430.1 pep chromosome:v.1.0:5:2058141:2059247:-1 gene:fgenesh2_kg.5__288__AT3G02430.1 transcript:fgenesh2_kg.5__288__AT3G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LQL9] LSALRLRNANTPAPELDEFSDQIPSESRRAMSNTLTSAANLSNLLPTGTLLAFQLLTLVFTSNGVCDLATPSFTDSVKAEDVTIYFDFVTFKGMWVVDYPDLSGLGLPDETVKIRKLNRLPVYPITPDLAKYRMWVVDWIHATLSVLVFGAVALRDKYITDCFCPSPEAETKHVLDIVPVGVGVMCSLLFMVFPARRHGIGYLVTGSVDRR >fgenesh2_kg.5__289__AT2G03850.1 pep chromosome:v.1.0:5:2065647:2066795:1 gene:fgenesh2_kg.5__289__AT2G03850.1 transcript:fgenesh2_kg.5__289__AT2G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSISGSVLNGLGSSFLISRGKRSGVGLVATRVGRKNVIISPKFKKSWVPTAVKGDGNSKLDPKWLDDASQKASECVKDKGSEVGHVSAQKGQEVNDHIERAKYYMFEKASEAIDNVAEIAQFASEFVTEKGKETKKETASMSEKAKDFIVEKAGEVIDIATDVSKKTAKYVGEKAAEVKEAIMPPKP >fgenesh2_kg.5__290__AT2G03870.2 pep chromosome:v.1.0:5:2067487:2069022:1 gene:fgenesh2_kg.5__290__AT2G03870.2 transcript:fgenesh2_kg.5__290__AT2G03870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFVRDHDDPLKTTDQTRRLGLIVCRGTAVMLVSPTDGTEEIANPFVQAEAV >fgenesh2_kg.5__292__AT2G03880.1 pep chromosome:v.1.0:5:2069163:2071250:1 gene:fgenesh2_kg.5__292__AT2G03880.1 transcript:fgenesh2_kg.5__292__AT2G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LQM3] MDSLQSHGLWADSATYSELIKCCLSHRAVHEGNLICRHLYFNGHQPMMFLVNVLINMYVKFNLLNDAHQLFDQMPQRNVISWTTMISAYSKCKIHQKALELLVLMLRDGVRPNVYTYSSVLRACNGMSDVRMLHCGIIKEGLESDVYVRSALIDVFAKLGEPEDALSVFDEMVTGDAIVWNSIIGGFAQNSRSDVALELFKRMKRAGFIAEQATLTSVLRACTGLALLELGMQAHVHIVKYDQDLILNNALVDMYCKCGSLEDARRVFNQMKERDVITWSTMISGLAQNGYSQEALKLFELMKSSGTKPNYITIVGVLFACSHAGLLEDGWYYFRSMKKLYGINPGREHYGCMIDLLGKAGKLDDAVKLLNEMECEPDAVTWRTLLGACRVQRNMVLAEYAAKKVIALDPEDAGTYTVLSNIYANSQKWDSVEEIRKRMRDIGIKKEPGCSWIEVNKQIHAFIIGDESHPQIVEVNKKLNQLIHRLIGIGYVPETNFVLQDLEGEQMEDSLRHHSEKLALAFGLMTLPSEKVIRIRKNLRICGDCHVFCKLASKLENRNIVIRDPIRYHHFQDGKCSCG >fgenesh2_kg.5__293__AT2G03890.1 pep chromosome:v.1.0:5:2075801:2078743:1 gene:fgenesh2_kg.5__293__AT2G03890.1 transcript:fgenesh2_kg.5__293__AT2G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-and 4-kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LQM4] MSRNLDSPVQTQMAVAVFKTPLTGANKMEGKQHHKHQHLQRQSSGRRVFVQTETGCVLGMELDRSDNVHTVKRRLQIALNFPTEESSLTYGDMVLTNDLSAVRNDSPLLLKRNFMHRSSSTPCLSPTGRDLQQKDRSGPIEILGHSDCFSIVKHMVKDIVKAMKMGVEPLPVHSGLGGAYYFRNKRGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKSSVRVGETGFREVAAYLLDYGRFANVPPTALVKITHSVFNVNDGVKGNKPREKKLVSKIASFQKFVAHDFDASDHGTSSFPVASVHRIGILDIRIFNTDRHGGNLLVKKLDGVGMFGQVELIPIDHGLCLPETLEDPYFEWIHWPQASLPFSDEELDYIQSLDPLKDCDMLRRELPMIREACLRVLVLCTIFLKEAASYGLCLAEIGEMMTREFRPGEEEPSELEVVCIEAKRSVTERDVFSPRSDVVGEAEFQFDLDCDDLESVYSSKIQLTDDYFTKNPFSNGRSSLGKLEESIKEEEEDDEEEEDKTENAVPMIIMKDSFFSSAAFHDKAPSLSKLSTSMKNTHLSDTARKHPKPLTRGKSENTSSGHKSANEQLPVSASFVKVADMKEDEWVLFLERFQELLGPAFAKRKTATLSKRQRLGTSCQF >fgenesh2_kg.5__295__AT2G04020.1 pep chromosome:v.1.0:5:2123361:2124532:1 gene:fgenesh2_kg.5__295__AT2G04020.1 transcript:fgenesh2_kg.5__295__AT2G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LQN3] MGLPCSLKSYLLLLLLSFLNFSIIYSSKPKEEAVLFGGNFPAFYVIGDSLVDPGNNNHLPTMIRANYPPYGSDFEGGKATGRFSNGKTIADYIAIYYKLPLVPAYLGLSEDRKDTISTGMNYASAGCGILRLTGKIAGKCLSLSKQVDMFEETIEKHLKTNFKTPYELREHLAHSLFMTVIGVNDYAFFYTRLTDANDFADKLLHKFLKKIEKLHKLGARKFFINNIKPLGCYPNMVAKTVPRGSCNERVNLAISIYNDKLRRSLP >fgenesh2_kg.5__297__AT2G04030.1 pep chromosome:v.1.0:5:2130720:2134962:1 gene:fgenesh2_kg.5__297__AT2G04030.1 transcript:fgenesh2_kg.5__297__AT2G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSRSLYTTPLTSVPITPLSSRLSHLRSSFLPRGGALRTDLSCSWNLEKRCNRFAVKCDAAVAEKETTEEGSGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPSLLGDGGDLEIRIKPDPDNGTITITDTGIGMTKEELIDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYVWESVADSSSYVIREETDPENILRRGTQITLYLREDDKYEFAESTRIKNLVKNYSQFVGFPIYTWQEKSRTIEVEEEEPAKEGEEGEPKKKKTTKTEKYWDWELANETKPLWMRNSKEVEKGEYNEFYKKAFNEFLDPLAHTHFTTEGEVEFRSILYIPGMGPLNNEDVTNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSDDLPLNVSREILQESRIVRIMRKRLIRKTFDMIQEISESENKEDYKKFWENFGRFLKLGCIEDTGNHKRITPLLRFYSSKNEEELTSLDDYIENMGENQKAIYYLATDSLKSAKSAPFLEKLIQKDIEVLYLVEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEVKDREAKQEFNLLCDWIKQQLGDKVAKVQVSNRLSSSPCVLVSGKFGWSANMERLMKAQALGDTSSLEFMRGRRILEINPDHAIIKDLNAACKNAPESTEATRVVDLLYDTAIISSGFTPDSPAELGNKIYEMMAMAVGGRWGRVEEEEESSTVNEGDDKSGETEVVEPSEVRAESDPWQD >fgenesh2_kg.5__299__AT2G04032.1 pep chromosome:v.1.0:5:2151074:2152670:1 gene:fgenesh2_kg.5__299__AT2G04032.1 transcript:fgenesh2_kg.5__299__AT2G04032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKACYKVITITVLLLSFTFTSLAGNAENADVSECKAESGDPLCHNNKEAQKLKIIAIPSILVASMIGVSLPLLTRSIPALGPDRDMFVLVKCLASGVILATGFMHVLPDSVDDLTSKCLPEDPWRKFPFSTFIAMVSALLVLMIDSFAMSAYARRTSKREGEVVPLENGSNSVDTQDEIQTLENGSNSVEKQEKVNDNKTSQLLRNKVIAQILELGIVVHSVVIGLAMGASDNQCTIRSLIAALCFHQLFEGMGLGGSILQAQFKSKTNWMMVFFFSVTTPFGIVLGMAIQKIYDETSPTALIVVGVLNACSAGLLIYMALVNLLAHEFFGPKIQGNMKLHILGYVAVFIGAGAMTLMAKWA >fgenesh2_kg.5__29__AT2G01260.1 pep chromosome:v.1.0:5:140666:143330:-1 gene:fgenesh2_kg.5__29__AT2G01260.1 transcript:fgenesh2_kg.5__29__AT2G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGFQLTRGRHGDDPLHTWAKARRSNQRIDQLRRAQSDVSNGPSSAPHKQHKLLPNLVASDPSASSSSNLDRFLESVTPSVPAQFLSKTLLWERRADDDYKPVPYFVLGDVWDSFAEWSAYGTGVPLVLNNNKDRVIQYYVPSLSAIQIYAHSHALNSSLKSRRPGDSSDSDFRDSSSDVSSDSDSEQLSARVDRISLRDEDSSSDDGEPLGSQGRLMFEYLERDLPYIREPLADKVFDLAAQFPQLMTLKSCDLLPSSWFSVAWYPIYRIPTGPTLKDLDACFLTYHSLHTPSGGKGSAQSMSLMQARESEKMSLPVFGLASYKFRGSLWTPIGGSEHQLVNSLFQAADKWLHSCNVNHPDFLFFCRR >fgenesh2_kg.5__300__AT2G04038.1 pep chromosome:v.1.0:5:2203619:2204116:1 gene:fgenesh2_kg.5__300__AT2G04038.1 transcript:fgenesh2_kg.5__300__AT2G04038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPTSSLNYLNDLIINNNYSSSSNSQDLMMSNNSTSDEDHHQSIVILDERKQRRMLSNRESARRSRMRKQRHLDELQAQVIRLRNENNCLIDKLNQVSETQDSVLKENSKLKEEASDLRQLVCELKSNKNNNNSFPREFEDN >fgenesh2_kg.5__301__AT3G25540.1 pep chromosome:v.1.0:5:2210687:2216227:1 gene:fgenesh2_kg.5__301__AT3G25540.1 transcript:fgenesh2_kg.5__301__AT3G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFELVKSIDWEQESFPTYQDLGFLPLFAVFFPTIRFLLDRFVFEILSENKCNVDEADLFGTFEIGKSCDLWKEFFFIIINIQTYNILICIYYLSAELLALSVTYNEPWFSNTLYFWIVRLFHFFVVMKLKFLYMFAAGFYTYSIFALVFWETRRSDFGVSMGHHITTLVLIVLSYICRLTRAGSVILALHDASDVFLEIGKMSKYCGAESLASISFVLFALSWVVLRLIYYPFWILWSTSYQIIMTVDKEKHPNGPILYYMFNTLLYFLLVLHIFWWVLIYRMLVKQVQDRGKLSEDVRSDSESDDEHED >fgenesh2_kg.5__302__AT3G25545.1 pep chromosome:v.1.0:5:2237605:2240264:1 gene:fgenesh2_kg.5__302__AT3G25545.1 transcript:fgenesh2_kg.5__302__AT3G25545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVLTENPPPSRFFQEDLNNFVPPLESLPSPFIIFSNPKPELPFRPSLLIIAISSPSLYVFHNLPSKTLLGSLIMPEVPFSGNTMEPSLEDKSCNIYSLSSTDDKNLILLVSVQLPVSPERSNLVSRFLIGQDIIPERVIILDSIQSRNFRGRLSPDETLAAKLETSAEKKAITHTGLVNLDYFPSGSVIDGLSASLLSWCQLKNIRGTLVITWPEFGPSVIRFVGALLKKIVPSLDVASVNKDLERNSSRTGLKKDTWLDSDLYT >fgenesh2_kg.5__304__AT3G25560.2 pep chromosome:v.1.0:5:2242484:2246798:-1 gene:fgenesh2_kg.5__304__AT3G25560.2 transcript:fgenesh2_kg.5__304__AT3G25560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nsp-interacting kinase 2 [Source:UniProtKB/TrEMBL;Acc:D7LQR3] MLQGRRKVEKSYALFSSIFFYFFICFLSSSSAELTDKGVNFEVLALIGIKSSLVDPHGVLQNWDDTAVDPCSWNMITCSPDGFVLSLGAPSQSLSGTLSSSIGNLTNLQTVLLQNNYITGHIPHEIGKLMKLKTLDLSTNNFTGQIPFTLSHSTNLQYLRVNNNSLTGTIPSSLANMTQLTFLDLSYNNLSGPVPRSLAKTFSVMGNPQICPTGTEKDCNGTQPKPMSITLNSSQNKSSDGGTKNRKIAVVFGVSLTCFCLLIIGFGFLLWWRRRHNKQVLFFDINEQDKEEICLGNLRRFSFKELQSATSNFSSKNLVGKGGFGNVYKGCLHDGSIIAVKRLKDINNGGGEIQFQTELEMISLAVHRNLLRLYGFCTTSSERLLVYPYMSNGSVASRLKAKPVLDWGTRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDHYCEAVVGDFGLAKLLDHEESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGLRALEFGKAANQRGAILDWVKKLQQEKKLEQIVDKDLKSNYDRIEVEEMVQVALLCTQYLPIHRPKMSEVVRMLEGDGLVEKWEASSQRAETNRSYSKPNEFSSSERYSDLTDDSSVLVQAMELSGPR >fgenesh2_kg.5__305__AT3G25570.1 pep chromosome:v.1.0:5:2252506:2254539:-1 gene:fgenesh2_kg.5__305__AT3G25570.1 transcript:fgenesh2_kg.5__305__AT3G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosylmethionine decarboxylase family protein [Source:UniProtKB/TrEMBL;Acc:D7LQR4] MAVSAIGFEGFEKRLEISFFEPSVFLDPKGNGLRALTKSHLDEILTPAECTIVSSLSNSLVDSYVLSESSLFVYPYKIIIKTCGTTKLLFSIPHILSLADSLCLTVKSVRYTRGSFIFPGAQSYPHRSFSEEVALLDGYFGKLNAGSKAFVMGGSDNNPQRWHVYSTCSAYESDVACDKPKKASVFFKTNSVSASDMTISSGIRNILPASEICDFNFDPCGYSMNSVEGDAVSTIHVTPEDGFSYASFETELVDRVLVCFEPEEFSVAVHANLGTELLACDCIVDVKGYLSQERGLEELGLGGSVVY >fgenesh2_kg.5__307__AT3G25577.1 pep chromosome:v.1.0:5:2264500:2264868:1 gene:fgenesh2_kg.5__307__AT3G25577.1 transcript:fgenesh2_kg.5__307__AT3G25577.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LQR7] MKQYLSKVTEFFKAPMTNGMALGSAAVAVMASKRDLLMDHLATKKKEYLKEEKSATGLKENVVEAAKMESAT >fgenesh2_kg.5__308__AT3G25580.1 pep chromosome:v.1.0:5:2265453:2267935:1 gene:fgenesh2_kg.5__308__AT3G25580.1 transcript:fgenesh2_kg.5__308__AT3G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPIQEIIEKQVLTVAKAMEDKLDDEIASLEKLDEDDLEVLRERRLKQMKKMAEKKKRWMSLGHGEYSEIHSEKDFFSVVKASERVVCHFYRENWPCKVMDKHMSILAKQHIETRFVKIQAEKSPFLAERLKIVVLPTLALIKNTKVDDYVVGFNELGGKDDFSTEDLEERIARAQVIHYEGESSLKPKSTPQVRRNVRQSARSDSDSE >fgenesh2_kg.5__310__AT3G25585.1 pep chromosome:v.1.0:5:2269633:2273065:1 gene:fgenesh2_kg.5__310__AT3G25585.1 transcript:fgenesh2_kg.5__310__AT3G25585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGAHGVAALKKHKYSGVDHSYLAKYVLQPFWNRFVKIFPLWMPPNMITLMGFMFLLTSALLGYIYSPKLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALGCALETMAYGSTAMCGRDTFWFWVISAVPFFGATWEHYFTNTLTLPVVNGPTEGLALIYCGHFFTAIVGAEWWAQPLGKSIPLFSWVPFLNEMQMSRIILFTMIFFAVIPTLAINTSNVYKVVQSRKGSMLLALAMLYPLVTLIAGVLIWDYLSPVDLIRNYPHLVVLGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPFALANALTARLNDGVPLVDEFWVLLGYCVFTLSLYAHFATSVIHEITTALGIYCFRITRKEA >fgenesh2_kg.5__314__AT3G25597.1 pep chromosome:v.1.0:5:2283772:2284649:1 gene:fgenesh2_kg.5__314__AT3G25597.1 transcript:fgenesh2_kg.5__314__AT3G25597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLNTFAADCVVVSCCCNCLVLQMAIFIFLGLPQKLVKNTRKCYTKWGINRGIKSMGLCCECRENTEVDLEWRKESMMSMEMEGFGCIEEVEQALEEFSKNGEFLFGSFWGQERVQNSSSMSSCVNDNFDLRFVSRYEIIEENFYSLDYIFTTSHKLC >fgenesh2_kg.5__315__AT3G25600.1 pep chromosome:v.1.0:5:2285162:2285835:1 gene:fgenesh2_kg.5__315__AT3G25600.1 transcript:fgenesh2_kg.5__315__AT3G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKPTDQIKQLKDIFARFDMDNDGSLTQLELAALLRSLGIKPRGDQISLLLNQIDRNGNGSIEFDELVVAILPDINEEVLINQEQLMEVFRSFDRDGNGSITAAELAGSMAKMGHPLTYRELTEMMREADSNGDGVISFNEFSHIMAKSAADFLGLTAS >fgenesh2_kg.5__319__AT3G25655.1 pep chromosome:v.1.0:5:2344419:2344882:-1 gene:fgenesh2_kg.5__319__AT3G25655.1 transcript:fgenesh2_kg.5__319__AT3G25655.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LQS8] MFMTLYIVFLLVFGSYNATARMIGPIKLSETTLVQTRSRQEEIIGGFRFKGRVFHLFSKRVLVPPSGPSVRHNSVVN >fgenesh2_kg.5__320__AT3G25660.1 pep chromosome:v.1.0:5:2350002:2352781:-1 gene:fgenesh2_kg.5__320__AT3G25660.1 transcript:fgenesh2_kg.5__320__AT3G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit A, chloroplastic/mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7LQT0] MLSTLQPPRSLSLLPLRRFRNSKTIVSAASSTTSVISPPQSQILTTRRSLLSGETTAVEIAKSYLSRIRLTEPQLKCFLHVSENVLKDAQEIDQRIAKGEELGPLAGVLIGVKDNICTQGMPSTAASRILEHYRPPFDATAVRKIKELGGIVVGKTNMDEFGMGSTTEASAFQVTVNPWDLSRVPGGSSGGSAAAVAARQCMVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVIGCFGSTVADAGMLLHAISGYDRFDSTSSKQDVPEFQSQFLSMDHFESKPLNGVKVGIIRETLEDGVDSGVRSATQEAASHLEALGCVLTEVSLPSFSLGLPAYYVIASSESSSNLSRYDGVRYGNQVMAEELNKLYECSRGEGFGGEVKMRILMGTYALSAGYYDAYYKRAQQVRTLIRKDFKAALEQNDILISPAAPSAAYKIGEKKDDPLAMYAGDIMTVNVNLAGLPAMVLPCGLVEGGPSGLPVGLQMIGAAFDEEKLLKVGHIFEQTLKGSSFVPPLLANVP >fgenesh2_kg.5__322__AT3G25690.1 pep chromosome:v.1.0:5:2373355:2377395:1 gene:fgenesh2_kg.5__322__AT3G25690.1 transcript:fgenesh2_kg.5__322__AT3G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRIGFVVAASIAAVTVKRLNVKPSKPSKPSDNGEGGDKEQSVDPDNNLNDKNVQEEEEEEEEVKLINSVINQTRGSFSDYLDDDILPEFEDLLSGEIEYPLPGDDNNLEKAEKERKYEVEIAYNDGELERLKQLVKELEEREVKLEGELLEYYGLKEQESDIVELQRQLKIKTVEIDMLNITINSLQAERKKLQEELSQNGIVRKELEVARNKIKELQRQIQLDANQTKGQLLLLKQHVSSLQMKEEEAMNKDTEVERKLKAVQDLEVEVMELKRKNRELQHEKRELSIKLDSAEARIATLSNMTESDKVAKVREEVNNLKHNNEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPAGKISARDLSKNLSPKSQAKAKRLMLEYAGSERGQGDTDLESNYSQPSSPGSDDFDNASMDSSTSRLSSFSKKPGLIQKLKRWGKSKDDSSVQSSPSRSFYGGSPGRLSSSMNKQRGPLESLMIRNAGESVAITTFGQVDQESPGTPETPNLPRIRTQQQASSPGEGLNSVATSFHVMSKSVDNVLDEKYPAYKDRHKLAVEREKHIKHKADQARAERFGGNVALPPKLAQLKEKRVVVPSVITATGDQSNESNESNEGKASENAATVTKMKLVDIEKRPPRVPRPPPRSSGAGKNTTLPSARPPLPGGGPPPPPPPPGGGPPPPPGGGPPPPPPPPGALGRGAGGGNKVHRAPELVEFYQSLMKRESKKEGAPSLISSGTGNSSAARNNMIGEIENRSTFLLAVKADVETQGDFVQSLATEVRAASFTDIEDLLAFVSWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLMKLEKQVTSFVDDPNLPCEPALKKMYKLLEKVEQSVYALLRTRDMAVSRYKEFGIPVDWLSDTGVVGKIKLSSVQLAKKYMKRVAYELDSVSGSDKDPNREFLLLQGVRFAFRVHQFAGGFDAESMKAFEELRSRAKTESGGDKNNNNNNNEEESVN >fgenesh2_kg.5__323__AT3G25700.1 pep chromosome:v.1.0:5:2378494:2380254:1 gene:fgenesh2_kg.5__323__AT3G25700.1 transcript:fgenesh2_kg.5__323__AT3G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLLYLCSFLSLFLLPPANLAAVSNDRKYLKLPLLRKSPFPSPTQALALDTRRLHFLSLRRKPVPFVKSPVVSGASSGSGQYFVDLRIGQPPQSLLLIADTGSDLVWVKCSACRNCSHHSPATVFFPRHSSTFSPAHCYDPVCRLVPKPGRAPRCNHTRIHSTCPYEYGYADGSLTSGLFARETTSLKTSSGKEAKLKSVAFGCGFRISGQSVSGTSFNGANGVMGLGRGPISFASQLGRRFGNKFSYCLMDYTLSPPPTSYLIIGDGGDAVSKLFFTPLLTNPLSPTFYYVKLKSVFVNGAKLRIDPSIWEIDDSGNGGTVMDSGTTLAFLADPAYRLVIAAVKQRIKLPNADELTPGFDLCVNVSGVTKPEKILPRLKFEFSGGAVFVPPPRNYFIETEEQIQCLAIQSVDPKVGFSVIGNLMQQGFLFEFDRDRSRLGFSRRGCALP >fgenesh2_kg.5__324__AT3G25710.1 pep chromosome:v.1.0:5:2389527:2391409:1 gene:fgenesh2_kg.5__324__AT3G25710.1 transcript:fgenesh2_kg.5__324__AT3G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAMKEEDCLQTFHNLQDYQDQFLLHHHPQILPWTSTSLPSFDPTHFPSNPTRYSDPVHYFNRRASSSSSFDYNDGFVSPPPSMDNHQNHLRILSEALGPIMRRGSSFGFDGEIMGKLSAQEVMDAKALAASKSHSEAERRRRERINTHLAKLRSILPNTTKTDKASLLAEVIQHMKELKRQTSQITDTCQVPTECDDLTVESSYNDEEGNLVIRASFCCQDRTDLMHDVINALKSLRLRTLKAEIATVGGSSQEHLDHDSYHRNFDGDDVEAYDEERMMNNRVSSIEEALKAVIEKCVHNNDESNDNNNLEKSSSGSIKRQRTSKMMNRCYN >fgenesh2_kg.5__325__AT3G25716.1 pep chromosome:v.1.0:5:2394262:2394553:-1 gene:fgenesh2_kg.5__325__AT3G25716.1 transcript:fgenesh2_kg.5__325__AT3G25716.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LQT6] RKRQKKLNSQKDKRIGSITCGGPIRRSYHRRRRNQNHRPLLLSLSHIWVQILFIDVHIMEFPI >fgenesh2_kg.5__326__AT3G25717.1 pep chromosome:v.1.0:5:2397058:2397599:-1 gene:fgenesh2_kg.5__326__AT3G25717.1 transcript:fgenesh2_kg.5__326__AT3G25717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCDAPMLARLILVDSSGDTLKLNRIKPLVARRYEGGNRFGFCLLEDMKEKTGLGFARR >fgenesh2_kg.5__327__AT3G25730.1 pep chromosome:v.1.0:5:2421140:2422381:1 gene:fgenesh2_kg.5__327__AT3G25730.1 transcript:fgenesh2_kg.5__327__AT3G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMSSVDESSTTTDSVPARKSSSPASLLYRMGSGTSVVLDSENGVEAESRKLPSSRFKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAARAYDVAAHRFRGRDAVTNFKETTFDDDEVDFLNAHSKSEIVDMLRKHTYKEELEQRKRNRNGNGNKTTEFGSVTVAVITGFKTSELLFEKTVTPSDVGKLNRLVIPKHQAEKHFPLPLGNNNVSVKGILLNFEDVNGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKRLCAGDLISFKRSNGQDQKLFIGWKSKFGSGSDQETGRVVRLFGVDISLNDVVVLKEATEVFSSLRCNKKKQRVL >fgenesh2_kg.5__329__AT3G25750.1 pep chromosome:v.1.0:5:2427924:2429028:1 gene:fgenesh2_kg.5__329__AT3G25750.1 transcript:fgenesh2_kg.5__329__AT3G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LQU0] MEKTEWSDLPEELLDLIANRYSSNIDVLRIRSICKYWRSTVTMSKERLQFRFNRYLPTSNKKIKAHLFPTTFFRITLPSSCPNNGWLIRTSQASKYRKITLLSPISGELIIHSHQTLDLLKVGVSEIRQSYKINIFDGLKDEKIPSYIFSNYDMKYDKTPSDGSRSWTKINNQVEDFSDIILHMGRIYAVDLKGATWWISLSQLSAVQQTPSTPLDYYNYDSCEDTRLVEYCGDLCIVHQLSVTKHYIRRTVGFKVYKMDEDLAKWVEVSSLGDKALIVAWDSCFTVVASEYHGCLKNAIYFFYDYGENLKVFKLDDGSIIEMTDISSQSCFHMFSLSFL >fgenesh2_kg.5__331__AT3G25770.1 pep chromosome:v.1.0:5:2438204:2439286:1 gene:fgenesh2_kg.5__331__AT3G25770.1 transcript:fgenesh2_kg.5__331__AT3G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMSLQSISMTTLNNLSCNQQFHRSSLLGFSKSIQNLGISSNGPNSSSPSSFTPKKNLTASRATLSQNGNIENPRPSKVQELSVYEINELDRHSPKILKNAFSLMFGLGDLVPFTNKLYTGDLKKRVGITAGLCVVIEHVPEKNGDRFEATYSFYFGDYGHLSVQGPYLTYEDSFLAITGGAGIFEGAYGQVKLQQLVYPTKLFYTFYLKGLANDLPLELTGTPVPPSKDVEPAPEAKALEPSGVISNYTN >fgenesh2_kg.5__332__AT3G25780.1 pep chromosome:v.1.0:5:2441281:2442868:1 gene:fgenesh2_kg.5__332__AT3G25780.1 transcript:fgenesh2_kg.5__332__AT3G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAMSLESISMTTLNNLSRNHQSHRSSLLGFSRSFQNLGISSNGPDFFSRSRSTTSKNLNVTRAFFWNWGKKTENSRPSKIQELNVYELNEGDRNSPAVLKLGKKPTELCLGDLVPFTNKLYTGDLKKRVGITAGLCVLIQHVPEKNGDRFEANYSFYFGDYGHLSVQGQYLTYEDTFLAITGGSGIFEGAYGQVKLRQLVYPTKLFYTFYLKGLANDLPLELTGTAVTPSKDVKPAPEAKATEPSGVISNFTN >fgenesh2_kg.5__336__AT3G25805.1 pep chromosome:v.1.0:5:2486838:2488734:-1 gene:fgenesh2_kg.5__336__AT3G25805.1 transcript:fgenesh2_kg.5__336__AT3G25805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILITSPTVTYLHNRLLNFQTKSPQIRRHFRRSREISTVDRCCNPWQTTMARFKLRTRDSSFLCCARRKLETATVEVDDDSVRRIVRYLLWSSEAVYILWLFLLPYAPGDPVWAISSETVNSLLGLSLNFFFVLPLTNAAGIQFLQAPVLHPMAEGLFNFVIAWTLMFAPLLYTDRKRDRYKSSLDVLWGLMMFLTNTFLIPYMALRLNDADPDDKPSKRSQLGESMTKGAPIVGLTGATVCLISILWSLYGRPDGDFGGIMDRWQYLVGYLGSERLAYAFIWDICLYTIFQPWLIGENLQNVKKTKIELVRYLRFVPVFGLLAYLLFINLENESI >fgenesh2_kg.5__339__AT3G25840.1 pep chromosome:v.1.0:5:2499060:2503940:-1 gene:fgenesh2_kg.5__339__AT3G25840.1 transcript:fgenesh2_kg.5__339__AT3G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKQIESHHRKHHRSSSSSDETEKSSKRHKHRHHKHHHHHRHRHHRDKKRDDEIPSAGDETEMIDVTPAAPIGVSNGCEEDVEEGEILEEDAIGDVLMKTADSDGEFGEIKSDNNLPPFGEKGRQGEEESSNGVLTRESEREDKRWDKDARGTSERVSKISYDNGKSSFSSSNSRQSNEVRARSRSKSHDREREIAEDEYSDRGRHRDSSRDHHHDRVDSGRTEERYHRRGRYEENDRQYSRDVLERDRSKERDMDREGSIRDRDSEGSKRRERDSDRRREREREKRREIEVDRERRKEKERGRSTDRDRRKEREGDYLRDRDNERGRSRDRTRYNSRERKREKEREGEKDWERGRETQNDREKYRSSDVDYGEMRHKQSRHSRYDAVDDVEMSKPNSLKAHNSKGDKSEEKWGNDERSRNEDGQDDNDEGLTWKSPEEEEEEVLNRIKEESRKRMEAILEKHKKKPEQQNELLTQENGKDVVQETGAPVSTSPAVVIAANVGQAKTNLDFDTDAAKASLIVGGPPTMLGISDSEKNQAPAGLGEGSPKSERSADMFHDDIFGESPAGIRKVGGKGDGVPMVRSGLHDNWDDAEGYYSYQFGELLDGRYEVIATHGKGVFSTVVRAKDLKAGPAEPEEVAIKIIRNNETMHKAGKIEVQILKKLAGADREDRRHCVRFLSSFKYRNHLCLVFESLHLNLREVLKKFGRNIGLQLSAVRAYSKQLFIALKHLKNCGVLHCDIKPDNMLVNEGKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRSPEISKYLGLTYDHPLDIWSVGCCLYELYSGKVLFPGATNNDMLRLHMELKGPFPKKMLRKGAFIDQHFDHDLNFYATEEDTVSGKLIKRMIVNVKPKDFGSIIKGYPGEDPKMLAHFRDLLDKMFILDPERRLTVSQALAHPFITGK >fgenesh2_kg.5__33__AT2G01275.1 pep chromosome:v.1.0:5:148470:150422:-1 gene:fgenesh2_kg.5__33__AT2G01275.1 transcript:fgenesh2_kg.5__33__AT2G01275.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LR15] MGDHFVLLVDRLITESTIEAAIQSRNQMLQANLPVEECTILDEKTLEMLRNGDLSMAQCRICHDEDLDSNMETPCSCSGSVKFAHRRCVQRWCNEKGDTTCEICHQEFKPDYTAPPPLLELGHVPLHFRGNWGISQREHRFITVVPADSTFIDQQYPLSSTTSFICCRSLVLIFMALLILRHTLPLVLSGSNLHVFPLFTLLFLRVLGIMLPIYVVTKAVATCRRHPQTLDTSHSDDSSSDEETDSWRLPQTQSYIIGVR >fgenesh2_kg.5__341__AT3G25850.1 pep chromosome:v.1.0:5:2509492:2510282:-1 gene:fgenesh2_kg.5__341__AT3G25850.1 transcript:fgenesh2_kg.5__341__AT3G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKKFPFHDHPLSYEKLDKFSCIFATFGVIRNASSAHFCIHLLANILSRSTHEALGYGGDHCHFCRDYLLDDFFHCLIYNINMDLKCLKDPPPSSIYYPKNHMHMLTLLARVVTFTCNACASIAMIIAFLALLDFSSCCSHGAFTCPRCPSIAFHLKCAMKDGVWDGKEFEAEPEKELEDETEEELEDDSEEEIEGDTEEEIEEQ >fgenesh2_kg.5__348__AT3G25900.1 pep chromosome:v.1.0:5:2570052:2572341:1 gene:fgenesh2_kg.5__348__AT3G25900.1 transcript:fgenesh2_kg.5__348__AT3G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHMT-1/HMT-1 [Source:UniProtKB/TrEMBL;Acc:D7LQW5] MGLEKKSALLEDLIKKCGGCAVVDGGFATQLENHGAAINDPLWSAVSLIKNPELIKRVHMEYLEAGADIVVTSSYQATIPGFLSRGLAIEESESLLQKSVQLAVEARDRFWDKVSKVSGHSYNRALVAASIGSYGAYLADGSEYSGYYGENVSLDKLKDFHRRRLQVLVEAGPDLLAFETIPNKLEAQACVELLEEEKVQIPAWICFTSVDGEKAPSGESFEECLEALNKSNNIYAVGINCAPPQFIENLICKFAKLTKKAIVVYPNSGEVWDGKAKQWLPSQCFGDDEFEMFATKWRDLGAKLIGGCCRTTPSTIKAISKDLKRR >fgenesh2_kg.5__349__AT3G25905.1 pep chromosome:v.1.0:5:2575979:2576561:-1 gene:fgenesh2_kg.5__349__AT3G25905.1 transcript:fgenesh2_kg.5__349__AT3G25905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAREWRSSLTTTLLMVILLSPLLQLFCVYSRVGAIRVFPVTPAPVKRQEDLVKKYFGAGKFPPVDSFVGKGISESKRIVPSCPDPLHN >fgenesh2_kg.5__350__AT3G25920.1 pep chromosome:v.1.0:5:2582110:2583644:-1 gene:fgenesh2_kg.5__350__AT3G25920.1 transcript:fgenesh2_kg.5__350__AT3G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLSISSNPLTSHRCYRLHFPSTSFKGNVSVLGANPSQILSLKLNQKLKTRKQQQSARPLVVVSQAAATSEVVAPERFRLDNLGPQPGSRKRQKRKGRGISAGQGASCGFGMRGQKSRSGPGIMRGFEGGQTALYRRLPKLRGIAGGMRSGLPKYLPVNLKDIETAGFQEGDEVSLETLKQKGLINPSGRERKLPLKILGTGELSMKLTFKARAFSTQAKEKLEASGCTLTVLPGRKKWVKPSVAKNQARADEYFAKKRAAAAEATSEPAASA >fgenesh2_kg.5__353__AT3G25990.1 pep chromosome:v.1.0:5:2611186:2613313:-1 gene:fgenesh2_kg.5__353__AT3G25990.1 transcript:fgenesh2_kg.5__353__AT3G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSDNNPSRDMNMMIDVTSSNGDLPIQQQQHHQIILGDSSGGEDHEIIKAPKKRAETWAQDETRCLISLRREMDNLFNTSKSNKHLWEQISSKMREKGFDRSPTMCTDKWRNILKEFKKAKYQDDDKATSGGSTKMSYYKEIEDIFTERNKKVALYKSPSTTTPPSSAKVDSFMQFTDKGFEDTGIPFTSVEANGRPTLNLETQLDHDGLPLPIAADPVTANGVPPWSWRDTAGNGGDGQPFVGRIITVKFGDYTRRFGIDGTAEAIKEAIRSAFRLRTRRAFWLEDEEQVIRSLDRDMPLGNYTLRVDEGIAVRVCHYDESDPLPVHQEEKIFYTEEDYRDFLARRGWTCLREIDAFRNIDNMDDLQSGVLYRGMR >fgenesh2_kg.5__354__AT3G26000.1 pep chromosome:v.1.0:5:2613557:2616647:-1 gene:fgenesh2_kg.5__354__AT3G26000.1 transcript:fgenesh2_kg.5__354__AT3G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LQX6] MALNFSHRPFASHLSEEPMKIANGNWCSSFDNGRKSNGDSSSVDILDVLPSDPFGMDINNTFTAITGWLEDLDYNNQYGRRSDDIWIGDGNHQQLFAGLSFIWNNAMQFQSSGYSNGSESLYGFGGAFDGSLFSACKFPESSEDNNVFGGAFDGDGSCHGAFISASSVDEVLSLEDARNGGVVGSSDRCSNGGEDSNIHPAFGFCLYHLGVKDLLSVSMVCKSLHTTVCNDSLLWKHIHICQPLNEKITEEALLHLTERAQGTMQCLRLVDCCRITDDCLKRVLERNPQVVKLGVPGCTRITIDGILSILRDLKYAGKLQVKHLEIGGLFGVTKDHYDELFDLLNIDNKVEQTIQKPRFYHRGDACVSCDDDRALDIEMCPKCQNSRLVYDCPAEDCKGKKKGSEECRACSLCIQRCYHCGRCINDSEYEETFCLEFLCAVCSKPAPKLTL >fgenesh2_kg.5__357__AT3G26030.1 pep chromosome:v.1.0:5:2630201:2632446:1 gene:fgenesh2_kg.5__357__AT3G26030.1 transcript:fgenesh2_kg.5__357__AT3G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A regulatory subunit [Source:UniProtKB/TrEMBL;Acc:D7LQY0] MFKQILGKLPKKTSAKFWDNGESQTPDNNNQGGDEVLSQRSSSNGDTLDCVSFDVLPRLRDVSISEKQELFLKKLRLCCVVFDFVAEPQQNFKEKEIKRQTLLEVVDYVISSGNGKFPESVIQEATKMISANLFSDPHRQWKNKTSEALDLEEEEGSLNPSWPHLQIVYEFLLRIVASPNTDPKISKKYIDHTFVLKLLDLFDSEDPREREYLKTILHRIYGRFMVHRPFIRKTMNNILYDFIFETGKHSGIAEFLEVLGSIINGFALPLKEEHKLFLTRVLIPLHKLKCLPNYHQQLSYCVIQFVEKDCKLADTVIRGMLKYWPVTNSSKEIMFLNELEEILEATQLTEFERCMIPLSRQIAQCLSSSHFQVAERALYLWNNDHVTNLVRQNSRIILPIVFPALEKNGSSHWNQAVKNLTENVLKVLSDTNPDLFEECLRKFQEDQQKAEDTKKKNGETWKQLEEIVASMAK >fgenesh2_kg.5__358__AT3G26040.1 pep chromosome:v.1.0:5:2632632:2633960:1 gene:fgenesh2_kg.5__358__AT3G26040.1 transcript:fgenesh2_kg.5__358__AT3G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LQY1] MRVDVISRDIIKPSSPTPNHLKKFQLSLLEQLGPTIFGPMVFFYSGNNRIKPAEQLQKLKKSLSETLTHFYPLAGRLKGNISIDCNDSGADFLEAEVNSPLSSLLQEPSSDSLQQLIPTSVDSIETRTRLFLAQASFFECGSMAIGVCISHKLADATSIGLFMKSWAAISSQGSIKTVGFPVFDTAKIFPPGNFSETSPAPVVEPEIMMNQTLSKRFVFDSSSIQALQAKASSFEVNQPTRVEAVSALIWKTAMKATRTVSGTSKPSILANSASLRSRVSPPFTKNSIGNLVSYFAAKAEEGTNQTKLQTLVSKIRKAKQWFRDNHIPKLVGNPNATEIICSYQKEAGDMIASGDFDFYIFSSACRFGLYDTDFGWGKPVWVGIPTVRQKNIVTLLDTKEAGGIEAWVNLYEQEMNLFEQDRELLQFASLNPSVIQPFLHVL >fgenesh2_kg.5__359__AT3G26050.1 pep chromosome:v.1.0:5:2634605:2637300:1 gene:fgenesh2_kg.5__359__AT3G26050.1 transcript:fgenesh2_kg.5__359__AT3G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESICFVRSFSQAAEFSSHQINNEVVPNRVLTESVSFGRFANETLAWERWSAFTQNRYLEEVERFTKPGSVAQKKAFFEAHFKNRASGRVTEPKKIEEVETGDEIVCEIPKDILVDSEVTLVVSNGEVDETTDVKTGEVENLKSVENSTSLSKERPPSSSESKVSSSKLEPSVVIELDHSLNNTRKESSLSSTRSLSVSKNRSRSPPESVQMSISCAPSGSTDKKIVRMPQNGAKSAVKADKKKRSCPSSVHMSLNFASSARHTTKAASKTLVRNSTTQETTSSNARNKVFPKTNEPTGASKSRKRPLSQTSKEGSKAAKCSTSASAVTIPELPPLSKQLSENKRKNITVGRLISCRIPNNVQKQPPVGCENLSTHSRSKAKSLTVSSPFIFRSDERAEKRKEFFKKVEEKNKKEETGKDKFSCGFKANQNTHLASEEHKKNPQVGGFQVPPITMTSPRFRRNQTPGKKNIKTPHKASSMKIINTKKVVMEKQKSSKIHPSSKPQTPKKTQENMSPNILQN >fgenesh2_kg.5__360__AT3G26060.1 pep chromosome:v.1.0:5:2637526:2639028:1 gene:fgenesh2_kg.5__360__AT3G26060.1 transcript:fgenesh2_kg.5__360__AT3G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSFTLCNHTTLHTLPQRKTLVTKTHFSVPTKSSESNFFGSRLTHSSYLSPVSSSSLKSLIFAKVNKGQAAPDFTLKDQNGKPVSLKKYKGKPVVLYFYPADESPGCTKQACAFRDSYEKFKKAGAEVIGISGDDSASHKAFASKYKLPYTLLSDEGNKVRKDWGVPGDLFGALPGRQTYVLDKNGVVQLIYNNQFQPEKHIDETLKFLKAA >fgenesh2_kg.5__361__AT3G26070.1 pep chromosome:v.1.0:5:2639654:2641469:1 gene:fgenesh2_kg.5__361__AT3G26070.1 transcript:fgenesh2_kg.5__361__AT3G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein pap [Source:UniProtKB/TrEMBL;Acc:D7LQY4] MALPSCLKTGVLMSPATGINFSGSLMKLDSGFAVPTKLQSNRKGDRERLRIQAVFSFPPAFLTRNGRAEKQKQLKQELLEAIEPLERGAMASPDDQLRIDQLARKVEAVNPTKEPLKSDLINGKWELIYTTSASILQAKKPRFLRSITNYQSINVDTLKVQNMETWPFYNSVTGDIKPLNSKKVAVKLQVFKILGFIPVKAPDSARGELEITYVDEELRLSRGDKGNLFILKMFDPTYRIPL >fgenesh2_kg.5__362__AT3G26080.1 pep chromosome:v.1.0:5:2641676:2643311:1 gene:fgenesh2_kg.5__362__AT3G26080.1 transcript:fgenesh2_kg.5__362__AT3G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein pap [Source:UniProtKB/TrEMBL;Acc:D7LQY5] MALPWYLKTGVLMSPPAAGFSLPGSLMKLDSGFAVPKKLQNIRKGDRERLRIQAVFSFPPRNGQAEKRKQLKQELLEAIEPLERGATATPDDQLRIDQLARKVEAVNPTNEPLKSDLINGKWELIYTTSAAILQAKKPRFLRSITNYQCINMDTLKVQRMETWPFYNSVTGDLTPLNSKKVAVKLQVFKILGFIPVKAPDSARGELEITYVDEELRISRGKGNLLFILKMFDPTYRIPL >fgenesh2_kg.5__364__AT3G26090.1 pep chromosome:v.1.0:5:2647761:2650631:1 gene:fgenesh2_kg.5__364__AT3G26090.1 transcript:fgenesh2_kg.5__364__AT3G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling 1 [Source:UniProtKB/TrEMBL;Acc:D7LQY8] MASGCAIHGGCPSDYVAVAISVICFFVLLSRSVLPCLIHKAPRTNSSSFWIPVIQVIASFNLLFSIMMSVNLLRFRTKHWWRYCYLWAVWIEGPLGFGLLMGCRITQAFQLYFIFVKKRLPPIKSYIFLPLVLLPWIFGAAIIHATKPLNDKCHMRLQWTFPVAGLHALYVLALIAFTRAVRHVEFRFDELRDLWKGILVSATSIIIWVTAFVLNEIHEEISWLQVASRFVLLVTGGILVVVFFSISSNQPLLSQISLKKREHFEFQRMGQALGIPDSGLLFRKEEYRPVDPNEPLDKLLLNKRFRQSFMEFADSCYAGETLHFFEEVYEHGKIPVDDSIRRIYMARHIMEKFIVSGAEMEVNLSHKTRQEILTTQDLTHSDLFKNALNEVMQLIKMNLVRDYWTSIYFIKFKEEESCDEAMQKEGWSFSSPRLSSVQGSDDPFYQEHMSKSSRCSSPG >fgenesh2_kg.5__365__AT3G26100.2 pep chromosome:v.1.0:5:2658158:2661696:1 gene:fgenesh2_kg.5__365__AT3G26100.2 transcript:fgenesh2_kg.5__365__AT3G26100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein [Source:UniProtKB/TrEMBL;Acc:D7LQZ0] MDATTSGTPGLQYLNLPEQPVPTASPPVSPFQRPKRHCFGDSTPGEFPLAANPSIVLHVLTECRLDPRDLANLEATCSFFSQPANFAPDINLSLSELAALDMCNKRVIFKPMNEEERQEMKRRCGGSWKLVLRFLLAGEACCRREKSQAVAGPGHSIAVTSKGEVYTFGYNNSGQLGHGHTEEQARIQPVRSLQGIRIIQAAAGAARTMLISDDGKVYACGKESFGEAEYGGQGTQPVTTPQLVTSLKNIFVVQAAIGNYFTAVLSREGKVYTFSWGNDGRLGHQTEAADVEPRPLLGPLENVPVVQIAAGYCYLLALACQPNGMSVYSVGCGLGGKLGHGSRTDEKYPRVIEQFKILNLQPRVVAAGAWHAAVVGQDGRVCTWGWGRYGCLGHGNEECESVPKVVEGLNHVKAVHVATGDYTTFVVSDDGDVYSFGCGESASLGHHPSFDEQGNRHANVLSPAVVTSLKQANERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDQGQLGAELDRNRKERCVPEKVDIDLS >fgenesh2_kg.5__367__AT3G26110.1 pep chromosome:v.1.0:5:2662069:2662659:1 gene:fgenesh2_kg.5__367__AT3G26110.1 transcript:fgenesh2_kg.5__367__AT3G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHVALLLLFIAISAVIVSPADNDKPPSTTTASAPTATTPTDGIGGDVVEGPADDNAIGTTDNDDAAVTPDDAAGDDEVAVAGPIGSDSSYANYPPPQQEASGSGATVAFGFVSVVGAMAGSLFFF >fgenesh2_kg.5__369__AT3G26115.2 pep chromosome:v.1.0:5:2662882:2664946:1 gene:fgenesh2_kg.5__369__AT3G26115.2 transcript:fgenesh2_kg.5__369__AT3G26115.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQRSTFFAVTGKSNLHHFHSSSQGITISELDSRQFLSKLLERKWGLQSPATPIQQISVSSVKGIDKFSFLNNTRPHLGDEMSKSKQDSSFYILRDDLLHPLVNGNKARKLDALLPLLEDHKVTDLVTCGGCQSAHTAAVAVSCAERGLRSHLLLRGEQPDVLTGYNLVSTMYGNVQYIPRSRYANREEMLRSHAAMTLLRGLRLDHFSSLTTSRRKVLILNEGAGDALALLGMFRLVQYFSQDHLLGKKRPVKFVVDAGTGTTAVGLGVAAMSLGLPWEINAVILADTFENYKRHEDRLIAEFARQFLDSVSCSSLDMNQMIKWIDRQHPRKFGKVLEGEVEMCRKIAQETGVLVDPMYTLAAWETATELVLDEKSSIVVMLHTGGTLGMFGLAQRYKSCFTNLKD >fgenesh2_kg.5__36__AT2G01320.2 pep chromosome:v.1.0:5:161162:165139:-1 gene:fgenesh2_kg.5__36__AT2G01320.2 transcript:fgenesh2_kg.5__36__AT2G01320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7LLQ0] MAPFGGKSLVDAVSGIGGNGVGRALAAVAAALLVRLFAGPGIALLPEDEADDDYAETEDGGGDSSPGKIRPVTIRWRNITCSLSDKSSKSVRFLLKNVSGEAKPGRLLAIMGPSGSGKTTLLNVLAGQLGSSPRLHLSGLLEVNGKPSSSRAYKLAFVRQEDLFFSQLTVRETLSFAAELQLPEISSAEERDDYVNNLLLKLGLVSCADSCVGDAKVRGISGGEKKRLSLACELIASPSVIFADEPTTGLDAFQAEKVMETLQKLAQDGHTVICSIHQPRGSVYAKFDDIVLLTEGTLVYAGPAGKEPLTYFGNFGFLCPEHVNPAEFLADLISVDYSSSETVYSSQKRVHALVDAFSQRSSSVLYATPLGIKDETKNSMRPRRKAIVERKDGWWRQFFLLLKRAWMQASRDGPTNKVRARMSVASALIFGSVFWRMGKSQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVDRERSKGSYSLGPYLLSKTIAEIPIGAAFPLMFGAVLYPMARLNPTLSRFGKFCGIVTVESFAASAMGLTVGAMVPSTEAAMAVGPSLMTVFIVFGGYYVNADNTPIIFRWIPRASLIRWAFQGLCINEFSGLKFDHQNTFDVQTGEQALERLSFGGRRIRETIAAQSRILMFWYSATYLLLEKNKPKYQKLELLVDNGESENPGVQLDEAEIDQAKEPEDDDIKQPLKDQSQTLDSEDELDEIRPFVLEGL >fgenesh2_kg.5__371__AT3G26125.1 pep chromosome:v.1.0:5:2672350:2673960:1 gene:fgenesh2_kg.5__371__AT3G26125.1 transcript:fgenesh2_kg.5__371__AT3G26125.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP86C2 [Source:UniProtKB/TrEMBL;Acc:D7LR19] MSDLSLIDRISTHLCLQDVSIALLGLFLFGCLQAKLTNKGGPVQWPVFGITPEFFLHVHDVFGWVTRCLTKSRGTFPYQGIWFSGSYGAMTSVPANIEYMLKTNFKNFPKGEFYKERFRDLLEDGIFNADDESWKEQRRIIITEMHSTRFVDHSFQTTRDLIQRKLLKVMESFSKSQEAFDLQEILLRLTFDNICIAGLGDDPGTLDDDLPHVPFAKAFEEATESTLFRFMIPPFVWKPMKFFDIGYEKGLREAVETVHKFIDKMVVERIAMLKDEGTLANSKSDVLSRLIQIESHKRGDENDRFTIKFFRQFCTSFILAGRDTSSVALTWFFWLITKHPEVETKILHEIREILSQRGHNNNNLETGETERGCHFTVKELNDMVYLQAALSESLRLYPPIPMEMKQATEEDVFPDGTFLRKGSRVYFSVYAMGRMESIWGKDCEMFKPERWIRGGQYVSDDQFKYVVFNAGPRLCLGKTFAYLQMKMVAASILLNYSIMVDQDHVIVPRVTTTLYMKHGLKVRIIPRSLEEKKQDS >fgenesh2_kg.5__376__AT3G26170.1 pep chromosome:v.1.0:5:2702691:2704413:-1 gene:fgenesh2_kg.5__376__AT3G26170.1 transcript:fgenesh2_kg.5__376__AT3G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B19 [Source:UniProtKB/TrEMBL;Acc:D7LR25] MAISFLCFCLVTLVSLIFFAKKIKRSKWNLPPSPPKFPVIGNLHQIGELPHWSLQRLAERYGPVMLLHFGFVPITVVSSREAAEEVLRTHDLDCCSRPKLVGTRLLSRDFKDIGFTPYGKEWKERRKFALRELFCLNKVRSFRHIREEECNFLVKKLSESAVDRSPVDLSKSLFWLTASILFRVALGQNFHESKFIDKDSIEELVFEAETALASFTCSDFFPVAGLGWLVDWFSGQHKRLNDVYFKLDALFQHVIDDHLKPGRSKDHEDIIDSMLDVIHKEGKDSSLELTIDHIKGFLANIFLAGIDTGAITMIWAITELVKNPKLIKKVQGEIREQLGSNKERITEEDIDKVPYLKMVIKETFRLHPAAPLILPRETMAHIKVQGYDIPPKRRILVNVLAIGRDPKLWTNPEEFNPERFIDSSVDYRGQHYELLPFGSGRRMCPGMPMGIATVELGLLNLLYFFDWKLPDGMTHKDIDTEEAGTLTIVKKVPLKIVPVRVQ >fgenesh2_kg.5__379__AT3G26190.1 pep chromosome:v.1.0:5:2721102:2722709:-1 gene:fgenesh2_kg.5__379__AT3G26190.1 transcript:fgenesh2_kg.5__379__AT3G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B21 [Source:UniProtKB/TrEMBL;Acc:D7LR26] MSIFLCFLLLLPLFLVFYKRLSPSKGKLPPGPIGLPIIGNLHQLGKSLHRSFHKLSQVYGPVMFLRFGVVPVVVFSTKEAAEEVLKTHDLETCTRPKLSATGLFSYNYKDIGFAQYGEDWREMRKLAMLELFSSKKLKAFRYIREEESELLVKKVSDSAQTQTLVDLRKALFSYTASIVCRLAFGQNFHECDFVDMDKVEELVLESETNLGSFAFIDFFPAGLGWAIDRISGQHSRLHKAFARLSNFFQHVIDDHLKPGQSQDHSDIIGVMLDMINKESKVGSFKVTYDHLKGVMSDVFLAGVNAGAITMIWAMTELTRHPRVMKKLQQEIREILGDNKEKITEQDLEKVHYLKLVIQETFRLHPPAPLLLPRETMSDVKILGYDIPKNTMIEINTYAIGRDPNCWTNPNEFIPERFVDSPIDYKGQHFELLPFGGGRRICPGMATGMTIVELGLLNVLYFFDWSLPDGMNIADINMEEAGAFVIAKKVPLELVPALHY >fgenesh2_kg.5__380__AT3G26200.1 pep chromosome:v.1.0:5:2728521:2730230:1 gene:fgenesh2_kg.5__380__AT3G26200.1 transcript:fgenesh2_kg.5__380__AT3G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B22 [Source:UniProtKB/TrEMBL;Acc:D7LR27] MSIFLYLLLLLPLFFIFFKRLSTSKGKLPPGPLGLPIIGNLHQLGKSLHRSFHKLSQNYGPVMFLHFGVVPVVVVSTREAAEEVLKTHDLETCTRPKLTATKLFSYNYKDIGFAQYGDDWREMRKLAMLELFSSKKVKAFRYIREEESEFLVKKLSKSAETQTMVDLRKALFSYTASIICRLAFGQNFHECDFVDMDKVEELVLESETNLGSFAFTDFFPAGLGWVIDRISGQHSELHKAFARLSNFFQHVIDDHLKPGQSQDHSDIIGVMLDMINKESKVGSFEVTYDHLKGVMSDVFLAGVNAGAITMIWAMTELARHPRVMKKLQQEIRATLGDNKEKITEQDLEKVHYLKLVIEETFRLHPPAPLLLPRETMSDLKIQGYNIPKNTMIEINTYSIGRDPNCWENPNDFKPERFIDSPVEYKGQHYELLPFGAGRRICPGMATGITIVELGLLNVLYFFDWSLPDGMKIEDIDMEEAGAFVIAKKVPLELVPTTHQW >fgenesh2_kg.5__382__AT3G26220.1 pep chromosome:v.1.0:5:2740594:2744565:-1 gene:fgenesh2_kg.5__382__AT3G26220.1 transcript:fgenesh2_kg.5__382__AT3G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 [Source:UniProtKB/TrEMBL;Acc:D7LR29] MKKIKDSKRNLPPSPLKLPIIGNLYQLRGLFHRCLHDLSKKHGPVLLLRLGFVDMVVISSKEAAEEILKVHDLECCTRPKTNASSKFSRDGKDIAFAPYGEVFRELRKLSFLKFFSTQKVRSFRYIREEENDLIVKKLKESAQTKNTVDLSQTLFYLVGSIIFRATFGQRLDENKHVNKEKIEELMFEVQKVGSLSSSDLFPAGVGWFMDFVSGRHKTLHKVFVEVDTLLNHVIDGHLKNPEEKTNQDRPDIIDSILDTMYKQEQDGSFKLTIDHLKGIIQNIYLAGVDTSAITMIWAMAELVRNPRVMKKTQDEIRTCIGIKQKERIEEEDVDKLQYLKLVIKETLRLHPPAPLLLPRETMADIKIQGYDIPRKTILLVNTWSIGRNPELWKNPEEFNPERFIDCPVDYKGNNFEMLPFGSGRKICPGIAFGIATVELGLLNLLYFFDWRLPEEDKDIDMEEAGDATIVKKVPLELVPIIHH >fgenesh2_kg.5__386__AT3G26300.1 pep chromosome:v.1.0:5:2774826:2776625:-1 gene:fgenesh2_kg.5__386__AT3G26300.1 transcript:fgenesh2_kg.5__386__AT3G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B34 [Source:UniProtKB/TrEMBL;Acc:D7LR36] MPIIWLLSLLFVICILVAVFNHKKRRSYQRTPPSPPGFPIIGNLHQLGELPHQSLWRLSKKYGPVMLLKLGRVPTVIVSSSETAKQALKIHDLHCCSRPGLAGPRELSYNYLDIAFSPYDDYWKEVRKLAVQELFNTKQVHSIQPMKDEEVKKLIYSITDSASHKTPINLNKTFLALTVSVVCRTAFSVNFEGTVLNSDRFNKIVREALEMLGSFSASDFIPYVGWIIDRLTGLRGRRERSMRDLDAFYEQMFDLHKQEKEDGSEDFVDLLLRLEKEEAVLGNDKLTRNHIKAILMNVLLAGIDTSAITMTWAMAELAKNPRVMKKVQSEIRSQIKNKERISFHDTDQLEYLKMVIKETWRLHPTTPLLLPREAMSEFEINGYTIPVKTRLHVNVWAIGRDPNTWKDPEVFLPERFMDSNIDAKGQHFELLPFGGGRRMCPAVYMGTTLVEFGLANLLYHFDWKLPEGMKVEDIDMEEAPGLTVNKKNELLLVPTKYLDP >fgenesh2_kg.5__388__AT3G26330.1 pep chromosome:v.1.0:5:2784818:2786536:-1 gene:fgenesh2_kg.5__388__AT3G26330.1 transcript:fgenesh2_kg.5__388__AT3G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B37 [Source:UniProtKB/TrEMBL;Acc:D7LR39] MATIWFLSLLFLSCIFLAALKLKKRRQHQRKPPSPPGFPIIGNLLQLGELPHQSLWSLSKKYGPVMLLKLGSIPTVVVSSSETAKQALKIHDLHCCSRPSLAGPRALSYNYLDIVFSPFNDYWKELRRICVQELFSVNRVHLIQPIKDEEVKKLMNSFSESAAQKTPVNLSEKLASLTVGVICKSAFGVSFQGTVLNSDNFDKLIHDAFLFLGSFSASDYFPNVGWIIDWLTGLQGQRERSVRGLDAFYEQMFDLHKQGNKEGVEDFVDLLLKLEKEGTVLGYGKLTRNHIKAVLMNVLLGGIGTSAITMTWAMTELMRNPRVMKKVQSEIRNQIGGKSMITLDDIDQLHYLKMVINETWRLHPPAPLLVPREVMSEFEINGYTIPAKTRLYVNVWAIGRDPDTWKDPEEFLPERFANSSIDAKGQNFELLPFGSGRRMCPAMYMGTTMVEFGLANMLYLFDWELPEGKVVEDIDMEESPGLNASKKNELSLLPVKFLNH >fgenesh2_kg.5__389__AT3G26340.1 pep chromosome:v.1.0:5:2791037:2793464:-1 gene:fgenesh2_kg.5__389__AT3G26340.1 transcript:fgenesh2_kg.5__389__AT3G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:D7LR41] MKLDTSGLETTMPVIGFGSNSEMLDGFSSVPSFDLPRTTDFDGFQKKAVEMVKPAKGTTTLAFIFKEGVMVAADSRASMGGYICMSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVSGASKLLANMLYSYRGMGLSVGTMIAGWDETGPGLYYVDNEGGRLKGDRFSVGSGSPYAYGVLDSGYKFDMSVEEASELARRSIYHATFRDGASGGVASVYHVGPQGWKKLSGDDVGELHYHYYPVTPVTAEHVMEEAAE >fgenesh2_kg.5__390__AT3G26350.1 pep chromosome:v.1.0:5:2793977:2795050:-1 gene:fgenesh2_kg.5__390__AT3G26350.1 transcript:fgenesh2_kg.5__390__AT3G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHHHHETNPHFARIPSQNPHLKGGASTSQTSPHQPLIQPIPHQKNPHHKTTQPHPVAPPGILIKTRGRHREKPIQEPKHSVIPLPREDKLPPQKTPNSTERPLPLSLEDHQHQQLQQQQQRPPPPQRSRGGYGSTLPPIPKPSPWRTAPTPSPHHRGGPRLLPPSRETNAMTWSAAFCCAIFWVILILGGLIILIVYLVYRPRSPYMDISAANLNAAYLDMGFLLNGDLTILANVTNPSKKSSVEFSYVTFELYYYNTLIATQYIEPFNVPKKTSMFASVHLVSSQVQLQPTQSRELQRQIETGPVLLNLRGTFHARSYFGPLFRYSYWLHTHCSVSLNSPPSGAMRAKRCNTKR >fgenesh2_kg.5__391__AT3G26360.1 pep chromosome:v.1.0:5:2795640:2796728:-1 gene:fgenesh2_kg.5__391__AT3G26360.1 transcript:fgenesh2_kg.5__391__AT3G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIAKRVTGFMTQNHQLQQERGIRVKVFSNDLDKALTILQKKMQSSGMERLIKGTQTHHIKNSEKKVLARKNLERRIKSIDFARKLQSILIKKVRRFMRDELFTLWLLFRKRQVRYFSCTLYDCGMWVSDSLCLNCFSCSSHYDPKIVLLSSRLKRSNRHCRVVSFMFDAAEIDQRDQRT >fgenesh2_kg.5__392__AT3G26370.1 pep chromosome:v.1.0:5:2799146:2802274:1 gene:fgenesh2_kg.5__392__AT3G26370.1 transcript:fgenesh2_kg.5__392__AT3G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHSSSLGSRSSSSPLRAGDEDSSSPHVHDHSPNGGDDEDGRPRHPSRDRDRPIWFHSLFPFFGDDPRVSPQKNKISLLLILILAIASLISVYGIINHLNAPYLCKKDGIVLNCPHVKESPSPWENPLSATTSWKPCAERRVGGISDLPPENETNGYVFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDTTKFEDIFDVDHFIDYLKDDVRIVRDIPDWFTDKAELFSSIRRTVKNIPKYAAAQFYIDNVLPRIKEKKIMALKPFVDRLGYDNVPQEINRLRCRVNYHALKFLPEIEQMADSLVSRMRNRTGNPNPYMALHLRFEKGMVGLSFCDFVGTREEKVKMAEYRQKEWPRRFKNGSHLWQLALQKRKEGRCPLEPGEVAVILRAMGYPKETQIYVASGQVYGGQNRMAPLRNMFPNLVTKEDLAGKEELTTFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYMGHRQKSIKPDKGLMSKSFGDPYMGWATFVEDVVVTHQTRTGLPEETFPNYDLWENPLTPCMCKA >fgenesh2_kg.5__393__AT3G26380.1 pep chromosome:v.1.0:5:2802429:2805618:1 gene:fgenesh2_kg.5__393__AT3G26380.1 transcript:fgenesh2_kg.5__393__AT3G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase [Source:UniProtKB/TrEMBL;Acc:D7LR45] MTGPSVEKTGSTRFIVFFIVLNLSIFSLSIEARSSQQHASFPPRGWNSYDSFCWTISEAEFLQSAEIVSKRLLPHGYQYVVVDYLWYRKKVEGAYVDSLGFDVIDEWGRLHPDPARWPSSRGGKGFTEVAEKVHRMGLKFGIHVMGGISTQAYNANTLVMDSVKGGAYEESGRQWRAKDIGIKEKACVWMSHGFMSVNTKLGAGKAFLRSLYRQYAEWGIDFIKHDCVFGTDFNIEEITYVSEVLKELDRPVLYSISPGTSVTPTMAKEVSQLVNMYRITGDDWDTWKDVAAHFDISRDLSASSMIGARGLQGKSWPDLDMLPLGWLTDQGSNVGPHRACNLNLEEQKSQMTLWSIAKSPLMFGGDVRKLDDTTYNLITNPTLLEINSYSSNNKEFPYITVTRVSRNKHKSHPHHPTGNNISTKHAFGLTSCKEPKTNTWSIVDKNRGQICWNQYSSQKLEKPFCLYNRKALPASYDSFDEEIKHNQLYQGKLHLQTNEKAESCLGASSKQKLTSKDYSRGTLSPCKLDANQMWELHSNGTLENSYSGLCAVLNPVKAAEATSNGVRSWIATGRRGEVYVAFFNLNQEKTTISAKIIDIAKALPNKKNLEGASCTSHELWSGKDFGPTKDSVSIQVEPHGPALFVLHCSHA >fgenesh2_kg.5__394__AT3G26400.1 pep chromosome:v.1.0:5:2811727:2814133:1 gene:fgenesh2_kg.5__394__AT3G26400.1 transcript:fgenesh2_kg.5__394__AT3G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPWGGIGIGAWADEAERADEEQAAEATAATADTQSFPSLREAAATATNPKSRKMKKMSLSEFTTGAYTAPGGRNSVGLTQQEILQLPTGPRQRSEEEMQPGRLGGGFSSYGGRSGGRMGRDRDDSDGSWGGGGGGRRPYGGGFDDDRRGNQSRVSDFAQPSRADEVDDWGKAKKPLPSFDQGRQGRYGGGGGGFGGGGGSGFGGGGGSGFGGGGGLSRADDVDNWGAGKRQAPVRSSTFGSSFGDSVQEERRRLVLEPRKVESGASETPTVVEKTNKPNPFGAARPREDVLAEKGLDWKKIDSEIEAKKGGSQTSRPTSAHSSRPSSAQSNRSESSGLNNVVKPRPKVNPFGDAKPREVLLEEQGKDWRKMDLELEHRRVDRPETEEEKMLKEEIEEQRKKLEPEIKESDQEPGSNNNHNDLPEIIRGKEKDLELLTRELDDKVRFRQKPVERPGSGAGRTGTYSERTHSRAGSIDETRSFESTERPRSRGAVDAWVRPADDQQRNFQGSKERGFFSNRSSSREGW >fgenesh2_kg.5__395__AT3G26410.1 pep chromosome:v.1.0:5:2814453:2816304:-1 gene:fgenesh2_kg.5__395__AT3G26410.1 transcript:fgenesh2_kg.5__395__AT3G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase/ nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7LR49] MWFLCVFYHRLLDFRKPEVEALAELFGEEIAENESLQWRLPEHHHNDTPFHFVQLSSEEIARNIAKRSILVKGMYELWGEGTCYEELKDSIQSYPDSRKLPFLTSDSTFRISVETFGKALTFEEQKDRIQSLTYIPFEGRVDLKNPDHNFFLMEMIESEENNGLQPILQRRIFFGREVGFADRKLLPTFQLKSRTYLGPTAMDAEMAFLMANQAKATSGKLVYDPFVGTGSILVSAARFGAMTMGADIDIRVVRDGRGPDCNVWSNFKQYGLPTPVALLRMDNNVPPWRSGLKEIFDAIICDPPYGVRAGGRKSGGRKILRGTVDPYTVPDDKRTDHIPSTGAYSLVECVHDLLHLAARMLVMKGRLVFFFPVLRDETGSEVKFPEHPCFKLVAVSEQILSSRYSRVLLTMVKVEPYSEDIEEAARLMHLEFRENHLKWLEDGNIHSSVFKPIDSSQIHTDPKTFKDPKPKYRGKYV >fgenesh2_kg.5__3__AT2G01060.1 pep chromosome:v.1.0:5:80555:82750:-1 gene:fgenesh2_kg.5__3__AT2G01060.1 transcript:fgenesh2_kg.5__3__AT2G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LQZ5] MEGDNGGTNSSHASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSEGKKTDKKESGDMLSGLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLGEPSAPVTGDSDPATPAPTSESPLQDKSGKECGPDKSLSVDESLSSYREPLTPDSGCNIGSPDESGGEERLSKKPRLVRGAAGYTPDIVVAHPILESGLNASYHPSDHALAFDQPSTSLLGAEDELDKGSGDNL >fgenesh2_kg.5__401__AT3G26460.1 pep chromosome:v.1.0:5:2862946:2863715:-1 gene:fgenesh2_kg.5__401__AT3G26460.1 transcript:fgenesh2_kg.5__401__AT3G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGTYVTEVPLKGTAEKHFKRWRNENHLFPDAVGHHIQGVTVHDGEWDTHGGIKIWNYTLGDGTQEVFKERREMDDESNTMKVVGLEGHVMEQFKVYEVDFQFIPKSEDDCVCKITMIWEKRNDDFPEPSSYMQLLKSMVVDMEDHVLKA >fgenesh2_kg.5__403__AT3G26500.1 pep chromosome:v.1.0:5:2893723:2895494:-1 gene:fgenesh2_kg.5__403__AT3G26500.1 transcript:fgenesh2_kg.5__403__AT3G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LR59] MDHDLDKFPLLSYVLHQLDSNLHAPPSMAAQETLLPSFPLLSDPQVMSSLTQSIPTTITQTLFVFNSLGSRPDPLAVSSARSKIAQIMDSLSPEEAAKESEIYTGVVRLDEVHDSYEKKLKDIEEELSRVYATEVESLLRSGEEVNEEVVAVLKVAESGEIVERIDLSGQELKLLPEAFWKVVGLVYLNLSGNDLTVIPEAISKLKKLEELDVSSNSLESLPDSIGMLLNLRILNVNGNNLTALPESIAHCRSLVELDASYNNLTTLPTNIGYGLQNLERLSIQLNKLRYFPGSISEMYNLKYLDAHMNEIHGIPNSIGRLTKLEVLNLSSNFNNLMGVPDTITDLTNLRELDLSNNQIQAIPDSFYRLRKLEKLNLDENPLEIPSQKVAGQGAEAVREFMRKRWGEIMAEQQQRIGVEAERHGNETGWVYWGTSMVTNLVSGVTQTIGFGGASSDGGDKKPGDSYFYHQI >fgenesh2_kg.5__404__AT3G26510.3 pep chromosome:v.1.0:5:2895939:2898101:-1 gene:fgenesh2_kg.5__404__AT3G26510.3 transcript:fgenesh2_kg.5__404__AT3G26510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPTNSTIKFLCSYGGKILPRYPDGKLRYNGGHTRVLAVPRSISFSELASKMAEMCGGGGGGGGSTTVTIRCQLPMEDLDALVSITSDEDLANLIEEYDLVASSSPMKIRVFLNPPKSAVGSRKSPPPLALPLSTTSSSSTTSSSSSSPRSPSLSKPPLPPSPPRMTTVTKNPCYGCYVHRNSRNIYLVHNGNHWQ >fgenesh2_kg.5__409__AT3G26520.1 pep chromosome:v.1.0:5:2922085:2923763:-1 gene:fgenesh2_kg.5__409__AT3G26520.1 transcript:fgenesh2_kg.5__409__AT3G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRNIAIGGVQEEVYHPNALRAALAEFISTLIFVFAGSGSGIAFNKLTDNGATTPSGLVAAALAHAFGLFVAVSVGANISGGHVNPAVTFGVLLGGNITLLRGILYWIAQLLGSVAACFLLSFATGGEPIPAFGLSAGVGSLNALVFEIVMTFGLVYTVYATAVDPKNGSLGTIAPIAIGFIVGANILAGGAFSGASMNPAVAFGPAIVSWTWTNHWVYWAGPLVGGGLAGIIYDFVFIDENAHEQLPTTDY >fgenesh2_kg.5__410__AT3G26540.1 pep chromosome:v.1.0:5:2937693:2939774:-1 gene:fgenesh2_kg.5__410__AT3G26540.1 transcript:fgenesh2_kg.5__410__AT3G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LR64] MSVTGVSSAFGRLFKHDKTHKRVIATRPKFTVTRQILEHLEGGNVSKAVSVLFASPEPVGYWLYERLFRSCSSKSLVVQARKVQSHLVTFSPLPPSFLLNRAIEAYGKCGCVDDARELFEQMPERDGGSWNAVITACAQNGVSDEVFRMFHRMNRDGVRATETSFAGVLKSCGLVLDLRLLMQLHCAVVKYGYSGNIDLETSIVDVYGKCQVMSDARRVFDEIVNPSDVSWNVIVRRYLEMGFNDEAVVMFFKMLELNVRPLNHTVSSVMLACSRSLALEVGKVIHAIAVKISVVADTVVSTSIFDMYVKCDRLESARRVFDQTKSKDLKSWTSAMSGYAMSGITREARELFDLMPERNIVSWNAMLGGYVRAHEWDDALDFLTLMRKEIEDIDNVTLVWILNVCSGISDVQMGKQAHGFIYRHGYDMNVIVANALLDMYGKCGTLQSANIWFRQMSELRDEVSWNALLTGVARVGRSEQALSFFEGMQMEAKPSKYTLATLLAGCANIPALNLGKAIHGFLIRNGYNIDVVIRGAMVDMYSKCRCFDYAIEVFKEAATRDLILWNSIIRGCCRNGRSKEVFELFMLLEDEGVKPDHVTFSAILQACIREGHVELGFQYFSSMSTKYLILPQIEHYDCMVELYCKYGCLRQLEEFLLLMPFDPPMQMLTMINDACQRYRWSKLGAWAAKRLYE >fgenesh2_kg.5__414__AT3G26580.1 pep chromosome:v.1.0:5:2960030:2961738:1 gene:fgenesh2_kg.5__414__AT3G26580.1 transcript:fgenesh2_kg.5__414__AT3G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LR68] MAAVIGNVALLLDVNSHRTVVTDRRIRLTVVDVVLNLPKRDSHSHVSHYAALSSNKPLESDGEARIRRFLTRGKANSRANAVDFDDAGSSDEESSDGGGGGGDEEEEEDFDVEKERKRRAKEFQDMKELERKAEELQYKIDEEGDDSEEKKRMRVKRELQKVAQEQAERRKTAELMFELGQKAYGKGMYGRAIEFLEGALTIIPRPTLFGGEIQIWLAMAYEANNRHADCIDLYQQLEKKHPSPGIRRQASELRYILQAPKLKISQEEMVTIPMIGSSYDSYAVTWSDKDRDKERRMNESTTNQLNSSEDFLGKLLVWRPAVGMEKNRVFWLALTLWFGLVGAALILQR >fgenesh2_kg.5__416__AT3G26600.1 pep chromosome:v.1.0:5:2979228:2981386:1 gene:fgenesh2_kg.5__416__AT3G26600.1 transcript:fgenesh2_kg.5__416__AT3G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LR71] MGEESRIGDELSILVLTAERLRVAVDEAESFKTECGEVGKQVDRLAQMLRTLARFVSSSSQQVYDRPIRRVIVDVKKNLERGFSLVRKCRRHNIIRRVCTIINAADFRKVINLLESSNGDVKWILSVFDSDGDGSFGGGIVISLPPIATNDPILPWVWSLVASIQMGKLVDKIDAANQLGSLAGDNDRNKKIIVDEGGVAPLLRLLKESSSAEGQIAAATALGLLACDEDKVRSIVNELGVPIIVQVLGDASVRVQIKVATLVARMAEHDPVAQDEFARQSVIKPLVTLLSLDVFFDDIHLSKHNSIHSLVQMNKEVEKDPSSKFYRPLKSSKSNVYREIGGSGSRTGNFKKERDNENPEVKHELKVNCAEALWMLARGNVANSRRITETKGLLSLAKIVEKEVGELQYNCLMTLMEITAAAESNADLRRAAFKTNSPAAKAVIDQMLWIIKEVDNPILKIPAIQSIGSLARTFPARETRMIQPLVEKLGSSNQEVAITAVISLQKFVCPENFLCAEHSKNIIEYGAIPLLMKLIRNFEQQMQLQCLALLCYLSINASNHEQLEQAKVLTVLEGAERLAGLQNMELRELVSKAIYQLSLYNAGSHSQMLSYVGP >fgenesh2_kg.5__417__AT3G26610.1 pep chromosome:v.1.0:5:2987163:3011426:1 gene:fgenesh2_kg.5__417__AT3G26610.1 transcript:fgenesh2_kg.5__417__AT3G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVKSLPLLAMLLGVIIVAAAISTISVEGRKHHVKKIKPKHRRHSKNTPTGSPAPAPYPSTHEGVFNIISFGAKGDGVSDDSKALVRAWKAACEVVGGKVEIPAGKQFLVKAVTLQGPCKEETVVQIEGTLVAPEKIGSWPKSSLFQWLNIKWVSHVTIQGSGTLNGRGSNWWNLDTYQIQTRNKYIPPMKPTALRFYAAHNVTVRDISIVNSPLCHLKFDDSDGVKVNNITISSPENSPNTDGIHLQNTRNVEIQHSNIACGDDCVSIQTGSSNVHIHHINCGPGHGISIGGLGKDKSVACVSDIIVEDISIQNTLAGVRIKTWQGGLGVVKNLTFSNIQVTDVKVPIVIDQYYCDKSKCKNQTRAVSISGIKYNNIVGSFTVQPVRIACSNNVPCMDVDLMDIRLRPSGGIRGLQTHQQQQALCWNSYGKTQGPLVPSSIGYCLRKSNIGGYYSQKVSRSYDKICPS >fgenesh2_kg.5__418__AT3G26618.1 pep chromosome:v.1.0:5:3014504:3016031:1 gene:fgenesh2_kg.5__418__AT3G26618.1 transcript:fgenesh2_kg.5__418__AT3G26618.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic release factor 1-3 [Source:UniProtKB/TrEMBL;Acc:D7LR73] MADQESDKNIEIWKIKKLIKGLETARGNGTSMISLIMPPRDQVARVTKMLADEYGTASNIKSRVNRQSVLSAITSAQQRLKLYNKVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFKPINASLYLCDNKFHTEPLNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVSGLILAGSADFKTELSQSELFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKKLIGKYFEEISQDTGKYVFGVEDTLKALEMGAVETLIVWENLDINRYELKNNTTGEIVIKHLGKDQENNQSNFHEAETNAELEVQEKIPLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGLLRYQLDMRTFDELSDGDVYEDSD >fgenesh2_kg.5__421__AT3G26630.1 pep chromosome:v.1.0:5:3017038:3018602:-1 gene:fgenesh2_kg.5__421__AT3G26630.1 transcript:fgenesh2_kg.5__421__AT3G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LR75] MAAPSPSSPPNLLSPPPFRSPEASYFLRTCSNFSQLKQIHTKIIKHNLTNDQLLVRQLISVSSSFGETQYASLVFNQLQSPSTFTWNLMIRSLSLNHKPREALLLFILMLSHQPQFDKFTFPFVIKACLASSSLRLGTQVHGLAIKAGFFNDVFFQNTLMDLYFKCGKPDCGRKVFDKMPGRSIVSWTTMLYGLVSNSQLDSAEIVFNQMPTRNVVSWTAMITAYVKNRRPDEAFQLFRRMQVDDVKPNEFTIVNLLQASTQLGSLSMGRWVHDYAHKNGFVLDCYLGTALIDMYSKCGSLQDARKVFDVMQSKSLATWNSMITSLGVHGCGEEALYLFEEMEEEASVEPDAITFVGVLSACANTGNVKDGLRYFTRMIQVYGISPIREHNACMIQLLEQALEMEKASDSMDSDPNFNSSFGNEYTDRVNERHETPSQHQIMFSKWDTGRF >fgenesh2_kg.5__422__AT3G26640.1 pep chromosome:v.1.0:5:3018950:3020064:1 gene:fgenesh2_kg.5__422__AT3G26640.1 transcript:fgenesh2_kg.5__422__AT3G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7LR76] MVTSSDQIQNGSDEQSKRSEIYTYEAPWQIYAMNWSIRRDKKYRLAITSLIEQYPNRVEIVQLDESNGEIQSDPNLCFEHPYPPTKTSFIPDKECQSPDLLATSSDFLRLWRISDDESRVELRSCLSSDKNNEFSGPITSFDWNEAEPRRIGTSSIDTTCTIWDIEREVVDTQLIAHDKEVYDIAWGGVGVFASVSEDGSVRVFDLRDKEHSTIIYESGEPSTPLVRLSWNKQDPRYMATVIMGSAKIVVLDIRFPALPVVELQRHQASVNAIAWAPHSSSHICTAGDDSQALIWDISSMGQHVEGGLDPILAYTAGAEVEQLQWSSSQPDWVAIAFSNKLQILRV >fgenesh2_kg.5__423__AT3G26650.1 pep chromosome:v.1.0:5:3020849:3022807:1 gene:fgenesh2_kg.5__423__AT3G26650.1 transcript:fgenesh2_kg.5__423__AT3G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7LR77] MASLTFSVPKGFTEFSGLRSSSASLPFGKKLSSDEFVSIVSFQTSAMGSSGGYRKGVTEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDIIAINDTGGVKQASHLLKYDSTLGIFDADVKPSGETAISVDGKIIQVVSNRNPSLLPWKELGIDIVIEGTGVFVDREGAGKHIEAGAKKVIITAPGKGDIPTYVVGVNADAYSHDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFRDSAEKELKGILDVCDEPLVSVDFRCSDYSTTIDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >fgenesh2_kg.5__426__AT3G26670.2 pep chromosome:v.1.0:5:3022743:3031209:-1 gene:fgenesh2_kg.5__426__AT3G26670.2 transcript:fgenesh2_kg.5__426__AT3G26670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:D7LR78] MGEWVIGAFINIFGSVAINFGTNLLKLGHNERERLALQDGGGKMPLKPIIHFQTWRVGILVFLLGNCLNFISFGYAAQSLLAALGSIQFVSNIAFAYVVLNKMVTVKVLVATAFIVLGNVFLVAFGNHQSPVFTPEQLAEKYSNVTFLVYCGILILIVAVHHFLYRKGEVLISIPGQEISSYWKMLLPFSYAVVSGAIGSCSVLFAKSLSNLLRLAMSSSYQLHSWFTYSMLLLFLSTAGFWMTRLNEGLSLYDAILIVPMFQIAWTFFSICTGFIYFQEFQVFDALRTTMFILGMMCVFIGISLLAPDDTRGNETRDNSSSLDSIVSSSVPTEEDRLMPQSSEDGHSKDTRVVVQGMYMKAADLIAKTKAACLAALGFGEDSINASAILVMPMVSSKITGFRGNGLERAKILSMRGSGWSKLAMEEEGTRMLEKTMSSKA >fgenesh2_kg.5__430__AT3G26680.2 pep chromosome:v.1.0:5:3031254:3033964:1 gene:fgenesh2_kg.5__430__AT3G26680.2 transcript:fgenesh2_kg.5__430__AT3G26680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSDEEDEDWFGSRFNDGVNEEEEEGFVFYDDVGENEEEEGFASDFYKAGSDWSCLVEDEETVCSSEKNKKMKQANLFQIWGLQENSPDTTKKMKQTDLFQSWGLQKPSPFTSPASNSSKKTTSALGKRRRDSSFGNDSPRPCPFYKKLPGTPFTVDAFRYGCVQGCSAYFLTHFHADHYIGLTKAWSHGPIYCSSLTSRLLRLSLSVDPSFIHPLELDVEYTINGIKVTLIEANHCPGAALIHFRLLDGTCYLHTGDFRASKKMQTHPLLFNQRVHVLYLDTTYCNPRYKFPSKEDVLSYVVRITKDFLRKQPKTLIVVGSYSIGKECVYLAIAKALGVKIFANASRRRILQSFGWDDISKNLSTDGKATCLHVLPMSSLKVERLDEHLKVYREQYGAVLAFRPTGWTYSEKIGEHLDLIKPTCKGKITIYGVPYSEHSSFTELREFVQFLRPDKIIPTVNNANAGTREKMQSCFREWLRR >fgenesh2_kg.5__434__AT3G26700.1 pep chromosome:v.1.0:5:3038575:3041327:1 gene:fgenesh2_kg.5__434__AT3G26700.1 transcript:fgenesh2_kg.5__434__AT3G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LR82] MSAILAAAIGGAVGALALIALFVFLLWFCVFRRKNLSRTSETGSSDPSTQEGRNVAIELSMREARRFEMEELAQATKSFTNKSLIGIGKFGEVYKGLLQDGVLVAIKKRPGLPTQEFVNEVRYLSSIHHRNLVTLLGFCQESNTQFLVYEYVPNGSVSSHLYGAGGKVPGNRLEFRHRLAISIGAAKGLAHLHSLSPRLIHKDFKTANVLVDENFIAKVADAGVRNFLGREEVGTSSHIVADQIFLSPEVQEFKRFSEKSDVYAFGVFLLELVSGREASEPSPSSSTQTLVEWMQNLTDYADIPVMIDERLGGTYTAEGVEELITLTLRCVDVSSEKRPTMSFVVTELERILDKEVSLTTVMGEGTPTVTLGSQLFKATK >fgenesh2_kg.5__435__AT3G26710.1 pep chromosome:v.1.0:5:3042148:3043454:1 gene:fgenesh2_kg.5__435__AT3G26710.1 transcript:fgenesh2_kg.5__435__AT3G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLISPPLSCPWVTSREVSIKGLPRRRREWMVTKRNRVSAVTAMFVEPLSVVSSSAIQIHQWWEQNPNSLLLMTEATGGYSLASYYTSLGLFVISVPGLWSLIKRSVKSKIVRKTFVVNEVKKEPKQVAGEILSFFTRKNFNITDRGETITFEGKMVPSRGQAALLTFCTCISLASVGLVLTITVPDFGNNWFFIILLSPLAGVYYWKKASRKEEIKVKMMVGEKGKLDEIVVQGDDVQVEEMRKELQLSEKGMVYVKGLFERSS >fgenesh2_kg.5__437__AT3G26720.1 pep chromosome:v.1.0:5:3046697:3053224:1 gene:fgenesh2_kg.5__437__AT3G26720.1 transcript:fgenesh2_kg.5__437__AT3G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase [Source:UniProtKB/TrEMBL;Acc:D7LR85] MEVNYFSLYLILAAIVIGGVNSEYIEYNTKPRIVPEKINVHLVPHSHDDVGWLKTVDQYYVGANNSIRGACVQNVLDSVIASLLDDENRKFIYVEMAFFQRWWRQQSNAKKVKVKKLVDSGQLEFINGGMCMHDEATPHYIDMIDQTTLGHHFIKTEFGQVPRVGWQIDPFGHSAVQAYLLGAEFGFDSLFFARIDYQDRAKRLREKTLEVIWQGSKSLGSSSQIFTGVFPRHYDPPEGFVFEINDVSAPIQDDSLLFDYNVQERVNDFVAAALAQVNVTRTNHIMWLMGTDFRYQYAYSWFRQMDKFIHYVNKDGRLNVLYSTPSIYTDAKYAANESWPLKTDDFFPYADKPNAYWTGYFTSRPAFKKYVRDLSGYYLAARQLEFLRGRNSSGPTTDMLADALAIAQHHDAVSGTQRQHVAADYALRLSMGYLQAEKLVASSLSFLSAAKSSTEKKNPGTKFQQCPLLNISYCPASEARLSSGKSLVVVAYNSLGWKREEVVRVPVSSENVIVKDASGKEVVSQLLPLSDIALRIRNEYVKAYLGGSPRDTAKHVLAFTASVPPLGFSSYVISDTGRTARGLSASYVTSGSMNENVEVGQGNLMLRYSEEGVKMTRHLSTKNQVTAEQSYAYYIGSNGTDKDPQASGAYVFRPDGVLPIKSVGEAQLTIVRGPLFDEVHQELNSWISQITRVYKGKNHAEIEFTVGPIPADDGISKEVITKLTTTMKTNGTFYTDSNGRDFIKRIRDFRTDWDLQVYQPVAGNYYPINLGIYMQDKTSELSVLVDRAVGGSSLENGQIELMLHRRMQHDDIRGVGEILNETVCLPEGCKGLTIQGKFYVQIDKPGDGAKWRRTFGQEIYSPLLLAFTEQEGDSWISSHKTTFSAFEPSYSLPKNVALLTLQELENGEVLLRLAHLFEVGEDSEYSVLAKVELKKLFHNNKISQVTETSLSGNQEKAEMEKRRLIWKVEGSAGEEVKRGEAVDVEKLVVELVPMEIRTFLIKFDNHIEMVGDKEQQHT >fgenesh2_kg.5__438__AT3G26730.1 pep chromosome:v.1.0:5:3053848:3057626:1 gene:fgenesh2_kg.5__438__AT3G26730.1 transcript:fgenesh2_kg.5__438__AT3G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILPPPIIQGHNSSSSSSSDSSLNPSSEHGNNSSPSRISSSTGTRFAAHSESTHNFSGGSREPIVAAEEGHSRGSSKEMTLNGAGKESFSPPNADKRDHFRYDGRRNRSRGRGTGAAGSSSSSSQLQHNTRPFNSPRGGVSHHNPTGRRANMISGNHLLNFQYDPISPPQSRGPPPQRRQQYKGRPFNKDLFLKANYKFVVLDTGDHSPDSMDPDKMLQWDDIICVRYSTPSPVQCPICLEYPLCPQITACGHIFCFPCILQYLLIGVDNHKVDCVKRCPLCFVMISPRELYTVYIENVKQCSVGDPIEFVLLTRKKDSFAPTRKNEHDSAVPNGENEIYDPFSKFTFTQDVDLSVRQAVLELDSWIARADPELVEDLEKHLFVNAALERLEQRKMYWNEHKLSYYSKLSTTARNQTQSFSPPDVSRVGYQAPSWAHGATDSGSNDQDKSAEDSSVDKSDGESQSSLEKSCDNGHPLDDVDAPSSSSCNESKGFLSHQNDTKDLKDNDAYNFYQSVDGQHIILHPLNLKCLMHHYGSYDFLPPRVSGKILEMETVTQSEAIRRRYRFLSHFSLTTTFQICEIDMRESLPPDAFAPFIDEIKKREKQRKDRARKDRKNKIKAEVAAAAELVPLMSSFGQSSRDDFPPFSLDDFEALGNSAPISSSPPNIGERSSFSHVTRLGFAAGHDSPNFSKEPTNAQSSSSVVTNATTGARNTNITSFASVTSRTTTPPIAPTIKEPGKRGKKPSRVLLSTTSVRRY >fgenesh2_kg.5__439__AT3G26740.1 pep chromosome:v.1.0:5:3057913:3058682:1 gene:fgenesh2_kg.5__439__AT3G26740.1 transcript:fgenesh2_kg.5__439__AT3G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSLFIKPTILLPLPSSVSSPKLTFLLPHATKASRLSSLRSNNASSPSTSDPNTVDYNSSLLSVFPAEACEVISGYACSADIYPEVKLDTKPVSRPVASEPVDREYEEYNNPKTVFREEACDDLGGEFCEPGYQKDAN >fgenesh2_kg.5__441__AT3G26744.2 pep chromosome:v.1.0:5:3063755:3066234:-1 gene:fgenesh2_kg.5__441__AT3G26744.2 transcript:fgenesh2_kg.5__441__AT3G26744.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDGNNGGGVWLGGGGEREENEEGSWGRNQEDGSSQFKPMLEGDWFSNQTHPQDLQILQNQPDFRFLGGFPFNPNDNLLLQHSIDSSSSCSPSQAFSLDPSQQNQFLSTNNKSCLLNVPSSANPFDNAFEFGSESGFLGQIHAPISMGFGSLTQLGNRDLSSVPDFLSARSLLGPESNNNNTMLCGGGGGFTAPLELEGFGSPANGGFVGNRAKVLKPLEVLASSGAQPTLFQKRAAMRQSSGSKMGNSESSGMRRFSDDGDMDETGIEVSGLNYESDELNESGKAAESVQIGGGGKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHNELESTPPGSLPPTSSSFHPLTPTPQTLSCRVKEELCPSSLPSPKGQQARVEVRLREGRAVNIHMFCGRRPGLLLATMKALDNLGLDVQQAVISCFNGFALDVFRAEQCQEGQEILPDQIKAVLFDTAGYAGMI >fgenesh2_kg.5__442__AT3G26750.1 pep chromosome:v.1.0:5:3068541:3070322:-1 gene:fgenesh2_kg.5__442__AT3G26750.1 transcript:fgenesh2_kg.5__442__AT3G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g26750 [Source:UniProtKB/TrEMBL;Acc:D7LR89] MEQNPKTQRTWRYTWEAQSHSPNLRLFLFDSTTNPKIHCKILNVSIILEKSQLLVTWINEEATRKEEIVSLHVPIPKVLLDTESHVNFKALEDHIEVRLVLLLPVDHPLVSDFNLVTDSREKSKPLVMGYDLKTLSLMGGVHFYCRNCSNRLTKKELFDFSEMPSINWRESADNWFGTCCCSFGGISEKMVVKYTNSYTCSSGLCLLSATTVLLSKDDLVECSFSDKGGIVDEFDSSLALSCDLGVVEPGSRSSEGNAESHENGSESVCGQADDSILRCIGNESLPGCCVHDLPDSNESFQLEQKLTLDKKFLLDGFLEDVFMAKASNVSKNVDWIEFACPKCYSPLGAYPSGGGSKDKPIDGGVRLFKCYISTSSVTGESLNVFRKYTLERMFTNQLVECAKEELSFHVLVKDLTTKSPLFNIVILNPNSWSSTGLCSSRDEPDSTLELSAIVKVLFSDCNSSVAKKIDEEVYILKGQGEELIELIKYASKCLPSSYTYLQGSLVSSMHR >fgenesh2_kg.5__443__AT3G26760.1 pep chromosome:v.1.0:5:3082908:3085928:1 gene:fgenesh2_kg.5__443__AT3G26760.1 transcript:fgenesh2_kg.5__443__AT3G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LR91] MLRSFARSFKLINVPNGLISKPISSTLLYSTSSRKLEGKVAVITGGASGLGKATAEEFVSQGAQVFIVDIDEETGHKVATELGSAAHFLRCDVTEEEQIAKAVETAVSRHGKLDIMLNSAGISCSISPPSIADLDMDTYDKVMRLNVRGTVLGIKHAARAMIPAGSGSILCLSSISGLMGGLGPHAYSISKFTIPGVVKTVASELCKHGLRINCISPAGIPTPLTLRMFREAFAGHNIPEEQLLGIVNATGELKGEKCEEIDVAKAALYLASDDAKFVTGHNLVVDGGFTCFKSLNLPSA >fgenesh2_kg.5__446__AT3G26782.1 pep chromosome:v.1.0:5:3098286:3100391:1 gene:fgenesh2_kg.5__446__AT3G26782.1 transcript:fgenesh2_kg.5__446__AT3G26782.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LR94] MKVPSKKALFCSVSRLLHTERHTERQNLTTLFNRYVDKTDVFSWNSVIADLARSGDSAEALRAFSSMRKLSLYPTRSSFPCAIKACSSLLDIFSGKQTHQQAFVFGYQSDIFVSSALIVMYSTCGKLEDARKVFDEIPKRNIVSWTSMIRGYDLNGNALDAVSLFKDLLIEENDDDATMFLDSMGMVSVISACSRVAAKGLTESIHSFVIKRGFDRGVSVGNTLLDAYAKGGEGGVAVARKIFDQIVDKDRVSYNSIMSVYAQSGMSNEAFDVFRRLIKEKVVTFNCITLSTVLLAVSHSGALRIGKCIHDQVIRMGLEDDVIVGTSIIDMYCKCGRVETARLAFDRMKNKNVRSWTAMIAGYGMHGHAAKALELFPAMIDSGVRPNYITFVSVLAACSHAGLHDVGWHWFNAMKGRFGVEPGLEHYGCMVDLLGRAGFLQKAYDLIQKMKMEPDSIIWSSLLAACRIHKNVELAEISVARLFELDPSNCGYYMLLSHIYADSGRWKDVERVRMTMKNRGLVKPPGFSLLELNGEVHVFLIGDEEHPQREKIYEFLAELNRKLLEAGYVSNTSSVCHDVDEEEKEMTLRVHSEKLAIAFGIMNTVPGSTVNVVKNLRVCSDCHNVIKLISKIVDREFVVRDAKRFHHFKDGFCSCGDYW >fgenesh2_kg.5__447__AT3G26790.1 pep chromosome:v.1.0:5:3105541:3107786:-1 gene:fgenesh2_kg.5__447__AT3G26790.1 transcript:fgenesh2_kg.5__447__AT3G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDENVETKASTLVASVDHDHHGFGSGSGHDHHGLSASVPLLGVNWKKRRMPRQRRSSSSFNLLSFPPPMPPISHVQTPLPARKIDPTKLRFLFQKELKNSDVSSLRRMILPKKAAEAHLPALECKEGIPIRMEDLNGLHVWTFKYRYWPNNNSRMYVLENTGDFVNAHGLQLGDFIMVYQDLYSNNYVIQARKASEEEGDVTNVEEDDVYTNLTRIENTVVNDLLLQDFNHHNTNNNNNNNNSNKCSYYYPIIDDVTTTTGSFVYDTTALTSNDTPLDFLGGHTTTTNNYYSKFGTFDGLGSVENISLDDFY >fgenesh2_kg.5__448__AT3G26810.1 pep chromosome:v.1.0:5:3122872:3125593:1 gene:fgenesh2_kg.5__448__AT3G26810.1 transcript:fgenesh2_kg.5__448__AT3G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin signaling F-box 2 [Source:UniProtKB/TrEMBL;Acc:D7LR97] MNYFPDEVIEHVFDFVTSHKDRNAISLVCKSWYKIERFSRQKVFIGNCYAINPERLLRRFPCLKSLTLKGKPHFADFNLVPHEWGGFVLPWIEALARSRVGLEELRLKRMVVTDESLELLSRSFVNFKSLVLVSCEGFTTDGLASIAANCRHLRDLDLQENEIDDHRGQWLSCFPDTCTTLVTLNFACLEGETNLVALERLVARSPNLKSLKLNRAVPLDALARLMACAPQIVDLGVGSYENDPDSESYMKLKAAIKKCTSLRSLSGFLEAAPHCLSAFHPICHNLTSLNLSYAAEIHGSHLIKLIQHCKKLQRLWILDSIGDKGLEVVASTCKELQELRVFPSDLLGGGNTAVTEEGLVAISAGCPKLHSILYFCQQMTNAALVTVAKNCPNFIRFRLCILEPNKPDHVTSQPLDEGFGAIVKACKSLRRLSHSGLLTDQVFLYIGMYANQLEMLSIAFAGDTDKGMLYVLNGCKKMKKLEIRDSPFGDTALLADVSKYETMRSLWMSSCEVTLSGCKRLAQKAPWLNVEIINENDNNRMEENGHEGRQKVDKMYLYRTVVGTRMDAPPFVWIL >fgenesh2_kg.5__44__AT2G01350.1 pep chromosome:v.1.0:5:173504:175696:-1 gene:fgenesh2_kg.5__44__AT2G01350.1 transcript:fgenesh2_kg.5__44__AT2G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVSRFLSPQFYAIQRSFVKMSASATQAAGEVSMAIKPPSHPTYDLKAVIKLALAEDAGHTGDVTCMATIPFDLEVEAYFLAKEDGIVAGLALADMIFEQVDPSLKIEWMRKDGDYVHKGLKFGKVSGNAHKIVVAERVVLNFMQRMSGIATLTKLMADAASPARILETRKTAPGLRLVDKWAVLIGGGRNHRMGLFDMVMIKDNHISAAGGIVNAIKSVDEYLKQKNLEMDVEVETRTLEEVKEVLEYVSGSETRLTRIMLDNMVVPLENGDVDVTMLKDAVELINGRFETEASGNVTLETVHKIGQSGVTFISSGALTHSVKALDISLKIDTELALEVGRRTKRA >fgenesh2_kg.5__451__AT3G26830.1 pep chromosome:v.1.0:5:3163755:3165423:1 gene:fgenesh2_kg.5__451__AT3G26830.1 transcript:fgenesh2_kg.5__451__AT3G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFLFFLFLFLLPLISIFLNVFKRPKWKLPPGPKKLPIIGNLHQRGKLHPRNRRNISEMYGPVVHLQYGFIPVVVVSSKEAAEEVLKINDLECCTRPEAAGMRATFYNFKDIGMAPFGDEWSLMRKLSVVELFSVKKLQSFKYIRDEENDLFVKKISEFATRRSPVNLERAVFTLVGNTICRIGYGINLYECEFFDEESVVDLVLKAEAVIRETVFSDFFPGRIGRLVDRISGQNKRLNNKFSEVDTFFQNILDEHLKPGRESSDIIDMMIDMKKKQEKDGDSLKFTTEHLKGMISDIFVAGIGGVAGITLWGMTELIRNPRVMKKVQDEIRTTLGDKKERIKEEDLNQLHYFKLMVKEILRLHPTTPLLLPREASSHFKVQGYDIPAKTQILVNLYAMGRDPKLWENADEFNPDRFLDSSIDYKGKNYELLPFGSGRRICPGMAMGTMLVELALLNLLYFFDWGLPEKDEAKKIVTRDGEETFLAYFQVLHH >fgenesh2_kg.5__452__AT3G26840.1 pep chromosome:v.1.0:5:3169249:3179264:1 gene:fgenesh2_kg.5__452__AT3G26840.1 transcript:fgenesh2_kg.5__452__AT3G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7LRA2] MAVTVFLSVSGLSAVASSSNLRRLTSASNHRLTAIKSVTSTPLPPSSGVQRSRKNNDENRATVAKVVENPYSKIESAQPDLQKSLSDFLEEARDFVGDRGGPPRWFSPLECSAQAPNSPLLLYLPGIDGTGLGLIRHHKKLGEIFDIWCLHIPVSDRTPVKDLVKLIQQTVKSEYYRFPNRPIYLVGESIGACLALDVAARNPNIDLSLILVNPATHVNNFTSQPLSGMLNVLPNDIPTLLEDIFGFIKQGDPLTGMLDALSNEFSVQQMGGGMLRDLLAVSANLPTLSRMFPKETLLWKLEMLKSAIAYVNSHIYSVRAETLILLSGRDQWLLNKEDIDRYSRTLPKCIVRKLDDNGQFPLLEDGVDLATIIKCTCFYRRGKSHDHITDYIMPTTFELKQQIDDHRLLMDGTSPVMLSTLEDDTVVRSLEGLPSEGPVLYVGYHMILGFELASMVTQLMKERNIHLRGLAHPMIFKNLQDSLVDTKMFDKYKIMGGVPVSQFNIYKLLREKAHVLLYPGGVREALHRKGEEYKLFWPERSEFVRVASKFGAKIVPFGVVGEDDICEIVLDSNDQRNIPILKDLMEKATKDAGNLREGDESELGNQDTYFPGLVPKIPGRFYYYFGKPIETAGKEKELKDKEKAQELYLQVKSEVEQCIAYLKVKRESDPYRHLLPRMLYQASHGWSSEIPTFDL >fgenesh2_kg.5__456__AT3G26860.2 pep chromosome:v.1.0:5:3197608:3197955:-1 gene:fgenesh2_kg.5__456__AT3G26860.2 transcript:fgenesh2_kg.5__456__AT3G26860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LRA5] LFVCSLCLLGHVSSAGIRIGNELKNKKLLWMRCYSKDDVIGPKIIPIGGHSFNYFGTNFWSTTRFMCTLRQGPNYRHHQNFTAFKLYSASDDGGVWDWRAREDGIYLKIRPERHVN >fgenesh2_kg.5__457__AT3G26870.1 pep chromosome:v.1.0:5:3204344:3204736:-1 gene:fgenesh2_kg.5__457__AT3G26870.1 transcript:fgenesh2_kg.5__457__AT3G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLTIFIFVFSLCTLGHVSGAGIRIVNELKSRKTLWMRCYSKDDVLGPTVIPNGGQFTDYFFHNLFGTTRFMCTLKQGPGFSHSVSFRAFKNSGLWDWRAREDGIYLRRIYKAKFDDGGDNLHKEQNWI >fgenesh2_kg.5__458__AT3G26880.1 pep chromosome:v.1.0:5:3207422:3207798:-1 gene:fgenesh2_kg.5__458__AT3G26880.1 transcript:fgenesh2_kg.5__458__AT3G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVSIFLIVFGLCMIGDVYGKKSMITVKNEFSPKSRLVLKVHCKSKNDDIGIKYLEIGEIMSFSFKTNFWGTTEFWCDIYKGPDYKRFRGFTAYQAKGLFVKDGSSYNWLARDDGIYFHKNLLP >fgenesh2_kg.5__463__AT3G26890.4 pep chromosome:v.1.0:5:3210515:3215135:-1 gene:fgenesh2_kg.5__463__AT3G26890.4 transcript:fgenesh2_kg.5__463__AT3G26890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQTASSEQSQEALSAPSCSLSQSLPVYATSHDSDGGSEGGICSSIGEFDRKTSLEPFHGTCVVTSSSAHGSTSYAAGKVGSSLTGARRIVGFASSETSSLDNKQTSVAVDHSLSSTGGVTGVDIGGALVRKRVLSPLNTLFPVKFRGDLLDISCGNHQQITYSGQSNGFCKSVAQDHNKANTPSRLHLSTTPTTITTSSCWEWKNASNSGRLSSMVFTDGPLLDSVDLRRPIKGEVCLYSPLHETSSIPNKPLPCDKEISVSPPLCLSPLGPKFSETIKAVRSCQNGKILEDLRNISEEAELRVDRRLFDDAYAIRREFSMERSTESAPASPCKRFIRSLSGRPIQRSLVGSFEESLLTGRLSCGPTNQKIDGFLAVLSIAGGNISPKSQKLPFSVTSAGDDCLLLYYASIDLAGGSKLNKFWGQKMKTSQMNSDAQSSKSQLRIPMKGRIQLVLSNPEKTPLHTFLCNYDLTDMPAGTKTFLRQKVTLGSSNPTSEAMQENTRKSKNLEKENSKQGDKESCEGSDIVDSVEGDEKFDELHESEKSCLNTSKECNGGSGALRYALHLRFLCPLPKKASKKSDETETTGQKKNLDSDGKRRFYLYNDLRVVFPQRHTDSDEGKLNVEYHYPGNPRYFDITE >fgenesh2_kg.5__464__AT3G26900.2 pep chromosome:v.1.0:5:3215106:3220304:-1 gene:fgenesh2_kg.5__464__AT3G26900.2 transcript:fgenesh2_kg.5__464__AT3G26900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shikimate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LRB0] MEISASASLTFSGFVPRLLPLLSPQAPTTSYKPLLSSSFSNRLISSHSRIAPSQSLADQSASTGISVVDSDPIDVVKRKAMDIAPELKGASIFLVGINNSIKTNTGKLLAEALRYYYFDSDNLITEAAGGNVSAQALKEADEKAFQESETEVLKQLSSMGRLVVCAGDGAVQSLTNLALLRHGISIWIDVPLDIAAKGDDDSFNSEPSPELFDTLKASYEKSRKGYETADASISLEKIATKLEFEDLEAVASEDIALEVLKEIEKLTRVKKMMEEASRPF >fgenesh2_kg.5__468__AT3G26910.2 pep chromosome:v.1.0:5:3222759:3226397:-1 gene:fgenesh2_kg.5__468__AT3G26910.2 transcript:fgenesh2_kg.5__468__AT3G26910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7LRB1] MKASIEKLRRLTSHSHKVDVKEKGEVMATTQIDELDRAGKDMQDMRDCYDRLLSAAAATANSAYEFSESLGEMGSCLEQIAPHNDEESSRILFMLGKVQSELQRLLDTYRSHIFKTITSPSEALLKDLRTVEDMKQQCDEKRNVYEMSLVKEKGRLKSSKGERHIPPESRPAYSEFHDEATMCIFRLKSLKEGQARSLLTQAVRHHTAQMRLFHTGLKSLEAVERHVKVAAEKQHIDCDLSVHGNEVEASEYDDDDDSRYMNREGELSFDYRTNDQNVEAASLSTPWGSKMDDTDLSFPRPSTTRPAAVNADHREEYPVSTRDKYLSSHSAPLFPEKKPDLSERLRQVVNPSFNAYVLPTPNDSRYSKPVSQALNPRPANHSAGNIWHSSPLEPIKSGKDGKDAENNSFYGRLPRPSTTDTHHHHQQQAAGRHAFSGPLKPSSTKPATTADSYSGAFCPLPTPPILPHPHSSASPRVSPTASPPPASSPRLNELHELPRPPGHFAPPPRRAKSPGLVGHSAPLTGWNQERNTVTVAPPSATNIVASPLPVPPLVVPRSYSIPSRNQRAVSQRPVERRDDIVASPPLTPMSLSRPLPQATGVAQTSQIRGVGKLIER >fgenesh2_kg.5__470__AT3G26934.1 pep chromosome:v.1.0:5:3295894:3296785:-1 gene:fgenesh2_kg.5__470__AT3G26934.1 transcript:fgenesh2_kg.5__470__AT3G26934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGVSRPETDEEGQEEGYRQKSIGKDSETADSFISNKELLEISTHSKDSKTSGEKTSKEEENEWKEDEEQKGDELKISPESPSFRIYCVFPRDPNDDLVDDLQRSKNILEENKEQKGKRQEAVGMKIRRRFNNVKKLLSPPTVPSSTANRG >fgenesh2_kg.5__471__AT3G26932.1 pep chromosome:v.1.0:5:3304673:3306453:-1 gene:fgenesh2_kg.5__471__AT3G26932.1 transcript:fgenesh2_kg.5__471__AT3G26932.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DsRNA-binding protein 3 [Source:UniProtKB/TrEMBL;Acc:D7LRB5] MYKNQLQELAQRSCFNLPSYTCTREGPDHAPRFKASVNFNGEIFESPTYCSTLRQAEHSAAEVALSALSSKGPSKSLTARVLDETGIYKNLLQETAHRAGLDLPVYTSVRSGPGHIPTFSCTVELAGMSFNGESAKTKKQAEKNAAIAAWFSLRKMPSLDPLRGEEKEQEVVARVLSRFRPKEVKRREPNQSRRTVIKTIRQNTTTTTTRDLLCENLRSINLYTNEASSSSPPPQRFLPSRTNLPQQQSKVKSLLEKCQEYAEKKQSLDDPKPELIIKTSSPSSSSSSVERNCYSKLLPFSSFGLNNQKLAPAVHIRSVIPVCSAPPPKPNPNPNPSPSTTRELGNGGQEKKSLSN >fgenesh2_kg.5__473__AT3G26935.1 pep chromosome:v.1.0:5:3307036:3309793:-1 gene:fgenesh2_kg.5__473__AT3G26935.1 transcript:fgenesh2_kg.5__473__AT3G26935.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LRB6] MYVVPPPQRSDSGSNGDLRVYQTWKGSNIFFLQGRFVFGPDVRSLALTICLIAVPVTIFCIFVARKLIDDFSDSWGVSIVAVAVVFTIYDLILLLLTSGRDPGIIPRNAHPPEPEALDGNMDAGAGQTPQLRLPRIKEVELNGITFKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGMRNYRFFFMFVFSTTLLCIYVFAFCWVYIRKIMESEHTTTWKAMLKTPASIVLIIYTFISMWFVGGLTVFHLYLISTNQTTYENFRYRYDRRSNPHNKGVVNNFKETFFSTIPPSKNNFRAMVQREPPLPPRSVAGGFMSPNMGKANDEIEMGRKAVWADMGPAMSDHGDGKHGNERLHVKDGELGELSPDIRTTVDEQSDRPGIHPRRSSWGRKSGSWDMSPEVMALAARVGEQNQNGGGSSSGSGLVTENRPT >fgenesh2_kg.5__474__AT3G26940.1 pep chromosome:v.1.0:5:3312057:3314614:-1 gene:fgenesh2_kg.5__474__AT3G26940.1 transcript:fgenesh2_kg.5__474__AT3G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCLCFGPGRKMKLQDNSDQISIRNQANQPSSSSAQPAATVAIPSSSSQTVVQDSARYRCQIFSYRELAIATNSFREESLIGRGGFGAVYKGRLNNGKNIAVKVLDQSGVQGDKEFLVEVLMLSLLHHQNLVHLFGYCAEGDQRLLVYEYMPLGSVEDHLYDLSDGQEALDWNTRMQIALGAAKGLAFLHNEATPAVIYRDLKTSNILLDHEYKPKLSDFGLAKFGPSGDMSHVSTRVMGTQGYCAPEYANTGKLTLKSDIYSLGVVMLELITGRKALLPSSECVGTQSRYLVHWARQLWLDGKVMQIVDPMLLTKGRLSSIVVFRSIEVAMKCLMEEANARPLISEVVDSLRYIVDHTMRKERSRLRREGNMDGAGTSSSPDETRRIFTVREEGESPEEAIERRRLVVDDAISWAGVNRPRGTTPPTPSP >fgenesh2_kg.5__475__AT3G26990.1 pep chromosome:v.1.0:5:3327322:3331227:1 gene:fgenesh2_kg.5__475__AT3G26990.1 transcript:fgenesh2_kg.5__475__AT3G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFNAQILVEKLAKLNNSQASIETLSHWCIFHMNKAKHVVETWGRQFHCAPREQRLAYLYLANDILQNSRRKGSEFVGEFWKVLPDALRDMIENGDDFGRKSARRLVNIWEERKVFGSRGQILKEELLGRQPEIGTRNGNLVPLKLSVPQRQANGSTLEKVVSAVEVLHGVQIDEDAIVGKCTNAAGYLEKTTQEVERDLSSGHTPGPALVKELQGQHAILRDCIEHLGAMETSRTSLVSHLREALQEQELKLEQVRNHLQIARFQSDRSGDLCRQLLDHGGSSQPPATEEEESKEAIKLPSAAAAPQSFTHSDVEQSAPVMFASNPTQAVQDPRKTAAAAVVAKLTASTSSAEMLSYVLSSLASEGLIGNNKPPAVTETLSSVDYPPEKRPKLQNQDQSYLPLHQQNTATTSSTTPPPPLPPPPPFQLQPQFLQPLQPPGPVNHTPFNYTIATTTATTQQQQQQQQQQQDQGPPWVPGLTQLSTTSAPSENSYQKFQGQDGFYGINSSMPITPVTRQ >fgenesh2_kg.5__476__AT3G26980.1 pep chromosome:v.1.0:5:3331420:3333284:1 gene:fgenesh2_kg.5__476__AT3G26980.1 transcript:fgenesh2_kg.5__476__AT3G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:D7LRC0] MPQEDLVELKFRLYDGSDVGPFQYSPTATVSMLKERIVSEWPKDKKIVPKSASDIKLINAGKILENGKTVAQCKAPFDDLPKSVITMHVVVQPSPTKARPEKKIEKEEAPQRSFCSCTIM >fgenesh2_kg.5__477__AT3G27000.1 pep chromosome:v.1.0:5:3335390:3338630:-1 gene:fgenesh2_kg.5__477__AT3G27000.1 transcript:fgenesh2_kg.5__477__AT3G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPLLRYEESLMEQQVKDIVVGETCSELRHQLDINYPVHNGIVQNWEDMEHVWDHAFYNELKINPSECKILLTDPPLNPSKNREKMIETMFEKYNFAGIFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITAYLVDLLSRRGYAMNKTADFETVREIKEKLCYISYDYKRESQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMVFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEKEIQDRYLDTVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWINREDYMEEGINCLNKMSQA >fgenesh2_kg.5__478__AT3G27010.1 pep chromosome:v.1.0:5:3340699:3342260:-1 gene:fgenesh2_kg.5__478__AT3G27010.1 transcript:fgenesh2_kg.5__478__AT3G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKNLNRHQVPNFLNPPPPRNQGLGDAAVVSDDKDENRKPTTEIKDFQIVVSASDKEPNTKKTQNQNQLGPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGSGTIPASALASAASSVSNHHQGGSLTTGLMISHDLDGGSSSGRPLNWGIGGGEGVSRSNLPTGLWPNVAGFGSGVPTTGLMSEGAGYRIGFPGFDFPGVGPMSFASILGGAGGNHNQMPGLELGLSQEGNVGVLNPQSFTQIYQQMGQAQAQAQAQGRVLHHMHHNHEEHQQESGEKDDSQGSGR >fgenesh2_kg.5__47__AT2G01410.1 pep chromosome:v.1.0:5:192618:193991:-1 gene:fgenesh2_kg.5__47__AT2G01410.1 transcript:fgenesh2_kg.5__47__AT2G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTRCRSFFVFPILFAVVLFGLLFPSSADKRHVINFRSPGLYPEGLTWDPRDQHFLVGSLHSRTIHSVSDAGVIETLISDLDLPENATILGLTVDSTNRRLLACIHSLPPLPPFSALAAYDLRSGGRRVFLSPLPSLPGDDEDIARDVANDVAVDFKGNAYVTNSAKNFIWKVDRDGAASIFSRSPLFNSQPVAADADASFRDCGLNGIVYISKGYLLVVQSNTGKVFKVDEDSGNARLVLLNGDLIAADGMARRRRDGTIMVVSQTKLWLLKSQDSWSEGVVYDEIDLDLEGFPTAVTVGERDRIYVLYGRVMEGIMGSYKEEGAREWFGIEEVWSEKEGGEDKIWLYVLIGFGFAYFCFWRFQMKKLITNMDKKIT >fgenesh2_kg.5__483__AT3G27060.1 pep chromosome:v.1.0:5:3396627:3398102:-1 gene:fgenesh2_kg.5__483__AT3G27060.1 transcript:fgenesh2_kg.5__483__AT3G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEPLLTPTPDRFCMFPIHYPQIWEMYKKAEASFWTAEEVDLSQDNRDWENNLNDGERHFIKHVLAFFAASDGIVLENLASRFMSDVQVSEARAFYGFQIAIENIHSEMYSLLLDTYIKDNKERDHLFRAIETIPCVAKKAQWAMKWIDGSQTFAERIIAFACVEGIFFSGSFCSIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYTLLKTKLSEERVKSIVCDAVEIEREFVCDALPCALVGMNRDLMSQYIEFVADRLLGALGYGKVYGVTNPFDWMELISLQGKTNFFEKRVGDYQKASVMSSVNGNGAFDNHVFSLDEDF >fgenesh2_kg.5__484__AT3G27080.1 pep chromosome:v.1.0:5:3399542:3401132:-1 gene:fgenesh2_kg.5__484__AT3G27080.1 transcript:fgenesh2_kg.5__484__AT3G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM20-3 [Source:UniProtKB/TrEMBL;Acc:D7LLW0] MDTEAEFDRLLLFEQIRKDAEETYKLNPLDADDLTRWGGSLLELAQFHSISDSKQMIQDAITKFEEALLIDPKKDEAVWCIGNAYTSFAFLTPDETEAKYNFDLATKFFQQAVNEQPDNQHYLKSLEMTAKAPQLHADVHKHGLGSQPMGGVEPSAPPSSKAVKNKKSSDAKYDAMGWVILAIGVVTWISFAKANVPVSPPR >fgenesh2_kg.5__485__AT3G27090.1 pep chromosome:v.1.0:5:3404026:3406381:1 gene:fgenesh2_kg.5__485__AT3G27090.1 transcript:fgenesh2_kg.5__485__AT3G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFWQLGDELRGQTRATEDHKWSTVATKLAEQTRMKGERMNNLDLSKGYTEFRPSDKFSFQENNLNFNMLNLDGKFGEGIMGKTSMQSNVYNMNTVFQKNEFKSGGNTKVNKYNGNVVANKEMNNNKHNNSNDNGNMNLAVDKRFKTLPASETLPRNEVLGGYIFVCNNDTMQEDMKRHLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEATTFGGTNIDATAWEDKKCKGESRFPAQVRIRVRKICKALEEDSFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSA >fgenesh2_kg.5__490__AT3G27150.1 pep chromosome:v.1.0:5:3422426:3423999:-1 gene:fgenesh2_kg.5__490__AT3G27150.1 transcript:fgenesh2_kg.5__490__AT3G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LLW5] MLTLGEDHTGRIRASSSLSRPKKLRVRGYEIPDLNVEPTLDWDGEETGEASQTLSSTCLRSQDADYCLLNVPQLVYELEVEILARVPRFEYWKLKLLNKGFSSLLKSDEIFKVRRERGVVEPSVFMLSSGDTRWTMFDKGFENFQKLPELPSDICFLHGDKESLCAGTHLIVTGKEEKSIALWRYELETSKWFKGPAMITPRILFASATCGTVVFVAGGLKIEGNGTMEVVDSVEKYDSKTQTWTLLRGMHKRRKFCSGCYLRGRFYVLGGRDENGQNLTCGESYDEETDTWELIPDILKDMSFSSVQSPPLIAVVGDDLYSLETSANELRVYDAKANAWKKLGDVPVRAKSNGGWGVAFKSLGDKLLVIGASAGPSRAETMSVYMCRPFADPENKLYWEESKRCCGVRLNHFIRNCCVMIA >fgenesh2_kg.5__491__AT3G27160.1 pep chromosome:v.1.0:5:3432335:3433416:1 gene:fgenesh2_kg.5__491__AT3G27160.1 transcript:fgenesh2_kg.5__491__AT3G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFSLTSFISFISPFKSQTKPTPPPNLTLPSPTISQRRRNDLAIESMSVDESSSTASSISSELASVICPSLAYSNTLFFSSGYNVQVFVEDNESEERLVNRFRREVMRTGVIQECKRRRYFENKQDEKKRRTRDAAKRNKKRRPQARFTQETREEAAAAATKSKKKDEEEDNWEMPGGDVPS >fgenesh2_kg.5__492__AT3G27170.1 pep chromosome:v.1.0:5:3450360:3453665:1 gene:fgenesh2_kg.5__492__AT3G27170.1 transcript:fgenesh2_kg.5__492__AT3G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:D7LLW8] MVEEDLNQISGNSNYNGEADPESNTLNQPLVKANRTLSSTPLALVGAKVSHIESLDYEINENDLFKHDWRKRSKAQVLQYVFLKWTLACLVGLFTGLIATLINLAVENIAGYKLLAVGHFLTQERYVTGLMVLAGANLGLTLVASVLCVCFAPTAAGPGIPEIKAYLNGVDTPNMFGATTMIVKIVGSIGAVAAGLDLGKEGPLVHIGSCIASLLGQGGTDNHRIKWRWLRYFNNDRDRRDLITCGSAAGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLREFIEICNSGKCGLFGKGGLIMFDVSHVTYTYHVTDIIPVMLIGVIGGILGSLYNHFLHKVLRLYNLINEKGKIHKVLLSLTVSLFTSVCLYGLPFLAKCKPCDPSIDEICPTNGRSGNFKQFNCPKGYYNDLATLLLTTNDDAVRNLFSSNTPNEFGMGSLWIFFVLYCILGLFTFGIATPSGLFLPIILMGAAYGRMLGAAMGSYTSIDQGLYAVLGAAALMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYDIILHLKGLPFLEANPEPWMRNLSVGELGDAKPPVVTLQGVEKVSKIVDVLKNTTHNAFPVLDEAEVPQVGLPTSATELHGLILRAHLVKVLKKRWFLTEKRRTEEWEVREKFPWDELAEREDNFDDVAIRSAEMEMYVDLHPLTNTTPYTVMENMSVAKALVLFRQVGLRHLLIVPKIQASGMCPVVGILTRQDLRAYNILQAFPLLEKSKGGKAH >fgenesh2_kg.5__495__AT3G27200.1 pep chromosome:v.1.0:5:3506024:3506851:-1 gene:fgenesh2_kg.5__495__AT3G27200.1 transcript:fgenesh2_kg.5__495__AT3G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQAVLVILVFSGLISVKTTLAAQHVIGGSQGWEQSVDFDSWSSDQSFKVGDQIVFKYSGLHSVVELGSETAYKSCDLGTSVNSLSSGNDVVKLSKTGTRYFACGTVGHCEQGMKIKVNVVSSDSKSASSPGSGSDSGSGSGSSSGHGFRASVGYIFVVGSLAIGLFWAY >fgenesh2_kg.5__496__AT3G27210.1 pep chromosome:v.1.0:5:3528629:3530645:-1 gene:fgenesh2_kg.5__496__AT3G27210.1 transcript:fgenesh2_kg.5__496__AT3G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSSLNNSPIRADSMVTPESPMTMKDKKNDNVSIMSPSKKSFESPRKSTSIPAVSPTTANNNLTPVKSRWSFSSSKKSFGSKDETFFDSQPWLQSDSDDDFHSVNGDFTPSLGNTPKSSFSDRPPRFHNLVFHERKSSRGSSSPAPLPRRKKLGELFRDSIREEREESFEGGSSSAISTPYLSGANSGEFNDNAIEKEEKKKSNWQHHRCLPGFSSCGGSFMERRKKMSSETPVVAVK >fgenesh2_kg.5__498__AT3G27240.1 pep chromosome:v.1.0:5:3550593:3553390:-1 gene:fgenesh2_kg.5__498__AT3G27240.1 transcript:fgenesh2_kg.5__498__AT3G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g27240/K17E12_6 [Source:UniProtKB/TrEMBL;Acc:D7LLY4] MVGGGLIQQILRRKLHSQSVATPVLSWFSSKKAHEDAGSSGVRALALFGAGVTGLLSFSTVASADEAEHGLECPDYPWPHDGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEEAKAMAAEIEVVDGPNDEGEMFTRPGKVSDRFPQPYSNESAARFANGGAYPPDLSLITKARHNGPNYVFALLTGYRDPPAGISIREGLHYNPYFPGGAIAMPKMLNDEAVEYEDGVPATEAQMGKDIVSFLAWAAEPEMEERKLMGFKWIFLLSLALLQAAYYRRLKWSVLKSRKLVLDVVN >fgenesh2_kg.5__499__AT3G27250.1 pep chromosome:v.1.0:5:3563483:3564661:1 gene:fgenesh2_kg.5__499__AT3G27250.1 transcript:fgenesh2_kg.5__499__AT3G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDEWLGTLRYAQDKVSVDSLMLRYRPIAPKPATGQSCGVGDNNNNNLYGMSKRTKRKYVRVSKNNKGTCRGKSRSDLSDNREQTEVVTLQLLPEKSDISGEYSPLDQDSLDPSVKTIIGEETQETNMWGTFNGGVTADMETWVTVESVTNVCDGILSSHAVGFTDVEIVDNLGKDTCPAFVSDGSNRVVWVNEAYRRNVSGDDSTSVSPDVVVWLVAEEATAAMHCNYQAFTCRVRMQYTWKETKYTKTVPCDVWKMEFGGFAWRLDTTAALTLWL >fgenesh2_kg.5__49__AT2G01420.1 pep chromosome:v.1.0:5:197583:200616:-1 gene:fgenesh2_kg.5__49__AT2G01420.1 transcript:fgenesh2_kg.5__49__AT2G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:D7LLR0] MITWHDLYTVLTAVVPLYVAMILAYGSVQWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFVAADTLQKIIMLVLLGLWANLTKNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGTYAGSLMVQVVVLQCIIWYTLLLFLFEYRGAKLLIMEQFPETGASIVSFKVESDVVSLDGHDFLETDAEIGNDGKLHVTVRKSNASRRSLMMTPRPSNLTGAEIYSLSSTPRGSNFNHSDFYSVMGFPGGRLSNFGPADLYSVQSSRGPTPRPSNFEENNAVKYGFYPNTNTSSAPAYPAPNPEFSSGTTVSTKPNKTPKENQQQLDKDNKASHDAKELHMFVWSSSASPVSDVFGGGAGEHVATEQSEQGAKEIRMVVSDQPRKSGGDDIGGGDIGEGEREIEKATVGLNKVGSNSTAELEAAGGDGGGGGNGTHMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWALVAYRWHVAMPKILQQSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVAMFAMAVRFITGPAIMAVAGIAIGLHGDLLRIAIVQAALPQGIVPFVFAKEYNVHPTILSTGVIFGMLIALPITLVYYILLGL >fgenesh2_kg.5__500__AT3G27260.1 pep chromosome:v.1.0:5:3574917:3580091:1 gene:fgenesh2_kg.5__500__AT3G27260.1 transcript:fgenesh2_kg.5__500__AT3G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESAAFPGGYYRNTFEAPDESEGSGSSAQIDTEVTASENSSTPARKCIMLNSNDEDPYGVQRQVISLYNMSQSERKDLIYRLKLELEQTKIVLRNAELQRMNPAAVSSTSDRVGFSTAQKLSSRVSNSKKPSDFAMGSGKKVRHQTGSSRGWNRGTSGKFESSKESMTSTTNITLMKQCDTLLKKLWSHPHSWVFQAPVDVVKLNIPDYLTIIKHPMDLGTVKKNLASGVYSSPHEFAADVRLTFTNAMTYNPPGHDVHIMGDILSKLFEARWKTIEKKLPACSMQTLPAITLEPNDERKAAISVPPAKKRKMASPVRESVPEPVKPLMTAEERHRLGRQLESLLDELPAHIIDFLKKHSSNGGEIAEDEIEIDIDVLSDEVLVTLRNLLDEYIQNKETKQSNVEPCEIELINGSGPSNSSLQRGNELADEYVGGNEPPISRSSSDSDSGSSEDQSDDAKPMVQEDYSKMPETGNSEAQRDENTRIDDLFGGSQSTGALEQMDICSQQKPSSDESDIQHEGNILETPVSSEKRYRAALLKNRFADIILKAREKPLPQNGIKGDPERLRKEREELELQKKKEKARLQAEAKAAEDARRQAEAEAAAEAAAEAKRKRELEREAARQALLKMEKTVEINENSRFLEDLEMLSSSAPEQLPSSADETSPEKPLDALGSFNLRGSNPLEQLGLYMKQDDDEEEPEAHALPKPDETSTERPLDALGSFNLGESNPLEQLGLYMKLDDDDEEEPEAPVVPKPDETSPERPLDAFGSFNLKGSSNPLEQLGLYMKQDDDEEEPEAPAVPNLANDVEEGEID >fgenesh2_kg.5__502__AT3G27280.1 pep chromosome:v.1.0:5:3587121:3588836:1 gene:fgenesh2_kg.5__502__AT3G27280.1 transcript:fgenesh2_kg.5__502__AT3G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQAAISLLTNLAKAAFGLGVAATALNSSLYTVDGGERAVLFDRFRGVLDQTVGEGTHFLIPYLQTPHIYDIRTKPHTFSSKSGTKDLQMVNLTLRVLFRPEVSRLPKIYQTLGLEYDEKVLPSIGNEVLKAVVATFNADQLLTERPQVSALVRDALIKRAREFGIELDDIAITHLSYGAEFSRAVEAKQVAQQEAERSKFVVMKADQERRAAVIRAEGESEAAQLISDATAKAGMGLIELRRIEASREVAATLARSPNVAYLPGGQSMLFNLNPGR >fgenesh2_kg.5__503__AT3G27290.1 pep chromosome:v.1.0:5:3592902:3612272:-1 gene:fgenesh2_kg.5__503__AT3G27290.1 transcript:fgenesh2_kg.5__503__AT3G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNSDELRGSYASCGIDYRKVELGFGQFGDDFVGLPDDPFCMNIRSTLNTISDWFHENQMLQHQITLPEDPFCMKVRSTLNTISDWFHENHKDLVTEQFSLSWFDLDDVFDDDDDDDDDDDAGEAHDAFELVLSYLEVKEILAVEGVCRSLRDSVRKEPFFWRTIDLNDSFLQYRVTDESLLKLTRRAQGDVRCLNLGGCVGITDYGLKQVLAINPHLTKLSVSGCLRLSTAGLLSTLRDLKSSNRLGVKSLITGGALYFTKEQFKELNLLLGGDAKAGPKSRKKRFYTSCRSEFSLEDDRVTDLEICPWCEKPSLVFDCPAETCPLKGQYPYPKSSCRACVVCIERCHECGSCLNDCENKPFCFAFSCVVCIEKRSNQL >fgenesh2_kg.5__509__AT3G27320.1 pep chromosome:v.1.0:5:3623382:3630055:1 gene:fgenesh2_kg.5__509__AT3G27320.1 transcript:fgenesh2_kg.5__509__AT3G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGVKLYSVFFKFLLKHRLQNRIQSSGDESSSDPFGVTTRPEESVAAPNPLFTDGVATKDIHIDPLTSLSVRIFLPESALKPLEPSTSAGVYSGKARNLNNLAGSDLLIRRNSLGSSNSLLSHKVESRRSSYGFTTGSSSPEAGSSDVYRGYSPSSSGGNSRKLPVMLQFHGGGWVSGSNDSVANDFFCRRMAKHCDIIVLAVGYRLAPENRYPAAYEDGFKVLKWLGKQANLAECNKSMGNSRRPGGEVKKSEVNKHIVDAFGASLVEPWLATHADPSRCVLLGVSCGANIADYVARKAIEAGQNLDPVKVVAQVLMYPFFIGSVPTQSEIKQANSYFYDKPMCILAWKLFLPKEEFSLDHPAANPLVPGRGPPLKFMPPTLTIVAEHDWMRDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDMLLRTPQAQACAEDIAIWAKKYISLRGHEFSY >fgenesh2_kg.5__513__AT3G27330.1 pep chromosome:v.1.0:5:3701706:3705489:-1 gene:fgenesh2_kg.5__513__AT3G27330.1 transcript:fgenesh2_kg.5__513__AT3G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LM07] MKVRRKLSGGCGGDVVVSWRTFFWFVILFVFSFVLFSTMFIFKGKFRPVVRSTISFSTAVTARAVLGESISSSPAVTIREAVKLPEQTLVFLKYPQSLRLFTKNDLICVFSGSSKLRKVYPTAVDRDKFGGQIVRCPETPRGYTISLAVSRWTTDDHLPAGPTHRWDWLVYDAVIDYDNSTVVFVKGLNLRPGRVADVSRYECVYGWDFAKHNRLIRSDVISAAQEIVRCRTPLAVLDGPKSARGPVKVSVRIKGGTGMLPSIAQPVRIINPPRKKPFQMCVCTMTRNAAAVLREWVMYHAGIGVQRWFIYDNNSDDDIIAEIENLERRGYNISRHFWPWIKTQEAGFSNCAIRAKSDCDWIAFIDVDEFFYIPSGESLTSVIKNYTSSDSIGEIRTPCHSFGPSGLRSRPRDGVTAGYTCRVVLPERHKSIIRPEAMNATLINVVHHFHLRDGFTFADMDKDIMVINHYKYQVWEVFKEKFYRRVATYVADWQNEENVGSRDRAPGLGTRPVEPSDWAERFCEVNDTGLRDQVFEKFKDNKTQRLMWEKAVDDNIQRMVSETPSRVTSRSRDGSNGSKEVSIAREKNGENAEIFISPRFKSAAAMAGWDEEDLIIASFVVEDTPERSSSKRRRRSNLLFKSTPPSGSSRRKQSVKQSLVALPVIDLDEVIRHEEEKSAEKKKKKREMETETQDEKKKIDKDEKNLSEQNPIPVVLPCIDKLRDELSCAICLEICFEPSTTTCGHSFCKKCLRSAADKCGRKCPKCRQLIGNGRYCTVNTVLWNTIQLLFPKEVEAQRAAAAILKGKETPSPRNPNQRLRSRNRETALFQERLQREDISRLLVSEERSERSERRRRSASMRLDQDRDAALALRLQRQEFASAFGATTAETSSSSVSTLSLARANLRAMASRAVRRQG >fgenesh2_kg.5__516__AT3G27350.1 pep chromosome:v.1.0:5:3712780:3714731:1 gene:fgenesh2_kg.5__516__AT3G27350.1 transcript:fgenesh2_kg.5__516__AT3G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAVLVHSYSFAAPITRNDSHEENTIHALSQSISFGKFMTENLEWGKWSSFSHKKYVEEAEKYSRPGSVAQKKAFFEAHYKRIAEAKKAATEEQPTVTPAEVLLHTLETQPPPPPPPVIKCGEEGRERNSFQIDDHDVTDELENVMFGDDDEEKKKVEEELLKEDWSVGEKEKQQRKSITKNRPVFRLSLEKTIPPKSLDEISLTEKRSERPITQIEEKPVHRQKFGLLSCFISNAKHQDQNQSRDKRKTEKKKQFLCLCLKPKTVRE >fgenesh2_kg.5__517__AT3G27360.1 pep chromosome:v.1.0:5:3716708:3717359:-1 gene:fgenesh2_kg.5__517__AT3G27360.1 transcript:fgenesh2_kg.5__517__AT3G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:D7KB17] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >fgenesh2_kg.5__520__AT3G27390.1 pep chromosome:v.1.0:5:3720453:3723877:-1 gene:fgenesh2_kg.5__520__AT3G27390.1 transcript:fgenesh2_kg.5__520__AT3G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQGFRATLFQFLLFLPYFIGLLFLGFIKGIVLCPLVCLVVTIGNSAVILSLLPVHIVWTFYSIVSAKQVGPILKIFLCLCLPAAIILWPIVGILGSVLGGALYGFLSPIFATFDAVGEGKPYQFFHCFYDGTWSTIQRSFTVVRDFKDVCFHSYFSLMDELKQSCPDRKYYEIRLLQLPGALVVSVLGVLVDLPVISLVAICKSPYMLFKGWHRLFHDLIGREGPFLETMCVPIAGLAILLWPLAVTGAVIGSVISSIFLGAYAGVVSYQESSFYYGLCFIVASVSIYDEYSTDILDLPEGSCFPRPKYRREEEEPTPFSGPIPRLGSVKNASSMRAGSVRVPMIDIKPLDLLNELFVECRRFGEILATKGLINSKDIEEARSSKGSQVISVGLPAYGLLHEILRSVKANSSGLLLSDGVTEITVMNRPKDVFFDWFLHPFLILKEQMKATNLSEEEEEYLGRLVLLFGDPERLKSSNAISASPPLTERKRAELDAFARRMQGLTKTVSRYPTFRRHFVALVKKLSEDLDLKDNNSAKGESITEPPAPVKIISRIFSQRSFRRKGSVNGSDQESQKGVSRNVDIV >fgenesh2_kg.5__523__AT3G27415.1 pep chromosome:v.1.0:5:3742272:3743221:1 gene:fgenesh2_kg.5__523__AT3G27415.1 transcript:fgenesh2_kg.5__523__AT3G27415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNHATAAITEPEIEMTSDEALAVGDEASGPSLAPVPSSDDGDMSGVGAGGEEAVGASDELVGPSEESPELESGLEAGVLADDDFGGFAEDGEGLGVPVEFFLAMVGAAVGGEVGAEAVGGDFGDAAAAGEGEVEFFSPLAFGAFADVGGSAANTAVTANAATARDRSLSVTVILS >fgenesh2_kg.5__535__AT3G27530.1 pep chromosome:v.1.0:5:3894465:3900976:-1 gene:fgenesh2_kg.5__535__AT3G27530.1 transcript:fgenesh2_kg.5__535__AT3G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASRYKGVVGMVFGDNQSSNEDSYIQRLLDRISNGTLPDDRRTAIVELQSVVAESNAAQLAFGAAGFPVIMGILKDQRDDVEMVRGALETLLGALTPIDHARVQKTEVHAALMNSDLLSREAENITLLLSLLEEEDFYVRYYTLQILTALLMNSQNRLQEAILTTPRGITRLMDMLMDREVIRNEALLLLTHLTREAEEIQKIVVFEGAFEKIFSIIKEEGGSDGDVVVQDCLELLNNLLRSSSSNQILLRETMGFEPIISILKLRGITYKYTKQKTVNLLSALETINMLIMGGADTEPGKDSNKLANRTVLVQKKLLDHLLMLGVESQWAPVAVRCMTFKCIGDLIDGHPKNRDILASKVLGEDRQVEPALNSILRIILQTSNIQEFVAADYVFKTFCEKNPEGQTMLASTLIPQPHPTARDPLEDDVHMSFGSMLLRGLCSGEADGDLETCCRAASILFHVVKDNLQCKEKALKIVLESPMPSMGTPEPLLQRIVRYLAVASSMKGKDKSSTLGKSYIQQIILKLLVTWTVDCPAAVQCFLDSRHHLKFLLELVTDPAATVCIRGLASILLGECVIYNKSIENGKDAFAVVDAVGQKMGLTSYFSKFEEMQNSFIFSTSKKPQQGYKPLTRTATPSEAEINEVDGADEMVRGNEDHPMLLSLFDASFIGLVKSLEGNIRERIVEVYSRPKSEVAVVPADLEQKSGEIEKDYINRLKAFIEKQCSEIQNLLARNAALAEDVASSGRNEQSQGSEQRASTVMDKVQMESIRRELQETSQRLETVKAEKAKIESEASTYKNMAAKLESDLKSLSDAYNSLEQANYHLEQEVKSLKGGEGPMEFPDIEAIKEEVRKEAQKESEDELNDLLVCLGQEESKVEKLSAKLIELGVDVDKLLEDIGEESEAQAESEED >fgenesh2_kg.5__536__AT3G27540.1 pep chromosome:v.1.0:5:3905423:3907670:1 gene:fgenesh2_kg.5__536__AT3G27540.1 transcript:fgenesh2_kg.5__536__AT3G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7LPH9] MSDGYNNSKKTDDICEDVCGQGSKAAKTISRLKCVLKGFDLRTYLFLFVLMPFGILAIYLHGQKFTYFFRPLWESPPKPFQTIPHYYNENVTMEALCSLHGWGTRESPRRVFDAVLFSNEKDLLTVRWKELYPYVTQFVILESNSTFTGLPKPLVFNSNKDQFKFVEPRLTYGTIGGRFRKGENPFVEEAYQRVALDQLLRIAGIEEDDLLIMSDVDEIPSAHTINLLRWCDDIPPVLHLQLKNYLYSFEYYVDSKSWRASIHRYSPGKTRYAHFRQSNVMLADSGWHCSFCFRNISEFIFKMKAYSHSDRVRFSHYLNPRRIQDVICKGTDLFDMLPEEYTFKEIIGKMGPVPRSYSAVHLPSYLLDNAEQYKYLLPGNCIREKQTGFI >fgenesh2_kg.5__537__AT3G27550.1 pep chromosome:v.1.0:5:3908117:3910310:-1 gene:fgenesh2_kg.5__537__AT3G27550.1 transcript:fgenesh2_kg.5__537__AT3G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVFKGWYRAMSTSRGRSMRSKVESRMRKESGKTLREIRRAKKLKKKLMTDEERLIYNLKRAKKKVALLLQKLKKYDLPELPSPVHDPELFTSEQVQAFKKIGFKNKNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMASMIARLSGGVVINIHNVKTIIMFRGRNYRQPKNLIPVNTLTKRKALFKARFEQALESQKLNIKKTEQQLRRMGVNPEDPVAMASIQRVASTFFNAIDKKEGTPYVFHGDKQSERGASVDNREESEPGDEDSDQEELDRFIAEIEEAADKEWEEEEAAEQEEAGRIRYWNREEFAGRSRTPELRSYGDASHGFRRNERDPRSQTRPKDSDEDDDGELDSEDDEIPKRFDRARSSNTNTRRPREDFKRRSPDPRLRPQVRSDDDVLSDLDNTMWDSEDEEDAPPANYISSSDDDEDENRTISASSSKQPRFRNNSSRDGINNSKTKSGKQTDEDWDSD >fgenesh2_kg.5__538__AT3G27560.1 pep chromosome:v.1.0:5:3910961:3913787:-1 gene:fgenesh2_kg.5__538__AT3G27560.1 transcript:fgenesh2_kg.5__538__AT3G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASGFYSNEDFELDPKWLVDPRHLFVGPKIGEGAHAKVYEGKYRNQTVAIKIIKRGESPEEIAKRDNRFAREIAMLSKVQHKNLVKFIGACKEPMMVIVTELLLGGTLRKYLVSLRPKRLDIRLAVGFALDIARAMECLHSHGIIHRDLKPENLILSADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVLWELILNKLPFEGMSNLQAAYAAAFKNLRPSAEDLPGDLEMIVTSCWKEDPNERPNFTEIIQMLLRYLTTVSSAPQIIPPPNRRVFSSENIVLSPESPGTCSLMSVRDGDVSRQTVNTADSSEKQTKGSFFSCCS >fgenesh2_kg.5__539__AT3G27570.1 pep chromosome:v.1.0:5:3919834:3922135:-1 gene:fgenesh2_kg.5__539__AT3G27570.1 transcript:fgenesh2_kg.5__539__AT3G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g27570/MMJ24_12 [Source:UniProtKB/TrEMBL;Acc:D7LPI2] MAEEVAENVNAVPVSEDELYGFKRPEMYSGTLAGSVGPYGRHVFLCYKSHETWLPRVETEGLPQRFAKSFKDRKADFGVETKLTVCGGGESDGDVLIFPEMVRYKAIQDTDVDAFVEDVLVKGKPWTSGVQEELSGSFVFVCAHGSRDKRCGVCGPVLMEKFQQEIGSRGLSEKIVVLPCSHIGGHKYAGNLIVFSPDSAGNVSGHWYGYVTPDDVPAMLDQHIAKGEIIQNLSRGGMRLRPEGEEAEKEDEHKIPNGNSVVVEAREPVEQKGFTGGCCQGANGVSCCQEQTPEPVKKEESMKLNWLRTIGKEEVLLGAAAVSAVATIAVAYSIYRRSG >fgenesh2_kg.5__542__AT3G27610.1 pep chromosome:v.1.0:5:3933567:3936293:-1 gene:fgenesh2_kg.5__542__AT3G27610.1 transcript:fgenesh2_kg.5__542__AT3G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAIRTIVESIHSSPTQAVVYLSGGASQSLGWLMSVPGASNTLLEAVVPYSMISMVQLLGRVPNQHCSQAMANEMALLAYNRALKLSKPGCEVLGVGFTGTLATSRPKRGDHRFFLSIRASDRIWETSVTLTKGKRSREEEDKVASSVLIQAMAKACRVSETLDSGLTESEVLNESETRFSEEEELEQLIDGQLCSKIYPFSKAESYGSDKDRKIILPGSFNPLHEGHLKLLEAALSVSEGGYPCFEISAVNADKPSLTVAEIKDRVKQFEVLEKTVIVSNQPFFYKKAELFPGSSFVIGADTAARLVNPKYYEGSHKRMLEVLGDCKRTGCKFLVGGRNVDGEFKVLDNIDIPDEISSMFTSIPAETFRMDISSTELRKNRGDVIS >fgenesh2_kg.5__545__AT3G27650.1 pep chromosome:v.1.0:5:3958710:3960881:1 gene:fgenesh2_kg.5__545__AT3G27650.1 transcript:fgenesh2_kg.5__545__AT3G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 25 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LPI9] RETRSNLFKKLSSRGPFLVTPFKGDIQEKMSNYTNSPCAACKFLRRKCTSDCVFAPYFPPEEPTKFANVHRIFGASNVSKILHEVAPHQREDAVNSLAYEAEARLNDPVYGCVGAISVLQRQVLRLQRELEETNADLMRYASCLGSETTSAYGGRRG >fgenesh2_kg.5__546__AT3G27660.1 pep chromosome:v.1.0:5:3979440:3980456:1 gene:fgenesh2_kg.5__546__AT3G27660.1 transcript:fgenesh2_kg.5__546__AT3G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:D7LPJ0] MANVDRDRRVHVDRTDKRVHLQPSYEDDVGFGGYGAGSDFKSRGPSTNQILALIAGVPIGGTLLTLAGLTLAGSVIGLLVSIPLFLLFSPVIVPAALTIGLAVTGILASGLFGLTGLSSVSWVLNYLRGTSDTVPEQLDYAKRRMADAVGYAGMKGKEMGQYVQDKAHEARETEFTTETHEPGKARRLIS >fgenesh2_kg.5__547__AT3G27670.1 pep chromosome:v.1.0:5:3981661:3991018:1 gene:fgenesh2_kg.5__547__AT3G27670.1 transcript:fgenesh2_kg.5__547__AT3G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYATLLEKTRVAQPSIQRFAVISVFSKLRSAPEQLGSESEAGREAISFCLTSESVTVVDQSVRELCRLVSDSVLDLSRGLLELQSALEGCDSKLVSLFVKGLGFLIRIGYERNSGNWKFNSTENHPFVRILSSRAETQTELLHQVSLFVMHNRRLGMVGVCEFLEPFLNFTILRIPLADSSSSLFARELISSMASLCCSSRKEALPIFRLLLRCLKYIPGKNLEDNRNFSCIVKTLVDAYTVVVRDLVGTGLEVTEVHLLGVQLVDGVLFLCASPHVQTTEQESVIESSKHLLAVQKDLGLPYSRDLSLVVLSLVFMLAKSSVEHEQLSILKFLLFLLKWKTESENLSVKDAAGSSVESLLLFPTIALMSSPSKSIKVAASKVLSIVENVLVTVSNAPKIEVHKSKGDSPLSRVGSVVFRVMQQLWHQNDYAPSTPSFLRVAYINGSEKQETYPGPVTWNSLLREHAERFWDRKKLSASFCLSQEIPILLGAVAGVMVMHPSLGADAVSSLTIIGGIDPKMCVPLLLAVLYYSNLLSRTNVPCQSLLSKLLGLLPSLAAQQVMIPLVVQTITPMLHKDAKGLLYATAIRLLCQTWVVNDRAFSSLQEVLRPKGFIDYISERHICISMAASIHDVCKRHPDRGVDLILSVQACIENQDYPVRALGFQSLSHLCEADVIDFYTAWGVIKKHAQHIKLDPLLAYSVCLLLKWGAMDAEAYPEDAENVLNILWEIGSSMQMPHDSQWTKARVSAIVALGQYEVSFMEKKFSDFNKNCTYLLFSEINAEILNALEDLSIKIMIHEHSVRRRYVREKKVPGSKIEKLLDVIPQVIFPAGKEIKTGELPGAALLCLSYNPRDVKFGSSRSFRDGHGQYEEAFRVVVKSLQLSRNISLALISLQSLKAFMRRWMRANILSIDATTKELSSDKISKAANNIMKSLVHMAEEALPRCAENIALALGALCAALPAAAHNTKATASKFLLSWLLEHEHEHRQWTAGISLGLISSSLHVTDHKQKFQNISGLLEVLCSSKSTLVKGACGVGLGFSCQDLLTRTEASASSDIDSDSYRNQEERLLGRIVRLLSSILHRFLHTPCDILESLSALFPPGKEDNVIGLPQLLDDSSDDFDDDTWGIAGLIIGLGMSVGAIYRAGKKDAVVKIKNLIVSWIPYADSLKQTPGSNSKVSVRLFSVGSCLALPIVITFCQKVELFDAHEVDHLIGCFKDLISELLIVRKSGALRKRLLMASCIGAGDLLGSVLNEGIHPVKIESVKGLLELFKTCYSGLYPPVAHFGGMLGVVNVLGAGAGNLVYFHPLPRAPPASSEENEISYVSGPLLSNPYFTQQLTPVVQEIFLIAQNTKDRQLQHYAAWAISILRNYMRSGEASSLSNENQSDDSHRNSISHNVPEHTMVMKLAQGLTNPSFPLAGSPLNIGTMESALRCLSHAPRLPNLDWGATIRRLMKQETQTDVSQSGDVPKERTLREECFKFSLAHASEFDELLAFLDELSELARFKALEQSLQSCLLCHLGDLMRIFSGSRMNKLFDDVSCFVISLSSDQVYSCEQKSSLRVSFWKGLSQCLEETSLESSEYITKIEKCIELLFAVLPVASQSPGADQMGSVHEWSEAVRCLQKSPRDWLYKFLQVSNLEPRNRETDFKGDLKKIQAKAKLARLGSIPFSELGKLKAIILNCEQSDIWDVLIEIVAALHHAEGGIKRQWLIDAVEISCISSHPSTAIIFVGLLSSICCEYMPFLTLDRSTVLCDMSVTVTSLLSDPIYEVVTEPVISFLWTSLERIYSFATESDANARISSQQIAQSERDRASMLMKVMHYICVAFRDHLPLEKQLRLASMDIP >fgenesh2_kg.5__548__AT3G27690.1 pep chromosome:v.1.0:5:4001433:4002760:1 gene:fgenesh2_kg.5__548__AT3G27690.1 transcript:fgenesh2_kg.5__548__AT3G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7LPJ4] MATSAIQYSSFTGQTALKPSNDLLRKVGASNGGGRVIMRRTVKSTPQSIWYGPDRPKYLGPFSENTPSYLTGEYPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCTFPEILSKNGVKFGEAVWFKAGSQIFSEGGLDYLGSPNLIHAQSILAIWACQVVLMGFIEGYRIGGGPLGEGLDPLYPGGAFDPLNLAEDPEAFSELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHIADPVANNAWAYATNFVPGK >fgenesh2_kg.5__552__AT3G27720.1 pep chromosome:v.1.0:5:4033342:4035048:1 gene:fgenesh2_kg.5__552__AT3G27720.1 transcript:fgenesh2_kg.5__552__AT3G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEYMSFEEEEDDYCCSSDDHDDEEYNNAEESVLQQPREPTSQKEVMVRVMELLSVKENQARTLLIHYQWNVDKLFSVYIDQGKDLLFSCAGLTVFDPSLVKSKRKKKKKKEKTRKRKKKEKKGEEETRKRKKAKKTMKCDICMEEDLSKYATTRIEQLVSPELAEKFDRFLVESYVEDNNMVKWCPSTPHCGNAIRKIKDDSDDEVECSCGLQFCFSCLSESHSPCSCLMWKLWKKKCVDESETVNWMTVNTKLCPKCSKPIQKRDGCNHMTCKCGQFFCWLCGQATGAEHSFRSIAGHSCGRYKDEKVRQMERAKRDLDRYTHYHYRYKVHTDSLKLEDKLRKSILKKALLNSETKDQEEFKEYSWVTDAVNRLFRSRRILSYSYPFAFYMFGEELFKDEMSDEERDIKKNLFEDQQQQLEGNIEKLSKILEEPFDEYGHEEVIIMKSQLIKMCAMVDTLCKKMYECIENDLLGPLESGIHNIAPYRSMGIEQAAKFSASSACSSSGSSSN >fgenesh2_kg.5__554__AT5G48400.2 pep chromosome:v.1.0:5:4044610:4047600:-1 gene:fgenesh2_kg.5__554__AT5G48400.2 transcript:fgenesh2_kg.5__554__AT5G48400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7LPJ9] MEGFCIQNSVLLSFLLVLHLLLISNCFASSQNRDVDERVLIRVRVGLILDLGSVEGKIVRSSVSMALSDFYVINSHYKTRVSFSVKNSHGEPLLALGSAIDLLQTEGVEAIIGGNSLLETKLLAELGEKARVPVISLNSPISCSLSRYSHLIQATHDSASEAKGITALINGFDWNSVALVYEDHDDWRETMYFMVEHLHENNVRVQSKIGFTVSSSEDFVTDRLQKLKELGTTVFVVHLSEVIATHLFPCAEKLGLMGEGFAWILTAKSMGTFHESIDDFAKEAMEGVVGFKSYVTMSKELQNFTLRWRRSLPVEETEITRLSISGVWAHDIAWGLARAAEVIRMPNVSSNFLEAITESRFKGLSGDFQLHDKKLLSNKFEIVNMIGSGERRVGFWNSNGSFSNRRQLSSTHDKLETIIWPGGSAKSPKGSSLRESGRQKLRVLVTSSNRFPRLMKVETDSVTNDITNVEGFCIEVFQASIAPFNYEVEYIRWRNGSNYDNLAYALHSQKDKYDVAVGDITITSNRSTYVDFTLPFTEMGLGIVAATERSMWVFFQPLTPDLWLTSAAFFVLTGIIVWLIERHENTEFQGSWSQQIGVVLWFGFSTLVYAHRERLKHNLSRFVVTVWVFAVLILVTSYTATLTSMMTVQQIRFNTNEDYVGYLSGSLITNTALTNSSLRAMRLLGLNTSEDYAQALLNKSVSYIVSELPYLKVLLGEYPSHFLMVKTQSTTNGFGFMFQKGSELVPNVSREIAKLRTSERLSEMERRWFDKQLPYTTDDTSNPITLYRFRGLFMITGVSFAFALAVLLILWLRERWKILVNSVNIYLSQRLRHFMILFARTIHPIPLDNPIGQNVVQMAHRNRR >fgenesh2_kg.5__556__AT3G27740.1 pep chromosome:v.1.0:5:4048289:4050905:-1 gene:fgenesh2_kg.5__556__AT3G27740.1 transcript:fgenesh2_kg.5__556__AT3G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATRTLGFVLPTSLSSQPSFDRRGGGFRVSVIRCSTSPLTFPTSGVVEKPWTSYNARLVLEDGSIWPAKSFGAPGTRIAELVFNTSLTGYQEILTDPSYAGQFVLMTNPQIGNTGVNPDDEESGQCFLTGLVIRNLSISTSNWRCTKTLADYLTERNIMGVYDLDTRAITRRLREDGSLIGVLTTEQSKTDDELLQMSRSWDIVGIDLISDVSCKSPYEWVDKTNPEWDFNTNSRDGKSYKVIAYDFGIKQNILRRLSSYGCQITVVPSTWPASEALKMNPDGILFSNGPGDPSAVPYAVETVKELLGKVPVYGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNNRTGQVEISAQNHNYAVDPASLPGGVEVTHVNLNDGSCAGLSFPAMNVMSLQYHPEASPGPHDSDNAFREFIELMKRSKQSS >fgenesh2_kg.5__560__AT3G27785.1 pep chromosome:v.1.0:5:4059856:4062126:-1 gene:fgenesh2_kg.5__560__AT3G27785.1 transcript:fgenesh2_kg.5__560__AT3G27785.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB118 [Source:UniProtKB/TrEMBL;Acc:D7LPK3] MEFESVIKMHYPYLAAVMYDDSLALKDFHPSLTDDFSCVHNVHHKPSIPHTNEIPSKETIRGITPSPCTEAFEAYFHGTSNDQGFFGMAYTTSPTIDESNVSHVPHDNTMWENDQSQGSVFGTESTFNLAMVDSKPILSANEDTIMNRRQNNQVMIRTEQILKKNKRLQMRRICKPAKKASIIKGQWTPEEDKLLVQLVELHGTKKWSQIAKMLQGRVGKQCRERWHNHLRPDIKKDVWTEEEDMILIKAHKEIGNRWAEIARNLPGRTENTIKNHWNATKRRQHSRRTKGKDEISLALGSNTLQNYIRSVTYNEDTFMTANSNANIGPKNMRDKGKNVMVAVSKYEEDECKYIVDGVMNLGLDNGRIKMPSLAAVSAASGSASTSGSASGSGSGVTMELDEPMTDSYMVMHGCDEVMMNEIALLEMIAHGRL >fgenesh2_kg.5__564__AT3G27830.1 pep chromosome:v.1.0:5:4102602:4103364:1 gene:fgenesh2_kg.5__564__AT3G27830.1 transcript:fgenesh2_kg.5__564__AT3G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLSIATTIRSSSYPTLASTNHFPSRTTTIEFPSRFGGASSSTLTHRATHLRPIAAVEAPEKIEKIGSEISSLTLEEARILVDYLQDKFGVSPLSLAPAAAAVAAPADGGAAAVVEEQTEFDVVINEVPSSSRIAVIKAVRALTSLALKEAKELIEGLPKKFKEGVTKDEAEEAKKTLEE >fgenesh2_kg.5__565__AT3G27835.1 pep chromosome:v.1.0:5:4106616:4106792:-1 gene:fgenesh2_kg.5__565__AT3G27835.1 transcript:fgenesh2_kg.5__565__AT3G27835.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LPK7] ELHAKSMVENKDAHKWYIVEGLCSKYPDCNKHCKENKFPLGGKCLKFGVNMMCTCIST >fgenesh2_kg.5__566__AT3G27840.1 pep chromosome:v.1.0:5:4135201:4135773:1 gene:fgenesh2_kg.5__566__AT3G27840.1 transcript:fgenesh2_kg.5__566__AT3G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12-B [Source:UniProtKB/TrEMBL;Acc:D7LPL2] MAATTLSIATTIRSSGLASTHHFPSRPVAIEFPFSFGVSSSSSTLSHRAIYLHPISAVKAPKKIKKIGSEISSLTLEEARILVDYVQDKFGVSILFSAPAAAAFPPPPDNGGAAAAVERQTKFDVVINDVLIGNRIAVIKAIRAMTSLCLNESKELTEGFPKKFKEGVTKDEAEEAKKQLEEAGAKVSIV >fgenesh2_kg.5__567__AT3G27850.1 pep chromosome:v.1.0:5:4137671:4138503:1 gene:fgenesh2_kg.5__567__AT3G27850.1 transcript:fgenesh2_kg.5__567__AT3G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12-C [Source:UniProtKB/TrEMBL;Acc:D7LPL3] MASTTLSIATTIRSSSPLTSATTHHFPSKPTAIEFPFRLSSSSHRAINLRPIAAVEAPEKIEKIGSEISSLTLEEARILVDYLQDKFGVSPLSLAPAAAAVAAPSDGGAAAVVEEQTEFDVVINEVPSSSRIAVIKAVRALTSLALKEAKELIEGLPKKFKEGVTKDEAEEAKKTLEEAGAKVSIA >fgenesh2_kg.5__568__AT3G27860.1 pep chromosome:v.1.0:5:4138947:4140932:1 gene:fgenesh2_kg.5__568__AT3G27860.1 transcript:fgenesh2_kg.5__568__AT3G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILPTGSRVSENDGSDDDQLKENDVKMENVNEDDSEQIEDYVMSEVSSLRDNFEEQEELENGFHVGDFVWGKEVNSQQWWPGQIYDSLDASDLALKTMQKGKLLVAYFGDGKFCWCNPLELKPFLENFKEFSKMSESKRFLSAVEEAVREIGEHVEQFLVCDDAALVSSVALNSGIKEGVVVPDVRREIISSLVLENPGVVLEDVKRLAKTVSFSDLLEIEVLKRKISAFYRCKGRFDLAKFDEHQYIIGLEHKEDESCQRSLRKCTGFAMKKRKCGDVATTGSTTLRRRRLSEVSKIEHAEEEISNGKSLSSRKRKSKMGLDENDDDGIEKREESNDSNHLEESEKKDGSDIEIDVDLATPLASICKRLKVDVTSSVKRSNGNGETILQTGKRERKKSKYLSPEYMTDFSCRARKSKIESAESSQIRVAERITTEKAIDFVKLGVTSEEMLDLIRAAALNAQYPKDYNTSCDMVREFVSTYRSFNNKRNISDVEKQPEVVDEKEQTRNEPNEKQFSGVELYIKTGFGSTLPSKDDLIKIYEKFGALDKERSYIFDNNSCARVAFFNASDGEQAFNKSLEKCPFATTSTVTFKLKYPSSASPENLNGKTEIECLKEKLEEIKSLLEQSEGKITEELKMKLEDKSRNLLDKVRKMIIGSS >fgenesh2_kg.5__569__AT3G27870.1 pep chromosome:v.1.0:5:4144489:4148911:1 gene:fgenesh2_kg.5__569__AT3G27870.1 transcript:fgenesh2_kg.5__569__AT3G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7LPL6] MAGERRKGMKFSKLYSFKCFKPFSREDHSQIGSRGYSRVVFCNDPDNPEALQLNYRGNYVSTTKYTAANFIPKSLFEQFRRVANIYFLVVAFVSFSPLAPYTAPSVLAPLLIVIGATMVKEGVEDLRRRKQDVEANNRKVEVLGKTGTYVETKWKNLRVGDLVKVHKDEYFPADLLLLSSSYEDGICYVETMNLDGETNLKLKHALEITSDEESIKNFRAVIKCEDPNEHLYSFVGTLYFEGKQYPLSPQQILLRDSKLKNTDYVHGVVVFTGHDTKVMQNATDPPSKRSKIEKKMDQIIYILFSILIVIAFTGSVFFGIVTRRDMSDDGKLRRWYLRPDQTTVFYDPRRAVAAAFFHFLTALMLYGYLIPISLYVSIEVVKVLQSIFINQDQEMYHEETDRPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSIAGTAYGRGMTEVEMALRKKKGMVPQEEVGDDSLSIKEQKSVKGFNFWDERIVDGQWINQPNAELIQKFFRVLAICHTAIPDVNNDTGEITYEAESPDEAAFVIASRELGFEFFSRSQTSISLHEIDHMTVYELLHVLEFSSSRKRMSVIVRNPENRLLLLSKGADSVMFERLAKHGRQNERETKEHIKKYAEAGLRTLVITYREIDEDEYRVWEEEFLNAKTLVTEDRDTLIDAAADKIEKDLILLGSTAVEDKLQKGVPDCIEKLSQAGVKIWVLTGDKTETAINIGYACSLLREGMKKILITLDSSDIEALEKQGDKEAVAKLREGMTQTAAVTDDSVKENPEMFGLVIDGKSLTFALDSKLEKEFLELAIRCNSVICCRSSPKQKALVTRLVKNGTGRTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRIALMICYFFYKNLTFGFTLFWYEAYASFSGKPAYNDWYMSCYNVFFTSLPVIALGVFDQDVSARLCLKYPLLYQEGVQNVLFSWERILGWMLNGIISSMIIFFLTINTMAAQAFRKDGQVVDYSVLGVTMYSSVVWMVNCQMAISINYFTWIQHCFIWGSIGVWYLFLVVYGSLPPTFSTTAFQVFVETSAPSPICWLILFLVVFSALLPYFAYRAFQIKFRPMYHDIIVEQRRTERIETAPSAVLGELPVQVEFTLHHLRANLSRRDSWN >fgenesh2_kg.5__570__AT3G27880.1 pep chromosome:v.1.0:5:4158669:4159841:1 gene:fgenesh2_kg.5__570__AT3G27880.1 transcript:fgenesh2_kg.5__570__AT3G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDDESSPYTASFSPSFSTYSGDRLVEIAERVCYKEKSDDEFEFPMTTESSSGDDRLVFPVFNKNLISETKSSAVEEAVTIPLKDLFLRERNDQPPQQTYSSSSDEDEEDDEFDSIPSEIYCPWTPARSTAEMSPSGGCRKSKSTGSSSTSSWSTKRWRLRDFLKRSKSDGKQSLKFLNSRNSINRDDESSSKKKEKVSVSPHEKFYLRNKAIKEEDKRKSYLPYKQDLVGLFSNINRFGSIR >fgenesh2_kg.5__571__AT3G27890.1 pep chromosome:v.1.0:5:4164948:4166317:1 gene:fgenesh2_kg.5__571__AT3G27890.1 transcript:fgenesh2_kg.5__571__AT3G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTAIKPLIRVAALSGSLRKTSFNTGLLRAAIDLTKESVPGIQIEYIDISPLPLINADLEVNGTYPPVVEAFRQKILEADSILFASPEYNFSVSAPLKNALDWASRAPNVWADKPAAVISTGGGFGGGRSQYHLRQIGVFLDLHFINKPEFTLNAFQPPQKFDAEGNLVDEAAKERLKQVLLSLQAFTLRLQGK >fgenesh2_kg.5__573__AT3G27925.1 pep chromosome:v.1.0:5:4193184:4195423:-1 gene:fgenesh2_kg.5__573__AT3G27925.1 transcript:fgenesh2_kg.5__573__AT3G27925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSCSLLLSSTLFLHSPPSSHLSFFNLSSSRSSPISLYPIRSKRYFRILSKLPLNDSNNDDDTLLLPPFSAVKPFFLLCTSVALSFSLFAASPSSAFVVSTPRKLQTDELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKQGHIVTNYHVIRGASDLRVTLADQTTFDAKVVGFDQDKDVAVLRIDAPKNKLRPIPIGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGTLIGINTAIYSPSGASSGVGFSIPVDTVGGIVDQLVRFGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPPSGPAGKAGLQSTKRDGYGRLILGDIITSVNGTKVSNGSDLYRILDQCKVGDEVTVEVLRGDHKEKISVILEPKPDES >fgenesh2_kg.5__574__AT3G27930.1 pep chromosome:v.1.0:5:4197940:4200833:-1 gene:fgenesh2_kg.5__574__AT3G27930.1 transcript:fgenesh2_kg.5__574__AT3G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALVKKEPPPPVVLVPPLFDFPPLSARTRMLESSYNLLFGKLALKCLFEDYFEEANNFSAKFLLKPIDDPHVDLVASVSGAVDGRADGDFVGNAEFRWQSDVDDPHTFVDLSVSTSNPVLQMRSSAYYPKYGIGAFAVYPLISKKTGNLSEEYRIMGLRYGSTNLSVGATVTPFTANNELPKHAWLVSKMGRFTVGVQYEPLYGSEDLAKYTDLRNWSCAAGYGVGSQSPLSPSFNIGIELARSSQFIATFYQHIVVQRRVKNPFEENQVVGITNYIDLGFELQSRVDDSTTPHNAPDSLLQMAASWQANKNFLLKGKVGAHSSTLSLAFKSWWKPSFAFNISATTNHRTGYVECGFGLRVDNIREASYQRADPNFVMLTPNKEHLAEGIVWKMGKRPMYQADVDAENFSELPKELRPSQKIL >fgenesh2_kg.5__575__AT3G27950.1 pep chromosome:v.1.0:5:4218240:4220278:1 gene:fgenesh2_kg.5__575__AT3G27950.1 transcript:fgenesh2_kg.5__575__AT3G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKITLGIIVLLLGLIDKLSAVSSSCNFPAVFNFGDSNSDTGAISAAIGEVPPPNGVAFFGRSAGRHSDGRLIIDFITENLTLPYLTPYLDSVGANYRHGANFATGGSCIRPTLSCFSQFHLGTQVSQFIHFKTRTLSLYNQTNDFSKALYTLDIGQNDLAIGFQNMTEEQLKATIPAIIENFTIALKLLYKEGARFFSIHNTGPTGCLPYLLKAFPATPRDPYGCLKPLNNVAIEFNKQLKNKINELKKELPSSFFTYVDVYSAKYNLITKAKTLGFVDPFDYCCVGAIGRGMGCGKTIFPNGTELYSSSCENRKNFISWDGIHYTETANMLVANRILDGSISDPPLPTQKGCKLTKK >fgenesh2_kg.5__576__AT3G27960.1 pep chromosome:v.1.0:5:4222229:4224889:-1 gene:fgenesh2_kg.5__576__AT3G27960.1 transcript:fgenesh2_kg.5__576__AT3G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGESNERGKDDSALLASPRSPLSSIDLAIDGAMNASIEQLYHNVCEMESSDDQSPSRASFISYGAESRIDLELRHLVGDVGGEGERKKEIILEKKEESIGGGSLSQKKPVESLSNGKKVAKTKILGSRTSSRKSPDLGKVSVDEESPELGVLLLKQARELVSSGENLNKALDLALRAVKVFGKCGEGEKQFGLNLVMSLHILAAIYAGLARYNDAVPVLERSIEIPMIEDGEDHALAKFAGCMQLGDMYGLMGQVENSILLYTAGLEIQRQVLGESDARVGETCRYLAEAHVQAMQFEEASRLCQMALDIHKENGAATTASIEEAADRKLMGLICDAKGDYEVALEHYVLASMAMSSQNHREDVASVDCSIGDAYMSLARFDEAIFAYQKALAVFKQAKGETHSSVASVYVRLADLYNKIGKIRDSKSYCENALKIYLKPTPGTPMEEVATGFIEISAIYQSLNELDQSLKLLKRALKIYANAPGQQNTIAGIEAQMGVISYMMGNYPESYNIFKSAISKFRNSGEKKTALFGIALNQMGLACVQRYAINEAADLFEEAKTILEKEYGPYHPDTLAVYSNLAGTYDAMGRLDDAIEILEYVVGTREEKLGTANPEVEDEKQRLAALLKEAGRGRSKRNRALLTLLDKNPEIANGQRPVY >fgenesh2_kg.5__578__AT3G28007.1 pep chromosome:v.1.0:5:4259213:4260683:-1 gene:fgenesh2_kg.5__578__AT3G28007.1 transcript:fgenesh2_kg.5__578__AT3G28007.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:D7LPN4] MVNATVARNIAGICGNVISLFLFLSPIPTFITIYKKQKVEEYKADPYLATVLNCALWVFYGLPMVKPDSLLVITINGTGLAIEMVYLVIFFFFSPTSRKVKVGLWLIGEMLFVGIVATCTLLLFHTHNQRSSFVGIFCVIFVSLMYIAPLTIMSKVIKTKSVKYMPFSLSLANFLNGAVWVIYALIKFDLFILIGNGLGTVSGAVQLILYACYYKTTPKDDEDEEDHEENLSKANSQLQLSGNNGQAKRVSA >fgenesh2_kg.5__57__AT2G01470.1 pep chromosome:v.1.0:5:237258:239731:-1 gene:fgenesh2_kg.5__57__AT2G01470.1 transcript:fgenesh2_kg.5__57__AT2G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSTETNQPSNMQTYGVPIYAVDWIPEVAVRSKIIMDPEKSEDDDESLSSSSSSSSSRSCIVLSGGGGEGRSGIPNVILICRVDLNTNSLSEQPLGRLVVGTDLPYRMAVHPREGGLICALPNSCRLFHWEDIMSREDNQVGEESEEVVKELKDVGQQLALAFDPEGSVLATGAEDGTLRVFEWPSMKTLLNESKAHSSVKSLTFSESGKFLVSLDGPLCRVWDVNGSAAVASLSKEKDEMFASCRFSVDTTGNEVLYIVANTERGGSIITYDSKLWKRKWSKPIKKNSISAFNVSADGKLLAIGTLEGDVLILESTRMQTMQVVKKAHLGLVTALTFSPDSRCLVSVSFDSRARLTMIEQKGDKPGIRWWLLVLLVVLLYVVAYYYMKAKGIIP >fgenesh2_kg.5__581__AT3G28040.1 pep chromosome:v.1.0:5:4297895:4301236:1 gene:fgenesh2_kg.5__581__AT3G28040.1 transcript:fgenesh2_kg.5__581__AT3G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKRRNMISFTLFLTLTTMLSLINADIDSIQLNDDVLGLIVFKSDLNDPFSHLQSWNEDDNTPCSWSYVKCNPKTSRVTELSLNGLALTGKINRGIQKLQRLKVLSLSNNNFTGNINALSTNNNLQKLDLSHNNLSGQIPSSLGSISSLQHLDLTGNSFSGTLSDDFFNNCSSLRYLSLSHNHLEGQIPSTLFQCSVLNSLNLSRNRFSGSFVSGFWRLERLRALDLSSNSLSGSIPLGILSLHNLKELQLQRNQFSGSLPSDIGLCPHLNRVDLSFNLFSGELPRTLQKLRSLNHFDLSKNLLSGDFPAWIGDMTGLVHLDFSSNELTGELPSLIGNLRSLKDLILSENKISGEIPESLESCQELMIVQLKGNGFSGSIPDGLFDLGLQEMDFSGNGFTGSIPRGSSRLFESLKRLDLSRNNLTGSIPGEVGLFINMRYLNLSWNHFNTRVPPEIEFLQNLIVLDLRYSALIGSVPADICESQSLQILQLDGNSLTGSIPEGIGNCSSLKLLSLSHNNLTGPIPKSLSNLQELKILKLEANKLSGEIPKELGELQNLLLVNVSFNRLIGRLPVGGVFQSLDQSAIQGNLGICSPLLRGPCTLNVPKPLVIDPNSYGHGNNMPGNRGSSGSGKFHHRMFLSVSVIVAISAAILIFSGVIIITLLNASVRRRLAFVDNALESIFSGSSKSGRSLMMGKLVLLNSRTSRSSSSSQEFERNPDSLLNKASRIGEGVFGTVYKAPLGEQGRNLAVKKLVPSPILQNLEDFDREVRILAKAKHPNLVSIKGYFWTPELHLLVSEYIPNGNLQSKLHEREPSTPPLSWDVRYRIILGTAKGLAYLHHTFRPATIHFNLKPTNILLDEKNNPKISDFGLSRLLTTQDGNTMNNNRFQNALGYVAPELECQNLRVNEKCDVYGFGVLILELVTGRRPVEYGEDSFVILSDHVRVMLEQGNVLECIDPVMEEQYSEDEVLPVLKLALVCTSQIPSNRPTMAEIVQILQVINSPVPHQIMDSF >fgenesh2_kg.5__582__AT3G28050.1 pep chromosome:v.1.0:5:4309117:4311804:1 gene:fgenesh2_kg.5__582__AT3G28050.1 transcript:fgenesh2_kg.5__582__AT3G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7LPP0] MARKYFQREVLPVTALVIMECANVGLNTLFKAATLKGMSFHVFIVYSYGLAALLLLPSLFCSFRSRTLPPMNFSILYKIVLLGIIGCCSNIMGYTGINYSSPTLASAISNLTPAFTFLLAVVFRMESVSFKRTSSVAKMLGTVVSIGGAFIVTLYNGPVVIATSPPSVSLRSQSTNPNWILGAAFLSVEYFCVPLWYIVQTQIMREYPAEFTVVCFYSIGVSFWTALVTLFTEGSDLGAWKIKPNIALVSIVCSGLFGSCINNTIHTWALRIKGPLFVAMFKPLSIAIAVAMGVIFLRDSLYIGSLIGAMVITIGFYTVMWGKAKEVALVEDDNKANHEEANEADLDSPSSSLKAPLLESYKNDEHV >fgenesh2_kg.5__585__AT3G28080.1 pep chromosome:v.1.0:5:4315693:4318225:1 gene:fgenesh2_kg.5__585__AT3G28080.1 transcript:fgenesh2_kg.5__585__AT3G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7LPP2] MAGAVSLWRREAMFLTAMLAVETSVVGISTLFKVATSKGLNIYPFLGYSYLLASLLLLPSLFFTNRSRSLPSLSVSILCKIGLLGFLGSMYVITGYIGIEYSSPTLASAINNITPALTFILAVIFRMEKVSFKERSSVAKVMGTILSLIGALVVIFYRGPRVSVASSPPYLNFRQHSPPLSSSNSDWLIGGALLTIQGIFVSVSFILQAHIMSEYPAAFRVSFLYTVCVSIVTSTIGLVAEKNNPSVWIIHFDITLITIVTMAIITSVYYVIHSWTVRHKGPLYLAIFKPLSILIAVVMGGIFLNDSLYLGCLIGGILISLGFYAVMWGKANEEKDQLLSFSGKEKTPLLLSGENDQI >fgenesh2_kg.5__58__AT2G01480.1 pep chromosome:v.1.0:5:245104:249354:1 gene:fgenesh2_kg.5__58__AT2G01480.1 transcript:fgenesh2_kg.5__58__AT2G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKNRLPGSGHTTPSPPASPRRSPRYRHGRSKTAAGSRFPTVQPSRTLAHRLSWILLSVLLRRQGIFLFAPLIYISCMLLYMGTVSFDVVPIIQRRPPPGSVYKSPQVYAKLRPEMDADNSTADAITTIWKHSYKGGEWKPYVNKSTGDLPESNGFIYVEANGGLNQQRTSICNAVAVAGYLNATLVIPNFHYHSIWRDPSKFGDIYDEEFFVSTLANDVRVVDTIPEYLMERFDYNMTNVYNFRVKAWSPIQYYRDSILPKLLEEKIIRISPFANRLSFDAPQAVQRLRCLANYEALKFSKPILTLGETLVKRMKEQSANHGAKYVSVHLRFEEDMVAFSCCIFDGGNQEKQDMIAARERGWKGKFTKPGRVIRPGAIRQNGKCPLTPLEVGLMLRGMGFNKSTYIYLASGDIYDANRTMAPLLEMFPIYKLRRCLHHRRNLLHIRNFSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLFGGHSKTIRPDKRKLAILFDNPNIGWRSFKRQMLNMRSHSDSKGFELKRPNDSIYTFPCPDCMSRRNKTTTPDSRPSPVT >fgenesh2_kg.5__591__AT3G28140.1 pep chromosome:v.1.0:5:4329682:4330481:1 gene:fgenesh2_kg.5__591__AT3G28140.1 transcript:fgenesh2_kg.5__591__AT3G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein [Source:UniProtKB/TrEMBL;Acc:D7LPP6] MSSQGYAIELYFDPSLENQVLKAWNVFARRQISTKLINNESRPHITLFSSSFIDSTKLEPILKSFSSKQEPISLSFSSIGSFSNDNNALFLSPTPSLSLLQLQVQLCEVIKKEGFEIGEEYRVDSWVPFCPVAVDVPKSRISEGFLVLRDLKLPVNGYAMDIGLVKFSPVREVFSFGLGNNLES >fgenesh2_kg.5__594__AT3G28180.1 pep chromosome:v.1.0:5:4361981:4365628:1 gene:fgenesh2_kg.5__594__AT3G28180.1 transcript:fgenesh2_kg.5__594__AT3G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSVAVTMEKPDNFSLLEINGSDPSAFPDKRKSISPKQFSWFLLLKAHRVVSCLSWLVSSVKKRIAFSAKNINEEEDPKSRGKQMYRFIKACLVISIIALSIEIVAHYKKWNLDLINRPSWEVYGLVEWSYMAWLSFRSDYIAPLVISLSRFCTVLFLIQSLDRLVLCFGCFWIKYKKIEPKLKDESIDLEDPSSFPMVLVQIPMCNEREVYEQSIGAASQLDWPKDRILIQVLDDSDDPNLQLLIKEEVSVWAEKGVNIIYRHRLIRTGYKAGNLKSAMTCDYVKDYEFVTIFDADFTPNPDFLMKTVPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIYLNDVEVTCELPESYEAYKKQQHRWHSGPMQLFRLCLPSIIKSKISVGKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFIPEAELPLWIICYVPIFISLLNILPSPKSFPFLVPYLLFENTMSITKFNAMISGLFQFGSAYEWVVTKKTGRSSESDLLAFAEKEEKLHRRNSESGLELLSKLKEQETNLVGQETVKKSLGGLMRPKNKKKTNMVFKKELGLAFLLLTAAARSFLSAHGLHFYFLLFQGLSFLVVGLDLIGEQIS >fgenesh2_kg.5__595__AT3G28200.1 pep chromosome:v.1.0:5:4380754:4381812:1 gene:fgenesh2_kg.5__595__AT3G28200.1 transcript:fgenesh2_kg.5__595__AT3G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LPQ2] MASLKSLSLLFLFFLTAQSRLTTNFYSKSCPRFLDIVRDTITNKQITNPTTAAAVIRLFFHDCFPNGCDASVLLSSTAFNTAERDSSINLSLPGDGFDVIVRAKTALELACPNTVSCSDIISVATRDLLITVGGPYYDVFLGRRDSRTSKSSLLTDLLPLPSTPISKIIQQFESKGFNVQEMVALSGAHSIGFSHCKEFVGRVGRNNTGYNPRFAVALKKACVNYPKDPTISVFNDIMTPNKFDNMYYQNLKKGLGLLESDHGLYSDPRTRTFVDLYAKNQDLFFKDFAKAMQKLSLYGIKTGRRGEIRRRCDAIN >fgenesh2_kg.5__596__AT3G28220.1 pep chromosome:v.1.0:5:4405932:4407759:1 gene:fgenesh2_kg.5__596__AT3G28220.1 transcript:fgenesh2_kg.5__596__AT3G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKGLIKKEETMFQEEKRKTNYGAICLVCFFCFFFAFQFMKLVTTQPSTTTSTISVIDSPISSHKLSDRRELWRVSPPSTYCLKIESFRKFATSPNAEKYESRPFQSGGYNWTLIVYPKGNVKEGAPGDWVSMYVQIDNSTLLNSPKEVYAEVKFFIYNRKEDKYFTYQETDAKRFFLFKPYWGYGNVRSYGDVANPDAGWLFDGDNVLFGVDVFVTEVFNKWEVFSFTKSLHNRLYKWTLTNFSLLEKEYYVSDKFVIGGRSWALKVYPSGDGEGQGNSLSLYVVAVDIKPYDKIYLKAKLRIINQRDSKHVEKKVESWSDQANSWGFQKFVPFADLKDTSKGLLVNDTLKIEIEFEDFSNTKYFPS >fgenesh2_kg.5__598__AT3G28230.1 pep chromosome:v.1.0:5:4408721:4409706:1 gene:fgenesh2_kg.5__598__AT3G28230.1 transcript:fgenesh2_kg.5__598__AT3G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDNAREEKKIKGEKRKHQNDQVDMEMLKLREALEGKLRSNDSTVPKSDKAQKRQKSEDSEDEFYKQVKQKQEAKRAAKAEIYSRKPHLIPSSPERVVGKRLISNQIASNRGLTRKRNKDHKNPRKNYRDKHKKKVINHRGQVRLIRTQTGPYAGETRGINPNTSRSIRIKN >fgenesh2_kg.5__599__AT3G33035.1 pep chromosome:v.1.0:5:4424935:4428408:1 gene:fgenesh2_kg.5__599__AT3G33035.1 transcript:fgenesh2_kg.5__599__AT3G33035.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPR0] ILLLSLMLLLMLLLSLLMLLIFLLMLLLPMVIFLLQQKSEVEISDMLHLYGFQR >fgenesh2_kg.5__59__AT2G01490.1 pep chromosome:v.1.0:5:249630:252149:1 gene:fgenesh2_kg.5__59__AT2G01490.1 transcript:fgenesh2_kg.5__59__AT2G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITGSLTPDQLQFFHSQGYLVIESFANEDEIRGLRKRMDELLNQFDCSVSSSIFSTKNQKHTTDNYFFESAEKISFFFEEKAFGDDGKLKQPKELSINKVGHALHELDPLYREFTYSSKFSSLVSSLGYKRPVVMQSMYIFKQPGIGGEVVPHQDNSFVYTDPQSCTGLWIALEDSTTVNGCLWAIPGSHKNGLVRRFIRGENGITFDQPSPSYEQKDFVSIEMKAGSLIAIHGDLIHQSFENLSSKSRHAYSLHVVESDGCKWAKDNWIQREKMPEPLYVLP >fgenesh2_kg.5__5__AT2G01070.1 pep chromosome:v.1.0:5:82874:85294:1 gene:fgenesh2_kg.5__5__AT2G01070.1 transcript:fgenesh2_kg.5__5__AT2G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDLRKLIHLSLLLCPLLLSFHFKIAGASAHIYPSQPFHDVGNSLLLYGGSEGIFASPRSFIRFENITLWRTITDKGHRSNGLVQAVIFEASDRNNIGGSAYGGQRSICCTPDLAKLQGCKQREIIRIPSAHDPRWPILLPLRFKGKRLSAKMEDTEILITKTGIYNLLFISCDPQLKGLTMTGKTVWKNPDGYLPGRMAPLMNFYVFMSLAYLLLSAVWFFQYLRFRMDILPLQHCITAVILLGLLEMLFWYLDYANFNNTGMRPLALTTWVVTIGAFRKTVSRILILCVSMGFGVVKSTLGGLTSKVLLVGVTYFIASEMLDIAEHVGIIDDMSGRSKLFLVLPDAFLDAFLILWIFTSLSKTLEQLQMKRTSVKLEIYRKFSNALAVMVVASVAWIVYEVYFKATDPFNERWQTAWTITAFWDVIAFLLLCIICYLWTPSQNSQRYAYSGDVDEENEEAQSLTGGKQDGDISLVKQEKNAESDREEDVEEDKRE >fgenesh2_kg.5__602__AT3G28345.1 pep chromosome:v.1.0:5:4556295:4561376:-1 gene:fgenesh2_kg.5__602__AT3G28345.1 transcript:fgenesh2_kg.5__602__AT3G28345.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7LPS8] MVKEEEKESGRNKMKSFGSVRSIFMHADGVDWLLMSLGLIGAVGDGFTTPLVLLITSKLMNNLGGSSFNTDTFMQSISKNSVALLYVACGSWVVCFLEGYCWTRTGERQTARMREKYLRAVLRQDVGYFDLHVTSTSDVITSVSSDSFVIQDVLSEKLPNFLMSASTFVGSYIVGFILLWRLAIVGLPFIVLLVIPGLMYGRALISISRKIREEYNEAGFVAEQAISSVRTVYAFSGERKTISKFSTALQGSVKLGIKQGLAKGITIGSNGITFAMWGFMSWYGSRMVMYHGAQGGTVFAVTAAIAIGGVSLGGGLSNLKYFFEAASVGERIMEVINRVPKIDSDNPDGHKLEKIRGEVEFKNVKFVYPSRLETSIFDDFCLRVPSGKTVALVGGSGSGKSTVISLLQRFYDPLAGEILIDGVSIDKLQVKWLRSQMGLVSQEPALFATTIKENILFGKEDASMDDVVEAAKASNAHNFISQLPNGYETQVRERGVQMSGGQKQRIAIARAIIKSPTILLLDEATSALDSESERVVQEALENASIGRTTILIAHRLSTIRNADVISVVKNGHIVETGSHDELMENLDGQYATLVHLQQIEKQDINVSVQMGPISDPSKDIRSSSRVSTLSRSSSANSVTGPSIVKNLSEDNKPQLPSFKRLLAMNLPEWKQALYGCISATLFGAIQPAYAYSLGSMVSVYFLTSHDEIKEKTRIYALSFVGLAVLSFLINISQHYNFAYMGEYLTKRIRERMLSKVLTFEVGWFDRDENSSGAICSRLAKDANVVRSLVGDRMALLVQTVSAVTIAFTMGLVIAWRLALVMIAVQPVIIVCFYTRRVLLKSMSKKAIKAQDESSKLAAEAVSNVRTITAFSSQERIMKMLEKAQESPRRESIRQSWFAGFGLAMSQSLTSCTWALDFWYGGRLIQDGYITAKALFETFMILVSTGRVIADAGSMTTDLAKGSDAVGSVFAVLDRYTSIDPEDPDGYETERITGQVEFVDVHFSYPTRPDVIIFKNFSIKIEEGKSTAIVGPSGSGKSTIIGLIERFYDPLKGIVKIDGRDIRSYHLRSLRQHIALVSQEPTLFAGTIRENIIYGASDKIDEAEIIEAAKAANAHDFITSLTDGYDTYCGDRGVQLSGGQKQRIAIARAVLKNPSVLLLDEATSALDSQSERVVQDALERVMVGRTSVVIAHRLSTIQNCDAIAVLDKGKLVERGTHSSLLSKGPTGIYFSLVSLQTTSG >fgenesh2_kg.5__603__AT4G05505.1 pep chromosome:v.1.0:5:4592470:4594569:-1 gene:fgenesh2_kg.5__603__AT4G05505.1 transcript:fgenesh2_kg.5__603__AT4G05505.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LPT1] SWWKRQERKGIEDSPQNRPTSSQPVGVESKTLNRRPRGLPSQYEFTPANRQAPLQDSEQEPIAQPPTGPTIRDYPPPTQLFQSGGSVHRLASNQSPAPVQREAYNQSPAPVQREASNQSPAPVQPEASNPPPRQASNPPPRASVSHHSSQAQNSHAEEDEDEEAEADYERESTLPEDSLATLHELLLRPGREKYTTVISPTFEPETYW >fgenesh2_kg.5__606__AT3G28370.1 pep chromosome:v.1.0:5:4597615:4599967:1 gene:fgenesh2_kg.5__606__AT3G28370.1 transcript:fgenesh2_kg.5__606__AT3G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LPT2] MAGIDTQKQLLSLIRDFTSERSRGEQRVVGLKKRIEILQSEIEAANSEVENAKRIKEVAEEELNGYEVELSLNDATIQSLEARISLLQDEVSTVGSEVDALKNKEGFLRDQFISQMEELNKEIREFQKTVDSTFGNDDSTGITPNVKAFEDSSGADSEAIKGMLSDVSSQLAKEEEGYLAEKKIQEQLQKELDDYEKKMSLMEAITDKTNSVQVLARYPLIPLADIRFTWGGAAKEMPMPTLPGRKFGSLELTSSGRSRYGGVLDKSQS >fgenesh2_kg.5__609__AT3G28420.1 pep chromosome:v.1.0:5:4623052:4623813:-1 gene:fgenesh2_kg.5__609__AT3G28420.1 transcript:fgenesh2_kg.5__609__AT3G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKRDIRVEKKEEENMCTSLLTAVVVLSMACLKHFSSVSYLIEQWRSLVFLLLNVVLLAVYFTSTRPNPGGTHDFKTRRGGRLRMMREKKNKKTRMVVEPACSDQDFVVVEPMEVIKKCVLVEETRRVCPEFKETVKDCLFHKKEVDFKGEEDEFEPGRLSNEELNERVEAFITTFRQHLVLDAQRGRDRETDEKMRSKDSDISFLGREVTCSV >fgenesh2_kg.5__60__AT2G01500.1 pep chromosome:v.1.0:5:252909:254964:-1 gene:fgenesh2_kg.5__60__AT2G01500.1 transcript:fgenesh2_kg.5__60__AT2G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOS9/PFS2 [Source:UniProtKB/TrEMBL;Acc:D7LLR9] MGYISNNNLINYLPLSTTQPPLLLPHYCDINGNDHHQLITASSGELDDDRKNNIPATATSRWNPTPEQITMLEELYMSGTRTPTTEHIQQIASKLRKYGRIEGKNVFYWFQNHKARERLKRRRCEGGALNKPHKDVKDSSSGGYRVDQTKSCPSLPHTNPQPQHELDPASNNKDNNAKNEDHGTAEESVRASEDGKDATWRNLVTWSITQEPAGEINIDQNVNGEGQEETREIRTLDLFPVRENHEETGRFTEKTKANQVFCNYCYYYEFMPLKN >fgenesh2_kg.5__611__AT3G28450.1 pep chromosome:v.1.0:5:4650680:4652823:1 gene:fgenesh2_kg.5__611__AT3G28450.1 transcript:fgenesh2_kg.5__611__AT3G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEDDIRCLRGLKTSLTDPQNALKSWNFDNTTLGFLCNFVGVSCWNNQENRVINLELRDMGLSGKIPDSLQYCASLQKLDLSSNRLSGNIPKELCNWLPFLVSLDLSNNELNGEIPPDLAKCSFVNSLVLSDNRLSGQIPVQFSALGRLGRFSVANNDLSGRIPVFFSSPSYSSDDFKGNKGLCGRPLSSSCGGLSKKNLAIIIAAGVFGAAASMLLAFGIWWYYHLKWTRRRRSGLTEVGVSGLAKRLRSHKLTQVSLFQKPLVKVKLGDLMAATNNFSSGNIIVSTRTGTTYKALLPDGSALAVKHLSACKLGEREFRYEMNQLWELRHPNLAPLLGYCVVEEDKLLVYKYMSNGTLHSLLDSNGVELDWSTRFRIGLGAARGLAWLHHGCRPPILHQNICSSVILIDEDFDARIIDSGLARLMVPSDNNESSFMTGDLGEFGYVAPEYSTTMLASLKGDVYGLGVVLLELATGLKALGREGFKGSLVDWVKQLESSGRIAETFDENIRGKGHEEEILKFVEIACNCVSSRPKERWSMFQAYQSLKAIAEKQGYSFSEQDDDFPLIFDTQENEAM >fgenesh2_kg.5__612__AT3G28455.1 pep chromosome:v.1.0:5:4653381:4654624:-1 gene:fgenesh2_kg.5__612__AT3G28455.1 transcript:fgenesh2_kg.5__612__AT3G28455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGIRALVGGVVSLGLIVFLLVGILANSAPSVPSTEHLKTLRFSGKDANLFHVSKRKVPNGPDPIHNRKTETSRRPPRV >fgenesh2_kg.5__614__AT3G28470.1 pep chromosome:v.1.0:5:4657944:4659157:-1 gene:fgenesh2_kg.5__614__AT3G28470.1 transcript:fgenesh2_kg.5__614__AT3G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKSNVKKGLWTEEEDAKILAYVAIHGVGNWSLIPKKAGLNRCGKSCRLRWTNYLRPDLKHDSFSPQEEELIIECHRIIGSRWSSIARKLPGRTDNDVKNHWNTKLKKKLMKMGIDPVTHKPVSQVLSEFRNISGHGNASFKTEPSNNSILTQSNSAWEMMRNTTTNHESYYNSSMMFTNSASSEFQTTPFHFSGHPSNLLNGTTSSCSSSSSSASITQPNQVPQTPVTNFYWSDFLLSDPVPQVVGSSATSDLTFAQNEHHFNNQAEYISQNIDSNASVTCHSASSFVDEILDKDQEMLSQFPQLLNDFDY >fgenesh2_kg.5__616__AT3G28480.1 pep chromosome:v.1.0:5:4659477:4661843:-1 gene:fgenesh2_kg.5__616__AT3G28480.1 transcript:fgenesh2_kg.5__616__AT3G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7LPU5] MDSRNFLAFSLCFLFILSKISSAPNRFLTRSSNNRDGSVIKMKTSASSFGFDPTRVTQLSWTPRAFLYKGFLSDEECDHFIKLAKGKLEKSMVADNDSGESVESEVRTSSGMFLSKRQDDIVANVEAKLAAWTFIPEENGESMQILHYENGQKYEPHFDYFHDQANLELGGHRIATVLMYLSNVEKGGETVFPMWKGKTTQLKDDSWTECAKQGYAVKPRKGDALLFFNLHPNATTDSNSLHGSCPVVEGEKWSATRWIHVRSFDRAFSKQSGCVDENVSCEKWAKAGECQKNPTYMVGSDKDHGYCRKSCNVCSS >fgenesh2_kg.5__617__AT3G28500.1 pep chromosome:v.1.0:5:4669458:4670004:1 gene:fgenesh2_kg.5__617__AT3G28500.1 transcript:fgenesh2_kg.5__617__AT3G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVISAFLLAKLGGNENPTSNDLKKILESVGAEIDETKIDLLFSLIKDHDVTELIAAGREKMAALSSGGPAVAMVAGGGGGGGGASAAEPVAESKKKVEEVKDESSDDAGMMGLFD >fgenesh2_kg.5__622__AT1G10588.2 pep chromosome:v.1.0:5:4693210:4693854:1 gene:fgenesh2_kg.5__622__AT1G10588.2 transcript:fgenesh2_kg.5__622__AT1G10588.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLVVVQFFIISLLLTSSFFVLSSADSSCGGKCDVRCSKADRQHEECLKDCNICCQKCNCVPSGTYGNKDECPCYRDLKNSKGESKCP >fgenesh2_kg.5__629__AT3G28630.1 pep chromosome:v.1.0:5:4814698:4816712:1 gene:fgenesh2_kg.5__629__AT3G28630.1 transcript:fgenesh2_kg.5__629__AT3G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFKKAETVRLRSYHDKYLLAEEDEDSVSQDRDGRSMNARWTVEIVEVTNVIRLKSCFGKYLTASNIPMFLGMTGKKVTQTLPRRLDSSTEWEPVREGVQVRLKTRYGQYLRANGGLPPWRNSITHDIPHRSTTQDWVLWDIDILESRKKKAPPVAEPAYTPLPPPPPPPELLQERKDDHQEPHSPKGFSLRSPRFSKSESDDSVSSPVKADGRLIYYRIGDEDGNVDETTKEELFCFKGMGLEELKEKLKEETGLSDISICSKNPLNGKLYPLRLHLPPNNTKMHVVLIPSPSKGDAASTS >fgenesh2_kg.5__631__AT3G28660.1 pep chromosome:v.1.0:5:4817234:4818930:-1 gene:fgenesh2_kg.5__631__AT3G28660.1 transcript:fgenesh2_kg.5__631__AT3G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LM18] MVKEEDIAPSYLTFYFLIVACFKACLFSVGKQIHCWVVKNGVFLSDGHVQTGILRIYVEDKVLLDAHKVFDEIPKPDVVKWDVLMNGYVRCGLGSEGLEVFREMLVRGVEPDEFSVTTALTACAQVGALAQGKWIHEFVKKKRWIESDVFVGTALVDMYAKCGCIEMAVEVFEKLSRRNVFSWAALIGGYAAYGYAKKAMTCLDRMEREDGIKPDSVVLLGVLAACAHGGFLQEGRAMLGNMEARYGITPKHEHYSCIVDLMCRAGRLDDALDLIEKMPMKPLASVWGALLNGCRTHKNVELGELAVKNLLDLEKGNAEEEEAALVQLSNIYFSVQRNPEASKVRGMIEQRGIRKTPGWSVLEVDGNVTKFVSGDVSHPNLLQIHTVIHLLSVDALQIL >fgenesh2_kg.5__637__AT3G28710.1 pep chromosome:v.1.0:5:4878688:4881506:-1 gene:fgenesh2_kg.5__637__AT3G28710.1 transcript:fgenesh2_kg.5__637__AT3G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit [Source:UniProtKB/TrEMBL;Acc:D7LM29] MYGFEALTFNIHGGYLEAIVRGHRAGLLTTADYNNLCQCENLDDIKMHLSATKYGSYLQNEPSPLHTTTIVEKCTLKLVDDYKHMLCQATEPMSTFLEYIRYGHMIDNVVLIVTGTLHERDVQELIEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECLTSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTREDRKKLYSNFGLLYPYGHEELAICEDIDQVRGVMEKYPPYQAIFSKMSYGESQMLDKAFYEEEVRRLCLAFEQQFHYAVFFAYMRLREQEIRNLMWISECVAQNQKSRIHDSVVYMF >fgenesh2_kg.5__639__AT3G28715.1 pep chromosome:v.1.0:5:4890419:4893129:1 gene:fgenesh2_kg.5__639__AT3G28715.1 transcript:fgenesh2_kg.5__639__AT3G28715.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit [Source:UniProtKB/TrEMBL;Acc:D7LM29] MYGFEALTFNIHGGYLEAIVRGHRAGLLTTADYNNLCQCENLDDIKMHLSATKYGSYLQNEPSPLHTTTIVEKCTLKLVDDYKHMLCQATEPMSTFLEYIRYGHMIDNVVLIVTGTLHERDVQELIEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECLTSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTREDRKKLYSNFGLLYPYGHEELAICEDIDQVRGVMEKYPPYQAIFSKMSYGESQMLDKAFYEEEVRRLCLAFEQQFHYAVFFAYMRLREQEIRNLMWISECVAQNQKSRIHDSVVYMF >fgenesh2_kg.5__63__AT2G01540.1 pep chromosome:v.1.0:5:267182:274274:-1 gene:fgenesh2_kg.5__63__AT2G01540.1 transcript:fgenesh2_kg.5__63__AT2G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LLS3] MDQKPLGLLTIHIKRGINLAIRDHRSSDPYIVLTVADQTLKTRVVKRNCNPVWNEEMTVAIKDPNVPIRLTVFDWDKFTGDDKMGDANIDIQPYLEALKMGMELLRLPNGCAIKRVQPSRHNCLSDESSIVWNNGKITQDMILRLNNVECGEIEIMLEWHEGAGCRGITSSSTKGGSSST >fgenesh2_kg.5__641__AT3G28740.1 pep chromosome:v.1.0:5:4911483:4913769:-1 gene:fgenesh2_kg.5__641__AT3G28740.1 transcript:fgenesh2_kg.5__641__AT3G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 family protein [Source:UniProtKB/TrEMBL;Acc:D7LM35] METIYLILCLFFFLFFSLELLFRPRRPKLNLPPSPTRPFLVIGHLHLLKLPLHRRFLSLSKSLNNAKIFSLRLGSRLVFVVSSHAVAEECFTKNDVVLANRPEFLVGKHIAYNSTTMVGASYGDSWRNLRRIGTIEIFSSLRLNSFLSIRKDEIRRLIICLSKNSQHEFVKVEMRPLFMGLTINNIIRMVAGKRFYGDGTEDDTEARHVRQLIAEVVVSGGAGNAADYFPILRYITNYEKHVKKLAVRVDEFLQSLVNEKREEKVKGNTMIDHLLSLQETQPDYYTDVIIKGIILVMILAGTDTSAGTLEWAMANLLNHPEVLRKAKTEIDDQIGVDRLIEEQDIVKLPYLQNIVSETLRLYPVAPMLLPHLASEDCMVAGYDVPRGTIILVNAWAIHRDPKLWEEPEKFKPERFEKEGEDKKLISFGIGRRSCPGSGLAQRLVTLALGSLVQCFEWERVGEKFVDMRESERGTTMRKATSLQAMCKTRPIVHKVLDASCP >fgenesh2_kg.5__642__AT3G28750.1 pep chromosome:v.1.0:5:4934877:4936240:-1 gene:fgenesh2_kg.5__642__AT3G28750.1 transcript:fgenesh2_kg.5__642__AT3G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARISLLFSLALVLAIGSVLAHPPTDKKIQKTLCPSTLAKVQAFPYTEISNFVQKKVQFAPKTIAFKTLFAVCKGYTEFLGAFKFSGSKDVFHVVHVKFALMTRAMVAAQAHIGVEGDLAVKLGKSYTVMAERFVKLVEKMAAVSAKYKFNANAEISVSERAEIEKCVSKLKSAIRSYVKVISQCSTKFSAGKNIGFPTYPGGFLGGIAKQGLGYADKLVGAHGQVGAGVEIGAKAKVGAHAKGKVGVERFLKYGPIVQPKGKVGVQSEAKVGGQFDAAGRAKVGAHVKGKVGAQGEAKVGGNNGGYWEGFQKYLGKGQFDAAGKAHVGGGSGFRPLSNPHKKHLD >fgenesh2_kg.5__645__AT3G28830.1 pep chromosome:v.1.0:5:4981836:4983889:1 gene:fgenesh2_kg.5__645__AT3G28830.1 transcript:fgenesh2_kg.5__645__AT3G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARISLGICLMLVVASSVIYEAQGTFLLKLYLKKNFPRKCNEFTPFANKGMMTLVTDLEGSSPATAEFKTFFTQFKSYMSFIETTSASTKNVDAEMTTKCDALFKAMSALSAGKGEKSAEAGGMKATLLSMGKTLVEQKKNTKIMTLKQKKELVISMVKWTKMVATFVKSASEKKGKSINIASYGLDVDVNDSSIVGGAASSESSTKSGAVSTSGSVSTKTKESSSGSSSSGSVDTKSKESSGGSAATKSKESSGGSAAGANFKDTTGKNSGSPSGSPKASPSGSVGGKSSSKESASAQGGASSQGSASAQGIVNGARAFSKNKETKTSSQRQSKSSSESSSSSTTTTTVKQVESETSKEVMSFITQLEKKYAAKSELKVFFESLKSSMQASASVGSKTAKDYVSASKAATGKLSEAMATVSSKNVKSAKMKSNLDTSKDELMKCVKQIQDINSKLVSGKTVSSTQQSELKQTITKWEKVTTQFVETAASSSSSSSSSSSSSSAASQQQGNAGMVKTN >fgenesh2_kg.5__646__AT3G28840.1 pep chromosome:v.1.0:5:4991257:4992773:1 gene:fgenesh2_kg.5__646__AT3G28840.1 transcript:fgenesh2_kg.5__646__AT3G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISLALGLLLLVALSEVYEVQGTFLFRHYLRKFPRRSRDFRPFACKGMLKFVDVLEVRCPLKPQYKSFFGNLRSYMNFINSASGSKNFDAELKGKAQGLLSAMSAMSGKGGASADSSKVMDTLLSMGKTLGNQQQSGSTVMSFGQRKEMIMSMVKWAQTIGQFVASAAAKSGNKIDISSLGIDGIDANAAAGAGDSTATGTGSSGATTGGSTTAGSDTAAGGTTAAGAGTAAGGYTATGSGTAAGTGTTTGAGTAAGSGTAAGGYTTTNSGTAAGAGTAAGGTTAAGPGTAAGGTTAAGAGTAAGGTTAAGAGTAAGGTTAAGSVSGSYGSSGGSASNTNVGTNSCTTNACSNGGGASFKGAMNFQGKASSRSQEAASTQTSDGSS >fgenesh2_kg.5__647__AT3G28850.1 pep chromosome:v.1.0:5:5010712:5012182:1 gene:fgenesh2_kg.5__647__AT3G28850.1 transcript:fgenesh2_kg.5__647__AT3G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7LM49] MGCASSKHRKRCNHCRRGYSPPMDVPRSHSVHHASQNSEDSCHMVALSSSSLGSLKLCDSSFGHNHKHLADFSEKLVSEESGKTGNGFGPNVVKEKSDKEKSNLELQAKLMEAKVWSSMMNEKIPKIVPKTPIITPPGEPETINTWEMMDGLEDVLSPLRSPNHVKSFSFDIARNGDCERGKSNGNVKPVWLQMEEEEEGFEDFDPEIISSFRKSLQELPSDHPFHISNRDFELKPRFNFSDEEKEEALDEARKSVGKEKVILYFTSLRGIRKTYEESCDVRIILKSLGIRVDERDVSMHSGFKGELKELLGEKFNNGVGITLPRVFLGRKYIGGAEEIRKLNEDGKLEKLLEGCERVEENQNGNGQECEACGDVRFVPCETCSGSCKVYYEYEDQDDDEEEEDDESVKEEREYGFQTCPDCNENGLIRCPVCCD >fgenesh2_kg.5__649__AT3G28860.1 pep chromosome:v.1.0:5:5065379:5072487:-1 gene:fgenesh2_kg.5__649__AT3G28860.1 transcript:fgenesh2_kg.5__649__AT3G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:p-glycoprotein 19 [Source:UniProtKB/TrEMBL;Acc:D7LM51] MSEPNTTDAKTVPTEAEKKKEQSLPFFKLFSFADKFDYLLMFVGSLGAIVHGSSMPVFFLLFGQMVNGFGKNQMDLHQMVHEVSKYSLYFVYLGLVVCFSSYAEIACWMYSGERQVAALRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWKLALLSVAVIPGIAFAGGLYAYTLTGITSKSRESYANAGVIAEQAIAQVRTVYSYVGESKALSSYSDAIQYTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLMEIINQKPTIIQDPLDGKCLDQVHGNIEFKDVTFSYPSRPDVMIFRNFNIFFPSGKTVAVVGGSGSGKSTVVSLIERFYDPNSGQILLDGVEIKTLQLKFLREQIGLVNQEPALFATTILENILYGKPDATMVEVEAAASAANAHSFITLLPKGYDTQVGERGVQLSGGQKQRIAIARAMLKDPKILLLDEATSALDASSESIVQEALDRVMVGRTTVVVAHRLCTIRNVDSIAVIQQGQVVETGTHEELIAKSGAYASLIRFQEMVGTRDFSNPSTRRTRSTRLSHSLSTKSLSLRSGSLRNLSYSYSTGADGRIEMISNAETDRKTRAPENYFYRLLKLNSPEWPYSIMGAIGSILSGFIGPTFAIVMSNMIEVFYYTDYNSMERKTKEYVFIYIGAGLYAVGAYLIQHYFFSIMGENLTTRVRRMMLSAILRNEVGWFDEDEHNSSLIAARLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILGTFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQSKILSLFCHELRVPQKRSLYRSQTSGFLFGLSQLALYGSEALILWYGAHLVSKGVSTFSKVIKVFVVLVITANSVAETVSLAPEIIRGGEAVGSVFSVLDRQTRIDPDDADADPVETIRGDIEFRHVDFAYPSRPDVMVFRDFNLRIRAGHSQALVGASGSGKSSVIAMIERFYDPLAGKVMIDGKDIRRLNLKSLRLKIGLVQQEPALFAATIFDNIAYGKDGATESEVIEAARAANAHGFISGLPEGYKTPVGERGVQLSGGQKQRIAIARAVLKNPTVLLLDEATSALDAESECVLQEALERLMRGRTTVVVAHRLSTIRGVDCIGVIQDGRIVEQGSHSELVSRPDGAYSRLLQLQTHRI >fgenesh2_kg.5__650__AT3G28880.1 pep chromosome:v.1.0:5:5142187:5146071:1 gene:fgenesh2_kg.5__650__AT3G28880.1 transcript:fgenesh2_kg.5__650__AT3G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELISLKRKLNLRFFSRFQESMSFDFTEFCDSYSLYPTGKVSPVDSYVTELDNEEIRDNYAFLDSVLKDNKSEFISHAKRILVGEDAESERIEAIKLLSACCSYDSINCASSIINGDVGSVPYINDVCSDTGLSPLHTAAEYNALRCVEMLLKRRARTDMRSKDERALIPLELSLSNGSIDVTWNASSDSIGDLIVLLGDKDLTVVKLLAEKTKEVDAVAFAYAKAGEIVSLTALLIVASEKIREATVALRDDDDSVPKCKRETIYEAVIQEALRSNSSTQSVCSEKRMVFLREIELLQLFGAAVFSESVDKQTSPLISIVQAGDEAVLELFINTNLDVNEKDAEGNTVLQCSLKGSSVPHKQQTRIMSLLIAHGARVNQRNKLGLSAVHFAAANGNLSALEILLAANPELVNVKTVIKETPLFFAVKNNHLECVELLLRCGASIEIHNLRKETELAQPQSAAVPTDADDEETLGFLGNSVPPWLKSFVNWLPRYLRDTSANWRGAGYPLSSLKADFRAVFGMDLDHASLGFPKLIDFIKYFPKLCQMKVVPIGKIGAATHWVMLPTKSKSSQLKGRPPEPLIISKNDSVASPDKPKALSVPPGFKFLQEAHDSKTFKAPPEPKPQPPPPLTTASSNNQRQLKHPVLETLTRIRNSTSVFFLREFDFYQSYETCLKEGMCFWCNKNMLLWANFPCRHKLWCSSCKQQVTQSALGEKSLEEDHHKCVVCDAKVERFVLSPPFESDHHRLSSDRPNNAELATSFLQFLSIRNSMNKMIHRGI >fgenesh2_kg.5__651__AT3G28900.1 pep chromosome:v.1.0:5:5146806:5148791:-1 gene:fgenesh2_kg.5__651__AT3G28900.1 transcript:fgenesh2_kg.5__651__AT3G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLVYRSRHSYATKSNQHRIVKTPGGKLTYQKTNKRASGPKCPVTGKRIQGIPHLRPAEYKRPRLARNERTVNRAYGGVLSGVAVRERQVIVRAFLVEEQKIVKKVLKLQKAKEKTGPKS >fgenesh2_kg.5__652__AT3G28910.1 pep chromosome:v.1.0:5:5153378:5155326:1 gene:fgenesh2_kg.5__652__AT3G28910.1 transcript:fgenesh2_kg.5__652__AT3G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPCCDKGGVKKGPWTPEEDIILVTYIQEHGPGNWRAVPTNTGMLLRCSKSCRLRWTNYLRPGIKRGNFTEHEEKMIVHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLNKVNQDSHQELDHSSLSSSPSSSSANSNSNISRGQWERRLQTDIHLAKKALSEALSPAVAPIITSTVTTTSSSAESRRSTSSASGFLRTQETSTTYASSTENIAKLLKGWVKNSPKTQNSADQVASTNSEVKEGIKSDDRKDCAGTFQSFSEFDHSYQQAGFSPDHETKPDITGCCSNQSQWSLFEKWLFEDSGGQIGDILLDENTNFF >fgenesh2_kg.5__653__AT3G28917.1 pep chromosome:v.1.0:5:5169329:5170099:1 gene:fgenesh2_kg.5__653__AT3G28917.1 transcript:fgenesh2_kg.5__653__AT3G28917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRQVVLRRASPEEPSRSSSTASSLTVRTVRYGECQKNHAAAVGGYAVDGCREFMASRGEEGTLAALTCAACGCHRSFHRREIETEVVCDCNSPPSTGN >fgenesh2_kg.5__654__AT3G28920.1 pep chromosome:v.1.0:5:5195868:5197233:-1 gene:fgenesh2_kg.5__654__AT3G28920.1 transcript:fgenesh2_kg.5__654__AT3G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTPKSPEPESETPTRIQPAKPISFSNGIIKRHHHHHHNTVTYKECLKNYAAAIGGHALDGCGEFMPSPSSTPSDPTSLKCAACGCHRNFHRREPDDSSSVPPPSLLPSSTTTAAIEYQPHHRHHPPPPLAPPLPRSPSSSSPPPISSSYMLLALSGNNKTTPFSDLNFAAAANNLSAAPGSRKRFRTKFSSNQKEKMHEFAARIGWKIQKRDEDEVRDFCREIGVDKGVLKVWMHNNKNSFKFSGGGATAVNGIGGENNNNDGVRGGSGLANKDGGGGGGRFESDSGGNVNASSSSS >fgenesh2_kg.5__659__AT3G29000.1 pep chromosome:v.1.0:5:5339260:5339833:1 gene:fgenesh2_kg.5__659__AT3G29000.1 transcript:fgenesh2_kg.5__659__AT3G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7LM72] MESKSSSSLPLFTLFNLFLISFCRWVYSTRIFLSRFVPLLQHHQRVFDKNNNKDQQESLIKHDLSREDAEMVMRSLSLFSDQESDDQLQERYTAKEVSSLFEEKEASLEEVKQAFDVFDENRDGFIDAIELQKVLTILGFKEGAYLDNCLVMIRSFDVNKDGKIDFNEFVKFMENSFC >fgenesh2_kg.5__65__AT2G01570.1 pep chromosome:v.1.0:5:301459:307759:-1 gene:fgenesh2_kg.5__65__AT2G01570.1 transcript:fgenesh2_kg.5__65__AT2G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RGA1 protein [Source:UniProtKB/TrEMBL;Acc:D7LLT1] MKRDLHQFQGRLSNHGTSSSSSSKDKMMMVKKEEDGGNMDDELLAVLGYKVRSSEMAEVALKLEQLETMMGNVQEDGLSHLATDTVHYNPSELYSWLDNMLSDLNPPPPRSNGLDPILPSPEIGGFPASDYDLKAIPGNAIYHQNQFPAIDSSSSSNNQSKRLKSCSSPDSMVTSTSTATQIGGVIGTTVTTTTAAESTRSVILVDSQENGVRLVHALMACAEAIQQNNLTLAEALVKQIGCLAVSQAGAMRKVATYFAEALARRIYRLSPPQNQIDHSLSDTLQMHFYETCPYLKFAHFTANQAILEAFEGKKRVHVIDFSMNQGLQWPALMQALALREGGPPTFRLTGIGPPAPDNSDHLHDVGCRLAQLAEVIHVEFEYRGFVANSLADLDASMLELRPSETEAVAVNSVFELHKLLGRPGGIEKVLGVVKQIKPVIFTVVEQESNHNGPVFLDRFTESLHYYSTLFDSLEGVPSSQDKVMSEVYLGKQICNLVACEGPDRVERHETLSQWGNRFGSSGFAPANLGSNAFKQASMLLSVYNSGQGYRVEENNGCLMLGWHTRPLITTSAWKLSTAAH >fgenesh2_kg.5__663__AT3G29030.1 pep chromosome:v.1.0:5:5344697:5347124:-1 gene:fgenesh2_kg.5__663__AT3G29030.1 transcript:fgenesh2_kg.5__663__AT3G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXPA5 [Source:UniProtKB/TrEMBL;Acc:D7LM75] MGVLVISVLVVHLLVFSVCVQGVYRRGGHHPGGHMGPWINAHATFYGGGDASGTMGGACGYGNLYSTGYGLETAALSTALFDQGLSCGACFELMCVNDPQWCIKGRSIVVTATNFCPPGGACDPPNHHFDLSQPIYEKIALYKSGIIPVMYRRVRCKRSGGIRFTINGHSYFNLVLVTNVGGAGDVHSVSMKGSRTKWQLMSRNWGQNWQSNSYLNGQSLSFVVTTSDRRSVVSFNVAPATWSFGQTYIGGQFRY >fgenesh2_kg.5__664__AT3G29034.1 pep chromosome:v.1.0:5:5355540:5356038:-1 gene:fgenesh2_kg.5__664__AT3G29034.1 transcript:fgenesh2_kg.5__664__AT3G29034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERRKTEKVEEKQEVVDQEEKVKPRQRSVSRRNMQSLVIGLVGAVLTLGAYSQTYVDPGKSLGAGLFVLFFGLLVSEGFISL >fgenesh2_kg.5__665__AT3G29035.1 pep chromosome:v.1.0:5:5363887:5365297:1 gene:fgenesh2_kg.5__665__AT3G29035.1 transcript:fgenesh2_kg.5__665__AT3G29035.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC059/ATNAC3 [Source:UniProtKB/TrEMBL;Acc:D7LM77] MDYKVSRIGEIVEGEVEDSEKIDLPPGFRFHPTDEELITHYLRPKVLNTFFSAIAIGEVDLNKVEPWDLPWKAKIGEKEWYFFCVRDRKYPTGLRTNRATKAGYWKATGKDKEIFKGKSLVGMKKTLVFYKGRAPKGVKTNWVMHEYRLEGKYAIDNLPKTAKNECVISRVFHKRADGTKAHMSVGLPPLMDSSPYLKSRGRDSFAGTTLGGLFSNMTCFLDKTTDDKSLVVEFKDDFKNTMFGSASTNLIPNISSLLDYGPLFLQDNSSILKMLLDSEETQFKKNLQNSGSSESELIASSLHGHDPCASTGPVNLDCVWNF >fgenesh2_kg.5__66__AT2G01580.1 pep chromosome:v.1.0:5:341500:342215:-1 gene:fgenesh2_kg.5__66__AT2G01580.1 transcript:fgenesh2_kg.5__66__AT2G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDIETSSNHNSNSNTSRSTASTTSVHVTALDGIVSANSLFTVAVFVGISFDQPRDLSLTDRSECNAGRDVERDLVVFEVISFAFFLFSSLVAQGIKLSINLLNSKETDEVFKANINSDVLRFGVLGAAGGCILGCVFLLLSIVDVIQLRLGLLSCGNALTIHTVLALVVLVSSALSVYIFTVFYSFRK >fgenesh2_kg.5__670__AT3G29070.1 pep chromosome:v.1.0:5:5415453:5416964:1 gene:fgenesh2_kg.5__670__AT3G29070.1 transcript:fgenesh2_kg.5__670__AT3G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein carrier [Source:UniProtKB/TrEMBL;Acc:D7LNT4] MDLLPSKYKIHKTKLRWILTTMTMMMIRRGESMRLDMESGTSKCISDDIKTNYMTVGTYSIVNPNEGHHLPPSHKLFVTVSSPKGKSHHHAENVESGKFVFTADETGDYMTCFVAPGYRPTARFAVDFEWKSGVEAKDWTTIAKRGQITMLEVEVRKLLDVTETIHDEMFQLREREREMQELNRSTNSRMAALSLLSFVVTMSVAGLQLWHLKSFLERKKLL >fgenesh2_kg.5__673__AT1G03230.1 pep chromosome:v.1.0:5:5430685:5432017:-1 gene:fgenesh2_kg.5__673__AT1G03230.1 transcript:fgenesh2_kg.5__673__AT1G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQIIFSILLLFIFSLSSSAKPSSRPKALLLPVTKDQSTLQYTTIINQRTPLVPASVVFDLSGRELWVDCDKGYVSTTYHSPRCNSAVCSRAGSISCGTCFSPPKPGCSNNTCGAFPSNSVTGWSTSGEFALDVVSIQSTNGSNPGRFVKIPNIIFSCGSTSLLKGLAKGTVGMAGMGRHKISLPSQFAAAFSFNRKFAVCLTSGRGVTFFGNGPYVFLPGIQISRLQKTPLLINPGEYFIGVREIKIVEKTVPINQMLLKINKETGFGGTKISSVNPYTVLESSIFKSFTSMFVRQATARNMTRVASVKPFSACFSTQNVGVTRLGYAVPEIQLVLHSNDVVWRIFGGNSMVSVSDDVICLGFVDGGVNARTSVVIGGFQLEDNLIEFDLASNRFGFSSTLLGRQTNCANFNFTSTV >fgenesh2_kg.5__674__AT3G29152.1 pep chromosome:v.1.0:5:5490912:5491339:-1 gene:fgenesh2_kg.5__674__AT3G29152.1 transcript:fgenesh2_kg.5__674__AT3G29152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTTLTLLVLVIAIELSPTLIRATMVEGPTGDQKVTCNVLDVMIPCLLPLTNRLHIVVKVMREHETCLCQFIKGGEWWSQALFNSPNGQKTCKACNIPYPKC >fgenesh2_kg.5__675__AT3G29160.2 pep chromosome:v.1.0:5:5496208:5499938:-1 gene:fgenesh2_kg.5__675__AT3G29160.2 transcript:fgenesh2_kg.5__675__AT3G29160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7LNU4] MDHSSNRFGNNGVESILPNYKLGKTLGIGSFGKVKIAEHVVTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRQYEVIETPSDIYVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSRCNIKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSSEARDLIPRMLIVEPVKRITIPEIRQHRWFQTHLPRYLAVSPPDTVEQAKKINEEIIQEVVNMGFDRNQVLESLRNRIQNDATVTYYLLLDNRFRVPSGYLESEFQETTDSGSNPMRTPEAGASPVGHWVPAHVDHYGLGARSQVPVDRKWALGLQSHAHPREIMNEVLKALQELNVCWKKIGHYNMKCRWVPGIADGQNTMVNNQLHFRDESSIIEDDCAMTSPTVIKFELQLYKAREEKYLLDIQRVNGPQFLFLDLCAAFLTELRVI >fgenesh2_kg.5__680__AT3G29185.1 pep chromosome:v.1.0:5:5527850:5530140:-1 gene:fgenesh2_kg.5__680__AT3G29185.1 transcript:fgenesh2_kg.5__680__AT3G29185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSFTCSLPFAPLTKSLRPIQSPILRSGYGTRTVVIRSMAVQEDDKRTTDEAMSIDNLRGFVHLNVGKWTGSFHQFDGDGNLLHKIDTRLSASSYGEDELLSLNQSLYIKQPTSATSVSEEDEEEPEWVEYKIKETNMFTVDKYQQIGLFPKERAFSLRYQTAGMLDTTLRQGVLGEDDTGEESPRNLKLPSRRPSLVCENCLYSKEMDRRARAFHIMDPKGVLEMLIVFLEERGLKNLTHPVLDNAQDDAERIIPFLGTWKGRSVTKRSGVYGATLSESDTVAVLEINDKGQVVQDISSTSDEKKVTTNVHWEGKQSKNLVTFAEGYQMTLLPGGMYMGCPCDVGKCVADLKSFHLEFCWLESPSSRQRLVRTYDHEGLAVSSTYFSETKM >fgenesh2_kg.5__681__AT3G29200.1 pep chromosome:v.1.0:5:5537296:5539065:-1 gene:fgenesh2_kg.5__681__AT3G29200.1 transcript:fgenesh2_kg.5__681__AT3G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase [Source:UniProtKB/TrEMBL;Acc:D7LNU9] MEASLLMRSSCCSSAIGGFFDHRRELSTSTPISTLLPLPSSKSSFVIRCSLPLPQPSKPRSGTSSVHAVMTLAGSLTGKKRVDESESLTLEGIRNSLIRQEDSIIFGLLERAKYCYNADTYDPTAFDMDGFNGSLVEYMVKGTEKLHAKVGRFKSPDEHPFFPDDLPEPMLPPLQYPKVLHFAADSININKKIWNMYFRDLVPRLVKKGDDGNYGSTAVCDAICLQCLSKRIHYGKFVAEAKFQASPEAYESAIKAQDKDALMDMLTFPTVEDAIKKRVEMKTRTYGQEVKVGMEEKDEEEGNEFHVYKISPILVGDLYGDWIMPLTKEVQVEYLLRRLD >fgenesh2_kg.5__683__AT3G29240.1 pep chromosome:v.1.0:5:5557433:5558922:1 gene:fgenesh2_kg.5__683__AT3G29240.1 transcript:fgenesh2_kg.5__683__AT3G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACFLTSRSISGVKELVPFIKARIFTCPKRNSGQFITRKVASPISVNCSLSDSWKPLDDDSDLFKDCVNNSTADADWREFRARLVVGEQAATSEKDQPSWSNPDMVVDYQPSSSSLITIGSRWAHKIHEPETGCLLIATEKLDGVHIFEKTVILLLSVGPSGPIGVILNRPSLMSIKETKSTILDMAGTFSDKRLFFGGPLEEGLFLVSPRCGGGNEVGKSGLFRQVMKGLYYGTRESVGLAAEMVKRNLVGRSEFRFFDGYCGWEKEQLKAEILGGYWTVAACSSSVVELGSAVQSHGLWDEVLGLIGPQTGSVI >fgenesh2_kg.5__689__AT3G29270.2 pep chromosome:v.1.0:5:5655468:5657394:1 gene:fgenesh2_kg.5__689__AT3G29270.2 transcript:fgenesh2_kg.5__689__AT3G29270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLASSYLTGNIGPKNEIRRPVHAHAECSDDDVSSVGSKDEGLECPICWESFNIVENVPYVLWCGHTMCKNCILGLQWAIVKLPTHPVQLPLFISCPWCNLLSFRLVFRGTLRFPHKNYFVLWMVERMNGERRNSPVIAQNDGNNNHTRETPSPCLHNRHHCSQPEPSRSVNDHRIPRDNIQTSLRKSLVFFVQLTAKFPLVVIFLLIILYAIPTSAAILAMYILVTLLLALPSFLILYFAYPCLDWLVREIVT >fgenesh2_kg.5__691__AT3G29280.1 pep chromosome:v.1.0:5:5660275:5661712:-1 gene:fgenesh2_kg.5__691__AT3G29280.1 transcript:fgenesh2_kg.5__691__AT3G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGREGEIEKKKKEEEGEVKEGIASIALLPCGTISGHFIQMPSSICYGLHGTELACETECSRGEDYRLIKLTIIDYNRKKEQTVVVECKGHDAARINDVEHAHGWEEDVIGLVEEKHGKKKVSVSFECETLKADKAAEDHIRQFMPKLAGLDAVINIGPMKISGLDFAVVEEEHTN >fgenesh2_kg.5__692__AT3G29290.1 pep chromosome:v.1.0:5:5662088:5664029:1 gene:fgenesh2_kg.5__692__AT3G29290.1 transcript:fgenesh2_kg.5__692__AT3G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKRRCLSVKMESFYLATPKMCFKKVSSELDRSFNGENTVFGSELEDEHGDDRNRIHFLEERNEEMLSKRLLKLSRLDKVRSALELFDSMRFLGLQPNAYACNSFLSCLLRNGDVQKAFTVFEFMRKKENVTGHTYSLMLKAIAEVKGCDSALRMFRELEREPKYRSYFDVVLYNTAISLCGRINNVYETERIWRVMKGDGQIGTEITYSLLVSIFVRCGRSELALDAYDEMVNYKISPREDAMYAMISACTKEEKWDLALKIFQSMLKKGMKPNLVACNTLINSLGKAGKVGLVFKVYSVAKSLGHKPDEYTWNALLTALYKANRYEDVLQLFDMIRSENLCCLNEYLYNTAMVSCQKLGYWEKAVKLLYEMEGSGLTVSTSSYNLVISACEKSRKSKVALLVYGHMAQRDCRPNTFTYLSLVRSCIWGSLWDEVKDILKKVEPDVSLYNAAIHGMCLRREFKFAKELYVKMREMGLEPDGKTRAMMLQNLKKHQK >fgenesh2_kg.5__693__AT3G29300.1 pep chromosome:v.1.0:5:5678602:5679252:1 gene:fgenesh2_kg.5__693__AT3G29300.1 transcript:fgenesh2_kg.5__693__AT3G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVMVVSLVMVLSLVLVLLAELYCSLLLRRRRNHHSLNLPITTVSTAATTTTTTLNQVISTTTNDNTSPSNINSSSPNPLYTGVLQTPTKTYFHHEPSLQASLDLIQETIVNDSGDNNFIYISNPMYSNEATSKPTTPFETPESSPSRLETGESSSSSSGEEEDNDIIEVSTPTLAPMKDLPEKACSVSLKNVETSASESNSSSGSPYTSPSW >fgenesh2_kg.5__699__AT3G29385.1 pep chromosome:v.1.0:5:5803684:5804694:-1 gene:fgenesh2_kg.5__699__AT3G29385.1 transcript:fgenesh2_kg.5__699__AT3G29385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRPKKRKGSTFLQSPWHKVYLQGSELCHSIRIAEEEWGLATNTLSEKVDTNEAISPSKRRLVLSTQLMQQLLQPAPAFVFLGDNAALNYEIVLYFVSRITLADSCSLKCRSDLDKSINRLTSKTASDLDHRYSSLVNAFNEKIHKLESDFQCLERTTSILDIIFKIQDLERFSVINHLGKFHNRTKTITRPIPQRYIVGIQMPTNLPEPLYCLPL >fgenesh2_kg.5__69__AT2G01630.1 pep chromosome:v.1.0:5:360755:363553:-1 gene:fgenesh2_kg.5__69__AT2G01630.1 transcript:fgenesh2_kg.5__69__AT2G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLFLFLFASSALSQDSLIGVNIGTEVTNMPSPTQVVALLKSQNINRVRLYDADRSMLLAFAHTGVQVIISVPNDQLLGISQSNATAANWVTRNVAAYYPATNITTIAVGSEVLTSLPNAASVLVSALKYIQAALITANLDRQIKVSTPHSSTIILDSFPPSQAFFNKTWDPVIVPLLKFLQSTGSPLLLNVYPYFDYVQSNGVIPLDYALFQPLQANKEAVDANTLLHYTNVFDAIVDAAYFAMSYLNFTNIPIVVTESGWPSKGGPSEHDATVENANTYNSNLIQHVINKTGTPKHPGTAVTTYIYELYNEDTRPGPISEKNWGLFYTNGTPVYTLRLAGAGAILANDTTNQTFCIAKEKVDKKMLQAALDWACGPGKVDCSALMQGESCYEPDDVVAHSTYAFNAYYQKMGKASGSCDFKGVATVTTTDPSRGTCVFPGSAKSNQTLGNNTTALAPSANSTTSGCIPKYYHPHASFGDLTLLSLLLIVALVFL >fgenesh2_kg.5__6__AT2G01080.1 pep chromosome:v.1.0:5:85419:86765:1 gene:fgenesh2_kg.5__6__AT2G01080.1 transcript:fgenesh2_kg.5__6__AT2G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSSSRPGLNGHPIATQNQQPYYRSYSSSSSASLKGCCCCLFLLFAFLALLVLAVVLIVILAVKPKKPQFDLQQVAVVYMGISNPSAVLDPTTASLSLTIRMLFTAVNPNKVGIRYGESSFTVMYKGMPLGRATVPGFYQDAHSTRNVEATISVDRVNLMQAHAADLVRDASLNDRVELTVRGDVGAKIRVMNFDSPGVQVSVNCGIGISPRKQALIYKQCGFDGLSV >fgenesh2_kg.5__701__AT3G29400.1 pep chromosome:v.1.0:5:5862973:5895239:-1 gene:fgenesh2_kg.5__701__AT3G29400.1 transcript:fgenesh2_kg.5__701__AT3G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXO70E1 [Source:UniProtKB/TrEMBL;Acc:D7LP00] MGEFEVDGEEKLIVAAKYVVKELRSGKSLTKNAKKVLGNLLLELSRVVIAEDGDEEDEIGEIEQRLNVVSDKIMTREVDESMIWDLGSDEGNLYLDAVNELRSLIERLNGSSGLGKAGSEELSLRKAHDVLQTAMARLEDEFKHLLVENRLPFELEHSSFRSVEADHGVEEEAMASFGAASTEDLILGSNNDSRRNSGEIVVDLINPDVISDLKNIATTMIASGYDRECIQVCTMVRKDALDEFLYNHEVEKLSIEDVLRMDWATLNTNIKKWVRVVRNIVQIYLLSEKSLDNQIFGDLNEIGLTCFVDTVKAPMMQLLNFGEAVSLGPRQPEKLLRILEMYELASELLPEIDALFLDHPGSSVRTEYREVMRRLGDCARTTFLEFKSAIASDVSSHPFPGGAVHPLTNYVMNYLMALTDFSHTLDSLLMEHDDVEDLTIPPSPDIINPVMVEEESTYENSSSPDKFLAMTRHFYSITSALEANLQEKSKLYKDVSLRHIFLLNNIHYMTRKVLKSELRHIFGDKWNRKHTWKFQQQSIEYERATWLPVLSFLKDDSGSSSGHGSKNLRPRERFQGFNTAFEEVYKAQTGWLISDEGLREDVRTKASMWVIQAYWTFYSRHKNNVSERYIKYTTDDLERLLLDLFAGSPKSLNNSYRR >fgenesh2_kg.5__706__AT3G29590.1 pep chromosome:v.1.0:5:5922224:5923599:-1 gene:fgenesh2_kg.5__706__AT3G29590.1 transcript:fgenesh2_kg.5__706__AT3G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5MAT [Source:UniProtKB/TrEMBL;Acc:D7LP03] MVNFNSAVNILVVVRVSPPSSNSLTLPLTYFDLGWLKLHPVDRVLFYRVPELTRCSLITQIKSSLSVTLLHYLPLAGRLVWDSIDTKPSIVYSPNNDDAVYLTVAESNGDLSHFAGDKPRPAIDFHPLVPELSVSDESAGVLAVQITFFPNQGFCLGVTAHHAVLDGKTTAMFLKAWAHNCKQEQECGHIALPLPHDLIPSLDRTIVQDPTGLETKLLNRWISASNNKPSLKLFPSKIIGSDILRVTYRLTQEDIKKLRERVETESHAKQLRLSTFVITYAYVITCMVKMRGGDPTRFVCVGFASDFRSRLNPLLPSTFFGNCIVGSGDFDREGKGFISAVKSFTGWVNGLCPENIEKNMLLPFEAFKRMEPGRQMISVAGSNRLGIYGSNFGWGKPVKVEIVTIEKDGSVSLSESGDGSDGVEIGICLKKDDVERFCSLFSRGLK >fgenesh2_kg.5__709__AT3G30180.1 pep chromosome:v.1.0:5:6302245:6305405:1 gene:fgenesh2_kg.5__709__AT3G30180.1 transcript:fgenesh2_kg.5__709__AT3G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMIMILGLLVIIVCICSALLRWNQMRYSKKGLPPGTMGWPIFGETTEFLKQGPDFMKNQRLRYGSFFKSHILGCPTIVSMDAELNRYILMNESKGLVAGYPQSMLDILGTCNIAAVHGPSHRLMRGSLVSLISPAMMKDHLLPKIDDFMRNYLCGWDDLETVDIQEKTKHMAFLSSLLQIAETLKKPEVEEYRTEFFKLVVGTLSVPIDLPGTNYRSGVQARNNIDRLLTELMQERRESGETFTDMLGYLMKKEDNRYLLTDKEIRDQVVTILYSGYETVSTTSMMALKYLHDHPEALEELRREHLAIRERKRPDEPLTLDDIKSMKFTRAVIFETSRLATIVNGVLRKTTHDLELNGYLIPKGWRIYVYTREINYDTSLYEDPMIFNPWRWMEKSLESKSYFLLFGGGARLCPGKELGISEVSSFLHYFVTKYRWEENGEDKLMVFPRVSAPKGYHLKCSPY >fgenesh2_kg.5__710__AT3G30210.1 pep chromosome:v.1.0:5:6336219:6338366:1 gene:fgenesh2_kg.5__710__AT3G30210.1 transcript:fgenesh2_kg.5__710__AT3G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB121 [Source:UniProtKB/TrEMBL;Acc:D7LP24] MLDWGVQGHHQKHDHDLYQQQHQQQGCRKGPWTLEEDKLLAEYVTSHGEGRWSTVAKCAGLKRSGKSCRLRWVNYLRPGLKRGQITPQEEGIILELHSLWGNKWSTIARYLPGRTDNEIKNYWRTHYKKKEKISSKQDKVKRSLSRKQQMDLKPQQQNHQSQLVPQDHMNIDNDQNIESALYYPASVFDDQFSMPQIVATTSSDHSMIDEGHLWGSLWNLDDDDPHGFGGGSGQRTAENIAEKFPGSGIEAPSCGSGDYSYTGFYMGGYIF >fgenesh2_kg.5__714__AT5G57890.1 pep chromosome:v.1.0:5:6491915:6493912:1 gene:fgenesh2_kg.5__714__AT5G57890.1 transcript:fgenesh2_kg.5__714__AT5G57890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LP34] KNLFFSDNGGYYTVQVCLLQPKSGSTTHCLNPSLVNPFTNPTRVSVLGKSRRDVFAKDSIEMAESNSTPSVFVNSSKQNGSIIVIDNYDSFAYNLCHIFFNFLFCFELGCRFEVYRNYELTVEELKNKNPRVMLISPGPGTPQDSGIFFQTVLKLGPREKHLEERLCGHYLVLCMGKAQWFTMMRKENNAYLFVFPFFVSRYHSLVIEKDSFPSGELEVTAWTADGLVMASCHMKYKHIQGVQFHPESIITTEGKTIVCNFIKLVEKKESEKLT >fgenesh2_kg.5__715__AT1G25220.1 pep chromosome:v.1.0:5:6496150:6498233:1 gene:fgenesh2_kg.5__715__AT1G25220.1 transcript:fgenesh2_kg.5__715__AT1G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTQVWLHHSLPKPFSRVSVLGKSRRDVFAKDSIEMAESNSTPSVFVNSSKQNGSIIVIDNYDSFAYNLCHIFFNFLFCFGQGCRFEVYRNYELTVEELKNKNPRVMLISPGPGTPQDSGIFFQTVLKLGPRVSLFGVCMNLQCIGEAFGGYVLTWFYSLYYERLCGHYLVLCIGKAQWFTMMRKENNACSLDYPSINQICLYHSLVIEKDSFPSGELEVTAWTADGLVMASCHMKYRHIQGVQFHPESIITTEGKTIVCNFIKLVEKKESEKLT >fgenesh2_kg.5__71__AT2G01640.1 pep chromosome:v.1.0:5:364648:365756:1 gene:fgenesh2_kg.5__71__AT2G01640.1 transcript:fgenesh2_kg.5__71__AT2G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSKGSEVTTKSDKKFEKKLQFYTKVKDTVTSLSVQKEIGKKKKIRSRQKKLKAYDLTNLSEFLPEFNALQKSALPAPELKMNCKRRQKLVLTEGERLNKVLDHPAFQADPIGSIFQHLQSQQPPEEEKPKKKTNTNGSKKRNKKKGMAESMDF >fgenesh2_kg.5__720__AT3G30300.1 pep chromosome:v.1.0:5:6509307:6512721:-1 gene:fgenesh2_kg.5__720__AT3G30300.1 transcript:fgenesh2_kg.5__720__AT3G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEGKVFLKSRMKWIGLLGLFLSAFSLLVHFLLAGFTDDSISDYSIPVTIFSWRPVFDNPRFARHTPLYRRLWGPTRHVETLLPDSNPRGFHSDPPARTNGFVFVRIQGGFHEIRNSIPDVVAVSRLLNATLVIPEIQSTTSSKGISSQFKSFAYLYNEEHFMSSIANDVRVVKTLPKNLKWARRKKQIPSFKVSYGSSPYYYLHHVLPVLIKHSVVELVVPHGGCLQAILPSDLEEYQRLRCRVAFHPLQFRKEVQELSTKVLQRLRPLGRPFIAYDPGMTREALAYHGCAELFQDVHTELIQHKRAWMIKRGIVKGKLSVDSTEQRLAGLCPLMPEEVGILLRAYGYSWDTIIYVAGGEVFGGQRTLIPLHGMFENVVDRTSLSTSWELAKMYGREAKHNDIKKMTPPSIEEETKHDSLRSTRQRPQPLPPPPARPKYYNIEGWWGWVAESDNEPESTVIELRTNAHKLLWEAIDYVVSVEADVFISGFDRDGKGHPSFASLVMGHRLYQSASAKTFRPDRKQIAMLLEEIRDHMYEANHTWITSVRKLLKRSILEGLIESSKRSKAFSFLSHPVPECSCITRAHPVSNASNLGVTHRCPQWVDGIVNERSKDYKNAEKEEDFDEEDLSSSGLFFGHKESGGNNNGNNETVNSEANNKEEGQLEDQEELEGGER >fgenesh2_kg.5__724__AT3G29770.1 pep chromosome:v.1.0:5:6775718:6777915:1 gene:fgenesh2_kg.5__724__AT3G29770.1 transcript:fgenesh2_kg.5__724__AT3G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7LP51] MGNLCSLFTPPKPVKKRKPITKRQSSIGVSSSGSGLNSNRWNNRVRSSSSRRDNKFEDAVIQEHALAAAAVLFRQQNGGGSLPFDRSASQRYQGSCSKKNQLPRSSSSRSRSSTDPLLQPHQFLNQGVKVDDLETNHFVLIHGGGFGAWCWYKTIALLEEDGFKVTAIDLAGCGINSININGIASLSQYVKPLTDILEKLPIGEKVILVGHDFGGACISYAMEMFPSKISKAVFLAAAMLTNGQSTLDMFSLKAGQNDLMRKAQIFIYTNGNENPPTAIDLDKSLLRDLLFNQSPSKDIALASVSMRSIPFAPVLEKLSLSDANYGSVRRYYIETLEDNAIPMTVQENMINSSPPEKVYRLKGADHAPFFSKPQALHKLLLEIARISST >fgenesh2_kg.5__725__AT3G29810.1 pep chromosome:v.1.0:5:6786864:6789130:1 gene:fgenesh2_kg.5__725__AT3G29810.1 transcript:fgenesh2_kg.5__725__AT3G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILFSRFSILLLFLCSWTSFTTTEAYDALDPTGNITIKWDIISWTADGYLAVVTIFNFQQYRHIEAPGWQLGWSWLKKEVIWSMVGGQATEQGDCSKFKGNIPHCCKKTPAIVDLLPGTPYNQQISNCCRGGVISAWAQDPATAISSFQISVGQSGTTNTTVRAPRNITLKAPGPGYTCGPAKLVKPTKFISADERRKTQALLTWNITCTYSQFLARKTPTCCVSLSAFYNETIIPCPTCSCGCQNSSQAGTCVDPKIASVVPASGKNNLEPLLQCTQHMCPIRVHWHVKTNYKEYWRVKVAITNFNYNMNYSQWNLVVQHPNFDNLTQLFSFNYKPLNPYANINDTAMLWGIKFYNDFLSQAGPVGNVQSELLFQKNPLEFTFEKGWAFPRRIYFNGDNCVMPPPDSYPWLPNATPNHAASPFVILLITFFFVLILM >fgenesh2_kg.5__726__ATMG00770.1 pep chromosome:v.1.0:5:6823090:6823323:1 gene:fgenesh2_kg.5__726__ATMG00770.1 transcript:fgenesh2_kg.5__726__ATMG00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LP56] PWRVLLSLILFLPISILLVVSPIKLNDRNYLLYDEGRVRLSPLNLGERCDFVPLLSVELFKPLQSSGVYEKLHESNN >fgenesh2_kg.5__728__ATMG00760.1 pep chromosome:v.1.0:5:6823981:6824308:1 gene:fgenesh2_kg.5__728__ATMG00760.1 transcript:fgenesh2_kg.5__728__ATMG00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LP57] MLRSGKELEEVVRDDKEEEQVVVRKAKQIVNFPLLGMLSSARYGLRRWPFTVKCSPLTSQSTTAPYGFTSFMRKGKKHLDLNFPGNNLSLELRSSTWSFTLNSLGKIFL >fgenesh2_kg.5__72__AT2G01650.1 pep chromosome:v.1.0:5:365801:367626:-1 gene:fgenesh2_kg.5__72__AT2G01650.1 transcript:fgenesh2_kg.5__72__AT2G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVKDKLKGFMKKVNLSSSSGKFKGQGRVLGSSSSSAPGPVNPIHNRFNSSQAPNPNPRPRPNSNPLPEKPISSSDQKISGSTRNPDPDPVRAPQDGFDPYGALITSSNRSQNGYSLNMFECPICKNPFTSEEEVSVHVESCLGNTNGDESSFEKDDTKDNDKSEIEKLVVVYLSGKPSESSIDVLLRLFKNIVKEPENAKFRKVRMSNAKIKEAIGDVAGGVELLELVGFELKEENDEIWAVMDVPGEEQSNLISKVVGFLEKRKTENSGSSVQVMEPVAPKKIDREIHVFFSVSENIASRIEVPDSFYSLSADEIKREADLRRKKIAESQLLIPRSYKEKQAKAARKRYKRSMIRVQFPDGVVLQGVFAPWEPTIALYEFVSSALKEPSLQFELLDPVLVKRRVIPHTPAPGQKPITLEDEELVPSALIKFRPIETDSLVFTGLRNELLEISEPLS >fgenesh2_kg.5__730__AT2G07687.1 pep chromosome:v.1.0:5:6826704:6827695:-1 gene:fgenesh2_kg.5__730__AT2G07687.1 transcript:fgenesh2_kg.5__730__AT2G07687.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 3 [Source:UniProtKB/TrEMBL;Acc:D7LP58] MIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHPFQGGARLLSLGLIFLLYTMFVWWRDVLRESTLEGHHTKVVQLGPRYGSILFIVSEVMFFFAFFWASSHSSLAPAVEIGGIWPPKGIGVLDPWEIPFLNTPILPSSGAAVTWAHHAILAGKEKRAVYALVATVLLALVFTGFQGMEYYQAPFTISDSIYGSTFFLATGFHGFHVIIGTLFLIICGIRQYLGHLTKEHHVGFEAAAWYWHFVDVVWLFLFVSIYWWGGI >fgenesh2_kg.5__735__AT2G07671.1 pep chromosome:v.1.0:5:6837793:6838249:-1 gene:fgenesh2_kg.5__735__AT2G07671.1 transcript:fgenesh2_kg.5__735__AT2G07671.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase subunit 9 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LP66] NSQPEMLEGAKSIGAGAATIASAGAAIGIGNVFSSLIHSVARNPSLAKQSFGYAILGFALTEAIALFAPMMAFLILFVF >fgenesh2_kg.5__737__AT2G07779.1 pep chromosome:v.1.0:5:6840911:6841189:-1 gene:fgenesh2_kg.5__737__AT2G07779.1 transcript:fgenesh2_kg.5__737__AT2G07779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNPERSYRSGSQKRDACARVRNQPYFSLVERRHSVLAAGVLSRIRSLFIGLLPCDTWQKRTQGHMPDLWVGISSLRSQPTTLWWFSTTEP >fgenesh2_kg.5__738__AT3G30460.1 pep chromosome:v.1.0:5:6843513:6844072:1 gene:fgenesh2_kg.5__738__AT3G30460.1 transcript:fgenesh2_kg.5__738__AT3G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LP71] MAEEFHLPLNLTETDQIPTEEDFEADTTFIDDEYLRYLHYLDSRSNHGVNTFGSYDEIFGLVLGNSSSIQRLNAAEELPVVEFTAEEMMERGLVVCAICREELAANDRLSELPCRHYYHKNCISNWLSNRNTCPLCRHIVELPKAI >fgenesh2_kg.5__741__AT3G30725.1 pep chromosome:v.1.0:5:6927604:6927939:1 gene:fgenesh2_kg.5__741__AT3G30725.1 transcript:fgenesh2_kg.5__741__AT3G30725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTPVEIWKSPVPYLFGGLFLLVLLIALALLSLVCTHQKSPSSSSNNNPIDEEDDVGDKEAKPITSEYLPKIVVILAGDNKPTCLAVPVVVPPPSSICRCNCDNVTFVPA >fgenesh2_kg.5__742__AT3G30775.1 pep chromosome:v.1.0:5:6994056:6996647:-1 gene:fgenesh2_kg.5__742__AT3G30775.1 transcript:fgenesh2_kg.5__742__AT3G30775.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7LP78] MATRLLRTNFIRRSYRLPAFSPVGPPTVTASTAVVPEILSFGQQAPEPPLHHPKPTEETHDGLDLSDQARLFSSVPTSDLLRSTAVLHAAAIGPMVDLGTWVMSSKLMDAAVTRGMVLGLVKGTFYDHFCAGEDADAAAQRVRSVYEATGLKGMLVYGVEHADDAASCDDNMQQFIRTIEAAKSLPTSHFSSVVVKITAICPISLLKRVSDLLRWEYKSPNFKLSWKLKSFPVFSDSSPLYHTNSEPKPLTAEEEEELEAAHGRIQEICRKCQESNVPLLIDAEDTILQPAIDYMAYSMAIMFNADKDRPIVYNTIQAYLRDAGERLHLAVQNAEKENVPMGFKLVRGAYMSSEARLADSLGCKSPVHDTIQDTHSCYNNCMTFLMEKASNGSGFGVVLATHNADSGRLASRKASDLGIDKQNGKIEFAQLYGMSDALSFGLKRAGFNVSKYMPFGPVATAIPYLLRRAYENRGMMATGAHDRQLMRMELKRRLIAGIA >fgenesh2_kg.5__745__AT3G30842.1 pep chromosome:v.1.0:5:7043016:7049055:-1 gene:fgenesh2_kg.5__745__AT3G30842.1 transcript:fgenesh2_kg.5__745__AT3G30842.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPDR10/PDR10 [Source:UniProtKB/TrEMBL;Acc:D7LP83] MAHYRASSEVENMMIRDNSRRRNQEEDEEEAMKLAAMEKLQRLPTYDRARKAVLRGITGGFKEINMKDIGLVERRELFDRVMTMDDEDWHGEYLRRLKSRFDRVSLNLPTIEVRFEDLNVTAEAYEGSKAVPTVLNSYVNVVKGIGTKIRVLPVLKKRVSILKDVSGIIKPGRLTLLLGPPGSGKSTLLKALSGKTEAGLKSTGKVTYNGHELHEFVPERTAGYIDQYDVHLPDLTVRETLKFSAKCQGVGTGYDMLAELLRREKELNIKPDPYLDALMKASVMKGHKEYVVTDYVLKVLGLEICADTIVGNHMKRGISGGQKKRVTTGEMLVGPVGAFFMDNISDGLDSSTTFQIVKSIKQMIHVFDKTALISLLQPPPETFELFDDVIILGEGHIVYQGPREDVLEFFESMGFKCPERKGIADYLQEILSRKDQEQYWANPELPYRYVPAKQFEEGFKMHHFGSTMRSQLATPFVRWKNHRAALTRTKYGASKLELLKACLERESILMKRNLRTFVLKSLQLIFNAFLIGVVFCQQKKYPSTVEDGIIYMGAIYLEVQMIVFSGFFELPMTIDKLPVFYKQRHFSFYPSWAFSLPTSIITFPLSFVEVFIVVLITYFTIGYDQTVSSFLKHYLVLALCGQMSYGLFRCIAAVTRNHVVSNTMGCLAVMWLMTFSGYVLSRNQVHKWLTWAYWTSPMMYIQTAISVNEFRSESWKDVISWKLSLMYTFVDSKLHQWCTICRIKYYTSFKQANSNNMITGIDYTRTTMQPFVDRAVTTRTCNDKKLRIPFKPLYMTFENITYSVDTPKEMKEKGIREDKLVLLNGLSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKNTGYIQGKIHVSGFPKKQNSFARVSGYCEQSDIHSPLLTVYESLLYSAWLRLPPDIDTHTREVMELIELKPLREMLVGYVGISGLSTEQRKRMTIAVELVANPSILFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELFLLARGGEEIYVGPIGHHSSQLITYFEEIRGVGKIKEGYNPATWALEVTTMAQEDVLGVRFSQVYKNSNLYRRNKDLIKELNMVPSHAQDIHFSTKYSQSYLSQFQACLWKQHKSYWRNVPYNAVRLSFGAAVGIMYGIIFWSLGKRKGTRQDIFNSVGAMSTVVGFLSSQSAATIRPVAIAERTVFYRENGAGMYSALPYAFSQVIIEIPYTMAQACIYGVIVYGMIGYEWTASKFFLNIFFTFISILYSIYTGIMVISVSPNQEIASILNGVISTSWNVFSGFTIPRPRMHVWLRWFTYVCPGWWGLYGLTIAQYGDVETRLDTGETVVEFMKNYYGYEYNFLWVVSLTLIAFSLFFVFIYAFSVKILNFQKR >fgenesh2_kg.5__746__AT3G32930.1 pep chromosome:v.1.0:5:7074183:7075404:1 gene:fgenesh2_kg.5__746__AT3G32930.1 transcript:fgenesh2_kg.5__746__AT3G32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSLVQVSSVYYFRSQSTIPTLSNSNPSCFLLPKSIFPGSKLTLHRFFRYPKKISNGSARASLLETPVLWAGRICVFYALVKAGFAGSKSNPIVSGLDSGGVDVEDDGADLGFSKWLQNIKGNKPDKDAADKRKLVSKWHPTTKGTLRRNYRIPSKSEGNRLLKAIASLLSDDDHFRDATSHKGCQIRRESAHGQSVCCNNVRALFDELPTPHLVVEITPFPAGPLTENDYLKAEKLERILRSGPNI >fgenesh2_kg.5__747__AT3G32940.1 pep chromosome:v.1.0:5:7075730:7079440:-1 gene:fgenesh2_kg.5__747__AT3G32940.1 transcript:fgenesh2_kg.5__747__AT3G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTNGAKISMFGAKSGFVIPKNKLSGSLVPIFQRGNTTLGGASDTNTGLPSKLGKKRKTNWGPDLSQDIGVKKGRFLAYQKRLDQITQQLESGTLEVETNREQLEIEKREAIGEILELNPRYKAPSDYKPLLKEARLPIDVKEHSDFSFLSLIFGSQGDTQKRLEKETGAKVQIFGTKTGGEKVELSPSDENKIQTSWQELYFQISSDTYEKVDAAIAVIELLISSVSGNTGAGAAPPSSRSEEISTILENSNMRATNPSSEQPTAQSQVQQHGSSSLLDSNQATFHPPLKPYASGLGYSIQEQELPTNLMNLNHLSAQQTLPVPHDTSLPPGSNVPRPPDSFSFSLSNTPRAYSVTAPPYSCSEIQPIGPRSTMRPSTLFTFQPVHRPTLLPDIVQSVRPLAPNFSPHPIPHQPGTEHLAEYDSGGSLRPMSVSAHIAGRPAGIVPTYPPINPAPRPFHGDFGFLPQQPNVVSTPHIYPTPNSQSVHLPHLAFQASQHFEQNHTRSQHFGRQMDQPLSHPSAPFHGTARSSNLQNFGPPLSQMMPRNFPGAQFPQHSAHFPPRPVFHHDNLIPRGQPQIRHRFNSGVHQVYDPFSPSDA >fgenesh2_kg.5__74__AT2G01660.1 pep chromosome:v.1.0:5:370414:372049:-1 gene:fgenesh2_kg.5__74__AT2G01660.1 transcript:fgenesh2_kg.5__74__AT2G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATKLVLFIAVVSLLGTFSSAAVDTFIYGGCSQAKYFPGSPYESNVNSLLTSFVSSASLYTYNNFTVNGISGDISSVYGLYQCRGDLSSGSGDCARCVARAVSRLGSLCAMACGGALQLEGCFVKYDNTTFLGVEDKTVVVRRCGPPVGYNSDELNRRDSVVGYLAASSGGSYRVGVSGNLQGVAQCTGDLSATECQDCLMEAIGRLRSDCGGAAWGDVYLAKCYARYSARGGHSRANGYGGSRNNDDDEIEKTLAIIVGLIAGVTLLVVFLSFMAKSCERGKGGK >fgenesh2_kg.5__752__AT3G33520.1 pep chromosome:v.1.0:5:9428033:9429829:-1 gene:fgenesh2_kg.5__752__AT3G33520.1 transcript:fgenesh2_kg.5__752__AT3G33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIVVLDNGGGLIKAGQGGERDPTTVIPNCLYKPLSSKKFLHPSPLTSLSDEIDLTSAAVRRPIDRGYLINSDLQREIWSHLFTSLLHITPSSSSLLLTEAPLSIPSVQRTTDELVFEDFGFSSLYVAHPQSLVHLYEASRQPDSILSKTQCSLVVDCGFSFTHAVPVLHNFTLNHAIKRIDLGGKAFTNYLKELVSYRSINVMDETFLVDDAKEKLCFVSLDLLRDLRLARNGNNLIKSTYVLPDGVTHTKGYVKDPQAAKRFLSLSEKEHVLMDKVGERRKADMNKNEIDLTNERFLVPETLFQPADLGMNQAGLAECIVRAINSCHSYLQPVLYQSIILTGGSTLFPQLKERLEGELRPLVPDHVDVKITTQEDPILGVWRGGSLLASSPDFESMCVTKAEYEELGSARCRRRFFH >fgenesh2_kg.5__754__AT3G42630.1 pep chromosome:v.1.0:5:9473997:9475518:1 gene:fgenesh2_kg.5__754__AT3G42630.1 transcript:fgenesh2_kg.5__754__AT3G42630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat protein [Source:UniProtKB/TrEMBL;Acc:D7LPC1] MFSLNLSHPQSLKPQHLKLLSCYTDLSAPSIARKLIKESKLSRDFSRKIQIVDYAPLVQTLSQRRLPDVAHEIFIQTKSVNLLPNYRTLCALTLCFAENGFVLRARMIWDGILNSSFVPDVFVVSKLMSAYEQVGCFDEVAKITKDVAARHSKLLPVVYSVAISCFGKNGQLELMEGVIEEMDLKGISLDSATANAIVRYFSFFGTLDKLEQAYGRLKKFGIVIEEEEIRAVLLAYLKQRKFYRLREFLSDVGLGRRNLGNMLWNSVLLSYAADFKMKSLQREFIGMLDAGFSPDLTTFNIRALAFSRMALFWDIHLTLEHMRRLNIVPDLVTFGCVVDAYMDKRLARNLEFVYNQMNLDDSPVVLTDPLAFEVLGKGDFHLSSEAVLEFSPRKNWTYRKLIGVYLKKKLRRDQIFWNY >fgenesh2_kg.5__758__AT3G42670.1 pep chromosome:v.1.0:5:9547533:9551796:-1 gene:fgenesh2_kg.5__758__AT3G42670.1 transcript:fgenesh2_kg.5__758__AT3G42670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKHYFEFNHPFNPCPFEVFCWGTWKAVEYLRIENGTMTMRLLENGQVLADIRPFQRLRLRSRKATLIDCTSFLRPGIDVCVLYQKDEETPEPVWVDARILSIERKPHESECLCTFHVIVYIDQGCIGLEKHRMNKVPVLVGLNEIAILQKFCKEQSLDRYYRWRYSEDCSSLVKTRLNLGKFLPDLTWLLVTSVLKNIVFQIRTVHEKMVYQIVTDQDCEGSSSSLSAMNITVEDGVFMSKVVLFNPAEDTYQESDVKEEIEEEVMELRRSKRRSGRPERYGDSEMQPDSKDGWVRMMPYRYSTWTVSSDDDDEEDCDDDRDTDDDLYLPLSHLLGRKGSTKGLSKDKQREIVLVDKTERKKRKKTEGFGRNCELSVIPFTPVFEPIPLEQFGLNANSLCGGFSGSHLMDEIDKYRSKAAKYGKKKKLEMEEMESDLGWNGPIGNVVHKRNGPHSRIRSVSRETGVSEEPQIYKKRTLSAGAYNKLIDSYMSRIDSTIAAKDEATNVVEQWEGLKNTATFSMEAEERLSDEDEGDGETSENEMLWREMELCLASSYILDDNEVRVDNEAFHKATGDCEHDYELNEEIGMCCRLCSHVGSEIKYVSAPFAQHKKWTTETKQINEDDINTTKVNQDGVENHTFTIPVASSDMPSAEESDNVWSLIPQLKRKLHLHQKKAFEFLWKNLAGSVIPAMMDPSSEKIGGCVVSHTPGAGKTFLIIAFLASYLKIFPGKRPLVLAPKTTLYTWYKEFIKWEIPVPVHLLHGRRTYCVSKENTIQFKGIPKPSQDVMHVLDCLDKIQKWHAQPSVLVMGYTSFQTLMREDSKFAHRKYMAKVLRESPGLLVLDEGHNPRSTKSRLRKALMKVDTDLRILLSGTLFQNNFCEYFNTLCLARPKFVHEVLVELDQKFQTNQAVEKAPHLLENRARKFFLDIIAKKIDTKVGDERLQGLNMLRNMTSGFIDNYEGSGSGSGDVLPGLQIYTLLMNSTDVQHKSLTKLQNIMSTYHGYPLELELLITLAAIHPWLVKTTTCCTKFFNPEELFEIEKLKHDAKKGSKVMFVLNLVFRVVKREKILIFCHNIAPIRLFLELFENVFRWQRGRELLTLTGDLELFERGRVIDKFEEPGGQSRVLLASITACAEGISLTAASRVIMLDSEWNPSKTKQAIARAFRPGQQKVVYVYQLLSRGTLEEDKYRRTTWKEWVSSMIFSEEFVEDPSQWQAEKIEDDVLREIVEEDKVKSFHMIMKNEKASTGG >fgenesh2_kg.5__760__AT3G42725.1 pep chromosome:v.1.0:5:9576786:9577402:-1 gene:fgenesh2_kg.5__760__AT3G42725.1 transcript:fgenesh2_kg.5__760__AT3G42725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHRLLCLFQNILVSCLNFTLLLLSLCSFVPIILLRNPPTSLGLAFIAVSLVSLISSFGGASSHQSRACFIVRITLHVSSLTGQLMAVLMLFSREKQSLALLISPRDPREAKALVRIECGVFMAMIPLQMMVLVLACVLHCYWVREFQGLEVESEDSFRRKCNRRFSTVEEATGSDVKEMELEEKTNAKHVQWVKTDFEG >fgenesh2_kg.5__761__AT3G42790.1 pep chromosome:v.1.0:5:9622148:9624037:-1 gene:fgenesh2_kg.5__761__AT3G42790.1 transcript:fgenesh2_kg.5__761__AT3G42790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LPD6] MEGGAALYNPRTVEEVFKDFKGRRAAIVKALTTDVQEFYQQCDPEKENLCLYGLPNEEWEVNLPAEEVPPELPEPALGINFARDGLSEKEWLSLVAIHSDAWLLSVSFYFGSRFSFYKEERKRLFNMINDVPTIFEVVTGMAKAKDKSSAANQNGNKSKSNSKVRTSEGKSSKTMQPKEEDEGIDEDDEDDHGETLCGACGDSDGADEFWICCDLCEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARA >fgenesh2_kg.5__762__AT3G42960.1 pep chromosome:v.1.0:5:9793973:9795173:1 gene:fgenesh2_kg.5__762__AT3G42960.1 transcript:fgenesh2_kg.5__762__AT3G42960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7LPE0] MANSNKRLFQKVAIITGGARGIGAATARLFTENGAYVIVADILENEGIHVAESIGGCYVHCDVSKEADVEAAVELAMRRKGRLDVMFNNAGMTLNEGSIMEMDVDMVNKLVSVNVNGVLHGIKHAAKAMIKGGGGGSIICTSSSSGVMGGLGGHAYTLSKGAINGLVRTTACELGSHGIRVNSISPHGVPTDILVNAYRKYLNNDKLDVAEVTDIVAEKGSLLTGRAGTVEDVAQAALFLASQESSGFITGHNLVVDGGYTSATSTMRFIYN >fgenesh2_kg.5__766__AT3G42850.1 pep chromosome:v.1.0:5:9863695:9868906:1 gene:fgenesh2_kg.5__766__AT3G42850.1 transcript:fgenesh2_kg.5__766__AT3G42850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESESAASSRSSLVFAYYVTGHGFGHATRVVEVVRHLISSGHRVHVVSAAPEFVFTMEIHSPNLFIRKVLLDCGSVQADALTVDRRASLEKYCEIAVEPRDSILATEVEWLKSIKANLVVSDVVPIACRAAANAGIRSVCVTNFSWDFIYAEYVMAAGHHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFHDVIDIPLVVRPVHKSRQEVRRELGVPDNVKLLIFNFGGQPTGWTLKEEYLPAGWLCLVCGASAKQELPPNFIALPKDAYTPDVIAASDCMLGKIGYGTVSEALAYKLRFIFVRRDYFNEEPFLRKMLEYYQGGVEMIRRDLLAGCWAPYLERAVTLKPCYDGGIDGGEVAAKILHDTAMGKKRSKLNLSGARRLRDAIILGFQLQRAPGRDLSVPEWYQVAGNEAGIPSVDQTQKSSKFVEGFEILHGDHLGLSDTIGFLDSLATLAKIGGHHQEREHLAAAALFNWEEDIVVARAPGRLDVMGGIADYSGSLVLLMPTREACHAAVQRNHPSKQKLWKHAEARHHSRDTPILEIVSFGSELSNRGPTFDMDLSDLMDEDGKPISYEKAYHYFSRDPSQKWAAYVAGTILVLMREMGVRFEDSISILVSSTVPEGKGVSSSASVEVATMSAVAAAHGLVISPRDVALLCQKVENYVVGAPCGVMDQMASACGEANKLLAMICQPAEILGLVEIPSHIRFWGIDSGIRHSVGGSDYGSVRIGAFIGKTMIRSFASSFIDTNSEEAEEESSELIESDASLDYLCNLSPHRFQALYASKLPQSITGEEFIEKYGDHGDSVTTIDRNGTYAIMAPTRHPIYENFRVQAFKALLTATPSEEQVIGLGELMYQCHDSYSACGIGSDGTDRLVRLVQNMENLKSSKTENGTLYGAKITGGGSGGTVCVIGKASLRSSEQILQIQKKYKEATGFMPYVFEGSSPGAGKFGYLKIRKNSAT >fgenesh2_kg.5__767__AT3G42800.1 pep chromosome:v.1.0:5:9874148:9875258:-1 gene:fgenesh2_kg.5__767__AT3G42800.1 transcript:fgenesh2_kg.5__767__AT3G42800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPQKKRTPSFSSSVLDSVYRSIDESDGLQSDLRGSINENVSSSSSSPSPNKKDDKLTTLRRAIIDEEHWLYARSSTTTTNSSDSSAFSSSEAESYRTKRRLRKLAEQGNRSGDERQRTKRTSVLDNDRFISKSDNDKKLKAMTMIEEIKRPKQPVSPGARLTSFLNSIFQSNAKKVKLCSVGKTTDVKSSSSSKSCFSRTRYKTDNNNNNCQKLERSIRFYPVRVTIDGDYRDSVHKSITRERKPIPEFTAKKSIKEEIKTKDHHTEFTCITRKIGLKDFVRSNKYEGKEDEDDVWSYSSSDLFELDSYRIDMGRYLKELPVYETTDFKINQAIAKGLVL >fgenesh2_kg.5__779__AT3G43120.1 pep chromosome:v.1.0:5:9928045:9929816:1 gene:fgenesh2_kg.5__779__AT3G43120.1 transcript:fgenesh2_kg.5__779__AT3G43120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENNAAKLTGIKQIVRLKEILQKWQTVTIGSKSDDGELGAKKHTAIISPVINKRLLDLKTCDSDEETTCHSPESPPDVPKGYLAVYVGPELRRFIIPTNFLSHSLFKVLLEKAEEEYGFDHSGALTIPCEVETFKYLLKCIENHPKDDTSAGDLIETED >fgenesh2_kg.5__77__AT2G01690.2 pep chromosome:v.1.0:5:388744:393735:-1 gene:fgenesh2_kg.5__77__AT2G01690.2 transcript:fgenesh2_kg.5__77__AT2G01690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALSAIPAAVHRNLSDKLYEKRKNAALELENIVKNLTSSGDHDKISKVIEMLIKEFAKSPQANHRKGGLIGLAAVTVGLSTEAAQYLEQIVPPVINSFSDQDSRVRYYACEALYNIAKVVRGDFIIFFNKIFDALCKLSADSDANVQSAAHLLDRLVKQDIVTESDQFSIEEFIPLLKERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVRYYADILGAILPCISDKEEKIRVVARETNEELRSIHVEPSDGFDVGAILSVARRQLSSDFEATRIEALNWISTLLNKHRTEVLCFLNDIFDTLLKALSDSSDDVVLLVLEVHAGVAKDPQHFRQLIVFLVHNFRADNSLLERRGALIVRRMCVLLDAERVYRELSTILEGEDNLDFASTMVQALNLILLTSPELSKLRDLLKGSLVNREGKELFVALYTSWCHSPMAIISLCLLAQAYQHASVVIQSLVEEDINVKFLVQLDKLIRLLETPIFTYLRLQLLEPGRYTWLLKTLYGLLMLLPQQSAAFKILRTRLKTVPTYSFSTGNQIGRTTSGVPFSQYKHQNEDGDLEEDNINSSHQGINFAARLQQFENVQNLHRGQARTRVNYSYHTSSSSTSKEVGRSEEQQQQQQQQQQQQQQQQRPPPSSTSSSVADNNRPPSRSSRKGPGQLQL >fgenesh2_kg.5__780__AT3G43190.1 pep chromosome:v.1.0:5:10006834:10011904:-1 gene:fgenesh2_kg.5__780__AT3G43190.1 transcript:fgenesh2_kg.5__780__AT3G43190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:D7LM82] MANAERVITRVHSQRERLDATLIAQKNEVLALLSRVEAKGKGILQYHQIIAEFEAMPLETQKKLQGGAFFEILRSAQEAIVLPPFVALAVRPRPGVWEYVRVNLHDLVVDELQASEYLQFKEELVDGIRNGNFTLELDFEPFNAAFPRPTLNKYIGNGVEFLNRHLSAKLFHDKESLHPLLKFLRLHSHEGKTLMLNDRIQNLNTLQHNLRKAEEYLMELKPETPYSEFDHKFQGIGLERGWGDTAVRVLDMIRLLLDLLEAPDPCTLGNFLGRIPMVFNVVILSPHGYFAQDNVLGYPDTGGQVVYILDQVRALETEMLQRTKQQGLTITPRILIITRLLPDAAGTTCGQRLEKVYGSQYCDILRVPFRTEKGIVRKWISRFEVWPYLETFTEDVAAEISKELQGKPDLIIGNYSDGNLVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLDEKYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMNIYFAYTEEKRRLTAFHQEIEELLYSDVENEKHLCVLKDKKKPILFTMARLDRVKNLSGLVEWYGKNTRLRELVNLVVVGGDRSKESQDNEEKAEMKKMYDLIEEYKLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTSGLPTFATCNGGPAEIIVHGKSGFHIDPYHGDQAAETLADFFTKCKHDPSHWDQISLGGLERIQEKYTWQIYSERLLTLTGVYGFWKHVSNLDRLESRRYLEMFYALKYRPLAQAVPLAQEE >fgenesh2_kg.5__782__AT3G43220.1 pep chromosome:v.1.0:5:10022665:10029078:-1 gene:fgenesh2_kg.5__782__AT3G43220.1 transcript:fgenesh2_kg.5__782__AT3G43220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7LM84] MSSSPLTDDGGLSSSGTTHSLYASSMQEFKLFETQSNFYMIGWDRSGVYRVLKIDRLDPSELNISQDSTHYTKKECYELLKRIHEGNKATGGLKLVTLCYGIIGFVKFLGPYYMLLITERRQIGEIFGHSVYAVSKSEIVALQNSTLQCNIANIRDENRYKRLLCMVDLTKDFFFSYSYNIMRSYQKNVCNYETGHVLYEKMFVWNEFLTRGIRHHLRNTLWTVALVYGFFKQASLSESGRDFKITLIARRSRHNAGTRYLKRGVNRYGNVANDVETEQIVSEDVPEDHPMQISSVVQNRGSIPLFWSQETSRLNLKPDIVLSKKEPDYEATRLHFDNLVERYGNPIIILNLIKTKEKRPRESILREEFVNAIDFINKDLPEENRLRFLHWDLHKHFQRKTTNVLALLGKVAACALMLTDLFYYQVTPAMKIEGYMSLSSSSDADTGDISPHTSSDDDDSLEKKSSRSKNIAYEKCDVKPPRLQSGVLRTNCIDCLDRTNVAQYAYGWAALGQQLHVLGIRDVPAIELDDPLAISLMGLYERMGDTLAHQYGGSAAHNKVFSERRGQWRAATQSQEFLRTLQRYYNNAYMDADKQDAINIFLGTFQPEQGMPAIWELRSNSLSNGRNGEMSIAKDERFLVKRCLSDGDFLHESCIPLPAMSSNDESMPQKGFSTPLQHVSHILSESSSDIPVSNDVALSRCTPSMPRKQLFGDVQKVHRFGSDQVYFGGEEDMSSVSNFVDIEWLLSSENPCENALFDRSSALTRNLTAKTSSTENSVNGVGQSAPTTSESGSSSSKGKELMGTKIRDDFPDSFKEWVAYGEALCH >fgenesh2_kg.5__784__AT3G43230.1 pep chromosome:v.1.0:5:10058504:10060856:1 gene:fgenesh2_kg.5__784__AT3G43230.1 transcript:fgenesh2_kg.5__784__AT3G43230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LM87] MATLNGKVSPYSEENIKFKYDDYDDDDDDDGYGDGPKWSVQSNPTKKEPEYPIIDSGDYVDDGYDSADELSTTPIQGNGKPEVNLKNVLTGLIAIVTGRNKDVRGSLDQKIPSSNVSFLGSGKNGDTYVHSSVYIPSAPPLLEPSGINYSVYKELLEAEPPEWLPDSLATTCMQCSTPFTAITCGRHHCRFCGGIFCRNCSKGRCLMPSRFRERNPQRVCDSCYERLDPLQCVLINSISNAMQVAKHDVVDWTCSRGWLNLPVGLSMEDEIYKAANTLRGYCQVARLDPEKSIPHAVLSRAKGLAIITVAKAGALLSYKLGTGLVISRRPDGSWSAPSAILSVGLGWGAQIGGELMDFIIVLHDVKAVKTFCSRMHFSLGAGCSAAAGPIGRVLEADLRAGDKGSGVCYTYSRSKGAFVGVSLEGNVVATRRDMNVKFYGDPYLTTTDILLGMVDQPKAAEPLYTALRDLYARLRP >fgenesh2_kg.5__785__AT3G43240.1 pep chromosome:v.1.0:5:10061125:10066134:-1 gene:fgenesh2_kg.5__785__AT3G43240.1 transcript:fgenesh2_kg.5__785__AT3G43240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LM88] MMFHGQGFSRNRCNVVAVVSGELCDTNNQIDGAPHQQPKYPFPDLSSSGRLKFQVLNNPTPEEFQVAVNSSATDFVYLQGEHSGDSDEVGPLVFGYTDFSTPDALVTLFGSTLPTTVYLELPNGEELAQALYSKGVQYVIYWKDVFSKYAACHFRHALFSVIQSSCSDTWDVFHVAEASFRLYCTSDNAVLPSNSNRKMNYEMGPCLLGEPPKIDVVSPEADELEEENSLESLPSIKIYDEDVTVRFLLCGPPCTLDTLLLGSLVDGLNALLRIEMRGSKLHNRSSAPAPPLQAGTFTRGVVTMRCDVSTCSSAHISMLVSGNAQTCFSDQLLENHIKHEVVEKIQLVHPVGNSEETKRGFSEPRRSASIACGASVCEVSMQVPTWALQVLRQLAPDVSYRSLVVLGVASIQGLSVASFEKDDAERLLFFCGQQINDTSNHDTLLSKIPHWLTPPLPTRKRSEPCRESKEIENGGPTSRKINVAALRPIPHTRRHKMIPFSGYSEIGRFDGDHTKGSLPMPPKHGASGGTPVTHRKAFSGSYQRKQIISLNPLPLKKHDCGRAHIQVCSEEEFLRDVMQFLLIRGHSRLVPPGGLAEFPDAVLNSKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTLTNRMTGVGNTLKRHYETYLLEYEYAHDDVDGECCLICRSSTAGDWVNCGSCGEWAHFGCDRRPGLGAFKDYAKTDGLEYVCPNCSVSNYRKKTPKTSNGGMLVP >fgenesh2_kg.5__789__AT3G43430.1 pep chromosome:v.1.0:5:10102713:10103379:-1 gene:fgenesh2_kg.5__789__AT3G43430.1 transcript:fgenesh2_kg.5__789__AT3G43430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LM92] MTFFIEDTSVIISHVLYKTAVIIAVLRWIFAWSLRYRSRSSSSSSSQSSSTPLISSQTIKESLAVTAFRDAVERSPATINDTCAVCLGDLEDDDEIRELRNCNHVFHRDCIDRWLEYECRGGDEDNHRTCPLCRTPLLPSFSDCSTVNQPSWAVERLLYLFGDDLLP >fgenesh2_kg.5__792__AT2G07110.1 pep chromosome:v.1.0:5:10200497:10201026:1 gene:fgenesh2_kg.5__792__AT2G07110.1 transcript:fgenesh2_kg.5__792__AT2G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LMA0] FFFIIFKWDSLKSLVYIFRDTKVIAAEYRPKAGTKENENAEKACFEVYGNLKPDRLVIVNALTCSKGGLFCCLRKVEREYETILKRTLQSICVLTVVPNTTTSVIIQVVHDGGSVSFYVPYTLVNIY >fgenesh2_kg.5__793__AT3G43520.1 pep chromosome:v.1.0:5:10240661:10242606:1 gene:fgenesh2_kg.5__793__AT3G43520.1 transcript:fgenesh2_kg.5__793__AT3G43520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLILSSSAQSSLLHVRPNLFLLNTSTASPIRSVRFQNPNGFHPLAFASGHRRLPLGAVVPSDSTKTITGTITANCVDSGVKAVEVEPTIDYGGGGGGGIGGDKFGGGGGGGDGNDDGEDGDKEESDGKKGTPLSMSQKLTLGYAFLVGVGGLMGYLKSGSQKSLLAGGLSAAVLLYVFSQLPTKPVLASTVGVVMAGALTYVMGTRYMGSKKIFPAGVVSIMSFIMTGGYIHGIMRSLH >fgenesh2_kg.5__794__AT3G43540.1 pep chromosome:v.1.0:5:10242683:10247518:1 gene:fgenesh2_kg.5__794__AT3G43540.1 transcript:fgenesh2_kg.5__794__AT3G43540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGLATSSFLGTQIIPATLFCRRARAVSLTVTASGSRNDKVYTRLDSCLVIPPPPRFKKPRAIVKFLGGAFVGAVPELTYSYLKELLAKEGYLIVSVPYNVTFDHEQAAKQVYERFNSCLDKIVSSGIPNTNLKPEDLADLPLFSVGHSNGALLQVLTGSYFAEKIPKVNAIISFNNKSATEAVPYFEQLGPLIQQMLPIVEASPVYEMARNASGDVLKLLLDTAGTTILNNDQEALKSFTKLVDQLPSVFGEVGQGVSEFRPSPLENRNCFKCSYSVPHTLLVQFNSDAIDETDLLEETLRPRIESIGGTLEKVRLNGNHLTPCIQDPKWQIGSVYTPADAVAQALKTIPLSETRVLSRTIVDWFRRFED >fgenesh2_kg.5__797__AT3G43610.1 pep chromosome:v.1.0:5:10267928:10274709:-1 gene:fgenesh2_kg.5__797__AT3G43610.1 transcript:fgenesh2_kg.5__797__AT3G43610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin family protein [Source:UniProtKB/TrEMBL;Acc:D7LMA8] MPVVATSLVSLQEKLKVEEPYLPPRNWESLPSQSRRFLPPTTSSASSSSVSESSLVRLALNALQGVESSLISIEHLSSALCSEPADRTLHKIPSLWHRLSSTDALGQILRNIGCFGSLVFLLHKFVDHFTSLNLDVETAVEGQGSYKIGENEEVINRSCYTLVNQAFAIAVRKVLEGYISGLDTLCASIELRRSSNIVDGSDHGSSWSGCLTNVVHPKITLLEVFLHTRELRTQIEALANICNLYDIPLSYCASPWECLITEATTRFHGFYRGSDLLTYLYTQLQVADPAHSAMLKFLFLKTCEPYCEFIRSWMFKAELNDPHKEFIGECLSESTSFSWNKPGTSPLKSVREQGGLVPCFLNGFLEPILRAGQQLQVITKLLELCNPPASGHKNYTDLLPCWTYFSTSSPGHPSPITFSKLQIEVMVKKRDDYYRMMQEKLGDFSEKFELFPGQVPGALSLPISYGDGDKNSVYFTLDGSLLIPSTVAIDLTRDQSGSDSDDQNTEDRWFSEIDASCSSECSSTRDSLEASDVGLLDSQSTLVGPPPNYLSALRFSVASDGNCNQNLVQHSDSGYIDNNFVKQGEKADTNHQWMDTEPEESTGVCEDDKFRGPISIKSWPLGGLPKNPFCVDKKSAEDDREDPRNDSGAMTEQRHLMNTDEGKLFLNNISTSGSCSKHERRHDLLENFLSSKLDLIKDTKVNYPYEVLSMNPLLRCDFLRKHGNTNRRNQGKSLPWFDFSAVDDPSKTCITRIPVRVPIDFHKESHSFQTDRNRHRHANQECGIDRFDVEEPKVSCSHLSSGIKGCTEEKKSNAFGGGRWEGMLRRSNNPETSAFSDRRQDSSGTFELPLDFVIDKCLLQEIHLQYNFVSKLAIKLLEEGFGLQEHLLALRRYHFMELADWADVFVVSLWHHKWLVTEADKRIAEIQGFLESSIQRSSCERDICKDRLFLYKRQGTMHIPPSTIGVRSFDFLRLGYRVDWPISIILTCDALKAYADVFSFLVQVKLAAYVLTDVWCSLKDVRHMMHENKEKILKQELRWLNILMKLRHQVNHFVTALQQYVHSELSHVSWSKFLHSLKIKVKDMMDLESVHMAYLSEALRICFLSDETRVISNIIENILQCALDFRSCLPRAIQSTDRVPNDSQTKTLGINTSQVMMVKQNFDKELKELHKCHMRSPKHGKFGLSRFWDYLNFNLYYSDILHDSNIFFLIP >fgenesh2_kg.5__798__AT3G43630.1 pep chromosome:v.1.0:5:10293929:10294530:1 gene:fgenesh2_kg.5__798__AT3G43630.1 transcript:fgenesh2_kg.5__798__AT3G43630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LMB0] MEENQEKAFDYSKRAQWLRAAVLGANDGLVSTASLMMGVGAVKQDVKIMILTGFAGLVAGACSMAIGEFVSVYSQYDIEVAQMKRETGGEVEKEKLPSPTQAAAASALAFSLGAMVPLLAAAFVKEYKVRIGVIVAAVTLALVMFGWLGAVLGKAPVVKSSLRVLVGGWLAMAITFGFTKLIGSHGHMYV >fgenesh2_kg.5__799__AT3G43660.1 pep chromosome:v.1.0:5:10297583:10298380:1 gene:fgenesh2_kg.5__799__AT3G43660.1 transcript:fgenesh2_kg.5__799__AT3G43660.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNNNLNLDMEKDQDTTFDYSKRAQWLRAAVLGANDGLVSTASLMMGIGAVKQDVRTMLLTGFSGLVAGACSMAIGEFISVYSQYDIEVAQMKRESGGETKKEKLPSPMLAAIASALSFSLGAIVPLLAAAFVKEYKVRIGGIVAAVTLALVMFGWLGAVLGKAPVVKSSLRVLIGGWLAMAITFGFTKLVGSHGL >fgenesh2_kg.5__79__AT2G01710.1 pep chromosome:v.1.0:5:399391:400544:1 gene:fgenesh2_kg.5__79__AT2G01710.1 transcript:fgenesh2_kg.5__79__AT2G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNNPNRPEAERLLGIAEKLLESRDLNGSKEFAILAQETEPLLEGTDQILAVVDVLLSSSSENRIKNKPNWYKILQIEDPNESSTDNDLIKKQYRRLALLLHPDKNRFPFADQAFRFVLDAWEVLSTPSKKSQFDRDLNLIFTKVDLNTQKSKKKTTTNEKMATFWTACPYCYSLHEYPRVYQEYCIRCQNCQRAFHAAIIPQLPPLVPGKDEYYCCWGFFPMGFVGGKGGEAAIANGVDAAKFPNWMPPLFSSGGVAAPPSVNGGGYGVSFDGWSGGAAKRDNVGVNLDGTPKKRGRGRPKKNPV >fgenesh2_kg.5__800__AT3G43670.1 pep chromosome:v.1.0:5:10301654:10304499:1 gene:fgenesh2_kg.5__800__AT3G43670.1 transcript:fgenesh2_kg.5__800__AT3G43670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:D7LMB2] MVEPSFSQLLVLFINLLFLFTTLGSSAKTRFKYSLEKPHHPLDPLTTPEIKRVQTILSGHDPGFGSGSAIIHAMALDEPEKQRVVRWKKGDRLPPRRAEVLAMSNGESHVLTVDLNSGRVVSDLVSPTFGYPILTMKDIIAVSQVPYKSMEFNRSIEGRGIPFSGLICITPFAGWYGPDEEGRRVIKIQCFSKQDTVNFYMRPIEGLYLTVDMDKLEIIKIVDNGPVPVPKSTGTEYRYGFLNETVHMDRVNPMSMEQPDGPSFQVEDGYLVKWANWKFHIKPDQRAGMIISQATVRDSKTGEARSVMYKGFASELFVPYMDPGTGWYSKAYMDAGEFGLGPSSMPLVPLNDCPRNAYYIDGFFASPQGIPVLQPNMICLFERYAGDISWRHSEILLPGTDIKESRAKVTLVARMACSVGNYDYIFDWEFQMDGLIRVTVAASGMLMVKGTAYQNVEDLGEKEEDSGPLISENVIGVVHDHFISFHLDMDIDGSANNSFVKVHLEKQRVPPGKSRRKSYLKVKKYVAKTEKDAQIKLSLYDPYEFHFVNPNRLSRLGNPAGYKLVPGGNAASLLDHDDPPQIRGAFTNNQIWVTRYNRSEQWAGGLLMYQSRGEDTLQVWSDRDRSIENKDIVLWYTLGFHHVPCQEDFPVMPTIASSFELKPVNFFESNPVLGISPFFEKDLPPVC >fgenesh2_kg.5__802__AT3G43720.1 pep chromosome:v.1.0:5:10375737:10377487:-1 gene:fgenesh2_kg.5__802__AT3G43720.1 transcript:fgenesh2_kg.5__802__AT3G43720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid binding protein [Source:UniProtKB/TrEMBL;Acc:D7LMC7] MSNVVVIAVVLITASLTGHVSAQMDMSPSSGPSGAPDCMANLMNMTGCLSYVTVGEGGGAAKPDKTCCPALAGLVESSPQCLCYLLSGDMAATLGIKIDKAKALKLPGVCGVLTPDPSLCSLFGIPVGAPVAMGNEGASPAYAPGSMSGAESPSPGGFGSGPSASKRASGASSTASYSLFLNLIIFPLAFAFYIYC >fgenesh2_kg.5__804__AT3G43740.2 pep chromosome:v.1.0:5:10395980:10397607:1 gene:fgenesh2_kg.5__804__AT3G43740.2 transcript:fgenesh2_kg.5__804__AT3G43740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LMC9] MVAQNSRRELLAASLILTLALIRLTEANSEGDALHALRRSLSDPDNVLQSWDPTLVNPCTWFHVTCNQHNQVTRLDLGNSNLSGHLVPELGKLEHLQYLYGIITLLPFDYLKTYFSITNQILFCFDSYSELYKNEIQGTIPSELGNLKSLISLDLYNNNLTGKIPSSLGKLKSLVFLRLNENRLTGPIPRELTAISCLKVVDVSGNDLCGTIPVEGPFEHIPMQNFENNLRLEGPELLGLASYDTNCT >fgenesh2_kg.5__808__AT3G43790.1 pep chromosome:v.1.0:5:10425004:10428679:1 gene:fgenesh2_kg.5__808__AT3G43790.1 transcript:fgenesh2_kg.5__808__AT3G43790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDESRTILLEKNEDCPGCIIDRTKQDQRGVPYFHLSFIWLVSLCTALPISSLFPYLYFMIRDFHIAKQEEDIGFYAGFVASSFMIGRALTSIFWGKLADRYGRKPIILIGTFSVIIFNTLFGLSTSFWLAISVRFLLGCFNCLLGVIRAYASEVVSEEYNALSLSVVSTSRGIGLILGPAIGGYLAQPAEKYPNIFSQSSVFGRFPYFLPSLVISVYATGVLIACWWLPETLHTRCRIAQGRLNPTELNDDESRGGGLDDQKIISKPSLLRNRPLMAIIIVYCVFSLQEIAYNKIFSLWAVSDRRYGGLSFSSQDVGEVLAISGLGLLVFQLLVYPPLEKSVGLLAIIRLSAVLLIPLLSCYPYIALLSGVTLHLVINFASILKNALSISLVTGLFIMLNKAVPQNQRGAANGISMTAMSVFKSFGPAGGGVLFSWAQKRQDATFLPGDEMVFLVLNLVQLVGLILTFVPYISQIQ >fgenesh2_kg.5__80__AT2G01720.1 pep chromosome:v.1.0:5:400831:404148:-1 gene:fgenesh2_kg.5__80__AT2G01720.1 transcript:fgenesh2_kg.5__80__AT2G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1 [Source:UniProtKB/TrEMBL;Acc:D7LLU9] MAARIWIFSVVVAVFLSISAFSSAQDLQIVNAERRIDLSSHIVKAFLTLKVENIGKDPAAEMLLAFPPTQIKNLAMVQALAITGKKKKKTYLPLDVKPTEQSDAPNDTGYYRVKFISPLGPGETVSLEVLYILTHSLEPFPVEITQSESQLVYYHDSAVILSPYHIKQQTTFVKTPTTRVESFTSIEPANRAGKEIKYGPYENRASYSYTPVIIHFENNSPFAVVEELVREIEISHWGSLQITENYRLTHGGARHKGVFSRVDYQSKRSVSGASSFNALLAVLPPRVNSVYYRDNIGNISTSHLRTGFRKSELEFEPRYPLFGGWSATFVIGYRVPLEDYLFEASDGRRYLNFTFGCPLVETIVNKLTLKVVLPEGSKDPSAVLPFTVNQELEVKYSYLDIVGRTVVVLQKDNVVPTHNVPFQVYYTFKPIYMLAEPFMLVSAFFLVFVASLAYVHIDLNIVRK >fgenesh2_kg.5__811__AT3G43810.1 pep chromosome:v.1.0:5:10435119:10436860:-1 gene:fgenesh2_kg.5__811__AT3G43810.1 transcript:fgenesh2_kg.5__811__AT3G43810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >fgenesh2_kg.5__813__AT3G43860.1 pep chromosome:v.1.0:5:10509889:10512621:1 gene:fgenesh2_kg.5__813__AT3G43860.1 transcript:fgenesh2_kg.5__813__AT3G43860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 9 protein [Source:UniProtKB/TrEMBL;Acc:D7LME7] MGNYGDKGRGNVVIRAILLGLYGIISIVCVNGTNINYKDALTKSLIFLEAQRSGKLPPNNRVPWRGDSALDDGKLVNVDLSGGYYDAGDNVKYGLPMAFTITTLAWSTITYEKELRATGELENARAAIRWGTDYFLKCASRKNRLYVQVGDPNADHQCWARPENMKTPRTVLEISDKVPGTEIAAEAAAAFAASSIVFRHVDHKYARRLLNKAKLLFKLAKSHKGTYDGECPFYCSNSGYNDELIWAATWLYKATRNDLYLSYLKFEAISAYVAEFSWDLKYAGAQILITKLIFEGAKGLDLYKQQADSFVCSNLPGSPYHQVFTTPGGMIHLRDGANSQYVTATAFLFSTYADILQKHNQKISCGSHQFDSTHLMAFAKKQIDYILGHNPQGRSYMVGFGPNPPKQAHHRGASVPMHEANAPLSCPLSFVKWYNKNVPNANELTGAILGGPDRQDKFQDLRWTSVYTEPCTYINSIAVGVLAKLAAA >fgenesh2_kg.5__815__AT3G43910.1 pep chromosome:v.1.0:5:10515055:10517094:1 gene:fgenesh2_kg.5__815__AT3G43910.1 transcript:fgenesh2_kg.5__815__AT3G43910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQELLNPLSYSSPNYDEAIQENFKPHWIIGIDPTLSGALAVLKFDDKGSCSAQVYDTPRRQVVVQNIPRSRFKEKSMLELIRTLDVPSGTKAFVAKMILYEDDNIMAAYNAGLGYGLWTGILLTSNISVSSVAPSTWKKHFKLSIYSRDGGRKLALKMFPSLSSQLTRRRDYARANALLIAAYGHATSETKYYSFSQI >fgenesh2_kg.5__816__AT3G43920.1 pep chromosome:v.1.0:5:10523685:10531119:1 gene:fgenesh2_kg.5__816__AT3G43920.1 transcript:fgenesh2_kg.5__816__AT3G43920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSNSLKRKSSEIDGDQILASVSSPTMVESNGSYELKVYEVAKNRNIIAVLGTGIDKSVITKMLIKAMGCSDTDKRLIIFLAPTVNIQCCEIRAQVNLKVEEYFGAKGVDKWTSQRWDEEVSKHDVSLVMTPQILLDALRSAFLKLEMVCLLILDECHRTTGNHPYAKLMKIFNSEEGKGVEKFAKAVKEGPIFYDPSPFRSLELKEKLETSHLKFDTSLRRLQELEKGSFLNIDNKFETYQKRLSIDYKEILHCLDNLGLICAHLAAEVCLEKISDTKEESETYKEWSMVCKEFLEDILSTIGVYLQQGDKNSVDLQQNRLSAVNSGHVSPKLKELFHLLDSFRGEKQKQCLILVERIITAEVIERFVKKEASLSYLNVLYLVGNNLSTNASAQKTQIEIPNLFHEGKVNLLFITDAVEEGFQVPNCSCMVCFDLPKTVCSYSQSQEHAKQSNSKSIMFLERGNPKQRDHLYDLMRREVPIRDPEAPNLKSCPPPVTNAHGVKEIGTMVIPDSNITVSEEAASTQTMIDPPSRNEQLPPCKKLRLDNNLLQSNAKEKVASSKSKSSSSAAGSKKRKELHGTTCANALSGTWGENIDGAIFQAYKFDFCCNISGEVYSSFSLLLESTLAEDVGNVEMDLYLVRKLVKASVSPCGQIRLSQEELVKGKYFQQFFFNGMFGKLFVGSKSQGTKREFLLQTDTSSLWHPSFMFLLLPVETKDLASSATIDWSAINSCASIVEFLKKNSLLELQVSDGNQCNTSSDQEVLLDDKMEETNLIHFANASSDKNSLEELVVIAIHTGRIYSIVEAVRDSSALSPFECDASSEYATYAEYFNKKYGIVLAHPNQPLMKLKQSHHAHNLLVDFNEEMVVKAEPKAGNVRKKKPNIHAHLPPELLARIDVPRAVLKSIYLLPSVMHRLESLMLASQLREEIDCSIDNFSISSTSILEAVTTLTCPEAFSMERLELLGDSVLKYVASCYLFLKYPDKDEGQLSRQRQSIISNSNLHRLATNRKLQGYIRNGAFEPRRWTAPGQFSLFPVPCKCGIDTREVPLDPKFFTENMTIKIGKSCDMGHRWTVSKSVSDCAEALIGAYYVSGGLTASLHMMKWLGVNVDFDPNLVVEAISRVSLRCYIPKDDELTELERKIQHEFSAKFLLKEAITHSSVHESYSYERLEFLGDSVLDFLITRHLFNTYEQTGPGEMTDLRSACVNNENFAQVAVKNNLHIHLQRCATVLENQINEYLMSFQKPDETGRSIPSIQGPKALGDVVESIAGALLIDTRLDLDQVWRVFEPLLSPLVTPDKLQLPPYRELNELCDSLGYFFREKCSNDGVKAQATIHLQLGDVLLTGDGSEQTNKLALGKAASHLLTQLEKRNISRKISIGDNQSSMDVNLACNHSDRETPTSDSIEIQSIVIPVIGPINTKKGGPRGTLHEFCKKHLWPTPTFDTSEEKSRTPFEFTDGDEKRTSFSSFTSTITLRIPNRGAVMYAGEARPDKKSSFDSAVVELLYELERRKIVTIQK >fgenesh2_kg.5__817__AT3G43930.1 pep chromosome:v.1.0:5:10531107:10533728:-1 gene:fgenesh2_kg.5__817__AT3G43930.1 transcript:fgenesh2_kg.5__817__AT3G43930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRRAEVIVTKRCSKLRVEFTPSLRGSRISEPFSPATTNASSRFQPPVRSDGPFSGLIICVTGLSKEARKQVKEATERLGGEYSPLLHSLCTHLVFEHALKHGRRETLHIVTLGWFVDSVRRNVKLGESFYAVKKLGDTKVNVDGSKSVYAVEKPPRGMQGTKFTGSKDLALSGYSVFIDPDISEEVRRRVSQVAVEGGAKLMNQWFIGCNASHVVCEAGSVLRYLGHSSNLVTPVWLQKTLEEKPMQNVVRMSADLARDVITMLENLVKGSRMECVLEDASMLRNRTTTYKERQKIVESAKKAMGKMLIQPNQTSLLDSICWTVSEPTSTASVIIDSFNNNEDIERKSLSAFFDDKTNDSFPHSIRLLTESERMELVYKNHFITLLLPIDWYGEMGPSSRSYFSETGFTCQQILQHIYAFYQENMSEEEIKAAIHTNSRQSEKLRAVDSMMKGGKTVFKRIQFLGSRKGFEMLKRVSSFNCSNVYELIIKA >fgenesh2_kg.5__820__AT3G43960.1 pep chromosome:v.1.0:5:10563369:10564773:-1 gene:fgenesh2_kg.5__820__AT3G43960.1 transcript:fgenesh2_kg.5__820__AT3G43960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFRTLALLTLSVLLISLSLGVVTATESHRNEAEVRTIYERWLVEHGKNYNGLGEKERRFKIFKDNLKHIEEHNSDPNRSYDRGLNQFSDLTVDEFQASYLGGKIEKKSLSDVAERYQYKEGDILPDEVDWRERGAVVPRVKRQGDCGSCWAFAATGAVEGINQITTGELLSLSEQELIDCDRGKDNFGCAGGGAVWAFEFIKENGGIVTDEDYGYTGDDTAACKAIEMKTTRVVTINGHEVVPVNDEMSLKKAVSYQPISVMISAANMSDYKSGVYKGPCSNLWGDHNVLIVGYGTSSDEGDYWLIRNSWGPGWGEGGYLRLQRNFNEPTGKCAVAVAPVYPIKTNSASNLLSPSVFKLVLLCIFQLISLALL >fgenesh2_kg.5__822__AT3G44050.1 pep chromosome:v.1.0:5:10635068:10641326:1 gene:fgenesh2_kg.5__822__AT3G44050.1 transcript:fgenesh2_kg.5__822__AT3G44050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFISETASAIKRRFGFNDRPAPSESLRSVPCTPEASTGSRENHTHQSTVFSSAVRSMPELDEDGAICAGSAQISRSQSFEFNEDPAFWKDHNVQVIIRTRPLSTSEISVQGNNKCVRQDNGQAITWIGNPESRFTFDLVADENVTQEQMFKVAGVPMVENVVAGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRVFEYLFSRIQKEKEVRKEEKLHFTCRCSFLEIYNEQILDLLDPSSYNLQLREDHKKGIHVENLKEIEVSSARDVIQQLMQGAANRKVAATNMNRASSRSHSVFTCIIESKWVSQGVTHHRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVSVSNGKSVHVPYRDSKLTFLLQDSLGGNSKTIIIANISPSSSCSLETLSTLKFAQRAKLIKNNAIVNEDASGDVIAMRLQIQQLKKEVTRLRGMVNGGVDNQDMDNISMGCPASPMSLKWDGFNGSFTPLTTHKRMSKVKDYEVALVGAFRREREKDAALQALAAENEASMKLEKKREDEIRGLKMMLKLRDSAIKSLQGVASGKISVEGHLQKEKGDLMKEIEEGERDILNQQIQALQAKLLEALDWKLMHESDSSMVKEDGNISNMFCSNQNQESKKLSSIQDENEFLRMQAIQNRAEMESLQKSLSFSLDEKERLQKLVDNLSKELEGRIRSSGMVGDDDQMEVKKMVQAIACVSQREAEAHETAIKLSKENDDLRQKIKVLIEDNNKLIELYEQVAAENSSRASGKIETDSSSNNAEAQNSAEIALEVEKSAVEEQKKMIGNLENQLSEMHDENEKLMSLYENAMKEKDELKRLLSSPDQKKPIEADSDTEMELCNISSEKSTEDLNSAKLKLELAQEKLSVSAKTIGVFSSLEDNILDIIKLSKESKEAEDKVKEHQYELGSIKTVSDQTNARKEVAEKKLSALRFSLSNFASSAVYFQQREERARAHVNAFSGHLNQKHEELDVLRSHKREIDAAMGKIQQSEAELKSNIVMLKIKVDEENKRYEEESVLCTIDNTLRTGKATDLLKSQEEQTKLQFEMKLSREKLASVRKEVDDMTKKSLKLEKEIKTMETEIEKSSKTRTELEMELENTIQEKQTIQEMEEQGMTEIQNMILEIHQLFFESDLRKEEAMIIREELDAEEFRAKDVHKNMIERVENALKTLENQNNSISGKIEEQVESVLGLVHEATRLLEVSH >fgenesh2_kg.5__826__AT3G48650.1 pep chromosome:v.1.0:5:10681027:10682476:-1 gene:fgenesh2_kg.5__826__AT3G48650.1 transcript:fgenesh2_kg.5__826__AT3G48650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLELEKKHKNDKKSRRFAAALFSVLAIWIYLGAVSLVVAAKVVIGVATPSIRPLWKWVTEMLEDSEIAYKKLTGLFQSMDKNAKLNIESAKTIKSLVETLITRIKPILETVDDAVEQREEETVKLVMQEIIKDVEGFADKIEEVGTNVARCSKVVAEGRVDVLEHISNLA >fgenesh2_kg.5__829__AT3G44160.1 pep chromosome:v.1.0:5:10692491:10695505:-1 gene:fgenesh2_kg.5__829__AT3G44160.1 transcript:fgenesh2_kg.5__829__AT3G44160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKSIHAGRAKIDVNVDFTHKLCTSLMFPAFRDTSSPLSLVIGRQVFLCIKHPNLFGGSEKLDVSWDKGLYDSNVLVAFRRPRPEWRPQQCFFIQHSLSPEIGVHGTPVDNFSRSGSGGVNLSKLAVGLDLSEPASSKWSSTTSVKFEHVRPINDDGRAITRDLDGFPITCSGNTHDSMVVLKQESRFAKATDQGLSHFSMQIEQGIPVVSKWLIFNRFKFVASKGVRFGPAFLLASLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRSCLVANTELAVPLNKMTEGTIFLDCGTDLGSSRLVPGNPSMRQGKPGYGYGFGYGLRFKSPLGHLQVDYAMNAFNQKTLYFGVTNLASST >fgenesh2_kg.5__831__AT3G44170.1 pep chromosome:v.1.0:5:10702858:10703319:1 gene:fgenesh2_kg.5__831__AT3G44170.1 transcript:fgenesh2_kg.5__831__AT3G44170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LMI1] MVITNDLFGVKNGNAGFVCAKGGNKVWKKSKPGDRYVVVEFKYDGKMRHTFTHCHLRSNFGFVNFPVSVHPDTSAQCYPSYVCGYSVRKDGVFYKPEKKLYRW >fgenesh2_kg.5__832__AT3G44190.1 pep chromosome:v.1.0:5:10716082:10728891:-1 gene:fgenesh2_kg.5__832__AT3G44190.1 transcript:fgenesh2_kg.5__832__AT3G44190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LMI4] MEKTESKSGKGRRVVVIGGGIAGSLASKFLQFDSDLTLIDPKEYFEIIWASLRSMVEPSFAERSVINHKKYLQNGRVVTSPAVNITDTDVVTADGLVFGYDYLVIATGHNDVLPKTRQEKLSQYQAEYEKIKSSESILIVGGGPSGVELAAEIAVDFPEKKVTIVHNGPRLLEFVGQKAADKAFDWMKSKRVEVILNQRVDLSSASDGNKTYRTSGGETIHADCHFLCTGKPLSSEWLKGTVLKDNLDGKGRLMVDEYLRVKGRKNVFAIGDITDVLEMKQGYIAEKHASVATKNIKLLMSGGNEKKMSTYKPGPDIAIISLGRKDSVAQFPFMTVSGCIPGLIKSKDLFVGKTRKARGLDPNIVEP >fgenesh2_kg.5__833__AT3G44200.1 pep chromosome:v.1.0:5:10735643:10740738:1 gene:fgenesh2_kg.5__833__AT3G44200.1 transcript:fgenesh2_kg.5__833__AT3G44200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase [Source:UniProtKB/TrEMBL;Acc:D7LMI5] MESRMDQYELMEQIGRGAFGAAILVHHKAERKKYVLKKIRLARQTERCRRSAHQEMSLIARVQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKSNGVYFPEEKLCKWFTQLLLAVEYLHSNYVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAYRPAFKAFDMAGLISKVNRSSIGPLPPCYSPSLKALIKGMLRKNPEYRPNASEILKHPYLQPYVEQYRPALSAASITPEKPLNSREGRRSMAESQNSNSSSEKDNFYVSDKNIRYVVPSNGNKVAETDSGFIDDEDILDHVQQSAENGNLQSVSATKPDSHGILKPVHSDQRPDVIQPRHPKTIRNIMMVLKEEKARENGSPMRSNRTRPSSVPTQKNNIETPSKIPKLGDIAHSSKTNASTPIPTSKLASDSARTPGSFPPKHHMPVIDSSPKLKPRNDRISPSPAAKHEAEEAMSVKRRQRTPPTLPRRTSLIAHQSRQLGADIPNMAAKETAKLHPSMPSESETNSHQSHVHASPVTTMLEPKRTSVGSSKGMQSESSNSISSSLSMQAFELCDDASTPYIDMSAHTTPDDHRRSCHSEYSYTFPDISSEMMIRREEHSTSMRLTEIPDSVSGVQNTIAHHQPEGEQGSSPIVAKDDSPTTLQSYEPNASQRQHGDDKFTVKEFVSSVPGPAPLPLHVEPSHQVNSHSDNKTSVVSQNSALEKNNNPSHLHPVVDDVIHVIRHSSFRVGSDQPVMESVEVGVQNVDMGKLINVVRDEMEVRKVATPSESPTTRSIISEPDSRTETRPEEQDPITNYSEIKSFNSCSDSSPAETRSNSFVPEEETTPTPPVKETLDIKSFRQRAEALEGLLELSADLLEQSRLEELAIVLQPFGKNKVSPRETAIWLAKSLKGMMIEDINNNNSSGSSRNCS >fgenesh2_kg.5__834__AT3G44260.1 pep chromosome:v.1.0:5:10806870:10807760:-1 gene:fgenesh2_kg.5__834__AT3G44260.1 transcript:fgenesh2_kg.5__834__AT3G44260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIKSNTYNKPCGGITVVTREVWAENLESEFELISEIIDDFPFISMDTEFPGVIFKSDLRFTNPADLYSLLKANVDALSLIQVGLTLSDANGNLPDLGENHRGFIWEFNFRDFDVARDAHAPDSIELLRRQGIDFERNCRDGVDSERFAELMMSSGLVCNEDVSWVTFHSAYDFGYLMKILTRRELPSALGEFKRVMRVLFGERVYDVKHIMKFCERRLYGGLDRVARTLEVNRAVGKCHQAGSDSLLTWHAFQRMRDLYFVQDGPEKHAGVLYGLEVF >fgenesh2_kg.5__836__AT3G44280.1 pep chromosome:v.1.0:5:10832139:10834728:-1 gene:fgenesh2_kg.5__836__AT3G44280.1 transcript:fgenesh2_kg.5__836__AT3G44280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPILLVGLLVILIVTSQFEWRQPLVELDTATSSSQKQQQISDREEAVKEKIIISQERHIQRLNELVRSLQLQLLQCKGENETQNATKSYHLNKQFIEVERKQILEG >fgenesh2_kg.5__841__AT3G44320.1 pep chromosome:v.1.0:5:10853491:10861918:1 gene:fgenesh2_kg.5__841__AT3G44320.1 transcript:fgenesh2_kg.5__841__AT3G44320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKEMSTVKNTTPFNGVAPSSTVRVTIVQSSTVYNDTPATLDKAEKFIVEAASKGARLVLFPEAFIGGYPRGFRFGLAVGVHNEEGRDEFCNYHASAIKVPGPEVERLAELAGKNNVHLVMGAIEKDGYTLYCTALFFSPQGRFLGKHRKVMPTSLERCIWGQGDGSTIPVYDTPIGKIGAAICWENRMPLYRTALYAKGIEIYCAPTADYSLEWQASMIHIAVEGGCFVLSAHQFCKRKEFPEHPDYLFNDIVDTKEHDPTVSGGGSVIISPLGQVLAGPNYESEGLVTADLDLGDIARAKLYFDVVGHYSKPDIFNLTVNEHPKKPVTFVTKVEKAEDDSNK >fgenesh2_kg.5__843__AT3G44326.1 pep chromosome:v.1.0:5:10865376:10866502:-1 gene:fgenesh2_kg.5__843__AT3G44326.1 transcript:fgenesh2_kg.5__843__AT3G44326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSLTVEQPSRTGSSGINAVSSDVLRSNILTRLDGASLAALSCTCSNLNSFCSDESLWMQQCSATWPSTLDTRVQSIISTFPAGHRQFFSDSFPFLEHDGVINLPPSVDMTELISAVDMTELISAVDIFYKDKVIFSRVHVTETVSGWFLCSPMRVDLVEPKELISTKVSVVNQWEDDTWKSDLEKDLSLSWILIDQTGKRAADVSTRKPVSVQRHWLTGEVHVKFSTIFVVGNKKRSEQVEFTVTVVLAAFNRREEETAVMQIREVSLVAEDKDGKNLGGNGSLVILAAAMGRKRRFRAGGEGGGKEKYLEFMERKSEKAEMKWRRGKETAMETAACWIAVLLLGFLLCFYLFIHKNMVAIMKKLIK >fgenesh2_kg.5__846__AT3G44340.1 pep chromosome:v.1.0:5:10885455:10893839:-1 gene:fgenesh2_kg.5__846__AT3G44340.1 transcript:fgenesh2_kg.5__846__AT3G44340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPPGAYRPNNNPQNSGGPPNFVPGSQGNPNSLAANMQNLNINRPPPPMPGSGLRPSPPFGQSPQSFPQQQQPRPSPMARPGPPPPAAMARPGGPPQVSQPGGFPPVGRPVGPPSSQPPFGSRPSTGPLVGGGSSFPQPGGFPASGPPGGVPAGPPSGARPIGFGSPPPMGPGMSMPPPSGIPGGPLSNGPRPSGMPGGPLSNGPPPSGMPGGPLSNGPPPPMMGPGAFPRGSQFTSGPMMAPPPPYGQPPNAGPFPGNSPLSSPPAHSLPPPTTFPGAPYGRPPMPGGFPYGAPPQQLPSTPGTPGSMYSMGPVPNQSMTSVSNSSPSKIDLNQIPRPGSSSSPIMYETRVQNQANPPPPTTVDYITRDTGNSSPRYMRCTINQIPCTVDLLSTSGMQLALIVQPMALSHPSEEPIQVVDFGESGPVRCSRCKGYMNPFMKFIDQGRKFICNLCGYTDETPRDYQCNLGPDGRRRDADERPELCRGTVDFVATKEYMVRDPMPAVYFFLIDVSMNAIQTGATAATCSAIQQVLSDLPEGPRTFVGIATFDSTIHFYNLKRALQQPLMLIVPDVQDVYTPLETDVIVQLSECRQHLEILLESIPTMFQESKSPESAFGAAVKAAFLAMKSTGGKLMVFQSVLPSVGIGALSSREADGRANASASEKEAHKLLQPADKTLRTMAIEFAEYQVCVDLFITTQAYVDMASISEIPRTTGGQVYCYYPFSALSDPPKLYNDIRWNITRPQGFEAVMRVRCSQGIQVQEYSGNFCKRIPTDIDLPAIDCDKAVMVTLKHDDKLQDGAECGFQCALLYTTMSGERRIRVLNLSLPCTNMLSNLFRSADLDSQFACMLKQAANEIPSKALPLVKEQATNNCITILHSYRKFCATVTSTGQLILPEALKLLPLYTLALTKGVGLRMDGRIDDRSFWINHVSSLSTPLAIPLVYPRMIAVHDLDVNDNEENVVPCPIPLQSEHLSDEGVYFLENGEDGLIYIGESVNSDILQKLFNVHSAAELQSQYVLQKYDNQLSKKFNDVVNEIRRQRSSYLRLKLCKKGDPAGNMLFQSYMVEDRGSSGPSYVEFLVSVHRQIQHKLN >fgenesh2_kg.5__84__AT2G01750.1 pep chromosome:v.1.0:5:443101:446742:1 gene:fgenesh2_kg.5__84__AT2G01750.1 transcript:fgenesh2_kg.5__84__AT2G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Atmap70-3 [Source:UniProtKB/TrEMBL;Acc:D7LPV5] MEEGGYAFEVNNGKPTASEFGTTARTSSPSLTMSSSFREGGSKGLTRRRSMKPSFDADNEFITLLHGSDPVKIELNRLENDVRDKDRELSEAQAEIKALRLSERQREKAVEEVSEELGKMSEKLKLSENLLDSKNLEIKKINEEKRASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARHEIIKLQDDNRALDRLTKSKEAALLDAERTVQSALAKASMVDDLQNKNQELMKQIEICQEENRILDKLHRQKVAEVEKFTQTVRELEEAVLAGGTAANAVRDYQRKFQEMNEERRVLDRELARAKVSASRVATVVANEWKDGSDKVMPVKQWLEERRFLQGEMQQLRDKLAIADRAAKSEAQLKEKFQLRLRVLEESLRGPSSSGNRSTPEGRSISNGPSRRQSLGGADIIPKLTANGFFSKRTPSSQFRSLNASTSTILKHAKGTSSSFDGGSRSLDRSKLLTNEPRSKFPSNQSSEETSGGESPNSTKQGDSVKAAATNNDSVPGVLHDLLQKEVITLRKAANDKDQSLRDKDEAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVSAMRVDNKGSDSRTRRPSTNSKGASTTAQLLSGRGSGRMGMTRSTQ >fgenesh2_kg.5__850__AT3G44370.1 pep chromosome:v.1.0:5:10937019:10941275:-1 gene:fgenesh2_kg.5__850__AT3G44370.1 transcript:fgenesh2_kg.5__850__AT3G44370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LNR5] MAFRRVLLSNLRRSHHTCSSLSPHHISATTHPSITLGLFQSRFFSTPPDLDSELTRLRDDSVTGFGTNGHGLEFSDLSQDLIGAGVSNYDYLTQPVISLLDSYHDLTGLPWWVVIATSTVAFRTALLPILILQRKQTRRISQFLPKLPPFWPPEGSGRSVIDQLKLFRKERRDSGCPSFLWVPAYFSIQISCFFLWITSIRRMSLDHHPGFDSGGALWFQNLTEVPNGLYGPLFPFLIAGLHYTNTQITFTASSVHKVDKFAELAKTFKMFLNFLTFALYGLSFQMPQGSLLYWATNLSFSIAQQSILNHPVVSAKLGLQANDSVQKEAGNPILTNINEAKLTDSSSKGRLISGHNLTPKELVALSAKYLSGGNKDKSIPLLRLALEKDPEYLQAMVILGQALYQKDQFAEAAKYLELAASKLLDTSPTEVEEVDLLIVASQWAGVSNIRQGKTSEGITHLERVANMKEPDDPKSKAHYLDALVLYSSAIFNEGRREEAAKYLRRVVAYDPSFSELLKQCEEDNNTIPTSSSSNSVNKTS >fgenesh2_kg.5__851__AT3G44380.1 pep chromosome:v.1.0:5:10963522:10964162:-1 gene:fgenesh2_kg.5__851__AT3G44380.1 transcript:fgenesh2_kg.5__851__AT3G44380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDQKVKWSWSSALIGAASATAAASLLSAKPKDPTFHLISIDLTSLKLNLPVLDAELMLTVHVTNPNIAAIHYSSTTMTILYDGTVLGSAEVKAGSQPARSCQLLRLPARLDGMELAQHARQFFSDVAKREMKLEAKLTIEGAAKVMWLDHSFRVHVDSFVTVDPVFLDIIGQENKSQMDLFLT >fgenesh2_kg.5__853__AT3G44450.1 pep chromosome:v.1.0:5:10973763:10974340:-1 gene:fgenesh2_kg.5__853__AT3G44450.1 transcript:fgenesh2_kg.5__853__AT3G44450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTHLPEESKEPISPGSSHRKQNKTATKTCLPETTVLSGRERLKRHREEVAGKVPIPDSWGKEGLLMGWMDFSTFDAAFTSSQIVSARAALMADAGDDAGTRGSRPQRLRVESSC >fgenesh2_kg.5__854__AT3G44460.1 pep chromosome:v.1.0:5:10999204:11001161:-1 gene:fgenesh2_kg.5__854__AT3G44460.1 transcript:fgenesh2_kg.5__854__AT3G44460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFESETSTFHVYNNHEIQTQPQMQTFLSEEEPVGRQNSILSLTLDEIQMKSGKSFGAMNMDEFLANLWTTVEENDNNGRGGPHHDREKPAVLPRQGSLSLPVPLCKKTVDEVWLEIQNGVQQHPPSSNSGQNSDEDIRRQQTLGEITLEDFLVKAGVVQEPLKTTMRMSSSDFGYNPEFGVGLHCQNQNNYGDNRSVYSDNRPFYSVLGESSSCMTGNGRSNQYLTGLDAFRIKKRIIDGPPEILMERRQRRMIKNRESAARSRARRQAYTVELELELNNLTEENTKLKEIVEENEKKRRQEIINRSKQVTKEKKGDKLRKIRRMASAGW >fgenesh2_kg.5__855__AT3G44510.1 pep chromosome:v.1.0:5:11003591:11012483:-1 gene:fgenesh2_kg.5__855__AT3G44510.1 transcript:fgenesh2_kg.5__855__AT3G44510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYTIWSCLKHIPQRLAGVAMVAPMVNYRWPSIPKSLMQNDYRREVVKWSVWVANYFPGLLKWLVTQNLFSTTNSMLEKNPVYFNDQDIEVLKHNTKGFPMLTKEKLRERGVFETLRSDFLVAFGDWDFDPADLPDPSLSRPEKGSFSVHIWQGYEDKVMPFQLQRCLCRKLPWIRYHEVPKGGHLIVHYDGVCDAILKSLLLGEHLPMYKPKDVIIEPA >fgenesh2_kg.5__858__AT3G44540.1 pep chromosome:v.1.0:5:11139946:11143421:1 gene:fgenesh2_kg.5__858__AT3G44540.1 transcript:fgenesh2_kg.5__858__AT3G44540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:D7LMJ1] MESNCIQFLQNKTILVTGVPGFLAKVFVEKILRIQPNVKKLFLFLRAADNESAMQRFHSEVLEKDLFRVLRNALGDENLEALVSEKVVPIAGDISVDNLGVKDSNLLQHMWNEIDIIVNVAATTNFDERYDVGLSINTFGPLNVLNFAKKCVKGQLVLHVSTAYVCGEKSGLLQEKTFHMGETLNGHGKLVIETEVELMKQKLKELQKQDCSEEEISQSMKDLGMSRAKLHGWPNTYVFTKSMGEMLLGNHRENLPIVIIRPTMITSTFSEPFPGWIEGLRTIDSVIVAYGKGRLKCFLADPNSVLDLIPVDMVANAMVTAVAIHAGKLGSQTVYHVGSSCKNPITFEQIHDLAARYFTKNPLVGRDGSSIIVSKGTILSTMAQFSFYMTLRYKLPLQMLRLIYVIYPWWDGNKYKDIDRKIKLAMRLVDLYRPYVLFKGLFDDTNTEILRLKRKEINKELYDLFDFDPKSIDWDDYMTTIHIPGLITYVLKK >fgenesh2_kg.5__859__AT3G44550.1 pep chromosome:v.1.0:5:11155054:11158846:1 gene:fgenesh2_kg.5__859__AT3G44550.1 transcript:fgenesh2_kg.5__859__AT3G44550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:D7LMJ3] MELNCVQFLQNKTILVTGATGFLAKVFVEKILRVQPNVKKLYLLVRASDNEAATKRLHMEVFEKDLFKVLRENLGDEKLNTLLYEKVVSVPGDIATDQLGINDSDLKERMRKEIDIVVNVAATTNFDERYDVGLGINTFGALNVLNFAKKCVKVELLLHVSTAYVCGEKPGLIPEKPFIMEDIRNDNGLQLDINLERELMKQRLKELNEQDCSEEDITLSMKELGMERAKRHGWPNTYVFTKSMGEMLLGNHKENLPLVIIRPTMITSTLSEPFPGWIEGLKTVDSVIIAYGKGVLKCFLVDVNSVCDMIPVDMVANAMITAAAKHAGGSGVHMVYHVGSSHQNPVTFGEIHEIAARYFIKNPLRSRNGSLITVSKLRFISTMALFSLYMTLRYKLPLQLLKLIDIIYPWRNGDKYGDKNRKIEVVMRLVELYEPYVLFKGIFDDRNTKSLCANQKEEESKNTEKMMFNFDPKGINWGDYLTNIHFSGLITHVLKK >fgenesh2_kg.5__85__AT2G01755.1 pep chromosome:v.1.0:5:447104:447623:-1 gene:fgenesh2_kg.5__85__AT2G01755.1 transcript:fgenesh2_kg.5__85__AT2G01755.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LPV6] MLITPASLCGGIAGLFVFGQKARMTKIPSLTNSNNYRNTLFTVPPVAERRFRCGARRRVRDEGGEDEDELYGYNEEMAMLEIYSQSCREEALIVTAIVDDEEMEVVIFKGVSACLSGETAVDPARSVLPERAVITKIDRVRGPFDPSQIHYIQKDISFQAFKDTRF >fgenesh2_kg.5__860__AT3G44560.1 pep chromosome:v.1.0:5:11189767:11192832:1 gene:fgenesh2_kg.5__860__AT3G44560.1 transcript:fgenesh2_kg.5__860__AT3G44560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:D7LMJ4] MEFNCVHFLQNKTILVTGATGFLAKVFVEKILRVQPNVNKLYLVVRASDTDAATKRLRTEAFEKDLFKVLRESLGDENFNTLLSEKVVPVAGDIAMDHLGMKDSKLRERMQKEIDIVVNVAATTNFDERYDVGLGINTFGALNVLNFAKKCVKAQLLLHVSTAYVCGEKPGLLPEKPFVMEEIRNENDFQLDIHRERELMKQRLKELNEQDCSEEDITRAMKELGMERAKLHGWPNTYVFTKSMGEMLLGNYKENLPLVIIRPTMITSTLSEPFPGWIEGLRTVDSVIIAYGKGVLKCFLVDVNSVCDMIPADMVANAMITAAATHAGGSKVHMVYQVGSSRQNPITYGEIREIFRNSSLITVSKMKLIPTMALFSLYMTIRYKLPLQLLKLVDIIYPSRKGDNYKNKNRKIGMVMRLVNLYEPYVLFKGIFDDRNTKNLCANQKGEDNQNSENLKFDFDPKIIKWRDYLINVHIPGLIIHVLKK >fgenesh2_kg.5__862__AT3G44590.1 pep chromosome:v.1.0:5:11207179:11208194:1 gene:fgenesh2_kg.5__862__AT3G44590.1 transcript:fgenesh2_kg.5__862__AT3G44590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAAAFLLAVLGGNANPCAENIKDIIGAVGADVDGESIELLLKEVSGKDIAELIASGREKLASVPSGGGGAVSAALSSGGGGAPAAVEKKEAKKEEKEESDDDMGFSLFE >fgenesh2_kg.5__863__AT3G44600.1 pep chromosome:v.1.0:5:11208281:11212675:-1 gene:fgenesh2_kg.5__863__AT3G44600.1 transcript:fgenesh2_kg.5__863__AT3G44600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase cyclophilin-type family protein [Source:UniProtKB/TrEMBL;Acc:D7LMJ7] MEEESKNGGTTIPTEELAVVAVPLEVEEEEAMVGPGPAPRGKRKRPLQFEQAYLDSLPSANMYEKSYMHRDVVTHVAVSAADFFISGSMDGHLKFWKKKGVGIEFAKHFRSHLGPIEGLAVSIDGLLCCTISNDHAVKIYDVVNYDMMAMIRLPYIPGAVEWVYKQGDVKAKLAVSDRDSSFVHIYDPRSGSNEPIASKEIHMNPIKIMKYNPVSDTMISGDTKGIIEYWSPATLQFPEDQVNFKLKSDTNLFEIIKCKTTISAIEVSPDGRQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRSDAPLYRLEAIDFGRRMAVEKELEKTENAPQPNAVFDESSNFLIYATLLGIKIINLHTNTVARILGKVESNERYLRVALYQGDQGGKKVRKIPAAAANVNESKEPLTDPTILCCAFKKHRIYMFSRREPEEPEDASQGRDVFNEKPAADELMAASDIGNSATTSLPENVIMHTTLGDIHMKLYPEECPKTVENFTTHCRNGYYDNHLFHRVIRGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQGIEKVKTDKNDRPYQDVKILNVTVPKS >fgenesh2_kg.5__867__AT3G44718.1 pep chromosome:v.1.0:5:11298327:11298530:-1 gene:fgenesh2_kg.5__867__AT3G44718.1 transcript:fgenesh2_kg.5__867__AT3G44718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWNVVMVILLVMIAAIGVEARHKHRKTWIGCFRYCSRTCSEYDGICFEDCKIKCGGPTPPKTNSR >fgenesh2_kg.5__868__AT3G44720.1 pep chromosome:v.1.0:5:11308098:11309474:1 gene:fgenesh2_kg.5__868__AT3G44720.1 transcript:fgenesh2_kg.5__868__AT3G44720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:D7LML1] MQAATSCDLKFRSTNPTARNKCFSHAIPKRVAVTCGYRSESFSFPNGVSVSRSDWQSSCAILSSKVASVENPGGLADKIAAVNGHTNGSVNLGLVAVESTNRKLAPVQPLTITDLSPAPLHGSSLRVAYQGVPGAYSEAAAGKAYPNCDAIPCDQFDVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQIPVHHCLLALPGVRTDCVSRVISHPQALAQTEHSLDVLTPHAAREAFHDTAAAAEYIAANDLHDTAAVASARAAELYNLQILADGIQDDPGNVTRFLMLAREPIIPRTDRPFKTSIVFAAQEHKGTSVLFKVLSAFAFRDISLTKIESRPHHNRPLRVVGDGSFGTSKNFEYMFYVDFEASMAEPRAQNALAEVQEYTSFLRVLGSYPMDMTPWSMTSTEDA >fgenesh2_kg.5__869__AT3G44730.1 pep chromosome:v.1.0:5:11343920:11349080:1 gene:fgenesh2_kg.5__869__AT3G44730.1 transcript:fgenesh2_kg.5__869__AT3G44730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGAADTLPEKPSEDEFSLILRNGLILCNVLNKVNPGSVLKVVENPITPAIQYAEGAAQSAIQYFENMRNFLKAVEDMQLLTFGASDLEKGGSSNKVVDCILCLKGFYEWKQAGGVGVWRYGGTVRIVSFNPKGSSPSQYGIGSESTTDESVSLDESESSQYDQLLDFLHLSNEISTEESETAISMAFLFDHFALQLLHGYIKESDGINDMPLNEMVIDTLLNRVVKDFSAILVSQGAQLGSFLKKILKCDNGDLSRSEFLAAVFRYLQHRKDLVSKEFSKFCKCGGKLEFIRPNVSEFSPGHAEAIGLQQKELEEVKSNFVETRSQVKQMQSEWQKELQRIVHHVKAMEVTSSSYHKVLEENRLLYNEVQDLKGTIRVYCRVRPFLQEQKDMQSTVDYIGENGNIIINNPFKQEKDARKIFAFNKVFGQNVSQEQIYIDTQPVIRSVLDGFNVCIFAYGQTGSGKTYTMSGPDLMTETTWGVNYRALRDLFQLSNARTHVVTYEIGVQMIEIYNEQVRDLLVSDGSSRRLDIRNNSQLNGLNVPDASLIPVSNTRDVLDLMRIGQKNRAVGATALNERSSRSHSVLTVHVQGKELASGSILRGCLHLVDLAGSERVEKSEAVGERLKEAQHINKSLSALGDVIYALAQKSSHVPYRNSKLTQVLQDSLGKILRQAKTLMFVHINPEVNAVGETISTLKFAQRVASIELGAARSNKETGEIRDLKDEISSLKSAMEKKEAELEQLRSGSIRNTTESQRARAVSPFHLPRNGNGAGTKAEASPQPNESTRSYETRSCSTGKQRKSGFPSALRNREASPRMPNLAEERLNPSPSRRSLSTDRASAIKSRNKPDVTQNLPVSRTPFPARVPVAKSFSTVPLNPSAENNPRHQTDNTSETFHNHQKLSARKLFPEIEEEHIRHAIHIRQGGVKKTRAESSKAKAKQPSPARFQKLDVGISLRSEADSDAKVGNYQTQKGNNNHNVIHSRFQNFDVGISLFSDLCAGDKSDSTLKSDSSETDNEPPSKSKNAPRNASKNSLNHKLMTIYAHEDTSLMDDKPSNDTAHIKEGNNNISMPEFRRSRSTHHARFMVP >fgenesh2_kg.5__872__AT3G44750.1 pep chromosome:v.1.0:5:11374640:11376616:1 gene:fgenesh2_kg.5__872__AT3G44750.1 transcript:fgenesh2_kg.5__872__AT3G44750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LNF7] MEFWGIEVKPGKPVTVTPKEGTLIHVSQASLGECKNKKGEFVPLHVKVGNQNLVLGTLSTENIPQLFCDLVFEKEFELSHTWGKGSVYFVGYKTPNIKYPLNSEEEEEEVEEVPAGNAAKAVAKPKAKPAEVKPVADAEEDDSDSDEMDEDDSDGDDDSEEEEETPTPKKPASNKKRANETAPKTPVSSKKAKVAVTPQKTGKDINTPKPATQSPKSASQVSCGSCKKTFNSGNALEAHNKAKHSAA >fgenesh2_kg.5__874__AT3G44820.1 pep chromosome:v.1.0:5:11507764:11510358:-1 gene:fgenesh2_kg.5__874__AT3G44820.1 transcript:fgenesh2_kg.5__874__AT3G44820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVAKVSEFHREGNDWYIPLSFPSLSLFLHVSLFNSSLAISVYRFSLSTIWFCKTGLSSDITVVVDDVKFHLHKFPLVSKCGKLARMYEDSKSTDKQSLWTTVLEEFPGGADNFLIVARFCYGTRVDITSKNLVSIHCAAEYLQMTNEYGEDNLISQVETFLHKHVLRNWKDCILALQSSNPVLKSAEKLQMIPKLMNAVSTMVCTDPSLFGWPMMMYGTLQSPGGSILWNGINTGARMRSSGSDWWYEDISYLSVDLFKKLIKTMETKGIRAESLAGAMMYYARKYLPGLGRWQSGTSDSSKRMQLELATLENLLILNYSDSETLYNVDCVERIVRHFLIDSYMAEVASDVNLKPDKMRSLAAALPESSRPLYDGLYRAFDIYFKEHPWLSDKDKEQLCNIMDYQRLSIDACAHASHNDRLPLRVVLQVLFFEQMHLRTALAGGLNVANTETAHALTIPGRRTGQEIVQRDGWVTVVRQNQVLKVDMQKMRSRVGELEEEFQSIKQEMKKRVSKPSSSMGSPRLVKLGCKFLLPRASDAKNDTVQNSVSSTPRSAAADHALPRSSRHSKHRKSFSFFG >fgenesh2_kg.5__877__AT3G44860.1 pep chromosome:v.1.0:5:11558057:11559378:1 gene:fgenesh2_kg.5__877__AT3G44860.1 transcript:fgenesh2_kg.5__877__AT3G44860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine:carboxyl methyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LNI6] MSTSFTMIGGEGRNSYQEHSKYQGALVIAAKEKVNEAISMKLDIDFTSNLVNIADFGCSSGPNTFTAVQNIIDAVENKYKKESTIDGIEFQVFFNDSSNNDFNTLFKTLPPARRYFATGVPASFFGRVLPRNSLHVGVSSYSLHFVSKIPKEIKDRDSPVWNQDIHCSGSSKEVTKLFLDQYKIDLGSFLNARAQELVYGGLLLILGSCRPNGVQMFETVEGMMIDFIGSSLNEIANQGLIDQQKLDTFKLPIYAPQADELKQIIEDNGCFTIEVFEKISHSKGEYPLDPEFLTVSFKVTVGGSVASIFGQDAMEKTYELVKEKTQEMLPQLAKAKPGMQYLIVLRRN >fgenesh2_kg.5__87__AT2G01770.1 pep chromosome:v.1.0:5:449668:451331:-1 gene:fgenesh2_kg.5__87__AT2G01770.1 transcript:fgenesh2_kg.5__87__AT2G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEEDKITRISIEPEKQSLLDHHTEKHFTAGEIVRDIIIGVSDGLTVPFALAAGLSGANASSSIVLTAGIAEVAAGAISMGLGGYLAAKSEEDHYAREMKREQEEIVAVPETEAAEVAEILAQYGIEPHEYSPVVNALRKNPQAWLDFMMRFELGLEKPDPKRALQSAFTIAIAYVLGGFIPLLPYMLIPHAIDAVVASVVITLFALFIFGYAKGHFTGSKPLRSAFETAFIGAIASAAAFCLAKVVQH >fgenesh2_kg.5__880__AT3G44890.1 pep chromosome:v.1.0:5:11562973:11564881:1 gene:fgenesh2_kg.5__880__AT3G44890.1 transcript:fgenesh2_kg.5__880__AT3G44890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTALSLSWSSSPCWSHSFNSGANETLKVSERRFKFEVVSQKKAKKLRKVILKEDVTDLGKQGQLLDVKAGFFRNFLLPTGKAQLMTPLLLKELKMEDERIEAEKQRVKEEAQQLALVFETVGAFKVKRKGGKGKQIFGSVTAQDLVDIIKAQLQRDIDKRLVSLPEIRETGEYIAELKLHPDVTARVRINVFAN >fgenesh2_kg.5__881__AT3G44900.1 pep chromosome:v.1.0:5:11565147:11567866:1 gene:fgenesh2_kg.5__881__AT3G44900.1 transcript:fgenesh2_kg.5__881__AT3G44900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCHX4 [Source:UniProtKB/TrEMBL;Acc:D7LNI9] MELEGDRNVYLRDTWREANMICGILPINPSSSGLWPSPKIPDHRANIKFYDYMFPHVQIIFLIVTILWQFFHFFLKRLGMIRFTSHMLTGILLSKSFLKENTPARKFFSTEDYKETLFGLVGACSYMMFWFLMGVKMDLGLIRSTGKKAVAIGLSSVLLSITVCAFIFFLILRDVGTKKGEPVLNFFEIIFIYLIQCLSSFPVIGNLLFELKLQNSELGRLAMSSAVISDFSTSILSAVLVFIKELKDEKTRLGSVFIGDVIVGNRPMKRAGTVVFFVCFAIYIFRPLMFFIVKRTPSGRPVKKFYVYAIIILVFGSAILADWCKQSIFMGPFILGLAVPHGPPLGSAIVQKFESAVFGTFLPFFVATSAEEIDTSILQSWIDFKSIFIIVSISFVVKFALTTLPAFLYGMPANDCLALSLIMSFKGIFEFGAYGYAYQRGTIQPVTFTVLSLYILFNSAVIPPILKRIYDPSRMYAGYEKRNMLHMKPNSELRILSCIYRTDDIRPMINLLEATCPSRENPVATYVLHLMELVGQASPVLISHRLQTRKSENASYNSENVVVSFEQFHKDFFGSVFVSTYTALSVPKMMHGDICMLALNNTTSLIILPFHITWSADGSAIVSDSKMIRKLNKSVLDLSPCSVGIFVYRSNNGRRTIKETAANFSSYQVCMLFLGGKDDREALSLAKRMARDSRINITVVSLISSEQKANQATDWDRMLDLELLRDVKSHVLAGADIFFSEEVVNDANQTSQLLKSIANEYDLFIVGREKGRKSVFTEGLEEWSEFEELGVIGDLLTSEDLNCQASVLVIQQQQQMI >fgenesh2_kg.5__884__AT3G44940.1 pep chromosome:v.1.0:5:11597623:11599534:-1 gene:fgenesh2_kg.5__884__AT3G44940.1 transcript:fgenesh2_kg.5__884__AT3G44940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSNALLSWTYFSHGKTTEELRQTLVYTTMELEQTKLVAQDELRKRDEQLIHLEDVLTKTLKERDDALEKCHHLLLNNLLLQQKQQQNQKQELITPPLSGASSIIEDEQVQPQQPQLNSNKSFSSSDTEESIMSPSVIDPVTMNQQIEVSGDELMATLLPEKPLPEKGKLLQAVIKAGPLLQTLLLAGPLPQWRHPPPPLETSEIPPVTIPPLQFLNNGCGNSNKKRAFSISDETYSETKYQKLLLH >fgenesh2_kg.5__885__AT3G44990.1 pep chromosome:v.1.0:5:11624350:11626206:-1 gene:fgenesh2_kg.5__885__AT3G44990.1 transcript:fgenesh2_kg.5__885__AT3G44990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7LNJ7] MALSLIFLALLVLCPSSGHSQGSPSPGYYPSSRVATSSFDREFRTLWGSQHQRREQDVITLWLDKSTGSGFKSLRPYRSGYFGASIKLQPGFTAGVDTSLYLSNNQEHPGDHDEVDIEFLGTTPGKPYSLQTNVFARGSGDRNVIGREMKFTLWFDPTQDFHHYAILWNPNEIVFFVDDIPIRTYNRKNEATFPTRPMWVYGSIWDASDWATENGRIKADYRYQPFVAKYKNFKLAGCTADSSSSCRPPSPAPVLNQGLSRQQMAALAWAQRNFLVYNYCHDPKRDHTQTPEC >fgenesh2_kg.5__887__AT3G45010.1 pep chromosome:v.1.0:5:11647017:11649679:1 gene:fgenesh2_kg.5__887__AT3G45010.1 transcript:fgenesh2_kg.5__887__AT3G45010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7LNJ9] MDSKTTFLTFVLCISIFSHFSPSSSKSLTEKPLSFSPSASLPTLTAERLIKGFNLMPTRDVNVIDEDGSEAPRLVEREFELPAEVDRRGSGGSPSVQDFGHHAGYYKLPNSKAARMFYFFFESRTNKADPVVIWLTGGPGCSSELALFYENGPFTVSNNSSLSWNEFGWDKASNLIYVDQPVGTGFSYTSDQSDLRHDEDGVSNDLYDFLQAFFKEHPQFVKNDFYITGESYAGHYIPALASRVHRGNKNKEGTHINLKGFAIGNGLTNPEIQYGAYADYALDMKLITQSDHDNLNRNYATCQQSIKECSADGGEGDACASSYVVCNNIFQKIMDIAGNVNYYDVRKQCEGSLCYDFSNMEKFLNQKSVRKALGVGDIEFVSCSTAVYDAMQMDWMRNLEVGIPALLQDGIKLLVYAGEYDLICNWLENSKWVHEMEWSGQKQFVAAATVPFLVDNKEAGLMKNYGSLTFLKVHDAGHMVPMDQPKAALQMLQNWMQGKLSTPTGRTAHQ >fgenesh2_kg.5__888__AT3G45020.1 pep chromosome:v.1.0:5:11649797:11650312:-1 gene:fgenesh2_kg.5__888__AT3G45020.1 transcript:fgenesh2_kg.5__888__AT3G45020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLKRYVLRLFMSIKYITANVVDRNNGRIVTTASTVEHAIKNSLECGRTCNAKAAAIVGEVLAMRLKVEGLQDGQGRGIHADIKKEIEKKGFKSRTKVWAVINSLRNNGVTLIFDDDDDDDEYRDRSYEGHR >fgenesh2_kg.5__889__AT3G45040.1 pep chromosome:v.1.0:5:11654112:11679431:-1 gene:fgenesh2_kg.5__889__AT3G45040.1 transcript:fgenesh2_kg.5__889__AT3G45040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LNK2] MKTTATSFVTGERVVVAVVVSRILLSLPLSLISHGFSLFLLSLSAFLVEIRAETSPFLLSRFSSRRGASSGILLGAVTLPSVMISKLVQLSRAISFHEAEQDELAHVTMQYWAASASCCAILIYLSVIMSQARNAESLSSSSVWLMRVSLTGTVLYGVACFVSLSMISHTGLNTSLKMLWMLFHGLAALKLIQHLLCTFPSCASIGEALLVTSGLVLYFGDFLACTIAKIFEKLIPVDLVSISYGIRRTETGIIVQGLLLGLLLFPIVFRIVLHIYESSLRKRDARQRNCSVTAKSILFFVSLLFFIIVAVPSWMQFVHDFDQHPFLWVLTFVFSEPLKRLSLCIYWVLLIVVSVSRFYNISRNSKVERILLRKYYHLMAVLMFLPALVLQPKFLDLAFGAALAVFVVLEIIRVWRIQPLGEPLHQFMNAFTDHRDSEHLIVSHFSLLLGCALPIWMSSGFNDRALSPFAGILSLGIGDTMASMVGHKYGVLRWSKTGKKTVEGTAAGITSVMAVCFVLVPILASMGYILSQGWWSLLVAVTATGMLEAYTAQLDNAFIPLVFYSLLCL >fgenesh2_kg.5__88__AT2G01810.1 pep chromosome:v.1.0:5:454992:458104:1 gene:fgenesh2_kg.5__88__AT2G01810.1 transcript:fgenesh2_kg.5__88__AT2G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LPW0] MAITVNDDALTERQKNPKIILINDFAIPSSSSSSSPFINLAATFRDNIRSFLHEYAEIEDYTIDGATVSTLFLGNQANGVVFPLYIIEEQISASSPNPLCDFCRCVGWGHHYVSKRKYHMIIPKIDEWNEPLTSKSLKLSSHLMHGLIHCNGFGHLLCINTDIDDPAYLSGHQIMDFWDRLCTILHTRKISLDDTSKKGAIDLRLLHGVAYGRPWFGKWDYMFSHGSFGVRKDQYWRAILTLSSIEVDKVIEDFSGTSKGRVMKTIIDFYRGSSETPLATLSDLLRFMLAFRSKAPIQRKTAMTLVAMSLDPVSYPILRADENTEVCTSPNQESDDNGYESGRETVLDDHEIRMAGIKTPEYYSFDDLGRRENSRWPGRRLNEAAQAVLKVFKERKSTITRHELRQAVRTSIGDTGLIDFLLKHIDKVLIGDQIVQRFTNSKSRMLQFSLRTINSHVQEQERKKKRKMKPQEINEWTSTTPGLSPYDDILYLYQNILLTHLDSDTYSEASQIILNCKSFIKEWSYQEQNPLTVSCQVLPNHEELLRDFTRLLPPGELVVVPENATIKELKFAAEKALRDTYFITETFEVLEIRNRYLEKLDDNLALKSQRITEFLVKGFGLDIGTELRYEGGFDDWTVDCKCGARDDDGERMVACDACKVWHHTLCNSIEDDEAVPSVFLCNRCYEDSLRSKKRNLSIR >fgenesh2_kg.5__892__AT3G45050.4 pep chromosome:v.1.0:5:11679687:11681285:1 gene:fgenesh2_kg.5__892__AT3G45050.4 transcript:fgenesh2_kg.5__892__AT3G45050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLKLQSFSFPSSVSTLTISLTFEVLFFVFEGVNNARFLKTRSLTVTPALAETAVSIAIAATVVGTAATILARRSSKAAEEAEASTKECEACLGSGICPECKGEGFVLKKLSDANAEKARLTAKNMATRYTAGLPKKWSYCTKCSSTRSCITCGGSGKTSI >fgenesh2_kg.5__893__AT3G45060.1 pep chromosome:v.1.0:5:11681323:11683238:-1 gene:fgenesh2_kg.5__893__AT3G45060.1 transcript:fgenesh2_kg.5__893__AT3G45060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATNRT2.6 [Source:UniProtKB/TrEMBL;Acc:D7LNK4] MAHNHSNEDGSIGTSLHGVTAREQVFSFSVQEDGPSSQAVRSDDPTVKFALPVDSEHRAKVFKPLSFAKPHMRAFHLGWISFFTCFISTFAAAPLVPVIRDNLNLTKTDIGNAGVASVSGAIFSRLAMGAVCDLLGARYGTAFSLMLTAPAVFSMSFVADAGSYLAVRFMIGFCLATFVSCQYWTSVMFSGKIIGLVNGCAGGWGDMGGGVTQLLMPMVFHVIKLTGATAFTAWRFAFFIPGILQIIMGILVLTLGQDLPDGNLSTLQKSGQVSKDKFSKVFWFAVKNYRTWVLFMLYGFSMGVELTINNVISGYFYDRFNLTLHTAGIIAASFGMANFFARPFGGYASDVAARLFGMRGRLWILWILQTVGALFGIWLGRASSLPIAILAMMLFSIGTQAACGALFGVAPFVSRRSLGLISGLTGAGGNFGSGVTQLLFFSSSRFSTAEGLSLMGVMAVVCSLPVAFIHFPQWGSMFLRPSQDGEISKEEHYYGAEWTEEEKSLGLHEGSIKFAENSRSERGRKAMLADIPTPETGSPTHV >fgenesh2_kg.5__894__AT3G45070.1 pep chromosome:v.1.0:5:11709520:11710284:-1 gene:fgenesh2_kg.5__894__AT3G45070.1 transcript:fgenesh2_kg.5__894__AT3G45070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:D7LNK7] MDEKKIAMNVRNEDLSEETKTLISSLPSDKDSTGRNVCKYQGCWYTPHILQAVLNFQKNFKPQDTDIIVASFPKCGTTWLKALTFAVVCRSKHPSHDDHHPLLSDNPHVLVPYLEMNLYYYSAKPDFTKFSSSPRLFSTHMPSHMLQEGLKDSTCKFVYMSRNVKDTLVSYWHFFCKKQTDDNVLSGFEDTFEMFCRGVTIFGPFWDQVLSYWRGSLEDPNHVLFMKFEEMKAEPREQIKRLAEFLGCPFTKEE >fgenesh2_kg.5__899__AT3G45140.1 pep chromosome:v.1.0:5:11773722:11777493:1 gene:fgenesh2_kg.5__899__AT3G45140.1 transcript:fgenesh2_kg.5__899__AT3G45140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:D7LNL7] MFCRESLSSLQTLSVAKSLSSLFPKQSALINPISTGRRNNLPRPNLRRRCKVTTSRSNIEQEGNIVKEPIQKIKVKGYITAQEEFLEGITWSRGLDDIADIRGRSLLVELISAKSDQRITVEDYAQRVWAEAPDEKYECEFEMPEDFGPVGAIKVQNQYHRQLFLQGMELELPGGSVTFTCESWVAPKNVDPTKRIFFSNQSYLPSETPEPLKKYRKEELETLQGKNREQVGEFTKFERIYDYDVYNDVGDPDNDPELARPVIGGLQHPYPRRCKTGRKPCETDPSSEQRYGGEFYVPRDEEFSTAKGTSFTGKAVLAALPSVFPQIESVLLDPQSPFPHFKAIQNLFEEGIQLPKDAGLLPLLPRIIKALGEAQDDILQFEAPVLINRDRFSWLRDDEFARQTLAGLNPYSIQLVEEWPLKSKLDPAVYGDPTSLITWEIVEKEVKGNMTVDEALKNKRLFVLDYHDLLLPYVNKVRELDNTTLYASRTLFFLSDDSTLRPVAIELTCPPNVNKPQWKQVFTPGYDATSCWLWNLAKTHAISHDAGYHQLISHWLRTHCCTEPYIIAANRQLSAMHPIYRLLHPHFRYTMEINARARQSLVNAGGIIETCFWPGKYSLELSSAVYGKLWRFDKEGLPADLIGRGLAVEDETAEHGLRLRIPDYPFANDGLILWDALKEWVTDYVKHYYPDDGLITSDDELQGWWHEVRTIGHGDKKDEPWWPVLKTQDDLIGVVTTIAWVTSGHHAAVNFGQYGYGGYFPNRPTTTRRRMPVEDPTDEDLKEFYESPEIVLLKTYPSQKQATLVMVTLDLLSTHSPDEEYIGQQPEASWANDPVINAAFEKFKGKLQYLEGVIDERNVNVTLKNRAGAGVVKYELLKPLSDHGVTGMGVPYSISI >fgenesh2_kg.5__89__AT2G01818.1 pep chromosome:v.1.0:5:460036:462167:-1 gene:fgenesh2_kg.5__89__AT2G01818.1 transcript:fgenesh2_kg.5__89__AT2G01818.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7LPW1] MNLSEKRRSEEDWIDTLLNSEFFGICMNHKYLRKNEKNVFCIDCNVEICRHCCNTVTDSHYLHRRLQICKYVYQDVLRLFDIQHYFDCSEIQTYKINGEKAIHLNSRPQAKDARPSTKSKNGASCVTCKRYIQDRPNRFCSISCKISTPSKKHKFCFSPKLEQSVLEKEHSNQEESLEEKKSCTSSLTDVSEDSEVLLCNFSLRPLMRILKRKGISRRSPLY >fgenesh2_kg.5__903__AT3G45210.1 pep chromosome:v.1.0:5:11817425:11818136:-1 gene:fgenesh2_kg.5__903__AT3G45210.1 transcript:fgenesh2_kg.5__903__AT3G45210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTRKSYYQRPSQRFLPTDRTYHITGDSEFEFDESDLYSTRSDSPDFRRKLITSNRRSSPATVTTTTVASSLPMNVPDWSKILGKENRKSIDNDDDGDGGKLPPHEYLAKTRMASFSVHEGIGRTLKGRDMSRVRNAILEKTGFLD >fgenesh2_kg.5__905__AT3G45230.1 pep chromosome:v.1.0:5:11837298:11837913:1 gene:fgenesh2_kg.5__905__AT3G45230.1 transcript:fgenesh2_kg.5__905__AT3G45230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LNN0] LEFTIVAMMLMLVLVSGEILTKSSPAPSPDLTDSPLIHAPPPPTIRSPHSPAESPTEYSSPPEPETKHSLSPSPSPVNSPSISPPLPNDSQSPSSSASPSPSPEASDLNHSDIKGIEGEKSSSGGGGEMSGGKKVGVAFGAIAAVCVVGVAGFVYKKRQENIRRSRYGYAAREIL >fgenesh2_kg.5__906__AT2G17460.1 pep chromosome:v.1.0:5:11839615:11841030:-1 gene:fgenesh2_kg.5__906__AT2G17460.1 transcript:fgenesh2_kg.5__906__AT2G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNN1] MLTRTSWSHGWCTVTRMRNVISARISNTTVDNIIPRDFVYTINIGIGVTFAACILYTFCCVRTLVQTMLTRTSWSHRWCTVNRVISPTYTMTCDRGYFPP >fgenesh2_kg.5__90__AT2G01820.1 pep chromosome:v.1.0:5:464329:467543:-1 gene:fgenesh2_kg.5__90__AT2G01820.1 transcript:fgenesh2_kg.5__90__AT2G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSHLGTLCFFISLLGFINLSVSQNGVDDSTMEALKSSLNLTSDVDWSNPNPCKWESVECDGSNRVTKIQLKQKGIRGTLPTDLQKLSELVVLELFLNRISGPIPDLSGLSRLQTLNLHDNLFDSVPNNLFSGMSSLQEVYLENNPFNPWQIPDSIKEATSLQNLTLSNCSIFGKIPDFFGSQSLPSLTNLKLSQNRLQGELPVSFAGTSLQSLFLNGQVGEQLNGSISILRNMTSLVEVSLQGNKFSGPIPDLSGLLSLRVFNVRENQLTGVVPQSLISLNSLTTVNLTNNLLQGPTPLFGKSVGVDIFNNTNSFCTSIAGEACDPRVDTLVSVAESFGYPVKLASSWKGNNPCVNWVGITCSGGNITVVNLRKQDLSGTISASLANLTSLETINLSDNELSGPIPTELTTLSKLRTLDVSNNDLYGVVPKFPNTVHLVTEGNVNIGKTGPISPSGSPGASPGSKPSGGSGGSETSKKSSNVKIIVPVVGGVVGALCLVGLGVCLYAKKRKRPARVQSPSSNMVIHPHHSGDNDDIKLTVAASSLNSGGGSESYSHSGSAASDIHVVEAGNLVISIQVLRNVTNNFSEENILGRGGFGTVYKGELHDGTKIAVKRMESSVVSDKGLTEFKSEITVLTKMRHRHLVALLGYCLDGNERLLVYEYMPQGTLSQHLFHWKEEGRKPLDWTRRLAIALDVARGVEYLHTLAHQSFIHRDLKPSNILLGDDMRAKVSDFGLVRLAPDGKYSIETRVAGTFGYLAPEYAVTGRVTTKVDIFSLGVILMELITGRKALDETQPEDSVHLVTWFRRIAASKDENAFKNAIDPNISLDDDTLASIEKVWELAGHCCAREPYQRPDMAHIVNVLSSLTVQWKPTETDPDDVYGIDYDMPLPQVLKKWQAFEGLSQTADDSGSSSSAYGSKDNTQTSIPTRPSGFADSFTSVDGR >fgenesh2_kg.5__913__AT3G45252.1 pep chromosome:v.1.0:5:11877824:11878102:1 gene:fgenesh2_kg.5__913__AT3G45252.1 transcript:fgenesh2_kg.5__913__AT3G45252.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LNN6] MQTILAMNMIALIIMLSIFIQTLGNDLAPAPSQTLPCLDDVKTIPNCVKAVFHFKFKEVTETCCTILLTLPDDCFGFLFPIPRVYHFLLKSAC >fgenesh2_kg.5__919__AT3G29520.1 pep chromosome:v.1.0:5:11947521:11948034:1 gene:fgenesh2_kg.5__919__AT3G29520.1 transcript:fgenesh2_kg.5__919__AT3G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LML4] EIWFCFNNKPMRFSLTEFHLCDWPLLLPDKRQRMDDLIEQLTERSEKTEKCFYNSSG >fgenesh2_kg.5__922__AT3G45400.1 pep chromosome:v.1.0:5:11955620:11957428:1 gene:fgenesh2_kg.5__922__AT3G45400.1 transcript:fgenesh2_kg.5__922__AT3G45400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7LML7] MAMVEKNGSAVGYVARNLLLCLFVVTTILFALSCYFVLRSTAHNRFLSSTFPSKSFVDVKGVKPEKENCRCVKDEKSVTAGPLKVYMYNMDPEFHFGLLDWKPDGNKKGSDSVWPDIQKYIPPYPGGLNLQHSIEYWLTLDLLASEYENAPRAVAAKRVYNSSEADVIFVPFFSSLSYNRFSKVNPHQKTSRNKDLQGKLVTFLTGQEEWKRSGGRDHVVLAHHPNSMLDARNKLFPAMFILSDFGRYPPTVANVEKDIIAPYKHVIKAYENDTSGFDSRPILLYFQGAIYRKDGGFVRQELFYLLQDEKDVHFSFGSVRNGGINKASQGMHNSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPFEDVIDYSEFAVFVRTSDALKENFLVNLIRGISKEEWTRMWNRLKEVEKYYEFHFPSKVDDAVQMIWQAIARKVPGVKMRIHKSRRYSGSVSDTGKESSWSSLIPRSFW >fgenesh2_kg.5__927__AT3G45450.1 pep chromosome:v.1.0:5:11988475:11990214:1 gene:fgenesh2_kg.5__927__AT3G45450.1 transcript:fgenesh2_kg.5__927__AT3G45450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERFTERAIKVIMLAKEESRRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDSRVEVEKIIGRGSGFCALEIPLTPRTKRVLDLSLEEARQLGEGIAVRVLEILGAGPSNIRTLVNAPILVNVIRMVGGNNEVTSSVGGGNIGNRMATLEEYGTNLTKLAEEGKLDPVVGRQPQIERAIQILARRTRNNPCLIGERGVGKRAIAEGLAQRIPSGDVPETIKGKTVITLDKGLLVAGTKYRGESEERLKKLMEEIRQSEDIILFIDEMHMLIGTGAAEGATDAANILKPAVARGELQNRKLKLKHIEKDPALERRFQPVKVPEPTVEEAIQITTALAKGKEVSKADNEAEEGGPTVKEADNRAE >fgenesh2_kg.5__929__AT3G45500.1 pep chromosome:v.1.0:5:12033754:12034834:-1 gene:fgenesh2_kg.5__929__AT3G45500.1 transcript:fgenesh2_kg.5__929__AT3G45500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGFTRSSTSPQLCSLYFKGLVGVDSLAGFGVAICGHRDDLLFQLNGPIHGSDITVLEAELIALKQGLTEAAGFKSSVPIFIAGNSIRYAYELARATLVSKIRIYGDPPCRSLNGLEKLKLGDVVASNVVNPYASTARFDKSENV >fgenesh2_kg.5__930__AT3G45540.1 pep chromosome:v.1.0:5:12046946:12048168:-1 gene:fgenesh2_kg.5__930__AT3G45540.1 transcript:fgenesh2_kg.5__930__AT3G45540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LMN6] MKGPIHHDSTITPLEAELTALKRGLTEAVMGRCIPEENKTALLMIDVQRIREGFKSSFPIFVEGNSVSYAYKLARETKVSEISISIPVNPPHPARATRKKTCKICLGDDINENQMFCVDKCRHRFCSECMKRHIEVRLLEGSVMRCPHYRCKSKLTFERCENLLTPKVREMWQQRIKEDLIPVTKRIYCPNPRCPALMSETDLSISPKEDEVRRCCFKCGQIFCIKCKVSWHSNLSCDEYKRLHPYPTENDGKIKALANQKMWRQCKKCQHMIELSQGCVQVKCRCGHKFCYRCGVQAGRCQHGHGLPPRPPRPP >fgenesh2_kg.5__934__AT3G45590.1 pep chromosome:v.1.0:5:12075957:12076781:1 gene:fgenesh2_kg.5__934__AT3G45590.1 transcript:fgenesh2_kg.5__934__AT3G45590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA intron endonuclease [Source:UniProtKB/TrEMBL;Acc:D7LMP2] MAPRWKWKGAEAKALAEPVSETVLELQSSFAQTVASGFLSSCNVLLSVEPEQADLLDRCCFGRPVVSVEKDKRWIQLSFEEAFFLFYKLKCVKISLHGCSLENEVDLWRSMSSFKPNFAISYKAYSHLRSKNWIVRSGLQYGVDFVVYRHHPSLVHSEYAVLVQSISGIDRLKVWSDIHCSVRLTGSVAKTLLVLYVNGIVKTENMNLPLCLEDYIVEEQTIRRWSPELSREDKTRT >fgenesh2_kg.5__937__AT3G45610.1 pep chromosome:v.1.0:5:12085452:12086532:-1 gene:fgenesh2_kg.5__937__AT3G45610.1 transcript:fgenesh2_kg.5__937__AT3G45610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LMP5] MDYSSMHQNVVGVSSCSSQDYQNQKKQPSATRPAPPEQSLRCPRCDSTNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGILRNIPIGGAYRKHKRSSSASKSLRTTPEPTASHDARTFSTGSLGGYNNNISNEQMELGLAFALLNKQPLGVSSHLGFGSSQSPMIMDGVYGTTSQQMENVGYAFGNGGGGLEQMATSDPNKVLWGYPWQMNIGGGSGHGHGHVDQIDSGREIWSSTVNYINTGALL >fgenesh2_kg.5__939__AT3G45630.1 pep chromosome:v.1.0:5:12093603:12098974:-1 gene:fgenesh2_kg.5__939__AT3G45630.1 transcript:fgenesh2_kg.5__939__AT3G45630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LMP7] MSDYGEKTCPLCAEEMDLTDQQLKPCKCGYQICVWCWHHIMDMAEKDQSEGRCPACRTPYDKEKIVGMTVDQERLTSEGNMDRKKTQKSKLKPSEGRKPLTSVRVVRRNLVYIVGLPLNLADEDLLQRNEYFGQYGKVLKVSMSRTATGLIQQFPNNTCSVYITYGKEEEAVRCIQSVHGFILDGKALKACFGTTKYCHAWLRNAACNNPDCLYLHEVGSQEDSFTKDEIISAYTRVQQITGATNTMQYRSGSMLPPPLDAYSSDSSTANPIAKVPSTTSVSAPRSSPPSGSSGKSTALPAAASWGARSTNQHSLATSALSNGSFDNQRSTSENGTLAMSTVVANAAHGPVTSSNTLQKPPLKEEIQPLAEKSNPSVLKPWQQKIVLDSGSKRTTSPNRDPCSNQISCLEESSYNSRVIDKPSAVENSFEHTNEIAEDFPDVSNLSADVAWMGITSNSRDEAPGVPVAIGTHCDQGSIRQPDNDVQNLERCRKDSPTTTYAEAGISQNGIHGSRPEWDWRSGSRSQIDVKAQVDVNDSSSFNNNRRDVAEAVSHSTYMFSSSSSILDSNHLASRSFQTRETSGRMDSNTESTFEIGSDSLHLPNGFSEKAISNMEHSLFANEGRSNIQNAEDDIISNILDFDPWDESLTSPHNFAKLLGQSDHSASTLESSNLLKQHNDQSRFSFARHEESNNQAYYNKSYSIYGQLSRDQPLQEFGVNRDMYQDKLGSQNGFASNYSGGYEQFAASPGLSSYKSPVARTQVSAPPGFSAPNRLPPPGFSSHERADLSSDIASGTRLLDSANLLRNAYHVPPPSGNLNAAGDIEFIDPAILAVGRGRLHNGMETADFDMRSGFSSQLNSFENDARLQLLAQRSLAAQQVNGFHDPRNVNNFSSLVSDPYGIISSRLMDQTQGAGLSPFTQLPRQASPNPLLSNGHWDKWNEPQSGNNLGITQLLRNERMGFSDNVYSRFEEPKFRRPSPGDPYNRTYGM >fgenesh2_kg.5__93__AT2G01830.2 pep chromosome:v.1.0:5:469752:475058:-1 gene:fgenesh2_kg.5__93__AT2G01830.2 transcript:fgenesh2_kg.5__93__AT2G01830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin receptor CRE1b [Source:UniProtKB/TrEMBL;Acc:D7LPW3] MFNPLTTHYSSDMNWALNNHQEEEEEPRTIEISDSESLENLKSSDFYQLGGALNSTEKPRKIDFWRSGLMGFAKMQQQHSVAVKMNSNNDQMGNKKGSTFIQDHRALLPKALILWIIIVGFISSGIYQWMDDTNKVRREEVLVSMCDQRARMLQDQFSVSVNHVHALAILVSTFHYHKNPSAIDQETFAEYTARTAFERPLLSGVAYAEKVVNFEREMFERQHNWVIKTMDRGEPSPVRDEYAPVIFSQDSLSYLESLDMMSGEEDRENILRARETGKAVLTSPFRLLETHHLGVVLTFPVYKSSLPENPTVQERIAATAGYLGGAFDVESLVENLLGQLAGNQAIVVHVYDITNASDPLVMYGNQDEEGDTSLSHESKLDFGDPFRKHKMICRYHQKAPIPLNVLTTVPLFFAIGFLVGYILYGAAMHIVKVEDDFHEMQELKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLAMLLDTELSSTQRDYAQTAQVCGKALIALINEVLDRAKIEAGKLELESVPFDIRSILDDVLSLFSEESRNKGIELAVFVSDKVPEIVKGDSGRFRQIIINLVGNSVKFTERGHIFVKVHLVEQSKDEAEPKTALNGVSEEMIVVSKPSSYNTLSGYEAADGRNSWDSFKHLVSEEQSLSEFDISSNVRLMVSIEDTGIGIPLAAQGRVLMPFMQADSSTSRNYGGTGIGLSISKCLVELMRGQIHFISRPHIGSTFWFTAVLEKCDKCSAINHLKKPNVEHLPSTFKGMRAIVVDAKPVRAAVTKYHMKRLGINVDVVTSLKTAVVAAAAFGRNGSPLPTKPQLDMILVEKDSWISTEDIDSEIRVLNSRFNGNVHLKSPKLALFATNITNSEFDRAKSAGFADTVIMKPLRASMIGACLQQVLELRKTRQQHPEGSSPATLKSLLTEKKILVVDDNIVNRRVAAGALKKFGAEVVCAESGQVALGLLQIPHNFDACFMDIQMPQMDGFEATRQIRMMEIEAKEKTNLEWHLPILAMTADVIHATYEECLKSGMDGYVSKPFEEENLYKSVAKSFKPNPISASS >fgenesh2_kg.5__940__AT3G45640.1 pep chromosome:v.1.0:5:12105324:12107239:1 gene:fgenesh2_kg.5__940__AT3G45640.1 transcript:fgenesh2_kg.5__940__AT3G45640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:D7LMP8] MNTGGGQYTDFPAVETHGGQFISYDIFGSLFEITSKYRPPIIPIGRGAYGIVCSVLDTETNELVAMKKIANAFDNHMDAKRTLREIKLLRHLDHENIIAIRDVVPPPLRRQFSDVYISTELMDTDLHQIIRSNQSLSEEHCQYFLYQLLRGLKYIHSANIIHRDLKPSNLLLNANCDLKICDFGLARPTSENDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMNRKPLFPGKDHVHQMRLLTELLGTPTEADLGFTHNEDAKRYIRQLPNFPRQPLAKLFSHVNPLAIDLVDRMLTFDPNRRITVEQALNHQYLAKLHDPNDEPICQKPFSFEFEQQPLDEEQIKEMIYQEAIALNPTYA >fgenesh2_kg.5__943__AT3G45680.1 pep chromosome:v.1.0:5:12114932:12117074:1 gene:fgenesh2_kg.5__943__AT3G45680.1 transcript:fgenesh2_kg.5__943__AT3G45680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7LMQ1] MASVVSGGTEAQSSGDPRSKRGGWITFPFMIATLLGLSVTSFGWVMNLIVFLIEEFNIKSIAAAQISNIANGCLSMLPVVAAILADSFFGNIPVIVASAFISLLGIILLTLIASLDYLRPRPCGTGSILCTSPSKLQLGILYAALALVTTGSGGTRFTMASAGANQYEKPKEQGSFFNWYFLTLYIGAITGATSIVYIQDNASWKLGFGLCAAANLISFIVFVSGKRYYKHDKPMGSPFTSLIRVVVAATAKRKAMVSCKEEDYHRGLGKEARTSVAMPSKSFRFLNRAALKTEDDVNKKDGSDINIWRLCSVQEVEDFKAILRVLPLWLSIIFVSTPMVMQTSLIVLQALVTDRGLGPNFKVPAGSLQVIIIITACIVIIMNNWLVFPMYKKLTQKLLTPLQKVGIGQVLTILSMALSAVVEAKRLKTVENEHPMSVLWLFPPLVIVGIGEAFQFPANIELFYGEFPESLRNTATSLTSVVIGISFYLSTALIDLIHRTTAWLPNDINHGRVDNVYWILVIGGILNFGYFLVCSWVYKYRNLKCDDHEQDPKDVTT >fgenesh2_kg.5__944__AT5G23660.1 pep chromosome:v.1.0:5:12141510:12143841:-1 gene:fgenesh2_kg.5__944__AT5G23660.1 transcript:fgenesh2_kg.5__944__AT5G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:D7LMQ5] MALFDTHNTWAFVFGLLGNLISFAVFLSPVPTFYRICKKKTTEGFQSIPYVVALFSAMLWLYYATQKKDVFLLVTINSFGCFIEIIYISIFVAFASKKARMLTVKLLLLMNFGGFCLILLLCQFLAKGTTRAKIIGGICVGFSVCVFAAPLSIIRTVIKTKSVEYMPFSLSLTLTISAVIWLLYGLALKDIYVAFPNVIGFVLGALQMILYVVYKYCKTPSDLVEKELEAAKLPEVSIDMLKLGTLTSPEPAAITVVRSVNTCNCNDRKAEIENGLGVRNSATAT >fgenesh2_kg.5__945__AT3G45690.1 pep chromosome:v.1.0:5:12152091:12153987:1 gene:fgenesh2_kg.5__945__AT3G45690.1 transcript:fgenesh2_kg.5__945__AT3G45690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7LMQ7] MARSLSGDSEVQTSRDSSSNRGGWKTFPFMIATLLGLSIASFGWVMNLVVFLIDVFNIKSIAATQISNIVNGCVSMLPVVAAILADSFFGNIPVISVSAFISLLGILLLTLITSFDYLRPPPCQTGSILCQSPSKLQLGILYTALALVIIGSAGTRFTLASAGANQYEKPKEQGSFFNWYFLTLYSGAITGATAIVYTQENASWKLGFGLCAIANLISFIVFVSGKRFYKHDKPMGSPFTNLIRVVFAATAKRKAVISSREEDYHHGLGRESKTSAAMPSTSFRFFNRAALKTEDDSVNNIWRLCSVQEVEDFKAVFRVLPLLLAIIFVSTPMVMQTSLIILQALVTDRGLGSHFKIPAGSLQVIVIITACIVIVMNNFLVYPMYHKLTHTPLTPLQKVGIGHVFIILSMAISAVVEAKRLKTVNNGHTITATSLTSVVIGISFYLSTALITLIQKTTKWLPNDINRGRVDNVYWLLVIVGVLNFGYFLVCAWFYRYRDLKDDDQEQDPKDVTI >fgenesh2_kg.5__947__AT3G45750.1 pep chromosome:v.1.0:5:12166189:12179135:-1 gene:fgenesh2_kg.5__947__AT3G45750.1 transcript:fgenesh2_kg.5__947__AT3G45750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGNVAEDKNVSSKAIRKKVNNTVSIALKRYKIDSYILLDLDKVLNDVYCSFRPVSADYDTRKELVKNLNAMAIDIYGNSEESSPVLEAYGSFVMDMYSSQSDLDVSINFGNGTPELPREKKLEILKRFAKKLRSLQGEGHVKNVESIFSAKVPIVKFSDQGTGVECDLSVENKDGILNSQIVRIISQIDGRFQKLCMLVKHWAKAHEVNSALHRTLNSVSITLLVALHLQTQNPPILPPFSMLFKDGIDPPNVEKRAQKFLNWGQRNQESLGRLFATFFIKLQSVEFLWRQGLCVSVLNGLWISKKWKKVGVGSISVEDFTNVSQNVARRVNGAGAKKIYSSINRTVEDIFEFLNDKVAGTDLRHRLFGKGAVVQIPPGAPLNGKTAGIHRVSGQQAVVEPRPPVQPLNGKIAGTHRKQKVFARQPVVEPRLPVPPLNGKIAGTHFRHKSFGQQAVVQPPPVRPLNGNIAGIHFRDMLFDQQVLVESHPLLPPFNGNITGTHFRHRSFDQPAVLEPRPPVPSLNIYSQQLHNNYRNGFSGPPEEHYSKRVCLGNNYRAVEETGQWREEERYEDPRGKRNRYIGNFNGFEEFREIPRFGIHSNPLDDPYRQVPLNAGTNGHLVRHRHDGRYSREEPMHVGPWQDYNRRIDPPPPQPLPPYENFRPQNLNQPHFGRSFY >fgenesh2_kg.5__94__AT2G01850.1 pep chromosome:v.1.0:5:491562:493685:1 gene:fgenesh2_kg.5__94__AT2G01850.1 transcript:fgenesh2_kg.5__94__AT2G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7LPW4] METLTRLLVFMTLFSGLVSGFALQNLPITSFEEGYTQLFGDKNLFVHKDGKSVRLTLDERTGSGFVSNDLYLHGFFSASIKLPSDYSAGVVVAFYMSNGDMYEKNHDEIDFEFLGNIRGKEWRIQTNIYGNGSTHLGREERYNLWFDPTEDFHQYSILWSDSHIIFFVDNVPIREVKRTASMGGDFPSKPMSLYTTIWDGSKWATNGGKYGVNYKYAPYIARFSDLVLHGCPVDPIEQFPRCDEGAAVDIRAAQEITPSQRKKMDVFRRKHMTYSYCYDRTRYKVALSECVVNPAEAQRLRVYDPVTFGGIPRRHRNGKHRSKRSRVDGTESI >fgenesh2_kg.5__951__AT3G45770.1 pep chromosome:v.1.0:5:12200642:12202987:-1 gene:fgenesh2_kg.5__951__AT3G45770.1 transcript:fgenesh2_kg.5__951__AT3G45770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7LMR7] MAALMKSVAGRALKFSSTASFRSNRHVKTPTLCTKSFSTVIMSPPSKAIVYEEHGSPDSVTRLVNLPPVEVKENDVCVKMIAAPINPSDINRIEGVYPVRPPVPAVGGYEGVGEVYAVGSKVNGFSPGDWVIPSPPSSGTWQTYVVKEESVWHKIDKACPMEYAATITVNPLTALRMLEDFVVLNSGDSVVQNGATSIVGQCVIQLARLRGISTINLIRDRAGSDEAREQLKALGADGVFSESQLNVKNVKSLLGNLPEPALGFNCVGGNAASLVLKYLREGGTMVTYGGMSKKPITVSTTSFIFKDLALRGFWLQSWLSMGKVKECREMIDYLLGLAQDGKLKYETELVPFDEFPVALGKALGKLGRQPKQVITF >fgenesh2_kg.5__952__AT3G45780.1 pep chromosome:v.1.0:5:12218600:12225900:1 gene:fgenesh2_kg.5__952__AT3G45780.1 transcript:fgenesh2_kg.5__952__AT3G45780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTEKPSTKPSSRTLPRDTRGSLEVFNPSTHPARPENPVYRPEPPTWQNLSDPRGTSPQPRPEQEPAPSNPVRSDQEIAVTTSWMALKDPSPEKISKKTITAEKPQKSAVAAEQRAAEWGLVLKTDTKTGKPQGVSVRNSGGTEHDPNGKKTTSQRNSQNSCRSSGEMSDGDVVGGRGGIPRVSEDLKDALSTFQQTFVVSDATKPDYPIMYASAGFFNMTGYTSKEVVGRNCRFLQGSGTDADELAKIRETLAAGNNYCGRLLNYKKDGTSFWNLLTIAPIKDESGKVLKFIGMQVEVSKHTEGAKEKSLRPNGLPESLIRYDARQKDIATNSVTELVEAVKRPRALSESTNLHPFMTKSESDELPKKPARRMSENVVPSGRRNSGGGRRNSMQRINEIPEKKSRKSSLSFMGIKKKSESLDESIDDGFIEYGEEDDEISDRDERPESVDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDLTTVKKIRNAIDNQTEVTVQLINYTKSGKKFWNIFHLQPMRDQKGEVQYFIGVQLDGSKHVEPVRNVIEETAVKEGEELVKKTAVNIDEAVRELPDANMTPEDLWANHSKVVHCKPHRKDSSPWIAIQKVLESGEPIGLKHFKPVKPLGSGDTGSVHLVELVGTDQLFAMKAMDKAVMLNRNKVHRARAEREILDLLDHPFLPALYASFQTKTHICLITDYYPGGELFMLLDRQPRKVLKEDAVRFYAAQVVVALEYLHWIIYRDLKPENVLIQGNGDISLSDFDLSCLTSCKPQLLIPSIDEKKKKKQQKSQQTPIFMAEPMRASNSFVGTEEYIAPEIISGAGHTSAVDWWALGILMYEMLYGYTPFRGKTRQKTFTNVLQKDLKFPASIPASLQVKQLIFRLLQRDPKKRLGCFEGANEVKKHSFFKGINWALIRCTNAPELETPIFPDEAENGEKVVDPELEDLQTNVF >fgenesh2_kg.5__955__AT3G45830.1 pep chromosome:v.1.0:5:12261553:12265849:1 gene:fgenesh2_kg.5__955__AT3G45830.1 transcript:fgenesh2_kg.5__955__AT3G45830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKSNVKVTRFDLEYSHGSGESMSSYEERRKNSVANNVDSEDEDDDFDEDDSGAGSDDFDLLELAETGAEFCQVGNVTCSIPFELYDLSSLEDILSVDVWNECLTEEERFSLSSYLPDVDQLTFMRTLKELFEGRNFHFGSPVKKLFDMLKGGQCEPRNTLYLEGRSLFLRIKHYHSLRKYHNDMVVNLCQTRDAWASCKGYSIDEKLRVLNIVKSQKTLMREKKDDFEEDSSEKEEPFDKPWSRKEKDRKSTQHKLARHSGYGVDSGLEFPSRRQLAAVEQDRYGTPKSKPKFPFTKTSVGPYASGYNGYGMNSGYNPSSLVRQRYGSEDNIDDDDQDPLFGMGSRRDRDKSGYSRPGKKHKSSRDGEPISEHFMGPPYSSRQSHSNYAKSSRYANNIHPHAFADQMKPVKGSLADLRGDLYRHGKNHGDAFSVDPRYISDDLSGKSKRLKSERDSPDTSLRSYRASMQQMNERFLNSDFGENHVQEKIRVNVVPNARSGVAAFRDSRMFMGNDDTESDSSHGYDDEEERNRVIRNKSSVSVGGMNNSHFPILKSRQDSKKSKSRKKDMQETELLDGRSTYLKYLGVPGEHIYAPGTEKHSFKAKQKGKMRDRSPLNNFSSRDFEDGPMTSLSELQDRNNRNEFFRSNKNSQTREQMIDRPLFQRPSAKPYLSGRKRGFDEDDESHEMRTLANDSARGRLSRKYQVSEDDGNSGDENLEARLLVTCSTVSKKRKTRVSLMDMERREDNGDLQLYSDIQQPVDDVIVSKRKGKKKMEVDVGFIDLETSDIPKASEVEVETKPQKKPFVLITPTVHTGFSFSIVHLLSAVRMAMTSLRPEDSLDVSKSVAVENAEHETGENGASVPKEAEDNKSPQQGIGNLPSLTIQEIVSCVKSNPGDPCILETQEPLQDLIRGVLKIFSSKTSPLGAKGWKPLVTFEKSTKCWSWIGPVLSPSDQETVEEVTSPEAWGLPHKMLVKLVDSFANWLKNGQETLQQIGSLPEPPLSLMQCNLDEKERFKDLRAQKSLSTITQSSEEARAYFRKEEFLRYSIPDRAFVYTAADGKKSIVAPLRRGGGKPTSKARDHFMLKRERPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDSQVNQVVSGALDRLHYERDPCVQFDSERKLWVYLHRDREEEDFEDDGTSSTKKWKRPKKEAAEQTEEQEAVTVAFIGNEEQPETEMGTDPKTDEPTGLDGDQGAADQLCNETEQAAEEQDNENTAQGNEPTIWEPDPAVVSNPVDENTFICQENSVNDDFDDET >fgenesh2_kg.5__956__AT3G45850.1 pep chromosome:v.1.0:5:12271662:12276966:-1 gene:fgenesh2_kg.5__956__AT3G45850.1 transcript:fgenesh2_kg.5__956__AT3G45850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQQRRGGIVSLSPAQTPRSSDKAARESRSSESNSTNRNDKEKGVNVQVILRCRPLSEDEARIHTPVVISCNENRREVAATQSIAGKHIDRHFAFDKVFGPASQQKDLYDQAICPIVFEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFDILEAQGAEYSMKVTFLELYNEEISDLLAPEETIKFVDEKSKKSIALMEDGKGSVFVRGLEEEIVSTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKENTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHIPYRDSKLTRLLRDSLGGKTKTCVIATISPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSAVMKDLYSEIDRLKQEVYAAREKNGIYIPKDRYIQEEAEKKEMAEKIERLELQSESKDKRVVDLQELYNSQQLLTAELSEKLEKTEKKLEETEHSLFDLEEKYRQANATIKEKEFVISNLLKSEKSLVERAFQLRTELESAASDVSNLFSKIERKDKIEDGNRYLIQKFQSQLTQQLELLHKTVASSVTQQEVQLKHMEEDMESFVATKSEATEELRDRLSKLKTVYGSGIEALDNIAVKLDGNSQSTFGSLNSEVSKHSHELENVFQGFASEADMLLQDLQSSLNKQEEKLITFAQQQRKAHCRAVDTARSVSKVTVEFFKTLDTHATKLTGIVEEAQTVNHKKLSEFENKFEECAANEERQLLEKVAELLANSNARKKNLVQMAVHDLRESASTRTTTLQHEMSTMQDSTSTIKAEWSLHMEKTESSHHEDTSAVESGKKAMQEVLLNCLEKAEMSAHQWRKAQESLVSLERNNVASVDSIVRGGMDANENLRTKFSSAVSSSLDVFDAANSSLLTSIDHSLQLDNDACTKVNSMIIPCCEDLIELKSDHNHKIVEITENAGKCLLDEYVVDEPSCSTPRKRPIDIPSIESIEELRTPASEELLRVFRDEKLLKQANGDAKQQQQQQHLILASSLYEAAVSDSRFPLSAVN >fgenesh2_kg.5__957__AT3G45860.1 pep chromosome:v.1.0:5:12279735:12282667:-1 gene:fgenesh2_kg.5__957__AT3G45860.1 transcript:fgenesh2_kg.5__957__AT3G45860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFWLFPFLLHLSFAYSLSSPSALAQNFIYINYSCPGTIILSYSRNSTYFTNLKTLLSSLSSRNASYSSGFQTATAGQAPDRVTGLFLCRGDVSQEVCRNCVAFSVNETLDFFPNSKEVVLYYDEYMLRYSHRNILSTVTYNGSAILLNGVNISSTNQNQVDQFRDLVSSTLNQAAVEAANNSKKFYTRKVIIPQTLYLLVQCTPDLTRQDCLRCLQKSINGMPLYLIGGRFLYPSCNSRYEIYAFYNETATRTSPPPSLPPGSTPQQQLKLAPPPQISIRGKGGNSSAIIIAVVVLFTVLFIIFVAVFCFRAKKTNTTFEREPLTEESDDITTAGSLQFDFKAIEAATNKFCETNKLGQGGFGEVYKGIFPSGAQVAVKRLSKTSGQGEREFANEVVVVAKLQHRNLVRLLGFCLERDERILVYEFVPNKSLDYFIFDSTMQSLLDWTRRYKIIGGIARGILYLHQDSRLTIIHRDLKAGNILLDADMNAKIADFGMARIFGMDQTEANTRRIVGTYGYMSPEYAMYGQFSMKSDVYSFGVLVLEIISGKKNSNVYQFDSASAGNLVTYTWRLWSNGSPLELVDPSFHDNYRINEVTRCIHIALLCVQEEAEDRPTMSAIVQMLTTSSMALAVPQRPGFFFRSSKHEQVGLVDRLSINTSALYSVDDASITNVTPR >fgenesh2_kg.5__959__AT3G45870.1 pep chromosome:v.1.0:5:12290377:12292104:1 gene:fgenesh2_kg.5__959__AT3G45870.1 transcript:fgenesh2_kg.5__959__AT3G45870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7LMT0] MEGVVEREAWKAHVAMIGVQLFNGGYHVITKVALNVGVNQLVFCVFRDLIALSILAPLAYFRDKMTRPPLNRQFLLAFFFLGFTGIFGNQLLFLIGLNYTNPTYAAAIQPSIPVFTFILALIMGTERLNLFKLEGQAKVGGTLICVLGAVLMVLFRGPALFGETEAESLGHGETRHTETSGHFMSGFFNGLGRWNLGVLCLIGNCTCMAAFLAIQAPVLKKYPANLSVTAYSYFFGTMFMVTSAFFMTNESTNWSLTRSEFFAVVYAGVIASALNYGLLTWSNKILGPSLVALYNPLQPAASAFLSRIFLGSPIYLGSILGGCAIIAGLYSVTWASYKEKKAAAATGMIPITSKEAEPFIYKDHKNKPIGHLFTKSPISSPKSAD >fgenesh2_kg.5__95__AT2G01860.1 pep chromosome:v.1.0:5:494649:496425:1 gene:fgenesh2_kg.5__95__AT2G01860.1 transcript:fgenesh2_kg.5__95__AT2G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LPW5] MIVYCPVSWSLSFHLNPQTRSLGNIGITRVNASQRNHFKKLTKNLRNPRLTKLPPDFGVNLFLRKPKIEPVVDDYDADNDVQDQESVDDDAVVWEPEEIEAISSLFQKRIPQKPGKPIRVRPLPLPQPHKLRPLGLPTPKKNIRSAALSSVSKQVYKDPSFLIGLAREIKSLPSSDADVSLVLNKWVSFLRKGSLSMTIRELGHMGLPERALQTYHWAEKHSHLVPDNRILASTIQVLAKHHELKLLKFDNSLASKNVIEAMIKGCIEGGWLNLARKLILISKSNNRILDSSVYVKMILEIAKNPDKYHLVVTLLEELKEREDLRLSQQDCTGIMKICVKLGEFELVESLFDWFKESNREPSVVMYTTMIHSRYSEQKYREAMNVVWEMEESNCLLDLPAYRVVIKLFVALDDLGRAMRYYSKLKEAGFLPTYDIYRDMINVYTASGRLTKCKEICKEVEDAGLRLDKDTSFRLLQLEKQTMSLLH >fgenesh2_kg.5__960__AT3G45880.1 pep chromosome:v.1.0:5:12292131:12294833:-1 gene:fgenesh2_kg.5__960__AT3G45880.1 transcript:fgenesh2_kg.5__960__AT3G45880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEIQNLWREVRELSLGTKIDRLDSPPSPVKFLRDYVSQSKPCVISNAISHWPALKLWSDPAYLSGALSNDFVSLHLTPNGCADAVTGDRDLCFASAHVEKVLFPEALEAVQSSCKGQKVGYLQQQNDCFRTEYSTVALDCDGEIAWATEAFGCSPEAVNLWIGTDDSVTSFHKDHYENLYAVVSGEKHFILLPPTDVHRLYIEQYPAANYSYHRDTEVFKLEIEEPVRHVPWSSVDPYPSPEKEASEILKYPLFFNGPKPFHCTVKAGEILYLPSMWFHHVSQTPGDGGYTIAVNYWYDMQFDIKYAYFNFLQSLSYQSSSLNPVLSWREDKDSESSDAEIVP >fgenesh2_kg.5__962__AT3G45900.1 pep chromosome:v.1.0:5:12297661:12299368:-1 gene:fgenesh2_kg.5__962__AT3G45900.1 transcript:fgenesh2_kg.5__962__AT3G45900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPEPEVEEEEERLRMRSFVEEEEEHDELKKLAKSFLGLSFSVMLAHLPNDAISIVPRLTSEVTELKRRLATAEEQVRQMKSRRVEDSKANARVVEIFASHRNAWQEEEKRLLNRIHEMEEEREDFMNRINELEREVSERDEMIGFMSRREIEEEDEDGEGDGDESSERYGVDHLTVSSSPNAYGINPSSNFTPFQDLQYESLESVYRMKHFVPRRESPWKIDSEGTGVSAKLRLLEEELLNLEKVCRSDISKVPSLLRKQAKRYQALSGKIDDLCRRMQSSDPCDATLGPEFRTQRQTEFLLECFRLQQRASETGQKLVSLQTEITRSNQGDQLSQAKMNTGRSLDLIKNNLKEVQRNLEIWLARIIGDLEGILARDGASRVREFYVARYPFVQ >fgenesh2_kg.5__963__AT3G45930.1 pep chromosome:v.1.0:5:12303547:12304061:1 gene:fgenesh2_kg.5__963__AT3G45930.1 transcript:fgenesh2_kg.5__963__AT3G45930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:D7LK81] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >fgenesh2_kg.5__964__AT3G45940.1 pep chromosome:v.1.0:5:12307208:12310118:-1 gene:fgenesh2_kg.5__964__AT3G45940.1 transcript:fgenesh2_kg.5__964__AT3G45940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSLLVAIILCFSSLRCSNAIGKGYRLISIEKSPDDGGFIGFLQVKQSNKIYGSDITILRLFIKHETDHRLRVHITDAKRQRWEVPYNLLRREQPPRVIGKSRKSPVTVQEISGPELILSFTTDPFSFAVRRRSNRETIFNTSSSDENFGEMVFKDQYLEISTSLPKDSSLYGFGENSQPNGIKLVPNEPYTLFTEDVSAFKLNTDLYGSHPVYMDLRNVRGKSYAHSVLLLNSNGMDVLYRGGSLTYKVIGGVFDFYFFAGPSPLNVVDQYTSLIGRPAPMPYWSLGFHQCRWGYRNVSVLEEVVDNYQKAKIPLDVIWNDADYMDGYKDFTLDLVNFPHAKLLAFLDRIHKMGMKYVVINDPGIGVNASYGVYQRGMANDVFIKYEGKPFLAQMWPGPVYFPDFLNPKTVSWWGDEIRRFHELVPIDGLWIDMNEINATGNKAPLGFKTIPTSAYHYNGVREYDAHSIYGFSEAISTHKALLDVQGKRPFILSRSTFVGSGQYAAHWTGDNQGTWQSLQVSISTMLNFGIFGVPMVGSDICGFFPPTPEELCNRWIEVGAFYPFSRDHADYYAPRKELYQWGTVAESARNALGMRYKLLPFLYTLNYEAHMTGAPIARPLFFSFPDYTECYGLSKQFLLGSSLMISPVLEQGKTQVEALFPPGSWYHIFDMTQVVVSKNGKRVTLPAPLNVVNVHLYQNTILPMQQGRLVVTFPARASEGYATGKLFLDDDELPEMKIGNGQSTYVDFYASKVSFALRQGLVIERVIVLGLEGTEQTKRIEVSSKDQKYVVGSEDKGESKSFMVELKGLEILVGKDFNISWKMASTNGAH >fgenesh2_kg.5__968__AT3G45970.1 pep chromosome:v.1.0:5:12335807:12340413:1 gene:fgenesh2_kg.5__968__AT3G45970.1 transcript:fgenesh2_kg.5__968__AT3G45970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLYLIVVIFLFSSSVNACDRCLHRSKAAYFSSASALSSGACSYGSMATSFFAGHIAAAIPSIYKDGAGCGACFQVRCKNPKLCSSKGTIVMVTDLNKSNQTDLVLSSRAFRAMAKPVVGADKDLLKQGIVDIEYQRVPCDYGNKNLNVRVEEASKKPNYLEIKLLYQGGQTEVVSIDIAQVGSSPNWGYMTRSHGAVWVTDKVPTGALQFRFIVTGGYDGKMIWSQNVLPSNWEAGKTYDAGVQITDIAQEGCDPCDAHIWN >fgenesh2_kg.5__969__AT3G45980.1 pep chromosome:v.1.0:5:12340402:12341141:-1 gene:fgenesh2_kg.5__969__AT3G45980.1 transcript:fgenesh2_kg.5__969__AT3G45980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:D7LMU1] MAPRAEKKPAEKKPAAEKPVEEKSKAEKAPAEKKPKAGKKLPKEAGAGGDKKKKMKKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLASESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >fgenesh2_kg.5__971__AT3G46000.1 pep chromosome:v.1.0:5:12348830:12350105:-1 gene:fgenesh2_kg.5__971__AT3G46000.1 transcript:fgenesh2_kg.5__971__AT3G46000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVHDDCKLKFMELKAKRTFRTIVYKIEDKQVIVEKLGEPEQSYDDFAASLPADECRYCIYDFDFVTAENCQKSKIFFIAWSPDTAKVRDKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVFKSRTN >fgenesh2_kg.5__973__AT3G46010.1 pep chromosome:v.1.0:5:12354175:12355607:-1 gene:fgenesh2_kg.5__973__AT3G46010.1 transcript:fgenesh2_kg.5__973__AT3G46010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGIDVHDDCKLRFLELKAKRTHRFIVYKIEEKQKQVVVEKVACLPADECRYAIYDFDFVTAENCQKSMIFFIAWCLDIAKVRSKMIYASSKDRFKRELDGIQVELQATDPTEMDLDVFRSRAN >fgenesh2_kg.5__975__AT3G46030.1 pep chromosome:v.1.0:5:12359098:12359662:-1 gene:fgenesh2_kg.5__975__AT3G46030.1 transcript:fgenesh2_kg.5__975__AT3G46030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:D7LMU7] MAPKAEKKPAEKKPVEEKSKAEKAPAEKKPKAGKKLPKEAGAGGDKKKKMKKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLASESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >fgenesh2_kg.5__977__AT3G46060.1 pep chromosome:v.1.0:5:12362241:12364647:1 gene:fgenesh2_kg.5__977__AT3G46060.1 transcript:fgenesh2_kg.5__977__AT3G46060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEEVFFSIGRDIKQRLSDTDSRAEPATIKISQTDQAAGAGQATQKSACCGT >fgenesh2_kg.5__97__AT2G01880.1 pep chromosome:v.1.0:5:497204:501262:-1 gene:fgenesh2_kg.5__97__AT2G01880.1 transcript:fgenesh2_kg.5__97__AT2G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LPW6] MKIYVCFSVILMFLCIFFISGALSELERLEHPVTKSDGSLSFLVVGDWGRKGEFNQSLVAHQMGIVGEQLDIDFVISVGDNFYDDGLKGDNDTSFEASFSHIYTHPSLQKQWYSVLGNHDYRGNVEAQLSQVLTQKDWRWFCRRSFVLSSGMVEFFFVDTNPFVEKYFTDPEDHTYDWSTVLPRNKYISNLLHDLDLEIKKSRATWKFVVGHHGIKTAGHHGVTQELVDQLLPILEENKVDLYINGHDHCLQHIGSDGETQFLTSGGGSKAWRGDIQPWDPKELKLYYDGQGFMSLHITHSQANFIYYDVSGNVLHQSSLSKRSVLL >fgenesh2_kg.5__981__AT3G46090.1 pep chromosome:v.1.0:5:12372459:12373110:-1 gene:fgenesh2_kg.5__981__AT3G46090.1 transcript:fgenesh2_kg.5__981__AT3G46090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSEVIEIVEDTAAKCLMLLSRVGECGGYGGGEKRVFRCKTCLKEFSSFQALGGHRASHKKLINSHDISLLGSLSNKKTKTMTSHPCPICGVEFPMGQALGGHMRRHRNEKGSGTLVTRSFLPETTTTVTTLKKWSSGKRVACLDLDLDSMESLVNWKLELGRTIS >fgenesh2_kg.5__989__AT3G46200.1 pep chromosome:v.1.0:5:12450638:12452711:1 gene:fgenesh2_kg.5__989__AT3G46200.1 transcript:fgenesh2_kg.5__989__AT3G46200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAQEMNPEGSRYQLLLSCPSGLSPSQVSVDFSKSHDRIPHPDPDLEDSISQVWEQRSQSNSSLFNGQKFRYGSYCLGGDDGGANEVPHVCLRLGLTDYRTFVGTNLSSLWERFLVPSEDDSVRCRHTSSPLGNGAVIETSDKKIIVLRRSNNVGEFPGHYVFPGGHPEPTAVGIDYHQLENDVQTGEVLNKKVTQEMFDSIICEVVEETGIPASSLSPPLFIGISRRELNVRPAMFFYLKCSHHSDDIPRLYSSAEDGFESTQLHTVSLDELKMMTSRMPGCHHGGFALYELMLQRFQEH >fgenesh2_kg.5__994__AT3G46210.3 pep chromosome:v.1.0:5:12461723:12463589:-1 gene:fgenesh2_kg.5__994__AT3G46210.3 transcript:fgenesh2_kg.5__994__AT3G46210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDREDGRTPNQLRPLACSRNILHRPHGSASWSQGDTKVLAAVYGPKAGTKKNENAEKACFEVIWKPKTGQIGKVEKEYEMIMKRTIQSICVLTVNPNTTTSVIIQVVHDDGSLLPCAINAACAALVDAGIPMKHLAVAICCCLADNGYLVLDPNKLEEKKMTAFAYLVFPNTTLSVLPEGSSVAEGEPVEHGIITSVTHGVMSVDDYFLCVENGRAATASLSAFFRKNFQQSQKDSSKAG >fgenesh2_kg.5__996__AT3G46230.1 pep chromosome:v.1.0:5:12469212:12469832:-1 gene:fgenesh2_kg.5__996__AT3G46230.1 transcript:fgenesh2_kg.5__996__AT3G46230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGGRRTNVFDPFSLDVWDPFEGFMTPGLTNAPAKDVAAFTNAKVDWRETPEAHVFKADLPGLKKEEVKVEVEDGNILQISGERSSENEEKSDKWHRVERSSGKFIRRFRLPENAKVEEVKASMENGVLSVTVPKVPESKPEVKSIDISG >fgenesh2_kg.5__998__AT2G13272.1 pep chromosome:v.1.0:5:12531862:12532117:-1 gene:fgenesh2_kg.5__998__AT2G13272.1 transcript:fgenesh2_kg.5__998__AT2G13272.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LMY5] MKILNDMWGEAERHSSLLKAKKIRRPHLKMVDDWSILEPSRVLKMLESSWILEMLKPNRVLENIKPSWVMIFGLEPSRVLMILES >fgenesh2_kg.5__99__AT2G01890.1 pep chromosome:v.1.0:5:501885:504999:-1 gene:fgenesh2_kg.5__99__AT2G01890.1 transcript:fgenesh2_kg.5__99__AT2G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LPW7] MDSLRDVKPIKFIFSIFCLIFILSACNSTAELPRFVQPLKPDGTLSFLVVGDWGRRGSYNQSQVALQMGKIGKDLNVDFLISTGDNFYDDGIISPYDSQFQDSFTNIYTASSLQKPWYNVLGNHDYRGNVYAQLSPILRDLDCRWICLRSYVVDAEIVDIFFVDTTPFVDKYFDEPKDHVYDWRGVLPRNKYLNNLLMDVDVALQESMAKWKIVVGHHTIKSAGHHGITIELDKQLLPILEANEVDLYINGHDHCLEHISSINSGIQFMTSGGGSKAWKGDMNDWNPQEMRFYYDGQGFMSVYTSEAELRVVFYDGFGRILHRWSTCKKGVYSDI >fgenesh2_kg.5__9__AT2G01110.1 pep chromosome:v.1.0:5:94278:96207:-1 gene:fgenesh2_kg.5__9__AT2G01110.1 transcript:fgenesh2_kg.5__9__AT2G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSTSSALIHHFPLTTRNLASPTKQRPPYAVNFCNSWREAGLRYSVTQRRSKGFGRVAALDDNSDDSPTPGVGSAVEDRPPDASEDRSSSVYEFLYPRKEELPDDKEMTIFDHLEELRERIFVSVLAVGAAILGCFAFSKDLIVFLEAPVKTQGVRFLQLAPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTRAERRFLGPIVFGSSLLFYAGLAFSYWVLTPAALNFFVNYAEGVVESLWSIDQYFEFVLVLMFSTGLSFQVPVIQLLLGQVGVVSGDQMLSIWRYVVVGAVVVAAVVTPSTDPVTQMLLATPLLGLYLGGAWMVKLTGR >fgenesh2_kg.616__1__AT2G12505.1 pep scaffold:JGI8X:scaffold_616:2929:4626:1 gene:fgenesh2_kg.616__1__AT2G12505.1 transcript:fgenesh2_kg.616__1__AT2G12505.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXV3] SWLIGPSVLQTYAPSVSWWTIKPSAYRTIHVSDLVVYHYDRPRSTNRLPVRSMVVITPSAYRSIHAIRTISLSHPRPMKSFVRRPLVCSRVQHPFCPLVLTVDFPVDCIHGRPLGVFGCTYPRASSNTFPLYAFPINFSTDDPIDPIIELSTRTINQVVEPFGGSHRILDHVIRHMIGWTHPIRLIHPSYTFHRTVGSGGSMRISSVETPRIHSDSGDTRRAAPPFRPTLRGEWVCFRNITSVRCTLPKGCIFPIVTVRPYPPFGQRSPSSVELSLVFSSPS >fgenesh2_kg.61__1__AT5G28667.1 pep scaffold:JGI8X:scaffold_61:24014:25022:-1 gene:fgenesh2_kg.61__1__AT5G28667.1 transcript:fgenesh2_kg.61__1__AT5G28667.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MW34] METSYGLQPTLIVSIEESVAMFLRICGHNEVQRDVGLRFFEVLRATKLLTCDYIKTPTRQELRRIPEKLQMDRRYWPYFSGFVGAI >fgenesh2_kg.624__1__AT2G39990.1 pep scaffold:JGI8X:scaffold_624:5178:7225:-1 gene:fgenesh2_kg.624__1__AT2G39990.1 transcript:fgenesh2_kg.624__1__AT2G39990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit F [Source:UniProtKB/TrEMBL;Acc:D7LDU9] MAAASEHTVLQFVAPSSTATATTSVLTARIHPLVIFNVCDCFVRRPDSAERVIGTLLGSILPDGTVDIRNSYAVPHNEFSDQVAVDIDYHHNMLASHLKVNPKEIIVGWYSTGAGVNGGSALIHEFYAREVTNPIHLTVDTGFTNGEGTIKAFVSSNLSLGDRQLAAQFQEIPVDLRMVDAERVGFNVLKATSVDKLPNDLEGMELTMERLLTLINDVYKYVDSVVEGQTAPDNNIGRFIADAVASLPKLPPQVFDDLVNDSLQDQLLLLYLSSITRTQLSLAEKLNTAAQML >fgenesh2_kg.628__1__AT3G25200.1 pep scaffold:JGI8X:scaffold_628:4397:4967:1 gene:fgenesh2_kg.628__1__AT3G25200.1 transcript:fgenesh2_kg.628__1__AT3G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXV8] EPKHFSSPPFVTLPRCQTQIVTNVVASSPLDDEDCVVAVKFLGPQLSFFRPAQSHIIGSWDLHTHKQTPPKIQRLQLQNLPERTRTQRELLESSWASVFLVESQSTDETFLVKWYKKTSGKIMKTKGVMVFRLDHKGNAIYTQDIGDLFIFLSRD >fgenesh2_kg.631__1__AT5G50450.1 pep scaffold:JGI8X:scaffold_631:544:1864:1 gene:fgenesh2_kg.631__1__AT5G50450.1 transcript:fgenesh2_kg.631__1__AT5G50450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MXW0] MTHLNKKQRLEKNHNHFDDLHDDLIISILRKLASSASSPSDFLTVLSTCKRLNRLGLQPLVLSKAGTQTLAVTAEKWSDSSHKFLKLCVNAGNIDASYALGMIRFYCLQNPVSGASLMAKAAIKSHAPALYSLSVIQFNGSGGSKTDKNLRAGVTLCARSAYLGHVDALRELGHRLQDGYGVPRDVSEGRRLLIQAYARELACSLRSYLSLKYGDEILTDLSVVPVQEIHPVYRFLKEWFSSGRVDLSEGLRMCSHGGCGRPETRAHEFRRCSVCVGFGEPRIRLHIGVDGEAVAVEIDDNHVND >fgenesh2_kg.637__1__AT3G44115.1 pep scaffold:JGI8X:scaffold_637:1062:1409:1 gene:fgenesh2_kg.637__1__AT3G44115.1 transcript:fgenesh2_kg.637__1__AT3G44115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSHVTALFIVVALLCAFVPAFSVEEAEAKTLWDTCLVKITPKCALNIIAVVFGNGTLIESCCHDLVQEGKVCHDNLIKYIADRPSLVGRETQYLKKRDDVWSHCVSISKTA >fgenesh2_kg.637__2__AT4G08025.1 pep scaffold:JGI8X:scaffold_637:2815:3311:1 gene:fgenesh2_kg.637__2__AT4G08025.1 transcript:fgenesh2_kg.637__2__AT4G08025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSHVTALFIVVALVCAFVPAFSVEEAEAKTLWDTCLVKITPKCALNIIAVVFGNGTLIESCCHDLVQEGKVCHDNLIKYIADRPSLVGRETQYLKKRDDVWSHCVSISKTT >fgenesh2_kg.637__6__AT1G57775.1 pep scaffold:JGI8X:scaffold_637:4716:5063:1 gene:fgenesh2_kg.637__6__AT1G57775.1 transcript:fgenesh2_kg.637__6__AT1G57775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSHVTALFIVVALVCAFVPAFSVEEAEAKTLWDTCLVKITPKCALNIIAVVFGNGTLIESCCHDLVQEGKVCHDNLIKYIADRPSLVGRETQYLKKRDDVWSHCVSISKTA >fgenesh2_kg.64__3__AT5G51510.1 pep scaffold:JGI8X:scaffold_64:40824:42323:-1 gene:fgenesh2_kg.64__3__AT5G51510.1 transcript:fgenesh2_kg.64__3__AT5G51510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRKPTAGRPSGTDGSDFSYRMVVDSRYTKVTKEKARLRPLIFVQAAIYLVGLSCAFLTTTKKDERNTLAIAAAAAGLVSSFIGELGCRRSRVNLLRLYTAASTIVMVLSVFCAVRSRLTMEERNSTGTTAKLELAGFICAQFGAVVQILVIIVTGSLVNNMSPPTKAA >fgenesh2_kg.653__1__AT5G61650.1 pep scaffold:JGI8X:scaffold_653:1341:2203:1 gene:fgenesh2_kg.653__1__AT5G61650.1 transcript:fgenesh2_kg.653__1__AT5G61650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCP4_2 [Source:UniProtKB/TrEMBL;Acc:D7MXX0] MADQIQIQIMNQDLEEPMAEIMPSVLTAMSYLLQRVSETNDKLSQKQRISSFTGLTKPSISIRSYLERIFNYANCSYSCYIVAYIYLDRFVKKQPFLPINSFNVHRLIITSVLVSAKFMDDLSYNNGYYAKVGGISREEMNMLELDFLFGIGFQLNVTVSTFNNYCCFLQREMGMLTKMKSLFLEPSLSFKSSSKTKLLMNPHEDDSLSTHHNKKQLAAA >fgenesh2_kg.65__2__AT5G45070.1 pep scaffold:JGI8X:scaffold_65:36763:38470:1 gene:fgenesh2_kg.65__2__AT5G45070.1 transcript:fgenesh2_kg.65__2__AT5G45070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-A8 [Source:UniProtKB/TrEMBL;Acc:D7MW47] MAASSSVRPTTTGPQVFINFRGKDVRNGFLSFLEPAMREANINVFIDKHEVVGTDLVNLFVRIQESRVVVVIFSKDYTSSEWCLDELAQIKDCIDQGGLNVIPIFYKLAPSSVEELKGGFGDSFRVLKCKYKDEPERTQKWEEALKYIPKIKGLTLSEKSDRNEREFIYETIFEIQRSLSQIAVKGNPKLESNSLGGFMVPARRLVITHAENPEKWTWSAIYDRPHKADIEIATMINTHSLIKINGDFHTRKLIPGKKYEVVFLVRLDDTSLGWKNDVTLTLKLVMGDKTGNEKEKKLCLDEYIGENWVDILVGEFEAPPKKDDAKIFFSMSQYVDTDKKSGLVVKGFAIRPA >fgenesh2_kg.664__1__AT5G50330.1 pep scaffold:JGI8X:scaffold_664:264:3773:1 gene:fgenesh2_kg.664__1__AT5G50330.1 transcript:fgenesh2_kg.664__1__AT5G50330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7MXX7] MHGFDFKEIQEKISESFRPWQRSFQFWVRATNIYTGYKVFQLRVSLVKDAKKQEEMWERQHEQAADNIYFMCSDLGGFFLKIAQLLAKPDMAPAGWVKKLVTLCDQAPATPFDAIQLVLEKELGKSIGEIFETFDEKPLGSASIAQVHRARVKGNKMNVVVKVQHPGIERLMMTDIRNLQLFALYMQRTDIKFDLHSITKEMEKQIGYEFDFKREASAMERIRCFLYENNKKSPVLVPRVLRDMVTKRVLVMEYINGIPILSIGDEMAKRGINPHGKIAEAAKHNILSSLSRAYGQMILKSGFFHADPHPGNILICKGQEVALLDYGQVKELPDKLRLGYANLVIAMADDNASGVSQSFWEMGLHTVAKCVNEQQELLRLAQTLFDTKMPAGQTVLQPFSDDSSIKKIAVETFPEELFSVLRTVVLLRGLSVGMGVNYSCAQQWRSMAEEALLASGRVTRDGKGRSRRQASLRRLRPGS >fgenesh2_kg.665__2__AT5G61250.2 pep scaffold:JGI8X:scaffold_665:18:3400:-1 gene:fgenesh2_kg.665__2__AT5G61250.2 transcript:fgenesh2_kg.665__2__AT5G61250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXX9] MGFKVVFLISLFLLPVTFGSNMERTTLVIDGGHGIAETDENFVCATLDWWPPEKCNYDQCPWGYASLINLNLSSPLLAKAFQAFRTLRIRIGASLQDQVIYDVGDLKTPCTQFEKTDDGLFGFYKGCLYMKRWDELNRFFHATGAIVTFGLDALHGRDKLNGTAWGGDWDHTNTQDFMTTQSQRLSGSGIWASVSVELDGKDLILLKDVINNVYKNSRTRPLVVAPGGFFEEKWYSELLRLSGPGVLDVLTHHIYNLGPGNDPMLVNRILDPNYLSGISGTFANVNRTIQEHGPWAAAWVGEAGGAFNSGGRQVSETFINSFCSVFRRSITPKYTADKLWLEVSTVCSKRKRLFPIQITTGNALLWHRLMGKGVLGVQTTASEYLRAYVHCSKRRAGITILLINLSKNTTFTVAVSNGVNVVLQADTMKRNLFWNTQEQGFL >fgenesh2_kg.666__2__AT5G65310.1 pep scaffold:JGI8X:scaffold_666:441:2712:-1 gene:fgenesh2_kg.666__2__AT5G65310.1 transcript:fgenesh2_kg.666__2__AT5G65310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRGSSDSLAGFLPIRHSTTDKQISPRPTTTGFLYSGAGDYSQMFDALEDDGSLEDIGGVGHASSTAAEKKRRLGVEQVKALEKNFEIDNKLEPERKVKLAQELGLQPRQVAIWFQNRRARWKTKQLERDYGVLKSNFDALKRSRDSLQRDNDSLLGQIKELKTKLNVEGVKGIEENGVEKAMEANQAVMASNEVVELNHRLPPPPTHIPTEDPTSELAYEMFSIFPRTENFREDPADSSDDSSAVLNEEYSPTTVEAAGTVAATAVEMSTMGCFSQFVKMEEHEDLFSGEEACKLFADNEQWYCSDQWNS >fgenesh2_kg.675__1__AT5G57520.1 pep scaffold:JGI8X:scaffold_675:1400:2045:1 gene:fgenesh2_kg.675__1__AT5G57520.1 transcript:fgenesh2_kg.675__1__AT5G57520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXY5] MDFQPNTSLRLSLPSYKNHQLNLELVLEPSSASSSTNSSSCLEQPRVYSCNYCQRKFYSSQALGGHQNAHKLERTLAKKSRELFRSSNTVDSDQPYPFSGRFELYGRGYEGFLESGGSRDFSSRRVPESGLDQDQEKSHL >fgenesh2_kg.686__1__AT5G38350.1 pep scaffold:JGI8X:scaffold_686:2459:5024:-1 gene:fgenesh2_kg.686__1__AT5G38350.1 transcript:fgenesh2_kg.686__1__AT5G38350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MXZ7] HLGVAQDRLNDKRVLIVLDSIDQSIQLDAIAKETRWFGHGSRIIITTQDQRLLKAHGINHIYKVEFPSAYEAYQMFCMYAFDQNFPKDGFEELAWQVTKLLGNLPLGLRVMGSHFRGMSRHEWVNALPRLKIRLDASIQSILKFSYDALCDEDKDLFLHIACLFNNDGMVKDYLALSFLDVRQGLHLLAEKSLIALEIFSADYTHIKMHNLLVQLGRDIVRHKPGHQSICAPGKRQFLVDARDICEVLTDNTGSRNVIGILFEVYTLSGELNISERAFEGMSNLKFLRFHGPYDGQSDKLYLPQGLNNLPRKLRLIEWSRFPMKCLPSNFCTKYLVHIDMWNSKLENLWQGNQPLGNLKRMDLRESKHLKELPNLSTATNLENLTLFGCSSLAELPSSLGNLQKLQELRLQGCSTLDLQGCSKLEALPTNINLESLNNLDLTACLLIKSFPEISTNIKDLMLMKTAIKEVPSTIKSWSHLRNLEMSYNDNLKEFPHALDIITKLYFNDTEIQEIPLWVKKISRLQTLVLKGCKRLVTIPQLSDSLSNVIAINCQSLERLDFSFHNHPERYLRFINCFKLNNEAREFIQTSSSTSAFLPAREVPANFTYRANGSFIMVNLNQRPLSTTLRFKACVLLDKKVDNDKEEAAARETNVFLSIREKDKIGVDVSCRPAYCFLVPPILREHLLIFEFEADVTCNELLFFFGIQGNEAVIKECGVLQL >fgenesh2_kg.697__2__AT5G63870.2 pep scaffold:JGI8X:scaffold_697:843:4367:1 gene:fgenesh2_kg.697__2__AT5G63870.2 transcript:fgenesh2_kg.697__2__AT5G63870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7MY00] MESVPPSPITWPDGGGLTNEWVHGLMSSFQWSSWNLPPSQLPSLLPVSVFDSLVLTASKILHKERNCVHIDGLDSESNVVVVGDIHGQLHDLLFLLKDTGFPCQNRFYVFNGDYVDRGAWGLETFLVLLSWKVLMPDRVYLLRGNHESKYCTSMYGFEKEVLTKYGDKGKHVYRKCLGCFEGLPLASIISGRVYTAHGGLFRSPVLPKRTTRGKKNRRVVLLEPEPSSLKLGTLDELMQARRSVLDPPWEGSNLIPGDVLWSDPSMTSGLSPNEQRGIGLLWGPDCTEDFLKKYELKLIIRSHEGPDAREKRTGLGGMDKGYTIDHNVESGKLITIFSAPDYPQFQATEERYKNKGAYIILQAPDFSDPQFHSFEAVKPRPKAHPYYDFENVIDSDDEMDKSALDTNDEQPNR >fgenesh2_kg.6__1000__AT5G10360.1 pep chromosome:v.1.0:6:4126245:4127939:-1 gene:fgenesh2_kg.6__1000__AT5G10360.1 transcript:fgenesh2_kg.6__1000__AT5G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S6 [Source:UniProtKB/TrEMBL;Acc:D7M2W3] MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRLSQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGHGRRTGERRRKSVRGCIVSPDLSVLNLVIVKKGESDLPGLTDTEKPRMRGPKRASKIRKLFNLGKEDDVRKYVNTYRRTFTNKKGKKVGKAPKIQRLVTPLTLQRKRARIADKKKRIAKANSDAAEYQKLLASRLKEQRDRRSESLAKKRSRLSSAPAKPVSA >fgenesh2_kg.6__1002__AT5G10370.1 pep chromosome:v.1.0:6:4128958:4135190:1 gene:fgenesh2_kg.6__1002__AT5G10370.1 transcript:fgenesh2_kg.6__1002__AT5G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSFPPSDGGRSETDRRQQSFPSSSMNRYNSRSAQSSPPLNHRPTWNQQHSQYPNSNFPPNYRRDHAPSPGISSPGSRARPNFIVQLLHPRINAAANSDTKLSFSAKEQEIKSLALLCEIPEESVHVPQYGCIAGSFRFRQWVDARSAVVALWDYRLQGKHDFVPELIPNVIVPSDMNELKDRLRELFSAHVLLLMENGEGVKKVRMEIEEKSRQVASFSSKRGLKFEVFEKKKAIEAERDLVVNRLEEFKNAMKSILRYLIGQDGYEFDLEEEDEDVAVFCLQGAYDWRRIHYLIRRECRRLEDGLPIYAYRREILKRIHCEQIMVLIGETGSGKSTQLVQFLADSGVAASESIVCTQPRKIAAMTLADRVKEESSGCYEENTVRCTPTFSSTEQISSKVVYMTDNCLLQHYIRDRSLSGISCVIIDEAHERSLNTDLLLALLKELLSRRIDLRLVIMSATADAHQLSRYFFSCGILHVNGRNFPVEIVYSPSDTEENSVVGGIASYVGDVVKMAVEIHKTEKEGTILAFLTSQAEVEWACERFIAPSAIALPLHGKLSFEEQFRVFQNHPGRRKVIFATNIAETSLTIPGVKYVIDSGMVKESKYEPRTGMSILKVCQVSQSSARQRAGRAGRTEPGRCYRLYSKNDFDSMNLSQEPEIRRVHLGVALLRMLALGVDNIDAFDFIDAPVPEAIAMAVQNLVQLGAVVVKNGVLELTQEGHCLVKLGLEPKLGKLILGCFRHRMGKEGIVLAAVMANASSIFCRVGNFDDKMKADRLKVQFCNHNGDLFTLLSVYKEWASLPRERRNKWCWENSLNAKSMRRCEDTVKELEICIERELTLVSPSYWVWNPNEGTKHDKHLKMVILASLAENVAMYTGYDQLGYEVALTGQQVQLHPSCSLLAFGQKPSWVVFGELLSIVDQYLVCVTAFDFEALYMLDPPPPFDASQMDEQRLRVKKVVGCSSTVLKRLCGKSNRSLLSIVSRARSLCSDERIGIQVDVDQNEILLYAPPLDMEKVSALVNDALECEKKWMRNECLEKYLFHGRGQVPIALFGSGAQIKHLEVDQRFLTVDVLYYGDNVVDDRELLSFLEKKIDGCICSIYKFAANKQDCDEKEKWGRITFLTPESAMKATEIQKFDFKGSVLKLFPSLSTGGGIFKMPSFPSVTAKIRWPRKESSGRGCLKCPSGDIHSIIGGISNLVIGTNYVNIQRDQQSNDSILISGLGDLSEAEVLDVLELETKRRDLNFFIFRKNSVQCPSPTACEEELHKRIFARMSAKNPEPNCVQVQVFEPKEDNYFMRALITFDGRLHLEAAKALQELNGEVLPGCLPWQKIKCEQLFQSSIICSASIYNSVKRQLNVLLASFERQQGGIWRLEPTHNGAYRVKIIAYATRPVAEMRRELEELLRGRPINHPGLTPKVLQHLMSRDGINLMRKIQQETETYIVLDRHNLTVRICGSSEKIAKAEQELVQSLMAYHESKQLEIHLRGPEIRPDLMKEVVKRFGPELQGIKEKVHGVDLKLNTRYHVIQVHGSKEMRQEVQKMVNELAREESALGEKTDDIEIECPICLSEVDDGYSLEGCSHLFCKACLLEQFEASMRNFDAFPILCSHIDCGAPIVLADMRALLSQEMLDELFNASLSSFVTSSDGKFRFCSTPDCPSIYRVAGPQESGEPFICGACHSETCTRCHLEYHPLITCERYKKFKENPDLSLKDWAKGKDVKECPICKSTIEKSDGCNHLQCRCGKHICWTCLDVFTQAEPCYAHLRTIHGGIGLVELGVPVD >fgenesh2_kg.6__1003__AT5G10400.1 pep chromosome:v.1.0:6:4138219:4138778:-1 gene:fgenesh2_kg.6__1003__AT5G10400.1 transcript:fgenesh2_kg.6__1003__AT5G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:D7KB17] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >fgenesh2_kg.6__1004__AT5G10410.1 pep chromosome:v.1.0:6:4140233:4141729:1 gene:fgenesh2_kg.6__1004__AT5G10410.1 transcript:fgenesh2_kg.6__1004__AT5G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELKTRIIGKFKDKASIGKARLVHSFSSTAVKYIHLALLKSTTHTSNKPPNSDYVSDVISYSNSRYAPAAFAAALWRLRVTKNAIVATKSLIVIHKLIKSSRDKFEGLDRGRNNLKLNEFSDKSSTLALELSQWIRWYGLYLDRLSWVSKVLGSFPNLMENPKEKVKEKDRVSSYQTGCIMRQTDFLVSFFEDICTRPETPPMFENKIVDEIRELVIQDYFMVVRLVMVRLQVLSERLIKPNVKHIGDPDLNDLSLVLVRLGECKESLSGFFWRCRRLAEDFWCLDNKQMVKLVGLVQTTVKDDEEMVQILVGSSPTEWVTFDDSETSTHSVWLSSYT >fgenesh2_kg.6__1006__AT5G10440.1 pep chromosome:v.1.0:6:4152535:4154299:-1 gene:fgenesh2_kg.6__1006__AT5G10440.1 transcript:fgenesh2_kg.6__1006__AT5G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCD4_2 [Source:UniProtKB/TrEMBL;Acc:D7M2X1] MAEFMEPNLVSNFDDAKNNFVDTTSIFQMGFPLESEEIVREMIEKERQHSPRDDYLKRLRNGDLDFNVRNQALDWIWKVCEELQFGPLCICLAMNYLDRFLSVHDLPNGKAWTVQLLAVACLSLAAKIEETNVPELIHLQVGDPLFVFEAKSVQRMELLVLNVLRWRLRAVTPCSYVRYFLSKINGYDQEPHSRLISRSLQVIASTTKGIDFLEFRASEIAAAVALSVSGEHFDKFSFFSHLEKVRKERMKKIGEMIERDGSSSSSQTPNNMVLQYKTHRYSLSTASVSSSLTSLS >fgenesh2_kg.6__100__AT5G01140.1 pep chromosome:v.1.0:6:402613:404071:-1 gene:fgenesh2_kg.6__100__AT5G01140.1 transcript:fgenesh2_kg.6__100__AT5G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIVRLLEKHRKSESVTIGCLSNLYTSVVDMKIDHFETEACKQMLLYPKNIREAQYRNFKLNIDPNESFKCFGRRCFFFCRMCSNFNTSLCKCGKMMNEEISFLEYEENDVEGVFMRDKSSFIITDDLRVTDDSTGSLLQTLKDLGCADVSKLREQVLDIGFKEVMTLLQCVFTSNTPLTDTFLKNQSSNTVRKSYRKLEDEAEQDKVITFDAIVRKQDMKILYVECGEDFVDLLFTFLAIPLESTWEISGNNITLGRIGNLCRSFKDLSANEVLSTSKCMIPYYYRCKKQLLNIRNPTPRVYLNSSYCLTTKHTTRRMTFVDPKSDYCDRDKVFFVSDDLIVTPKISSSTFSMLKKFQILTDDLEVQAITISNAEALNLLSASLVTSSALSSAFGNLIVKKPKEETVSWNPVSKKPKVET >fgenesh2_kg.6__1011__AT5G10470.1 pep chromosome:v.1.0:6:4169372:4176784:-1 gene:fgenesh2_kg.6__1011__AT5G10470.1 transcript:fgenesh2_kg.6__1011__AT5G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRSKTNRWNWEVSGFEPRKSSSNASFVEGGHRTTGPLLRRNSISTPSLPPKQALASKVNGLRDKVKLAKEDYLELRQEATDLQEYSNAKLDRVTRYLGVLADKSRKLDQFALETEARISPLINEKKRLFNDLLTAKGNIKVFCRARPLFEDEGPSIIEFPGDCTICVNTSDDTLSNPKKDFEFDRVYGPHVGQAALFSDVQPFVQSALDGSNVSIFAYGQTCAGKTYTMEGSNHDRGLYARCFEELFDLANSDSTSTSRFSFSLSVFEIYNEQIRDLLSETQSNLPKINMDLHESVIELGQEKVDNPLEFLRVLKSAFQNRGNDKSKFNVTHLIVTIHIYYSNTITGENIYSKLSLVDLAGSEGLTEENDSGDHVTDLLHVMNSISALGDVLSSLTSEKDLIPYDNSVLTRVLADSLGGSSKTLMIVNICPSVQNLSETISCLNYAARVRNTVPSLGNRDTIKKWRDVASDARKELLEKERETQNLKQEVVGLKKALKDANDQCVLLYSEVQRAWKVSLTLQSDLKSENIMLVDKHRLEKEQNSRLRNQIAQFLQLDQEQKLQMQQQDSTIQNLQAKITDLESQVSEAVRSDTTRTGDALQSQDISSSVPKAVEGTIDSSSVTKKLEEELKKRDALIERLHEENEKLFDRLTERSMAVSTQVLSPSSRASPNIQPANANSRGEGFSEEAVALPSTATKNNGAITLVKSGTDLVKTTPAGEYLTAALNDFDPEEYEGLAAIADGANKLLMLVLAAVIKAGASREHEILAEIRDSVFSFIRKMEPRRVMDTMLVSRVRILYIRSLLARSPELQSIRVSPVECFLEKPNTGRSKSTSRGSSPGRSPVRYLDTQIHGFKVNIKPERRNKLASVVSRMRGLEQDSGRQQVTGVKLREMQDEAKSFAIGNKALAALFVHTPAGELQRQIRLWLAENFEFLSVTADDVSGGTGGQLELLSTAIMDGWMAGLGAAVPPHTDALGQLLSEYAKRVYTSQMQHMKDIAGTLAAEEAEDAGQVAKLRSALESVDHKRRKILQQMKSDAALLNLEEGSSPIPNPSTAAEDSRLASLISLDGILKQVKEITRQASVHVLSKSKKKALLESLDELTERMPSLLDIDHPCAQREIATAHQLVETIPEQEDNNLLEQSHDRRPSLESISSGETDVTQWNVLQFNTGSSAPFIIKCGGNTNSELVIKADARVQEPKGGEIVRVVPRPSVLVNMSLEEMKQMFAQLPEALSLLALARTADGTRARYSRLYKTLAMKVPSLRDLVSELE >fgenesh2_kg.6__1016__AT5G10500.1 pep chromosome:v.1.0:6:4184838:4187599:1 gene:fgenesh2_kg.6__1016__AT5G10500.1 transcript:fgenesh2_kg.6__1016__AT5G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASNAYSWWWASHVRTKQSKWLEENLQDIEDKVQYALKLLEDEGDSFAKRAEMYYKRRPELISFVEESFKAYRALAERYDHISKELQNANTTIASVFPDQVPEFAMNEDDDDDAHVAPKNHKTNTSNQNVPKVPDLPIKNSEAAKKIFMSRKAIQEQNASSVVIKSGLSKTEAVEEIDKLQKEILVLQTEKEFVKTSYENGLAKYWEIEKCIMEKQGKVCSLQDEFDEGAIVIEDKEAQILMSTTALKSCQEKLEELRDKQKSNVKEVDVTRKQISESTEEFSNISDALLGDGKRNQEIYSEKEKLKSLGEKVYKEFDDSEAKSCLTITDVADKIDELVNDVINLESLFTSQAALIHRLREEIDDLKAQIRALQKENNSSQTDDNMNMRKKLKEMEEKVNGVKDIDQEVEEKSDNIDKHLTRAHMKLSFLSKRLKSLKQEGEEEEELKATNVPIQDIGSLTDTKFPEENIDDSVASENALGIKSASEIVIAEKDLTNEVNQEETIETKTKEASLYDLEKRISSPKSDIITTQESSDDAFLQKFLAHGIEGREKHLLNEYTRVLRNYKEVKKMLHETETKLKSVNTLKDEQVRAKDQRRDQLFMLICREDNAINAMTGQKQRLSPNKEQFGARVDALLSENLNLLVRFSNSFGQIQQFDTGIKDLHVEILNIIKQKNQDGGKNTLRSNVRPIYKHLSEIHNEMTVWLEKSLLLKEEINIRASTLSDIQNEITEALKTDSEDSEMKLTIYQGAKFEGEVSNMKKENNRIAEELQTGLEQVTKLMKDADTTLEKLSEEFSLSESNVQSSQDRKTRIPLRSFIFDIKPKKQRLSLFSCIQPSLSKMKKPAGL >fgenesh2_kg.6__1019__AT5G10520.1 pep chromosome:v.1.0:6:4200308:4202897:-1 gene:fgenesh2_kg.6__1019__AT5G10520.1 transcript:fgenesh2_kg.6__1019__AT5G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEENKNKESKDHQEIELHRNDLGLEDSSSPRGVLGMVSDSDNSSSSCSSCSSDDKSSSTSSPFSNTTKNVSSSSHGLQWNKMIESIKKKSMRRFSVIPLLASYELTRKNLRRKQPKLSPSEKAFTCEAFFMAKPSWRNFTYEELAVATDYFNPENMIGKGGHAEVYKGVLLNGETVAIKKLMSHAKEEEERVSDFLSELGIIAHVNHPNAARLRGFSSDRGLHFVLEYSPYGSLASMLFGSEECLEWKIRYKVALGIADGLSYLHNACPRRIIHRDIKASNILLNRDYEAQISDFGLAKWLPENWPHHVVFPIEGTFGYLAPEYFMHGIVDEKIDVFAFGVLLLEIITSRRAVDTASRQSIVAWAKPFLEKNSMEDIVDPRLGNKFNPTEMQRVMLTASMCIHHIAAMRPDMTRLVQLLRGEDGPAELQQKKGERAVSVNACDLQDHTSSSYLNELSRHRQLLME >fgenesh2_kg.6__1021__AT5G10540.1 pep chromosome:v.1.0:6:4208193:4215032:1 gene:fgenesh2_kg.6__1021__AT5G10540.1 transcript:fgenesh2_kg.6__1021__AT5G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDSLSSNPLLQNFDFPPFDSVDAHHVRPGVRALLQQLEAELEQLEKTVEPSWPKLVEPLEKIIDRLSVVWGMINHLKAVKDTPELRAAIEEVQPEKVKYQLRLGQSKPIYNAFKAIRESPDWKSLSEAPQIKEAVLSGIALEDDKREDFNKIEQELEKLSHKFSENVLDATKKFEKLITDKKEIEGLPPSALGLFAQAAVSKGHENATADTGPWLITLDAPSYLPVMQHAENRSLREEVYRAYLSRASSGDLDNTAIIDQILKLRLEKAKLLGYRNYAEVSMATKMATVEKADELLEKLRSASWDPAVQDIEDLKSFAKNQGAAEADSLTHWDITFWSERLRESKYDINEEELRPYFSLPKVMDALFGLAKTLFGIDVVPADGVAPVWNSDVRFYCVKDSSGNPTAYFYFDPYSRPSEKRDGAWMDEVFSRSRVMAQKGSSVRLPVAQMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKEDEGLVAGIRNIEWDAVELPSQFMENWCYHRDTLMSIAKHYQTGETLPENVYKKLLAARTFRAGSLSLRQLKFATVDLELHTKYMPGGTETIYDVDQRVSIKTQVIPPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDDIKAVKETGQRFRNTILALGGGKAPLKVFVEFRGREPSPEPLLRHNGLLAASA >fgenesh2_kg.6__1023__AT5G10560.1 pep chromosome:v.1.0:6:4216382:4219391:-1 gene:fgenesh2_kg.6__1023__AT5G10560.1 transcript:fgenesh2_kg.6__1023__AT5G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 3 protein [Source:UniProtKB/TrEMBL;Acc:D7M2Y3] MNHQLTLISLVFFTSAIAETFKNLDSHPQFPCKPPHFSSYPFCNVSLSIKQRAISLVSLLTLPEKIGQLSTTAASVPRLGIPPYEWWSESLHGLADNGPGVSFNGSISAATSFPQVIVSAASFNRTLWYEIGSAVAVEARAMYNGGQAGLTFWAPNINLFRDPRWGRGQETPGEDPKVVSEYGVEFVRGFQEKKKRKVLKTRFGSDNVDDDARYDDDADGKLMLSACCKHFTAYDLEKWGNFTRYDFNAVVTEQDMEDTYQPPFETCIKDGKASCLMCSYNAVNGVPACAQGDLLQKARVEWGFDGYITSDCDAVATIFEYQGYTKSPEEAVADAIKAGVDINCGTYMLRNTQSAIEQGKVSEELVDRALLNLFAVQLRLGLFDGDPRGGHYGKLGSNDICSSDHRKLALEAARQGIVLLKNDYKLLPLNKNHVSSLAIVGPMANNISNMGGTYTGKPCQRKTLFTELLEYVKKTSYASGCSDVSCVSDTGFGEAVAIAKGADFVIVVAGLDLSQETEDKDRFSLSLPGKQKDLVSSVAAVSKKPVILVLTGGGPVDVTFAKTDPRIGSIIWIGYPGETGGQALAEIIFGDFNPGGRLPITWYPESFADVPMSDMHMRADSSRGYPGRTYRFYTGPQVYSFGTGLSYTKFDYKIISAPIRLSLSELLPQQSSHKKQLLQHGEEQLQYIQLDDVMVNSCESLRFNVRVNVRNTGEIDGSHVLMLFSKMARVLSGVPEKQLIGFDRVHIRSNEMMETVFVIDPCKYLSVANDVGKRVIPLGIHALFLGDLQHSLSVEF >fgenesh2_kg.6__1024__AT5G10570.1 pep chromosome:v.1.0:6:4223945:4225755:1 gene:fgenesh2_kg.6__1024__AT5G10570.1 transcript:fgenesh2_kg.6__1024__AT5G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7M2Y4] MEMELTRSTKQESNNLDVINGGFTAVDQFVPNDWNFDYLCFNNLLQEDDNIDHPSSSLMNLISQPPPLLHQPPQPSSPPYSLPLSSAFDYPFLEDIKDSSLSHPPFIFPTSQENNINNYSPSMEESKSLMNYGETNKKKSNKKLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKITKMDRTSILGDAIDYMKELLDKINKLQDAEQKFGSNSHLNNLITNKSMVRNSLKFEVDQREVDTHLDICCPTKPGLVLSTVSTLENLGLEIQQCVISCFSDFSLQASCFEVGGQRDMVTSADTKQALIRNAGYGGRCL >fgenesh2_kg.6__1025__AT5G10580.1 pep chromosome:v.1.0:6:4231004:4232189:1 gene:fgenesh2_kg.6__1025__AT5G10580.1 transcript:fgenesh2_kg.6__1025__AT5G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEKWYLDAVLVPCALLMMFCYHIYLWYKVQTDPFCTIVGTNSRARRSWVAAIMKDNEKKNILAVQTLRNTIMGGTLMATTCILLCAGLAAVLSSTYSIKKPLNDAVYGAHGDFTVALKYVTILTIFLFAFFSHSLSIRFINQVNILINAPQEPFSDGFGEIGSFVTPEYVSELLEKAFLLNTVGNRLFYMGLPLMLWIFGPVLVFLSSALIIPVLYNLDFVFLLSNKEKSKVDCNGGCDDNFSP >fgenesh2_kg.6__1027__AT5G10590.1 pep chromosome:v.1.0:6:4232589:4232921:-1 gene:fgenesh2_kg.6__1027__AT5G10590.1 transcript:fgenesh2_kg.6__1027__AT5G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M2Y6] MIAAISDVQIHWRKKDYLSMSLLEDFDIAESKVKEHSVNDQIIVTLSADHRVRMIIRYKMDESHIPMIKNLVIRAFVIGIQII >fgenesh2_kg.6__1028__AT5G10600.1 pep chromosome:v.1.0:6:4234714:4236467:1 gene:fgenesh2_kg.6__1028__AT5G10600.1 transcript:fgenesh2_kg.6__1028__AT5G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP81K1 [Source:UniProtKB/TrEMBL;Acc:D7M2Y7] MENLWFIFFFFLTATLIFFITKKFLWSLNFKLPPSPTPLPIIGHLHLIKKYPLPQALHHLSSNYGPVLFLKFGCRAVLTLSSPDSIEKCFTNHDTTLANRPKTITSDHFSYGYKNFGFAPYGDLWRTLRRLSTLEVFSSVSLQKNAYIRNEEVSTLCSNLFRLSRDSRRVDLKYQFTLLTAHVMLRLVSGNRGVEESDPESEKRFLDDFKLRFFSSMSMNVCDYFPVLRWIGYKGLEKRVIEMQRMRDEYLQRLIDDIRMKKFDSTGSLVEKFLKLQESEPEFYADDVIKGIVVLMFNGGTDTSPVAMEWAMSLLLNHPDKLEKLREEIKSNVKHKGLIQDSDLSSLPYLRCVIYETLRLYPAAPLLLPHCSSKRFNLDNYEIPENTMLLVNAWAVHRDGELWEEADVFKPERFEGFVGDRDGFRFLPFGVGRRACPAAGLGMRVVALAVGALVQCFELEKVEEGDIDMRPVFGVAMAKAEPLVALSKPWPEMVPILSQL >fgenesh2_kg.6__102__AT5G01110.1 pep chromosome:v.1.0:6:415220:417749:1 gene:fgenesh2_kg.6__102__AT5G01110.1 transcript:fgenesh2_kg.6__102__AT5G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M761] MVIHRIIPSRISRVKNPLTRFKPLKTLATSSSSSASDSDSDSFLVEKICFSLKQGNSNVRNHLIRLNPLAVVEVLYRCRNDLSLGQRFVDQLGFNFPNFKHTSLSLSAMIHILVRSGRLSDAQSCVLRMIRRSGVSRVEIVNSLVSTYSNCGSNDSVFDLLIRTFVQARKLREAYEAFTLLRSKGYTVSIDACNALIGSLVRIGWVELAWRIYQEISRSGVGVNVYTLNIMVNALCKDGKMEKVGTFLSEVQEKGVYPDIVTYNTLISAYSSQGLMEEAFELMHAMPSKGFSPGVYTYNTVINGLCKHGKYERAKEVFAEMLRSGLSPDSTTYRSLLMEACKKGDAVETENIFSDMRSRDVVPDLVCFSSMMSLFTRSGNLDKALMYFNSVKEAGLIPDNVIYTILIQGYCRKGMISEAMNLRNEMLQQGCAMDVVTYNTILHGLCKRKMLGEADKLFNEMTERGLFPDSYTLTILIDGHCKLGNLQNAMELFKKMKEKRIKLDVVTYNTLLDGFGKVGDIDTAKEIWADMVSKEILPTPISFSILVNALCSKGHLSEAFRVWDEMISKSIKPTVMICNSMIKGYCRSGNASDGEIFLEKMISEGFVPDCISYNTLIYGFVKEENMSKAFGLVKKMEEKQGGLVPDVFTYNSILHGFCRENQMKEAEAVLRKMIERGVNPDRSTYTSLINGFVSQDNLTEAFRFHDEMLQRGFSPDDKF >fgenesh2_kg.6__1030__AT5G10620.1 pep chromosome:v.1.0:6:4236723:4238035:-1 gene:fgenesh2_kg.6__1030__AT5G10620.1 transcript:fgenesh2_kg.6__1030__AT5G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQLNKLYASASPTSQETGRTCRYAGQAVRALPIRVITVGKKRSEGVRLLVDEYKTKLKPYCSFEDSLVRSNPRNAQDVRAQVEDEEVAMMKLIGSDDWVVVLDERGRDIDSEAMAELIGDAGNSGASRISFCIGGAYGHGRQVRKRANVTIRLSSMVLNHQIALVVLIEQLYRSWTILKGQNYHH >fgenesh2_kg.6__1034__AT5G10650.2 pep chromosome:v.1.0:6:4247978:4250623:-1 gene:fgenesh2_kg.6__1034__AT5G10650.2 transcript:fgenesh2_kg.6__1034__AT5G10650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M2Z1] MDGCAGKRSVDRLVGPRKATGLILRDNMNKTDGKTDPFCSRVGCSAKVTSTKRSRIGSTDNNTKVALPPVPSSSHGKEIVGSSSRTPGGFGYLRKPAKVTPRRKPSSSLDTESSEKTSIHYDPAATEPTLPRQKNKRGTINVHPQSAFSGEVVLTKAGSSSRGTSRSSHQKSELGTRDALTGPSVSTSSDNSEHTVRGGLSRNRLRNLSCNSVSDVLPTNSNSATKISVTKKKKNSDGESSSSSKGSKTSVLVPKVRSQISSHGNGITVSDNRRNRIVPSIRDSSVGSGGGRRSGYIGRSERLGAVASSATSRQMPHPATPTDPNPSLSFFPSDRYSRPNSSTGRVHSTMPGSPTEADPSSSLVNRDGLSHYNMNGIAEVLLALERIEHDEELTYEQLASLETNLFSSGMFRFFDQHRDMRLDIDNMSYEELLALGEKMGTVSTALSEEALSRSLKQSTYQKTDETGSISLSKEDDIKCSICQEEFVDGDEVGTMPCQHMYHVSCVQQWLRMKNWCPICKTSAEEE >fgenesh2_kg.6__103__AT5G01100.1 pep chromosome:v.1.0:6:424800:428134:1 gene:fgenesh2_kg.6__103__AT5G01100.1 transcript:fgenesh2_kg.6__103__AT5G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGVPVNPSSSSQLPAAPTTTTRRRMADSQEDHSLVHTVGGGNAVVYVPDEEETVTSCGGGGGVGGTPCCPSGSHHNYLVGFLSLRKFRLVWMLMVENKSKWTAGIARNMRSSTNLGRLILKLLTILVVTFFLIVALSGGVGRRRRHVEKHEFVVSIHPRPTIEKIIREDESSNSFQVLVPKTKSIPEIWNQPKTGNYQKCVARPKNQRPIKQTNGYLLVHANGGLNQMRTGICDMVAIAKIMNATLVLPFLDHSSFWSDPSSFKDIFDWKHFIKVLAEDVNIVEYLPQEFASIKPLEKNPVSWSKSSYYRNSISKLLKKHKVIVFNHTDSRLANNSPPPSIQRLRCRANYEALRYSEDIENLSNVLASRLRENNEPYLALHLRYEKDMLAFTGCNHSLFNEESNDLEKMRYSIPHWKEKVINGTERRLEGNCPMTPREAAIFLKAMGFPSTTNIYIVAGEIYGQNSMTAFHEEFPNVFFHSTLATEEELSTIKPYQNRLAALDYNLALESDVFAYTYDGNMAKAVQGHRRFEGFRKTINPDRQRFVRLIDRLDAGLISWDDFSSKVKKLHQNRVGAPYLRRPGKAGLSPKLEENFYANPLPGCLCDTSEEQSSLNRFERPSLRAHSLR >fgenesh2_kg.6__1040__AT5G10700.1 pep chromosome:v.1.0:6:4267874:4268702:-1 gene:fgenesh2_kg.6__1040__AT5G10700.1 transcript:fgenesh2_kg.6__1040__AT5G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein tyrosine phosphatase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M2Z8] MASAVISAAFRLPIITRRLSAPAPRIRFSHSTIRIAHPRRFTTASSSMSQQMGEIGAVVDASSAEKPDDVVVQYVVLRRDLIDSWPLGSVVTQGCHASVAAIWSFKDDPVTLQYCDPQHIDSMHKVTLEVKGETQMMNLAEKLKLGGISHKLWMEQPENIPTCIATKPYPKSQVSSFFKKLKLCKNTIHKASQRFGY >fgenesh2_kg.6__1041__AT5G10710.1 pep chromosome:v.1.0:6:4268870:4272667:1 gene:fgenesh2_kg.6__1041__AT5G10710.1 transcript:fgenesh2_kg.6__1041__AT5G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMIVSLDQDIRLDSTRARLSNLLKRHRELSDRLTRDSDKTILDRLNKEFEAARRSQSQEVFLDGEEWNDGLLATLRERVHMEADRKADNGNVGFALLCHPEERVTYRVGNKVICCLDGSRIGIQYETPTIGETYEVFHCVLESKSFLEKMIVLEHTIPFFLPLRDLENDLLCSNAKKFIDNVGDLLQAYVDRKEQVRVIKELYGNQISEIYHSLPYHMIEFSMDDCDCKFVVSLRYGDLLCELPTKVRILVWPMHHHQSKKQCTSPGSQPIPVRLSFAEDAFRIQSLPEAYAEIMQNMPNEIQQLFQRSPS >fgenesh2_kg.6__1042__AT5G10740.1 pep chromosome:v.1.0:6:4283586:4286178:-1 gene:fgenesh2_kg.6__1042__AT5G10740.1 transcript:fgenesh2_kg.6__1042__AT5G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLDLALSYSNQPQTVDAPASGGGLSQNGKFSYGYASSAGKRSSMEDFFETRIDGIDGEIVGLFGVFDGHGGARAAEYVKRHLFSNLITHPKFISDTKSAITDAYNHTDSELLKSENSHNRDAGSTASTAILVGDRLVVANVGDSRAVISRGGKAIAVSRDHKPDQSDERERIENAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKIDDSLEFLILASDGLWDVFSNEAAVAMVKEVEDPEESAKTLVGEAIKRGSADNITCVVVRFLEKKTASSSHISSSSSKEANEMPPLGDLAISSNEATQVQIDSENISSGNKPENVTNRKPVPASSLTDEVTVNGLGNKPGKTGNRKPTDAVTDKV >fgenesh2_kg.6__1043__AT5G10750.1 pep chromosome:v.1.0:6:4288480:4289654:1 gene:fgenesh2_kg.6__1043__AT5G10750.1 transcript:fgenesh2_kg.6__1043__AT5G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKQRHRSSTGENKSKPVRSGSSSAIPEWITESTNGGSLRRVDPDTGTDGWASPPGDVFSLRSDSYLTKKQKSPAGDYLFSPAGMDWLKSSTKLENVLARPDNRVAHALRKAQSRGQSLKSFIFAVNLQIPGKDHHSAVFYFATEEPIPSGSLLHRFINGDDAFRNQRFKIVNRIVKGPWVVKAAVGNYSACLLGKALTCNYHRGPNYFEIDVDISSSAIATAILRLALGYVTSVTIDMGFLAEAHTEEELPERLIGAVRVCQMEMSSAFVVDAPPPQQPPSQPCRTLSSAKVNHDEDED >fgenesh2_kg.6__1047__AT5G10790.1 pep chromosome:v.1.0:6:4305664:4307707:1 gene:fgenesh2_kg.6__1047__AT5G10790.1 transcript:fgenesh2_kg.6__1047__AT5G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:D7M3I7] MSARISFLKNLDPCNHLSDYKLRYGTDGYKSFNNLFRCFNDGRIKIKLQGFDIPRCSYCSVYQKRLYICLICRSISCSSHILLHTQLNKGHDIAIDVERSELYCCACIDQVYDSEFDEVVVSKQLIGLGMSVKSGADVVAVRSNKKRRLDSQLIIGSKFLVSPRDRREKWTFPLGLRGLNNLGSTCFMNAVLQALVHAPPLRNFWLSGQHNRDLCPRRTMGLLCLPCDLDVIFSAMFSGDRTPYSPAHLLYSWWQHSTNLATYEQQDSHEFFISLLDRIHENEGKSKCLYQDHEECQCITHKAFSGLLRSDVTCTTCGSTSTTYDPFIDISLTLDSVNGFSPADCRKNRYSGGPSVNATMPTLSGCLDFFTRSEKLGPDQKLNCQSCGEKRESSKQMSIRRLPLLLCLHVKRFEHSLTRKTSRKIDSYLQYPFRLNMSPYLSSSIIGKRFGNRIFAFDGEGEYDSSSSSSPSAEFEIFAVVTHSGMLESGHYVTYLRLKGLWYRCDDAWINEVEEEVVRGCECYMLFYAQERVIQKAHKELSYQVISMADAFPFADC >fgenesh2_kg.6__1049__AT5G10820.1 pep chromosome:v.1.0:6:4316150:4318504:-1 gene:fgenesh2_kg.6__1049__AT5G10820.1 transcript:fgenesh2_kg.6__1049__AT5G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7M3J0] METLETEKFFSDDKPLLKPISDHSEIKTYARRNAVVSVLLQPFQWLQMLSSRLNLSFVLGVVLVYGVNQGFSGSIFKVVTDYYWKDVQQVQPSVVQLYMGLYYIPWVMRPIWGLFTDVFPIKGYKRKPYFVVSGVLGLVSAIAIAVLGKLPAALALSCLLGVSAAMAIADVVIDACIATNSINIRSLAPDIQSLCMVCSSAGALVGYATSGVFVHRLGPQGALGVLAFSPATIVILGFFIYEKRSSTVPIQKNKKDTDGLGVAVKGMCKTIKYPEVWKPSLYMFISLALNISTHEGHFYWYTDPTAGPAFSQEFVGIIYAIGALASMFGVLIYHKKLKGYSFRNILFFAQLLYVFSGMLDLVFIKRWNLTLGIPDSLFVITEESVTKIISKIRWIPMVVLSTRLCPLGIEGTFFAFLMCIDSFGQLASKWGGGFALHAFGVTRHDFGNLWLVILIRNLLRLVTVCFVFLVPDSDHLDDLVPSDVLPKKQSEDDDDDIKLLLL >fgenesh2_kg.6__1050__AT5G10830.1 pep chromosome:v.1.0:6:4318969:4319939:1 gene:fgenesh2_kg.6__1050__AT5G10830.1 transcript:fgenesh2_kg.6__1050__AT5G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFDKQADLYLDARPNYPSEWFSKLADLTDHHGLAWDAATGNGQAALAVAEHYERVIATDVSESQLKLATPHPRISYRHTPSSMTDDELVELIGGENSVDLITVAQGVHWFDLPRFYAVATRLLRKPGGIIAVWGYNDVIVSPEFDAVQYRFHATTLPFWKYPYIQHIFDSYEALPFPFESVGMGSEGKPLKLEMPKTTSFEGIIRMFKSWSAIVTAREKGVELLPESLVRELETAWGGSDLVRSVVYKAFMIAGKVRV >fgenesh2_kg.6__1052__AT5G10860.1 pep chromosome:v.1.0:6:4323556:4325016:-1 gene:fgenesh2_kg.6__1052__AT5G10860.1 transcript:fgenesh2_kg.6__1052__AT5G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M3J3] MQAVVRSLVSGGNVVKASVLQHLRVINPAIQPSVFCSRSESTQPARMEETGFESTTISDVMKSKGKSADGSWLWCTTDDTVYDAVKSMTQHNVGALVVVKPGEQQVLAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPETKVLRAMQLMTDNRIRHIPVIKDKGMIGMVSIGDVVRAVVHEHREELQRLNAFIQGGY >fgenesh2_kg.6__1057__AT5G10920.1 pep chromosome:v.1.0:6:4335698:4338118:1 gene:fgenesh2_kg.6__1057__AT5G10920.1 transcript:fgenesh2_kg.6__1057__AT5G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argininosuccinate lyase [Source:UniProtKB/TrEMBL;Acc:D7M3J8] MGSIDLSFSQSLLFSPSRSNLSSSTHRSVSFLPPGTKSRCLPQLRSMSHDDETASKEVKLWGGRFEESVTEKVEKFTESISFDKVLYKQDIMGSKAHATMLAHQGLITDSDKDSILRGLDDIERQIEADKFEWRTDREDVHMNIEAALTDLIGEPAKKLHTARSRNDQVATDFRLWCRDAIDAIIVKIRNLQAALVALALKNQALIVPGYTHLQRAQPVLLPHVLLTFVEQLERDAGRYVDCRARLNFSPLGACALAGTGLPIDRFMTANALGFTEPMRNSIDAVSDRDFVLEFLYTNANTAIHLSRLGEEWVLWASEEFGFLTPSDSVSTGSSIMPQKKNPDPMELVRGKSARVIGDLVTVLTLCKGLPLAYNRDFQEDKEPMFDSTKTIIGMIDVSAEFAQNVTFNEDRIKKSLPAGHLDATTLADYLVKKVMMPFRSSHDIVGKLVGVCVSRGCELQNLSLEEMKKLSPVFEEDVFGFLGVENSVNKFSSYGSTGSNCVDEQLGYWVNKLNITTT >fgenesh2_kg.6__1058__AT5G10930.1 pep chromosome:v.1.0:6:4339404:4341138:-1 gene:fgenesh2_kg.6__1058__AT5G10930.1 transcript:fgenesh2_kg.6__1058__AT5G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7M3J9] MEEERRVLFGKYEMGRLLGKGTFAKVYYGKEIIGGECVAIKVINKDQVMKRPGMMEQIKREISIMKLVRHPNIVELKEVMATKTKIFFVMEFVKGGELFCKISKGKLHEDAARRYFQQLISAVDYCHSRGVSHRDLKPENLLLDENGDLKISDFGLSALPEQILQDGLLHTQCGTPAYVAPEVLRKKGYDGAKADIWSCGVVLYVLLAGCLPFQDENLMNMYRKIFRADFEFPPWFSPESRRLISKLLVVDPDRRISIPAIMRTTWLRKNFTPPLAFKIDEPICSQSNNNNDEEEEDGDWENQTEPISPKFFNAFEFISSMSSGFDLSSLFESKRKVQSVFTSKSSATEVMEKIETVTKEMNMKVKRTKDFKVKMEGKTEGRKGRLSMTAEVFEVAPEISVVEFCKSAGDTLEYDRLYEEEVRPALNDIVWSWHGDNNNNTSSKDC >fgenesh2_kg.6__1059__AT5G10940.1 pep chromosome:v.1.0:6:4345156:4350711:-1 gene:fgenesh2_kg.6__1059__AT5G10940.1 transcript:fgenesh2_kg.6__1059__AT5G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSFHDGNIFNLLHTRSQDPSHEVDQRMQLHSSLVRRLSQEQELEGHQGCVNTLAWNSNGSLLISGSDDLRINIWNYSSRTLLHSIDTGHTANIFCTKFVPETSDELVVSGAGDAEVRLFNLSHLSGRAEDDNAITPSALYQCHTRRVKKLAVEPGNPNVVWSASEDGTLRQHDFRESTSCPPAGTAHQECRSVLLDLRSGAKRALADPPKQTLSLKSCDISATRPHLLLVGGSDAFARLYDRRMLPPLTSSRKRMPPPPCVNYFCPMHLSDRGRTNLHLTHVTFSPNGEEVLLSYSGEHVYLMNVNNGTGILQYTQGDVDNLFSFSNNLHDVEYPPQVSTTPQNGFHRNCNAATVKKCTELVEIAKRSLEEGTDVFYAIEAANEVLDGHSNDIDSALRHECLCTRAALLLKRKWKNDAHMAVRDCHNARKIDASSFKAHYYMSEALQQLGRCKEALDFANAAQHLNPSDADIVAKVESIKRDLQAAGSEKNEETGAGTTRVLSLSDILYRSEANSDSSHDMSRSEREDSDYDEELELDIQTSLSDDEGRDPESNAMRGSLNLRIHRVGDDDKPMENTVDNASSGTASSSQNDRTSYQPEGAIDMKRRYVGHCNVGTDIKQASFLGQRGEYIASGSDDGRWFIWEKQTGRLMKVLVGDEAVLNCIQCHPFDSVVATSGIDNTIKIWSPTASVPSVVAGGSAGPATANVVEVMESNQQKLSRNRENPLSVELMQRFRMQEFAEGNFHPFECTQS >fgenesh2_kg.6__1060__AT5G10960.1 pep chromosome:v.1.0:6:4361872:4363344:1 gene:fgenesh2_kg.6__1060__AT5G10960.1 transcript:fgenesh2_kg.6__1060__AT5G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLKEDSIMIREVWDYNLVEEFALIREIVDKFSYIAMDTEFPGVVLKPVATFKYNNDLNYRTLKENVDLLKLIQVGLTFSDENGNLPTCGTDKFCIWQFNFREFNIGEDIYASESIELLRQCGIDFKKNIEKGIDVVRFGELMMSSGIVLNDAISWVTFHGGYDFGYLVKLLTCKELPLKQADFFKLLYVYFPTVYDIKHLMTFCNGLFGGLNRLAELMGVERVGICHQAGSDSLLTLGSFRKLKERYFPGSTEKYTGVLYGLGVEDGTTTVAN >fgenesh2_kg.6__1062__AT5G10980.1 pep chromosome:v.1.0:6:4371168:4372210:-1 gene:fgenesh2_kg.6__1062__AT5G10980.1 transcript:fgenesh2_kg.6__1062__AT5G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:D7M3K5] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >fgenesh2_kg.6__1065__AT5G11010.2 pep chromosome:v.1.0:6:4384582:4386933:1 gene:fgenesh2_kg.6__1065__AT5G11010.2 transcript:fgenesh2_kg.6__1065__AT5G11010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGEKKLPFIPEEWSNAASSVSSSSLQPVIALVCGPKNSGKSTFSRNLVEVLLQRYKRVAYLDTDVGQPEFTAPGFLSLTVVDRSILESDWTVPCVKTPERCFFYGDVSSKRDPKAYLRYVYTLFDYYQLHFCKSSENKTELPLVINTPGWVKGIGYELLVDVLRYVSPSHVVKINISAYNKNLPAGLFWLDGNDDETADLIEIQSAYQDRYNQSILIHKDARLMRDMRIIAYFRQCIKGKEVNTIKELTHELASHTPYEVPISSLTINHLHCQIPSSEVFYSLNASIVGLGVSNDVFEDLPSCVGLGIVRGIDTERGILYVITPVPENVVEKVDLLLQGYIQLPTCLLEVKDYRCPYLSANVLAST >fgenesh2_kg.6__106__AT5G01070.1 pep chromosome:v.1.0:6:435797:436175:1 gene:fgenesh2_kg.6__106__AT5G01070.1 transcript:fgenesh2_kg.6__106__AT5G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M766] MSSYEISHIDLENGSGERHYRRSDVSGEDSSSCDYDYDFHSAVRSFCGEFEMADDLDDESPEKDCRICHLGLESSRHECGDPMVLGCSCKDDLGYVHKQCADTWFKIKGNK >fgenesh2_kg.6__1070__AT5G11040.1 pep chromosome:v.1.0:6:4404638:4410457:1 gene:fgenesh2_kg.6__1070__AT5G11040.1 transcript:fgenesh2_kg.6__1070__AT5G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDVSIETLSIIRIAVLPIGTIPPTLLRDYHSMLLRHHTIALSAISSFYTEHQKSPFTNQPWDSGSLRFKFVLGGSPPSPWEDFQSNRKMLAVIGLCHCPSSPDLDSVTEKFNVACKSYSSALVRRCFAFSPDDSQLEDGDKKGENLILFPPSDKQTQEFHLQTMMQDIAASLLMEFEKWVLQAESAGTILKTPLDSQASLNSEEVIKAKKRRLGRAQKTIGDYSLLAGSPVDANAHYSTALELARLTGDYFWYAGALEGSVCALLVDRMGQRDVALEDEVRYRYTNVILHYRKSFIQEIAQRVSPLSFELEATLKLARFLCRRELAKEVVELLTNAADGAKSLIDASDRLILYVEVARLFGVLGYQRKAAFFCRQVAQLYLQQDNRLAAISAMQVLSMTTDAYRIQSRASVSKVSVNNETGRLPDAGKMHHHSIVSLFESQWSTLQMVVLREILLSAVRAGDPLAAWSAAARLLRWHYPLITPSGQNGLANSLANSADRLPSGTRCADPALPFVRLFSFPLHSSQVDIVKRNPAREDWWTGSAPSGPFIYTPFSKGDANESSKQELIWVVGEPVQVLVELANPCCFDLRIDSIYLSAHSKNFDAFPVSVDIPPNSAKVITLSGIPTAVGPVTIPGCTVHCFGVITEHVFSDVDNLLLGAAQGLVFSDPFRSCGSAKLRHVFVPNISVAPPLPLLVANVVGGDGAIILYEGEIREVFINFANAGTVPIEQAHVSLSGKNQDAVISIADEALQSALPLKPGAQVTLPVTLKAWHVGPTDSDNAISSSRNAAGSTGRPKDGTSPSLLIHYAGPLSNNGDSQEKESVVPPGRRLVVPLQICVLQGLSFVKARLLSMEIPAHVSDNLRDEDIERESNADSLVKINPFRGSWGLRFLELELSNPTDVVFEISVFVQLENSAKEDDSSPVQDSPEYEYPKTRIDRDYSARVLIPLEHFKLPVLDGSFFTKDPPPGSPSSSRNPSFSEKNTKAEINTLIKNLISKIKVRWQSGRNSSGELDIKDAIQTALQTTVMDVLLPDPLTFGFRLVRNGLERDSETKAESPFSKGSVLSHEVTPMEVLVRNNTSEAIKLNLSVTCRDVAGQNCTEGADATVLWAGALSGISMEVAPLQEARHCFSLYFLVPGEYTMVAAAVIEDANNVLRARARTASPNEPIFCRGPPFHVCVAGGAL >fgenesh2_kg.6__1071__AT5G11050.1 pep chromosome:v.1.0:6:4411785:4413454:1 gene:fgenesh2_kg.6__1071__AT5G11050.1 transcript:fgenesh2_kg.6__1071__AT5G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRIQEKSFAHGAAPPLTAVERFLNGQKNDTLCFKKQERSVDRPILKTTRAIEIRNENKENMMFGPRKEKILAVIGEMVVKGAAKDCKEITKKRPSKNLIKGQWTAEEDRKLIKLVMQHGERKWAVISEKLEGRAGKQCRERWHNHLRPDIKKDSWSEEEESLLVEAHTRIGNKWAEIAKLIQGRTENSIKNHWNATKRRQNSKRKHKRSKNADNNSDIDDLSPSAKRPCILENYIRRIESNDKDNSENIMTTTSGNHVLSTSNLDQINSEDSTSSLLDDPYDEELVFLKNIFANHPVSLENINLSQGMDEITQSSSSGFMIENPNPKPNLYNNIFGNHLGAMVTEPSNTSHLASDIYLSDLLNGTASSSSSFSFLSSNNNEQAGENELLLPQANSTSERREMDLIEMLSGSTQGSNIWFPLF >fgenesh2_kg.6__1072__AT5G11060.1 pep chromosome:v.1.0:6:4420808:4423929:1 gene:fgenesh2_kg.6__1072__AT5G11060.1 transcript:fgenesh2_kg.6__1072__AT5G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNAT4 homeobox protein [Source:UniProtKB/TrEMBL;Acc:D7M3L6] MAFHNNHFNHFTDQQQHQPSPPPPPSQQQQQQHFHESAPPNWLLRSDNNFLNLHTAATSSDSPSSAAANQWLSRSSSFLQRGGTANNNDETSGDVIEDVAGGEESMIGEKKEAERWQNARHKAEILSHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQNVVAKYSTLEAAQGLLAGDDKELDHFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSFTGVSPGEGTGATMSEDEDEQVESDAPLFDGSLDGLGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTVSKNKRRSNAGENSGRER >fgenesh2_kg.6__1073__AT5G11070.1 pep chromosome:v.1.0:6:4426743:4427462:-1 gene:fgenesh2_kg.6__1073__AT5G11070.1 transcript:fgenesh2_kg.6__1073__AT5G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNECSSPSTARSTTRGTNHRMRSPICCVGANAVVEPEAMMIGEQRTPRSPYEWLKSTAQELELRDRCRRVKSRIKVTCRNNNCAYNCVHHHQRHQSHQSYPGDFSYDPLSYALNFEDNVRADEDGSFPNFTARLPQSPVTKTRSATVDLISF >fgenesh2_kg.6__1074__AT5G11080.1 pep chromosome:v.1.0:6:4427964:4429507:-1 gene:fgenesh2_kg.6__1074__AT5G11080.1 transcript:fgenesh2_kg.6__1074__AT5G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M3L8] FKILHYTTQNLSVERTIHVIELKQEISYYCGVSPERQHRLLFRGRLLKNDQSLSDYQKRHSVSVMHVYIFPKIPLIGHSAGDSIFYHNLISTSESFKTSVCYLWQAPSRSREDLIARVGTYDKAINISMLLVDEVNVTDPSARRLRQERVVESGSLLCNLGSSLLAPPQELAWEKHSVHIPNRAKSVTGLFFFTSLLAANKYRSRKEHKSYIFHVGDHRGINNISISISMHLKIYDECVCEELSDSDSEHEMVNTKHTKKEMVGVSASETLFLDVDDAER >fgenesh2_kg.6__1075__AT5G11090.1 pep chromosome:v.1.0:6:4437738:4438772:1 gene:fgenesh2_kg.6__1075__AT5G11090.1 transcript:fgenesh2_kg.6__1075__AT5G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTSSRTKSNGPVLRSQSPSGRFCGGYSRAVPSSSSSAFASSTSSSFSSPSSAFFSNHRHHENNHSHHRSASPTRVNLYTAQPMSQSFRYSLDSRSISPTNKSISVSKNQPPSHHHSHKISETRRRCMCSPTTHPGSFRCSLHKNVANPHGQGTASYTTNGLNMRRSAMTNSLVRIGGVEGEWVRRALTTLIRPSSHHLKRRAAYQPRPSRLSIMAKSDDEN >fgenesh2_kg.6__1077__AT5G11110.1 pep chromosome:v.1.0:6:4449916:4454681:1 gene:fgenesh2_kg.6__1077__AT5G11110.1 transcript:fgenesh2_kg.6__1077__AT5G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSPS2F [Source:UniProtKB/TrEMBL;Acc:D7M3M2] MVGNDWVNSYLEAILAAEPGIGNSKSPGIGNSKSSLLLRERGHFSPTRYFVEEVITGFDETDLHRSWVQAAATRSPQERNTRLENLCWRIWNLARQKKQVEGKNAKREAKRQREREKARREVTAEMSEDFSEGEKADLPGEIPTLSDNNTKGRMSRISSVDVFENWFAQHKEKKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVSAPDVDSSYSEPSEMLHPLDTDTEQEHGESSGAYIIRIPFGPKDKYVPKELLWPHIPEFVDRALSHIMQISKVLGEQIGGGQQVWPVSIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQLLKQGRPKEEINSNYKIMRRIEAEELCLDASEIIITSTRQEIEEQWRLYDGFDPVLERKLRARMKRGVSCLGRFMPRMVVIPPGMEFHHIVPHDVDADGDDENPQSADPPIWSEIMRFFSNPRKPMILALARPDPKKNLVTLVKAFGECRPLRELANLTLIMGNRNDIDELSSTNSSVLLSILKLIDKYDLYGQVAMPKHHQQSDVPEIYRLAAKTKGVFINPAFIEPFGLTLIEAGAHGLPTVATINGGPVDIHRVLDNGLLIDPHDQQAIADALLKLVSDKQLWGRCRQNGLKNIHLFSWPEHCKTYLARIASCKQRHPKWQIVEFENSDPDSPSDSLRDIHDISLNLKLSLDGERGGSNNGVDTNLDTEDSAAERKAEVEKAVSTLAQKSKPAEKFESKMPTLKRRKHIFVISVDCSATSDLLAVVKTVIDAAGRGGSTGFILSTSMTVSETHTALLSGGLKPQDFDAVICSSGSELYFTSAGSEDKTALPYTLDADYHSHIEFRWGGESLRKTLIRWISSVQEKKKAKQGEILVEDESSSTNYCLSFKVKDPTLIPPMKELRKLMRIQALRCNVVYCQNGARLNVIPVLASRSQALRYLLVRWSIDLSNMVVFVGDSGDTDYEGLLGGIHKTVILKGLASDLRELPGNRSYPMEDVTPLNSPNITEATECGRDAIKAALEKLGIKP >fgenesh2_kg.6__1078__AT5G11120.1 pep chromosome:v.1.0:6:4456419:4457039:1 gene:fgenesh2_kg.6__1078__AT5G11120.1 transcript:fgenesh2_kg.6__1078__AT5G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M3M3] MADLTCTTPFLLYPSLVIILFFYSINHHNQIFSSVLDDDPSCRLSSSPQAVFSSLRIFPFRSSSSCLNITSNNNSTSEVVVVEEVDEAVERIEEGLAMARAAIRKAGEENLRRDRTNNSDVGFVSSGSVYLNAFTFHQLRPFLSPHFF >fgenesh2_kg.6__107__AT5G01060.1 pep chromosome:v.1.0:6:436779:438862:-1 gene:fgenesh2_kg.6__107__AT5G01060.1 transcript:fgenesh2_kg.6__107__AT5G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M767] MGCICFKSWRRSSSSPSITSTVIDDLENVRDDVDDDGGHYPLIFREFSLEQLRIATDGFSAGNVVSEHNDSVPNIVYKGKLGDGRRIAVKRFQRLSWPDPFEFINEAQAVGRLRSEHMANLIGCCCDDNERLLVAEYMPNGTLAKHLFHWEKRPMKWEMRLKVALHTARALEYCNDKGIDLYHDLNPYRIMFDKTGNPKLSCFGLMKNSHEGKNYSTNLAFAPPEYLRLGTVIPESVPFSFGTLLLDLMSGRHIPPNHALDLFRGKNYLVLMDSALDGQFSDEDRTELIHIASRCLKSEPEERPSIKFLKATLSRLQKRAKLCPINVKRPMSPPSNNLPEKTKSAKESLKLTPFGDACSRADLSSIHELLEKLGYEEDDGVGNEFSFQMWTGEMQENMDYKKHGDVAFLAKDFDTAIEFYTEFMSGAPAVSPTVLARRCLCYLMTEMFSEALSDAMQAQVASPEWPIPLYLQAACLFKLEMEAEAKEAVRHGSALEAY >fgenesh2_kg.6__1081__AT5G11150.1 pep chromosome:v.1.0:6:4460619:4462351:-1 gene:fgenesh2_kg.6__1081__AT5G11150.1 transcript:fgenesh2_kg.6__1081__AT5G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATVAMP713 [Source:UniProtKB/TrEMBL;Acc:D7M3M6] MAIIFALVARGTVVLSEFSATSTNASSIAKQILEKLPGNDSDSHMSYSQDRYIFHVKRTDGLTVLCMADETAGRNIPFAFLDDIHQRFVRTYGRAIHSAQAYSMNDEFSRVVSQQMEYYSNDPNADRMSRIKGEMTQVRNVMIENIDKVLDRGERLELLVDKTENMQGNTFRFRKQARRYRTIMWWRNVKLTIALILVLVLVVYFAMAFVCHGPSLPSCFK >fgenesh2_kg.6__1082__AT5G11160.1 pep chromosome:v.1.0:6:4464840:4466593:1 gene:fgenesh2_kg.6__1082__AT5G11160.1 transcript:fgenesh2_kg.6__1082__AT5G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAENGLKGDPRLEAISAAIRVVPNFPKKGIMFQDITTLLLDHKAFKHTIDIFVDRYKDMQISVVAGVEARGFLFGPSIALAIGAKFIPLRKPGKLPGKVISESYELEYGHDRLEMHVGAVEPRERVIIIDDLVATGGTLSAAMSLLESQGAEVVECACVIGLPEVKGQHKLKGKPLYVLVEPSGLDEFC >fgenesh2_kg.6__1084__AT5G11200.1 pep chromosome:v.1.0:6:4477349:4480958:1 gene:fgenesh2_kg.6__1084__AT5G11200.1 transcript:fgenesh2_kg.6__1084__AT5G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDARDNEAYEEELLDYEEEDEKVPDSGNKVNGEAVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPSPGQVSALVLCHTRELAYQICNEFVRFSTYLPDTKVSVFYGGVNIKIHKDLLKNECPHIVVGTPGRVLALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEMEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLTRYKSFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVASASDSEVLNQVQERFEVDIKELPEQIDTSTYMPS >fgenesh2_kg.6__1089__AT5G11220.1 pep chromosome:v.1.0:6:4492036:4492968:1 gene:fgenesh2_kg.6__1089__AT5G11220.1 transcript:fgenesh2_kg.6__1089__AT5G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWFWLEDFFSQNILSTILALSDPVIVALANEAVLCFQCLDSGEKPNGFNHIPLTAELLAKDISLQIFYKHRYSAIAGIKNFLTTVCSRIFSDILQQALPSSSSYTFVTRFRHPLIIPGFPHPTFGSINVMPDVVVGDNIYNNNIVLCSHGLWGWNANCIASDIERTMFLTFSRGFPVSQAEVKSFFTKKYGENCVEGVYMHEDNGNSPNANVNSNGQQQSLFAKLVLDSIATVDRILDGEKIKKFKTNGKHIWARKYSMSRDG >fgenesh2_kg.6__1093__AT5G11270.1 pep chromosome:v.1.0:6:4507427:4509100:-1 gene:fgenesh2_kg.6__1093__AT5G11270.1 transcript:fgenesh2_kg.6__1093__AT5G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALSSSGVVSHLHPPSFSSSSGLSVNRVLFRNRNASPRGVALPILNPSRSVLVFARGKNRKGFVSSSTPKKNKKKSFDGAGNGGGEEEDDPFEALFNLLEEDLKNDNSSIDDEEISEEDLDALAEELARALGGGDDVDGIDLFGSVTGDVDVDNDDDDDDDEDEDDEDDDDSEEEDERPTKLKNWQLRRLAYALKAGRRKTSIKNLAAEVCLDRAYVLELLRDPPPKLLMLSATLPDEKPPVAAPENSSPDPTPVKSSSAEDVVVEPKEKVKDEAVHVMQQRWSAQKRVKKAHIETLEKVYRRSKRPTNAVVSSIVQVTNLPRKRVLKWFEDKRAEDGVPDKRAPYQAPV >fgenesh2_kg.6__1094__AT5G11280.1 pep chromosome:v.1.0:6:4509241:4510662:1 gene:fgenesh2_kg.6__1094__AT5G11280.1 transcript:fgenesh2_kg.6__1094__AT5G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPGQSKGWIKKWTSMASSVYFVLIIFQIPLFRVPCRSGMCSSPIHVTSSQLISSEIFPVPVIKALLFPGAVVNGLATNLTFPKWENVLDIYNLTNVKEASAVTDLQRLEVLAGSYFSVAGALVGLLKPGRMSMFGSLLLVWGLVKEGILGKPVNTDPAKTVYVYPTMVLAMICAFSMIKYDLKKATRAAPARPIAKPLMSSSKSKLK >fgenesh2_kg.6__1097__AT5G11320.1 pep chromosome:v.1.0:6:4525564:4527731:-1 gene:fgenesh2_kg.6__1097__AT5G11320.1 transcript:fgenesh2_kg.6__1097__AT5G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCREPEPSQIFVPGPIIVGAGPSGLAVAACLSNRGVPSVILERTDCLASLWQKRTYDRLKLHLPKHFCELPLMPFPKNFPKYPSKQQFISYVESYAARFNIKPVFNQTVEKAEFDDVSGLWNVKTQDVVYTSTWLVVATGENAEPVFPNIPGLKKFTGPVVHTSAYKSGSVFANRKVLVVGCGNSGMEVSLDLCRYNALPHMVVRNSVHVLPRDFFGLSTFGIAMTLLKWFPLKLVDNVLLLLANSTLGNTDHLGLRRPKTGPIELKNVTGKTPVLDVGAISLIRAGQIRVTQAVKEITRNGAKFLNGQEIEFDSIILATGYKSNVPDWLKDNNFFIKEGMPKTPFPNGWKGEKGLYTVGFTRRGLSGTAYDAVKIAEDITDQWMKFNGPLSIRNICSSHIIHLHFNKS >fgenesh2_kg.6__109__AT5G01050.1 pep chromosome:v.1.0:6:439853:448606:1 gene:fgenesh2_kg.6__109__AT5G01050.1 transcript:fgenesh2_kg.6__109__AT5G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:D7M768] MPRLHHYLPIQTFVVLLLFSSIASAAIVEHVLHVKDVMVKPLCKEQMIPTVNGSFPGPTINVREGDTLVVHVINNSTYNVTIHWHGVFQLKSVWMDGANMITQCPIQPSNNFTYQFDITGQEGTLLWHAHVVNLRATLHGALVIRPRSGRPYPFPKPYKEVPIIFQQWWDTDVRLLELRPAPVSDAYLINGLAGDSYPCSQNRMFNLKVVQGKTYLLRIINAALNTHLFFKIANHNVTVVAVDAVYTTPYLTDVMILTPGQTVDALLTADQPIGMYYMATIPYFSAIGIPASPDIKPTRGLIVYEGATSSSSPVKPLMPATTDIATSHRFSSNITSLVGGPHWKPVPRHVDEKMFITMGLGLDPCPSGTKCIGPLGQRYAGSLNNRTFMIPERISMQEAYFYNISGVYTEDFPDQPPVKFDYTKFQQRTNSDMKMMFPERKTSVKTIRFNSTVEIVLQNTAILSPESHPMHLHGFNFYVLGYGFGNYDPIRDARKLNLFNPQMHNTVGVPPGGWVVLRFIANNPGVWLFHCHMDAHLPYGIMNAFIVQNGPTPETSLPSPPSNLPQCTRDPTIYDSRTTNTDLSY >fgenesh2_kg.6__1107__AT5G11400.1 pep chromosome:v.1.0:6:4552513:4553978:-1 gene:fgenesh2_kg.6__1107__AT5G11400.1 transcript:fgenesh2_kg.6__1107__AT5G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLKHLKQQLPSLAPKPLLIPPIFSVEPENENLRVFRFADLKKATKKFRQDRVVECEDGSVRKFYKGYIDETTFAPSRRTGTGIAVSVMECDSSRSLQDWMAVVRSLGHISHQNLVNFLGYCCEDNKPLLLVFEYSHKGSLDRHIFGKEEALQWEIRVKIAIGTAQGLAFLHSIKDSPLNRELRMHNIMLDEVQYNAKLFYLESIKPSLINLSLFNVKDNCLDFWTTSLLPDSYKIEEIIDPRLGNDYSANAATQMGTLINQCTAHDTKKRPLMQQVLDGLNYIAEIKD >fgenesh2_kg.6__1109__AT5G11412.1 pep chromosome:v.1.0:6:4555927:4556705:-1 gene:fgenesh2_kg.6__1109__AT5G11412.1 transcript:fgenesh2_kg.6__1109__AT5G11412.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M3Q3] FISFSFLDCWDFLFTFFFLRLCDRDMAQHYANQNVDTRYTKIYVGGLPWKTRNEGLKSYFQQFGEIIHVNVVCDRETGRSEGYGFVTFRDAESATRACQNPKPVIDGREAKCNLAYIGARVNNNQNAQQVEVYVPNWNQFQFAPEYDPYTLCCYWTQHGSYCVNQHAISFVVV >fgenesh2_kg.6__110__AT5G01030.1 pep chromosome:v.1.0:6:448820:451914:-1 gene:fgenesh2_kg.6__110__AT5G01030.1 transcript:fgenesh2_kg.6__110__AT5G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEGQVSGTDNEIRVRTKRSSHSRRKECVNESLEHNDELVKYMSKLPGYLQRIERGEESVQSNVLNVGVLDWERLEKWKNGRAKGGERSGKSERKVSTIATSGVVPNDSANRCKIDDQLPTCSNLGKVKASRDLQNSLHGVRCTLDPELASRDSLNKQELATCSYKSSGRDQKGVEPRKSRRTHTNRESTSGLLSEIGNSGGSLVIKRNLVVRDKETQKRAGEIHAKEARERAKECVEKLDGGEKIIGDSKAGHTSEKQEFSNIFLLRSRKQSRSTLSGKPQISREVNRSLDFSDRINSSLGLSSQTPRSCPLSFDLERDSEDMMLPLGTDISGKKERFGGKRHSKTASRIFDLEFPEDESRKERHPSPSKRFSFSFGRLSRSFSVKEISAGQPLSSSEDTIKSGSMRFDGSVCPSQSSNPENTHCRSRVSPLRRFLDPLLKPKGFENVLPSKARSSSSNPEPTTNSNVPLQGEKKQDTSRTRAIFQLTVRNGIPLFQFVVDDNNNSSSRSILGATMKSSDSSLKDDSVQYCTFYSVNEVKKKKSGSWLIHGHKEKHCGFVYNVIGQMRLGNSMSADISEQKSEIISPVITESVLFDESEQVKGRREVAAVVIKKKPVEGSYNSLMETSVIIPGDVHSFPEKGAPSPLISRWRSEGLCDCGGWDVGCKLRVLSNKTVLHEFNQSFKLFDQEGSEQDSGPVLTMTELKTGIYRVEFGSSVSPLQAFFVCVTVLTCASEAKTTGKCSSPMAPPLSPVGRV >fgenesh2_kg.6__1110__AT5G11416.1 pep chromosome:v.1.0:6:4558196:4558430:-1 gene:fgenesh2_kg.6__1110__AT5G11416.1 transcript:fgenesh2_kg.6__1110__AT5G11416.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3Q4] MREEEEQKQSAKATVVPLSLLTLLSYYIQHLFYPLTAPCPDFTTYNKADTIYGSYNISFNDKLL >fgenesh2_kg.6__1111__AT5G11420.1 pep chromosome:v.1.0:6:4560166:4563953:1 gene:fgenesh2_kg.6__1111__AT5G11420.1 transcript:fgenesh2_kg.6__1111__AT5G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSLSFLLVLLIATITSVLCFSDGMVPNGDFELGPKPSDMKGTQVINKNAIPSWELSGFVEYIKSGQKQGDMLLVVPAGKFAIRLGNEASIKQRLNVTKGMYYSLTFSAARTCAQDERLNISVAPDSGVIPIQTVYSSSGWDLYAWAFQAESNVAEIVIHNPGEEEDPACGPLIDGVAIKALYPPRPTNKNILKNGGFEEGPYVLPNATTGVLVPPFIEDDHSPLPAWMIESLKAIKYVDVEHFSVPQGRRAVELVAGKESAIAQVARTVVGKTYVLSFAVGDANNACQGSMIVEAFAGKDTLKVPYESRGKGGFKRASLRFVAVSTRTRVMFYSTFYSMRSDDFSSLCGPVIDDVKLLSARKP >fgenesh2_kg.6__1114__AT5G11440.1 pep chromosome:v.1.0:6:4569634:4570619:-1 gene:fgenesh2_kg.6__1114__AT5G11440.1 transcript:fgenesh2_kg.6__1114__AT5G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CID5/IPD1 [Source:UniProtKB/TrEMBL;Acc:D7M3Q7] MKPGAFALNPHAASYVPISKRMDCGGGGDDGLMFAAKSPTQGQQISFSGVQVSMPKKSSEMAYKQIRDDDLDLEMDIDMDIEYLLVTFSGLSQESITDVYLANGGDLEATIEMLNQLEIYSTESEENLPETLDIGDISESGPSTSKATEVAASTSSVIPNAPVSA >fgenesh2_kg.6__1115__AT5G11450.1 pep chromosome:v.1.0:6:4571291:4573354:1 gene:fgenesh2_kg.6__1115__AT5G11450.1 transcript:fgenesh2_kg.6__1115__AT5G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCPPLPSPNSRLFRCRNSNISSKYHGESKELVIARSGVSTRSISSEKGLSRRDLVLIGLSSPLSMLLPLSSPVTHAEEDLKLGTEELKMGTMVDDINAYSYAYPLDYPSEKLVFKWVESRKPERYSSAAPLSPDARLRIVSERVDLIDNLVISVSIGPPNSRLTSKEKKTWAAKEVADSVLSDKSALRVTSSQRLEESSVLDAHATDIDGEPYWYYEYLVRKSPTKIAEASKLYRHYISSTAERDGYLYTINASTLGKQWDKMGPVLERAVGSFRLLPPTDSYVPPYKDPWRFW >fgenesh2_kg.6__1116__AT5G11460.1 pep chromosome:v.1.0:6:4573686:4575848:-1 gene:fgenesh2_kg.6__1116__AT5G11460.1 transcript:fgenesh2_kg.6__1116__AT5G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHSNYQMATASDYYSTKPVLSGIKSHKLISSLFEGKSPSDCESAWSPTSPLDFRLFSTLGNPFGASSSSLRSIWKGKQKSWDSGKVGLSIVHSLVDDHNDSSSRIVLPSPDSKSIIFGSLMRSGQKPHLLSQPLAKALMPKNDIPNVVFEIGQDVLDVVELQKSGSVAAYCSGAGNFSVNNNACQVIKQAPGSLNGGTGSNIEISEDYTCVISHGPNPKTTHFYGDRVLESVENNELKNRCCSNKKKSIFAVAPLDLTTPVDVLPPKDFLSFCYGCSKKLVMGKDIYMYSGYKAFCSSECRSKEIDRDEKMEDEEEAIKSASSSEKDLSKKKSNGVFFTVG >fgenesh2_kg.6__1117__AT5G11470.1 pep chromosome:v.1.0:6:4581419:4585153:-1 gene:fgenesh2_kg.6__1117__AT5G11470.1 transcript:fgenesh2_kg.6__1117__AT5G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVTSEGLEFKWGKKKCVGGKKKDVQFYESFIYDGDEYHLYDCVLVGDASEPDSTEPFIGMIIKIWEHANKHIPRKVKLLWFFKPSEIAPYLEGVPDVLANELFLASGEGLGLANINQLEAIGGKCSVLCISKDKRNPQPSDEKINSADFVFRRSFDVKSCKVVDTIDDKIAGVDVKFIFNRACSEKEATAVQNIEADVNGNSDSLKPNGPLASGSGRKIEDNHFESSDCKKSSNGCIQEKEKGHYQLATKKATVAEERSNKDSGSRGNHFDGKAQESEVKKQLTKQKSMPAEERYSNSFEASGSRIIHSISRKAQVNDVKKQLTKQKSMPAEERYGKELSGLDDRPLKKQKRDGSVTIPDGRNTTLLQNITSDGKKDTGSFKRPRDKVTIEEVPPEKRSFVKKRDLGVSVSEGKTTKTVTEKGLSKKPSFGHAEDKMLADDNERNYQVTEVCRRPDAISERVVKSIDARMWFCKNTILKGEVLFYSPSHCMLFLIPYLFQGKSKWFRSLPWEESMREAEKKGTVVLLQNLDPTYTSDEVEDIVYSALNEQCEARMIERTSVTIPHVGEALVIFKTREVAERVIRRLDEGCLLLSSGRPLVASFAKITPPGKPSSFSGHIKLHKTQTRREMRDAVATSHCSQPNNLEFDMAMEWCLHQARHEQASESVSKVIRLSRRLLPLFFTENMSFCLEK >fgenesh2_kg.6__1119__AT5G11490.1 pep chromosome:v.1.0:6:4590590:4595124:1 gene:fgenesh2_kg.6__1119__AT5G11490.1 transcript:fgenesh2_kg.6__1119__AT5G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-adaptin-like protein [Source:UniProtKB/TrEMBL;Acc:D7M3R2] MAPPAASQRYPSPSQPSGKSEVSDLKTQLRQLAGSRAPGVDDSKRDLYKKVISYMTIGIDVSSVFGEMVMCSATSDIVLKKMCYLYVGNYAKGNPDLSLLTINFLQRDCKDEDPMIRGLALRSLCSLRVPNLVEYLVGPLGSGLKDNNSYVRTIAVTGVLKLYHISASTCIDADFPATLKSLMLHDSDAQVVANCLSALQEIWSLEASHSEEACREKESLLSKPVIYYFLNRIKEFNEWAQCLILELAVKYVPSDSNDIFDIMNLLEDRLQHANGAVVLATVKVFLQLTLSMTDVHQQVYERIKSPLLTLVSSGSPEQSYAILSHLHLLVVRAPFIFAADYKHFYCQYNEPSYVKKLKLEMLTAVANESNTYEIVTELCEYAANVDIAIARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDYVTAETLVLVKDLLRKYPQWSHDCISVVGGISSKNIQEPKAKAALIWMLGEYAQDMSDAPYVLENLIENWEEEHSAEVRLHLLTAAMKCFFKRAPETQKALGIALAAGIADFHQDVHDRALFYYRVLQYDVHVAERVVSPPKQAVSVFADTQSSEIKDRIFDEFNSLSVIYQKPSYMFTDKEHRGPFEFSDELGNISISPEASSDIVPAQQFEANDKDLLLGIDEKDDNKGLSNNNGSAYTAPSLESSSNISSQMQELAISGPATSATTPQSSFGFDDLFGLGLSTAPAPTPSSPLLKLNPRAALDPGAFQQKWRQLPISLTQECSVNPQGIAALTVPQSLIRHMQSHSIHCIASGGQSPNFKFFFFAQKESEPSNYLAECIINTSSARAQIKVKADEQSTSQAFTTVFETALSKFGLP >fgenesh2_kg.6__1121__AT5G11510.1 pep chromosome:v.1.0:6:4598838:4604005:1 gene:fgenesh2_kg.6__1121__AT5G11510.1 transcript:fgenesh2_kg.6__1121__AT5G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESSTISAPHEGIPKSRHGRTSGPARRSTRGQWTAEEDEILRKAVHSFKGKNWKKIAEYFKDRTDVQCLHRWQKVLNPELVKGPWTKEEDEMIVQLIEKYGPKKWSTIARFLPGRIGKQCRERWHNHLNPAINKEAWTQEEELVLIRAHQIYGNRWAELTKFLPGRSDNGIKNHWHSSVKKKLDSYMSSGILDQYQAMPLAPYERSSTLQSSFMQSNIDGNGCLNGQEENEIDSRQNSSMVGCSLSARDFQNGAINMGHDFHPCGNSQENEQTVYHSDQYFYPELEDISVSISEVSYDMEDCSQFPDHNVSTSPSQDYQFDFQELSDISLEIRHDMSEIPMPYMKESKESTLGAPNSTLNIDVATYTNSANVLTPETECCRVLFPDQESEGHSVSRSLTQEPNQVDQADRRDPILCASASDRQISEATKSPTQSSSSRFIATAASGKETLRPAPLIISPDKYSKKSSGLICHPFEAVPKCTTNGNGSFICIDDSSISTCVDEGTNNSSEEDQSYHVSDPKKLVPVNDFASLAEDRPHSLPKHEPNMTSEQHHEDTGASSSLGFPSLDLPVFSCDLLQSKNDPLHDYSPLGIRKLLMSTMTCMSPLRLWESPTEKKTLVGAQSILRKRTRDLLTPLSEKRSDKKLEIDIAASLAKDFSRLDVMFDESENRQSIFGNSTGVIHGDRENHFQILSGEGEENWKPSSLFSHRMPEETMHIRKSLEKADQTCMEANVREKDDSEQDVENVEFFSGFLSDHNTGKPVLSSPGQSVTKAEKTQVSTPRNQLNRTLMATSNKEHHSPSSVCLVINSPSRARSKEGHLVDNGTSNENFSIFCGTPFRRGLESPSAWKSPFYINSLLPSPRFDTDITIEDMGYIFSPGERSIGVMTQRNEHTSAFAAFADAMEVSISPTNDNARQKKELDKENNDPLLAERRVLDFNDCESPIKTTEEVSSYLLKGCR >fgenesh2_kg.6__1123__AT5G11520.1 pep chromosome:v.1.0:6:4604301:4606900:-1 gene:fgenesh2_kg.6__1123__AT5G11520.1 transcript:fgenesh2_kg.6__1123__AT5G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:D7M3R5] MKTTDFSSSSSSDRRIGALLRHLTAGSDSDHLSSVYASPTSGVTGGSVFAHLVQAPEDPILGVTVAYNKDPSPVKLNLGVGAYRTEEGKPLVLNVVRKAEQQLINDRTRIKEYLPIVGLVEFNKLSAKLILGADSPAIRENRITTVECLSGTGSLRVGGEFLAKHYHQKTIYITQPTWGNHPKIFTLAGLTVKTYRYYDPATRGLNFQGLLEDLGAAPAGSIVLLHACAHNPTGVDPTIQQWEQIRQLMRSKGLMPFFDSAYQGFASGSLDTDAKPIRMFVADGGECLVAQSYAKNMGLYGERVGALSIVCKSADVAGRVESQLKLVIRPMYSSPPIHGASIVAVILRDKNLFNEWTLELKAMADRIISMRKQLFEALRTRGTPGDWSHIIKQIGMFTFTGLNPAQVSFMTKEYHIYMTSDGRISMAGLSSKTVPHLADAIHAVVTKAV >fgenesh2_kg.6__1125__AT5G11540.1 pep chromosome:v.1.0:6:4623128:4625132:-1 gene:fgenesh2_kg.6__1125__AT5G11540.1 transcript:fgenesh2_kg.6__1125__AT5G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M3R7] MRHSHTLHFSILSFFVTIWTVQSVPPQPPVRCDQTGCTVSNAYGTWPDRKTCHAANVTYPTTEEELRKAVAYAAEHNLKVKTVTKFSHTIPKLACPSGSDAMLISTSKYNSVIEIEPDRLTVTADSGVSLRELIDKVEGAGFSIATSPYWEGVSIGGLISTGSHGSSWSGRGGSVHDHVVGISLVVPANSSEGFAKVVRLEEGRDDKLLNAVKVSLGVSGVISKVKLSIEKAFKRSMTYNFTSDVALEDIFMEHGKTFEFGDITWYPSRKTAVYRYDIRSPVNVSGNGVNDFTGFQSNPILISRGVRALEKGLESTNNENGKCTTADTTLAYKKLTGNGLKNNGLIFTGYPVIGRQGKIQTSGSCLYSSSIRIDVACAWDPRYSGLFFYETTAIFPVSRFRDFLLDVKKLRDLKPARLCGIDIYNGILIRFIKGSKAYLGQTEDSVVIDFNYYRADVELTPRLNQDVMEEMEQMAFVKHGAKPHWGKNRKVGFFGVKKKLGPNFDRFLEVKNKLDPKKMFSSEWSDEILFGIEASKYDGCALEGNCVCSEDRHCSPSKGYFCKQGLVYTQARVCRFSPAQVLVT >fgenesh2_kg.6__1126__AT5G11550.1 pep chromosome:v.1.0:6:4629929:4631705:-1 gene:fgenesh2_kg.6__1126__AT5G11550.1 transcript:fgenesh2_kg.6__1126__AT5G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKANQKPKPHAPPRPLFSCGFFRRCTQSVLSPTSPHQQQPRRKPTTTSSSSSSSSTSTSQSFTQWRFPHHLDPTPSTAPPPPPPPPPPPLPVTTTLQETFQIAELHLTSVSESDKLLALQLLERVVVPDPPSDPTCPPGLMRCLVSCLRSNNKIVTAKYVTKILLALCLAEGNRHVAVEAGAARAVIETAAGLEISAVERALAALELMCTTAEGAAEVRGHAMTVPAMVAVMARLAGRGREYAISILSIVYGKAGGGGDSGEEIAVAPAEEVARAVALALEGECTARGRRKGAQLLKTLEEYGRLDLSQNGT >fgenesh2_kg.6__1127__AT5G11560.1 pep chromosome:v.1.0:6:4631934:4636746:-1 gene:fgenesh2_kg.6__1127__AT5G11560.1 transcript:fgenesh2_kg.6__1127__AT5G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVFLTLLLFLSSAIVSFSLYEDQAGLTDWHQRYIGKVKHAVFHTQKTGRKRVIVSTEENVVASLDLRHGEIFWRHVLGTKDAIDGVGIALGKYVITLSSEGSALRAWNLPDGQMVWETSLHTAQHSKSLLSVPINLKVDKDYPIIVFGGGYLHAVSAIDGEVLWKKDFTVEGFEVQRVLQPPGSSIIYVLGFINLSEAVVYQIDSKSGEVVAQKSMVFPGGFSGEISSVSSDKVVVLDSTRSILVTIGFIDGGLSFQKTPISDLVEDSGKAEILSALLSNMLAVKVNKRTLFVKVGGEGKLEVVDSLSDETAMSDSLPVADDQEAFASVHHEGSKIHLMVKLVNELDNVLLRETIQMDQHRGRVHKVFMNNYIRTDRSNGFRALIVMEDHSLLLLQQGAIVWSREEGLASVTDVTTAELPLGKDGVSVSKVEHTLFEWLKGHVLKLKGSLLLASPEDVVAIQELRVKSSGKNKLTRDHNGFRKLILALTRPGKLFALHTGDGRIVWSMLLKSPSNSQACERPNGISLYQWQVPHHHAMDENPSVLVVGKCGSDSSAPGVLSFVDVYTGKEISSSDIGHSVVQVMPLPFTDSTEQRLHLIADTVGHVHLYPKTSEALSIFQREFQNVYWYTVEADDGIIRGHVMKGSCSGETADEYCFTTRELWTVVFPSESEKIISTLTRKPNEVVHTQAKVNTDQDLLYKYVSRNLLFVATVSPKGAGEIGSVTPEESSLVVYLIDTITGRILHRLSHQGCQGPVHAVFSENWVVYHYFNLRAHKYEVTVVEIYDQSRAENKNVWKLILGKHNLTAPITSYSRPEVFTKSQSYFFAQSVKTIEVTSTAKGITSKQLLIGTIGDQILALDKRFVDPRRTLNPSQAEKEEGIIPLTDTLPIIPQAYVTHSHKVEGLRGIVTAPSKLESTTHVFAYGVDLFYTRLAPSKTYDSLTDDFSYALLLITIVALVAAIYITWVLSEKKELSEKWR >fgenesh2_kg.6__1129__AT5G11580.1 pep chromosome:v.1.0:6:4661401:4663879:1 gene:fgenesh2_kg.6__1129__AT5G11580.1 transcript:fgenesh2_kg.6__1129__AT5G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKSLTLPIPEDLSRKIISLAAGEAHTIALTGDGCVYSWGRGMFGRLGTGKESDELVPVRVEFEFPNQAEGDRIRIVGIAAGAYHSLAVSDDGSVWCWGYNIYGQLGFDGENSLAPCLIKNLFEQGASISSLNDSGREARSDLKVCAVKAGSMMSLAIDNVGGLWMWGNVPPQDSEPDPRLSFTSIPIPFPILDFHGRTVLKVACGDEHVVALVGPGDINKDNSYDVSVLYSWGNNHHGQLGVGDRESRPRPQIVETFNQNSGLTVYEIACGAHHTALLTYKKETPKGPSICWTFGFGENGQLGHRSNKSLSIPEPVSELPEHAYLVSVDCGLFHTSVVSSEGYVWSWGMERGLGLCPDVNFTEVEAGDDSVPRKISAGSRFRDPVQVSCGAAHTVLVADGGYKLWSWGRGRNGVLGTGNVSDCYVPTLVFWPYELKPEKEEVPDADKSASTEEIKRLESKLMVMERYASILHGSIFGKPFNEEEDIPYSLRVSGYFDMGKEWGEMLESADKSQLMRLQAFYEDMIGRVKDKVLQRRIQEIMRDCLQSSAPKH >fgenesh2_kg.6__112__AT5G02010.1 pep chromosome:v.1.0:6:458080:460781:1 gene:fgenesh2_kg.6__112__AT5G02010.1 transcript:fgenesh2_kg.6__112__AT5G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSEDLREVEEKGRESSCCSSETTRQEEEQSPSCTEDFTASPVSSRWSVKNIDGEKKKIRSDSRVSEVEMMKERFSKLLLGEDMSGSGNGVCTALAISNAITNLCATLFGQLWRLEPLPTEKKEMWRREMEWLLCVSDHIVEMTPTWQTFPDGTKLEIMTCRRRSDLYVNLPALRKLDNMLLEILDSFEETEFWYVDQGIMAHESAADDGSSSFRKSFQRQEDKWWLPVPRVSPGGLQENSRKQLQHKRDCTNQILKAAMAINSITLADMEIPESYLESLPRKGRSCLGDLIYRYISSDQFSPECLLDCLDLSSEYQAIEIANRVESSIYLWHKRTNSKPATNTKTSWEMVKELMVDADKLELMADRAESLLLSLKQRFPGLPQTALDMSKIQYNKDIGKSILESYSRVLESLAFNIVARIDDLLFVDDLTRHSSDQTPTTLGNNGNDAHKSVAVPVSNYTTPSYSPSKQELRSSITVPPSPSRFKIPHSSSVKRVLTAYVTKNEPRLKDRPVETPSRSSSSERLSLEKCMKESLNVSNLDPGI >fgenesh2_kg.6__1130__AT5G11590.1 pep chromosome:v.1.0:6:4679444:4680518:-1 gene:fgenesh2_kg.6__1130__AT5G11590.1 transcript:fgenesh2_kg.6__1130__AT5G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYYNLRSERVTQHLVPNSESDSVSQKSKAEQSENKKTKRVIDSGKHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGTSAILNFPELADSFPRPVSLSPRDIQTAALKAAHMEPTTSFSSSTSSSSSSSTSSLESLVLVMDLSRTESEELGEIVELPSLGASYDFDSANLGNEFVFSDSVDYCLYPPPWGQSSEDNYGYGISPYFGHGLSWDL >fgenesh2_kg.6__1131__AT5G11600.1 pep chromosome:v.1.0:6:4685989:4687301:-1 gene:fgenesh2_kg.6__1131__AT5G11600.1 transcript:fgenesh2_kg.6__1131__AT5G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQARPSSAKSEPRDGSSSLSSRVKIDPSIKDKKKIVTSNRPIMSDSKPRSSVSTVTAKSEAKPKVPVNLVKSTATTSAAASLAKGKAKTTPAAASLVKGKAKREKKVYSLPGQKFDPPEEREPLRIFYESLSKQIPGSEMAEFWLMEHGMLSPDKAKRAFEKKQRKMKQIRMGTPTKPAPTFTSKAESSQKTSAPKNNGLDARKKKKVVDDDDDDDDDFILSHKRRKV >fgenesh2_kg.6__1133__AT5G11610.1 pep chromosome:v.1.0:6:4687629:4695918:-1 gene:fgenesh2_kg.6__1133__AT5G11610.1 transcript:fgenesh2_kg.6__1133__AT5G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7M4A4] MDRSRVLRFLSQVETCRVVLLMVLVVAFVSGLQYFELAPVLSIVSPGNGTVSEYRESNDTTKSTENETFLASQEASTELKPYNNITSEVLKSSEHKFLNDSPKIEASGQSRRSNETASSLHSLQPRIPQIHKKYPHRSTKKPPVVVISITQMNKMILKRHNDPKNSLAPRWGLKVDEELRTARDKIKNVGLLKKDDNLYAPLYHNLSIFKRSYELMEQTLKVYIYSEGDRPIFHQPEAIMEGIYASEGWFMKLMESNHRFLTKDPNIAHLFYLPFSTRILQQKLYVHDSHSRRNLVKYLKNYLDLIASNYPFWNRTRGSDHFFTACHDWAPAETRGPYINCIRSLCNADVGVDFVVGKDVSLPETKISSSQNPNGNIGGNRPSKRTILAFFAGNLHGYVRPILLNQWSSRPEPDMKIFNRIDHKSYIRYMKRSRFCVCAKGYEVNSPRVVESVLYGCVPVIISDNFVPPFLEILNWESFAVFVPEKEIPNLRKILISIPVRRYVEMQKRVMKVQKHFMWHDGEPVRYDVFHMILHSVWYNRVFQTF >fgenesh2_kg.6__1137__AT5G11640.1 pep chromosome:v.1.0:6:4699578:4701604:1 gene:fgenesh2_kg.6__1137__AT5G11640.1 transcript:fgenesh2_kg.6__1137__AT5G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKREGVIEGMNRIVSDPYYFLHFMAFFSYLPIRSSAAPYTSHRLFDREIQAFLAFLMFSAIKMVREETWEAFVADSLLYAKIFLIAVSLVMDYRVAIWFSVIFSVTYLLAQQPAFSKLGTAKKLTPMQLEDLLSDGSTTKYWLIEFFACSSSKCVRSSRCFPELSITYSNNLLSFGTVDLGLFPNTAAKFGISLAGGMSQLPTYILFEKGVEVSRFPDFYVDAAPSLPITKKLLCQHFELDRLLLDYINGS >fgenesh2_kg.6__1138__AT5G11650.1 pep chromosome:v.1.0:6:4702619:4704723:1 gene:fgenesh2_kg.6__1138__AT5G11650.1 transcript:fgenesh2_kg.6__1138__AT5G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLTSGASNRIIFILRTLRKCLVFVLSLVLSLLLILRLRPRRRVSPLSSPEEEAVPAPSRRWRRKMAWKLEEEDTARRRSLAEGVEMAGDGEISCSLFYGRRGNALFSRSWLPISGELRGILIIIHGLNEHSGRYSQFAKQLNSSNLGVYAMDWIGHGGSDGLHGYVPSLDYVVSDTEAFLEKIRSENPGVPCFLFGHSTGGAVVLKAASSPSIEDMLAGIVLTSPALRVKPAHPIVGAIAPIFSLVAPRFQFKGANKRGIPVSRDPEALLAKYSDPLVYTGPIRVRTGHEILRITAYLTRNFKSVTVPFFVLHGTEDKVTDPLASQDLYNQAASVFKDIKLYDGFLHDLLFEPEREEVGRDIIDWMMKRLDDVNGSAAGIW >fgenesh2_kg.6__1139__AT5G11670.1 pep chromosome:v.1.0:6:4719318:4723280:1 gene:fgenesh2_kg.6__1139__AT5G11670.1 transcript:fgenesh2_kg.6__1139__AT5G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:D7M4B2] MGSTPTELPCEDVADNRSGVGGGISDVYGEDSATLDQLVTPWVTSVASGYSLMRDPRYNKGLAFTDKERDAHYLTGLLPPVVLSQDIQEKKVMHNLRQYTVPLQRYTALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRKPQGLYISLKEKGKILEVLKNWPQRGIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGIRPSACLPITIDVGTNNEKLLNDEFYIGLKQRRATGQEYAEFLHEFMCAVKQNYGEKVLVQFEDFANHNAFDLLSKYSDSHLVFNDDIQGTASVVLAGLIAAQKVLGKKLADHTFLFLGAGEAGTGIAELIALKISKESGAPIAESRKKIWLVDSKGLIVSSRKESLQHFKQPWAHEHEPVKDLIGAVKAIKPTVLIGTSGVGQTFTKEVVEAMATNNEKPLILALSNPTSQAECTAEQAYTWTKGRAIFGSGSPFDPVEYNGKTYLPGQANNCYIFPGLGLGLIMSGAIRVRDDMLLAASEALAAQVTEEHFANGLIYPPFSNIREISANIAACVAAKTYDLGLASNLPRAKDLVKFAESSMYSPVYRNYR >fgenesh2_kg.6__1145__AT5G11740.1 pep chromosome:v.1.0:6:4756616:4757116:1 gene:fgenesh2_kg.6__1145__AT5G11740.1 transcript:fgenesh2_kg.6__1145__AT5G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:arabinogalactan protein 15 [Source:Projected from Arabidopsis thaliana (AT5G11740) TAIR;Acc:AT5G11740] MAISKASIVVLMMVIISVVASAQSEAPAPSPTSGSSAISASFVSAGVAAVAALVFGSALRI >fgenesh2_kg.6__1148__AT5G11770.1 pep chromosome:v.1.0:6:4766726:4768893:-1 gene:fgenesh2_kg.6__1148__AT5G11770.1 transcript:fgenesh2_kg.6__1148__AT5G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M4C6] MAMITRNTATRLPLLLQSQRAAAAASVSHLHTSLPALSPSTSPTSYTRPGPPSTSPPPPGLSKAAEFVISKVDDLMNWARTGSIWPMTFGLACCAVEMMHTGAARYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGLLQLQKKINRRKD >fgenesh2_kg.6__1149__AT5G11780.1 pep chromosome:v.1.0:6:4769714:4772011:1 gene:fgenesh2_kg.6__1149__AT5G11780.1 transcript:fgenesh2_kg.6__1149__AT5G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPICKPRPQSHLEFLKPLLNDSIKQLLVQYRSGRTNFSDFDSIFTRILNDLPEPPPLELVWFYSAIRFYSSKLAFRDDSVRLTSSFFQLLVSFSDSFSDSFSGVKKVALLSPVVYQLSRLVCSRRREALSLLEGIVSYISMYCVEEPGDEYDDVLMVSGFSFADLSRVWIVDEVEDNCRVEDCLEIFMPFVTETLRKEMDSESCRVGYLAGIVASQVFLLSLCSRFDLELSRSELEKDLRESVLQMISGFHSCYFFDVILKMLLLEPYLHLTSLLGPEDEAILTEIITEAVIKSVEKLFFNPGNGTLQRSLHLKNIAINWLFLFDKTMASLRRYKDEEKTSRYMNMFSYSLIPHHLINWVISQGEVIRDADALRNLTPASFIEWLVSLEEQGLRVFNCDHSKNYARTVNQRSRPDLSLGATLLKQQEEFDHDADMADDYTASSFSILSSNTRKRKEERDNKEGETKVKLFKHRHSNLQENSKFQPFVFSDGLVKGTEVEVSDMEL >fgenesh2_kg.6__114__AT5G02030.1 pep chromosome:v.1.0:6:469685:473078:1 gene:fgenesh2_kg.6__114__AT5G02030.1 transcript:fgenesh2_kg.6__114__AT5G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYEPYHVLQQSRRDKLRIPSLDSHFHFHPPPPSSSGGGGGVFPLADSDFLAAGGFHSNNNTNHISNPSYSNFMGFLGGPSSSSSTAVAVAGDHSFNAGLSSGDVLVFKPEPLSLSLSSHPRLAYDLVVPGVVNSGFCRSAGEANAAAVTIASRSSGPLGPFTGYASILKGSRFLKPAQMLLDEFCNVGRGIYTDKVIDDDDSSLLFDPTVENLCGVSDGGGGDNGKKKSKLISMLDEVYKRYKQYYEQLQAVMGSFECVAGLGHAAPYATLALKALSKHFKCLKNAITDQLQFSHNNKIQQQQCGHPMNSENKTDSLRFGGSDSSRGFCSAGQRHGFPDHHAPVWRPHRGLPERAVTVLRAWLFDHFLHPYPTDTDKLMLAKQTGLSRNQVSNWFINARVRVWKPMVEEIHTLETRQSQRSSSSSWRDERTSTTVFPDNNNNNPSSSAVQQRANNSPPARRARNDDVHSTTNNSYVNSGSGGGGSAVGFSYGIGSSNVPVMNNSTNGVSLTLGLHHQIGLPEPFPMTTAQRFGLDGGSGDGGGGGGYEGQNRQFGRDFIGGSNPQFLHDFVG >fgenesh2_kg.6__1150__AT5G11790.1 pep chromosome:v.1.0:6:4775628:4778867:1 gene:fgenesh2_kg.6__1150__AT5G11790.1 transcript:fgenesh2_kg.6__1150__AT5G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ndr family protein [Source:UniProtKB/TrEMBL;Acc:D7M4C8] MADSSDSVSIDMEALSLGGQEHLVETTYGPVCVAVCGDPDKPALITYPDIALNYMFCFQGLLFCPEASSLLLHNFCIYHISPLGHELGAPMISVDAPLLSADDLADQIVEVLNFFGLGAVKCMGVTAGAYILTLFAMKYRQRVLGLILVSPLCQAPSWSEWLCNKVMSNLLYYYGTCGVVKELLLKRYFSKEVRGNGQVPESDIVQECRRLLSERQSTNVWRFLEAINGRVDLSEGLRKLQCRTLIFIGENSAYHSEAVHMTTKLDRRYGALVEVQGSGSLVSEEQPQAMVIPMEYFLMGYGLYRPTQSVSPRSPLSPTRISPELLSPENMGLKLKPIKTRLAL >fgenesh2_kg.6__1151__AT5G11800.1 pep chromosome:v.1.0:6:4779276:4784121:-1 gene:fgenesh2_kg.6__1151__AT5G11800.1 transcript:fgenesh2_kg.6__1151__AT5G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEGGRRRRSSQQLALLLLLLSIFLCFSVVSPRALSDSDLLEESVANSSSSVASLNASSSIVKLKEGSFADIIDRALEKEFNESDQNEVADPGSFNNSVAGQQAVLETVARVKSTKKNETKEDKRFQLHDVFNLNNDNRAEDTPTLIDRKDNVFIISNSKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGLNFISEMVQVETVAQFGVVFLLFALGLEFSTAKLKVVRSVAVLGGLLQILLFMFLCGITVSLCGGKHSEGVFVGAFLSMSSTAVVLKFLMEKNSTNSLHGQVTIGILILQDCAVGLLFALLPVLEGNSGIVHGMLSMGKVVVVLLSFLAVLSILSRTCIPWLLKLMVSLSSQTNELYQLAAVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAEHTLEQIEPIRNLFAALFLASIGMLVNVHFLWTHVDILLASVILVIIIKTTIVTTVVKGFGYNNKTALLVGISLAQIGEFAFVLLSRASNLHLIEGKLYLLLLGTTALSLVTTPLVFKMIPAVVHLGILLKWFSPDSTIEKGEIVRSESGKQRMILMSRQSHSS >fgenesh2_kg.6__1152__AT5G11810.1 pep chromosome:v.1.0:6:4784574:4786691:1 gene:fgenesh2_kg.6__1152__AT5G11810.1 transcript:fgenesh2_kg.6__1152__AT5G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRSLVLYGDGLARFVDPSNTHIHSLASVGSCGFLSLPNAPPETENERTVREFAHLMDASEAYSIASGLKPKGNGNDISTLAERFMGLKAALVTDSSTLTSFGKLIGLDVLQLSEICQESDSFPSDATSSKLLKLLGFEGGKCLDVSPYDSVFVHIGVDQYNNGNNNMGIIDSLIGSIMRMAQPGSEVVSRLHLSLVLSYGSVTDKDVSVFPIKTPQEDINPAFAGLVPRQSYTMRGEKTRDDVRHYCPMLVAQWQHAVTRKDLVDTLSFETLKKLCGNLVIPTDRFIHEVTFKLWKAPKYGA >fgenesh2_kg.6__1154__AT5G11830.1 pep chromosome:v.1.0:6:4788727:4789251:-1 gene:fgenesh2_kg.6__1154__AT5G11830.1 transcript:fgenesh2_kg.6__1154__AT5G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLAIFCLVFQVIIQTGNSADSGDVDFSILIKNEMYNVDNPSVFYTCRSSKKDIGWHKSVPSSEFQWEFEVPQFGNGVMVHNCRFRSSAGTANVEIRTLSTTAMLCDGQTCKYAIRPNGIYFIGYELYSPYAIFGRYIELSRPAEKLVEPWKPWSPQQLKAMYRTKQMVHDSD >fgenesh2_kg.6__115__AT5G02040.1 pep chromosome:v.1.0:6:475963:477795:1 gene:fgenesh2_kg.6__115__AT5G02040.1 transcript:fgenesh2_kg.6__115__AT5G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7M773] MDWGNVTVEDLVDALREVDWSSPPRPPSEFFSRFTVPKSFAKWDSRLKCNLYYYRTNYFIMIVVILGLGFLTRPLAIFSALLTALSVAFLNDSFAGSFSEKATRTTRRFSPQLAAKMRPPLTPVIRGRPSSKRAIHVCGQPRWIFVLTCSLVSFALWYISCGLFTVSLALLIGLLATVLHASLRTPNLKARLNTFREEFRAVWRNYSEI >fgenesh2_kg.6__1160__AT5G11860.3 pep chromosome:v.1.0:6:4799698:4802491:-1 gene:fgenesh2_kg.6__1160__AT5G11860.3 transcript:fgenesh2_kg.6__1160__AT5G11860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor family protein [Source:UniProtKB/TrEMBL;Acc:D7M4D5] MEDDDSPSSRDLDAQNPYDRLLALDTSTVDSNCNLDSVSAIYLAMKSSKLECVDERGQDSLITSVCMDDEEDEELDEFDPYLFIKNLPNLSSVVPTFRPVLLPKQTRSCPPISLVLDLDETLVHSTLEPCGEVDFTFPVNFNEEEHMVYVRCRPHLKEFMERVSRLFEIIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRDSCVFFDGNYLKDLSVLGRDLSRVIIVDNSPQAFGFQVENGVPIESWFNDPSDKELLHLLPFLESLIGVEDVRPMIAKKFNLREKIDAAVAAPEYPVEAGDPFER >fgenesh2_kg.6__1162__AT5G11880.1 pep chromosome:v.1.0:6:4805492:4807817:-1 gene:fgenesh2_kg.6__1162__AT5G11880.1 transcript:fgenesh2_kg.6__1162__AT5G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATQFLSQPSSIRGTLNQYQLNQTSLSRIPILSLKSTLKPLKRLSVKSAVSQNSQNSTKTLTKESASSFDHCFKKSSDGFLYCEGTKVQDIMESVERRPFYLYSKPQITRNLEAYKEALEGVRSVIGYAIKANNNLKILEHLRSLGCGAVLVSGNELRLALRAGFDPTKCIFNGNGKLLEDLVLAAQEGVFVNVDSEFDLNNIVEASRISGKQVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDEVKAHPKELKLVGAHCHLGSTITKVDIFRDAAVLMIEYIDEIRRQGFEVSYLNIGGGLGIDYYHAGAVLPTPMDLINTVRELVLSRDLNLIIEPGRSLIANTCCFVNHVTGVKTNGTKNFIVIDGSMAELIRPSLYDAYQHIELVSPTPPEAEVSKFDVVGPVCESADFLGKDRELPTPPQGACLVVHDAGAYCMSMASTYNLKMRPPEYWVEEDGSITKIRHAETFDDHLRFFEGL >fgenesh2_kg.6__1163__AT5G11890.1 pep chromosome:v.1.0:6:4812828:4814111:1 gene:fgenesh2_kg.6__1163__AT5G11890.1 transcript:fgenesh2_kg.6__1163__AT5G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVFPASKPPTATNGAPPGGSIPPPPAPATVTSNGTANGMANQKPQVYIPANRPIYRPQPYSRRHHHQSRPSCRRICCCCCFWSILIILILALMTAIAATAMYVIYHPRPPSFSVPSLRISRVNLTTASDSSVSHLSSFFNFTLLSENPNQHLTFSYDPFTVTVKSAKSGTMLGNGTVPAFFSDNGNKTSFHGVIATSTTARELDPDEAKHLKSDLTRARVVFEIQMRTKVKMIMGKLKSEGVEIKVTCEGFEGTIPKGKTPIVATSKKSKCKSDLSVKVWKWSF >fgenesh2_kg.6__1164__AT5G11900.1 pep chromosome:v.1.0:6:4815270:4817627:1 gene:fgenesh2_kg.6__1164__AT5G11900.1 transcript:fgenesh2_kg.6__1164__AT5G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation machinery-associated protein 22 [Source:UniProtKB/TrEMBL;Acc:D7M4D9] MAEKLEPVKVLYCGVCSLPAEYCEFGPDFARCKPWLVENAPDLYPDLLKEANEKAADNVSDKLQSVGISSGGADGAPSSAQTAGTSKKEEVKRLPGGKVKKKDRQEVIIEKVVRNKRKCITIVKGLELFGIKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDIIYDIVEFITDTWPDVPERSIFFIEDGKKVQAG >fgenesh2_kg.6__1169__AT5G11930.1 pep chromosome:v.1.0:6:4826315:4826995:-1 gene:fgenesh2_kg.6__1169__AT5G11930.1 transcript:fgenesh2_kg.6__1169__AT5G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMRGLRDCSNDAVTLDLTVLPPPPAPPTVSSSTASTSLSFDEEETSESKIGRLISEHPVIIFTRSSSCCMCHVMKKLLSTVGVHPTVIEIDGGEIAYLAVEAAPVLFIGGACVGGFESLVALHLSGQLIPRLVEVGALLA >fgenesh2_kg.6__1171__AT5G11950.1 pep chromosome:v.1.0:6:4854267:4857494:1 gene:fgenesh2_kg.6__1171__AT5G11950.1 transcript:fgenesh2_kg.6__1171__AT5G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:D7M4E5] MEDNKQRSRFRKICVFCGSHSGHREVFSDAAIELGNELVKRKIDLVYGGGSVGLMGLISRRVYEGGFHVLGIIPKALMPIEISGETVGDVRVVADMHERKAAMAQEAEAFIALPGGYGTMEELLEMITWSQLGIHKKTVGLLNVDGYYNNLLALFDTGVEEGFIKPGARNIVVSAPTAKELMEKMEEYTPSHKHVASHESWKVEELGDYPGQQNKPQ >fgenesh2_kg.6__1173__AT5G11970.1 pep chromosome:v.1.0:6:4864924:4865604:-1 gene:fgenesh2_kg.6__1173__AT5G11970.1 transcript:fgenesh2_kg.6__1173__AT5G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFGRQRPYGDGGMQIQPYHGGASGTGDFRSYSASYGTRDNNIYDVKKEKSIARSKSWGITDPELQRKKRVASYKMYGVEGKVKGSFRNSFRWLKQRYTQVVYGWW >fgenesh2_kg.6__1174__AT5G11980.1 pep chromosome:v.1.0:6:4873021:4876467:-1 gene:fgenesh2_kg.6__1174__AT5G11980.1 transcript:fgenesh2_kg.6__1174__AT5G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVGEMSPPEATASLLSLASATQQPYVSELLSFTLDRLHKEPELLRVDAERIQRQMQEVAVGNYRAFITAADALLAIRQEVSSIDKHLESLIGEVPKLTSGCTEFIDSAENILEKRKMNQSLLANHSTLLDLLEIPQLMDTCVRNGNFDEALDLEAFVSKLATMHPKLPVIQALAAEVRQTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFGEYEMRLQFLRCREAWLTGILEDLDQKNAYEYLKGMINCHRMHLFDVVNQYRAIFADDTSGSEENYDGGLLFSWAMHQITSHLKTLKIMLPKITEGGSLSNILDQCMYCAMGLGWVGLDFRGLLPPLFEEAVLNLFSKNMSTAVENFQLVLDSHRWVPLPSVGFPSSGINEDSKDDVTPPSYLMEHPPLAVFINGVSAALNELRPCAPLSLKNVVAHELIKGLQAVSDSLLRYNTTRMLRLSESNLFLSLCRAFVEVVFPHCATCFGRCYPGGATIVMDAKSPYEGLGRILAASSSQEPSNKSPKVISTDTKDASENGVASQPEEKQAESPNEKEDNSPIPLQTPETTPES >fgenesh2_kg.6__1178__AT5G12020.1 pep chromosome:v.1.0:6:4889167:4889851:-1 gene:fgenesh2_kg.6__1178__AT5G12020.1 transcript:fgenesh2_kg.6__1178__AT5G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:17.6 kDa class II heat shock protein [Source:UniProtKB/TrEMBL;Acc:D7M4F2] MDLGRFPIISILEDMLEVPEDHNEKTRNNPSRVYMRDAKAMAATPADVIEHPNAYAFVVDMPGIKGDEIKVQVENDNVLVVSGERQRENKENEGVKYVRMERRMGKFMRKFQLPENADLDKISAVCHDGVLKVTVQKLPPPEPKKPKTIQVQVA >fgenesh2_kg.6__1179__AT5G12030.1 pep chromosome:v.1.0:6:4892138:4892620:-1 gene:fgenesh2_kg.6__1179__AT5G12030.1 transcript:fgenesh2_kg.6__1179__AT5G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-HSP17.6A [Source:UniProtKB/TrEMBL;Acc:D7M4F3] MDFGRFPIFSILEDMLEVPEEQTEKTRNNPSRAYMRDAKAMAATPADVIEHPDAYVFVVDMPGIKGDEIQVQIESENVLVVSGKRQRESKENEGVKFVRMERRMGKFMRKFQLPENADLDKISAACHDGVLKVTVQKLPPPEPKKPKTIQVQVA >fgenesh2_kg.6__117__AT5G02050.1 pep chromosome:v.1.0:6:478055:479357:-1 gene:fgenesh2_kg.6__117__AT5G02050.1 transcript:fgenesh2_kg.6__117__AT5G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7M774] MALSTVFRRASSTVATLAFRAARSPVSFRSGAVSAERLILGSQIMRGSVSSFSFSRFSTESAITKTTADEKLVSVIESEIECAVEEEAPHDTSILEEKPEGFPFEIIDTPGERTVLLRRKFEDETIQVVVDSVASYDDEEDEAEPNDEGDDEDQESVGKIRVPMVVSVEKGDGVCLEFGVSAYPDEIVIDSLSIKQPQESENELAYEGPDFDDLDENLQKAFHRYLEIRGIKPSFTTFLADYVANKDSREYLQWLKDLKSFVEK >fgenesh2_kg.6__1180__AT5G12040.1 pep chromosome:v.1.0:6:4892989:4895950:1 gene:fgenesh2_kg.6__1180__AT5G12040.1 transcript:fgenesh2_kg.6__1180__AT5G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7M4F4] MKSAISSSLFFNSKNLLNPNPLSRFISLKSNFLPKLSPRSITKSPSSSTSALRSISSSMASSFQPEQARVPSALPLPAPPLTKFNIGLCQLSVTSDKKRNISHAKKAIEEAASKGAKLVLLPEIWNSPYSNDSFPVYAEDIDAGGDASPSTAMLSEVSKRLRITIIGGSIPERVGDRLYNTCCVFGSDGELKAKHRKIHLFDIDIPGKITFMESKTLTAGETPTIVDTDVGRIGIGICYDIRFQELAMIYAARGAHLLCYPGAFNMTTGPLHWELLQRARATDNQLYVATCSPARGSGAGYTAWGHSTLVGPFGEVLATTEHEEDIIIAEIDYSVLEQRRTSLPLNKQRRGDLYQLVDVQRLNSK >fgenesh2_kg.6__1182__AT5G12050.1 pep chromosome:v.1.0:6:4898110:4899476:1 gene:fgenesh2_kg.6__1182__AT5G12050.1 transcript:fgenesh2_kg.6__1182__AT5G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSKRNPSFSSTLLDEIYNSIDPKTHKTQSFVGSVNTTTKKQSIVTRSVPDRKIHRDRFFGSVSSSSDSNSSIFSSSDTELTHIKKTTSSRPLCFGPSKTKPRKTEDKTLFHQNRATRVYDDYNYASDVPKCTRNDENWENPRNRRSVKSSGNQKKPKTPASPAGRIVNFINSLFSNNSKQSNAVKSYPRKTSYDDSAFVRKTSNDYHSSTTTCSSASSFSRSCMNKGYDKSSDRIKRSVRFSPVNVIVPESFTSKEEDYFNNGNTRKSVKKNVEDGGRKSVEEIAREFLRDYHKNHENSLVKNNGFEDYEDDEEEDDDDDDDDVASDSSSDLFELDLVGSHHHNVYGDELPVYETTFAGLIL >fgenesh2_kg.6__1186__AT5G12080.1 pep chromosome:v.1.0:6:4906674:4910427:-1 gene:fgenesh2_kg.6__1186__AT5G12080.1 transcript:fgenesh2_kg.6__1186__AT5G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M4F8] MAEQKSSNGGGGEVVINVSGEEASKRSMEMASPESEKGVPISKSPSPEISKLVGSPNKPPRAPNRNNEGLTQRKSFARSVYSKPKSRFVDPSCPVDTTVLEEEVREQLGTGFSFSRSSPNNKSNRSVGSTAPLTPSKAVVEKDEDEEIYKKVKLNKEMRSKISTLALIESAFFVVILSALVASLTINVLKNHTIWGLEVWKWCVLVMVIFSGMLVTNWFMRLVVFLIETNFLLRRKVLYFVHGLKKSVQVFIWLCLILVAWILLFNRDVKRSQAATKILNVITRTLISVLTGSFLWLVKTLLLKILAANFNVNNFFDRIQDSVFHQYVLQTLSGPPLIEEAERVGREPSTGHLSFASVVKKGTVKEKKVIDMGKVHKMKREKVSAWTMRVLVEAVRTSGLSTISDTLDETTYGEGKEQADREITSEMEALAAAYHVFRNVAQPFFNYIEEEDLLRFMIKEEVDLVFPLFDGAAETGKITRKAFTEWVVKVYTSRRALAHSLNDTKTAVKQLNKLVTAILVVITVVIWLLLLELATTKVLLFFSTQLVALAFIIGSTCKNLFESIVFVFVMHPYDVGDRCVVDGVEMLVEEMNLLTTVFLKLNNEKVYYPNAVLATKPISNYFRSPDMGETVEFSISFSTPVSKIAHLKERIAEYLEQNPQHWAPVHTVVVKEIENMNKLKMALYSDHTITFQENRERNLRRTELVLNIKRILEDLHIDYTLLPQEVNLTKKN >fgenesh2_kg.6__1189__AT5G12120.1 pep chromosome:v.1.0:6:4919092:4921685:-1 gene:fgenesh2_kg.6__1189__AT5G12120.1 transcript:fgenesh2_kg.6__1189__AT5G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASKIKSRDKKVMNDSQKTPSKASGSMGASSGVVVGAYNPLLGTFQTVESLSATGSSSLHNNGRFRHIDESDSTGADCDSVSNNGSWSGDSEDHKEKVPSTAAKQEIIPGADNDKRDKMRLKNERKHQRQKEKRAQELHERCCQYLMSRKLEVHAQQIIAMGIAHERATYALMLNEGKIEESINWLFDDGGAKVADKKLDPTSGNLKLDISQELGRILELETKYKCTKQDVERAVVTAEGDIEKAEETLRRQKQDQSTASRKVEDISDNTSVNSSKVPSVLTSQNTVAQLQPNSGMYPAGSEEALDRKNLGYPRGSSYINGESENQSVNSLERIHMKLQWMKLQQNATVEENKRIPYQQTPLSRSTEETHYVAAQGDQYKRLQQQDMREPVMVMQQQQQQQRSQSANTNVLPVSTMNASFTGAAAAAGSGWYPANRSEAGQSNGYLPSRSLPPNDLNSNLMYQQLQYQQYQGQVNNNSHRMAGASAPLAVAPAASLGLFSGFGSLAGSSSSGLDWNADGSLGHLDYNNIDWSLDKGLACPRPSQQYVAASSPYEAHMNGRTRTLANGNGMGMAMGVQEAALVGNGREWTSPFEGKDLFSLSRQYVPPSL >fgenesh2_kg.6__118__AT5G02060.1 pep chromosome:v.1.0:6:479643:480733:1 gene:fgenesh2_kg.6__118__AT5G02060.1 transcript:fgenesh2_kg.6__118__AT5G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:D7M775] MKKMIGSPGTMSGLILRLGQCATAAASIGVMVSSYDFSNYTAFCFLVASMGLQLIWSFGLACLDVYAIRRKSDLQSPILLSLFTVGDWVTALLALAAACSSAGVTVLFTKDTEFCKQQPALSCDRFQISVGLSFFNWFLAAISSHTMFWILI >fgenesh2_kg.6__1190__AT5G12140.1 pep chromosome:v.1.0:6:4925889:4926816:-1 gene:fgenesh2_kg.6__1190__AT5G12140.1 transcript:fgenesh2_kg.6__1190__AT5G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:D7M4G3] MADQQGGTIVGGVRDIDANANDLQVESLARFAVDEHNKNENVSLEYKRLLGAKTQVVAGTMHHLTVEVADGETKKVYEAKVLEKAWENLKQLESFNHLHDV >fgenesh2_kg.6__1192__AT5G12150.1 pep chromosome:v.1.0:6:4927103:4933501:-1 gene:fgenesh2_kg.6__1192__AT5G12150.1 transcript:fgenesh2_kg.6__1192__AT5G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLAAMQRPQAGALNTVYKSGPLFISSKGLGWTSWKKRWFILTRTSLVFFKNDPSALPQKGGEVNLTLGGIDLNSSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETLDDLYEWKAALEQALAQAPNAALVIGQNGIFRTEANNTIEASFNSWRDQRPLKSSVVGRPILLALEEIDGSPSFLEKALQFLETYGTKVEGILRQSADVEEVERRVQEYEQGKTEFSPEEDPHVVGDCVKHVLRQLPSSPVPASCCTALLEAYKIDHNEARVNSLRSAIIETFPEPNRRLLLRILKMMHTVTSHSSENRMTSSAVAACMSPLLLRPLLAGECDLEGFDSLGDNSAQLLAAANAANNAQAIVTALLEDYGNMINDKGLERCSTSTDSHIGDSGPENSSDEEDIEVKHPDLHTLNIEEGETDDDNDVLLSRKPSESSDYAGSDLYDYKGFGVEDSDAESPRDIHCSVESTDFPTRVKKHMEEPIKDIEVSSVSPTENCYQSGREAIPSVTPSTPPTALKYTTSAEKPASKTTGSSTVNSKRSSSWGRGNGKKTPAKGSFDSSGNDELLIQRLEHMKDELRQRIAKEAKGNAALQASLERRKQALHERRLALEQDVGRLQEQLQAERDLRSALEVGLSISCGQFSSQAADSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHLSSLPDAQSHHQFLHNHNTQLKSFQQDFDSILAFVNHERNQRTDETSLRADWRNGRGNNRQVPGSPSLNAASLGIPMEEFSPVMDYGRHHHPPAASAALMELTTRLDFFKERRSQLMEQIQNLDLNYGSSSSSLHRSSSPPWN >fgenesh2_kg.6__1193__AT5G12170.2 pep chromosome:v.1.0:6:4935040:4938787:1 gene:fgenesh2_kg.6__1193__AT5G12170.2 transcript:fgenesh2_kg.6__1193__AT5G12170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSRQFTTGLTASITLVESHSANRPQSISLIRRNHTDPRRLPSILPSSRRWIIEAVSPARSWDGSDYGAEAEIKKPGASGYAIGDNEIEGSSNVHVIDGEHVKTAEIVIWAAATAAFGVGNRVMYKLALVPLKEYPFFLAQLSTFGYVAVYYTILYFRYRAGTVTDAMLSVPKSPFLIVGILEALAAAAGMAAAANLSGPSTTVLSQTFLVWQIFFSIIFLGRRYSVKQILGCTLVALGVIVSVASGSGAAHSLKEAGVLWILLMVLSFLLQGAGTVLKEVIFIDSQKRLKGASLDLFIVNSYGSAFQAICIALLLPFLSKLWGIPFNQLGTYLKDGAVCFLNHGTITKGCDGAPFLPILFVIMNIGYNIALLRLLKISSAVVSCLASTVSVPIAVFLFTMPLPYLGVASSLPKGFMGGTIILVLGMILYSWTPQGANTSHTNIVIPSPPPT >fgenesh2_kg.6__1194__AT5G12180.1 pep chromosome:v.1.0:6:4940745:4943401:1 gene:fgenesh2_kg.6__1194__AT5G12180.1 transcript:fgenesh2_kg.6__1194__AT5G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 17 [Source:UniProtKB/TrEMBL;Acc:D7M4G8] MGNCCSHGRDSADNGDGHTLENGATASNAANSTGPTAEASVPQSKHAPPSPPPATKQGPIGPVLGRPMEDVKSSYSLGKELGRGQFGVTHLCTQKATGHQFACKTIAKRKLVNKEDIEDVRREVQIMHHLTGQPNIVELKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYSERAAASLLRTIVQIVHTCHSMGVIHRDLKPENFLLLNKDENSPLKATDFGLSVFYKPGEVFKDIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYILLCGVPPFWAESENGIFNAILRGHVDFSSDPWPSISPQAKDLVKKMLNSDPKQRLTAAQVLNHPWIKEDGEAPDVPLDNAVMSRLKQFKAMNNFKKVALRVIAGCLSEEEIMGLKEMFKGMDTDSSGTITLEELRQGLAKQGTRLSEYEVQQLMEAADADGNGTIDYGEFIAATMHINRLDREEHLYSAFQHFDKDNSGYITMEELEQALREFGMNDGRDIKEIISEVDGDNDGRINYDEFVAMMRKGNPDPIPKKRRELSFK >fgenesh2_kg.6__1196__AT5G12200.1 pep chromosome:v.1.0:6:4950806:4954062:-1 gene:fgenesh2_kg.6__1196__AT5G12200.1 transcript:fgenesh2_kg.6__1196__AT5G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydropyrimidinase [Source:UniProtKB/TrEMBL;Acc:D7M4H1] MALDAIFFIVSLFLLFPSPSVSDSTTQFCSAGGEYGVGSCGVSSTRILIKGGIVVNAHHQELADVYVEDGIIVAVQPNIKVGDEVTVLDATGKFVMPGGIDPHTHLAMEFMGTETIDDFFSGQAAALAGGTTMHIDFVIPINGNLVAGFEAYENKSRESCMDYGFHMAITKWDEGVSRDMEILVKEKGINSFKFFLAYKGSLMVTDDLLLEGLKRCKSLGALAMVHAENGDAVFEGQKRMIELGITGPEGHALSRPPVLEGEATARAIRLARFVNTPLYVVHVMSVDAMDEIAKARKSGQKVIGEPVVSGLILDDHWLWDPDFTIASKYVMSPPIRPVGHGKALQDALSTGILQLVGTDHCTFNSTQKALGLDDFRKIPNGVNGLEERMHLIWDTMVGSGQISATDYVRITSTESARIFNIYPRKGAILAGSDADIIILNPNSSYEISSKSHHSRSDTNVYEGRRGKGKVEVTIAGGRIVWENEELKVVPGSGKYIEMPPFSYLFDGIEKSDANYLSSLRAPVKRVRTEAT >fgenesh2_kg.6__1197__AT5G12210.1 pep chromosome:v.1.0:6:4954189:4957051:1 gene:fgenesh2_kg.6__1197__AT5G12210.1 transcript:fgenesh2_kg.6__1197__AT5G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta subunit of rab geranylgeranyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M4H2] MGQLVADKHVRYILMAEKKKESFESVVMDHLRMNGAYWGLTTLDLLDKLGCVSEDEVVSWLMTCQHESGGFAGNTGHDPHILYTLSAVQILALFDKINILDIGKVSSYVAKLQNEDGSFSGDMWGEIDTRFSYIAICCLSILKCLDKINVEKAVEYIVSCKNLDGGFGCTPGAESHAGQIFCCVGALAITGSLHHVDKDLLGWWLCERQLKGGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKAKLVKFILDCQDLENGGISDRPDDAVDIFHTYFGVAGLSLLEYPGVKVIDPAYALPVDVVNRIIFTK >fgenesh2_kg.6__1199__AT5G12220.1 pep chromosome:v.1.0:6:4957336:4960346:1 gene:fgenesh2_kg.6__1199__AT5G12220.1 transcript:fgenesh2_kg.6__1199__AT5G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSGIEADIESYFGEQDQQKSSPDGCKQVPWLSWEEWDSVRESLFSSSLDRIASALERVATWRSRGSLPAPVDVTCSLIEIQLKDGFIPREEQSADALYSEHLLQMLYCMGILRLVNCVIEKTRRREDVSIADAARAIGIPRKLIDLRHEGSHRELPALSVLRDAADEALEWLKSYYWEPQKYQIPFKRDGTASIRREVKSKLRKLAFSLQLKHNPQFDSPLVKEKCSNKRIRKIVSSLVELYPSFSAEISSVLLEFLLKALDSSKSTDLQNKPGKDFRGFLEEWEPVIMELSNREPELLLTLLKEVLDMIQNNERRRYETERPAEEVSQVEQVPLLFAWLVSLLNGSKHFQRNSSVEVKPPSIFLMELIRRCLLLGALGYELVLKSAFRLAEIVGGRVLKEKLTKLPLMHKGSTIVPLEQSSTLVTAPTTLLEQEKDLGNAGKRLEFVKLQLSKKKGIETDKTGNRWRKAKTWSPCPIGMLPRIIGSSGRLPLLDYHNAQSISKQAQGNNNAKRGTECNRKQLEKSPCKRARKSAEDSDTNDVTLEAYEEEAEMEIEHAYEETEAVAEENLMWNDKEESRSCLMIDGEWKRVNEGELSGMASKITICV >fgenesh2_kg.6__119__AT5G02070.1 pep chromosome:v.1.0:6:480846:483293:-1 gene:fgenesh2_kg.6__119__AT5G02070.1 transcript:fgenesh2_kg.6__119__AT5G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNRSYYTVLIPTLLTVWLACAGHSCARHAKAKPPMAGPPRCPNCGPMVVPYPLSTGPNCGDQAYRINCVGGKLYFGALHGSSYVITSINSVTQRIVLSPPGLASSVSCISADVSKQGLELDPHLPFSITSSNTILLLNCSQAMLQAPIDCSPTSLCYSYIKNNASPCSKAPLCCTFRTDGSQTAYTIRINSGGCLAYQSFVGLNPNKEVPPPGIKWPDTGLELQWALPKEPVCKTDVDCNLLLGKSKCLPDPTSLGLKRCSCKRGLEWDPVNAICGKCRHGKHCKKKKKTVVFAGVAVAVVGATLAIAVAVIATKHSHQKVKKDLHKNIVKEREEMLSANSTGKSSRIFTGREITKATNNFSKDNLIGTGGFGEVFKAVLEDGTITAIKRAKLNNTKGTDQILNEVRILCQVNHRSLVRLLGCCVDLELPLLIYEFIPNGTLFEHLHGNPDHTWKPLTWRRRLQIAYQTAEGLAYLHSAAQPPIYHRDVKSSNILLDDKLNAKVSDFGLSRLVDLTETANNESHIFTGAQGTLGYLDPEYYRNFQLTDKSDVYSFGVVLLEMVTSKKAIDFSREEEDVNLVMYINKMMDQERLIECIDPLLKKTASKLDMQTMQQLGNLASACLNERRQNRPSMKEVADEIEYIINILSQEVTET >fgenesh2_kg.6__1200__AT5G12230.1 pep chromosome:v.1.0:6:4960412:4963522:-1 gene:fgenesh2_kg.6__1200__AT5G12230.1 transcript:fgenesh2_kg.6__1200__AT5G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPERLKFGGPKELCGAADLISQFKLVQHHEFFCKKSLPVSLSDSHYLHNVVGDTEIRKGEGMQLDQLIQSISQSRETNVRIQPFDIDELKESFQLNDMTPVELPPAEKGAPTIPSKSKSESKDKDRKHKKHRDRDKDKDREHKKHKHKHKDRSKDKDKDKDRDRKKDKNGHHDSGDHSKKHHDKKRKHDGDEDLNDVQRHKKNKHKSSKLDEMGAIRVAG >fgenesh2_kg.6__1203__AT5G12950.1 pep chromosome:v.1.0:6:4968562:4972562:-1 gene:fgenesh2_kg.6__1203__AT5G12950.1 transcript:fgenesh2_kg.6__1203__AT5G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGVIITIALLLYTSFLLVCVAKECTDIPTKLSSHTLNSELLQSHNKTLKTELFSHYHLTPTDDAAWSTLLPRKMLKEETDEFAWTMLYRKFKDSNSVGNFLKDVSLHDVRLDPNSFHWRAQQTNLEYLLMLDVDGLAYSFRKVAGLDASGVPYGGWEKPDSELRGHFVGHYLSATAHMWASTHNDTLKAKMSALVSALAECQQKSGTGYLSAFPSSFFDRFEAITHVWAPYYTIHKILAGLVDQYKLAGNIQALKMATGMADYFYGRVRNVITKYSVERHYQSLNEETGGMNDVLYQLYSITRDSKYLFLAHLFDKPCFLGVLAIQADDISGFHANTHIPIVVGSQQRYEITGDLLHKEISMFFMDIINASHSYATGGTSVREFWQDPKRMATTLQTENEESCTTYNMLKVSRNLFRWTKEVSYADYYERALTNGVLGIQRGTQPGRMIYMLPLGQGVSKAVTYHGWGTPYDSFWCCYGTGIESFSKLGDSIYFQEDGASPALYVTQYISSSLDWKSAGLLLSQKVNPVVSWDPYMRVTFTLSSSKVGVAKKSTLNLRIPVWTNSIGAKVSLNGKPLKVPTSGNFLSIKQNWKSGDQVTMELPMSIRTEAIKDDRPEYASLQAILYGPYLLAGHTSRDWSITTQAKAGNWITPIPETYNSHLVTLSQQSGNISYVLSNTNQTITMRVSPELGTQDAVAATFRLVTDNSKPQISGLEALIGSLVMLEPFDFPGMIVKQTTDSSLTVQASSPSDKGASSFRLVSGVDGKPGSVSLRLESNNGCFVYSDQTLKQGTKLKLECGPVATDEKFKQAASFKLNIGMNQYNPMSFVMSGTQRNFVLSPLFSLRDETYNVYFSVQT >fgenesh2_kg.6__1207__AT5G12290.1 pep chromosome:v.1.0:6:4985643:4989634:-1 gene:fgenesh2_kg.6__1207__AT5G12290.1 transcript:fgenesh2_kg.6__1207__AT5G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPPANESSPSTALSNVGELVPFYSSYLWNRLASLLPTSNSIFLGKISNLYRQTVSRKRSISFPLPLPSEFPNSSTITSNVSADTARIHGVLEEIMADVLSNLHDIQKSLDFWRSRAEGSNARKAYFMIFERGPTAFVNESTKFVSKSLSEDSAMQHLCQSSSSHMTERMRVLVELRSALASFLAQLYVELDKRGEDLVKNPEKSLPSLLAVINGLFSNLEGSFSHLHAERECDSSVDGSYPMPLVFDRLPEVNEEGSQWTDCELTDAINLAHKNLEKLNSYLSVMVGKHRKPRRMTLYWVRYTCGAVGLSVFSIWLLRHSSLMGSSDIENWVHDAKEATMSFFSDHVEQPLLSIRDELFDTFRKRHKGVMETEEVQLTQDSLHRMLRNFCEQATREKVPDNASDQEMLEVVMNRYEKELVHPIHNLLSGELARGLLIQVQKLKLDIETAMLELDQILRANEINFAILAALPAFFLSIVMLTVLRTWLKKDSKAQGRGRIARIHRRLLVVEIEKRIMQYQSYIEQGRDKDAETVFGLLIYSLERLYRVVEKPARATDEWDLVKQDLIELGRPQQQTSYKLTVTQRLVTVYDCLLPTLKRQ >fgenesh2_kg.6__1208__AT5G12300.1 pep chromosome:v.1.0:6:4992900:4994264:-1 gene:fgenesh2_kg.6__1208__AT5G12300.1 transcript:fgenesh2_kg.6__1208__AT5G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M4I2] MESLKQASSGFCYHQGFSGVLQVFVHNARNIHNICIYDNQDVYAKFSLTYNPDDTISTRIIHRAGKNPEFNQKLMIDVTQIDAHAAVLKCEIWMMSRARHYMEDQLLGFALVPISDIIGQDSVTQDYSLSSTDLFHSPAGTVKLTLSIVNPSSTSSSNPKINTTSISSEVVLLDPQVSETVDYTRIEFPDINVVNENKQMVTEYFNESGTASFLCLGSTHGPETDISMVCSEEKELYGNGSFMASSSTTTSLSDDKNTADSNEKENREITEVSRRRNKEVEEEEEEKKMNEETTMQKQIAEMYMRSMQQFTESLAKMKLPMDLHNKPHEEDHSNNNNTATPIQNQNNNNSNGMEKKKEGSRVFYGSRAFF >fgenesh2_kg.6__1209__AT5G12310.1 pep chromosome:v.1.0:6:4994684:4996994:-1 gene:fgenesh2_kg.6__1209__AT5G12310.1 transcript:fgenesh2_kg.6__1209__AT5G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M4I3] MTSSIQIVDEQQVDVLSLHQDQDEKKTQQVFNELSFGNHGGCCAICLDTIPLQETAMVKGCEHAYCVTCILRWASYKEKPTCPQCKLPFDFLNVHRALDGSVEDFMFEESVCLLLRASWFQPLEAVERVSDNDNFNYDFDIPPEYEDEDDDDLDEFYMQGSSLRLGNRRWGDNGFVRAGRQEARPVQHKNRGGQASGSEPTSSSSREPKDKTSSAITGRRAKRAMKREAANKAAEAVAAAKHEAHLVRLGRK >fgenesh2_kg.6__1210__AT5G12320.1 pep chromosome:v.1.0:6:4997246:4998529:1 gene:fgenesh2_kg.6__1210__AT5G12320.1 transcript:fgenesh2_kg.6__1210__AT5G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7M4I4] MGAETNVVAEKVEDLLEAARYNDIDDLRTLASDGVSLDSRDSQGRTALHMAAANGHMTIVEYLISQGVDINPLNDENNAPLHWACLNGRVEVVKRLILAGASLSLLNRYERTPMDEAIGAEKMEIIDAINTTVAQMELENTSVA >fgenesh2_kg.6__1213__AT5G12330.3 pep chromosome:v.1.0:6:5004342:5005753:-1 gene:fgenesh2_kg.6__1213__AT5G12330.3 transcript:fgenesh2_kg.6__1213__AT5G12330.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M4I5] MGMVGLRDVFVVAPAYHHQNAGVISGSEHMNSNAAAAALGVGVIPLLTAGPPQQNVEDSDINFLGNNRRWQNNNNTHETQYLHFKSTNQTTVGTSSNNSGSGSGVSGTATCQDCGNQAKKECKQRRCRTCCKSRGFDCSTHVKSTWVSAARRRERQVMPTGANPTAGSSLSTSSGTKKPRIVGSQQQQQQATSHTSTSNTPPQSFETSSSRQGSFTFSL >fgenesh2_kg.6__1216__AT5G12360.1 pep chromosome:v.1.0:6:5018133:5020008:-1 gene:fgenesh2_kg.6__1216__AT5G12360.1 transcript:fgenesh2_kg.6__1216__AT5G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTIARGQMIFQDENALAAHGKKAVAAGKGKSSLPAPKKNGTGFGNRKALHDITNKSKLQPQASSKTKKNVEGVDFDIAKEGFLHDHSKCIEEQQQNQWDSYFSEHIILHGHDTNINEGVPEYNNSKEIDDENSHSWDELKEIPTEEFSDLLECSTQWRSPPDSPIHHHSSLPSSPLPWHFENIEFKLKEDEDTT >fgenesh2_kg.6__1219__AT5G12370.1 pep chromosome:v.1.0:6:5020242:5026410:-1 gene:fgenesh2_kg.6__1219__AT5G12370.1 transcript:fgenesh2_kg.6__1219__AT5G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGIRARGPRSSSVNSVPLILDIEDFKGDFSFDALFGNLVNDLLPSFLDEEADSGDGHGNIAGVDGLTNGHLRGQSAPLSSAPFFPEVDGLLSLFKDACKELVDLRKQVDGRLNTLKKEVSTQDSKHRKTLTEIEKGVDGLFESFARLDGRISSVGQTAAKIGDHLQSADAQRETASQTIDLIKYLMEFNGSPGDLMELSALFSDDSRVAEAASIAQKLRSFAEEDIGRQGAGTAAGNATPGRGLEVAVANLQDYCNELENRLLSRFDAASQRRDLSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDIRLVLGDHGSQPSPSNVARGLSALYKEITDTVRKEAATITAVFPTPNEVMAILVQRVLEQRVTGILDKILAKPSLMSPPPVQEGGLLLYLRMLAVAYERTQELAKDLRAVGCGDLDVEDLTESLFSSHKDEYPEHEQASLKQLYQAKMEELRAESQQVSESSGTIGRSKGASISSSLQQISVTVVTEFVRWNEEAITRCTLFSSQPATLAANVKAIFTCLLDQVSIYITEGLERARDSLSEAAALRERFVLGRRVAAAAASAAEAAAAAGESSFKSFMVAVQRCGSSVAIVQQYFANTISRLLLPVDGAHAASCEEMSTALSKAEAAAYKGLQQCIETVMAEVDRLLSSEQKSTDYRSPDDGIASDHRPTNACIRVVAYLSRVLESAFTALEGLNKQAFLTELGNRLEKLLLTHWQKFTFNPSGGLRLKRDLNEYVGFVKSFGAPSVDEKFELLGIIANVFIVAPDSLPTLFEGSPSIRKDAQRFIQLREDYKSAKLATKLSSLWPSLS >fgenesh2_kg.6__1220__AT5G12380.1 pep chromosome:v.1.0:6:5026984:5028448:1 gene:fgenesh2_kg.6__1220__AT5G12380.1 transcript:fgenesh2_kg.6__1220__AT5G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:D7M4J0] MATIVSPSHFSPVEDAENIKAACQGWGTNEKAIISILGHRNLFQRKLIRQAYQEIYHEDLIHQLKSELSGNFERAICLWVLDPPERDAFLANLALQKPIPDYKVLVEIACMRSPEDLLAARRAYRCLYKRSLEEDLASRTIGDIRRLLVAMVSAYKYDGEEIDEMLAQSEAAILHDEILGKAVDHEETIRVLSTRSSMQLSAIFNRYKDIYGRSITKDLLNHPTNEYLSALRAAIRCIKNPIRYHAKVLRNSINTVGTDEDALNRVIVTRAEKDLKNITELYHKRNNVSLDQAIAKETSGDYKAFLLALLGHGKI >fgenesh2_kg.6__1221__AT5G12400.1 pep chromosome:v.1.0:6:5032122:5038811:1 gene:fgenesh2_kg.6__1221__AT5G12400.1 transcript:fgenesh2_kg.6__1221__AT5G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVGKVVRKEIQGIGFCSGTVRSRDSSGFFEIVYENGVTEISELDEVVALVIGDGKSQENSVPVKKRVGRKPKKRSRVAKMVEIKRESSELDEVHVDLNDGVTEISGVSDVNLRGNVDLNCGPVETLGRTCDSVTDLNMTVPQSETGFDLTTGLDWNSNEGLGLINVNIDYEDNCSDKRRWDIDLNMDASCDLDNAAVCDFNGQKGEGGFDLNVEVDVENSKDGEYIQMNGNDIVQEINMQDGNVVHDNIETGEYKEVHVAEVSSAQLLEEIQKQNIVSPQDLNNPNSNGVEQDHELPQHDAKTVDESLSDRGNSDEYGSGRRKRRKPSDNPKFISEPRLRRSARRRLARSPVSSTVTACLVDEVSPSPSISSLTEEKTWVDGKAENISALPPKPQLPPSSHILDLDGLPVLDVFTTYSCLRSFSTLLFLSPFELKDFVEALRCMSPSLLFDSIHVSVLQILRKHLKQLAAEGELSASACLRSLDWDTLDVVTYPLFVVEYLLFSGYKDNPGLDLTRLNFFRNEYFRQPMNLKIEILSRLCDDMTDAEVVRSELNKRSFAAEFETELDRKTNTEVRRRKRTMMELADDLSFNNEVIDTSFDRNSDDCCFCKMDGSLLCCDGCPAAYHSKCVGLASHLLPEGDWYCPECAFDRRVPGLKPEKQIRGAEFIEIDPHGRKYYSSCGYLLVIDTDGTGSFNYYHVNDVNLVLEQLKSCSSSYTGVVRAIKKHWDITVGPIRTISGVNSQMSVCLDKSVKEMIPSIDGFKAPLPASEKQSTSGAKKKLNKASSNGWSHIHGPRTRRKILDSATGLDILNMSSEGSAETVQNGSDVQRLHEPASSSILDIMKEPNMNSHNLARINTRKGIKPNVQSETGYRNHYIFAQMTRSVYEEMIRKSPIRTNDMRSDEEIASTQVKTILMKTTKFQWRNIQSLYLDAWKEKCGWCHSCKSSSEDAGSEINCLFNTSLGALRGLSESEVANIQSIEKNSHLLAIICQILSMESRLQGLLVGPWLNPQHSGIWREHILKASNISGLKHLLVELEASLHHRVLSLEWLSHVDAAVVMGSAIHILIASTRSWSKTAIGKRRGTLLESGVNPTAKKNGGLTMCWWRGGQLSRRLFNWKVLPRSLISKAARQGGSMSIPGILYPENSESAKRSRRVAWEAAVESSTTSEQLGLQVRTLQSYIKWDDIENSHLLPTLDKESRKSARLFKKAIVRRKCTEEETVKYLLDFGKRRNIPDVVSKNGCMVEESSSGRKKFWLNESHVPLHLMKGFEEKKAVRKTSKPGGSFRHSEIHKLRKRSSEGKGFSYLFERAERSESSLCEQCKKDLPLSEAASCHICKGVFHKKHIKRAEKEGMYICLPCRSEVIAKEQPTVRKRGRPPGSFRKKIGVQTQKRKKVIPARKSPRLKKTKTSMAERIAIRLKNHKKVVASKPLRRSGRQLKHVIRLQDESKVPEGSKKRKLETKRGRGRPKKVKQEISIRKKRTDRCLSYWLNGLLLSRKADDERVHKFRKDRYYTPLENSDSDHDQPKCHLCGPIESESGSTFIACEICGGNPFSELLLVHVARVGFFSMVPRRCLWA >fgenesh2_kg.6__1222__AT5G12410.1 pep chromosome:v.1.0:6:5039683:5041776:-1 gene:fgenesh2_kg.6__1222__AT5G12410.1 transcript:fgenesh2_kg.6__1222__AT5G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THUMP domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M518] MASGDQSKKRKQHYRPQNRPVKKKGSYPLKPGVQGFFISCDGGREYQASQEAINVIDSFFEELIQGTDSKVNPGLFDNPINKKVTFSYSEDEDDEEDESNYGEEEENKGDGDKAVVSEGGNDQVNEKEIASEGSCEVKKLAENETEKEEEDKGNQKNGGDEPPRKKTCTEEANPLAKVNENAEKSIDKLIEAELKELGDKSKRRFMKLDPGCNGLVFIQMKRRDGDPSPKDIAQHAMTSAAATKKHMSRFILRLLPIEVSCYPSEEEISRAIKPLVEQYFPIETENPRKFAVLYGARANTGLDRMKIINTIAKSIPAPHKVDLSNPEMSIVVEVVKTVCLIGVVEKYKELAKYNLRQLTSTN >fgenesh2_kg.6__1223__AT5G12420.1 pep chromosome:v.1.0:6:5043233:5045739:-1 gene:fgenesh2_kg.6__1223__AT5G12420.1 transcript:fgenesh2_kg.6__1223__AT5G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGEEEPLSPMARVFQSPGIDLCAVTIMGFKTKINPDVVLDALKQNVSKHPRFSSILSDNGAKWIETEVNVEDHVIVPYIDPEEIGEGGQSFIDDYMSRLTMIPLDRSRPLWDIHILNVKTSDAEAVSFIRSHHSLGDGMSLISLMLACTHKTSDPDMFSNAIPPMKRRATMSHSLKTKGWFLRSIFTIGSTMRLLWNTTIDMLLLLATVLFLKDTETPLKGGADVRNNPKRFYHRIISLDDIKLIKNAMNMTINDVLLGITQASLSHYLNRQYDKKKEEDGALTSYQNNLPDGIRFRVACTVNLRSDIGFKPLADMMVKDSKCRWGNYFSFIFLPFTIGLQTDPLVYLKMSKSMMARKKHSYHAALVYFIIKIVLKVFGSKAAAELFDRPVRNTTTCVSNVIGPMEEISFRGHPVSYIAPSSYGHSHAMLIHLMSYADKMIISLAYDPTVIPDPHKICDDMEESLKAMKASLCERGLL >fgenesh2_kg.6__1224__AT5G12430.1 pep chromosome:v.1.0:6:5050387:5056528:-1 gene:fgenesh2_kg.6__1224__AT5G12430.1 transcript:fgenesh2_kg.6__1224__AT5G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M521] MSKFGDLNPAFSGVGRSSSNNPDASFNSAPFPRSSSGLSKPRFSKVRRQVKSQNLKPSGTSDSIPGQSFNPFHFRGSFAGDPTPSEIGFGRSSNEGFVFGSTDVGKLHSDEEIGKRVMEEMEKLKIESEGKASRLPEDMKNLNSSFSFGVKKGSNSVFAAKDELPTLLSNKLTIDSSSRSTGHVIQESMEKLNISERVTDQRHSNNVKSKVSMDYVGEKILSDDLSRKLSVASMTTDGNLSGDSVQGSVNGKKVHDFNSSCPMNYSFVGTEPSQNLNARNVHDVSSSVNTSEFNFVSNQDSRGTGFMEFKTPNSKVNPFSSLDQKLGFNAKKDSVGATRARRKGGKQPVKVQLNIGREFAFAESATPNGSNEAPEAYSPMDISPYEVTEDCGDFSADIPPTAPNDLFDADLVAATERMEINEGDEINNYQAKEFNTGKCADHEDLAEGSISGAETESFKSAAEEMETSSETFATASESEVTSRYRSDRKENDDHSLSNTDAASSSFTFSASSFSGVQGQLSTSKRINRKKNPIKLGQDPYILIPNATLPLKSSQHSPLTGVQSHLPAGKPSERDPLTRLHKPINSSVMDKARIEKDVSNVAQEACEKWRLRGNNAYKIGDLSRAEESYTQGIDSVPRIETSRNCLRALMLCYSNRAATRMALGRMREAIADCTMASSIDSNFLKVQVRAGNCYLSLGEIEDASRYFKKCLQSGSEICVDRKIIVEASEGLQKAQRVSECMHEAGRRLQLRTSTDAEKALEILEESLLISSYSEKLLTMKGEALLMLEKYEAAIKLCEQTVDLAGKNSLPDSHDTPKDTNFRIWQCHVMLKSYFHMGKLEEAIASLEKQEQLLSATKRDGNKTLESSIPLAATIRELLRLKSAGNEAFQSGRHTEAVEHYTAALACNVESRPFTAVCFCNRAAAYKALGQYSDAIADCSLAIALDQNYSKAISRRATLFEMIRDYGQAASDTERYVNILTKQMEEKTSGIIDRFTSMANDIRQARIRLSELEEKSRKESSLDMYLVLGVVPSCSASDIRKAYRKAALKHHPDKAGQSLTRNETKDERLWKEIGEEVRKDTDKLFKMIGEAYAVLSDPAKRSQYDLEEEMHNSQKRRDGSSTSGADTDNYPFHSSRRNWREGWSSRKDPSAPRWFDPNRSNRYPL >fgenesh2_kg.6__1226__AT5G12450.1 pep chromosome:v.1.0:6:5069523:5069714:-1 gene:fgenesh2_kg.6__1226__AT5G12450.1 transcript:fgenesh2_kg.6__1226__AT5G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILEISEYGGSFQELDQMRHFLGKLECLETVKVSVVDADNNSKLLRTNLLSLPRLSSECNIQFI >fgenesh2_kg.6__1227__AT5G12450.1 pep chromosome:v.1.0:6:5105584:5105775:1 gene:fgenesh2_kg.6__1227__AT5G12450.1 transcript:fgenesh2_kg.6__1227__AT5G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILEISEYGGSFQELDQMRHFLGKLECLETVKVSVVDADNNSKLLRTNLLSLPRLSSECNIQFI >fgenesh2_kg.6__1228__AT5G12460.1 pep chromosome:v.1.0:6:5111124:5112730:1 gene:fgenesh2_kg.6__1228__AT5G12460.1 transcript:fgenesh2_kg.6__1228__AT5G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKLFAPLDLTFSSSSSSSSSSVSLSLQITRESPTNISHLFFVIVGSTKTWRYRRGYIEPWWRPNITKGYVFLERPPGRDLLPWPNQSPPFSVNKESFITNKFKTQIRLFYSLLESFKKASKETRWFVIADDDTLFFLDNLVKALDRYDHKKHYYIGMNSENVWSNAIFAFDMGYGGGGYALSYPTVVTLLSNMEECIKRYLGVYSDLLSFRCLADLGIDLTLEKGMHQIDLHGDISGLLSAHPQSPLISLHHFDVIDPIFPGMTRQQSVNHLMKTDQSRVLQQTICYQREYNWSVSVSWGYSVHIYQSIFPRNHLKRPLETFRPWKNVKIPAYTFNTRRVTKDPCEMPRQFFFESVVEDKNQSLVTTMYKIKIERRLPPCLLNGSHSSRNITQVRVIATTMHKMGEGIECCDVQYVNSTEIMEVKIRACHEDEVLA >fgenesh2_kg.6__1231__AT5G12480.1 pep chromosome:v.1.0:6:5115662:5118717:-1 gene:fgenesh2_kg.6__1231__AT5G12480.1 transcript:fgenesh2_kg.6__1231__AT5G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-domain protein kinase 7 [Source:UniProtKB/TrEMBL;Acc:D7M533] MGNCCGSPGSATNESKQGKPRNKNNPFYSNEYTATDRSGAGFKLSVLKDPTGHDISLQYDLGREVGRGEFGITYLCTDKETGEKYACKSISKKKLRTAVDIEDVRREVEIMKHMPKHPNVVSLKDAFEDDDAVHIVMELCEGGELFDRIVARGHYTERAAAAVMKTIVEVVQICHKQGVMHRDLKPENFLFANKKETSPLKAIDFGLSVFFKPGEQFNEIVGSPYYMAPEVLRRNYGPEIDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPRVSDSAKDLVRKMLEPDPKKRLTAAQVLEHTWILNAKKAPNVSLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEAAGIKEAFEMMDVNKRGKINLEELKYGLQKAGQQIADADLQILMEATDVDGDGTLNYSEFVAVSVHLKKMANDEHLHKAFNFFDQNQSGYIEIEELREALNDELDNTSSEEVIAAIMQDVDTDKDGRISYEEFVAMMKAGTDWRKASRQYSRERFNSLSLKLMRDGSLQLEGET >fgenesh2_kg.6__1236__AT5G12850.1 pep chromosome:v.1.0:6:5124013:5127447:1 gene:fgenesh2_kg.6__1236__AT5G12850.1 transcript:fgenesh2_kg.6__1236__AT5G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLAKKVDTDNTLTSLSDQENESLAKSMNDVAEWEHSFSALLEFAADNDVDGFKRQLSLVSCINQMGLWYRRQRFVRRMVVEQRTPLMVASIYGSLDVVKFILSFPEAELNLSCGPDKSTALHCAASGASVNALDVVKLLLSAGADPNIPDAHGNRPVDVLVVSPHAPGLRTILEEILKKDEIISEDLHASSSSLGSSFRSLSSSPDNGSSLLSLDSVSSPTKPNGTDVTFASEKKEYPIDPSLPDIKSGIYSTDEFRMFSFKIRPCSRAYSHDWTECPFAHPGENARRRDPRKFHYTCVPCPDFKKGSCKQGDMCEYAHGVFECWLHPAQYRTRLCKDGIGCNRRVCFFAHANEELRPLYPSTGSGLPSPRASSAVSASTMDMASVLNMLPGSPSAAQHSFTPPISPSGNGSMPHSSMGWAQQNIPALNLPGSNIQLSRLRSSLNARDIPSEQLSMLHEFEMQRQLAGDMHSPRFMNHSARPKTLTPSNLEELFSAEVASPRFSDQLAVSSVLSPSHKSALLNQLQNNKQSMLSPIKTNLMSSPKNVEQHSLLQQASSPRAGEPISPMNARMKQQLHSRSLSSRDFGSSLPRDLMPTDSGSPLSPWSSWDQTHGSKVDWSVQSDELGRLRKSHSLANNPNREADVSWAQQMLKDSASPRNGNRVVNMNGARPLTQGGSSVNPHHSDTRESDILDAWLEQLQLDR >fgenesh2_kg.6__123__AT5G02130.1 pep chromosome:v.1.0:6:504264:506601:-1 gene:fgenesh2_kg.6__123__AT5G02130.1 transcript:fgenesh2_kg.6__123__AT5G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAATKVSRAAAATIRGRTISVRGNLIRYSTPLRLIHGEISVPNANHVAIQMVNYALSHARSQKSDESYAQGMLVLEQCLENQPNDDQVSHDSKATVLLAMSDLLYESGNSSEAIERLKQVMTLTHSSLAIRVVAVEALVGLLIQSGQDDASLDVADEFLELVKESGHENLQDVVATAKAIKGLAELVKGNIESAESLFRGLENHESCKGNIALSYGEFLHATGNFELAKEMYHMAIQGVTETKVSMCSCNMNLKAVSLAATFALGQLESHIGNFGVAEKTLTDALTNAEEHYGNNHPKVGVILTAVALMYGNKAKQERSSSILIQEGLFRKALELMKAPPLDSEGIINMENQGVMALARAGYAELLLIQENRKSEGEKMKSWAASAWRNKRISLSEAMTLSEPLGKVAIIDARTTRVL >fgenesh2_kg.6__1240__AT5G12880.1 pep chromosome:v.1.0:6:5137565:5138011:-1 gene:fgenesh2_kg.6__1240__AT5G12880.1 transcript:fgenesh2_kg.6__1240__AT5G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLWPTRLILLMVLTVIITMIAAAYGYSSVSSSKHKFPHYKYKAPSPPTTYSPYRYFSPPPVTDSDSAVY >fgenesh2_kg.6__1242__AT5G12900.1 pep chromosome:v.1.0:6:5141075:5143624:-1 gene:fgenesh2_kg.6__1242__AT5G12900.1 transcript:fgenesh2_kg.6__1242__AT5G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETRMNKAREKEEAIANGVKLRALQASLMQMKSSPSSNYSLRNPSSSSAASPASRPFPNLSAHDYPVFTPSYEDEPVSAFHHKNLTLSETWDEDGVGLVDGDTYLSDSYKTSTSRKTVMPNQDSHHHVYTMSDALRSPPLHFYTTGRSNNGSVDFRSVSSCNDYNKQRGFDTKSLKNSNLVVPLTDSHSAVVSSQPRNRGGRVMSWLFPKLKRKQKSNSIFNSPSRTEKSEEVSEVMKDSGVEKLKRELMEANRSRDAALTQVLEMKSSLGELSEKLQYLESYCDNLKKALREATEVVSQENNVGRSSGKKNSEMPVSEEVMVEGFLQIVSEARLSIKQFLKTLVSEIDEEDSTLIGNINTLLQPHNLSFTSKYSKIIQYHLEAIISQSVYQDFENCVFQKNGKPKLLDPEQDRQANFSSFASLRNLSWNEVLKKGTKYYSDEFSRFCDEKMSLIITTLNWTRPWSEQMLQAFFVAAKCVWLLHLLAFSFNPALGILRVEENREFESSFMEDMGADRQRSASSRGPARVKVMVMPGFYVQDRVLRCKVLCRYKSLG >fgenesh2_kg.6__1248__AT5G12970.1 pep chromosome:v.1.0:6:5185647:5188801:1 gene:fgenesh2_kg.6__1248__AT5G12970.1 transcript:fgenesh2_kg.6__1248__AT5G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M553] MQKPGQNIEFALKETSPKIGAGAVTGDKLSCTYDLVEQMHYLYVRVVKAKELPGKDVTGSCDPYVEVKLGNYRGMTKHFEKKSNPEWKQVFAFSKERIQASILEVVVKDKDVVLDDLIGRIMFDLNEIPKRVPPDSPLAPQWYRLEDRHGRKVKGELMLAVWMGTQADEAFSDAWHSDAASVGPEGVTHIRSKVYLSPKLWYVRVNVIEAQDLIPHDKTKFPEVYVKAMLGNQTLRTRISQTKTLNPMWNEDLMFVVAEPFEEALILAVEDRVAPNKDETLGRCAIPLQNVQRRLDHRPLNSRWFNLEKHIMVEGEKKEIKFASRIHLRIFLEGGYHVLDESTHYSSDLRPTAKQLWKPSIGLLEVGIISAHGLMPMKTKDGKGTTDAYCVAKYGQKWIRTRTIVDSFTPKWNEQYTWEVFDTCTVITFGAFDNGHIPGGSGKDLRIGKVRIRLSTLEADRIYTHSYPLLVFHPSGIKKTGEIQLAVRFTCLSLINMLHMYSQPLLPKMHYIHPLSVLQLDSLRHQAMNIVSARLNRAEPPLRKEIVEYMLDVDSHMWSMRRSKANFFRIMNVLSGLIAVGKWFDQICNWRNPITTILIHVLFIILVLYPELILPTVFLYLFLIGIWNFRWRPRHPPHMDTRLSHADAVHPDELDEEFDTFPTSRSSEIVRMRYDRLRSIGGRVQTVIGDLATQGERFLSLLSWRDPRATTLFVLFCLIAAIVLYVTPFQVVALLVGIYVLRHPRFRHKLPSVPLNLFRRLPARSDSLL >fgenesh2_kg.6__1249__AT5G12990.1 pep chromosome:v.1.0:6:5193010:5193517:-1 gene:fgenesh2_kg.6__1249__AT5G12990.1 transcript:fgenesh2_kg.6__1249__AT5G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKYKGSVFIISVILLLSSPLLAHSSSTKSFFWLGETQDTKTMKKEKEINGGTANEVEERQVPTGSDPLHHKHLPFTP >fgenesh2_kg.6__1251__AT5G13010.1 pep chromosome:v.1.0:6:5208427:5214720:1 gene:fgenesh2_kg.6__1251__AT5G13010.1 transcript:fgenesh2_kg.6__1251__AT5G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3011 [Source:UniProtKB/TrEMBL;Acc:D7M558] MGVDPFKTTDTLEADKETNGGIPVKDKLTFTAPERKSRLGLDVRAIEKRENAKTEGEFKVPKKSAISVTSSLDEEDKSDVSGLDYRTENTRPDHSSRRYRDKSSSSETAQESIVTTENAGTSDVIAIGIEKNIGVTEVKLRGQDRETLMVRWITTEGGSLIANLTETIMEKSVEDTIVIGGLQVDSPHGDRDSSYSKRHQPSPSPMLAAASPDARLASPWLDTPRSTMSSASPWDIGAPSPVPIRASGSSIRSSSSRYGGRSNQLAYSREGDLTNEGHSDEDRSQGAEEFKHEITEAMRSEMEYHADLAWYDTDEGNSLFDADSASFFLGDDASLQKKEAELAKRLVRRDGSKMSLAQSKKYSQLNADNAQWEDRQLLRSGAVRGTEVQTEFDSEEERKAILLVHDTKPPFLDGRVVFTKQAEPVMPVKDPTSDMAIISRKGSGLVKEIREKQSANKSRQRFWELAGSNLGNILGVEKSAEQIDADTAVVGDDGEVDFKGEAKFAQHMKKGEAVSEFAMSKTMAEQRQYLPIFSVRDELLQVIRENQVIVVVGETGSGKTTQLTQDGYTINGIVGCTQPRRVAAMSVAKRVSEEMETELGDKIGYAIRFEDVTGPNTVIKYMTDGVLLRETLKDSDLDKYRVVVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNAQKFSNFFGSVPIFNIPGRTFPVNILYSKTPCEDYVEAAVKQAMTIHITSPPGDILIFMTGQDEIEAACFSLKERMEQLVSSSSREITNLLILPIYSQLPADLQAKIFQKPEDGARKCIVATNIAETSLTVDGIYYVIDTGYGKMKVFNPRMGMDALQVFPISRAASDQRAGRAGRTGPGTCYRLYTESAYLNEMLPSPVPEIQRTNLGNVVLLLKSLKIDNLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTDLGWKMVEFPLDPPLAKMLLMGERLDCINEVLTIVSMLSVPSVFFRPKERAEESDAAREKFFVPESDHLTLLNVYQQWKEHDYRGDWCNDHYLQVKGLRKAREVRSQLLDILKQLKIPLKSCGPDWDIVRKAICSAYFHNSARLKGVGEYVNCRTGMPCHLHPSSALYGLGYTPDYVVYHELILTTKEYMQCATSVEPHWLAELGPMFFSVKDSDTSMLEHKKKQKEEKTAMEEEMEKLRRDQAESEVRRKEREKKKRAKQQQQISGPGLKKGTTYLRPKKFGL >fgenesh2_kg.6__1252__AT5G13020.1 pep chromosome:v.1.0:6:5218153:5221043:-1 gene:fgenesh2_kg.6__1252__AT5G13020.1 transcript:fgenesh2_kg.6__1252__AT5G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emsy N terminus domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M559] MDYRPSDSSGTDDDLPPSHQGRYQRSARPAGNGRPSVLNSAPLSRVHNDMETQIHLIEQEAYSSILRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADEMIRRIREWRKTNSLQSSVPQLVHDAPSPAVSGSRKKQKTSQSIASLAMGPPSPSLHPSMQPSSSALRRGGPPPGPKTKKPKTSMQYPPTGMPGRPQAGALTNEPGESGSYDPLVGRKVWTKWPDDNHFYEAVITDYNPVEVRHALVYDINSANETWEWVNLKEISPGDIRWEGEDPGVSRKGGHPGQGRGTSKSMARGGPTSNAGGRGRGGMKMQQPKTQNGIGKKALGEIEILHTDTLIKEVEKVFGSVNPNPAEVEKAKRVLRDHELALMEAIAKLEEISDGESGNI >fgenesh2_kg.6__1255__AT5G13050.1 pep chromosome:v.1.0:6:5225634:5227876:-1 gene:fgenesh2_kg.6__1255__AT5G13050.1 transcript:fgenesh2_kg.6__1255__AT5G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase [Source:UniProtKB/TrEMBL;Acc:D7M561] MIGARVFCISTTALQRSSIFFFPKIPTRPVFRLLSPAIVAMSTTSKSQEELDSIFKQKRVVRSTVRKSLKAMDPSLRTQQDESIQKTVLEAPWFKSCKRLCAYISCKPLNEVDTSKILSEILQHPDSNTQKKLYVPWVEDKNSNMRMLHISHMEDLIANSMNILEPAPVDAQGNEREDVFQADEPIDLFILPGLAFDRCGRRLGRGGGYYDTFLKRYQDRVKEKDWRYPLMVALSYSPQILEDGSIPVTPNDVLIDALVTPSGVVPITPRAIESM >fgenesh2_kg.6__1257__AT5G13070.1 pep chromosome:v.1.0:6:5239184:5240908:1 gene:fgenesh2_kg.6__1257__AT5G13070.1 transcript:fgenesh2_kg.6__1257__AT5G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYRQEHVYKHPWERVSAASWRKFADPENKRILSHILEVDTLNRKLDTETGKLHTTRALTIHAPGPWFLHRIIGQDICHCVESTVVDGKSRSMQLTTKNISLKKFIEVEERIRYDPHPENPSAWTVCSQETSIRIKPLSALASMAEKVEQKCAEKFMQNSVKGREVMERICKYMEAESARI >fgenesh2_kg.6__1258__AT5G13080.1 pep chromosome:v.1.0:6:5241509:5242850:-1 gene:fgenesh2_kg.6__1258__AT5G13080.1 transcript:fgenesh2_kg.6__1258__AT5G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 75 [Source:UniProtKB/TrEMBL;Acc:D7M566] MEGYDNGSLYAPFLSLKSHSKPELHQGELEEGSKVRSEGCSRSVESSKKKGKKQRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTYGGCNVKKQVQRLTVDQEVVVTTYEGVHSHPIEKSTENFEHILTQMQIYSSF >fgenesh2_kg.6__1259__AT5G13090.1 pep chromosome:v.1.0:6:5251236:5252229:-1 gene:fgenesh2_kg.6__1259__AT5G13090.1 transcript:fgenesh2_kg.6__1259__AT5G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGKVYPSPPLPSSSSSSSANHLNEDDDDSLSVLKLLPATILVLVSVLSAEEREVLAYLITRGTTISDRGNSSSKNNKTKKKSNNKSAKNHKPPVFDCECFDCYTNYWFRWDSSPNRELIHEIIEAFENHHLTSGEQNSASRNKSKRGKKKEKPGRRVTDSDSNNKPGIRVSDDDDKDSKPVVEPMTETTVSESSHVSSPDRLSEAEVAEGEPEDEIVVEEEEEEEEESTVVVFAAAASSVTGHKGLARKVLPDVLGLFNSNFWRLWNPNA >fgenesh2_kg.6__1262__AT5G13120.1 pep chromosome:v.1.0:6:5262936:5265328:-1 gene:fgenesh2_kg.6__1262__AT5G13120.1 transcript:fgenesh2_kg.6__1262__AT5G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7M570] MAALSMTLSNPKSLSAAPRRLSPISTSALTSTSFRLRTKSSFDSISFSSSTPFSASSLLLHTSSTKRNHRCFSIQSNSEIVSEPQSKITHKVYFDISVGNPVGKLAGRIVIGLYGDDVPQTVENFRALCTGEKGFGYKGSTFHRVIRDFMIQGGDFDKGNGTGGKSVYGRTFKDENFKLSHVGPGVLSMANAGPNTNGSQFFICTVKARISLTIILEHQQSLRIIPSKLYVMVGRKACGVIEGMEVVKLIEEQETDRGDRPRKKVVIADCGQLPMSEA >fgenesh2_kg.6__1263__AT5G13130.1 pep chromosome:v.1.0:6:5266689:5270695:1 gene:fgenesh2_kg.6__1263__AT5G13130.1 transcript:fgenesh2_kg.6__1263__AT5G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7M571] MAESGSTNPKSQSVVPDSALGGLKRDLRNYEDGDDTNNFSIKKSRTTTIENTSQGCKKIVPLDVKPLAIVEPETPKLSRQFWKAGDDDEAAPVPLYCSNDAAVRVHPQFLHANATSHKWALGALAELLDNSLDEVSNGATYVHVDSTTNKRDGKSSILIVEDNGGGMNPSTFREFLSLGYSRKRNLVNRVGQYGNGFKTSTMRLGADAIVFSRCRGINGNNPTQSIGMLSYTFLYETRKCEAIVPTVQIDFELVDNKWKEIVYNSTEEWVDNLETIVRWSPYLSQQDLLDQFNHLEEQGTRIVIYNLWEDDEGKLELDFDTDPHDIQLRGVNRDEKNIEMAKTYPNSRHFLTYRHSLRSYASILYLKHPDNFRIILRGKDVEYHSILDDMMMTDEKTYKPTRSPEWPDQDEMVASLKLGFVKDAHHHIDIQGFNVYHKNRLIKPFWRVWNAAGSDGRGVIGILEANFIQPAHNKQGFERTVVLSKLENRLIQHQKNYWSSRCHEIGYAPRRKQKNYESSVTETTRPFNNINVVKASSSTPAPAPFRTYVEPSGRNQSPQVETRERSYDIKPQIGSTIGNHGLGTSSFKETGSVNLEAELQRVKQESAKLVSELKREKALLELQLEESKAKIRNLEKAQREKELLELQLKESKARIQNLENRQEGVSTIFQQERARRDVTEDGLRRKLRDASDVIDGLRKQVETFKGKRML >fgenesh2_kg.6__1264__AT5G13140.1 pep chromosome:v.1.0:6:5270895:5272076:-1 gene:fgenesh2_kg.6__1264__AT5G13140.1 transcript:fgenesh2_kg.6__1264__AT5G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPLLYLLLLSSCLTHQALGHGRGRSPPEGSLDPSSRITVVGVVYCDTCSINTFSRQSYFLQGVEVHVTCRFKASSPKTAEEVNISVNRTTNRSGVYKLEIPHVDGIDCVDGIAIASQCSAKLLKTSDDDNGGCSIPVFQTATNEVSIKSKQDRVCIYSLSALSYKPSHKNTSLCGNGGKKHHRKEEKVEKKFRDSKFFWPYLAPYWFPWPYPDLPPLPTLQPLPSSPFPFLPFGNPNPALPAFDWKNPVTWIPYLPRFPPGDHNP >fgenesh2_kg.6__1267__AT5G13170.1 pep chromosome:v.1.0:6:5283770:5285987:-1 gene:fgenesh2_kg.6__1267__AT5G13170.1 transcript:fgenesh2_kg.6__1267__AT5G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:D7M575] MGVMINHHLLAFIFGILGNVISFLVFLAPVPTFYRIYKKKSTESFQSLPYQVSLFSCMLWLYYALIKKDAFLLITINSFGCVVETLYIAMFFAYATKDKRISALKLFIAMNVAFFSLILMVTHFVVETPTLQVSVLGWICVAISVSVFAAPLMIVARVIKTKSVEFMPFTLSFFLTISAVMWFAYGLFLNDICIAIPNVVGFVLGLLQMVLYGVYRNSNEKPEMEKKINSSEQQLKSIVVMSPLGVSEVHPVVTESVDPLSDAVHHEDLSKATKVEEPSIENGKCHVETTRSETV >fgenesh2_kg.6__1268__AT5G13190.1 pep chromosome:v.1.0:6:5312778:5314304:-1 gene:fgenesh2_kg.6__1268__AT5G13190.1 transcript:fgenesh2_kg.6__1268__AT5G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGTTVIGVPYYAGQNPYQAGIVPPNAIYGDPLGAPIQQTIYRDTPAPFNCLYCGDTGLTNLRSKPGVAAVVACMMPFMLGFCFLCPSMDCLWNKQHHCPQCGNKVADFEKSDPCLVMDPPQWKQPSFALPA >fgenesh2_kg.6__1269__AT5G13210.1 pep chromosome:v.1.0:6:5316880:5319030:1 gene:fgenesh2_kg.6__1269__AT5G13210.1 transcript:fgenesh2_kg.6__1269__AT5G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPLLGPPELRDPNSLLPKPITTSGPSNPFMDAMVSNFNNSTRVNVISSPPMGYTENNSATHLSSGNPCLDFFFHVVPSTPKDSLEQWLQGAWDHDALTTLKLICNLRGVRGTGKSDKEGFYTAALWLHGRHPKTLACNLESLSQFGYFKDFPEILYRILQGSDIRKIQKSERFRRKTEASRGRRAPFYPNHSGVSYGGRPYGGRGRGSGRRGGKRKPVATRELRVANAERKNQAEKARASLDRKKKKVSMGKDAFTRYSHDPDYRYLHERVSDLFANQLKRDLEFLKSDQTNQISLAAKWCPSLDSSFDKATLLCESIARKIFPRESFPEYEGVDEAHYAYRVRDRLRKEVLVLLRKTLQLPEVYMGARNWDTLPYNRVASVAMKTYKDIFLNHDAERFQQYLDDAKTGKTKVAAGAVLPHEIIRDLDGGDGGQVAELQWKRTVDDLKEKGSLRNCIAICDVSGSMDGDPMEVSVALGLLVSELSEEPWRGKLITFSQNPEMHLVTGDDLRSKSEFVRNMQWGMNTDFQKVFDLILRVAVEGKLKPEEMIKRVFVFSDMEFDQASSSNAYGRQSRTNGWETDYDVIVRKYRQNGYGEVVPDIVFWNLRDSRATPVPGNKKGVALVSGFSKNLMKMFLEHDGEIDPMMMMEAAISKDEYKSLVIVD >fgenesh2_kg.6__126__AT5G02150.1 pep chromosome:v.1.0:6:508853:510607:-1 gene:fgenesh2_kg.6__126__AT5G02150.1 transcript:fgenesh2_kg.6__126__AT5G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7M786] MAKDGPNWDGLLKWSLSHSDGTRPTRQLSEEDRKWFAEAMQSQTVDVVKRLKEITQVLQTPQQVLEAHQVTPQDIEGLLDELQEHVESIDMANDLHSVGGLVPLLGYLENSNANIRAKSADVISTIVENNPRSQESVMEANGLESLLSNFTSDTDMHSRTQALGAISSLIRNNKPGITGFQIANGYSGLKDALEADSVRFQRKALNLLHYLLQENDSDSDIAIELGLHHLMMHLVSSFDADVREAALRGLLELVKARKDCSTCGSSIVKGDETLRQMLKDRIKAIGLFMSQEDLSAAKEERQLLDSLWTTFYNEPSSL >fgenesh2_kg.6__1272__AT5G13220.1 pep chromosome:v.1.0:6:5321463:5323330:1 gene:fgenesh2_kg.6__1272__AT5G13220.1 transcript:fgenesh2_kg.6__1272__AT5G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKATVELDFLGLEKKQTNTAPKPKFQKFLDRRRSFREIQGAISKIDPEIIKSLLASGANHSDSSAKTRSVPSTPREDQPQIPISPLHVPLARSSTEVVSGTVPMTIFYNGTVSVFQVSRNKADEIMKVATETASRKDESSMETDLSVIPPTTLRPTKLFGQNLEGDLPIARRKSLQRFLEKRKERLVSTSPYYPTSA >fgenesh2_kg.6__1274__AT5G13240.1 pep chromosome:v.1.0:6:5328750:5331309:-1 gene:fgenesh2_kg.6__1274__AT5G13240.1 transcript:fgenesh2_kg.6__1274__AT5G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Repressor of RNA polymerase III transcription [Source:UniProtKB/TrEMBL;Acc:D7M583] MKFLEYTNLDRLNVFLGHLNLGERTIKGCLEAYSCKHAGSDKRLSLSLENEMLDYLGKSSDTDSFSPVDLLLSRSSRKALIYLVLTLYQMYPDYDFSAVKAHQFFSEESWDTFKQIFNNYMFEASKEWTEKNEDGSLLEVIYKALDEVVKLAECEIYVYNPNPNADPFLEEGAIWSFCFLFYNRKLKRVAGFRFCCTSNLASDAFLTDSPPYGEDEEIFADMDM >fgenesh2_kg.6__1276__AT5G13260.1 pep chromosome:v.1.0:6:5351189:5354974:1 gene:fgenesh2_kg.6__1276__AT5G13260.1 transcript:fgenesh2_kg.6__1276__AT5G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARTESPSYFRQWSGDSGSTNAAAVAPSSPARHHHARSSSVTNMSNVKRAQNVAAKAAAQRLAKVMASQTNNDDDDDDDDDEIGGDDLGFRYGAPPPLSFTRNPSSTIAKPKPVASAVVVPPPKISRSSSPANSPAVSVRASQPPVPPSKLSQRHQTTNPSPVATPKTEKRVLADIGHFNGKDLKDQHEASALRDELDMLQEENDSILEKLRLEDERCKEAEARVRELEKQVTSLGEGVSLEAKLLSRKEAALRQREAALKDARQNRDGTNKETTVLRSQVENAKLETAAVVAQLQGAESEVNALRTMTHRMILTQKEMEEVVLKRCWLARYWGLAARYGICSDIATSKYEYWSSLAPLPFEIVLSAGQKAKEESWEKESEENEKRSQLAQDINDLTGEGNIESMLSVEMGLKELTSLKVEVAITTTLAQLRLANTTRLSDIELKSPGGPKITEALELSQEESEDVLFKEAWLTYFWRRAQSLGIEVDIARERLRFWISRSAHSPSSHDAMEVEQGLTELRKLRIERRLWEASRSSQ >fgenesh2_kg.6__1282__AT5G13300.1 pep chromosome:v.1.0:6:5363766:5370402:-1 gene:fgenesh2_kg.6__1282__AT5G13300.1 transcript:fgenesh2_kg.6__1282__AT5G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFTKLDDSPMFRKQLQSMEESAEILRERSLKFYKGCRKYTEGLGEAYDGDIAFASALETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHILNDRLLQFANMDLHEVKEARKRFDKASLTYDQAREKFLSLRKGTKSDVAAALEQELHTSRSMFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNEKMQEYKRQVDRESRWGSNGSNGSPNGDGIQAIGRSSHKMIDAVMQSAARGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKPSGSGSQLSGQRNSSELGSGLLSRWLSSNSHGHGGVHDEKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQVPEQRLPGSPMGSGHHRSASESSSYESSEYDHPTTEEFVCERSFLGYHERPSRSFQPQRSIRKGEKPIDALRKVCGNDKCADCGAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVISLFQALGNTFANTVWEELLHSRSAFHVDPGLTGSDKSRVMVTGKPSYADMISIKEKYIQAKYAEKLFVRRSRDCDFPQSVAQQMWDAVSGNDKKAVYRLIVNGDADVNSVYDQSSSSSSLTLSRVILVPERPKREDVLLRLRNELLDRTGSSSNISPEGSGGSSLLHCACEKADIGMVELLLQYGANVNASDSSGQTPLHCCLLRGKATIARLLLTRGADPEAMNGEGKTALDIAAESKFTDPEVLALLSDPNGYNNRQC >fgenesh2_kg.6__1283__AT5G13310.1 pep chromosome:v.1.0:6:5371889:5373741:1 gene:fgenesh2_kg.6__1283__AT5G13310.1 transcript:fgenesh2_kg.6__1283__AT5G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSADDDFQSRVAKIFGSLPFSRPSSSKFSTSSTPASRQQSGSVWTLSDTEVEKREWKRDSYDRDEIPCVSSFDELLRQQKPLEDDLKDMDCGEDFDGEWSIRASMGLDRTLDDEAEEDEYDKVALGEENDGEGEGLSRDPRANYVAARIRLKEDAIEANKFNTSASQPAKSKEPRAEESFEAVPQKPILKRKENSSDSEGRTSKRVRFDFVSEETSKKPEDSCSASVSSTSVSHQGKSGARVPDYLLNPSSYTRYSFDQSRELNEKSPAGEYMDTPKAVEGLKTPESGSFSKVSFIPQKKTKDVREVSSNCSETKPVVAGELAEEERPSATEDGDTEVGESESCTSFQRKGRQYRAKRSLDETAV >fgenesh2_kg.6__1284__AT5G13320.1 pep chromosome:v.1.0:6:5375342:5380008:1 gene:fgenesh2_kg.6__1284__AT5G13320.1 transcript:fgenesh2_kg.6__1284__AT5G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPISDINESFEKQLKYLTSDVKQIQDNLLEEIITPNTKTEYLQRFLIGRFDKDLFKKNVPIVTYEDIKPYLDRVVNGESSDVISARPITGFLLSSGTSGGAQKMMPWNNKYLDNLTFIYDLRMQVITKHVKGVEEGKGMMFLFTKQESMTPSGLPARVATSSYFKSDYFKHRPSNWYYSYTSPDEVILCPNNTQSLYCHLLCGLVQRDEVVRTGSIFASVMVRAIEVLKNSWEELCSNIRSGHLSNWVTDLGCQSSVSLVLGGPRPELADTIEEICNQKSWKGIVKRLWPNTKYIETVVTGSMGQYVPMLNYYCNDLPLVSTTYGSSETTFGINLDPLCKPEDVSYTFMPNMSYFEFIPMDGDNNDVVDLEDVKLGCTYEPVVTNFAGLYRMRVGDIVLVTGFYNNAPQFKFVRRENVVLSIDSDKTNEEDLFKAVSQAKLVLESSGLDLKDFTSYADTSTFPGHYVVYLEVDTKEGEEKKTAQFELDEEALSMCCLVMEESLDNVYKRCRFKDGSIGPLEIRVVRQGTFISLMDFFISQGASTGQYKTPRCIKSGKALQVLETCVVAKFFSS >fgenesh2_kg.6__1285__AT5G13330.1 pep chromosome:v.1.0:6:5381454:5383860:1 gene:fgenesh2_kg.6__1285__AT5G13330.1 transcript:fgenesh2_kg.6__1285__AT5G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALSRVIENPTDPPVKQELDKSDQLQQDQDQPRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFETAEEAALAYDRAALKFKGTKAKLNFPERVQGPTTTTTIDHASRGVSESMNSPPIRPGPPSSTTTTTSWPMTYNQDILQYAQLLTSNNEVDLSYYTSSLFSQPFSTPSSSSSSSQQSQQQQQQQQQQQREEEEKSYGYHYYNYPRK >fgenesh2_kg.6__1286__AT5G13340.1 pep chromosome:v.1.0:6:5388586:5390239:-1 gene:fgenesh2_kg.6__1286__AT5G13340.1 transcript:fgenesh2_kg.6__1286__AT5G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNDSRSRSPSHRRRHSRSPVTHRSSRRSRRDRSRSPYTSRHKKSRSPTPRQHQRARSSSLSPSEHKIAIEAKKELEDKARLQHEAELKRLEEETAQRIEEAVRKNVEERMKTEEVKEEIERRTKEAYEKMFLDVEIQLKKEKEAALNEARRKEEQARREREELDKMLEENSRRVEESQRREAMELQRKEEERYRELELLQRQKEEAARRKKLEEEEEIRNSSKLSNGNRSRSKLHFGMGL >fgenesh2_kg.6__1287__AT5G13350.1 pep chromosome:v.1.0:6:5391200:5393685:1 gene:fgenesh2_kg.6__1287__AT5G13350.1 transcript:fgenesh2_kg.6__1287__AT5G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKFDLTDPKASLSLLEDVTTNVKQIQDSILEAVLSRNAHTEYLKGILNGQVDKQSFKKNVPVVTYEDIKPYIGRIANGEASDLICDRPISLFVMSSGTSGGIQNLIPLTTEEGEQRIFFGSLYRSLLYKYVEGIREGKALTFYFVNPESETRSGILVRTMITCILKSVNKTNSSLWDRLQISPHEISTCEDTTQSMYCQLLCGLLQRDNVARLGAPFASVFLRVIKFLEDHWQELCSNIRTGRLSDWITDAQCVSGIGKFLTAPNPDLASLIEQECSKPSWEAIVKRLWRKAKCVEAVVTGSMAQYIPLLEFYGGGLPLISSWYGSSECFIGVNVNPLCKPSDVSYTIIPSMGYFEFLEVKKDQKEAGRDPIENHVVVDLVDVKIGHDYEPVVTTFSGLYRYRVGDVLRVTGFYNNSPHFRFVGRQKVVLSLHMANTYEEDLLKAVSNAKLLLEPHDLMLMEFTSRVDSSSFVGHYVLYWELGSKVKDAKLEPNRDVMEECCFIVEESLDPLYRKERKKDKNIGPLEIKVVKPGAFDELMNFFLSRGSSVSQYKTLRSVTSEEAVKILEANVVSEFLSQKTPSW >fgenesh2_kg.6__1288__AT5G13360.1 pep chromosome:v.1.0:6:5395059:5398252:1 gene:fgenesh2_kg.6__1288__AT5G13360.1 transcript:fgenesh2_kg.6__1288__AT5G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive GH3 family protein [Source:UniProtKB/TrEMBL;Acc:D7M596] MLPKFDPTDQKACLSLLEDVTTNVKQIQDSVLEAILSRNARTEYLSGFLNGQVDKQSFKKNLPVVTYEDIRPYIDRIANGEPSDLICDRPISVLLTSSGTSGGVPKLIPLTTDELEQRISFASLYRPLLYKYVEGIRERKSFMLYFVTRESETASGILVRTMITCVLKSLKPSNSFIWDQTQISPHGISTCSDTTQSMYCQLLCGLLQRDNVGRLGAPFASSFLKIIKFLEDHWPEFCSNIRTGRLSDWITDPQCVSGIGKFLTAPDPELASLIEQECSQTSWEAIVKRLWPKAKCIEAIVTGTMAQYIPLLEFYSGGLPVISTFYGSSECFIGLNLNPLSKPSDVSYTIIPCMAYFEFLEVGKDYQETGHDPAEKPVVVDLVDVKIGHDYEPVVTTFAGLYRYRLGDVLRVTGFYNNAPQFHFVGRQKVVLSIDMDKTYEEDLLKAVTNAKLLLEPHDLMLMDFTSRVDSSSFPGHYVLYWELGSKVKDAKLELDPNVLEECCFTIEESLDAVYRKGRKNDKNIGPLEIKVVKSGAFEELMNLFLSRGSSVSQYKTPRSVTNEEAVKILESNVVSEFLSRKTPSWELHELYSNR >fgenesh2_kg.6__128__AT5G02170.1 pep chromosome:v.1.0:6:512557:515328:1 gene:fgenesh2_kg.6__128__AT5G02170.1 transcript:fgenesh2_kg.6__128__AT5G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7M788] MKQHETVDEEREDLYHADEEDEESQTESSVPSTPLSRNGSDNVPVPWPRSYRQSMDLLTGVTPPISTSFVSSFRQRRQISIFGSFTSSPSKQQLLIDKDEIHNSVMSSINSFLASHLQLSVPGDLLTPQENRSCTFSQSVLNGINVLCGVALLTMPYALKEGGWLGLFILFSFGIITFYTGILLKRCLENSPGIHTYPDIGQAAFGTTGRILVSILLYVELYASCVEYIIMMSDNLSRMFPNTSLYINGCSLDSNQVFAITTTFIVLPTVWLKDLSLLSYLSAGGVFSSILLALSLFWAGSVDGVGFHISGQALDITNIPVAIGIYGFGFGSHSVFPNIYSSMKEPSKFPMVLLISFAFCTLFYIAVAVCGYTMFGEAIQSQFTLNMPQHFTSSKIAVWTAVVTPMTKYALTITPVMLSLEELIPSSSRKMRSKGVSMLFRTILVLSTLVVALTVPFFATVAALIGSFIAMLIALIFPCLCYLSIMKGRLTNFQIGICILIVIIGVVSGCCGTYSAIARLIGEMT >fgenesh2_kg.6__1291__AT5G13380.1 pep chromosome:v.1.0:6:5402366:5404922:1 gene:fgenesh2_kg.6__1291__AT5G13380.1 transcript:fgenesh2_kg.6__1291__AT5G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive GH3 family protein [Source:UniProtKB/TrEMBL;Acc:D7M598] MSVLEDVTTNVNKIQDSVLEAILSRNAQTEYLRGFLNGQLDKQSFKKNLPIVTYEVIKPHIDRIANGEPSDLICDRHISLLLATTGTSGGIPKLIPLTAEELEQRILFGFLYAPLVFKHIEGLTQGKSLMFYFVTRESETASGLMVRFMITCVLKSVNPTNSFLWDRVQISPHAIAICEDTNQAMYCQLLCGLLQRENVARLGAPYASSFLKVIKFLEDHWHELCSNIRTGRLSDWITDAQCVSGISKFLTAPNPDLANLIEQECSKTSWEAILRRLWPKAKCIEAVITGTMAQYIPLLEFYGGGLPLVSSWYGSSECFIGINLNPLSKPSDVSYTIIPSMGYFEFIEVVKDRQEAGHVPADPVVVDLVDVKIGHDYELLVTTFSGKFLYRYRLGDVLRVTGFHNNAPQFYFVGRQKVVLSIDLSKTYEEDLLKAVKNASLLLEPHDLMLMDFTSRVDLSSLPGHYVLYWELGSKFKNAKLYPNSNVLEECCLTVEESLDSVYRKGRKNDKIIGPLEIKVVKPGAFDELMNFFLSRGSSVSQYKTPRSVTHEGALKILESKVAYKFLSRKSPSWELHELHSSR >fgenesh2_kg.6__1292__AT5G13390.1 pep chromosome:v.1.0:6:5405380:5410151:1 gene:fgenesh2_kg.6__1292__AT5G13390.1 transcript:fgenesh2_kg.6__1292__AT5G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPELQPRLFRPHITSPTSEPTQSSSSYSPHMSPASTRNFIDRATPTSRSNNSRFSPSSFAYNGRIAIALVPCAAFLLDLGGAPVVATLTIGLLISYIVDSLNVKFGGFLGIWMSLIAAQISFFFSSSLLSSFNSVPLGLLAAFLCAKTTFLIGCWTSLQFKWLQLENPSIVVALERLLFACVPFTASSLFAWATISAVGMNNSSYYFLLFACVFYWIFAIPRVSSFKTKQEVKYHGGEIPDDSFILGQLESCFLSLNLMFMPLLFHVASHYSVIFSSAASVCDLLLLFFIPFLFQLYASTRGGLWWVTKDSHQLQSIRIVNGAIALVIIVICLEIRVVFRSFGKYIQVPPPLNYLLVTTTMLGGAAGAGASVLGMISSALSSAFFTALSVIVSSAGAIVVGFPVLFTPLPAIAGLYFARFFTKKSVPSYFAFVALGSLMVIWFVMHNYWDLNIWLAGMFLKSFCKLIVANIIIAMVIPGLVLLPSKFHFLTEAGMVTHALLLCYIEDRFFNYSSIYYYGMEDDVMYPSYMVILTTLIGLAVVRRLFADHRIGQKAVWILTCLYSAKLAMLFLSSKSIVWVSAALLLAVSPPLLLYKEKSKSASKMKPWQGYAHAVVVAVSVWFCRETIFDALQWWNGRPPSDGLLLGSCIVLIGLACIPIVALHFSHVLSAKRSLVLVVATGCMFILMQPPMPMTWSYHSDMIKAARQSADDISIYGFMASKPTWPSWLLIVSLLLILAAATSLIPIKYVVELRAFYSIAMGLALGVYISAEFFLQAAVLHALIVVTMVCASVFVIFTHFPSASSTKLLPWVFALLVALFPVTYLLEGQVRIKNDLNENVAWGWDAREEDKKVTTMLAIEGARTSLLGLYAAIFMLIALLIKFELTSLLREKFSERTGQSKTQGGARGIFPTRMRLMQQRRATSIQSFAVEKMSEEGAAWMPSVGNVATIMCFAICLILNIHLSGGSSQAIFFLAPILLLLNQDSDLLSGFGDKQRYFPVTVAISTYLALSSLYTVWEEVWFGGNTGWGVEIGGREWFFAVKNLALLILTAPGHIIFNRYVWSYTTKHTDASPMLTVPLSFAAVIITDVFQVRVLGVLGIVYSAAQYVISRQQYMKGLRYI >fgenesh2_kg.6__1293__AT5G13400.1 pep chromosome:v.1.0:6:5410126:5412694:-1 gene:fgenesh2_kg.6__1293__AT5G13400.1 transcript:fgenesh2_kg.6__1293__AT5G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7M5A0] MVASEIKSPVSVPETPGSSSVHHRKQLSVFFIESDNRRLALGRGYTGGTTPVNIHGKPIANLSKTGGWIAALFIFGNEMAERMAYFGLSVNMVAFMFYVMHRPFESSSNAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTMYLVVSLIGITLGASLKMFVPDQSNCGQLSLLLGNCEPAKSWQMLYLYTVLYITGFGAAGIRPCVSSFGADQFDEKSKDYKTHLDRFFNFFYLSVTLGAIIAFTLVVYVQMELGWGMAFGTLAVAMGISNALFFAGTPLYRHRLPGGSPLTRVAQVLVAAFRKRNAAFTSSEFIGLYEVPGLKSAINGSRKIPHSDDFIWLDKAALQLKEDGLEPSPWKLCTVTQVEEVKILIRLIPIPACTIMLSLVLTEYLTLSVQQAYTLNTHIQHLKLPVTCMPVFPGLSIFLILSLYYSVFVPITRRITGNPHGASQLQRVGIGLAVSIISVAWAGLFENYRRHYAIQHGFEFNFLTQMPDLTAYWLLIQYCLIGIAEVFCIVGLLEFLYEEAPDAMKSIGSAYAALAGGLGCFAATILNNIVKAATRDSDGKSWLSQNINTGRFDCLYWLLTLLSFLNFCVFLWSAHRYKYRAIESEEDKSRAFL >fgenesh2_kg.6__1294__AT5G13410.1 pep chromosome:v.1.0:6:5413247:5415225:-1 gene:fgenesh2_kg.6__1294__AT5G13410.1 transcript:fgenesh2_kg.6__1294__AT5G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7M5A1] MASISSFGCFPQSTALTGTSSTTRCRTAVAARLADQSDEFSPLRSSGGNCGCVHNSGEFDRRKLLLSSVGLLIGALSYDAKDGDFASASQFADMPALKGKDYGKTKMKYPDYTETQSGLQYKDLRVGTGPIAKKGDKVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKEFFKFTLGSNEVIPAFEEAVSGMALGGIRRLIVPPELGYPDNDYNKSGPRPMTFSGQRALDFVLRNQGLIDKTLLFDVELLKIVPN >fgenesh2_kg.6__1295__AT5G13420.1 pep chromosome:v.1.0:6:5415426:5418047:-1 gene:fgenesh2_kg.6__1295__AT5G13420.1 transcript:fgenesh2_kg.6__1295__AT5G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISNLANLPRATCVDSKSSSSVLPRSFVNFRGLNAKLSSSQLSLRCNQRSRSTLSVRCSVSGGNGTAGKRTTLHDLYEKEGQSPWYDNLCRPVTDLLPLIARGVRGVTSNPAIFQKAISTSNAYNDQFRTLVESGKDIESAYWELVVKDIQDACKLFEPIYDQTEGADGYVSVEVSPRLADDTQGTVEAAKYLSKVVNRRNVYIKIPATAPCIPSIRDVIASGISVNVTLIFSIARYEAVIDAYLDGLEASGLDDLSRVTSVASFFVSRVDTLMDKMLEQIGTPEALDLRGKAAVAQAALAYKLYQQKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYSDTLYVAPLIGPDTVSTMPDQALEAFADHGIVKRTIDANVSEAEGIYSALEKLGIDWNKVGEQLEDEGVDSFKKSFESLLGTLQDKANTLKLASH >fgenesh2_kg.6__1297__AT5G13440.1 pep chromosome:v.1.0:6:5422032:5424206:-1 gene:fgenesh2_kg.6__1297__AT5G13440.1 transcript:fgenesh2_kg.6__1297__AT5G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit Rieske, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7M5A4] MLRVAGRRLFSVSQRSSTATSFVLSRDHTLSDGGNSSPASRSVPSADLSSFNSYHRSLIRGFASQVLTQGNEIGFASEVPATVEAVKTPNSKIVYDDHNHERYPPGDPSKRAFAYFVLSGGRFVYASVIRLLVLKLVVSMSASKDVLALASLEVDLGSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVGSLRDPQEDSVRVKNPEWLIVVGVCTHLGCIPLPNAGDYGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLEENKLLIG >fgenesh2_kg.6__1299__AT5G13450.1 pep chromosome:v.1.0:6:5424401:5426110:-1 gene:fgenesh2_kg.6__1299__AT5G13450.1 transcript:fgenesh2_kg.6__1299__AT5G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g13450/T22N19_100 [Source:UniProtKB/TrEMBL;Acc:D7M5A5] MALANRFRSGISFFKNIAVSDSVSSVRSKSLFPALRNYATASAQTTANVKVPIALVGENGNFASWLYIAAVKMNSLEKIESDLSEMIEAMKTAPIFAQFTKDPSVPRGTRLAAIRDACDQAKFAEPTKNFLSLLAENGKLKNLDAIVKKFMQLTNAHRGDVKVLVTTVMPLPPAEEKELTETLQEIIGAGKKITVEQKIDPSIYGGLIVEFQQKVLDMSIRTRAQQMERLLREPVDFSNL >fgenesh2_kg.6__129__AT5G02180.1 pep chromosome:v.1.0:6:515511:518462:1 gene:fgenesh2_kg.6__129__AT5G02180.1 transcript:fgenesh2_kg.6__129__AT5G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7M789] MKLDEEFLHDRDHSFLTDDEENQAELACSDDEHNDGKRDGANSDSSSPLSRDRSDNNLSDVSNPPWPQSYRQSMDLLTGMTPPSVSFMPRSSSRRLASSFHKKQQSSFVDSFSSSSSKPLLSQTVSDKEETILPQSQLKLSVTDLPLPEPNLCSFSQSILNGTNVLCGLGLITMPYAIKESGWLGLVILLFFGVITCYTGVLMKRCLESSPGLQTYPDIGQAAFGITGRFIISILLYVELYAACVEYIIMMSDNLSGLFPNVSLSIASGISLDSPQIFAILTTLLVLPTVWLKDLSLLSYLSVGGVLASILLGLCLFWVGLVDGIGFHATGRVFDLSNLPVTIGIFGFGYSGHSVFPNIYSSMKDPSRFPLVLVICFSFCTVLYIAVAVCGYTMFGEAVESQFTLNMPKHFFPSKVAVWTAVITPMTKYALTITPIVMSLEELIPTAKMKSHGVSILFRTALVTSTLVVALSVPFFAIVAALIGSFLAMLVALIFPCLCYLSILKGKLSNTQIGLCIFIILFGLVSGCCGTYSAISRLANQMT >fgenesh2_kg.6__1302__AT5G13480.1 pep chromosome:v.1.0:6:5431594:5436547:-1 gene:fgenesh2_kg.6__1302__AT5G13480.1 transcript:fgenesh2_kg.6__1302__AT5G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGGDLHRGSQMPPPPMMRQSSASSSNINPDYHHPSGPFDHNVDSFGAKRMRKHTQRRAVDYTSTVVKYIQARTWQRDSRDRTSLQPTPAAAVDMLPTVAYSDNPSTSFTAKFVHTSLNKNRCSINRVLWTPSGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQPIRSMVWSHNDNYMVSGDDGGTIKYWQNNMNNVKANKTAHKESIRDLSFCKTDLKFCSCSDDTTVKVWDFAKCQEECSLTGHGWDVKSVDWHPTKSLLVSGGKDQLVKLWDTRTERELCSLHGHKNIVLSVKWNQNGNWLLTASKDQIIKLYDIRTMKELQSFRGHTKDVTSLAWHPFHEEYFVSGSSDGSICHWIVGHENPQIEIPNAHDNSVWDLAWHPIGYLLCSGSNDHTTKFWCRNRPADNPRDVLMQNQGYNEQGFGNRETDIFQPSEASPFPGAFVPGLTRNEGTIPGIGIAMPFDASSQGEHKQPLPGSMALGAPPLPPGPHPSLLGSGQQQGYQQQQHHHQGHPQQMPPMPNMPHHQLPPSSHMPLHPHHLPRPMQMPPHGHMPPSSMPMSHQMPGSMGMQGGMNPQMSQSHFMGAPSGVFQGPPSNGGPQMYPQGRGGFNRPQMIPGYNNPFQQQPQPPLPPGPPPNTNQQHQ >fgenesh2_kg.6__1303__AT5G13490.1 pep chromosome:v.1.0:6:5443481:5445546:1 gene:fgenesh2_kg.6__1303__AT5G13490.1 transcript:fgenesh2_kg.6__1303__AT5G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQTQHPTILQKASGQILRSGVSQDIRGYASAFQRPATYQRHAAYGNYSNAAFQYPLAAASRIATTTSPVFVQAPGEKGFTNFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKAGRLSEPYKGIGDCFGRTIKDEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDSKSAKKGGERQFNGLVDVYKKTLKSDGIAGLYRGFNISCAGIIVYRGLYFGLYDSVKPVLLTGDLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFSQILKKEGARSLFKGAGANILRAVAGAGVLAGYDKLQLIVFGKKYGSGGA >fgenesh2_kg.6__1305__AT5G13500.1 pep chromosome:v.1.0:6:5446187:5449157:1 gene:fgenesh2_kg.6__1305__AT5G13500.1 transcript:fgenesh2_kg.6__1305__AT5G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASGLLLFLLGFGFFVVTYNLLTLIVHNRSGVSNSDGSPLLDPVVQMPLNIRKAKISPAPFHVALTATDAPYNKWQCRIMYYWYKQKKALPGSDMGGFTRILHSGNPDNLMDEIPTFVVDPLPPGLDRGYVVLNRPWAFVQWLERATIKEDYVLMAEPDHVFVNPLPNLAVGGFPAAFPFFYITPEKYENIVRKYYPVEMGPVTNIDPIGNSPVIISKESLEKIAPTWMNVSLTMKNDPETDKAFGWVLEMYGYAIASAIHGVRHILRKDFMLQPPWDLSTKGKFIIHYTYGCDYNMKGELTYGKIGEWRFDKRSHLRGPPPRNMSLPPPGVPESVVTLVKMVNEATSNIPNWDTL >fgenesh2_kg.6__1307__AT5G13510.1 pep chromosome:v.1.0:6:5449440:5450196:1 gene:fgenesh2_kg.6__1307__AT5G13510.1 transcript:fgenesh2_kg.6__1307__AT5G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10 family protein [Source:UniProtKB/TrEMBL;Acc:D7M5T9] MEVALLSFSSSLSPLCHQRISTLTPKTSNSPNYPRLPVIRSAVSRNKKEETVEAVKSHLENCHLLAAINYKGLTVKQFQDLRRTLPDTTKLIVAKNTLVFKAIEGTKWEALKPCMKGMNAWLFVQTDEIPSALKPYRSFQKERKLEDNDFAGAVFEGKFYAPDNFKVLETMPTRAEVYAKMLGALQSPAINLVTTLQAPAREVIMVLMAYIKKLEDESNA >fgenesh2_kg.6__1310__AT5G13570.1 pep chromosome:v.1.0:6:5476222:5479255:1 gene:fgenesh2_kg.6__1310__AT5G13570.1 transcript:fgenesh2_kg.6__1310__AT5G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLHRSSSSSKNIGNCLPSKELLDDLCSRFVLNVPEEDQQSFERILFLVEYAYWYYEDNAVENDPKLKSLSLKEFTSLLFNSCDVLRPYVTHIDDIFKDFTSYKCRVPVTGAIILDETYERCLLVKGWKGSSWSFPRGKKSKDEEDHACAIREVLEETGFDVSKLLKKEEYIEFVFRQQRVRLYIVAGVKEDTVFAPLTKKEISEIAWHRLDHLQPANNEVITHGVSGLKLYMVAPFLSSLKSWILKHPSPVARRHNKPLKALCVWNARTSLGGNGTATVENHNRKPELRTTTMESNNRKPELRTTTMESHNTKPEPRKTTMESHSTKPELGTATVESHNTKPEVDHSQDIEPADSFINFKFDKSVILQAME >fgenesh2_kg.6__1311__AT5G13580.1 pep chromosome:v.1.0:6:5479689:5482175:1 gene:fgenesh2_kg.6__1311__AT5G13580.1 transcript:fgenesh2_kg.6__1311__AT5G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7M5U4] MSRVVAADDNMALPLFSPEFGNVSGASSSPTTFAQLLQNVDDTTRRSHDQHHVDVDLASPDQSVPFVLSFTDLTYSVKVRRKFTWRRSVSSDPGAPSEGIFSSKTKTLLNGISGEARDGEILAVLGASGSGKSTLIDALANRIAKGSLKGNVTLNGEVLNSKMQKAISAYVMQDDLLFPMLTVEETLMFAAEFRLPRSLSKSKKSLRVQALIDQLGLRNAANTVIGDEGHRGISGGERRRVSIGIDIIHDPILLFLDEPTSGLDSTSALSVIKVLKRIAQSGSMVIMTLHQPSYRLLRLLDRLLFLSRGQTVFSGSPAMLPRFFAEFGHPIPEHENRTEFALDLIRELEGSAGGTRSLVEFNKGFRQRKAEPRTQTGLSLKEAISASISKGKLVSGATTTTTPGSSPVSTIPTFANPFWVELAVLAKRSMTNSRRQPELFGIRLGAVLVTGFILATMFWQLDNSPKGVQERLGCFAFAMSTTFYTCADALPVFLQERFIFMRETAYNAYRRSSYVLSHSLVALPSLIILSLAFAAITFWGVGLDGGLMGFLFYFLVILASFWAGSSFVTFLSGVVPHVMLGYTIVVAILAYFLLFSGFFINRDRIPGYWIWFHYISLVKYPYEAVLLNEFGDPTKCFVRGVQIFDNTPLVAVPQGMKVKLLATMSKSLGMRITSSTCLTTGYDILQQQGVTDLTKWNCLWVTVAWGFFFRILFYFSLLLGSKNKRR >fgenesh2_kg.6__1314__AT5G13610.1 pep chromosome:v.1.0:6:5492588:5494767:1 gene:fgenesh2_kg.6__1314__AT5G13610.1 transcript:fgenesh2_kg.6__1314__AT5G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVDVYVKTLGPPVRSILYSIFTSKQKPPSLSLPLHLSLRSSSNTPTRCFSNVQAISNSPIYGIRNLFTASARAISSSTTAPVASVQPQQQQLQNQHSEEVDDEVSKGLEDEAKLSIPVRAYFFSTSVDLRSLIEQNKQNFIPPTSRMTNYVVLKFGNHSDPTGTTHGRISGSECIYMVVFHYGSIVLFNVREHEVDEYLKVVERHASGLLPEMRKDEYEVREDPNLDTWMKGGRDFIRLQFLYIDGIRTIGSVLGQSIALDYYGRQVDGIVAEFTDINRQLEITGTFTMKTKKLFQLVGKANSNQADVILKLGLFERSDIAWKDAKYGQIWEFLRDEFELTESFANLDYKLKFVEHNVRFLQEILQNRKSASLEWLIIILISVEIAISLYNMSTTSL >fgenesh2_kg.6__1315__AT5G13620.1 pep chromosome:v.1.0:6:5495248:5496256:-1 gene:fgenesh2_kg.6__1315__AT5G13620.1 transcript:fgenesh2_kg.6__1315__AT5G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSSSSAVSVTRDEFNAFHKCDRDLFSRFVGRLRRDISQSLQVMSFLLYLEKSGLVSNLIVNFNSLPDFFINAVADEVVMCLSCLSYENFSMFVANFGQKTIPLITRMTGEYLTLAVIHQNRESILLSMKEHLNSICYPAFEDICVQAEEKKVMEDMKQLGFSKDKVVHKAESSSQFLSGQQVTTRTSKIGAFSEDEQAREDDRTVFLTFSRGYPISEAEVHAYFTRRFGEIIEAIIMPGGEGSEQALYAKMVLRSAAMIPEIVSDGITRNKYTINGKHVWARKYIPRSSINNLAPSNGVSI >fgenesh2_kg.6__1318__AT5G13640.1 pep chromosome:v.1.0:6:5504387:5509128:1 gene:fgenesh2_kg.6__1318__AT5G13640.1 transcript:fgenesh2_kg.6__1318__AT5G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid:diacylglycerol acyltransferase [Source:Projected from Arabidopsis thaliana (AT5G13640) TAIR;Acc:AT5G13640] MPLIHRKKPTEKPSSPPSEEVVVPDEDSQKKPHESSKSHHKKSNGGGKWSCIDSCCWFIGCVCVTWWFLLFLYNAMPASFPQYVTERITGPLPDPPGVKLKKEGLKAKHPVVFIPGIVTGGLELWEGKQCADGLFRKRLWGGTFGEVYKRPLCWVEHMSLDNVTGLDPAGIRVRAVSGLVAADYFAPGYFVWAVLIANLAHIGYEEKNMYMAAYDWRLSFQNTEVRDQTLSRMKSNIELMVSTNGGKKAVIVPHSMGVLYFLHFMKWVEAPAPMGGGGGPDWCAKYIKAVMNIGGPFLGVPKAVAGLFSAEAKDVAVARAIAPGFLDTDIFRLQTLQHVMRMTRTWDSTMSMLPKGGDTIWGGLDWSPEKGHTCCGKKQKSNETCGEVGENGVSKTKPVNYGRIISFGKEVAEAAPSEINNIDFRGAVKGQSIPNHTCRDVWTEYHDMGIAGIKAIAEYKVYTADEAIDLLHYVAPKMMARGSAHFSYGIADDLDDPKYQQPKYWSNPLETKLPNAPEMEIYSLYGVGIPTERAYVYKLNQSPDSCIPFQIFTSAQEEDEDSCLKAGVYNVDGDETVPVLSAGFMCAKAWRGKTRFNPSGIKTYIREYNHSPPANLLEGRGTQSGAHVDIMGNFALIEDIMRVAAGGNGSDIGHDQVHSGIFEWSERIDLKL >fgenesh2_kg.6__1319__AT5G13660.1 pep chromosome:v.1.0:6:5515097:5517553:1 gene:fgenesh2_kg.6__1319__AT5G13660.1 transcript:fgenesh2_kg.6__1319__AT5G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDFSRKDFVEKIPYSGSSTMELKRSYHQWLTEPSSSQVFKNKRQVVDAHMNLSSLDTSLVPTTHFTDCLFDPANAHSSHLLRARNYTEEQSIGLPVVHGSSFNLDTIRKVSNLPDFMVQLYNEGFSRSFETVPSYNSGQESTLSFGQTCTSSIDKSFIFPGPFASKADGNFIQNFSNEAVGVVPTGDIFDKGDGNVLSTFHPFQKGVENFVLMGQSLQKADCNIFSVSSSYNKGQENFMPLLSCDKLPGNVFMTGSNNYKENVNALSRGESPFTEGGEMAFMVSSQERTDQIRHEDRSQTLSFGDYQKESTIGSAVRVINSYENFSHDPAIAKDPPHMEAEENMSFEFRNPPYASPRVDTLLVPKSKDTKTAKKGSTNTFPSNVKSLLSTGMFDGVTVKYYSWSREVRNLKGIIKGTGYLCGCGNCNFNRVLNAYEFEQHANCKTKHPNNHIYFENGKTIYGVVQELKNTPQEKLFDAIQNVTGSDINHKNFNTWKASYHVASLELQRIYGKDDVTLAS >fgenesh2_kg.6__131__AT5G02200.1 pep chromosome:v.1.0:6:523179:524553:1 gene:fgenesh2_kg.6__131__AT5G02200.1 transcript:fgenesh2_kg.6__131__AT5G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAVESLDSSKKRKLRAEELDLLPLPKHFCLEQQASLVDSSFPSSDIEYAECSYAMEDTKTSDEASSSASFTGPSLYMFKDSIYSTGSSSSGYAATSSIEQCFSKVDHKTQEDAEDFTHMEFICHDSEFAVEDLQEVLNPVGSYVLSSARWSVGNQDYEEATTKPTIDQEFEQYFSTLMM >fgenesh2_kg.6__1322__AT5G13680.1 pep chromosome:v.1.0:6:5520999:5525974:-1 gene:fgenesh2_kg.6__1322__AT5G13680.1 transcript:fgenesh2_kg.6__1322__AT5G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 1 [Source:UniProtKB/TrEMBL;Acc:D7M5V4] MKNLKLYSEVSQNIQLHSTEEVVQFAAYDIDQSRLFFASSANFVYSLQLSSFQNGRSGSALPAEVCIIDMEPGDFITAFDYLAEKESLLIGTSHGLLLLHNVESDVTELVGNIEGGVKCISPSPTGDLLGLITGLGQLLVMTYDWGLMYERALGEVPDGGYVREADDLSVTCGGISISWRGDGKYFATMGEVYESGCMSKKIKIWESDSGTLQSSSETKEFTQGILEWMPSGAKIAAVYKRKSDDSSPSIAFFERNGLERSSFRIGEPEDATESFETLKWNSASDLLAGVVSCKTYDAIRVWFFSNNHWYLKQEIRYPREAGVTVMWDPTKPLQLICWTLSGQVSVRNYMWVTAVMEDSTAFVIDNSKILVTPLSLSLMPPPTYLFSLSFSSAVRDIAYYSRNSKNCLAVFLSDGNLSFVEFPAPNTWEDLEGKDFIVEISDCNTALGSFVHLLWLDAHSLLCVSAYGSSHNKCLSSGAYETELHGSYLQEVEVVCHEDHVSDQVTCSGFKASVAFQTLLESPVLALAWNPSKRDSAFVEFEGGKVLGYASRSGIMESRISDDSVCFPSTCPWVRVAQVDASGVHKPLICGLDDMGRLYINGKNLCNNCSSFSFYSELANEVVTHLIILTKQDFLFIVDTKDVLQGDVALGNVYFVIDGRRRDEENMSYVNIWERGAKVIGVLNGDEAAVVLQTMRGNLECIYPRKLVLSSITNVLAQQRFKDALNLVRRHRIDFNVIVDLYGWQAFLQSAVEFVEQVNNLNHVTEFVCAMKNEDVTETLYKKFSFSKKGDKVFEVKDRCSNKVSSVLLAIREALEEHIPESPSRELCILTTLARSDPPAIEESLLRIKSVRELELLNSSDDIRKKSCPSAEEALKHLLWLLDSDAVFEAALGLYDLNLAAIVALNSQRDPKEFLPYLQELEKMPESLMHFKIDIKLQRFDSALRNIVSAGDAYFPDCMNLIKKNPQLFPLGLQLITDPEKKQAVLEAWADHLIDEKRFEDAATTYLCCCKLEKASKAYRECGDWSGVLRVGALMKLGKDEILKLAYELCEEVNALGKPAEAAKIALEYCRDISGGISLLINAREWEEALRVAFLHTTDDRISVVKSSALECASGLVSEFKESIEKVGKYLTRYLAVRQRRLLLAAKLKSEERSVVDLDDDTASEASSNLSGMSAYTLGTRRGSAASVSSSTATSRARDLRRQRKSGKIRAGSAGEEMALVDHLKGMRMTEGGKRELKSLLICLVTVGEMESAQKLQQTAENFQVSQVAAVELAHDTVSSENVDEEVYCFERYAQKTRSTARDSDDFSWMLKVFISP >fgenesh2_kg.6__1323__AT5G13690.1 pep chromosome:v.1.0:6:5526261:5530901:1 gene:fgenesh2_kg.6__1323__AT5G13690.1 transcript:fgenesh2_kg.6__1323__AT5G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase family [Source:UniProtKB/TrEMBL;Acc:D7M5V5] MHSIKLVLLVLLVLSFHSQSLSKHHPTIDRLLNRLDSLLPTSSVQESAAKGLLQRLLPTHFHSFEFRIFSKDVCGGTSCFLIENYDDPRRIGPEIRIKGTTGVEIASGLHWYLKYKCNAHVSWDKTGGIQIASVPQPGHLPRLDSKRILIRRPIPWNYYQNVVTSSYSYVWWGWERWEREIDWMALQGINLPLAFTGQEAIWQKVFKRFNITKEDLDDYFGGPAFLAWARMGNLHTWGGPLSKNWLNDQLILQKQILSQMLKLGMTPVLPSFSGNVPSALRKIYPGANITRLDNWNTVDGDSRWCCTYLLNPSDPLFIDIGEAFIKQQPEEYGEITNIYNCDTFNENTPPTSEPEYISSLGAAVYKAMSKGNKNAVWLMQGWLFSSDSKFWKPPQMKVLLHSVPFGKMIVLDLYAEVKPIWNTSAQFYGTPYIWCMLHNFGGNIEMYGALDSISSGPVDARVSKNSTMVGVGMCMEGIEQNPVVYELISEMAFRDEKVDVQKWLKSYARRRYMKENHQIEAAWEILYHTVYNCTDGIADHNTDFIVKLPDWDPSSSVQDESKHTDSYMISTGPYETKRRVLFQDKSSDLPKAHLWYSTKEVIQALKLFLEAGDELSRSLTYRYDMVDLTRQVLSKLANQVYIEAVTAFVKKDIGSLGQLSEKFLELIKDIDVLLASDDNFLLGTWLESAKKLARNGDERKQYEWNARTQVTMWYDSKDVNQSKLHDYANKLWSGLLEDYYLPRARLYFNEMLKSLRDKKKFKVEKWQREWIMMSHKWQQSSSEVYPVKAKGDALAISKHLLLKYFP >fgenesh2_kg.6__1327__AT5G13720.1 pep chromosome:v.1.0:6:5538728:5540051:1 gene:fgenesh2_kg.6__1327__AT5G13720.1 transcript:fgenesh2_kg.6__1327__AT5G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of ribosome [Source:UniProtKB/TrEMBL;Acc:D7M5V7] MALCSLISATPISVSVPRYLVLPTRRRFHLPLATLGSSSSPESSASSSTPTSIPVNGNTVPSSYGTTRKDNSPFAQFFRSTESNVERIIFDFRFLALLAVGGSLAGSLLCFLNGCVYIVEAYKVYWTNCAKGIHTGQMVLRLVEAIDVYLAGTVMLIFSMGLYGLFISHSPHDVPPESDRALRSSSLFGMFAMKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVTIATGLDLLSYSVCIFLSSASLYILHNLHKGET >fgenesh2_kg.6__1329__AT5G13740.1 pep chromosome:v.1.0:6:5543120:5547439:1 gene:fgenesh2_kg.6__1329__AT5G13740.1 transcript:fgenesh2_kg.6__1329__AT5G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYKEALLDKQKYHDGCPGCKVEQMKQLRRGYPYLELTFVWIIVLSTSLPISSLYPFLYYMIEDFGVAKTEKDIGFYAGFVGCSFMLGRALTSVFWGIVADRYGRKPIILLGTISIAIFNALFGLSVNFWMAIGTRFLLGSFNCLLGTMKAYASEIFRDEYQATAMSAVSTAWGIGLIIGPALGGFLAQPADKYPNVFSQESIFGRFRYALPCFTISAFALVVTVLCCFIPETLHNHKLGSTSHDDSYEILEAASLESSAIEKAGKNERKASQSLLKNWPLMSSIIVYCVLCLHDTAYSEIFALWANSPRKYGGLSYSTNDVGTVLAISGLGLFSFQVFVYPFAEKLLGPVLVTRYAGALMIPIQMSYPFIASLSGLSLSLMLNCASILINVLSVSAITGLLILQNRAVDQSQRGAANGIAMTAMSLFKTVGPAGAGILFSWSERRLDAAFLPGSHMVFFVLNVIVVVGVALTFKPFLVTGRR >fgenesh2_kg.6__1330__AT5G13750.1 pep chromosome:v.1.0:6:5552573:5555945:1 gene:fgenesh2_kg.6__1330__AT5G13750.1 transcript:fgenesh2_kg.6__1330__AT5G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYTECLLENKFHEDCPGCKVDQMKRLRRGFPLWELFTVWIIVLCTALPISSLFPFLYFMIDDFNIAKKEEDIGFYAGFVGCSFMLGRAFTSVIWGLVADRYGRKPVILIGTASVVVFNTLFGLSLNFWMAIITRFCLGSFNGLLGPIKAYAMEIFRDEYQGLALSAVSTAWGIGLIIGPAIGGFLAQPATQYPSLFSQDSIFGKFPFFLPCFAISVFAFLVTIISLWIPETLHNHKYVDDDDSFDALKYLSHDPESNKVVERNEKSSLLNNWPLISSIIVYCVFSLHDMAYTEIFSLWANSPRKYGGLGYSTADVGSVLAISGFGLLIFQLSLYSYAERLLGPIIVTRISGILAMVILSCYPLIAKLSGLALTLAVNSASVAKNVLSTSAITGLFILQNNAVRQDQRGAANGIAMTAMSLFKAIGPAAAGIIFSWSEKRQDAAFFPGTQMVFFILNVVLALGVLMTFKPFLAETQQ >fgenesh2_kg.6__1333__AT5G13760.1 pep chromosome:v.1.0:6:5557520:5560419:1 gene:fgenesh2_kg.6__1333__AT5G13760.1 transcript:fgenesh2_kg.6__1333__AT5G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATEPAVVERDRKETEKQREAKAVEEEGEESKDKDEISHHRFLASLNRLNPTNPLRIIVNGGSRFTTPPPPNLAQPIRSSSRQPPPPPPRPQTPPTFVQEEPQPQTPPPPNQHQTRSIFTPTPQQTLASLNSTKYTNKFFLLLFIFHKVVAIGFVGFLVFRGVQGLIGSNGSVKRKEQKILRFLLPQVEAASLLSIILAFLWQMAFRLWPDFMIHFILWSTFLMSLSSGILLLCFQMPTTDAVGVCLIAFSIGNGLYACWVTRRIKFCSKILVKSLEPVSKFSDLNLPTYYMLAAGFLWMSLWIFGVIGALNFYFPPLVIIGLVLSLAWTTEVMRNIVNLTVSRVIALYYLRGMQSSTRFSFQRALSRNLGSACLGSLFVPTIEALRILARGLNLLKGEDEFMFCCANCCLRLMDFIFEHGNGWAFVQIAAYGKGFVRASQDTWKLFEDEDMVEIVDADITSSICFLTGICSGCVCLIAAAAWTHTVYKPFTATISLLAFFIGYLMTRISMALPHACVGCYYTCYAENPESRFFEDKVIKTRQDMIKSGRVAVTTPRVRRALA >fgenesh2_kg.6__1335__AT5G13780.1 pep chromosome:v.1.0:6:5563068:5564564:-1 gene:fgenesh2_kg.6__1335__AT5G13780.1 transcript:fgenesh2_kg.6__1335__AT5G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRRATVDDLLAMQACNLMCLPENYQMKYYLYHILSWPQLLYVAEDYNGRIVGYVLAKMEEESNECHGHITSLAVLRTHRKLGLATKLMTAAQAAMEQVYEAEYVSLHVRRSNRAAFNLYTETLGYKINDVEAKYYADGEDAYDMRKNLKGKQNHHHAHGHHHHGGGCCSGDAKVVETAQAVDAKAVSK >fgenesh2_kg.6__1336__AT5G13790.1 pep chromosome:v.1.0:6:5565342:5567172:-1 gene:fgenesh2_kg.6__1336__AT5G13790.1 transcript:fgenesh2_kg.6__1336__AT5G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENANSRQVTFSKRRSGLLKKAHELSVLCDAEVAVIVFSKSGKLFEFSSTGMKKTLSRYGNHQSSSASKAEEDCAEVDLLKDELSKLQEKHLQLQGKGLNILTFKELHNLEQQLYHALITVRERKERLLTNQLEESRLKEQRAELENETLRRQVQELRSFLPSFTHYVPSYIKCFAIDPKNAVINHGCLDDSECSLQKTNSDTTLQLGLPGEAHDRRKKEGGRESPSSDSVTTNTTRETAQKISLV >fgenesh2_kg.6__1338__AT5G13800.2 pep chromosome:v.1.0:6:5572418:5574806:-1 gene:fgenesh2_kg.6__1338__AT5G13800.2 transcript:fgenesh2_kg.6__1338__AT5G13800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7M5W5] MEIISLNFVPQCSVVTWSSKLATKRLVPNRSNLLLSGVRKSRLVIRSGNSDGYVVGENDDLGSIARRGESTSKVLIPGLPDQSNGEVAAPISHSHCEWKPKLRVHYEKAGSDNLDAPAVLFLPGFGVGSFHYEKQLTDLGRDYRVWAIDFLGQGLSLPTEDPTTMTQVSQDKEPFWGFGDKTEPWADQLVFSLDLWRDQVQYFVEEVIGEPVYIAGNSLGGYVALYFAATHPHLVKGVTLLNATPFWGFFPNPVRSPKLARLFPWPGAFPLPGRVKKITELVWQKISDPESIAEILKQVYTDHSTNVDKVFSRIVEVTQHPAAAASFASIMLAPGGQLSFSEALSRCKENNVQICLMYGREDPWVRPMWGKKIKKKIPNAPYYEISPAGHCPHDEVPEVVNYLMRGWIKHLESGGFEALPLLDDTEEDWESSFGREIEFPRDGWKKAVNLWLYGSKYSFWRGVRESFRSSFIRVFGGKSA >fgenesh2_kg.6__1339__AT5G13820.1 pep chromosome:v.1.0:6:5582587:5586246:1 gene:fgenesh2_kg.6__1339__AT5G13820.1 transcript:fgenesh2_kg.6__1339__AT5G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKRKLSCGSKGFDFPNIPKAPRSSRRKVSGKRADDDYESEICAIDLLASLAGKLLEESESSSTSTYAFEGDNLDHLGGVVKLKQELEDGYNKPCLSKFFDQGNPASKSTSENTSVTCLPFSSLENDCILEKTPVSDCKPACGLKSLVGEETCVVNEDAGSGQGEATGALKDPSHLHLQSPESVHLDGDVKLPPCTDQLPNDSFEGYGNHSKLVCRDDDENYCKYYKFSDKCKSYRPPFRVGNRRIMQSVKTKYGGRSISKLKCFEDTRTDGRLKALYRKRKLCYGYNPWKRETVHKKRRLSAKGLVVNYDGGLSSESVSNSPEKGESENGDFSAAKIGLLSKDSRVKFSIKSLRIPELVVEVPETATVGLLKRTVKEAVTALLGGGIRIGVLVQGKKVRDDNNTLSQTGLSCRENLGNLGFTLEPGLETLPVPLCSETPVFSMPTDSTKLSERSAASPSLDTRIPIPLQDEDPLINLGNSVENNHELVPHPSDISAADEQPSSDSRALVPVSALESEALALVPVNEKPKRTELSQRRTRRPFSVTEVEALVRAVEEVGTGRWRDVKLRSFENASHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQDLLDRVLGAHRFWSQHQMKQNGKHQVATSTTMVVESGSSV >fgenesh2_kg.6__133__AT5G02220.1 pep chromosome:v.1.0:6:529522:529859:-1 gene:fgenesh2_kg.6__133__AT5G02220.1 transcript:fgenesh2_kg.6__133__AT5G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M793] MEVVERATEMAEEGCTTPRSRMYRIPVASVCPPPPRKKLMVVRKRDPPRNGYFQPPDLETLFYAQPRR >fgenesh2_kg.6__1342__AT5G13840.1 pep chromosome:v.1.0:6:5594126:5596736:-1 gene:fgenesh2_kg.6__1342__AT5G13840.1 transcript:fgenesh2_kg.6__1342__AT5G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7M5X1] MASPQSTKTGLNLPAGMNQTSLRLETFSSSFRGISSLSSPSKSTCSDRFIPCRSSSRLHAFDLQDKEPTTPVKEGGNEAYSRLLKSELFGSDFASPCLSPAGRQGSASSPMSPCTNMLRFKTDRSNSSPSSPFSPSILGNDNGLSSDSSPPPKPPRKVPKTPHKVLDAPSLQDDFYLNVVDWSSQNVLAVGLGTCVYLWTASNSKVSKLCDLGPNDSVCSVQWTREGSYISIGTSHGQVQVWDGIQCKRVRTMGGHQTRTGVLAWNSRILSSGSRDRNILQHDIRVQSDYVSKLVGHKSEVCGLKWSHDDRELASGGNDNQLLVWNNHSQQPILKLTEHTAAVKAITWSPHQSSLLASGGGTADRCIRFWNTTNGHQLNSIDTGSQVCNLAWSKNVNEIVSTHGYSQNQIMLWKYPSMSKVATLTGHSMRVLYLATSPDGQTIVTGAGDETLRFWNVFPSVKMQTPVKDTGLWSLGRTQIR >fgenesh2_kg.6__1344__AT5G13860.1 pep chromosome:v.1.0:6:5598908:5600180:-1 gene:fgenesh2_kg.6__1344__AT5G13860.1 transcript:fgenesh2_kg.6__1344__AT5G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAKMQEIHQFLSSALSQRGPSALPYDETTKSLIRQHLLNLISSYTSLDPKTATFTHNDGRSVILLQADGTIPMPFQGVNYNIPVVIWLLESYPHYPPCVYVNPTRDMIIKRPHTNVSPSGLVSLPYLQNWVYPSSNLVDLASHLSAAFSRDPPLYSQRRPPPQPSPSIGSGYSRPLNPYQTDGAAEVYKKNAINKIVEMVHGDLVLMRSAREVETEGLLSLQSGLKRREEEINNGLKEMVNEKETLEQQLQVIAMNTDVLGSWIRENQGKAKNLLVDLDVGDAFECSETLSKQMLECTALDLAIEDVVYSMDKSFRDGSLPFDQYLRNVRLLSREQFFHRATAEKVREIQMDAQVASIAARLHS >fgenesh2_kg.6__1345__AT5G13870.1 pep chromosome:v.1.0:6:5601669:5603977:-1 gene:fgenesh2_kg.6__1345__AT5G13870.1 transcript:fgenesh2_kg.6__1345__AT5G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7M5X4] MGRLSSTLCLTFLVMAKVAFGVPPKKSINVPFGRNYFPTWAFDHIKYLNGGSEVHLVLDKYTGTGFQSKGSYLFGHFSMHIKMVAGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGTGNREQRINLWFDPSKDYHSYSVLWNMYQIVFFVDDVPIRVFKNSKDIGVKFPFNQPMKIYSSLWNADDWATRGGLEKTNWEKAPFVASYRGFHVDGCEASVNAKFCETQGKRWWDQKEFQDLDANQYKRLKWVRKRYTIYNYCTDRVRFPVPPPECRRDRDI >fgenesh2_kg.6__1346__AT5G13880.1 pep chromosome:v.1.0:6:5604277:5604970:-1 gene:fgenesh2_kg.6__1346__AT5G13880.1 transcript:fgenesh2_kg.6__1346__AT5G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAAEFVKQIINMLSGLAKDKTKELKRKTRAFKTRLVIFSLLHNRHMVVSSLSHKLKSNPTSKKIDHDNKDDEDHHDQNMVVVYSHNVNAMSSTPVSPHVQYQYTEEEEDKEEEEEEEEEEKYPDLRHSLFEAEGSVIEMVKHSKEDNGEEFRLEDEIDKVADLFITRFHRQMWLQKQLSFESPDTSSPFHS >fgenesh2_kg.6__1348__AT5G13890.1 pep chromosome:v.1.0:6:5605737:5608684:-1 gene:fgenesh2_kg.6__1348__AT5G13890.1 transcript:fgenesh2_kg.6__1348__AT5G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMMYKLFASASLLTLGLYHLICTFFHLLKSPQSYAAKPFYPFPRLSSVTGNNHHNHNRLQNLPLFTLILSLFVAFLHETLISFYSDPLVKGSTPVHRFSSLNSAAVFFLFLLIAVYYLLSDSTSLIPLPSDLLFALASVGFFLHYSAASSSAAIQTSDLQAHCDSLSARISALCSLLCLLLACRSRLFIADAALAAAICLQGLWQLQTGLSLYVDGFIPEGCHRLLDVQSGVEGSTKCDIQDSKLRAVSILDLMFTVHVVLVVILIFATYTMVVMAAGVRRTGSYEALPTNNADSNHIQMKSLTGTQA >fgenesh2_kg.6__1350__AT5G13900.1 pep chromosome:v.1.0:6:5608987:5609633:-1 gene:fgenesh2_kg.6__1350__AT5G13900.1 transcript:fgenesh2_kg.6__1350__AT5G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKYFYFNYAIAVALLLVAANSGMQISGQSVSCLNQLAPCLNYLNGTKEVPQVCCNPLKSVIRNNPECLCRMISNRGSSQAERAGIDVNDAQMLPARCGEHVNPIACLTRSRGSTNSNRSSSSGNSFSQSYWMTTLAFALIVLSFIFFQ >fgenesh2_kg.6__1352__AT5G13920.1 pep chromosome:v.1.0:6:5613674:5615100:-1 gene:fgenesh2_kg.6__1352__AT5G13920.1 transcript:fgenesh2_kg.6__1352__AT5G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle (CCHC-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7M5X9] MQTGNCFRCRQAGHWISDCPLKSKTDDDPPPAIHCTCGGGFCEIKVANTRENPGRKFYKCPTAQNCKFFKWCDKVTDEDIRFRPAFTIPICSCGAGPCRRVKDVSGRAYLICCIKEGFGACGFFKWEDAEMIPSCDVMDEIDFWVEADQILSDVESSFQARGGVIPEIANQMASEKECQASVSGAEDDSTLENLDSTSMSVSDVHFIALNQGIPLFEPFSIEPEEAWKKTPHGDQPTNSALSKLSVDEAVSDLIRDTVSSGSVVIHGRTTLEQPGIGEAEWLFPYLQDLIDQYNSEKLQLESISGKHVQVLSEFMSSYRRLRLLHEKTCHLRKTLLETEKEMVCCEAETLEFGASCREVAGEMAESQKRMQETAEQLGKEVEVFKQNEFVAPKRRRC >fgenesh2_kg.6__1353__AT5G13930.1 pep chromosome:v.1.0:6:5616859:5618435:1 gene:fgenesh2_kg.6__1353__AT5G13930.1 transcript:fgenesh2_kg.6__1353__AT5G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone synthase [Source:UniProtKB/TrEMBL;Acc:Q9SEN1] MVMAAGASSLDEIRKAQRADGPAGILAIGTANPENHVLQAEYPDYYFRITNSEHMTDLKEKFKRMCDKSMIRKRHMHLTEDFLKENPHMCAYMAPSLDTRQDIVVVEVPKLGKEAAVKAIKEWGQPKSKITHVVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRIAKDLAENNRGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFSDGAAALIVGSDPDTSVGEKPIFEMVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIVKSLDEAFKPLGISDWNSLFWIAHPGGPAILDQVELKLGLKEEKMRMTRHVLSEYGNMSSACVLFILDEMRRKSAKDGVATTGEGLEWGVLFGFGPGLTVETVVLHSVPL >fgenesh2_kg.6__1354__AT5G13950.1 pep chromosome:v.1.0:6:5631625:5636302:-1 gene:fgenesh2_kg.6__1354__AT5G13950.1 transcript:fgenesh2_kg.6__1354__AT5G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQRRKRMNSANVIGFSSREHYRAKRKKIGSPDGALRSGDHISLEWDRNRSKVVSKREQVGLSWRHLREFFDVVPPRQNVLAQVCPVPHETFQLENLSQVLSNEVWHSCLSDGERNYLRQFLPEGVDVEQVVQALLDGENFHFGNPSLDWGTAVCSSKAHPDQIVSREERLRADKKRYYSDLEKYHHDIIDYLQTLKEKWESCKDPEKDIVKMMWGRSRGGNAQVNGSCQDLTAASGSSSWNADDKPDSSDNKISSVVRSGDVQRRPKNSGLEKEKSQNNGVNVGGKVRKKDVFPKDSIQQTDGAKYMSYLKISKKQHQIVTSMKQSGKSIQSRALNRILGSIDSLDVQPYGVFVEEEQKKLNAHWLHLVKDLPAAYAIWKKLQLQKRDIISSMGRELKDKRNPWMEDKQQQYAAENPLQKHDVQFNNRESLNPNQSGDMAQDDEDSGIFSQISGKNHSPAKDSSSYGDQITDSGRCLLVGTHSSQVSSPDCGNNINQEDREEKQYSSPCSPSRCHGLNRTDVEVNDYSSSIQGQSLPQASFPNEPHTSNLEDADHVGKHCAPELENASLDERISFIAASHGEGSQFCSGGDVWQPVGGIRQSYISRQAYTPSGGLSIIHHPEGDEIGKNCFIDLESDMLGEVDRRKMSQRKANNSFGSIPNNDQNELLQSLFNGHGVASRTTEQLHSLLKVPLNEDHKQIMGIGFQQEGSNNLMVGNQFCGQFQDQIPASHALPQDQQRHVDAFGQGSMSDNIYCNGRGFLMQRPDWNPNCTQIGVTTQPLLSTGPLLNQNWQFRSMWANTNGVGCTSQGSQTGTERDPSLLRVANNAEQIIHRGSSSDQSLFSVLSQCSQLRRSRSAFEPESSSHQMVASGNYGMLMGGGGTTQVSSNLVQPANPLDYLSGSNPTTSLMPDDVAWMNQSRQNSSLHDPLGKLYPRSWNP >fgenesh2_kg.6__1356__AT5G13960.1 pep chromosome:v.1.0:6:5637186:5642002:1 gene:fgenesh2_kg.6__1356__AT5G13960.1 transcript:fgenesh2_kg.6__1356__AT5G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRKRANAPDQTERRLSVRVQEVRQKALDEKERLVRERVKLLSDKKSELCVDDTELHEKEEENVDGSPKRRSPPKLTAMQKGKQKLSVSPNGKDVNLDAHLKVTKCLRFFNKQYLLCVQAKLSRPDLKGITEMIKAKAILYPRKLIGDLPGIDVGHRFFSRAEMCAVGFHNHWLNGIDYMGMEYEKEYSNYKFPLAVSIVMSGQYEDDLDNADTVTYTGQGGHNLTGNKRQIKDQLLQRGNLALKHCCEYNVPVRVTRGHDCTSSYTKRVYTYDGLYKVEKFWAQKGVSGFTVYKYRLKRLEGQPELTTDQVNFVAGRIPKSTSEIEGLVCEDISGGLEFKGIPATNRVDDSPVSPSSGFTYIKSLIIGPNVKIPKSSTGCNCQGSCTDSKKCACAKLNGGNFPYVDLNDGRLIEPRDVVFECGPHCGCGPKCVNRTSQKRLRFNLEVFRSAKKGWAVRSWDYIPAGSPVCEYIGVLRRTADVDTISDNDYIFEMDCQQTMQGLDGRQRRLRDVAVPTNNGVSQSNEDENVPEFCIDAGSKGNFARFINHSCEPNLFVQCVLSSHQDLRLARVVLFAADNISPLQELTYDYGYTLDSVHGPDGKVKQLACYCGALNCRKRLY >fgenesh2_kg.6__1357__AT5G13970.1 pep chromosome:v.1.0:6:5642075:5643635:-1 gene:fgenesh2_kg.6__1357__AT5G13970.1 transcript:fgenesh2_kg.6__1357__AT5G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESFRVRIDKVFGSLASSSASSAPVSSLWCLAEDEIDNNQRSGEKEISKSEQENEVEDLSVNEEKGKCSELQKPSDYDDEEWEIKNSIGMDSTLDMEEEEDDNDKVALGEKVYSCMKDVNDYETEADEWVELPASFNEREKDPRANLIAAKLRLKEDAEAVNKLNSLHVSEELQDNLSMSTENEKPLVVSEDNLLGTCKESHVDSSDDTGLKPILKRKENQADDSKLQKRVRFSSDVKDGNLTEGDKDSVMETSSPDEHKVEADYPTGSPDYMRNPSKYTRYTFDSGEVDEESNRKAYMDFLNMIRRKDELPVDPLVELPRSVAFVPKRKPMAESKVESIEKDCEGRRVALAVDTIEDCTISAMEEDEPETAQHVIRRPGRQYRARAKEDPE >fgenesh2_kg.6__1359__AT5G13980.1 pep chromosome:v.1.0:6:5644147:5649953:1 gene:fgenesh2_kg.6__1359__AT5G13980.1 transcript:fgenesh2_kg.6__1359__AT5G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase [Source:UniProtKB/TrEMBL;Acc:D7M5Y8] MDLAKFLCWVVLLLGISFVESRYMVYNTSHTMVPGKLNVHVVPHSHDDVGWLKTVDQYYVGSNNSIQVACVQNVLDSIVPALLADKNRKFIYVEQAFFQRWWNEQSETIKRIVKELIHSGQLELINGGMCMHDEAAPHYIDMIDQTTLGHRFIIREFNVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSVFFGRIDYQDREKRKNEKSLEVIWRGSKSLGSSSQIFAGAFPKNYEPPPGGFYYEINDDSPVVQDDPDLFDYNVQERVNAFVAAALDQANITRTNHIMFTMGTDFRYQYAHTWYRQMDKLIHYVNLDGRVNAFYSTPSTYTDAKHAANEAWPLKTEDYFPYADRINAYWTGYFTSRPALKRYVRVMSVYYLAARQLEFFKGRSQKGPNTDSLADALAIAQHHDAVSGTSKQHVANDYAKRLAIGYVEAESVVATSLAHLTKVDPTLKPTFQQCLLLNISYCPSSEINLSDGKSLIVLAYNPLGWKRVDIVRLPVVGGEIAVHDSEGHEVESQLVPFTDEYVALRNYHVEAYLGQSPTQVPKYWLVFSVTVPPLGFTTYTISTAKKTDGYSSKSYVSRIQKGEQSIIDFGHGNLKLSFSTDQGTAINYVNSRTSMTEPVKQTFSYYSAYNGSNDKEPLIPQNSGAYVFRPNGTFPINPEGQVPLTVIRGPLVDEVHQQINPWISQITRVYKGTEHVEVEFIVGNIPIDDGIGKEVVTQISSSLKSNKTFYTDSSGRDYIKRIRDYRSDWKLEVNQPVAGNYYPINHGIYLQDSEKEFSVMVDRAFGGSSIVDGQVELMLHRRLLLDDSRGVAENLNETVCVQDKCTGLTIQGKYYYRIDPYGEGAKWRRTFGQEIYSPLLLAFAQQDAGKPMSFGAASFFGIDPSYSLPGNVALLTLQELDDGNVLLRLAHLYEVGEDKELSGVARVELRKLFPGKKIGKVTEMSLSANQERSAMEKKRLVWKVEGEGSHGEEKKAKRGREIDPRKLEMELYPMEIRTVLIHWERPSAHSRINRFDA >fgenesh2_kg.6__1361__AT5G13990.1 pep chromosome:v.1.0:6:5650090:5652421:-1 gene:fgenesh2_kg.6__1361__AT5G13990.1 transcript:fgenesh2_kg.6__1361__AT5G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXO70C2 [Source:UniProtKB/TrEMBL;Acc:D7M5Y9] MEKNNDKDPDHDDKSKEDEKKGDVVSDAHLSDDVHQDGSNNKENVDVVGNAETDHQDPGDDNVDKVSQGEEAPEIRQTLESLSEELDQFLPTLSLHMEEHKDCTEEKGEDGYFQIPQFVGKFLDLFEEKLSKYDSGEPKTVWYQDPEEVSSLLEAVDRVSKLMVLLLNTKSCLDHHESLINHAGSIQQRAMAFLEDEFRIILEESVTKESVVVTDDSNSQRRSTADQQDHQNDVVVSQDQDQMLVPECGDQEIEYPGYPEDVVVVLRKIAEKMKAGGYGWECREVYLVGRRNILMRTLKQDCEFEKVSIDEVQKMSWDTLEREIPIWNKTFKDCSSLFFPGELKLAERIFPGDEGSLFCIVTHGLAIQFLGFAEAVAMTRRSTEKLFKILDIYETLRDSFPAMEELFPEELRGELRNEVTSARSRLGETAIHIFCDLEHSIKSDSSKTPVPGGAVHPLTRYTMNYLKYSCEYKDTLEQVFKSHSKLEREEEEPVESGNSAFASQLMRIMELLDGNLETKSKQYKDIPLSCIFMMNNGRYIVQKIKGSAEIHEVMGDTWCRRRSSELRNYHKNYQRETWGKLLGFLGHEGLMHNGKIVKPNLKERFKSFNATFDEIHKTQTTWVVNDEQLQSELRVSITAVMIPAYRAFMARFGQYLDPGRQTEKYVKYQPEDIEDLIDQLFEGNTSSSSTATARRRT >fgenesh2_kg.6__1363__AT5G14010.1 pep chromosome:v.1.0:6:5659280:5660047:1 gene:fgenesh2_kg.6__1363__AT5G14010.1 transcript:fgenesh2_kg.6__1363__AT5G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M5Z1] MAEPPPSYHHFVSPAKPRSSSKRHSFSSSSHPTSHRLFPCQYCPRKFYTSQALGGHQNAHKRERAAARRNLGVLANSPPILDDNTFLRPYPCFYQNPFQGSTSGSEPVQEQTTMMTMGGYDPFNPFPYVYPFGLSGNNDGNGVMEEDEPLDLDL >fgenesh2_kg.6__1364__AT5G14020.1 pep chromosome:v.1.0:6:5660675:5662927:-1 gene:fgenesh2_kg.6__1364__AT5G14020.1 transcript:fgenesh2_kg.6__1364__AT5G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSTNAVVGRKNKKRIIQESVVFVLQFRVPVQSDLQRQLKGVAPKTTIDRLSCLRNQIELVAEDTGGSAISELRTALEEYLCLLTGLIKNSSENDGTEGCVEFKWRTLGDGPREEICCTNLWMEMLIVIHMMAALALTEANSLMIPKNCYASGSGNGVRVVSTDCRRDAVDLLLKASGYLEFCDREILTQLPPDIKNKLPDDMQESVLQTLSIQALGQGTEIQLGLAVDSQKATLSVKRRIACEQVIYFTQAYHCLSSCEAVSHGCAKKLLRFIYWKFLEAKAAAYYYHGLVTDKGSEPACHVSAVCCFLAAAEILGESKKACLSFCLAPPVTRAPPMWGVMKHLSQKIPEVAFRKSQTYGYLLEEEEKAMQCLPELPDFQLSLRPDDFELPEMEDVSPA >fgenesh2_kg.6__1370__AT5G14050.1 pep chromosome:v.1.0:6:5669591:5671687:1 gene:fgenesh2_kg.6__1370__AT5G14050.1 transcript:fgenesh2_kg.6__1370__AT5G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7M5Z5] MSLSQNAPTSKAKKREELKKQYTDGEEIGSDDDLTRGKRRKTDKEKEKLEESELVEMKKLENLIFGSLYSPVTFGKEEEEDGSALFHVDRSAVRQIPDYEDDDDEENGQAVETRKGEAAWEDEEEKQINIDIASVNRLRKLRKEENEGLISGSEYIARLRAHHAKLNPGTDWARPDSQIIDGESSDDDDTQDGGVDDILRTNEDLVVKSRGNKLCAGRLEYSQLVDANAADPSNGAINSVHFHQNAQLLLTAGLDRRLRFFQIDGKRNTKIQSIFLEDCPIHKAAFLPNGSQVIVSGRRKFFYSFDLEKAKFDKIGPLVGREEKSLEQFEVSQDSNTIAFVGNEGYILLVSTKTKELIGTLKMNGSVRSLAFSDDGKHLLSSGGDGQIYVWDLRTMKCLYKGVDEGSTCGTSLCSSLNGALFASGTDRGIVNIYKKSEFVGGKRKPIKTVDNLTSKIDFMKFNHDAQILAIVSTRNKNSVKLVHVPSLTVFSNWPPPNSTMHYPRCLDFSPGSGFMAMGNAAGKVLLYKLHHYQNA >fgenesh2_kg.6__1371__AT5G14060.1 pep chromosome:v.1.0:6:5671775:5675613:1 gene:fgenesh2_kg.6__1371__AT5G14060.1 transcript:fgenesh2_kg.6__1371__AT5G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartokinase [Source:UniProtKB/TrEMBL;Acc:D7M5Z6] MTASLHLYGVKAPVLALSSKRLDFGSKGTTFSVTLPSSSAVFRAVEHSCRNIALRVSCEDVRVDLLERKEHETCYSSATGKELTCVMKFGGSSVESAERMKEVANLILSFPDERPVIVLSAMGKTTNKLLKAGEKAVTCGVTNVESIEELSFIKELHLRTALELGVETTVIEKHLEGLHQLLKGISMMKELTLRTRDYLVSFGECMSTRLFSAYLNKIGHKARQYDAFEIGFITTDDFTNADILEATYPAVSKTLVGDWSKENAVPVVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPGAQSVPYLTFDEAAELAYFGAQVLHPLSMRPARDGDIPVRVKNSYNPTAPGTVITRSRDMSKAVLTSIVLKRNVTMLDIASTRMLGQYGFLAKVFTTFEDLGISVDVVATSEVSISLTLDPAKLWGRELIQRVNELDNLVEELEKIAVVKLLQRRSIISLIGNVQKSSLILEKVFQVLRSNGVNVQMISQGASKVNISLIVNDEEAEQCVRALHSAFFETDS >fgenesh2_kg.6__1373__AT5G14070.1 pep chromosome:v.1.0:6:5680273:5680773:1 gene:fgenesh2_kg.6__1373__AT5G14070.1 transcript:fgenesh2_kg.6__1373__AT5G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7M5Z7] MQYKTETRGSLSYNNNSKVMNNMNVFPSETLAKIESMAAENAVVIFSVSTCCMCHAIKRLFRGMGVSPAVHELDLLPYGVEIHRALLRLLGCSSGGATSPGALPVVFIGGKMVGAMERVMASHINGSLVPLLKDAGALWL >fgenesh2_kg.6__1375__AT5G14090.1 pep chromosome:v.1.0:6:5686024:5688203:1 gene:fgenesh2_kg.6__1375__AT5G14090.1 transcript:fgenesh2_kg.6__1375__AT5G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWGWMHHKFRENSKEPLKDASTGNSYSILSAHPSLDSQEVYPTACAGSRYNTGFRKQVNLFQESSFAGPKGYTEENFKDERNSDFFDGFLAIGTLGGETLLDEQPATPTFGMSFEDPAIDDADVTENDLKLISNELEKFLEAEAKEGHHQPSGRNSDTNTIASTIEAIEGLDDEKDNQPMKFPLQEYLFGSLNEFPETKIAGKKERASLGELFQITEVLDENSENIYGKKKKQTSSAHKSAKHLVKKVLKKIHPSSRSSVSGKTEVDSTKKKFQKMVQVFHRKVHPEESIMETEIYSSVANPKNSKANSIDLTFEKVNPCHEASNRCIQYELRSSRSAKNGEHWIKTDEAYFVLEL >fgenesh2_kg.6__1376__AT5G14100.1 pep chromosome:v.1.0:6:5688403:5690508:-1 gene:fgenesh2_kg.6__1376__AT5G14100.1 transcript:fgenesh2_kg.6__1376__AT5G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic abc protein 14 [Source:UniProtKB/TrEMBL;Acc:D7M600] MAVSTFSSPTAVSGIAEPPASFSSAAIGWKQPLRFRRKKKPRVISCDYSCIEVRDVCYRPPGTQLNILNGVNFSLRERSFGLIFGKSGSGKTTLLQLLAGLNKPTSGSICIQRYGDDGQPKAVPELLPTEKVGIVFQFPERFFVADNVLDEITFGWPRQKGSLQLKEQLTSNLHRAFNWVGLDSIPLDKDPQLLSGGYKRRLALAIQLVQTPDLLILDEPLAGLDWKARADVAKLLKHLKKELTLLVVSHDLRELAALVDQSWRMETGGVLLAERPPL >fgenesh2_kg.6__1377__AT5G14105.1 pep chromosome:v.1.0:6:5690900:5691889:-1 gene:fgenesh2_kg.6__1377__AT5G14105.1 transcript:fgenesh2_kg.6__1377__AT5G14105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTNFILTVAGVGAVVMLLRSDVKQSVTVLRRNVKHIRHWLEEESSAASSKAAESIKPKEIETKVPKKDIPKEKD >fgenesh2_kg.6__137__AT5G02250.1 pep chromosome:v.1.0:6:552969:557765:1 gene:fgenesh2_kg.6__137__AT5G02250.1 transcript:fgenesh2_kg.6__137__AT5G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2730 [Source:UniProtKB/TrEMBL;Acc:D7M7A0] MISVRAINGCSIIRTATSAGGPPVSLFRHRIQRLRASHLREFSKLGLNFPLLRSNRRSLGNNDAPSCSSCIHSLVESVSEELGSISRRKGSRIRVRASVKVKLTSYGEVLEDKLVNQELEAGLLLEFKKDAERVLLAVVHRRDGKKNWMVFDQNGVTCSIKPQQITYIVPGVYNFDHTGLTDFLQRAQDNLDPQLLEFAWIELLEKNKPVTPEELAEMIYGRADPLESYCAHFLLSQDEIYFFVLESKGSRSIYSPRSSEQVEELLRRQRVKEAEEKEFQEFIQLLKSAKKSPSHAKPPKSSWLADDKVQDRIGSLEAYAIDAWASTDQQKSAGTILKSMGLQKTSVSALNLLIDIGYFPVHVNLELLKLNLPTHHSEAITEAAEVLLSESSDIDAVRRIDLTHLKVYAIDVDEADELDDALSATRLQDGRIKIWIHVADPARYVTPGSKVDREARRRGTSVFLPTATYPMFPEKLAMEGMSLRQGENCNAVSVSVVLRSDGCIADYSVENSIIRPTYMLTYESASELLHLNLEEEAELKLLSEAAFIRSQWRREQGAVDTTTLETRIKVVNPEDPEPLINLYVENQADLAMRLVFEMMILCGEVVATFGSQHNIPLPYRGQPQSNIDVSAFAHLPEGPVRSSSIVKVMRAAEMNFRCPVRHGVLGIPGYVQFTSPIRRYMDLTAHYQIKAFLRGGDNFPFSAGELEGIAASVNMQSKVVRKLSNSGLRYWVIEFLRRQEKGKKYTALILRFVKDRIASLLLVEVGFQATAWVSEGKQVGDEIEVRVEEAHPRDDLILFKEVI >fgenesh2_kg.6__1381__AT5G14150.1 pep chromosome:v.1.0:6:5718250:5720096:-1 gene:fgenesh2_kg.6__1381__AT5G14150.1 transcript:fgenesh2_kg.6__1381__AT5G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWFQRVFLLLLVSCCASSDFLENPDFESPPLNLTTNSNASSVSLDQNSTLPGWTFQGTVLYVELPDTGHAVQLSEDGKINQTFIAKGDDLNYILTFALIHAGQNCSSSAGLSVSGPDSNAVFSYRQNYSKVSWQSYSHNLGSWGNGQPINLVLESQAIDSDSDTNSTCWPIIDTLLIKTVGVTLVQDSGNLLINGGFESGPGFLPNSTDGVLIDAVPSLIQSPLRQWSVIGTVRYIDSEHFHVPEGKAAIEILSYTAPSGIQTATKGTSEGSRYNLTFTLGDANDACRGHFVVGVQAGSATQNFTLESNGTGSGEKFGLVFEADKDAAQISFTSYSATMTKEDVVCGPVVDEVIVHPLGGTASVKPTWLLLIFALLYVAVL >fgenesh2_kg.6__1382__AT5G14160.1 pep chromosome:v.1.0:6:5720461:5721636:1 gene:fgenesh2_kg.6__1382__AT5G14160.1 transcript:fgenesh2_kg.6__1382__AT5G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGEGLVELPEDLIRLVLGRLRFSDFHRARAVCSAWYRVWGECLSKPNQVPWLILFPDPAQTRRSCMLYNPQEEENVYTIQDLGVDPCLASCGTWLLIKAEMIGYPATDKAVVWIDEKTKDYVVACSWGAHRHTAFCKKGDCEWRQIPPLLGFSYILVLSFRFRLGNLWRHGSFLPDYIDWKTNIVITISGDILMVASVLKRSDLSWHFRAVILDLGITVQASSDIQGITRNSIYFSGLQSSQKDVFVFNLSSQKIQRFSASSISSRPFFNARWLFPTS >fgenesh2_kg.6__1383__AT5G14170.1 pep chromosome:v.1.0:6:5721749:5723654:-1 gene:fgenesh2_kg.6__1383__AT5G14170.1 transcript:fgenesh2_kg.6__1383__AT5G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB complex BAF60b domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M610] MSGNNNNPQKPQGSAPSPFGNPGMASASVPGNQGFAQSQMAANFQAQFQFSQAQALAHAQAQSKVQAQLQAQLQAQGMTMNQAQGSPGIGGLGPSSPSLTTPGSLNMKRFQQKPPMRPPGAPASNNTISPMRTMELTPAARKKKQKLPEKSLQERVAAILPESALYTQLLEFESRVDAALTRKKVDIQEALKNPPCIQKTLRIYVFNSFANQNNTIPGNPNADPPTWTLKIIGRILEDGVDPDQPGFVQKANPLHPKFSSFFKRVTVSLDQRLYPENPLIIWENARSPAPQEGFEIKRKGNQEFAASIRLEMNYVPEKFKLSTALMDVLGIEVETRPRIIAAIWHYVKARKLQNPNDPSFFNCDAALQKVFGEEKLKFTMVSQKISHHLSPPPPIHLEHKIKLSGNNPAVSACYDVLVDVPFPIQRDLNNLLANAEKNKEIEACDEAICAAIRKIHEHRRRRAFFLGFSQSPVEFINALIESQSKDLKVVAGEASRNAERERRSDFFNQPWVEDAVIRYLNRRPAAGNDGPGSW >fgenesh2_kg.6__1387__AT5G14200.1 pep chromosome:v.1.0:6:5731713:5733948:1 gene:fgenesh2_kg.6__1387__AT5G14200.1 transcript:fgenesh2_kg.6__1387__AT5G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-isopropylmalate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7M613] MAAFLQTNIRLNSIKIVPGRYSSLTDHQFRAPYRIRCAAASPGKKRYNIALLPGDGIGPEVISVAKIVLQKAGSLEGLEFDFQEMPVGGAALDLVGVPMPEQTFTAAKQSDAILLGAIGGYKWDKNEKHLRPEMALFYLRRDLKVFANLRPATVLPQLVDASTLKKEVAEGVDMMIVRELTGGIYFGEPRGIKINENGEEVGISTEIYAAHEVLNLDRIARVAFETARKRRGKLCSVDKANVLDASILWRKRVTALASEYPDVELSHMYVDNAAMQLIRDPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGEEKAAKRIEDAVVDALNKGFRTGDIYSPGNKLVGCKEMGDEVLKSVESKVPATV >fgenesh2_kg.6__1389__AT5G14210.1 pep chromosome:v.1.0:6:5733924:5736918:-1 gene:fgenesh2_kg.6__1389__AT5G14210.1 transcript:fgenesh2_kg.6__1389__AT5G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSKVLPLLFLSWVMMFLQSTHQLQNSQTQVLYQLRKHLEFPKALESWGNYYGDLCQIPATAHMSITCQGNSITELKVMGDKLFKLFGMFDGSSLPNHTLSEAFLIDSFVTTLTRLTSLRVLSLVSLGIYGEFPGKIHRLNSLEYLDLSSNYLFGSVPPDISRLVMLQSLMLDGNYFNGSVPDTLDSLTNLTVLSLKNNRFRGPFPSSICRLGRLTNLALSHNEISGKLPDLSKLSHLHMLDLRENHLDSELPVMPIRLVTVLLSKNSFSGEIPRPFGALSQLQHLDLSFNHLTGTPSRFLFSLPNISYLDLASNMLSGKLPLNLTCGGKLGFVDMSNNRFIGTPPRCLAGASGERVVKLGGNCLSIFGSHDQHQEFLCEEAENEGKQFQGRKVGILIAVIGGGVLILVFFVLVILLLCTNRCSCCCSREKSVPQTRLKVVTDNSHTSLSAEVLASARLISQTTKLGAQGVPSCRSFSFEELKEATDDFDSSRFLGEGSLGKLYRGTLENGSSIAIRCLVLSRKFSSQSIRGHLDWMSKLNHPHLLSFLGHCTQTSGEHDPVATILYLVYEYMPNGSYRTHLSESFSEKILTWPDRLAILIEIAKAVHFLHTGVMPGSFNNHLKTNNILLDEHKIAKLSDYGVSAIIEENEKLEDSTLLLLLHFADKVRNPQVKVCIFCPSIEKLHGIYENLIQTLGLCRKMAKREDDVYNFGFILLESLIGPVPTTKGEAYLLNEMTSFGSQDGRQKIVSPTVLTTSSQESLSIAISIANKCVLLEPSARPSFEDVLWNLQYAAQMQSAADAERKSDTSS >fgenesh2_kg.6__1390__AT5G14220.1 pep chromosome:v.1.0:6:5739219:5743410:-1 gene:fgenesh2_kg.6__1390__AT5G14220.1 transcript:fgenesh2_kg.6__1390__AT5G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase [Source:UniProtKB/TrEMBL;Acc:D7M615] MESGAVGDHDTKFESISGKRVAVVGAGVSGLAAAYKLKSRGLNVTVFEADERAGGKLTSVMQNGLIWDQGANTMTEAEPEVGSLLDDLGLRDKQQFPISQKKRYIVRNGLPMMLPTNPIELVTSSVLSTQAKIQILLEPFLWKKNDSSSKVSDASAEESVSGFFQRHFGQEVVDYLIDPFVGGTSAADPDSLSMKHSFPDLWNVEKSFGSIIVGAIRTKLAAKGGKSGEAKSSPGTKRGSRRSFSFKGGMQILPDMLCKSLSHDEINLDSKVLSLSYNSGSRQENWSLSCVSHNETQRQNLHYDAVVMTAPLCNVKEMKVTKGGQPFLLNFLPEINYMPLSVLITTFTKEKVKRPLEGFGVLIPSKEKKHGFKTLGTLFSSMMFPDRCPSDLHLYTTFIGGSRNQELAKASTDELKQVVTSDLQRLLGVEGEPVSVNHYYWRKAFPLYDSSYGSVMEAIDKMEKDLPGFFYAGNHRGGLSIGKSIASGCKAADLVISYLESCSNDKKPDESL >fgenesh2_kg.6__1391__AT5G14230.1 pep chromosome:v.1.0:6:5748432:5752867:1 gene:fgenesh2_kg.6__1391__AT5G14230.1 transcript:fgenesh2_kg.6__1391__AT5G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7M616] MGTDLIRPHVAVHALATACCRGFVDVVGALLKCGVDANSTDRLLLQSSKPSLYTNVDCTALVAAIVNRQVSAVHVLLQAGVKTDIMVRLGAWSWDTNTGEEFRVGAGVAEPYPLTWCAVEYFETSGDILRLLLKIQSPNAPHNGRTLLHHAVLCSNQAAVRVLLNCGADPEAPIKTSREIELRPIHIAARDGLVEIIQELVGFGCDINSKNDVGNTALLISIKHKHPECIKVLALAGADFGVVNKFGHSAVSIAESNKWSLGLERLILELIRFGVVPHSSNASVFSPLLYVAQAGDAEALKALVKTQDIYLDYQDEEGFSAAMLAAMNGHVEAFRVLVYAGADVKLYNNSGDTVVSLSEQNGNRDVIEKVMLEFALEKDSRNMAGGFYALHCAARRGDVKAVKLLSGKGYGLDIPDGDGYTPLMLAAIEGHGHMCEYLISRGANCSAKNERGETLLDLATGDAEKVIRNELSRRFVITGSSVMKHTKGGKGKKHGKGLRMLESSGVLSWGKSRKRNVVCKEVEIGMSQRFRKNRKGKGVGAEEEEGIFRVVTTENKEVHFVCEGGLVCAEMWVRGIRLVTRETICGRTQTQD >fgenesh2_kg.6__1392__AT5G14240.1 pep chromosome:v.1.0:6:5752833:5754599:-1 gene:fgenesh2_kg.6__1392__AT5G14240.1 transcript:fgenesh2_kg.6__1392__AT5G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDVEGASTQWDDIQRKLGNLPEKAPAFKPPAYTPAEDESSAPKDQAWFDKKTEEELEDLEDDKDLDDDRFLEEYRKKRLSELREAAKVKRYGTVTPISGSDFVREVTQASAEDWVVVCLYKDGFAECGLLLGCLDELGSRYPATKFVKIISTDCIPNYPDCNLPTLLVYHHGAIKGTHVGLKSFGRRCTPESVALLLCQSEPVLNDGKGGDDDSSREAVMAGVRRQFIERVVKDHEDKDNDDGGYSSD >fgenesh2_kg.6__1393__AT5G14250.1 pep chromosome:v.1.0:6:5756301:5759241:1 gene:fgenesh2_kg.6__1393__AT5G14250.1 transcript:fgenesh2_kg.6__1393__AT5G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVMNSVEAVITSIQGLSASSEDLSALHDLLRGAQDSLRAEPDVNFSTLDQLDASKHSLGYLYFLEVLTCGPVSKEKASYEIPIISRFINSCDAGQIRLASDKFVSLCKRLKDHVLELRDPLRGVGPLLTAVQKLQVSTKRLTALHPDLLQLCLQAKSYKSGFSILSDDIVEVDQPRDLFLYCYYGGMICIGLKRFQKALELLYNVVTAPMHQVNAIALEAYKKYILVSLIHNGQFTNSLPKCASTAAQRNFKTFSGPYIELGNCYNDGKIGELEALVVAKSTEFENDKNLGLVKQAVSSLYKRNILRLTQKYLTLSLQDIANMVQLGNAKEAEMHVLQMIQDGQIHALINQKDGMVRFLEDPEQYKSSAMIEVMDSVIQRTIGLSKNLLAMDESLSCDPLYLGKVGRERQRYDFGDDFDTVPQKFSM >fgenesh2_kg.6__1397__AT5G14260.2 pep chromosome:v.1.0:6:5759517:5762965:1 gene:fgenesh2_kg.6__1397__AT5G14260.2 transcript:fgenesh2_kg.6__1397__AT5G14260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M620] MEGVITCFHTKCVSLPIRSFPLSRVSSLPRWRNTKLISSSRSVPLRSLCVSASSSDTLVAGGSPKEDERQSKVSSKKEGDDSEDLKFWMDKNGLPPCKVLLKERPAHDLKYKPIHYVAASEDLQKGDVAFSVPDSLVVTLERVLGNETIAELLTTNKLSELACLALYLMYEKKQGKKSVWYPYIRELDRQRGRGQLDAESPLLWSEAELDYLTGSPTKAEVLERAEGIKREYNELDTVWFMAGSLFQQYPFDIPTEAFSFEIFKQAFVAIQSCVVHLQNVGLARRFALVPLGPPLLAYCSNCKAMLTAVDGAVELVVDRPYKAGDPIVVWCGPQPNAKLLLNYGFVDEDNPYDRIIVEAALNTEDPQYQDKRMVAQRNGKLSQQVFQVRVGKEREAVQDMLPYLRLGYMSDPSEMQSVISSQGPVCTMSPCMERAVLDQLANYFMRRLSGYPTTPKEDDALLADPSLSPRKRVATRLVQLEKKILAACLTTTVDLLNQLPDTAISPCPAPYAPSLK >fgenesh2_kg.6__1398__AT5G14270.1 pep chromosome:v.1.0:6:5763308:5767228:1 gene:fgenesh2_kg.6__1398__AT5G14270.1 transcript:fgenesh2_kg.6__1398__AT5G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATBET9 [Source:UniProtKB/TrEMBL;Acc:D7M621] MTVRNGGFPGDYCFETPGGDYDEGSDSPSASEGSNCSKRKLGDTFGVSKMVLPLSGLSSSDRKELILRLRQELEQIRFFQKSFEISRSVTLTSSSASGLTRAKSFGKSRCSTGPGKTVNPLSAAAKPTPVTTAVMLLMKQCEALLKRLMSHQYGWVFNTPVDVVKLNILDYFNVIKHPMDLGTVKNKLTSGTYSCPSEFAADVRLTFSNAMTYNPPGNDVFVMADTLRKFFEVRWKTLEKKLSGIKVHTEPSNSDAHEEKHIVIPVPMAKKRKTSAVDCENVSEPVKRVMTDEDRLKLGKDLESLTEFPAQLINFLRDHNSNEGGIGDDEIEIDINDLSHHALFQLRDLLDEHLRESQNKKSSVEPCEIELLHGSVPGNSLMQHCDGSELDDEVIDIGKNEHPKSSISPVTIEKDLVLGNSNGIFSFTCLYCHSVSGLPRTSKGLGTMDLEPMLDGATSASPTRGSSVGVLDQLESASPEKISSVEADCQQDGNSAQTEKQLPPEKIYRAAFLKNRFADIILKAREKPLNQNDLRDPEKLQREREELELQKKKEKARLQAEAKAAEEARRKAEAQAAAEAAAEAKRKLELEREAARQALMEMEQSVELNENAKFLKDLELLKTVDTDHLTDAIEEDGPDVSHDGLRSFSFGGSNPLEQLGLFMKQDEDDEEADPLTSPAPGIDIEEGEID >fgenesh2_kg.6__1401__AT5G14300.1 pep chromosome:v.1.0:6:5772775:5773675:1 gene:fgenesh2_kg.6__1401__AT5G14300.1 transcript:fgenesh2_kg.6__1401__AT5G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPHB5 [Source:UniProtKB/TrEMBL;Acc:D7M624] MPWAKFTKVALGLGAAITAVRSTTYTVDGGQRAVMFHRFEGVLEEPVGEGTHRKIPWVQKPYIFDIRTRPYEIKSDSGTKDLQMVNLTLRVMFRPDVLKAVVAQFNADELLTERPQVSALIRETLIKRAKEFNIVLDDVSITDLSYGKEFSLAVERKQVAQQEAERSKFVVAKADQERRAAVIRAEGESEAARVISKATAEAGMGLIELRRIEAAREVAITLSNSPNVVYLPSDGNMLFNLQ >fgenesh2_kg.6__1402__AT5G14310.1 pep chromosome:v.1.0:6:5776277:5778510:1 gene:fgenesh2_kg.6__1402__AT5G14310.1 transcript:fgenesh2_kg.6__1402__AT5G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVAVKLYSVFFKLLLKHRLQNLISISAADGLSDSFGVSTRSDESVAAANPSFTDGVATKDIHIDPMTSLTVRIFLPESALSPPEPDSLRHKDHFHHQPRSDRRHSYGPNHNSPAPAERNESRRNSYGCNNENLVPYGGYAPSAKRNSRKLPVMLQFHGGGWVSGSSDSAANDFFCRRIAKVCDVIVLAVGYRLAPENRYPAAFEDGVKVLNWLGKQANLAECCKSLGNRRVNGVEVKKLNVQGQIVDAFGASMVEPWLAAHADPSRCVLLGVSCGGNIADYVARKAVEAGKLLEPVKVVAQVLMYPFFIGNNPTQSEIKLANSYFYDKPVSVLAWKLFLPEKEFDFDHPAANPLAHNRSGPPLKLMPPTLTVVAEHDWMRDRAIAYSEELRKVNVDSPVLEYKDAVHEFATLDMLLKTPQAQACAEDIAIWVKKYISIRGHEFSY >fgenesh2_kg.6__1405__AT3G46610.1 pep chromosome:v.1.0:6:5778823:5792595:-1 gene:fgenesh2_kg.6__1405__AT3G46610.1 transcript:fgenesh2_kg.6__1405__AT3G46610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M6I3] MQALSIWPLKSGLLVGSRLEFELDCSCFVVSHKSRKRHCSAQQGCFGRISSLILVSSNRKFEGLAVNPTSKVLFLCEPKRNLSGSSVGVGWATEQRELGEEVSTEDSSYPQTVNGGEKTNSRVDVRELAYSLRAAKTADDVDIVIKEMGELPLQVYCAMIRGFGKDKRLKPAIAVVDWLRRKKSESGGVIGPNLFIYNSLLGAMKQSSVGEAEKILSDMEEEGIVPNIVTYNTLMVIYMEKGEFHKALGILDLVKEKGFEPNPITYSTALLVYRRMEDGMGALEFFVELREKYSKREIGNDADYDWEFEFVKLENFIGRICYQVMRRWLVKDENWTTRVLKLLNAMDNAGPKPSREEHERLIWACTREEHYIVGKELYKRIRERFPEISLSVCNHLIWLMGKAKKWWAALEIYEDLLDEGPEPNNLSYELVVSHFNILLSAASRRGIWRWGVRLLNKMEDKGLKPQSRHWNAVLVACSKASETTAAIQIFKAMVDNGEKPTVISYGALLSALEKGKLYDEAFRVWNHMIKVGIEPNLYAYTTMASVLTGQQKFNLLDTLLKEMASKGIEPSVVTYNAVISGCARNGLSGVAYEWFHRMRGEKVEPNEITYEMLIEALANDAKPRLAYELHLKAQNDGLKLSSKPYDAVVKSAETYGATIDLNLLGPRPHKEKRA >fgenesh2_kg.6__1410__AT5G14360.1 pep chromosome:v.1.0:6:5798104:5798953:1 gene:fgenesh2_kg.6__1410__AT5G14360.1 transcript:fgenesh2_kg.6__1410__AT5G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein [Source:UniProtKB/TrEMBL;Acc:D7M6I4] MMKFKSKRFGIRFGFGKRINNKGTQQDQQQKGVSNNNNSSSSNYEIKWELRPGGMLVQKRQESIGEDLISIRVSTFAHFHDLSIEATSTFGELKMVLSLLTGLEPKQQRLLFKGKEREDHEYLHMVGVGDKDKVLLLEDPAFKDKKLLDLNNISTSCPIIV >fgenesh2_kg.6__1411__AT5G14370.1 pep chromosome:v.1.0:6:5799136:5800994:-1 gene:fgenesh2_kg.6__1411__AT5G14370.1 transcript:fgenesh2_kg.6__1411__AT5G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNKTSPVVGEEKQSTRTSKRIKKRKNREATITMEEKSPSNLDASRKIRTKTKKPKFLSLKLELNTSHEINENSRAKKSKKKKNKKQSKKKEPDTTPFKEKKRAETTLGGGEKEEEQYDTVADYLFNSATDSTISSIHDLLPTSAATDIDCGGERNNLSPYDRQEHGSSSSSLLKTAMRKGASEEEETTEERWVSYSEVVEEVMSRSGTPRCCGGDGNDGRPSLALKLDYEQIMEAWSDKGTLYVDGEPPQMVPDLHASTDGFTDCGEAGNLWAVPEMETTERLWRGHREASLLRYKEKRQNRLFSKRIRYQVRKLNAEKRPRVKGRFVKRDDS >fgenesh2_kg.6__1412__AT5G14380.1 pep chromosome:v.1.0:6:5804831:5805706:-1 gene:fgenesh2_kg.6__1412__AT5G14380.1 transcript:fgenesh2_kg.6__1412__AT5G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQFVVLALLALTIASVFAADAPSASPKKSPSPTATPTKAPAAPTKAPAAPTKAPAAAPKSSSASSPKASSPTAEGPVPDDDYSASSPSGSAEAPTVSSPPAPTPDSTSAADGPSDGPTAESPKSGAVTTAKLSVVGTIAAVGFFFFSF >fgenesh2_kg.6__1413__AT5G14390.1 pep chromosome:v.1.0:6:5806638:5809079:-1 gene:fgenesh2_kg.6__1413__AT5G14390.1 transcript:fgenesh2_kg.6__1413__AT5G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKFAFFPPSPSSYKLVYDELTGLLLMNPFPHRENVEILKLRTRRGTEIVTMYVRHPMATSTLLYSHGNAADLGQMYELFIELSIHLKVNLMGYDYSGYGQSTGKPSEHHTYADIEAAYKCLEETYGAKQEDVILYGQSVGSGPTLDLAARLPHLRAVVLHSPILSGLRVMYPVKKTYWFDIFKNIDKIPLVNCPVLVIHGTCDEVVDCSHGKQLWELSKEKYEPLWLEGGNHCDLEHYPEYIKHLKKFITTVERSLSSRVSTAQSEKQSSDLEMPRQSVDRREKPRQSIDRREKEKPPKGPSKKSKLRITFEQHLDRTRRSVDFHEKARKSVDHHQHHHNHHQIERGRKSVDRLDRVRSE >fgenesh2_kg.6__1414__AT5G14410.1 pep chromosome:v.1.0:6:5819198:5819756:-1 gene:fgenesh2_kg.6__1414__AT5G14410.1 transcript:fgenesh2_kg.6__1414__AT5G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPKITNLPYKRLSLEDDVFGETTRRRSSFYSYRFRRVVSLGGRRRIRVRVKIRRLRGFVRKKANRVKTGILKILKRLKESQSHFGDLFAGNYLFMQVNPSSLNTKYVFDKSFQSQNGGFPSRLSLPRVLM >fgenesh2_kg.6__1418__AT5G14420.2 pep chromosome:v.1.0:6:5820475:5823690:-1 gene:fgenesh2_kg.6__1418__AT5G14420.2 transcript:fgenesh2_kg.6__1418__AT5G14420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGNSKENWRQSSFRSASASSASPSSSSWASQQSYPQYGAESYNYPPPPSYAPPPEYTQTQPLPPLYSTQSYSAPPSQSYGSDNKKRLERKYSKISDDYSSLEQVTEALARAGLESSNLIVGIDFTKSNEWTGARSFNRKSLHFIGSSPNPYEQAITIIGRTLAAFDEDNLIPCYGFGDASTHDQDVFSFNSEDRFCNGFEEVLARYKEIVPQLKLAGPTSFAPIIDMAMTIVEQSGGQYHVLVIIADGQVTRSVDTENGRLSPQEQKTVDAIVQASKLPLSIVLVGVGDGPWDMMREFDDNIPSRAFDNFQFVNFTEIMAKNKAQSLKETEFALSALMEIPQQYKATIELNLLGRRNGNIPERFPLPPPVHGGSSSYNSPKPFRPPSFKPSVPPHPTEESYHVRSSPVPPPTNSASDNQLCPICLSNPKDMAFGCGHQTCCECGPGLQMCPICRAPIHTRIKLY >fgenesh2_kg.6__1420__AT5G14440.1 pep chromosome:v.1.0:6:5831226:5833111:-1 gene:fgenesh2_kg.6__1420__AT5G14440.1 transcript:fgenesh2_kg.6__1420__AT5G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEEEMTTTTKEGADLLGKPKYKKLDNGRFKCVQTGHELLEKDKKVYSQSKRCRLGLIDYALSHSKPPLNLFEQDPNARSKLKCKLTGDTVNKTEEHIWKHINGRRFLNRLEEKEREKESGSIPEEGGETLAEENGVKEEEKKKMKKRKNKKKEKKKNKKSVEKEKNGEDVADEVEHENDEAVEEELEFWMPPDGERWDFDDGGDRWGSDSDSEEEMNEEEDPIGEFDEDGEISMEESIVIGEVDEDGKIVLDETHDSSNKRKPEELSSSDLPSKKKNKKKKKKKNVETTSS >fgenesh2_kg.6__1422__AT5G14460.1 pep chromosome:v.1.0:6:5835335:5837857:-1 gene:fgenesh2_kg.6__1422__AT5G14460.1 transcript:fgenesh2_kg.6__1422__AT5G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALHFTRLIMSSSSARMCMTSSLFFSSVKPRRNIHKPYLASLFLSTTSTRYPLQYDMIINRPTQSSLSQTRRRPPKAIESGAPDSAEPEFDSWVDNKLALEREQGRPGSGDPEMDKAKRKYYSKRRKRLYGSDSEDESRRKSDEGFVELKPQVVEFDRLHQREEELYFYDTFAYPWEKDKHYKMVYQLEKKYYPDQCLDKAFLQPGEVSKKGDDDSGKVRGKKKVVAALGGKRNEVKRMGMENCVEDDDKLVFFDEVKEKKKPEDDVVVTEKKVEQFFKDLTKSPSEKGVTSGGGDGEPFLVTRNGELPPRWDGPNGTVLLVNKPKGWTSFTVCGKLRRLVKVKKVGHAGTLDPMATGLLIVCIGKATKVVDRYQGMIKCYSGVFRLGEATSTLDADSPVIQREPWEHIKDDDIKKALTSFLGEIWQVPPMFSAIKVGGEKMYEKARRGETVELSPRRISIFQFDIERSLDDRQNLIFRVICSKGTYIRSLCADLAKALGSCAHLTALRRDSIGEYSANDAWEFNELEAAITKNYF >fgenesh2_kg.6__1423__AT5G14480.1 pep chromosome:v.1.0:6:5843781:5846028:1 gene:fgenesh2_kg.6__1423__AT5G14480.1 transcript:fgenesh2_kg.6__1423__AT5G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7M6J9] MSDGYYSSKKTDDICDDVCGQDGSRASKAFSRVRCVLRGLDFKTYIFLFTIVPIFIFGVYLHGQKLTYFLRPLWESPPKPFQTLPHYYHENASMATLCSLHGWKHRESPRRVFDAVLFSNEVDMLTIRWKELYPYITQFVILESNSTFTGLPKPLVFNGNRGKFEFVEPRLSYGNIAGRFKKGENPFVEEAYQRIALDQLIRLAGIEEDDLLIMSDVDEIPSAHTINLLRWCDGYPPILHLQLKNYLYSFEYFVDNKSWRASIHQYKPGKTRYAHFRQGNTLLADSGWHCSFCFRHISEFIFKMKAYSHNDRVRFSHYLNPKRIQDVICKGTDLFDMLPEEYTFREIIGKLGPIPRSYSAVHLPAHLIEKAESYKYLLPGNCIRESG >fgenesh2_kg.6__1426__AT5G14510.1 pep chromosome:v.1.0:6:5863259:5864426:-1 gene:fgenesh2_kg.6__1426__AT5G14510.1 transcript:fgenesh2_kg.6__1426__AT5G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7M6K3] MEEIVVESLLSGNREAQIEAAIELSNLSRKQRQKLAEREIISPLLSMLQSQDCLTTEVALSALLSLAFGSERNKVRIVKSGAVPMLLEILQSETKMVVLELAMAFLLILSSCNKNKVKMASTRLIQLLVGLIGLDRLTVQAKVDGIATLQNLSTLHQIVPLVIASGAPYALLQVINCCEKSSELADKAVALLENITSHSPESVSSIGGAIRVLVEAIEEGSAQCKEHAVGILLGVCNNDRETNRGMILREGVMPGLLQVSVDGTRRAKEMARELLLLLRDCSGYVIKNKQSKIEIVEQIMREIDQEGERIPGTMLKLVEEMISKLST >fgenesh2_kg.6__1428__AT5G14530.1 pep chromosome:v.1.0:6:5870228:5872462:-1 gene:fgenesh2_kg.6__1428__AT5G14530.1 transcript:fgenesh2_kg.6__1428__AT5G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7M6K5] MSLTELDDGLVRRIAIGAVFSDFGGKIHSVGFHRTDDLLVTSSEDDSLRLFDIANAKQLKITYHKKHGTDRVCFTHHPSSLICSSRYNLESTGESLRYLSMYDNRILRYFKGHKDRVVSLCMSPINDSFMSGSLDRSVRLWDLRVNACQGILHLRGRPAVAYDQQGLVFAIAMEGGAVKLFDSRCYDKGPFDTFLVGGDTAEVNDIKFSNDGKSMLLTTTNNNIYVLDAYRGEKKCGFSLEPSQGTPIEATFTPDGKYVLSGSGDGTLHAWNIENPSEVARWENNVGVVSCLKWAPRRAMFVAASTVLTFWIPNDGEPPAPADPPADQQALSQ >fgenesh2_kg.6__142__AT5G02290.2 pep chromosome:v.1.0:6:568771:571286:-1 gene:fgenesh2_kg.6__142__AT5G02290.2 transcript:fgenesh2_kg.6__142__AT5G02290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSNRIKTDIASSTWLSSKFLSRDGSKGSSTTSFSYMPRTEGEILQNANLKNFSLSELKSATRNFRPDSVVGEGGFGCVFKGWIDEASLTPSKPGTGIVIAVKRLNQEGFQGHREWLAEINYLGQLDHPNLVKLLGYCLEEEHRLLVYEFMPRGSLENHLFRRGTFYQPLSWNTRVRMALGAARGLAFLHNAQPQVIYRDFKASNILLDSNYNAKLSDFGLARDGPMGDNSHVSTRIMGTQGYAAPEYLATGHLSAKSDVYSFGVVLLELLSGRRAIDKNQPVGEHNLVDWARPYLTNKRRLLRVMDPRLQGQYSLTRALKIAVLALDCISIDTKSRPTMNEIVKTLEELHIQKEAPKEQQNPQISIDININKSPQAVNYPRPSIM >fgenesh2_kg.6__1433__AT5G14580.1 pep chromosome:v.1.0:6:5882979:5888774:-1 gene:fgenesh2_kg.6__1433__AT5G14580.1 transcript:fgenesh2_kg.6__1433__AT5G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVNRARSTSLPNFLAWRALGFRTICSGRLGFAPSNPDSPASAGTKILESFKEEFEVGSRVVSFETGKIARFANGSVVLGMDQTKVLSTVTCAKTDSPRDFLPLTVDYQEKQYAQGLIPNTYMRREGAPKERELLCGRLIDRPIRPLFPSGFYHEVQIMASVLSSDGKQDPDILAANASSAALMLSDVPWGGPIGVIRIGRICGQFVVNPTMDELSSSDLNLIYACTRDKTMMIDVQSREISEKDLAAALRLAHPEAIKYLDPQIRLAEKAGKQKKEYKLSMLSEKTLEKVTDLAATRIESVFTDPSYGKFERGEALDNIGKDVRKVFEEEGDQESLSILPKAVDTVRKKVVRSRMISDGFRVDGRHLDEVRPIYCESHYLPALHGSALFSRGDTQVLCTVTLGAPGEAQSLDSLVGPPKKRFMLHYSFPPYCTNEVGKRGGLNRREVGHGTLAEKALLAVLPPEEAFPYTVRINSEVMSSDGSTSMASVCGGSMALMDAGIPLRAHVAGVSVGLITDVDPSSGEIKDYRIVTDILGLEDHLGDMDFKIAGTRNGVTAIQLDIKPAGIPLDIVCESLENAREARLQILDHMERNINSPRAQDGAYSPRLATFKYTNDSLRSLIGPMGVLKRKIEEETGARLSIDNGTLTIVAKNQDVMEKAQEKVDFIIGREIVVGGVYKGTVTSIKEYGAFVEFSGGQQGLLHMSELSHEPVSKVSDVLHIGQYITTMCIETDVRGNIKLSRKAMLPKPERKPASDAGKDSVMKESSTVYTETSSVGETVASMPSIVTPPQKSKLSVPAVVIRTAVECHEAEKSSPVNKNDKPKRATTPKPDRKPKSTASKLTATQKEEEVLESIAPEETPAECGETLKQDGKLKSASPKNSSTDSNLVSSSKAKKSTRKENQSENKAEESAFVSTRNLKIGTEMTAKVHEIRARGLVLDLGGELRGMYKFKEDEETEFEEGDTLQVKCTSFSTKGIPVMALVDEEGEE >fgenesh2_kg.6__1434__AT5G14590.1 pep chromosome:v.1.0:6:5889013:5892515:-1 gene:fgenesh2_kg.6__1434__AT5G14590.1 transcript:fgenesh2_kg.6__1434__AT5G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:D7M6L0] MLNKLTHGVFTYRASLTAMLSSSTSAGLSSSFASTRNSWKSPGFLSSGIFSGGASMNRVSFHVQSHRASAVRCFASSGGSDRIQVQNPIVEMDGDEMTRVIWSMIKEKLILPYLDLDIKYFDLGILNRDATDDKVTVESAEAALKYNVAIKCATITPDEGRVKEFGLKSMWRSPNGTIRNILDGTVFREPIMCSNIPRLVPGWKKPICIGRHAFGDQYRATDTVIKGPGKLKMVFVPEDGNAPVELDVYDFKGPGVALAMYNVDESIRAFAESSMAMALTKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKQKFEEHSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSILLSADGKTLESEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDKNEKLMDFVKKLESSCVNTVETGKMTKDLALLIHGPKVSRDLFLNTEEFIDAVASKLKTQLKELPLV >fgenesh2_kg.6__1438__AT5G14620.1 pep chromosome:v.1.0:6:5905007:5908647:-1 gene:fgenesh2_kg.6__1438__AT5G14620.1 transcript:fgenesh2_kg.6__1438__AT5G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDSAGDDDFVDWNTDDDLEIDNFQSSPPSSPIQSRVETLVGVAVTTSSLSSPTETTDLVQMGFSDEIFATLFDMGFPVEMIARAIKETGPNAETSVIIDTISKYSSNCEAGSSKSKAIDHFLAMGFDEEKVIKAIQEHGEENMEAIANALLSCAEAEKLPVVEEEDVIDWSSDDETNYSDILVSDDEKDPNSKENSNQIRSLVRMGFSELEASLAVERCGENVDIAELTDFLCAAKMAREFSEFHTDPEERKPRNDIKKRRLESKKEPRSSVDDEPVRLPNPMIGFGVPNEPGLITHRSLPELARGPPFFYYENVALTPKGVWETISRHLFDIPPEFVDSKYFCAAARKRGYIHNLPINNRFQIQPPPKYTIHDAFPLSKRWWPDWDKRTKLNCILTCTGSAQLTNRIRLALEPYNGEPEPPKHVQRYVIDQCRRWNLVWVGKNKAAPLEPDEMENILGFPKNHTRGGGMSRTERYKSLGNSFQVDTVAYHLSVLKPIFPHGINVLSLFTGIGGGEVALHRLQIRMKLVVSVEISKVNRNILKDFWEQTNQTGVLIEFSDIQHLTNDTIEGLMEKYGGFDLVIGGSPCNNLAGGNRVSRVGLEGDQSSLFFEYCRILEVVRARMRRS >fgenesh2_kg.6__1439__AT5G14640.1 pep chromosome:v.1.0:6:5908984:5912233:-1 gene:fgenesh2_kg.6__1439__AT5G14640.1 transcript:fgenesh2_kg.6__1439__AT5G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M6L5] MASVGTLPASSVATKQSNASICAEKLPEGINEMKIKDDKEMEAAVVDGNGTETGHIIVTTIGGKNGQPKQTISYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVYRVSKHYSRANQRMPMIYVKLYTYQICRALAYIHGGVGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRTPPEAVDLVSRLLQYSPSLRSTAMEAIVHPFFDELRDPNTRLPNGRPLPPLFNFKPQELNGASSELLSKLIPDHARKQCSFLAL >fgenesh2_kg.6__143__AT5G02310.1 pep chromosome:v.1.0:6:574878:583311:1 gene:fgenesh2_kg.6__143__AT5G02310.1 transcript:fgenesh2_kg.6__143__AT5G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNSPLFGSVLPSSHDLVIERLASVGVPKKYCSKRGLVEFVRAKPAKISELVSALLPTDEDVKIGLKEARERSRKSAVSPSMKKRFRESMNMLQWLMFQDEPDVSLRNLAKLNLDQRAVCGSVWGHNDIAYRCRTCENDPTCAICVPCFQNGDHKSHDYSIIYTGGGCCDCGDETAWKREGFCSSHKGSEQIQPLSENLANSVGPVLDALFTCWHNKLLSAESIGQKGARSNDTLVVPQKMSNELTFIVVEMLLEFSMSSESLLSFVSRRIISSTGLLSILLKAERFLDQDVMKKLHDLFLKLIGDPVFKCEFAKAFVSYYPVVISEAVKQGTDNAFKKYPLLSTFSVQILTVPTLTPFLVKEMNLLAMLLGCLSDIFVSCSGEDGVLQVTKWERLYEASERVIGDLKFVMSHAVVSKYATHEQRELSRSWLILLTFAQGMNPLKRETGIPIEEEYDYMHLFFLLGHSIAVIHSLLVNGTYSGASDGEIEIERTANEEFDKCDGDGERYAKVGRLSHEDSVCTAMVSSSSFDSSMTSEVHKIDPFHALLPSSAIYLIRECLKVLETCLGNDEGIKNFLSKLSSPSGINIPESKMSWPRRDSLKVESGGSVSSNLASSSRDPSTGLSPLCGDIQTNLSLDNVCGPYGVVQTDVTAESKRVSCNSADLTKNASGVHILGLCEWPDIHYDVSSQAISVHLPLHRLLSLLIEKALRVCYGESASYNGVSISHEIPQADFFSSVIGDFHPYGFSALVMEHVLRIRVFCAQVIAGMWKKNGDSALGSCEWYRAVRWSEQGLELDLFLLQCCGALAPADSYVDKLLSRFGLSSYLSLNPDITNEYEPVLVQEMLALLIQILQERRFCGLSIAESLRREIIFKLATGDFTHSQLVKSLPRDLSKSDELQEVLDDVSVYCNPSGMNQGKYSLRSSCWKELNLYHPRWHSRELQSAEERFSRYCGVSALTTQLPRWRMIYPPLKGLARIGTCKATFQIISSVLYYALQSGTSVKSRAPDGVLITALHLLSLSLDICTQQSQSNSQDCCLQNSIPILELAALEIIGIDQGTEKESLLSLLVSLMRTRMGDGLHQFPEAGSCNISSWIGNLLKKFSAIDSVCMNLLQSLAPEVVGQSGFDKVMSDSASDEKRKAKARERQAAIMAKMKAEQSKFLSTLSSSMDDDDPRSETERSDSVMEHDSEIAVHEVCSLCQDPESKDSVSFLIFLQKSKLLSFVDRGPPSWDQSPQSEKKISVDGAPDLLRMNASSDSLRISSPPMLQLSDDATSESVIESLKARLIGNGQTEKRSSDGRGNDESNMESVEIAMYQTVRKKIENMINQSLKRVDHRHHEAENSSEKNSVGGPSTLQVRLPDIRSRQTSRRPDAGSDGFHLTDCDGIYLSSCGHAVHQSCLKRYLKSLKERSGRRTVFEGAHIVDLEQGEFLCPACRRLANSVLPACPGDLCSVSKLQDGPRTTDAPKPSLWLSEALCLLRSAAEVIEDGDSGKTVTLQGDGPRRKDLKFVSKKLGDFYFPKRQEKTSKRLWLPQQSIVMWDTLKYSLISLEIGARCAKNSMLPVYSIDSMYEEFKTSTGIILSLLLRVVQSTKTKNAIHVRQRFVGMKHLAESICYGISSSSSSSIYGSEGTTGSLKNIDLLWNRASDPVLAHDPFSSLMWALFCLPFPFLTCEESLLSLVHIFHSVSLVQTVIAYCACRLSDLSELNFGENLLNDISNALRESDGWEYFRSNYMDLSCDIKGTIRKYSLPFLRRCALLWQLLKSTPRKFHEEADMFDLPSDNMEFMYSPQSELNHVQELEKMFKIPPIDTILNDELLRSSTQTWLQHYQREYRVNRVKRSLCITPVVPFQLMKLPNLYQDLLQRCIKKRCSHCTKVIEEPALCLLCGSLCSPIWRLCCRDNSCPTHAITCGAGTGVYLLIRRTTILLQRFARQSPWPSPYLDTFGEEDIDMTRGKPLYLNEERYATLTYMVGSHGLDHSSKVLGQTTIGAFVL >fgenesh2_kg.6__1440__AT5G14650.1 pep chromosome:v.1.0:6:5914599:5916625:1 gene:fgenesh2_kg.6__1440__AT5G14650.1 transcript:fgenesh2_kg.6__1440__AT5G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLTLKCLSLNFLLLISSLSSRFGTCDARHSVYWKGNRRSIAQGESSGTINVLDHGAKGDGKSDDTKAFEDAWKVACKVAASTLLVPSGSTFLVGPISFLGKECKENIVFQIDGKIIAPTSAKFKSLKGITIKGKGIIDGRGSVWWNNAKMPRTKPTALRFYGSNGVTVSGITIQNSPQTHLKFDNCKSIQVSDFTTSSPGDSPNTDGIHLQNSQDAVIYRSTLACGDDCISIQTGCSNINIHDVDCGPGHGISIGGLGKDNTKACVSNITVRDVTMHETTNGVRIKSWQGGSGSVKQVMFSNIQVSNVANPIIIDQYYCDGGGCHNETSAVAVSNINYINIKGTYTKEPVRFACSDSLPCTGISLSTIELKPATGKASSLDPFCWKAHGELKTKTLPPIQCLKTEKSPEAASRSNNDAC >fgenesh2_kg.6__1445__AT5G14690.1 pep chromosome:v.1.0:6:5926165:5926921:-1 gene:fgenesh2_kg.6__1445__AT5G14690.1 transcript:fgenesh2_kg.6__1445__AT5G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPHRVSRRTHGGTHCQNDTVDEESRRRRHFNHHTHGNELKCSSKRCRSWAAAAIADCVALCCCPCAIINLLTLTFVKVPWMIGRRCLGGGRNKKKRRLLHKRKRRGNINGEDEFHHHNNHHRRFETAEGDEKCGCGGVGGCYGGGDYDDHRFVVERDGSLTKEEEEEKTTSCKGGDHDESRISARVEAERVWLELYQIGHLGFGRVSFTGIQ >fgenesh2_kg.6__1446__AT5G14700.1 pep chromosome:v.1.0:6:5936944:5940258:-1 gene:fgenesh2_kg.6__1446__AT5G14700.1 transcript:fgenesh2_kg.6__1446__AT5G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVRATETFSAELKEFMCAAVQRRRDDDGFRGSRGGGKSRNAMDLDSDSGNRLVCVTGGVSYLGRAIVKRLLVHGYSVRIVVDCPEDKEKVSEMEADAETASFSNRITSVVSRLTEIESLLKAFDGCAGVFHTSAFVDPAGVSGYSKSMAELEAKVSENVIEACTRTSSVRKCVFTSSLLACTWQNNTYNNLDYSVINEESWSDEQLCIDNKLWYALGKLKAEKAAWRIADSKGLKLATICPALITGPDFFNRNSTSTLAYLKGAKEMYSNGLLATMDVNKLAKAHVCLWEGLGNKTAFGRYICFDTILSRDGAEKLAKDIGVQIEKICGNNNDSDANAETDASLQISDKKLLDLMSRTLRSCYHES >fgenesh2_kg.6__1447__AT5G14720.1 pep chromosome:v.1.0:6:5947991:5953734:-1 gene:fgenesh2_kg.6__1447__AT5G14720.1 transcript:fgenesh2_kg.6__1447__AT5G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M6M3] MESGSEKKFPLNAKDYKLYEEIGDGVSATVHRALCIPLNVVVAIKVLDLEKCNNDLDGIRREVQTMSLINHPNVLQAHCSFTAGHQLWVVMPYMAGGSCLHIIKSSYPDGFEEPVIATLLRETLKALVYLHAHGHIHRDVKAGNILLDSNGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADVWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKAFKEMVGTCLVKDPKKRPTSEKLLKHPFFKHARPADYLVKTILNGLPPLDLQEHLLQEYIRGISAWNFNLEDLKTQAALISDDDTSHAEEPDFNQKQCERQDESALSPERASSSATAPSQDDELNDIHDLESSFASFPIKPLQALKGCFDISEDEDNATTPDWKDANLNSGQQHLTKASIGSLADTTKEEDTAAQNTSLPRHVISEQKKYLSGSIIPESTFSPKRITSEADREFQQRRYQTERSYSGSLYRTKRDSVDETSEVPHVEHKGRFKVTSADLSPKGSTNSTFTPFSGGSSSPSSLNATTASILPSIQSILQQNAMQREEILRLIKYVEQTSAKQPGSPETNVDELLQTPPATPRERELQSQVMLLQQSFSSLTEELKKQKQKNGQLENQLNALTHRND >fgenesh2_kg.6__1448__AT5G14730.1 pep chromosome:v.1.0:6:5963223:5964471:1 gene:fgenesh2_kg.6__1448__AT5G14730.1 transcript:fgenesh2_kg.6__1448__AT5G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLSSTVSFSPSFSFYASGDLVEAAVRVVRESESYSSVKVDGENDKEFEFETLPLGDESFFHFPMTTATFKRSSDDDDVADDRVTSKNLFYDGWSLDPSPSPPSPSQSHSSSDSDDSENLSPSCFWSPIRSPARGDSLKIKSKSSSEPRRCRIKDFLRRSHSDGSVSTASASKRCIFKNLLRRSHSDGGGQESSVSTSGNGSPVVKERNKTASYKPEVDKRRKSYLPYRQDLIGVFAGISRFRH >fgenesh2_kg.6__1453__AT5G14740.2 pep chromosome:v.1.0:6:5964949:5970627:1 gene:fgenesh2_kg.6__1453__AT5G14740.2 transcript:fgenesh2_kg.6__1453__AT5G14740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:D7M6M5] MGNESYEDAIEALKKLLIEKDELKDVAAAKVKKITAELQTVSSSDSKSFDPIKRIKEGFVTFKKEKYETNPALYGELAKGQSPKYMVFACSDSRVCPSHVLNFHPGDAFVVRNIANMVPPFDKVKYAGVGAAIEYAVLHLKVENIVVIGHSACGGIKGLMSFPLDGNNSTDFIEDWVKICLPAKSKVLAESESSAFEDQCGRCEREAVNVSLANLLTYPFVREGVVKGTLALKGGYYDFVNGSFELWELQFGISPVHSI >fgenesh2_kg.6__1454__AT5G14750.1 pep chromosome:v.1.0:6:5971477:5972904:-1 gene:fgenesh2_kg.6__1454__AT5G14750.1 transcript:fgenesh2_kg.6__1454__AT5G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVSSSGDEGNNEYKKGLWTVEEDKILMDYVKAHGKGHWNRIAKKTGLKRCGKSCRLRWMNYLSPNVKRGNFTEQEEDLIIRLHKLLGNRWSLIAKRVPGRTDNQVKNYWNTHLSKKLGIKDQKTKQSNGDIVYQINLPNPTETSEETKISNIGANNNILGDEIQEDHQGSNYLSSLWVHEDEFELSTLTNMMDFTDGHCF >fgenesh2_kg.6__1457__AT5G14760.1 pep chromosome:v.1.0:6:5997956:6001558:1 gene:fgenesh2_kg.6__1457__AT5G14760.1 transcript:fgenesh2_kg.6__1457__AT5G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase [Source:UniProtKB/TrEMBL;Acc:D7M6M9] MAAHVSAGNFYLAGQVYRGQASNPFKEPSWSSGVFKALKADRCGCYSRGVSAITESSKPCTSIRAVSVSSSTKYYDFTVIGSGVAGLRYALEVAKQGTVAVITKDEPHESNTNYAQGGVSAVLCPLDSVESHMQDTMVAGAHLCDEETVRVVCTEGPERIRELIAMGASFDHGEDGNLHLAREGGHSHRRIVHAADMTGREIERALLEAVLNDPNISVFKHHFAIDLLTSQVGLNTVCHGVDTLNIKTNEVVRFISKVTLLASGGAGHIYPSTTNPLVATGDGMAMAHRAQAVISNMEFVQFHPTALADEGLPIKPQTARENAFLITEAVRGDGGILYNLGMERFMPVYDERAELAPRDVVARSIDDQLKKRNEKYVLLDISHKARDKILAHFPNIASECLKHGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVLGLFVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSTELMKRTRLDVSASEKWTRPVVATARLLGDEVISKITALTKEVRRELQEVMWKYVGIVRSTIRLTTAERKIAELEAKWETYLFEHGWEQTVVALEACEMRNLFCCAKLVVSSALARHESRGLHYMTDFPFVEESKRIPTIILPSSPTTASWSSRRLQNISSSSLIDC >fgenesh2_kg.6__1459__AT5G14780.1 pep chromosome:v.1.0:6:6009230:6011756:1 gene:fgenesh2_kg.6__1459__AT5G14780.1 transcript:fgenesh2_kg.6__1459__AT5G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formate dehydrogenase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7M6N1] MAMRQATKAAIRACVSSSSSGYFARRQFNASSGDSKKIVGVFYKANEYATKNPNFLGCVENALGIRDWLESQGHQYIVTDDKEGPDCELEKHIPDLHVLISTPFHPAYVTAERIKKAKNLKLLLTAGIGSDHIDLQAAAAAGLTVAEVTGSNVVSVAEDELMRILILMRNFVPGYNQVVKGEWNVAGIAYRAYDLEGKTIGTVGAGRIGKLLLQRLKPFGCNLLYHDRLQMAPELEKEIGAKFVEDLNEMLPKCDVVVINMPLTEKTRGMFNKELIGKLKKGVLIVNNARGAIMDRQAVVEAVESGHIGGYSGDVWDPQPAPKDHPWRYMPNQAMTPHTSGTTIDAQLRYAAGTKDMLERYFKGEDFPAQNYIVKDGELAPQYR >fgenesh2_kg.6__1460__AT5G14790.1 pep chromosome:v.1.0:6:6014906:6017800:1 gene:fgenesh2_kg.6__1460__AT5G14790.1 transcript:fgenesh2_kg.6__1460__AT5G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7M6N2] MASNTLKDMNSLHVTEKISDCKASLTKPCVGKMNGKSEDRPLPNSASLDSSDPKVAEAEKPEPEKAIVEVEYIDSENLDNVDDADTVLKSVLAGLESKDWISVCDALNNVRRLSIFHKEAMLHMLEKVIPLVVKSLKNPRSAVCKTACMTSADIFSAYNNHITDLLEPLLTQLLLKSSQDKRFVCEAAEKALTAMTKYVSPTLLLPKLQPCLKNRNPRIRAKASLCFSRSVPRLGVEGIKEYGIDKLVQAAASQLSDQLPESREAARTVLLELQTVYEKAHPLINPETSSSSSPEEEQIAEAGPVTWEIFCQSKLSALSAQAVLRVTNVVTVNAREGLVTTGSSSSSQL >fgenesh2_kg.6__1464__AT5G14870.1 pep chromosome:v.1.0:6:6035223:6037976:-1 gene:fgenesh2_kg.6__1464__AT5G14870.1 transcript:fgenesh2_kg.6__1464__AT5G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated ion channel 18 [Source:UniProtKB/TrEMBL;Acc:D7M6N7] MNKIRSLRCLLPETITSAASNRGSDGSQVSALLWRHQILDPDSNIVTYWNHVFLITSILALFLDPLYFYVPYVGGPACLSIDISLAATVTFFRTVADIFHLLHILMKFRTAFVARSSRVFGRGELVMDSREIAMRYLKTDFLIDVAAMLPLPQLVIWLVIPAATNGTANHANSTLALIVLVQYIPRSFIIFPLNQRIIKTTGFIAKTAWAGAAYNLLLYILASHVLGAMWYLSSIGRQFSCWSNVCKKDNALRVLDCLPSFLDCKSLQQPERQYWQNVTQVLSHCDATSSTTNFKFGMFAEAFTTQVATTDFVSKYLYCLWWGLRNLSSYGQNITTSVYLGETLFCITICIFGLILFTLLIGNMQSSLQSMSVRVEEWRVKRRDTEEWMRHRQLPPELQERVRRFVQYKWLATRGVDEESILHSLPTDLRREIQRHLCLALVRRVPFFSQMDDQLLDAICGCLVSSLSTAGTYIFREGDPVNEMLFVIRGQIESSTTNGGRSGFFNSTTLRPGDFCGEELLTWALMPNSTLNLPSSTRSVRALSEVEAFALSAEDLKFVAHQFKRLQSKKLQHAFRYYSHQWRAWGACFVQSAWRRYKRRKLAKELSLHESSGYYYTDETGYNEEDEETREYYYGSDEEDMEGGSMDNTNNNQNLGATILASKFAANTRRGTNQKASSSSTGKKDGSSSSLKMPQLFKPDEPDFSIDKEDV >fgenesh2_kg.6__1466__AT5G14900.1 pep chromosome:v.1.0:6:6053364:6054266:-1 gene:fgenesh2_kg.6__1466__AT5G14900.1 transcript:fgenesh2_kg.6__1466__AT5G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase associated domain-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M6P0] SNLANTVLTLKRLGVKNLVRFDLMDAPAPETLARALDDLYHLGALDDDCNLTNTGEMMSEYPLDPQMAKMLIVSPQFNCSNEILSISAMLSVPNCFIRPRGEAQKAADEAKSSFAHIDGDHLTLLNVFHAFLQNNQDSDWCYEKFINYRAMKSAVSVREQLVRIMSRFQIKLCSPGFNSRDYYVNIRKALLAGYFMQVAHLERTGHYLTFRDKDDQVVHLHPSNCLDHKPEWVVYNEYVFTSRNFIRTVTHIRGEWLVDVAPHYYKLANFPNSEAKRVLQKHYKKRKLSEERSCKLYLLR >fgenesh2_kg.6__1467__AT5G14910.1 pep chromosome:v.1.0:6:6057424:6059038:1 gene:fgenesh2_kg.6__1467__AT5G14910.1 transcript:fgenesh2_kg.6__1467__AT5G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy-metal-associated domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M6P1] MASIAASSTFHLFCTTKSPNLSSTHLLPLSKNLNFRTRAIGNSRICSFAGFMKQNRLGLMKLSSVGEGGEGVAVAEEQQQETVSVPVSPSDMLTMFFQADGTLNEAAIPNVTRALQDIDGVSNLKVQVSEGVAVVELSKQTTVQATGVASSLVETIQGAGFKLQTLNLSFEDEDEVLV >fgenesh2_kg.6__1468__AT5G14920.1 pep chromosome:v.1.0:6:6060317:6061749:1 gene:fgenesh2_kg.6__1468__AT5G14920.1 transcript:fgenesh2_kg.6__1468__AT5G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLSVFIFFHVFTNVVFAASNEESKALVSLPTPTLPSPSPAIKPPSSALKPPTPSYKPPTLPTTPIKPPTTTTPVKPPTTPVTPTKPPVSTPPIKLPPVQPPTYKPPTPTVKPPSVQPPTYKPPTPQVKPPTTSPVKPPTTPPVRPPPVQPPTYKPPTQPVKPPTTTPPVKPPTYKPPTSPVIPPTTPPVKPPTAPQVKPPTVPVKPPTTPPSVRTRIDCVPLCGTRCGQHSRKNVCMRACVTCCYRCKCVPPGTYGNKEKCGSCYANMKTRGGKSKCP >fgenesh2_kg.6__146__AT5G02370.1 pep chromosome:v.1.0:6:590989:595055:1 gene:fgenesh2_kg.6__146__AT5G02370.1 transcript:fgenesh2_kg.6__146__AT5G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7M7S4] MDLLDSSSISKVRVVLRVRPFLPRDEGRSCVSVINSDDGEASEVTVHLKDPESCRNESYQLDAFYGRDDDNVKQIFDRELSPLIPGIFQGFNATVLAYGATGSGKTFTMQGIDELPGLMPLTMSYILSMCEKTGSRADISYYEVYMDRCWDLLEVKANEIAIWDDKNGQVHLKGLSSVPVNSMPEFHEAYSCGVQRRKVAHTGLNDVSSRSHGVLVISVTSQGDVTGKINLIDLAGNEDNRRTGSEGIRLQESAKINQSLFALSNVVYALNNNLPRVPYRESKLTRILQDSLGGTSRALMVACLNPGEYQESLRTVSLAARSRHISNNISLNPKVETPKVKIDMGAKLQAWLESKGKTKSVHRMMAIRSPLMGTNQTSISQSSVKKLGCQRSTIAESAKLDGKGERDAFVTARNLFGGETHAASHLWEPIQNLHLASPTKGDERDTSAKENLLVSEASLRDNSLGLEKEYTELSPFREALSPVDSNAPKSADASSPILKPMTPKTPFIYANAENMQMEGTYQKFNAWSTNLKTSLIKEYIHFLNTANRKELRELKGIGQKMAEYIIELRETSPLKSLTDLEKIGFTSRQVHNLFKKATEGILEKPVTASTTP >fgenesh2_kg.6__1471__AT5G14930.2 pep chromosome:v.1.0:6:6062333:6064391:1 gene:fgenesh2_kg.6__1471__AT5G14930.2 transcript:fgenesh2_kg.6__1471__AT5G14930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated gene 101 [Source:UniProtKB/TrEMBL;Acc:D7M6P3] MESSSSSSLKCSALGKLVLSSGLLHSSWSKISEIHKSPYSNQDSGLGIKIYREEKFTLVVFVAPPIFTSSSDSTLLPGKENENPFPFLCSEINPSFSLHTPALNLFTSAYKSLTNLQSELLQTLKSEKPVIITGASLGGSVASLYTLWLLDTIDPKLKRPLCITFGSPLIGDVSLQEILENSVRNSCFLHVVAAAQTRFKSDFFKPFGTFLICFDSGCVCIEDPEAVTELLNGVHDSEQVDYGQVLRRLDQSMLSIADSTFVPEAVIKGMEKRAEMKDLRFDMFKKLNDMKISMAYIEWYKKECRKVKIGYYDRFKTQHAFPSSEFDIKIKNHKLELNRYWRSVVEEVEKKPQSDISILKRRFLYSGNNYRRMIEPLDIAEYYLEGGKEYRTSGRSRQYVMLEKWFGMELIEKERRQNRDLSDLLTFDSCFWAEVEDSMIVINQLNTTVGMSDDAREALTRKLVKFKEYVWEMIRKREVSPEIFLEKSSFMKWWKEYKKIKGSNSPPSYFTEYMNTGKYESYGKPQ >fgenesh2_kg.6__1472__AT5G14940.1 pep chromosome:v.1.0:6:6066613:6069295:-1 gene:fgenesh2_kg.6__1472__AT5G14940.1 transcript:fgenesh2_kg.6__1472__AT5G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7M6P5] MAGGEKRRGLSKSCALLIVIAGIERYAFKGVASNLVTYLTDVVKMSNSRAATTVNTWSGFTFMLPLFSAPFADSYWDRFFTILASSSLYFVGLVGLTFTAYAGSRSTTKTISLYFLYTSLSLVALGLGVLNPSLQAFGADQLDHDLDQGHDHEPSSENKEVKSNRKTQFFQWWYFGVCAGSLLGVTVMAYIQDTFGWVIGFAIPTASMLLLIFLFLCGCGVYVYADPDLKAKPFQRILESIKERVCGRNKITLVNDHDLNAMELELQDQKPLCNCSNTEANTTTTTKSLPDDHKSCKTGFSGLETVKLLLRLLPIWTMLLMFAVIFQQPATFFTKQGMTMKRNIGPNFKIPPATLQSTITLSIILLMPFYDKILIPIAKKLTKNEKGISVKERMGIGMFLSIIAIVIAALVERKRLKISKMMKTTPNLDPLSILWLLPQYILLGISDIFTVVGMQEFFYSEVPVSMRTMGFALYTSVFGVGSFVSAALISIIETYSSSRGGKHNWFADDMTEARLDNYYWLLAFTSAISFLMYIVICKHFKSRSDADDQCDTNC >fgenesh2_kg.6__1473__AT5G14950.1 pep chromosome:v.1.0:6:6072275:6077187:-1 gene:fgenesh2_kg.6__1473__AT5G14950.1 transcript:fgenesh2_kg.6__1473__AT5G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase [Source:UniProtKB/TrEMBL;Acc:D7M6P6] MPFSSYIGNTRRSSTGGGWGQSLLPTALSKSKLAINRKPRKRTVVINFLFANFFIIALMVSLLFFLLTLFHFGVPGPISSRFLTSRSNRIVKPRKNINRRPLNDSNSGAVVDITTKDLYDRIEFLDADGGPWKQGWRVTYKGDEWEKEKLKIFVVPHSHNDPGWKLTVEEYYQRQSRHILDTIVETLSKDARRKFIWEEMSYLERWWRDASPNKQEALTKLVKNGQLEIVGGGWVMNDEANSHYFAIIEQIAEGNMWLNDTIGVIPKNSWAIDPFGYSSTMAYLLRRMGFENMLIQRTHYELKKDLAQHKNLEYIWRQSWDAMETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRGFKYELCPWGKHPVETTVENVQERALKLLDQYRKKSTLYRTNTLLIPLGDDFRYISIDEAEAQFRNYQMLFDHINSNPSLNAEAKFGTLEDYFRTLREEADIVNYSRPGEVGSGQVVGFPSLSGDFFTYADRQQDYWSGYYVSRPFFKAVDRVLEHTLRGAEIMMSFLLGYCHRIQCEKFPTSFTYKLTAARRNLALFQHHDGVTGTAKDYVVQDYGTRMHTSLQDLQIFMSKAIEVLLGIRHEKEKSDQSPSFFEAEQVRSKYDARPVHKPIAAREGNSHTVILFNPSEQMRVEVVTVVVNRAEISVFDSNWTCVPSQISPEVQHDNTKLFTGRHRLYWKASIPALGLRTYFIANGNVECEKAKQSKLKYASEFDPFPCPPPYSCSKLDSDVTEIRNEHQTLVFDVKNGSLLKIIHRNGSETVVGEEIGMYSSPESGAYLFKPNGEAQPIVQPGGHVVTSEGLLVQEVFSYPKTRWEKSPLSQKTRLYSGGNTLQDLVVEIEYHVELVGNDFDDRELIVRYKTDVDNKKVFYSDLNGFQMSRRETYDKIPLQGNYYPMPSLAFIQGSKGQRFSVHSRQSLGVASLKEGWLEIMLDRRLVRDDGRGLGQGVMDNRAMTVVFHLLAESNISQSDPASNPNPRNPSLLSHLIGAHLNYPINTFIAKKPQDISVRVPQYGSFAPLAKPLPCDLHIVNFKVPRPSKYSQQLEEDKPRFALILNRRGWDSAYCHKGRQANCTSLANDPVNFSDMFKDLAASKVKPTSLNLLQEDMEILGYEDQEPTRDSSQPREGRVSISPMEIRAYKLELRPHK >fgenesh2_kg.6__1475__AT5G14970.1 pep chromosome:v.1.0:6:6082573:6084150:1 gene:fgenesh2_kg.6__1475__AT5G14970.1 transcript:fgenesh2_kg.6__1475__AT5G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASARAFFLLSRVTDLSKKKLILHQPPPSSSPHRLPCAPNRAVSSSAVISCLSGGGVSSDDSYVSTRRSKLDRGFAVIANLVNRIQPLDTSVISKGLSDSAKDSMKQTISSMLGLLPSDQFSVSVTISEKPLYRLLISSIITGYTLWNAEYRVSLRRNFDIPIDPRKEEEDQSSKDNVRFGSEKAVSEDLGNCVEEFERLSPQVFGDLSPEALSYIQHLQSELSSMKEELDSQKKKALQIECEKGNKNDLLDYLRSLDPEMVTELSQLSSPEVEEIVNQLVQNVLERLFEDQTTSNFMQNPGIRTTEGGDGTGRKVDTSRDYLAKLLFWCMLLGHHLRGLENRLHLSCVAGLL >fgenesh2_kg.6__1478__AT5G15000.1 pep chromosome:v.1.0:6:6092036:6092330:1 gene:fgenesh2_kg.6__1478__AT5G15000.1 transcript:fgenesh2_kg.6__1478__AT5G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M6Q3] MALLCHHQSEAQAPIPNPGDCFSSIKNVKGCVDALKAATKGHLKGLGKDCCHAINGLVDDCFPILFPGKHYIAVLVKDACA >fgenesh2_kg.6__1480__AT5G15020.1 pep chromosome:v.1.0:6:6098040:6105235:-1 gene:fgenesh2_kg.6__1480__AT5G15020.1 transcript:fgenesh2_kg.6__1480__AT5G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIRDDIYATGSQFKRPLGSSRGESYGQSPIPGNGGTGGGSIGDGGINTQKLTTDDALTYLKEVKEMFQDQRDKYDMFLEVMKDFKAQRTDTTGVIARVKQLFKGHNNLIFGFNTFLPKGFEITLDEEEAPPKKTVKFEEAISFVNKIKTRFQHDELVYKSFLEILNMYREDNKDITEVYNEVSTLFEDHSDLLEEFTRFLPDSLAPHTEAQLLRSQAQRYDDRGSGPPLVRRMFMEKDRRRERTVASRGDRDHSVDRSDLNDDKSMVKMHRDQRKRVDKDNRERRSRDLEDGEAEQDNLQHFSEKRKSSRRMEGFEAYSGPASHSEKTNLKSMYNQAFMFCEKVKERLCSQDDYQAFLKCLNMFSNGIIQRKDLQNLVSDVLGKFPDLMDEFNQFFERCESIDGFQHLAGVMSKKSLRSEENLSRSMKGEEKEREHKRDLEAAKEKERSKDKYMGKSIQELDLSNCESCTPSYRLLPPDYPIPSVRHRQKSGAAVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVGSAAKSAEELLNIIIEKKISFEGSFRIEDHFTALNLRCIERLYGDHGLDVTDLIRKNPAAALPVILTRLKQKQDEWTKCREGFNVVWADVYAKNHYKSLDHRSFYFKQQDSKNLSAKVLVSEIKDLKEKSQKEDDVVLSISAGYRQPIIRHLEYEYLDRTIHEDLFKLVQFSCEEICSTKEQIGKVLRLWANFLELMLGVAPRAKGSDSVEDVVETKHHSAFTSGEANVSSDAISLVSRQLKLATNGDEHASSGVSKHGGTGILNRDSSGKENCKDGDSSNKDVATCAEKPQKDQEIGNGADKRSRDVDEIVATSSASFPSGVENNNGKVGSRDSSGSRGILSKPSEAIDKVDSIQHTQGVDIGRTIVLANGVHSDTSKANSNYDESGGPSKIEKEEGELSPVGDSEDNFVVYEDRGLKDTAKPEHSVEAEGENDEDADDEDGDDASEAGEDASGTESIGDECSQDDNGVEEEGEHDEIDGKAESEGEAEGMESHLIEDKGLFPSSERVLLSVKPLSKHVAAAALLDENKKVSRVFYGNDDFYVLFRLHRVSAIVSDDLLSHILYERILSAKTYCSGGEMKRRNKKDTSSPDPYARFMNALFSLLNGSTENSKFEDECRAIIGNQSYVLFTLEKLIYKLVKQLQAVVADDMDNKLLQLYEYENSRRPGRVVDSVYYDNARILLHEENIYRLECSSSPSRLSIQLMDNIIEKPEAYAVSMEPTFASYLQNEFLSNSSGKKELQDIVLQRNMRGYTGLDDLAVACKAMEGVQVINGLECKMSCSSYKISYVLDTEDFFHRKKKQKKCTNLSLDKLSQNRIERFHKFLSASR >fgenesh2_kg.6__1481__AT5G15050.1 pep chromosome:v.1.0:6:6111589:6113551:-1 gene:fgenesh2_kg.6__1481__AT5G15050.1 transcript:fgenesh2_kg.6__1481__AT5G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 14 protein [Source:UniProtKB/TrEMBL;Acc:D7M6Q9] MKKLKSYYMQVRHQQQSLDRKWILPLAIGSICSLFLLLLTNLASSSGQTRLIPFSVYGFRSSVFVESKINPVSVSVSVSVSPPPPPRLAYLISGSSGDGQMLKRTLLALYHPNNQYVVHLDRESSPEERLDLSGFVANQTLFQRFQNVRMIVKANFVTYRGPTMVANTLHAAAILLREGGDWDWFINLSASDYPLVTQDDLLHTFSYLPRDLNFIDHTSNIGWKESHRAKPIIIDPGLYMSKKADVFWVSQKRSMPTAFKLFTGSAWMMLSRPFVDYFIWGWDNLPRIVLMYYANFLSSPEGYFHTVICNAREFTNTTVNSDLHFISWDNPPKQHPHHLTVDDFQRMVDSNAPFARKFRRDEPVLDKIDSELLSRSHGMVTPGGWCIGTRENGSDPCAMIGDTSVIKPGLGAKRVEKLITYLLSTENFRPRQCR >fgenesh2_kg.6__1482__AT5G15070.1 pep chromosome:v.1.0:6:6116382:6125560:1 gene:fgenesh2_kg.6__1482__AT5G15070.1 transcript:fgenesh2_kg.6__1482__AT5G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7M6R1] MGVEEGAGVDKKITIGVCVMEKKVFSAPMGQIMDRIHAFGEFEIIHFGDKVILEDPVESWPICDCLIAFYSSGYPLEKVQAYSSLRKPFLVNELDPQYLLHDRRKVYEHLEMYGIPVPRYACVNRKVPDEDLDYFVEEEDFVEVKGERFWKPFVEKPVNGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPAEKQMAREVCIAFRQAVCGFDLLRSEGSSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSSTIPPILPWKINEPVQSNEGLTRQGSGIIGTFGQSEELRCVIAVVRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRMLIPRTRSGESDSDAEDLEHADKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVNVPRSDGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASSEMEAAKAQLNEIITAGSKMVQDYVSSELPWMTDGAGLPPHADEHLPELVKLAKKVTEQVRLLAQDEHENLAEPSAYDVVPPYDQAKALGKSNIDVGRIAAGLPCGSEGFLLMFARWRKLERDLYNERRDRFDITQIPDVYDSCKYDLLHNSHLDLKGLDELFKVAQLLADGVIPNEYGINPQQKLKIGSKIARRLLGKILIDLRNTREEAMSVAELKNSQDQVSVSLYSSRKEDRYSQPKLFVKSDELRRPSTGENKEEDDDKETKYRLDPKYANVMTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEESLVCQSALDRLCKTKELDYMSYVVLRLFENTEISLDDPKRFRIELTFSRGADLSPLEKKDEEAESLLREHTLPIMGPERLQEVGSCLTLETMEKMIRPFAMPAEDFPPPSTPAGFSGYFSKSAAVLERLVKLWPFHKNTSNGKS >fgenesh2_kg.6__1484__AT5G15090.1 pep chromosome:v.1.0:6:6129194:6131126:-1 gene:fgenesh2_kg.6__1484__AT5G15090.1 transcript:fgenesh2_kg.6__1484__AT5G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage-dependent anion-selective channel protein hsr2 [Source:UniProtKB/TrEMBL;Acc:D7M6R3] MVKGPGLYTEIGKKARDLLYKDYQGDQKFSVTTYSSTGVAITTTGTNKGSVFLGDVATQVKNKNFTADVKVSTDSSLLTTLTFDEPAPGLKVIVQAKLPDHKSGKAEVQYFHDYAAISTSVGFTASPIVNFSGVVGTNVLALGTDVAFNTESGNFKHFNAGLNFTKDDLIASLILNEKGEKLNASYYQIVNPLTNTVVGAEISHNFTTKENAITVGTQHAIDPLTTVKARVNNVGVANALIQHEWRPKSFFTVSGEVDSRAIEKSAKVGIALALKP >fgenesh2_kg.6__1486__AT5G15110.1 pep chromosome:v.1.0:6:6135821:6137776:1 gene:fgenesh2_kg.6__1486__AT5G15110.1 transcript:fgenesh2_kg.6__1486__AT5G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7M6R5] MEMARLSKLMFMFCIAVLIPTIRGNISELDEYWSQRADEAREFTLQAYHSDPYEIVDHFHERHYDNSTDVTTTEEEGDAKPEEEEKEFIEMLGSSTNSTRRSLRGKGKGKWSKLKGPCTASNPIDKCWRCRSDWAKRRKRLTRCVRGFGHRTTGGKRGRIYVVTSNLDDDMVNPKPGTLRHAVIQKEPLWIIFKNDMSIRLNQELLINSHKTIDARGANVHVAHGAGITMQFVKNVIIHGLHIHHISESSGGMIRDSVDHFGMRTRADGDGLSIYGSSNIWLDHISMSKCQDGLIDAIVGSTGITISNSHFTHHNDVMLLGAQNTNEADKHMQVTVAYNHFGKGLVQRMPRIRWGFVHVVNNDYTHWELYAIGGSQGPTILSHGNRFIAPPHKPHYREVTKRDYASEDEWKHWNWRSEKDVFMNGAYFRQSGNPQYKCAHTRQQMIKPKHGLAVSKLTKYAGALDCRVGKRC >fgenesh2_kg.6__1487__AT5G15120.1 pep chromosome:v.1.0:6:6139400:6141431:1 gene:fgenesh2_kg.6__1487__AT5G15120.1 transcript:fgenesh2_kg.6__1487__AT5G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEKEVMELISSKNQCMSSPNSVKKKNNNKNKKMMMTWRRKKIDSPADEITAVRRLFNTCKEVFSNGGPGVVPSEDKIQQLREILDDMKPEDVGLAPTMPYFRPNTGLETRSSPPITYLHLHQCDQFSIGIFCLPPSGVIPLHNHPGMTVFSKLLFGTMHIKSYDWVVDTPMRDPKTWLAKLKVDSTFTAPCNTSILYPEDGGNMHRFTAKTACAVLDVLGPPYCNPEGRHCTYFLEFPFDQFSSEDDDILRSEEEKEGYAWLQERDDNPEDHTNVVGALYRGPKVED >fgenesh2_kg.6__1489__AT5G15140.1 pep chromosome:v.1.0:6:6156963:6158917:1 gene:fgenesh2_kg.6__1489__AT5G15140.1 transcript:fgenesh2_kg.6__1489__AT5G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSVLLCLILLVTLGVVISVTFADNIEVKSKDLESSKKDKKVDHDSKGGEKVDGASSDDEENDKKDKKKGHDVHKKDNQHENKDKDDEKKHVDKKKSVGHDKDDDDEKKHKDKKKDGHNDDDDDDTDDDTDDDDDDDDEDEVDGDDNEKENIGLYELKKGNLTIKFTNWGASIMSLHFPDKNGKMDDIVLGYDSVKSYKTDKVYFGATVGRVANRIGNAKFKLNGKEYKTSANDGKNTLHGGKKGFGDVVWAVAKHKYDGKKPHIVFTYTSPDGDQGFPGELNVTVTYKLVKDNELSVVMEAKPIDKATPVNLAHHSYWNLGGHNSGDILSEEIQILGSSYTPVDGELIPTGKITPVKGTAYDFLQLRPIKDNMKDLKTGYDINYCLDGKAKKMRKIVELVDKKSGRKMELSGNQPGLQFYTGGMLKDIKGKNGAVYQAFGGLCLETQSYPDALNHPKFPSQIVEPGKKYKHTMLFKFSIVS >fgenesh2_kg.6__1492__AT5G15170.1 pep chromosome:v.1.0:6:6174705:6178149:-1 gene:fgenesh2_kg.6__1492__AT5G15170.1 transcript:fgenesh2_kg.6__1492__AT5G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSQVAYLIPLKADLKEDDSSPRITLSEGPNFIGRGNVSIVDKRLSRKHITIMASTSGSASLSVEGTNPVVIRSSGGGERKKVKPREEVSVSNDDLIELIPGHHFFKLVLLPVEKKGSHERATKKARKAEDDVEAIRRFCPPNEKLPSTFRLLSVNGLPDWANTSCVSINDVIEGDIVAAILSNYMVDVDWLMSACPKLANIPQVMVIHGEGDGRQEYIQRKKPVNWILHKPRLPISFGTHHSKAIFLVYPRGVRVVVHTANLIHVDWNNKSQGLWMQDFPWKDDDKDPPKGCGFEGDLIDYLTVLKWPEFSANLPGRGNVKINAAFFKKFDYSDAKVRLIASVPGYHTGLNLKKWGHMKLRTILQECIFDREFCRSPLVYQFSSLGSLDEKWLAEFGNSLSSGISEDKTPLGPGDPLIIWPTVEDVRCSLEGYAAGNAIPSPLKNVEKPFLKKYWARWTADHSARGRAMPHIKTFTRYNDQKLAWFLLTSSNLSKAAWGALQKNNSQLMIRSYELGVLFLPSPIKTQGCIFSCTESNPSTMKAKQERKDEAEKRSKLVTMTWQGDRDSPEIISLPIPYELPPKPYSAEDVPWSWDRGYSKKDVYGQVWPR >fgenesh2_kg.6__1495__AT5G15190.1 pep chromosome:v.1.0:6:6187080:6187792:-1 gene:fgenesh2_kg.6__1495__AT5G15190.1 transcript:fgenesh2_kg.6__1495__AT5G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIGGGGGEAESWPEMEAIARKMVEEVETESSGSSEAETESPRSVGRWITAKDRVHSQVLKIREEDLCVIVEDKAANPEDRRYLRPRRLSLFLISRQNLPCSPLSGKVRSVR >fgenesh2_kg.6__1496__AT5G15200.1 pep chromosome:v.1.0:6:6200245:6201629:-1 gene:fgenesh2_kg.6__1496__AT5G15200.1 transcript:fgenesh2_kg.6__1496__AT5G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S9 [Source:UniProtKB/TrEMBL;Acc:D7M7A3] MVHVCYYRNYGKTFKGPRRPYEKERLDSELKLVGEYGLRNKRELWRVQYSLSRIRNAARDLLTLDEKSPKRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTIVFKSGMAKSIHHSRVLIRQRHIRVGKQLVNIPSFMVRLDSQKHIDFALTSPFGGGRPGRVKRRNEKSASKKASGGGDADGDDEE >fgenesh2_kg.6__1497__AT5G15210.1 pep chromosome:v.1.0:6:6202342:6203647:-1 gene:fgenesh2_kg.6__1497__AT5G15210.1 transcript:fgenesh2_kg.6__1497__AT5G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHB30/ZFHD3 [Source:UniProtKB/TrEMBL;Acc:D7M7A4] MATTTTIVSDLDSRQPETEAPTRIQPAKPISFSNGKRCHHHHLASEAVAVATYKECLKNHAAGIGGHALDGCGEFMPSPLFNTNEPTSLTCAACGCHRNFHRREEDPSSLSAVVPAIEFRPHNRHQLPPPPPPHAVGIRSPDNDDSPSPPPISSSYMLLALSGGGGGANTAVPMSRKRFRTKFSQYQKEKMFEFSERVGWRMPKADDVDVKEFCREIGVDKSVFKVWMHNNKISGRGGARRANTGGVGGGGGDSRESVVPTNGSFSST >fgenesh2_kg.6__149__AT5G02390.1 pep chromosome:v.1.0:6:596427:599336:-1 gene:fgenesh2_kg.6__149__AT5G02390.1 transcript:fgenesh2_kg.6__149__AT5G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNLRHKVTCSPIQNHPGFMWGLFDILKHNHWRYIKKRLPHKRPVICRRNSPGTKSEANNTIPDGIPVPKTKLEDKTDVDSGQRPKRPSSAVKSKESSNSGEKPKKKHISEEKSKKLNSEERSRKTHSEIKRSVKALIKALVIEDKSKKKGRHHRSCTYPVQSNPKEKESLSEVESSDKNSSNGDDRNRVFNKTVGISPAIGSLNPLYLMSEESSNSDSEEFRVENNIQVDDTDGSKSDFDEKEFKKKDKSDDDEAWFDPKMRHNKDFSENEDDTSPRRSKACLDALNLIHMNRNFLLKVLQDPGSPLARHFQSQQSFSSKTMTKAGSFPTHGSNREDHNNGFDSVGDIHKKPTSPSIAAEHRADGIQKMNETMLKLADEDSSGSGYARKRGKNQVVIKRFKDLRQKIKHVINENKNEKHRITMDAVLDKVPRKYGFSKDLRDDIFAHLKGNSAAKSSIKADGVKLKQITRASSLCGSLDRYLQLYECSFQRDAKNNSNSEKSKMELEESALPSKRAPKFLGRILSLPEMKFNALKIDDLPVQSIEEEQVGLDNISEISEDHSQSSEHETLDQTMSASEDSPVDAETEQDRDISTLVDAETETRSLKESSEESPNNVSTVGFDENPSVFDISRDLDTEIVSTSKQLDEVLNIDAQDKVKFNYVRDILEISGFNAPESLSMWQLDYQPLDPLVYEEVTTTTGCMFQDPECSRNEEEGGNCNHLLLFDLINEVLIEIYERSYHYCPKPLSSLCKIHPMPVGYSVLKEVWVRISCYLRYKPYDEQQSFDKIMSRDLSKDDGWMDLQFESECVGIEVEDLIFEELLEELLVSG >fgenesh2_kg.6__14__AT5G01890.1 pep chromosome:v.1.0:6:48812:52495:1 gene:fgenesh2_kg.6__14__AT5G01890.1 transcript:fgenesh2_kg.6__14__AT5G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNDAVSLLFLFFLAVSATADPTFNDDVLGLIVFKSGLDDPLSKLSSWNSEDYDPCNWVGCTCDPASNRVSELRLDSFSLSGHIGRGLLRLQFLHTLVLSNNNLTGTLNPEFPHLGSLQVVDFSGNSLSGRIPDGFFEQCGSLRSVSLANNKLTGPLPVSLSYCSTLIHLNLSSNQLSGRLPRDIWFLKSLKSLDLSVNFLQGDIPDGLGGLYDLRLFNLSRNWFSGDVPSDIGRCPSLKSLDLSENYFSGNLPASMKSLGSCRSIRLRGNSLIGEIPDWIGDVATLETLDLSANNFSGTVPSSLGNLEFLKELNLSANMLAGELPQTISNCSNLISIDVSKNSFTGDVLKWMFTGNSESPSLSRFSLHKRSGNDTILPIVGFLQGLRVLDLSSNGFSGELPSNIWILTSLLQLNMSTNSLFGSIPTGVGGLKVAEILDLSCNLLNGTVPSEIGGAVSLKKLHLQRNRLSGQIPAQISNCSALNAINLSENELSGAIPGSIGSLSNLEYIDLSRNNLSGSLPKEIEKLSHLLTFNISHNSITGELPAGGFFNTIPLSAVAGNPSLCGSVVNRSCLSVHPKPIVLNPNSSNPTNGPALTGQIRKSVLSISALIAIGAAAFIAIGVVAVTLLNVHARSNLSRHNAAAALALSVGETFSCSPSKDQEFGKLVMFSGEADVFDTTGADALLNKDCELGRGGFGVVYKTNLQDGRPVAVKKLTVSGLIKSQEEFEREMRKLGKLRHRNVVEIKGYYWTQSLQLLIHEFVSGGSLYRHLHGDESLCLTWRQRFSIILGIARGLAYLHSSNITHYNLKATNVLIDATGEAKVSDFGLARLLASALDRCVLSGKVQSALGYTAPEFACRTVKITDKCDVYGFGILVLEVVTGKRPVEYAEDDVVVLCETVREGLEEGRVEECVDPRLRGNFPAEEAIPVIKLGLVCGSQVPSNRPEMEEVVKILELIQCPSHDLE >fgenesh2_kg.6__1501__AT5G15220.1 pep chromosome:v.1.0:6:6206985:6208297:-1 gene:fgenesh2_kg.6__1501__AT5G15220.1 transcript:fgenesh2_kg.6__1501__AT5G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L27 family protein [Source:UniProtKB/TrEMBL;Acc:D7M7A5] MNFLNSAASICRRVSLRELITEVPAYSGSSISDGSSSGLSLVLKRWATKKTAGSTKNGRDSNPKFLGVKKFGGESVIPGNIIVRQRGTRFHPGDYVGIGKDHTLFALKEGRVRFEKSKITGRKWIHVDPTGGHVLHPIYRKAAAAKSTKLKTAS >fgenesh2_kg.6__1502__AT5G15230.1 pep chromosome:v.1.0:6:6211763:6213137:1 gene:fgenesh2_kg.6__1502__AT5G15230.1 transcript:fgenesh2_kg.6__1502__AT5G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCGAIFLLALIVLFMLQTIVMASSGSNVNWSQKRYGTGSLKRYQCPSECDRRCKKTQYHKACITFCNKCCRKCLCVPPGYYGNKQVCSCYNNWKTQEGGPKCP >fgenesh2_kg.6__1505__AT5G15240.1 pep chromosome:v.1.0:6:6215800:6218346:1 gene:fgenesh2_kg.6__1505__AT5G15240.1 transcript:fgenesh2_kg.6__1505__AT5G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7M7A7] MSEEKDYMSEPFIVKKIDDEEASLDDYNSQGNSSFSKTCFHGINALSGVGILSVPYALASGGWLSLIILFTLAITTFYSAILIKRCMEMDPLLRSYPDIGYKAFGNTGRVVVSIFMNLELYLVATSFLILEGDNLNNLFSNVGVNFMGLEFQGKQMFIVLVALIILPSVWLDNMRILSYVSASGVFASGLILASIFSVGAFEGVGFKNNDSEVFRLNGVATSVSLYAFCYCAHPVFPTLDTSMKNKRQFSNVMIICFTICTFIYASVAILGYLMYGSYVESQITLNLPTDKLSSKVAIWTTLVNPIAKFALMVTPIIDAMRSRFSRFLPNKRASGFLLSTMLVTSNVIVALLLPFFGDLMSLVGAFLSASASVILPCLCYLKISGKYQRLGFETLVLIGIILTGIVVVITGTYQAIKDIFGRF >fgenesh2_kg.6__1507__AT5G15260.1 pep chromosome:v.1.0:6:6221767:6223072:-1 gene:fgenesh2_kg.6__1507__AT5G15260.1 transcript:fgenesh2_kg.6__1507__AT5G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of ribosome [Source:UniProtKB/TrEMBL;Acc:D7M7A9] MVYFQNSISVVDQSPVMAQSADFHHHSKQSRSSSNRKSSSSSSSCSSHFQVCCDGSRSAAIDVLILIAVITSSGFLIFPYIKFITVKSVEIFSELSCLVKEEILRNPDPIVYGLIALSISCTALSAWMIVILLCSRHRCGKPNCKGLRKANAEFDIQLETEDCVKSSNSGKSVSKKGLFELPRDHHRELEAELKKMAPPNGRAVLVFRAKCGCSVGRLEVPGPKKQQLQQRKVKK >fgenesh2_kg.6__1509__AT5G15270.1 pep chromosome:v.1.0:6:6229745:6233310:1 gene:fgenesh2_kg.6__1509__AT5G15270.1 transcript:fgenesh2_kg.6__1509__AT5G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M7B1] MSPDHRDSHRKRSRPQSDYDDNGGSKRRYRGDDRDSLVIDRDDTVFRYLCPVKKIGSVIGRGGEIVKQLRMDTRSKIRIGEAIPGCDERVITIYSPSDETNAFGDGEKVLSPAQDALFRIHDRVVADDAQSEDSSEGEQQVTAKLLVPSDQIGCILGRGGQIVQNIRSETGAQIRIIKDRNMPLCALNSDELIQISGEVLIVKKALHQIASRLHENPSRSQNLLSSAISGGYPSGSLMSHAGGPRLVGIAPLMGSYGRDGGDWSRPLYQPPRNDPPATEFFIRLVSPVENIASVIGKGGALINQLRQETRATIKVDSSRTEGNDCLITISAREVFEDAYSPTIEAVMRLQPKCSEKVERDSGLVSFTTRLLVPSSRIGCILGKGGAIITEMRRMTKANIRILGKENLPKVASDDDEMVQISGELDVAKEALIQITSRLRANVFDREGAVSALMPVLPYVPVAPDSGDRLDYDSRDSRRLERGNPYPGGYGSSGLSAEGYSSYGAPVGGSSSTPYGVYGGYASGRSSSSGLSSHSSTYRRRNYDY >fgenesh2_kg.6__1510__AT5G15280.1 pep chromosome:v.1.0:6:6233696:6237367:1 gene:fgenesh2_kg.6__1510__AT5G15280.1 transcript:fgenesh2_kg.6__1510__AT5G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M7B2] MLNLLSISSSSRLRFLNKVSSLSCRYSFAFSSVPSSSSSLGNDSAIPRNYESSSFNLLSRSNEKLDLTGSSLKDLLLDLSDVIPNIIRRFRRFPGLKPENVVELLLGFESELQRGRIGSTKVQALWEIFRWASGQYQGFKHLPQACEIMASMLIREGMVKEVELLLMEMERHGDTMVKEGIFCDLIGKYVDAFDSRKAVMLFDWMTRKGLVPLTSCYQILIDHLVRVHRTESAYRICLDWVETTAESNHMNIDSIGKVIELLCLDQRVQEARVLARKLVALGCNLNSSIYSKITIGYSEKQDFDDLLSFIGEVKYEPDVFVGNRIVHSLCRRFGSERAYVYMEELEHLGFKPDEVTFGILIGWCCYEGDIKRAVLYLSEITSKGFKPDVCSYDAILSGLFRKGLWQHTHCILDEMKENGMLLSLSTCKIMVTGYCKARQFEEAKMIVNKMFGYGLIEASKVEDPLSEAFSLVGFDPLAVRLKRDNDSTFSKAEFFDDLGNGLYLHTDLDAYEQRVNMVLDRSVLPEFNSLIVRACKDGDLQTALRLLDEMTRWGQKLSRRSFAVLMRSLCASRAHLRVSVSLLEKWPKLANQLDGETLNFLVQEYCKKGFSRHSKLIFHRMVQMHHPIDNVTYTSLIRCFCKKETLNDLLNVWGVAQNDNWLPDLNDCGDLWECLVRKGLVAEAVQLFERVFISYPPSQSEACRILVEKLTVLGFSCIAHSVVKRLIGEGYIVEQEVYNHLIKGLCTEKKDSAAFAILDEMLDKKHIPSLGSCLMLIPRLCRANKAGMAFILAEQSDSPYVHYALIKGLCLAGKVLDAENQLRRMLSNGLLPYNKIYNLMFQGYCKGNNWIKVEEVLGLMVRKNVICSVKSYREYVRKMCLELQFLSAISLKEFLLLGESNPSGVIIYNMLIFYMFRATNHLEVNKVLLEMQGRELLPDETTFNFLVHGYSSSGDYSSSLRYLSAMISKGMKPNNRSLRAVTSSLCDNGDVKKALDLWQVMESKGWILGSSVAQTKIVESLISKGEIPKAEDFLTRVTRNVMKAPNYDNIIKKLSDRENLDIAVHLLNTMLKNQSIPDSSSYDSVISGLLRCNQLDKAMDFHTEMVELGLSPSISTWTGLVHKYCEACQVEESERLIKSMAGLGETPSQEMFKTVIDRFRVENNTVKASEMMEMMQKCGYEVDFETHWSLISNLSSCKEKKTTTVGEGFLSRLLSGNGFAWKR >fgenesh2_kg.6__1511__AT5G15290.1 pep chromosome:v.1.0:6:6238436:6239438:1 gene:fgenesh2_kg.6__1511__AT5G15290.1 transcript:fgenesh2_kg.6__1511__AT5G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGQAEIVETSKGIQKSGLMSRRIAILEFILRIVAFFNTIGSAILMGTTHETLPFFTQFIRFQAEYNDLPALTYVIFVVANAVVSGYLIMSLTLAFVHIVKRKTQNTRILLIVLDVAMLGLLSAGASSAAAIVYLAHNGNNKTNWFAICQQFNSFCERISGSLIGSFIAVVLLILLILLSAIALSRRH >fgenesh2_kg.6__1513__AT5G15310.1 pep chromosome:v.1.0:6:6245394:6246974:1 gene:fgenesh2_kg.6__1513__AT5G15310.1 transcript:fgenesh2_kg.6__1513__AT5G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMIXTA/AtMYB16 [Source:UniProtKB/TrEMBL;Acc:D7M7B6] MGRSPCCDKLGLKKGPWTPEEDQKLLAYIEEHGHGSWRSLPEKAGLHRCGKSCRLRWTNYLRPDIKRGKFNLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLVKMGIDPVTHKPKNETPLSSLGLSKNAAILSHTAQWESARLEAEARLARESKLLHLQHYQTKTSSHHHHHGFTHKSLLPNWTTKPNEDQQQLESPTSTVSFSEMKESIPAKIEFVGSSTGLSLMKEPDQNDWINSTMHEFETTQMGEGIEEGFTGLLLGGDSIDRSFSGEKNETAGESSGGDCNYYEDNKNYLDSIFNFVDPSPSVSPPMF >fgenesh2_kg.6__1516__AT5G15330.1 pep chromosome:v.1.0:6:6257587:6259296:1 gene:fgenesh2_kg.6__1516__AT5G15330.1 transcript:fgenesh2_kg.6__1516__AT5G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFRTHLEETLPEWRDKFLCYKPLKKLLKYYPYSSDHLDSRPVFADTTNISSAADDGDVAPGVRPTEDLQGSFVRILNEELDKFNDFYVDKEEDFVIRLQELKERIEQVKEKDRKNGEFASESEFSEEMMDIRRDLVTIHGEMVLLKNYSSLNFAGLVKILKKYDKRTGGLLRLPFTQLVLHQPFFTTEPLTKLVRDCEANLELLFPSEAEVVESSSTVHPHSSSHHHNSPRISAETSSTLGNENLDIYKSTLAAMRAIRGLQKASSTYNPLSFSSLLQNEDDETVTAENSPNSGNKDDSEKEDTGPSH >fgenesh2_kg.6__1519__AT5G15390.1 pep chromosome:v.1.0:6:6272766:6274977:1 gene:fgenesh2_kg.6__1519__AT5G15390.1 transcript:fgenesh2_kg.6__1519__AT5G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7M7C2] MNSCKSIIRASISSFPFVPLPNPNSSITFILPVRAFPPLSVLHPNPSCIGTARRTFHGVAALSPESLTEDSPKDTVKDLLTTNRGDASSMMKMERRCSLSDGEGDCRGSWFPYEDRFRCAEVHLSSREVLEAVTPHMMEERTDRFRRVVENRSFSVCLVVEGLSDFGNISAAFRSADALGIQSVHVVSCGSSKRYNGNRHVSMGAEKWLDIEFWDTPKECFKVLKSRGYRIATTHLGMDTVSIYDMDWSCPTAIVVGNEGRGICDEALELSDLRCSIPMNGMVDSFNVSVAAGILMHHAVSDRTTRLGSHGDLSEAEKEILMAEFSLRHSRSSISIAYEFAKRKQHSSSF >fgenesh2_kg.6__1520__AT5G15400.1 pep chromosome:v.1.0:6:6275274:6280781:-1 gene:fgenesh2_kg.6__1520__AT5G15400.1 transcript:fgenesh2_kg.6__1520__AT5G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M7C3] MATSKPQRSPAEIEDIILRKIFYVTLTESADSDPRIVYLEMTAAEILSEGKELLLSRDLMERVLIDRLSGDFSDAEPPFPYLIGCYRRAYDESKKIQSMKDKNLRSEMEIVTKQAKKLAVSYCRIHLGNPDMFGNSDKPTGGLDNRLKKRNVSPVLPLIFAEVGSGSLDMFGASSSGVQAPPGFLDEFFKDSDFDSLDPILKELYEDLRSTVINVSVLGDFQPPLRALKYLVSLPVGAKSLVSHQWWVPRGAYMNGRAMELTSILGPFFHISSLPDNKLFKSHPDVGQQCFSEASERRPADLLSSFSTIKNLMNILYSGLHDVLMILLKSTDTRECVLQFLAEVINANAARGHIQVDAVSCASSGMFVNLSAVMLRLCEPFLDPHLTKRDKIDPKYAFCGHRLKLSDLTALHASSEEVSEWIGKDAMANVYGAGRENGNESRLLQSKEATSSSSNASGQNAKSATKYTFICECFFMTARVLNLGLLKALSDFKHLAQDISRGEDNLATLKAMRDQAPSPQVELDIARMEKELELYSQEKLCHEAQILRDGDFIQRALSFYRLVVVWLVGLAGGFKMPLPSTCPMEFSCMPEHFVEDAMELLIFASRIPKALDGVPLDDFMNFIIMFMASPEYIRNPYLRAKMVEVLNCWMPRSSSSSSATSTLFEGHQLSLEYLVRNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPSHRNAWRRIAKDEEKGVYLNFLNFLVNDSIYLLDESLNKILEIKQIEAEMSNTAEWEQRPTQERQERTRLFHSQENIVRIDMKLANEDVTMLAFTSEEITAPFLLPEMVERVANMLNYFLLQLVGPQRKSLSLKDPEKYEFRPKQLLKQIVRIYVNLARGDTENIFPGAISSDGRSYNEQLFNAGADVLRRIGEEGRIIQDFMELGTKAKAAASEALDAEAALGDIPDEFLDPIQYTLMRDPVILPSSRITVDRPIIQRHLLSDNHDPFNRAHLTSDMLIPDIALKAKIDEFVKSHQSKKRTSGEDSSNKERIQTTSSDMLID >fgenesh2_kg.6__1521__AT5G15410.1 pep chromosome:v.1.0:6:6281062:6284561:-1 gene:fgenesh2_kg.6__1521__AT5G15410.1 transcript:fgenesh2_kg.6__1521__AT5G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHPNFIFRWIGMFSEKFRRQTTGIDENSILHINGGDSSSSGSDETPVLSSVECYACTQVGVPAFHSTSCDQAHAPEWRASAGSSLVPIQEASVPNPARTRFRRLKGPFGEVLDPRSKRVQRWNRALLLARGMALAVDPLFFYALSIGRTTGPACLYMDGAFAAVVTVLRTCLDAVHLWHVWLQFRLAYISRESLVVGCGKLVWDPRAIASHYARSLTGFWFDVIVILPVPQAVFWLVVPKLIREEKVKLIMTILLLIFLFQFLPKIYHCICLMRRMQKVTGYIFGTIWWGFALNLIAYFIASHVAGGCWYVLAIQRVASCIRQQCMRAGGCNLSLACKEEVCYQFVSPTSTVGYPCLSGNLTSVVNKPMCLDSNGPFRYGIYRWALPVISSNSLAVKILYPIFWGLMTLSTFANDLEPTSNWLEVIFSIVMVLSGLLLFTLLIGNIQVFLHAVMAKKRKMQIRCRDMEWWMKRRQLPSRLRQRVRRFERQRWNALGGEDELELVQDLPPGLRRDIKRYLCFDLINKVPLFRGMDDLILDNICDRAKPRVFSKDEKIIREGDPVQRMIFIMRGRVKRIQSLSKGVIATSTLEPGGYLGDELLSWCLRRPFLDRLPPSSATFVCLDNIEAFSLGCEDLRYITDHFRYKFANERLKRTARYYSSNWRTWAAVNIQMAWRRCRKRTRGENIGGSMSPVSENSIEGNSERRLLQYAAMFMSIRPHDHLE >fgenesh2_kg.6__1522__AT5G15430.1 pep chromosome:v.1.0:6:6287811:6289681:1 gene:fgenesh2_kg.6__1522__AT5G15430.1 transcript:fgenesh2_kg.6__1522__AT5G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETVRLPNSPDVNRSWRRISTGKLSFLYTEEKVLPNYLRSPTGSCHDACKYGRKHESEDKPKVPHRKRVNRSFSGTLNLDSPLRKKALTKPVLNPSFGSGKYDSVGGSDHANSEVRNFSSGVCDVKKNHADRTNKKVVPFSESQLADSTKRKKKKKKKTVYVSRGRAKEIVEHNRRVTALKLKSVAQTAAIALRRSTVKRKKMNGGAEPKKAVMPLRRASMSSKRCSRCLKTKKESNSLSVPLMKTRKRVGEKCKDLVEEKTLYVIKMVTGDEIVESEQNHRCVMDSPTDDPKSEKSQDEAESIEAEPDDESSQEEEEEEEDENVSFSEDKSTTREGKSKALSAESAVNGNSAKLRIKRGKIIDFGLQGSSPRKLKFERGKVVTGADTTSKSGGKRRLKTKGTNLSNDKEQQRKPRVVLKHQDTQKKREARVLLFNKVIKETANKLVQTRKSKVKALVGAFESVISLQEKTSSATI >fgenesh2_kg.6__1525__AT5G15460.2 pep chromosome:v.1.0:6:6296677:6298223:-1 gene:fgenesh2_kg.6__1525__AT5G15460.2 transcript:fgenesh2_kg.6__1525__AT5G15460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:D7M7C8] MAEVKDQLEIKFRLNDGSDIGPKSFPDATTVATLKETIVAQWPRDKENGPKTVKDVKLISAGRILENNKTVGDCRSPVGNFSGAVTTMHVIIHHQVTEKEKKKKKKSKGDLKQNKCVCLCFGARC >fgenesh2_kg.6__1528__AT5G15490.1 pep chromosome:v.1.0:6:6309325:6311880:-1 gene:fgenesh2_kg.6__1528__AT5G15490.1 transcript:fgenesh2_kg.6__1528__AT5G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7M7D1] MVKICCIGAGYVGGPTMAVIALKCPSVEVAVVDISVPRINAWNSDQLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVREADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSVSDKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIEDLFMPDRVLIGGRETPEGFAAVKALKDVYSQWVPEERILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVSEVSYAVGKDSRIGPKFLNSSVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKTRFVNRIVSSMFNTVSNKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKARLSIYDPQVTEDQIQRDLTMNKFDWDHPLHLQPMSPTTVKQVSVAWDAYTATKDAHGICILTEWDEFKKLDFQRIFENMQKPAFVFDGRNVVDADKLRQIGFIVYSIGKPLDQWLKDMPALA >fgenesh2_kg.6__1533__AT5G15550.1 pep chromosome:v.1.0:6:6339017:6342328:-1 gene:fgenesh2_kg.6__1533__AT5G15550.1 transcript:fgenesh2_kg.6__1533__AT5G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein WDR12 homolog [Source:UniProtKB/TrEMBL;Acc:D7M7D8] MDVDREDVSRRLHVKFVTKLDSPFKVPANSVAIPSNVTRLGLSSIVNSIIESDNPEWKTEPFDFLIDGELIRMSLEEFLLAKGISAERTLEIEYIRAVTPRKEEEPSLHDDWVSAVNGSSPRFILTGCYDGLGRVWSSAGSCSHILEGHSGAISSVALVNSNDAETVTVATSSKDRTLRLFKFDPTESVDSTTKVRAYKILRGHKASVQSVSAQKSGNMVCSSSWDCTINLWNTNESTSEGESVSVKKRKGNNQGEESQSEGEAVTSLVGHTQCVSSVVWPEYDVIYSSSWDHSVRRWDVETGKDSLNLFCGKALNTVDVGGEGSALIAAGGSDPILRVWDPRKPGTSAPVFQFSSHSSWISACKWHKSSWFHLLSASYDGKIMLWDLRTAWPLSVIDTHNDKVLSADWWKGESVISGGADSKLRISSGIAIS >fgenesh2_kg.6__1536__AT5G15580.1 pep chromosome:v.1.0:6:6354314:6358498:-1 gene:fgenesh2_kg.6__1536__AT5G15580.1 transcript:fgenesh2_kg.6__1536__AT5G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLLYNLSDENPNLNKQIGCMNGIFQVFYRQHYPPRRVTVAGDELKSLPSGKTSDNVGDTHISTDKKETEKSKKKKAAKEKQRGVSSESSSRLSFSSSPCSSSFSSADISTTASQFEQSGLIQTSNGDNPVREPTNGSPRWGGLMMPSDLRELVKSSIHKETRTRDEEALSQQPKSARANVSLLKESSPSRNSNEWSEGRRVVKLKDSPRFSYDERETRKTGAKLKETPRLSLDSRSNSFRSARSSYSTEPQELVSGHRRTTSSVVAKLMGLEVIPDEPVTVHNRENRFCDSPRPTSRVEVDLQRSRGSDSIKKMITAKFPMKASPWEQVDCAKNQVKAADTTTLTVYGEIQKRLSQLEFKKSEKDLRALKQILEAMEKTQQLISKDDDNKNLCSTNFMQRTDQPISFVTNPSSKNSKSSSIVVMKAAATPIFKETGIYGSASFSPRNVALPNVKVGNLRQPQKVIPRKQSAMDVTPRPGVYKGQTDSTMKNTSTRPLQLKSDMAESGKIQNPCVSPRTPPKKLGFEKQSRPTSPKPEPNKIQRQQTESASRRRKPGIKSRGLQQSEDRLSDESSDLRSLRSDSNVSLASNLDTEVTSRSNYEKNSDITEQHTPKQRSPDLGMRSLPKPLKVTVEQPSPVSVLDVAFDEDESPSPVRKISVVFKEDDNLSSEESQWMNKHSNLCRSIVWPESNTTLKQPDAELMEDFIEEDAEFKNGDHKYISEILLASGLLRDIDYSMISIQLHQAHLPINPSLFFVLEQNKTSNVSPQDNKHKGRGFGQQQTVNLIERSKRKLVFDTINEILAHRFAAEGCTKQPSIISSISTQRIPVKSSRGKELLQTLCSEIDRLQDNSKCILDEDDEDLIWEDLQSHGMNWKEIEGEIPGLVLDIERLIFKDLIGEVVTSEVAAFPGTLRGQPRQLFHC >fgenesh2_kg.6__1538__AT5G15600.1 pep chromosome:v.1.0:6:6369661:6370306:1 gene:fgenesh2_kg.6__1538__AT5G15600.1 transcript:fgenesh2_kg.6__1538__AT5G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M7E5] MGKARGVNSGGGESSLGYLFGSSESVSKPSKATANTSFTTTTTTTTTTDGAGGRPKTTTTTTTTGDKNKTEENSAGVRGSPNNYYRSDGQNCGNFLTERPSTKVHAAPGGG >fgenesh2_kg.6__1540__AT5G15610.2 pep chromosome:v.1.0:6:6370793:6373151:1 gene:fgenesh2_kg.6__1540__AT5G15610.2 transcript:fgenesh2_kg.6__1540__AT5G15610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit M [Source:UniProtKB/TrEMBL;Acc:D7M7E6] MTTIVPTSEVDPYLAIVRFTSQLAWADAGPEVAEPEVSRLCREAEDVIIDGKWLDLATLMVTSADLVSSKISEKDLECTYTIICSLVKNVTSPEEVLEMVKVIASKVVQQPNDKASLRLKILFSLYNLVDHPNARFQVYMKALELAVNGKVTEYIVPSFKKIDSFLKEWNIDIKDQREVFLAIAKVLRENKSLAKESLQFVTKYLATFSNEDTQVLSEAKEEAVRAVIEFVKAPNIFQCDLLDMPAVAQLEKDPNNAPVYQLLKIFLTQRLDAYMEFQNANSGFLQTYGLVEADCVAKMRLLSLVDLASDESGKIPYVSIKKTLQVNDEEVELWVVKAITAKLVDCKMNQMNQVVIVSRCAEREFGQKQWQFLRTKLAAWRDNVRNVISTIEANKTTEEGTQTSSAAQGLTVR >fgenesh2_kg.6__1542__AT5G15630.1 pep chromosome:v.1.0:6:6375903:6378282:1 gene:fgenesh2_kg.6__1542__AT5G15630.1 transcript:fgenesh2_kg.6__1542__AT5G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFFSFCFFFFMIIFSATAYDPLDPSGNITIKWDIMSWTADGYVATVTMNNFQMYRHIQNPGWTLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNVPHCCKKTPTVVDLLPGVPYNQQFSNCCKGGVIGAWGQDPSAAVSQFQVSAGLAGTTNKTVKLPKNFTLLGPGPGYTCGPAKIVPSTVFLTTDKRRKTQALMTWNVTCTYSQFLARKHPSCCVSFSSFYNDTITPCPSCACGCENKKGCVKADSKILTMKGLNTPKKDNTPLLQCTHHMCPVRVHWHVKTNYKDYWRVKIAITNFNYRMNHTLWTLAIQHPNLNNVTQVFSFDYKPVSPYGSINDTGMFYGTKFYNDLLMEAGPSGNVQSEVLLQKDQKTFTLKQGWAFPRKVYFNGDECMLPPPDSYPFLPNSAQGNFASMSLNILLLLLFISIW >fgenesh2_kg.6__1544__AT5G15650.1 pep chromosome:v.1.0:6:6386864:6389170:1 gene:fgenesh2_kg.6__1544__AT5G15650.1 transcript:fgenesh2_kg.6__1544__AT5G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reversibly glycosylated polypeptide-3 [Source:UniProtKB/TrEMBL;Acc:D7M7E9] MVEPANTVGLPVNPTPLLKDELDIVIPTIRNLDFLEMWRPFLQPYHLIIVQDGDPSKKIHVPEGYDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKAVNALEQHIKNLLCPSSPFFFNTLYDPYREGADFVRGYPFSLREGVSTAVSHGLWLNIPDYDAPTQLVKPKERNTRYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLSLGVKTGLPYIYHSKASNPFVNLRKEYKGIFWQEEIIPFFQNAKLSKEAVTVQQCYIELSKMVKEKLSSLDPYFDKLADAMVTWIEAWDELNPPAANGKA >fgenesh2_kg.6__1545__AT5G15680.1 pep chromosome:v.1.0:6:6392394:6402130:-1 gene:fgenesh2_kg.6__1545__AT5G15680.1 transcript:fgenesh2_kg.6__1545__AT5G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7M7F1] MKSGSAAKLIVEALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMIARHTPVPLLEALLRWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSVARSETLSIINGMRYLKLGVKSEGGLNASASFVAKANPLIRDIHKRKSELYHALCNMLSNILAPLSDGGKSQWPPSVAEPALTLWYEAVGRIRVQLIQWMEKQSKHLGVGYPLVSLLLCLGDPLIFHHNLSSHMEQLYKLLRDKNHRYMALDCLHRVLRFYLSVYASSQPPNRIWDYLDSVTSQLLTVLRKGMLTQDVQQDKLVEFCVTIAEHNLDFAMNHMLLELLKQDSPSEAKIIGLRALLALVMSPSSQYVGLEIFKGHGIGHYIPKVKAAIESILRSCHRTYSQALLTSSRTTIDAVNKEKSQGSLFQSVLKCIPYLIEEVGRSDKITEIIPQHGISIDPGVRVEAVQVLNRIVRYLPHRRFAVMRGMANFILKLPDEFPLLIQASLGRLLELMRFWRACLVDDRQDTDAEEEKKTAKANDRFKKLSFHQAADAIEFRAADIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDLMIQEHPDHVMKFEAEPIYMIDVLEEHGDDIVQSCYWDSARPFDLRRDSDAIPSDVTLQSIIFESLDKNKWGRCLSELVKYAAELCPRSVQEAKSEIMHRLAHITPVEFGGKASQSQDTDNKLDQWLLYAMFVCSCPPDGKDAGSIASTRDMYHLIFPYLRFGSETHNHAATMALGRSHLEACEIMFSELASFMEEISSETETKPKWKIQKGGRREDLRVHVSNIYRTVSENVWPGMLARKPVFRLHYLRFIEDSTRQISLAPHESFQDMQPLRYALASVLRFLAPEFVESKSEKFDVRNRKRLFDLLLSWSDDTGSTWGQDGVSDYRREVERYKTSQHNRSKDSVDKISFDKELNEQIEAIQWASLNAMASLLYGPCFDDNARKMSGRVISWINSLFIEPAPRVPFGYSPADPRTPSYSKYTGEGGRGTTGRDRHRGGHQRVALAKLALKNLLLTNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSMREWAEDGIEGSGGYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEITGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLSQRMLEDSIEPIGYSANRGDSNGNFVLEFSQGPATAPQVASVADSQPHMSPLLVRGSLDGPLRNTSGSLSWRTAGMTGRSASGPLSPMPPELNIVPVATGRSGQLLPSLVNASGPLMGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDVLHSGIAMHGVNAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYEVENSDGENKQQVVSLIKYVQSKRGSMMWENEDPTVVRTDLPSAALLSALVQSMVDAIFFQGDLRETWGTEALKWAMECTSRHLACRSHQIYRALRPSVTSDACVSLLRCLHRCLSNPIPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELFSRIIDRLSFRDKTTENVLLSSMPRDEFNTNDLGEFQRSESRGYEMPPSSGTLPKFEGVQPLVLKGLMSTVSHEFSIEVLSRISVPSCDSIFGDAETRLLMHITGLLPWLCLQLSQDQVMISALPLQQQYQKACSVAANIAVWCRAKLLDELATVFVAYARGEIKRVENLLACVSPLLCNKWFPKHSALAFGHLLRLLKKGPVDYQRVILLMLKALLQHTPMDASQSPHMYTIVSQLVESTLCWEALSVLEALLQSCSPVQGGTGGSHPQDFSYSENGADEKTLVPQTSFKARSGPLQYAMMAATMSQPFPLSAAAAESGIPPRDVALQNTRLMLGRVLDNCALGRRDYRRLVPFVTTIANM >fgenesh2_kg.6__1547__AT5G15710.1 pep chromosome:v.1.0:6:6410375:6412242:1 gene:fgenesh2_kg.6__1547__AT5G15710.1 transcript:fgenesh2_kg.6__1547__AT5G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGFWGLLMGNVEKSLDSGNSVACSASAKNGDEDSSSSTSSKQVSPLRGSGSRNTSPLGRVGSRNTSPSRQKVVKTKPRGLEEETVASFGKPVVADVQMEDGIWAMLPEDLLNEILARVPPFMIFRIRSVCKKWNLILQDNSFLKFHSNVSSHGPCLLTFWKNSPQIPQCSVFSLPLKTWYKIPFTFLPPWAFWLVGSSGGLVCFSGMDGLTFRTLVCNPLMQSWRTLPSMHYNQQRQLIMVVDRSDKSFKVIATSDIYGDKSVPTEVYDSKTDKWSLHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDTGQWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHTKVSWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYNVDKKIWSWISGCALQSCNSQVCFYEPRFDASVH >fgenesh2_kg.6__1549__AT5G15725.1 pep chromosome:v.1.0:6:6415249:6416224:1 gene:fgenesh2_kg.6__1549__AT5G15725.1 transcript:fgenesh2_kg.6__1549__AT5G15725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTILKLMTLVLGFFFLIYLLQGPRGGSKNGDLLIARKLIALEPIETKNVARSLEDSISTDLEREVDHLMQHEYPSPVKPRKRTPVHNGVPINTGH >fgenesh2_kg.6__154__AT5G02440.1 pep chromosome:v.1.0:6:627761:629686:1 gene:fgenesh2_kg.6__154__AT5G02440.1 transcript:fgenesh2_kg.6__154__AT5G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQEEGWPLGLRPVNARIGGLTRGAHHHEQVSAGSISFTSLLSPSPSSHSSSDLDSQSMESFFRDRSYTLGNLIGISSFLELSRRSNRTRNDQSTARNHQHQKNLKTYYKPWIFSICSKLSTNATVISHNRIINEDNDGRNNVQSLGHFLMVERRAVGSTIRSTPTM >fgenesh2_kg.6__1552__AT5G15740.1 pep chromosome:v.1.0:6:6422835:6425995:-1 gene:fgenesh2_kg.6__1552__AT5G15740.1 transcript:fgenesh2_kg.6__1552__AT5G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFLYHRKLWEMNVKLLGESKVEKLKNSFVSRPRMSLWMIRAVTVLLLWSCFVHLMALGEMWGPRLFKGWPSCFNHHELSTAAEMTSLPTKIALPPKRVYVNNGYLMVSCNGGLNQMRAAICDMVTVARYLNVTLIVPELDKTSFWNDPSEFKDIFDVDHFIGSLRDEVRILKELPPRLKKRVELGMYHEMPPISWSNMSYYQNQILPLVKKHKVLHLNKTDTRLANNELPVEVQKLRCRVNFNGLKFTPQIEELGRRVVKILREKGPFLVLHLRYEMDMLAFSGCSHGCNPEEEEELTRMRYAYPWWKEKVINSELKRKDGLCPLTPEETALTLTALGIDRNVQIYIAAGEIYGGQRRMKALTDAFPNVVRKETLLESSDLDFCRNHSSQMAALDYLVALESDIFVPTNDGNMARVVEGHRRFLGFKKTIQLNRRFLVKLIDEYTEGLLSWDVFSSTVKAFHSTRMGSPKRRLVIPNRPKEEDYFYANPQECLQLLDEPLRVI >fgenesh2_kg.6__1553__AT5G15750.1 pep chromosome:v.1.0:6:6430942:6432618:1 gene:fgenesh2_kg.6__1553__AT5G15750.1 transcript:fgenesh2_kg.6__1553__AT5G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding S4 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M7F8] MRKLKYHEKKLIKKVNFLEWKREDNHRENEITYRYHMGSRDDYKKYSGLCRMAQKLTNILKQMDPADPFRIQMTDMLLEKLYNMGVIPTRKSLALTERLSVSSFCRRRLSTVLVHLKFAEHNKEAVTYIEQGHIRVGPETITDPAFLVTRNMEDFITWVDSSKIKRKVLQYNDKLDDYDMIA >fgenesh2_kg.6__1554__AT5G15760.1 pep chromosome:v.1.0:6:6433006:6434036:1 gene:fgenesh2_kg.6__1554__AT5G15760.1 transcript:fgenesh2_kg.6__1554__AT5G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQSNQSASFGFRTASPSQKLSSKHVVYISLATKLKYSSLASISCSTWNPGQIPTRHSGKNPGIFASAPSNLTFSHEPPESESPPLGKKKMRVLVKPLEKPKVVLKFVWMQKDIGVALDHMIPGFGTIPLSPYYFWPRKDAWEELKALLESKPWISELHRVFLLNQATDIINLWQSSGGDLS >fgenesh2_kg.6__1556__AT5G15780.1 pep chromosome:v.1.0:6:6435101:6436626:-1 gene:fgenesh2_kg.6__1556__AT5G15780.1 transcript:fgenesh2_kg.6__1556__AT5G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTNLWVWFSLMIFLGISINGGLSQGQQHVMKKTRSSAVVVGTVYCDTCFNGAFSKSHNHLIPGALVAVECIDENSKPSFRQEVKTDKRGEFKVKLPFSVSKHVKKIKRCSVKLLSSSQPYCSIASSATSSSLKRLKSNHHGENTRVFSAGFFTFRPENQPEICSQKPINLPASKPLLPDPSFPPPIQDPPNPSPIPNLPIVPPLPNLPLPDLPIPQVPPLLPPGPQKSSSVHNKKSDSFNDKKAQVLKPDFFLPPNPLNPPSIIPPNPLIPSIPTPTLPPNPVIPSPPTLPPIPLIPSPPTPTLPPIPTIPTLPLPLPPVPIVNPPALPPPPSFPVPLPPIPLIPGIPPVTPSFSSHHQP >fgenesh2_kg.6__1557__AT5G15790.1 pep chromosome:v.1.0:6:6441247:6444099:1 gene:fgenesh2_kg.6__1557__AT5G15790.1 transcript:fgenesh2_kg.6__1557__AT5G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M7G2] MGCVSSCFRVEDFEDYPNPSSSSVNRTCPCPRCLVNNFLNLYISLFRRGEPRSLPSSLQATNVSIASSTSYDNFTSNTFHSTPRPLPYDTDPRYFRSRRDSLVSRRDKGSSHSHEEAEPLRGDADVDSESFSVEGSKWTNKLIISGEDSKEEFSKSSRRILQSRTMATGNEGVYITSDDEDVCPTCLEEYTSENPKIVTNCSHHFHLSCIYEWMERSENCPVCGKVMEFNETP >fgenesh2_kg.6__1561__AT5G15810.1 pep chromosome:v.1.0:6:6450780:6454300:1 gene:fgenesh2_kg.6__1561__AT5G15810.1 transcript:fgenesh2_kg.6__1561__AT5G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine(26)-N(2))-dimethyltransferase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M7G5] LLRCFQTFDHFRHLYSHIYRFKLCDLPFGLQIRVCNSICESSAPEEKNLRSAMETDLDDYTVIKEGEAEVLMHKKNQVFFNKAQVNNRDMSIAVLRAFISKRKQEHEAMLSKRARSSGKVLEKNVSGNSKEENPTENGEDNGKTNGEHDVTTQNGPKEAAKTAYESARRELKPPRVLEALSASGLRALRYAREVEGIGQVVALDNDPASVEACQRNIKFNGLMSTSKVESHLTDARVHMLSHPKDFDVVDLDPYGAPSIFLDSAVQSVADGGLLMCTATDMAVLCGANGEVCYSKYGSYPLKGKYCHEMALRILLASIESHANRYKRYIVPVLSVQMDFYVRVFVRVYTSASAMKNTPLKLSYVYQCIGCDSFHLQSVGRSLPKNNSVRYLPGVGPVVPQDCTHCGKKYNMGGPIWSAPIHDQEWVTSILSGVKSMKDRYPAYDRICAELPDVPLFLSLHSLSATLKCTSPSAALFRSAVINAKYRVSGSHVNPLGIKTDAPMEIIWDIMRCWVKNHPIKPQSPEHPGSVILSKEPSHQADFSRHVGSLSKAQAKKVARFLPNPEKHWGPKIRAGRTITSKHVSLLGHEAVNGHLNGNHKEAGAEVEEEPQEDIIEGEPDLKRQKTTVDVASTS >fgenesh2_kg.6__1562__AT5G15830.1 pep chromosome:v.1.0:6:6464156:6465059:1 gene:fgenesh2_kg.6__1562__AT5G15830.1 transcript:fgenesh2_kg.6__1562__AT5G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNYDSSSLNNMQQQDYFHLNYYNNLNPSTNNNNLNLLQYPQIQELNLQSPVSNNSTTSDDATEGIFVINERKQRRMVSNRESARRSRMRKQRHLDELLSQVAWLRSENHQLLDKLNQVSDNNDRVIQENLSLKEENLELRQVITSVKKLGGGIHDKYSSSSMDELDQDFSSITDDPRTHHPS >fgenesh2_kg.6__1563__AT5G15840.1 pep chromosome:v.1.0:6:6466822:6468406:-1 gene:fgenesh2_kg.6__1563__AT5G15840.1 transcript:fgenesh2_kg.6__1563__AT5G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQESNEIDSEENNRARACDTCRSNACTVYCHADSAYLCMSCDAQVHSANRVASRHKRVRVCESCERAPAAFLCEADDASLCIACDSEVHSANPLSRRHQRVPILPISGNSFSSMATHHQSETTMTDPEKRLVVDQEKGEEGDEDAKEVASWLFPNSDKNINNQNNGLLFSDEYLDLVDYNSSMDYKFTGQYHQHQQNCGVPQTSYGGDGVVPLQLEESRRHQCHNQQNFQFDIKYDSSGSHYNDNCSLNHNVYILSMETGVVPESTARDKTASPPRTPKKTTDQLPDPPIQMITQLSPMDREARVLRYREKKKTRKFEKTIRYASRKAYAEIRPRVNGRFAKRREIEAEDQVFNTMLMYDTGYGIVPSC >fgenesh2_kg.6__1566__AT5G15850.1 pep chromosome:v.1.0:6:6471758:6473434:-1 gene:fgenesh2_kg.6__1566__AT5G15850.1 transcript:fgenesh2_kg.6__1566__AT5G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVESNWGQACDTCRSAACTVYCRADSAYLCTSCDAQVHAANRLASRHERVRVCQSCERAPAAFFCKADAASLCTTCDSEIHSANPLARRHQRVPILPISENSYSSTATNHSCETTVTDPENRLVLGQGEEDEDEAEAASWLLPNSGKNNGNNNGFSIGDEFLDLVDYSSSDKQFTDQSNQYQLDCNVPQRSYEDGVVPLQVEVSKGHMNHEQQNFQLSITCGSPRAHRSSNGSLSHMVHVSSIDLGVVPESTNPRSPKAVTDQLPDPPAQMLSPRDREARVLRYREKKKMRKFEKTIRYASRKAYAEKRPRIKGRFAKRNEVDAEANEAFSTIITFDTGYGIVPSF >fgenesh2_kg.6__1569__AT5G15860.1 pep chromosome:v.1.0:6:6473978:6476992:-1 gene:fgenesh2_kg.6__1569__AT5G15860.1 transcript:fgenesh2_kg.6__1569__AT5G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:prenylcysteine methylesterase [Source:Projected from Arabidopsis thaliana (AT5G15860) TAIR;Acc:AT5G15860] MHFPLQTQQPEQRCRPMTSTVSEIEEVIPDEDSDRTTLLNGEPLRRRVSGNLRVDEGPRRIFRQQSFGRDIGHAAAETYLITGLSFKLLRYLGVGYRWMTKLLALTCYAMLLMPGFLQVAYSYFFSKQVRRSIVYGDQPRNRLDLYLPSNNDGLKPVVVFVTGGAWIIGYKAWGSLLGMQLAERDIIVACLDYRNFPQGTISDMVTDASQGISFVCNHISAFGGDPNRIYLMGQSAGAHIAACALLDQATKESKGESISWRVSQIKAYFGLSGGYNLYNLVDHFHNRGLYRSIFLSIMEGEESFKKFSPEVRLKDPIVGKAATLLPPIILFHGSSDYSIPCDESKTFTDALQAVGAKAELVLYSGKTHTDLFLQDPLRGGKDELFDDIVSVIHAEDNDALTKDSLAPPRKRLVPELLLKLAREVSPF >fgenesh2_kg.6__156__AT5G02460.1 pep chromosome:v.1.0:6:636478:638369:-1 gene:fgenesh2_kg.6__156__AT5G02460.1 transcript:fgenesh2_kg.6__156__AT5G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTSFPTYPDHSSNWQQQHQPITTTVGFTGNNNINQQFLPHHPLPPQPQPQQTPPQLHHNNGNGGGSGPGGPGVLIRPGSMAERARLANIPLPETALKCPRCDSTNTKFCYFNNYSLTQPRHFCKACRRYWTRGGALRSVPVGGGCRRNKRTKNSSGGGGGGGSTSSGNSKSQDSATSNDQYHHRAMANNQMGPPSSSSSLSSLLSSYNAGLIPGHDHNNNNNNNNILGLGSSLPPLKLMPPIDFTDNFTLQYGAVSAPSYHIGGGSSGGAAALLTGFDQWRFPATNQLPLGGLDPFDHQMEQQNPGYGLVTGSGQYRPKNIFHNLISSSSASSAMVTATASQLASVKMEDSNNQLNLSRQLFGNEQQLWNIHGAAAASTAAATSSWSDVSNNFSSSSTSNI >fgenesh2_kg.6__1571__AT5G15880.1 pep chromosome:v.1.0:6:6483569:6485533:1 gene:fgenesh2_kg.6__1571__AT5G15880.1 transcript:fgenesh2_kg.6__1571__AT5G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLAWAADVVGKNGKEGDDEKDRIPLVFTEEQQKYVDELGRKATTLSRSIQDLRLRLPPPDISQRLPDLLAHSLASNAALTLQLDSHSATREQAHMREQTLLEENSAYENAISICESKIEEKRNEAGSLLRKLKELENVEENLKTEQEDAQASLDARQSRISSETVIQPDGNGKDGADTEAMKSVMLEKLESKKNDLSSMEAKVQDLERSWAVIQERALKQPSPAQREKTLDKQLHSLIEQLAAKQAQAEGIVGEIHSNEMELERLNNLLRRYESFNVEGNAARNRFKRTNSDREFASDHEVDAHSYLPYSSATRNGTQTRLMYLRSAFVVYILALQVLVFIKISF >fgenesh2_kg.6__1572__AT5G15900.1 pep chromosome:v.1.0:6:6486415:6488866:-1 gene:fgenesh2_kg.6__1572__AT5G15900.1 transcript:fgenesh2_kg.6__1572__AT5G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLHSATFPSKQKLLIVVTVAITLFTIIPLLYPFLEDPNFFLKQQPPSQSSIIKLENGVVTSHESCDIFSGEWVPNPEAPYYTNTTCWAIHEHQNCMKFGRPDTDFIKWKWKPYGCEDGLPVFDPFRFLEIVRGKTMAFVGDSVSRNHMQSLICLLSQVEYPMDASVKDDDYFKRWTYETYNFTIAAFWTPHLVKSKEPDQTQTEHTDIFDLYLDEADESWTADIGDFDYVIISSGHWHYRPSVYYENRTITGCHYCQLPNITDLTMFYGYRKAFRTAFKAILDSESFKGVMYLRSFAPSHFEGGLWNEGGNCLRKQPYKSNESQDETTMKLHMIQLEEFWRAEEEAKKKGKRLRLLDTTQAMWLRPDGHPSRYGHMPEANVMLYNDCVHWCLPGPIDNLNDFLLAMLKREEDKGLLAQVRKMLS >fgenesh2_kg.6__1573__AT5G15910.1 pep chromosome:v.1.0:6:6490504:6492695:1 gene:fgenesh2_kg.6__1573__AT5G15910.1 transcript:fgenesh2_kg.6__1573__AT5G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISQRGNERLLSEAAGTHSRDYKILVLGGNGYVGSHICKEALKQGFSVSSLSRSGRSSLHDSWVDDVTWHQGDLLSPDSLKPALEGITSVISCVGGFGSNSQMVRINGTANINAVNAAADQGVKRFVYISAADFGVINNLIRGYFEGKRATEAEILDKFGNRGTVLRPGFIHGTRQVGSIKLPLSLIGAPLEMVLKLFPKEVTKIPLIGPLLIPPVNVKSVAGTAVKAAVDPEFASGVIDVYRILQHGH >fgenesh2_kg.6__1574__AT5G16020.1 pep chromosome:v.1.0:6:6529435:6531992:1 gene:fgenesh2_kg.6__1574__AT5G16020.1 transcript:fgenesh2_kg.6__1574__AT5G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFRFLHISLPFFFVFFSAVSQLQDQTATKKFVRILSKTLIGDDGRVYACSDNDFFSFESNGSIAWSVHMNFKCNTDFSPVYSGFKQMLVLAENRILRVIFPRNGTKSEPELFFDPGETILGFAVSISSYSVYITVKNHGLYAYNMFRQQLWIAEPKIERFGYRLGCRKDYDNCTFNSRPVIDSCEGSIYISNNEGELYSLSLRGTYYQWIQDFSLVDRFFTVTPGNNGLVYVVFPMKSLVFALDSFSGDILWQKTVGPLAETSASDPVIDSNSWASIGSLDGTLYSFSRTGELHKIPKNAETDSVIQIEPLLDCSGYAVYVSQTKLEGKIDHVIEDYTYVSAKKPETAVFSLVVPETRSICWSQSYSDQILGLLLDEDLQHFLLDERIVLAFVAASSSGNPFRCRSKHEKLSSSCSFSEPEHLDIYIGNERAIIWFLLFEFVIIVLFAALVRFCFIFWKKKKLQDRPFSAFLDKRRLLHRKSRDIDKTITRLQSESTANESTVDKIGDLIQERENVRRKLTSTYSLGRDIDESKSKLKDYVLPLYGGGSRSFSFQNRENESVTIFQTPRDESSSEESYRDQHYDDDVADDEHDEDDLDRNQKGKLLAHSEGSSNDGDGIASSRRSIYLKKRSLSLTTN >fgenesh2_kg.6__1576__AT5G15930.1 pep chromosome:v.1.0:6:6496981:6499548:1 gene:fgenesh2_kg.6__1576__AT5G15930.1 transcript:fgenesh2_kg.6__1576__AT5G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRTKDSDPGPVPLLVPVDRFGFLKQEHGNSPQRFTKTKSSSNYDKEERRVTKWRKMIGTGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYVQLVIYETSASELDIIRDISRTFPSHVFFQKRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFIAGLLLLYMSEEDAFWLLVALLKGAVHSPIEGLYQAGLPLVQQYLLQFDMLVRELMPKLGEHFTQEMINPSMYASQWFITVFSYSFPFHSALRIWDVFLAEGVKIVFKVGLALLKHCHDDLLKLPFEELMHALRNFPEDAMDPDTLLPLAYSIKVSKRLEEMKQDCDKAVSKPAQTAKPV >fgenesh2_kg.6__1579__AT5G15948.1 pep chromosome:v.1.0:6:6503126:6505114:1 gene:fgenesh2_kg.6__1579__AT5G15948.1 transcript:fgenesh2_kg.6__1579__AT5G15948.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme [Source:UniProtKB/TrEMBL;Acc:D7M7I0] MAMSAIGFEGYEKRLEVTFFEPGLFLDTQGKGLRALTKSQIDEILQPAECTIVSSLSNDQLDSYVLSESSLFIFPYKIVIKTCGTTKLLLSIEPLLRLACELSLDVKAVRYTRGSFLCPGGQPFPHRNFSEEVSVLDGHFAKLGLSSVAYLMGNDDETKKWHVYSASSANSNNNNNVYTLEMCMTGLDKDKASVFFKNDSSSAGSMTDNSGIRKILPQSQICDFEFEPCGYSMNSIEGDAISTIHVTPEDGFSYASFEAVGYDFTTMDLSHLVSKVLTCFEPKQFSVAVHSSVAQNGYDSVLCVDLDDYGCRETTMESLGEERGTVMYQSFEKLGKYCGSPRSTLKCEWSSNSSCNSEDEKED >fgenesh2_kg.6__1581__AT5G15970.1 pep chromosome:v.1.0:6:6508978:6509711:1 gene:fgenesh2_kg.6__1581__AT5G15970.1 transcript:fgenesh2_kg.6__1581__AT5G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNKTAFQAGQTTGKAEEKSNVLLDKAKDAAAGAGTAAQQAGKSISDAAAGGVNFVKEKTGMNK >fgenesh2_kg.6__1582__AT5G15980.1 pep chromosome:v.1.0:6:6510152:6512571:1 gene:fgenesh2_kg.6__1582__AT5G15980.1 transcript:fgenesh2_kg.6__1582__AT5G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSGSFGISVGRSFSSEPAVEEKSSAEVIVIDIFSRLSGEDDIRKELESSDVVISHDLALKVLRKLESNPDVAKRFFQWIKEASPEELSSKNYNMMLRILGGNGLVNEFWGLVDVMKKKGHGLSANVRDKVGEKFQKDGLESDLVRLRKLFASDCLDSSAENVCDRVCKIVMKEEWGDDVEKRVRDLNVEFKSDLVKMIVESLDVVPRKALLFFRWIDESGLFKNDEKTYNAMARVLGKEKFLDRFQNIVEEMRSVGYEVEIETYVRVSTRFCQTKLIKEAVDLFEIAMAGSSSNKPTPQCFCLLLKKIVTAKILDMDLFSRALKAYTRNGNAMTDSLLKSVLKSLRSVDRVELSTELLKEMKKGGYVPSSDMQSMIASSLSRKGKKDEADEFVDFMEASGNNLDDKAMASLVEGYCDSGDLDEALVCFEKMVGYAAAFYADYAFEKLVLAYCNKNQVRDAYKLLSAQVQKNQLKPRHSTYKILVTNLLTKKIARDGGFEEALSLLPIMKDHGFPPFIDPFMSYFSTTGKSTEALGFLKAMTSKNFPSMSVVLRVFETMMKSARHSEAQDLLSLCPNYIRNNPDVLELFNTMKPNESTVEKPLAASA >fgenesh2_kg.6__1584__AT5G16010.1 pep chromosome:v.1.0:6:6524815:6525865:1 gene:fgenesh2_kg.6__1584__AT5G16010.1 transcript:fgenesh2_kg.6__1584__AT5G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein [Source:UniProtKB/TrEMBL;Acc:D7M7I5] MVTSFVYPPPPSILLNCMSVVGLAALAKIGWSEVTGNHLKYSKFGVSSSPQPQKQRFGSISSRNGMLWLYTPAFLAAAASFFVLPCDDLRFLLLKSALALHFFKRVFEVLFIHKYSGGMAVDSAFVISSSYFSSTAFMLYSQDLTLGLTEPSFDMKFAGILMFVVGIVGNLYHHILLAKLRKEDGKKEYKIPKGGLFDIIICPHYLFEILVFWSFFMISQTIYSFSFAMGTMLYLIGRSYATRSWYLSKFDDFPKHIKALVPFVF >fgenesh2_kg.6__1588__AT5G16040.1 pep chromosome:v.1.0:6:6541235:6543947:-1 gene:fgenesh2_kg.6__1588__AT5G16040.1 transcript:fgenesh2_kg.6__1588__AT5G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein [Source:UniProtKB/TrEMBL;Acc:D7M7I9] MASATSVIAWGSGEDGQLGLGTDEEKELASVVEALEPFNVRSVVGGSRNSLAICDDGKLFTWGWNQRGTLGHPPETKTESTPSLVKSLASVKIVQAAIGGWHCLAVDDQGRAYAWGGNEYGQCGEEPSKDETGRPVRRDIVIPKRCAPQLTVRQVAAGGTHSVVLTREGYVWTWGQPWPPGDIKQISIPVRVQGLENVRLIAVGAFHNLALKEDGTLWAWGNNEYGQLGTGDTQPRSFPIPVQGLDDLTLVDIAAGGWHSTALTNEGEVYGWGRGEHGRLGFGDNDKSSKMLPQKVNLLAGEDIIQVSCGGTHSVALTRDGRIFSFGRGDHGRLGYGRKVTTGQPLELPIDIPPLEGRFNHTDEEDDGKWIAKHVACGGRHTLAIVEWKFDQEGTE >fgenesh2_kg.6__1590__AT5G16060.1 pep chromosome:v.1.0:6:6547948:6549226:1 gene:fgenesh2_kg.6__1590__AT5G16060.1 transcript:fgenesh2_kg.6__1590__AT5G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COX assembly mitochondrial protein [Source:UniProtKB/TrEMBL;Acc:D7M808] MGSYVEQARENHVKKKVEEALRSKMKAKALMECDQYVSKYAQCATGRTFSVVWTCRKQAKELNTCLHQFTNDNVLEEMKREYMLQEEGKVSSSAI >fgenesh2_kg.6__1592__AT5G16080.1 pep chromosome:v.1.0:6:6554232:6555572:-1 gene:fgenesh2_kg.6__1592__AT5G16080.1 transcript:fgenesh2_kg.6__1592__AT5G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISFPHNHQSSDSRRGGSHHHRHGPVVEEIEGLIKVFNDGCVERPPIVPTVSPTLHPSAKATAFDIKLSNDTWTRVYIPDAAAASPSVTLPLLVYFHGGGFCVGSAAWSCYHDFLTSLAVQARCVVVSVNYRLAPEHRLPAAYDDGVNVVTWLVKQQISNGGYPSWVSKCNLSNVYLAGDSAGANIAYQVAVRITASGKYVNTPNLKGIILIHPFFGGESRTSSEKQQHHSKSSALTLSASDAYWRLALPRGASRDHPWCNPLGSSTAGAELPTTMVFMAEFDILKDRNLEMCKVMRSHGKRVEGIVHGGVGHAFHILDNSSVSRDRIHDMMCRLHNFIHP >fgenesh2_kg.6__1593__AT5G16110.1 pep chromosome:v.1.0:6:6564232:6566467:-1 gene:fgenesh2_kg.6__1593__AT5G16110.1 transcript:fgenesh2_kg.6__1593__AT5G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g16110 [Source:UniProtKB/TrEMBL;Acc:D7M813] MNHCNLQQNAFMTREEMVGFDRKDLVVCPKPRRVGLLANNVIRPLRLHMSQAAADLCDSKAGAELLEIIRRKEDNGTIGQLLSSSPPYFPGSPPSRAANPLAQDARFRDEKLNPVSPNSPFLQPNSATGFPSPSSSSSSSSRGCVRMKFGLNSPAVRVEGFDCLNRDRQNSSIPAMA >fgenesh2_kg.6__1594__AT5G16120.2 pep chromosome:v.1.0:6:6570880:6573055:1 gene:fgenesh2_kg.6__1594__AT5G16120.2 transcript:fgenesh2_kg.6__1594__AT5G16120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7M814] MAPTATLDQNEELRRLKEVNIDEAPGRRRVRDSLKDIQLNLDHILFKTPENGIKTKESFEVNSRGVEIFSKSWLPEASKPRALVCFCHGYGDTCTFFFEGIARRLALSGYGVFAMDYPGFGLSEGLHGYIPSFDLLVQDVIEHYSNIKANPEFSSLPSFLFGQSMGGAVSLKIHLKQPNAWTGAVLLAPMCKIADDLVPPPVLKQILIGLANVLPKHKLVPQKDLAEAGFRDIRKREMTPYNMICYSGKPRLRTAVEMLRTTQDIEKQLQEVSLPILILHGEADTVTDPSVSRELYEKAKSPDKKIILYENAYHSLLEGEPDDMILRVLSDIISWLDDHSLQAEGSLVTTM >fgenesh2_kg.6__1596__AT5G16130.1 pep chromosome:v.1.0:6:6587138:6588910:1 gene:fgenesh2_kg.6__1596__AT5G16130.1 transcript:fgenesh2_kg.6__1596__AT5G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S7 [Source:UniProtKB/TrEMBL;Acc:D7M816] MFSAQNKIKKDKNAEPTECEEQVAQALFDLENTNQELKSELKDLYINQAVQMDISGNRKAVVIYVPFRLRKAFRKIHPRLVRELEKKFSGKDVIFVTTRRIMRPPKKGAAVQRPRNRTLTSVHEAMLEDVAFPAEIVGKRTRYRLDGSKIMKVYLDAKEKNNSEYKLETMVGVYRKLTGKDVVFEYPVEA >fgenesh2_kg.6__1598__AT5G16140.1 pep chromosome:v.1.0:6:6589089:6590990:-1 gene:fgenesh2_kg.6__1598__AT5G16140.1 transcript:fgenesh2_kg.6__1598__AT5G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7M817] MLCPTYTPTIYHFHTQKPVFRKPRFRVCSSTSTENDRFKVEYTPWLIVGLGNPGNKYHGTRHNVGFEMIDVLARKEGVLMNTIQSKALIGIGAIEDVPILLVKPQTYMNFSGESVGSLASHYRVPLRHILMLYDEMALPNGVLRLQPKGGQGYHNGVKSVMGHLDGRRNFPRLSIGIGKPPGNMDMKAFLLQKFSPLERKQIDEALEQGSEAVKTLVLNGFNQGISRFNLVQKYKFHNV >fgenesh2_kg.6__159__AT5G02470.2 pep chromosome:v.1.0:6:640138:642737:-1 gene:fgenesh2_kg.6__159__AT5G02470.2 transcript:fgenesh2_kg.6__159__AT5G02470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDLFATPEKQRQHPSVSLEKTPVRRKLIVDDDSEIGSEKKGQSRTAGGGLRQFSVMVCQKLEAKKITTYKEVADEIISDFATIKQNTEKPLNENEYNEKNIRRRVYDALNVFMALDIIARDKKEIRWKGLPITCKKDVEEVKMDRNKVMNSVQKKAAFLKELREKVSSLESLMSRNQEMVVKTQGPAEGFTLPFILLETNPHAVVEIEISEDMQLVHLDFNSTPFSVHDDAYILKLMQEQKQQQNRVSSSSSTHHQSQHSSAHSSSSSCIASGTSGPVCWNSGSIDTR >fgenesh2_kg.6__1602__AT5G16160.1 pep chromosome:v.1.0:6:6594811:6595605:-1 gene:fgenesh2_kg.6__1602__AT5G16160.1 transcript:fgenesh2_kg.6__1602__AT5G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRRTSEEIDREAEENRKEALLASTLSLQPNFNRSNVSQKQISKLQELHKRRMQIKANTKIHKKPKASKNSQIKDGESSNKLKESTSFSSTLEEQNHSKTLGTTPKKPQKLFWGLDTRERWERKANM >fgenesh2_kg.6__1604__AT5G16190.1 pep chromosome:v.1.0:6:6603004:6605932:-1 gene:fgenesh2_kg.6__1604__AT5G16190.1 transcript:fgenesh2_kg.6__1604__AT5G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDMELGNQNFPMVLVQIPMYNEREVFQLSIGAACRLIWPLDRLIVQVLDDSTDPTIMEMVNIECGKWATKGINIKCERRDNRNGYKAGALKQGMRHSYVKTCTYIAIFDADFQPEPDYLQRTVPFLIHNSELALVQARWKFVNAKKCLMTRMQEMSLNYHFTAEQESGSTRHAFFGFNGTAGVWRLAAMEEAGGWKDRTTVEDMDLAVRVGLHGWKFVFVNDVAVKSELPSQFKAFRFQQHRWSCGPANLFRKMTMEIIRNKRVTIWKKLYVIYSFFFVRKIIVHFFTFFFYCFILPMSVFFPEVNIPTWSTVYFPFMITLFNAIATPRSFYLVIFWVLFENVMAMHRTKGTFIGLLEGGRVNEWVVTEKLGDALETKLLPQVRKPRYGFLDRINSKEMMVGIYILCCASYNLVFGKTLLYIYLYMQALAFIIAGIGFVGT >fgenesh2_kg.6__1605__AT5G16210.1 pep chromosome:v.1.0:6:6612060:6619257:-1 gene:fgenesh2_kg.6__1605__AT5G16210.1 transcript:fgenesh2_kg.6__1605__AT5G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAERSSLCNFFVNFLMEENYLLTAFELLHELLDDGRDAQAIRLKEFFSDPARFPPDQISRYNSIRVADPQSLLEEKEALAEKLAISEYEFRLAQEDITRLKTEGQKKSDPSIDKSEELDSDEFGDNRPEIQRKKKDFSFTDIGPLKNNERRDLNCAVKEYLLLAGYRLTAMTFYEEVTDQNLDVWQDSPAHVPDALRYYYYQYLSSTSEAAEEKIAMLQENESLKKEIERLNKEKDGLLKSKENFEEQIGAFNKSTESLQKDLWDREKQVQSLKQSSEHQRRNLNDCRAEITSLKMHIEGSRASQYVPSNEGDPVKLQSEEQISTLSEEVAKPTVEKDGGLISEVSISDEKGHIQTEDDLVVEEVMNIIADQRQVAAEASNISIANNGTLENQKEVSNYLLSSSNGNFSPRDLGSILKVDPGIGRGSNSKSDNSNGEAASEEMGLGTIQILADALPKIVPYVLINHREELLPLMMCAIERHPVSSTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLSRNVGEMRTETELLPQCWEQINHTYEERRLLVAQSCGELAEYVRPEIRDSLILSIVQQLIEDSATVVREAAAHNLALLLPLFPNTDKYFKVEEMMFQLICDPSGLVVETTLKELLPAVIKWGNRLDHILRGLLSHTLSSAQHCPPLSGVEGSLESHLRVLGERERWNIDVLLRMLMELLPAIHQKAMTTCPFSSISKSEESAFSVSLLEIYAEGRSEWPMFEWMHVDCFANLLQLACMLPQKEDHLRNRITKFLLAVSERFGSSYLTHIELPVFLVAAGDDEADLRFLPSAIHPRIKGLKPRTAVANRLATLCILPLLLAGVLGAPSKREELTIFLRQLLVDSKTKENQSAKHNNEVLDAVRFLCTFEEHHNMIFGILWEMVVDSTAELKINAAKLLKTIVPYIDAKVASANVLPALITLGSDQNLNVKYASIDAFGSVAQHFKIDMIVDKILVQMDAFLEDGSHEAIIAVIRALLVAIPHTTERLRDYLLSKILQLSASPSSSTDVNRRRERANAFCEAIRALDATDLSQTSVKEYLLPAIQNLLKDPDALDPAHKEALEIIMKERSGGTFEAISKAMGAHLGIASSVTSLFGEGGLLGKKEATESTAVAPSSPTVQGPESPKVVAAATEDTRFRRIMRGNFTEMLRSKAKNQDETQPQNH >fgenesh2_kg.6__1606__AT5G16220.1 pep chromosome:v.1.0:6:6620944:6623087:-1 gene:fgenesh2_kg.6__1606__AT5G16220.1 transcript:fgenesh2_kg.6__1606__AT5G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M826] MTTVSSASPAVTEGAKIVTPSLKKNERNGKIRVMCRYGGSILSLPQTKSPRYVGGDTRIVAVPPSAETSFASLVSHLTVTLGISYPFKVKYQLPDQELDSLISVGTDEDVQIMMEEHGYFPSESSIPQSRIRLFLFPLKSEAGASQGDSDQCKVETNIDWLGIEESKPISDELTQPVLQHPKTEMWFVDVLKSAEMLQSGRNNSGSSGSGDGNGGICGQESMMLETNSSFGSTSSSVSSSNLPPMKSTGEDNTTNSQVKFAPIESVTRNNNTAVTPISSHELPSHSHAFENKPSSNLYEAELNRPVPIPISGYPPFMNQAQQQHIQVIYTGQPFITGNSPMTLPATAYHHTNHIHYQLPPQPYPIYYIPVEQYSSRHVQPPPVKLGTVLNSHQVDSQVVRTSSPLAPEFSSQVYPPSNPVDSSVQTSSEATLSTTCRDVFIYNTDDDDNNDMAHAQIYKSQPPAPTLPSQY >fgenesh2_kg.6__1607__AT5G16230.1 pep chromosome:v.1.0:6:6625924:6628622:1 gene:fgenesh2_kg.6__1607__AT5G16230.1 transcript:fgenesh2_kg.6__1607__AT5G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMALLLTSPAMITSPRRGSSPSRRLRVSCVTTNPAREKNETCNQYFRPIKEVNNQITHTIPQEKLEIFKSMENWAEHKLLPYLKPVEDSWQPQDFLPAPENDDEFYDRVKEIRERTKEIPDDYFVVLVGDMITEEALPTYQTTLNTLDGVKDETGGSLSPWAVWIRAWTAEENRHGDLLNKYLYLTGRVDMRHVEKTIQYLIGSGMDSKFENNPYYGFIYTSFQERATFISHGNTARLATTYGDVTLAKICGTIAADEKRHETAYTKIVEKLFEIDPDGSVQALASMMRKRITMPAHLMHDGRDNDLFDHYAAVAQRMGVYTATDYAGILEFLLRRWKVESLGLGLSGEGRRAQDYLCTLPQRIKRLEERANDRVKLGSKPSISVSWVFGRDVKL >fgenesh2_kg.6__1610__AT5G16260.1 pep chromosome:v.1.0:6:6633918:6638660:1 gene:fgenesh2_kg.6__1610__AT5G16260.1 transcript:fgenesh2_kg.6__1610__AT5G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M829] MSDSDNLQLPPSATGATVAATDLGWYILGENQQNLGPYTVSELCDHFRNGYLLETTLVWAEGRSEWQPLSAIPELMSRISGAEIVYPAVGASGLVNGSNAGTEQEKQDYTASASTEDEFEKWQREIKEAEEEAERLKNGSVSGTELVEDDHERASSPPEGEDEFTDDDGTRYKWDRARRVWVPQDDPPLGSVDPYGLEEMTFAKEDEVFPTINILDTSVDKKDAAKDDVAGKKEEDGSDETAEINSNGKRKLPEPETEKKEPNKPPDSWFELKVNPHIYVTGLPDDVTLEEVAEVFSKCGIIKEDETGKPRIKLYSDKGTGKLKGDALITYMKEPSVDLAIKILDGAPLRPADKLLMSVSRAKFEQKGERFITKQTDNKKKKKLKKVEQKLLGWGGTDDAKVSIPATVVLRYMFSPAELRTDEDLVAELEEDVKEESLKHGPFDSVKVCEHHPQGVVLVRFKDRRDAQKCIEAMNGRWYAKRQIHASLDDGSVNHATVRDFDLEAERLDQFSAELEADE >fgenesh2_kg.6__1612__AT5G16280.1 pep chromosome:v.1.0:6:6646090:6654358:-1 gene:fgenesh2_kg.6__1612__AT5G16280.1 transcript:fgenesh2_kg.6__1612__AT5G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7M831] MVEPVNSSFGKMLLEEVSPVVMVLCTPLVEETFLKNGLSFVETLKPFCNFRNIDVPVRTSSDQLYRLKKFTLRLFYASDIRQPNVEVAKQRLEHVITQAGEKDFQDLKFDPPQITDILSNPESEIAPSWFQYYNKELIRTLSFSDHEAFDHPVACLLVVSSKDEEPINKFVDLFNSNRLPTDGTMDPNILKHYLLVHDNQDATTERTSKVLSEMRSQFGSNECNLLCTNSSKEGNVDHQANPWASFKSSVSADKLGCALTGDDIVEQIKDLMQEFASRHIIPYMEQKVRELNQQISATRKGFRNQIKNLWWRKGKGDGPDVTKGSISNEYASTFEDYDIDKAWKHYAGVQEMMGLAYFISDQSKKESEYCMERAFSTYMKLGKSGFQNALRCGLWWAEMLKARDQHKEAASVYFRICGEEPLHAAVMLEQASYCFVLTKPAMLHKYGFHLVLSGDHYKNCDQVNHAIRTYKSAISVYKSTTWSHIKDHIYFHIGQWYAIVGMHDVAVRNMLKVLDCGYQSKATQEIFLRDFFDIVKKTGMKHEVVGLKLPVINMSSLQVIYEDHRTYASQASALVEESIWQSLEDDIIPSLNSGKSNWLELQSKLLPKKYKESNVCVAGESVKVDLEFKNPLLISTSITSVSLICELTTNSDDLKLVDNEPSSSSLGPEISTEHNQVTTSGFSSFTLSEVDFTLGGGEKNLVRLTVTPSEEGILKIVGVRWELSGSIVGVHYFQSVPVKAKTARGRRKNKLTPTDALKFLVIKSLPRLEGSIDHLPEKLYAGDLRYLVLELRNKSESPIKNLKMKISHPRFLSPGNHEEELTTEFPDCLKKGDEQNIVQHETNRTSSVFAFPKDVSLQGDRSLRWPLWLRAAIPGTISLYYTIYYEMENVSSIMKYRTLRMHYTLQVLPSLQTSFEITPSPSRLQEFLVRMDIVNRANSGCFQIHQLSTVGCRWGISLLQPVDTILPSKSLLAGQALSCFFMIKDCRKSGTEDEEIMSLPPSQTDVKLFTQDDDEKLFDIVSSPLASFHDSERSCQGPSVQVSPNTVDFILISRLAKSSSPSVVPDLPKILTHHSCHNSIRSSSPLSWSLDGPQTIHHDFLTSLCEIKLKMVIRNTSEGLSSVTINTNDCLPDAAAPTSSSGNQSGWRYVPTVTEEMKLTSDVMGSRLGKPPSSMESSPPFIWSGLSSTKVQIQPLSTTEIPLQISVFSPGIYDLSSYKLNWELSEHESATSSGTCQGYPYYLTVLQSE >fgenesh2_kg.6__1614__AT5G16290.2 pep chromosome:v.1.0:6:6657039:6661127:1 gene:fgenesh2_kg.6__1614__AT5G16290.2 transcript:fgenesh2_kg.6__1614__AT5G16290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATAASLFPSYFQNQNKVYGFPAKTPNSLQVTPIIDGRKMRNATVLSAASTDKAITTAQSIAPTACDRVRRHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNEDKALFTIVVLGTDKVLQQVVEQLNKLVNVIKVEDLSKEPHVERELMLIKLNADPSTRSEIMWLVDIFRAKIVDTSEHSLTIEVTGDPGKMVALTTNLEKFGIKEIARTGKIALRREKMGETAPFWRFSAASYPHLVNESSSHETVAEKTKLALTGNGNASPGGDVYPVEPYNDFKPVLDAHWGMVYDEDSSGLRSHTLSLLVANVPGVLNLITGAISRRGYNIQSLAVGPAEKEGLSRITTVIPGTDENIDKLVRQLQKLIDLQEIQNITHMPFAERELMLIKVAADTSARRDVLDIAQVFRAKAIDVSDHTITLEVTGDLRKMSALQTQLEAYGLCEVARTGRVALVRESGVDSTYLRGYSLPL >fgenesh2_kg.6__1617__AT5G16300.1 pep chromosome:v.1.0:6:6661521:6665788:1 gene:fgenesh2_kg.6__1617__AT5G16300.1 transcript:fgenesh2_kg.6__1617__AT5G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASAGEYRPAAVSLSSSGGGQRDAESLFRTKPMSEIRNVESATRKNIEDKKEELRQLVGTRYRDLIDSADSIVHMKSLCESISANISSIHGNIRSLSSSSVAETPKLASLNPVRVNVYGIACRVKYLVDTPENIWGCLDESMFLEAAGRYMRAQHVQQRLIKLEGCGGGGAAEVDQSKLLANFPLLEHQWQIVESFKAQISQRSHERLLDPGLGLGAYVDALTAVSVVDELDPEQVLDLFLDSRKTWILQKLNACTGEDAGEVVSVFCDVLSVIQVTVGQVGELFLQALTDMPLFYKTILSTPPASQLFGGIPNPEEEVGLWKSFRDKLESVMVILDKNDVSKACLTWLRECGGQIVGKVSGKHLIEAIVTGTELGSAEKLIRETMDSKDVLRGSLDWLKSVFGSEVELPWNRIRELVLGDDLNLWDEIFEEAFVERMKSIIDSRFEDLAKAVNVADSVHAYSEITGEKINFQAYLNRPSTGGGVWFIEPNAKKLGLISGNKSSPEESDFQSCLTAYFGPEVSQMRDAVDRRCQSVLEDLLSFFESEKAGPRLKDLAPYVQNKCYDSVSALLADIEKELEFLCAAVKKENKDSEAIPPAIIVEKSLFMGRLLFALLNHSKHVPLILGSPRLWCRETMTAVSDKLSSLLRQPRFGSNTGVTADSPGKQFHTDLRKQTSLAVAALLGAEEKTSPKFEELNRTMRDLCIKAHTLWIQWLSDELSAILLHDLRSDDGLSATTPLRGWEETIVKQEQDESQSELKISLPSLPSLYMISFLCRASEEIHRIGGHVLDKSILQKFASSLLEKITIIYEDFLSAREANEPQISEKGVLQILLDLRFASDVLSGGDTSINMETPKSTMNRSAYRRKQDQQKTKLVNRGRIDGVTSKLTQKLDPIDWLTYEPYLWENEKQSYLRHAVLFGFFVQLNRMYTDTAQKLSTNSESNIMPCSTVPRFKYLPISAPALSSRSTNKVSIPVTSNGASSRNSWNAFTNGEQSQTSDLEENSNFGVAFKSFMQESTLKLGSILTDGQVGIFKDRSAAAMSTFGDILPAQAAGLLSSFTNTRSE >fgenesh2_kg.6__1618__AT5G16310.1 pep chromosome:v.1.0:6:6665765:6667515:-1 gene:fgenesh2_kg.6__1618__AT5G16310.1 transcript:fgenesh2_kg.6__1618__AT5G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:D7M835] MSWLPVESDPGIFTEIIQQMQVKGVQVEELYSLDFNSLDEIRPVYGLILLYKWRPEEKENRVVITEPNPNFFFASQIINNACATQAILSVLMNSSSIDIGSELSELKQFAKEFPPELKGLAISNNEAIRAAHNTFARSDPSSTMEEEELAAAKNLDEDDDVYHYISYLPVDGILYELDGLKEGPISLGQCLGEPEGTEWLRMVQPVVQERIDWYSQNEIRFSLLAVVKNRKEMYVAELKEYQRKRERILQQLGALQADKYAEKSSYEALDRSLSEVNIGIETVSQKIVLEEEKSKNWKKENMRRKHNYVPFLFNFLKILADKKKLKPLIAKRNP >fgenesh2_kg.6__1619__AT5G16320.1 pep chromosome:v.1.0:6:6667828:6669318:1 gene:fgenesh2_kg.6__1619__AT5G16320.1 transcript:fgenesh2_kg.6__1619__AT5G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:D7M836] MTTTETIATAINQIDEKKEKLKKAFDDLQAHRSLLSPSLSLSWSEIDSHFSSLQSSLANRFRLLQSTAPLKDDSYRLDTSTSSSEEVSEQPVVEPELRALCEKMDGIGLSKYLIVQWDDDAPLNQEVSAAIRYSPDPASMVNHTPSSSGKSFDARRVFVLLMEVLIESNANITVDTRNRAKKLAYDWKTKIDTKPFEALVFLHLVAAFELGSEFNSEELSDYVFMIAKYKQATSVCNKIGLDRERVGTLIKKLMDSGKSILAVKFMYECGMTDEFEPISVLKSYIKDSREAALRVCVEDNYSTKSQNEAIDKEVSALKAAIKIIKDQNLDSEFTQEKVEERVEELEKYKALRKRNATNPPKQEPQQKGKKRTRDCNGTQVPAPSQQLLSRPEAVLMPEHSHHGLQLNPYGLMTSAFSGVVVNPLAGLFGSAATPQPHYYAQQTGFVLPPQYHPPYYSQ >fgenesh2_kg.6__1621__AT5G16350.1 pep chromosome:v.1.0:6:6677181:6684697:1 gene:fgenesh2_kg.6__1621__AT5G16350.1 transcript:fgenesh2_kg.6__1621__AT5G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNEEEPLSPMARVFQSPGIDLCALINIGFKTKINPDVVLDALKQNVYKHPQSENGEKWIETKVNVEDHVIVPYIDPEEICEIGQSFVDDYISRLTLIPLDRSRPLWDIHILNVKTSDAEAVGVIRFNHALADGMSFISLVLACTNKTSNPDMLSTAIPSVKRRSTVSHSLEKNGWFLRAIFTIGSTVRLIWNTLVDMFLLFATVLFLKDTKTPLKGGANVRSNPKKFYHRNISLDDIKLIKNVMNMTINDVLLGITQAALSSYLNRQYEQAKNSEEDGALTSYPNNLPVGIRFRAGCTVNLRSDIGFKPLAEMMVKDSKCRWGNYFSFIILPLSIGLETDPMVYLKKSKAMMARKKHSYQAALTYFLIKISLKVLGAKATTSLFNQHLMNITTCVSNVMGPMEEISFHGHPVAYIYPSSYGHSHALLIHYTSYAEELTITITVDPTVIPDPHKIFDDMEESLKTMKAVLWERGLL >fgenesh2_kg.6__1622__AT5G16360.1 pep chromosome:v.1.0:6:6684874:6685986:-1 gene:fgenesh2_kg.6__1622__AT5G16360.1 transcript:fgenesh2_kg.6__1622__AT5G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSHKISRDDLKPGDHIYSWRNVYIYSHHGIYVGDEKVIHFTRGGGLEFGTGTVLDKIIDISIPNHGRRDNKCLDCGDQSNLGGVISSCLDCFLAGGNLYLFEYSASPSIFLAKRGGTCTVASSDPCDEVISRAKFLLLQNGFGEYDLLDNNCEDFAIYCSTGLFVLSVATKFGCSGQANSLSAAGGVVALTLKVLGVKKKSSGHEDDSVVSVVNQVISSTVKYVVPGIGGMALAEYGNYCIGRLFYDIGVRKDACKVSVEELVAFVGAKQSILENKKSS >fgenesh2_kg.6__1623__AT5G16370.1 pep chromosome:v.1.0:6:6686448:6688231:-1 gene:fgenesh2_kg.6__1623__AT5G16370.1 transcript:fgenesh2_kg.6__1623__AT5G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMKPCAANSPPLTPIGFLERAATVYGDCTSIVYGSNTVYTWRETNLRCLRVASSLSSIGIGRSDVVSVLSPNTPAMYELQFAVPMSGAILNNINTRLDARTVSVLLRHCGSKLLFVDVFSVDLAVKAISMMTTDPPILVFIADKEEEGGDADVADRTKFNYTYDDLIQRGDPGFKWIRPESEWDPVVLNYTSGTTSAPKGVVHCHRGIFVMSIDSLIDWTVPKNPVYLWTLPIFHANGWSYPWGIAAVGGTNVCLRKFDAPLIYRLIREHGVTHMCGAPVVLNMLSATQESQPLNRPVNILTAGAPPPAAVLLRAESIGFVISHGYGLTETAGLNVSCAWKPQWNRLPASDRARLKARQGVRTVGFTEIDVVDTESGLSVERNGETVGEIVMRGSSIMLGYLKDPIGTEKALKNGWFYTGDVGVIHSDGYLEIKDRSKDIIITGGENVSSVEVEAVLYTNPAVSEVAVVARPDAFWGETPCAFVSLKSGLSRRPTEEELIEYCRKKMPRYMVPKTVSFVDELPKTSTGKVMKFVLREIAKKMGMTRLSRM >fgenesh2_kg.6__1628__AT5G16400.1 pep chromosome:v.1.0:6:6694779:6696345:-1 gene:fgenesh2_kg.6__1628__AT5G16400.1 transcript:fgenesh2_kg.6__1628__AT5G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATF2/TRXF2 [Source:UniProtKB/TrEMBL;Acc:D7M844] MPLSLRLAPSPTSFRYSPTSSTGAVGFPPVKQHCQIPNSGVATKIGFCSGGGGGVLDSGKRIGSYVVRCSLETVNVSVGQVMEVDKDTFWPIVKAAGDKIVVLDMYTQWCGPCKVIAPKYKELSEKYQDMVFLKLDCNQENKPLAKELGIRVVPTFKILKDNKVVKEVTGAKYEDLLAAIEAARSG >fgenesh2_kg.6__1629__AT5G16420.1 pep chromosome:v.1.0:6:6699633:6701234:1 gene:fgenesh2_kg.6__1629__AT5G16420.1 transcript:fgenesh2_kg.6__1629__AT5G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M846] MFLPRVNGTRFRPLIASRRLFSASAASLQQYCTEKPTIKPWPQRLFPKRLVSMITQQQNIDLALQIFLYAGKSHPGFTHNYDTYHSILFKLSRARAFDPVESLMADLRNSYPPIKCGENLFIDLLRNYGLAGRYESSMRIFLRIPDFGVKRSVRSLNTLLNVLIQNQRFDLVHAMFKNSKESFGITPNIFTCNLLVKALCKKNDIESAYKVLDEIPAMGLVPNLVTYTTILGGYVARGDMESAKRVLEEMLDRGWYPDAITYTVLMDGYCKLGRFSEAATVMDDMEKNEIEPNEVTYGVMIRALCKEKKSGEARNMFDEMLDRSFMPDSSLCCKVIDALCEDHKVDEACGLWRKMLKNNCMPDNALLSTLIHWLCKEGRVSEARKLFDEFDKGSIPSLLTYNTLIAGMCEKGELTEAGRLWDDMFERKCKPNAFTYNVLIEGLSKNGNVKEGVRVLEEMLESGCFPNKTTFLILFEGLQKLGKEEDAIKIVSMAVMNGKVDKESWELFLNKFVGELDKGVLALKELLHEISVS >fgenesh2_kg.6__1630__AT5G16440.1 pep chromosome:v.1.0:6:6704077:6705904:1 gene:fgenesh2_kg.6__1630__AT5G16440.1 transcript:fgenesh2_kg.6__1630__AT5G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyl pyrophosphate isomerase [Source:UniProtKB/TrEMBL;Acc:D7M847] MSTASLFSFPSFHLRSLLLPLSSSSSSSSRFAPLRHSPIRSPARSHLSFRTFSAVTMTDANDAGMDAVQRRLMFEDECILVDENDRVVGHDTKYNCHLMEKIEAENLLHRAFSVFLFNSKYELLLQQRSKTKVTFPLVWTNTCCSHPLYRESELIEENVLGVRNAAQRKLLDELGIVAEDVPVDEFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVKLQPNPDEVADISYVSREELKELVKKADAGDEAVKLSPWFRLVVDNFLMKWWEHVEKGTLIEAADMKTIHKL >fgenesh2_kg.6__1631__AT5G16450.1 pep chromosome:v.1.0:6:6706078:6707536:1 gene:fgenesh2_kg.6__1631__AT5G16450.1 transcript:fgenesh2_kg.6__1631__AT5G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-4-methyl-2-oxoglutarate aldolase [Source:UniProtKB/TrEMBL;Acc:D7M848] MAFVTTAEVCDANQELIRSGQLRALQPIFQIYGRRQIFSGPVVTVKVFEDNGLIRQFIEEKGNGRVLVVDGGGSQRCAILGGNPVVQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALASHPIKASKKGLGEQRVPVNIAGTRICDGEWLYADTDGILVSQIELSV >fgenesh2_kg.6__1633__AT5G16453.1 pep chromosome:v.1.0:6:6707565:6708024:-1 gene:fgenesh2_kg.6__1633__AT5G16453.1 transcript:fgenesh2_kg.6__1633__AT5G16453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKFVIFAILALSLLLAGTEARKTVWPSSELDPKCCSPQPEFGKCETKEDDQKCTQMCLDGCPTNKGGGCQPITEAPGAVCSCYCA >fgenesh2_kg.6__1634__AT5G16460.1 pep chromosome:v.1.0:6:6709256:6710815:-1 gene:fgenesh2_kg.6__1634__AT5G16460.1 transcript:fgenesh2_kg.6__1634__AT5G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQDNELKIPEPLRADWFMVLVTIQADLIYNALVVLSSPFFLLYRSYRRAVATVSAAEKAVKRAPSRIAGGAGRVVKRTWFGILGACHVSMVMVLALILAVVIGVGIVSLYVEKPVVVRDRLFFDYTEENPSAVFSFDKKKRSFSVPVGHNVHVSLVLWMPESEINRRIGVFQLKVELLSLKGETIARSSQPCMLRFRSKPIRLARTFVMSVPLIAGIANEAQTMRIDALKHHEKWPRTKAVRATLIPRAQTWTLPQLYEAEIVLNSKPPWTKRMAYNWKWTLCVWTSMYLYVAILTAFLWYFRPVLFPYTSSRFTAESENLEIEVVEEEQEVMERRRRERRNQPRQRSFATTQKSYT >fgenesh2_kg.6__1635__AT5G16470.1 pep chromosome:v.1.0:6:6711644:6712277:1 gene:fgenesh2_kg.6__1635__AT5G16470.1 transcript:fgenesh2_kg.6__1635__AT5G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M851] MTGKAKPKKHTAKELQAKADAALTNRGGGKAGLADRTGKEKGGHAKYECPHCKITVPDLKTMQIHHESKHPKLTYEEPRNLHEALAAPAESSKPKPGIRGSLKK >fgenesh2_kg.6__1636__AT5G16480.1 pep chromosome:v.1.0:6:6713308:6715087:-1 gene:fgenesh2_kg.6__1636__AT5G16480.1 transcript:fgenesh2_kg.6__1636__AT5G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIVDDNDGEVLIPPPNFSMVEDGIYRSGFPQLENFGFLSTLNLRSVIYLCPEPYPEDNLKSLQSNNIKLFQFGIEGKTDPPTPMPKDTVLSALRVLVDVRNHPILIHCKRGKHRTGCLVGCLRKVQNWCLSSVLEEYQKCAGLKWRQRDLRFIEDFDVLRLKQCLYSIIYQYNGYGLKRRKLLYQEENVVQEQQKPQATKG >fgenesh2_kg.6__163__AT5G02500.1 pep chromosome:v.1.0:6:667203:669803:-1 gene:fgenesh2_kg.6__163__AT5G02500.1 transcript:fgenesh2_kg.6__163__AT5G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSC70-1 [Source:UniProtKB/TrEMBL;Acc:D7M7T8] MSGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPVNTVFDAKRLIGRRFSDSSVQSDMKLWPFKIQPGPADKPMIYVEYKGEEKMFAAEEISSMVLIKMREIAEAYLGVSIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKSKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQGAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTTLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIQDEKIGEKLPAADKKKIEDSIEQAIQWLEGNQLAEADEFEDKMKELESICNPIIAKMYQGAGGEAGPGASGMDDDAPPASGGAGPKIEEVD >fgenesh2_kg.6__1640__AT5G16510.2 pep chromosome:v.1.0:6:6725194:6727158:1 gene:fgenesh2_kg.6__1640__AT5G16510.2 transcript:fgenesh2_kg.6__1640__AT5G16510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEINKNEVDIVIGALNADLTQFLTSWRPFFSGFHLIVVKDPELKEELNIPEGFDVDVYSKTDMEKVVGASNSTMFSGYSCRYFGYLISKKKYIVSIDDDCVPAKDPKGFLVDAVTQHVTNLENPATPLFFNTLYDPYREGADFVRGYPFSLRSGVPCAASCGLWLNLADLDAPTQALKTEQRNTSYVDAVMTVPAKAMLPISGINIAFNRELVGPALVPALRLAGEGKVRWETLEDVWCGMCLKHISDHLGYGVKTGLPYVWRNERGDAVESLRKKWEGMKLMEKSVPFFESLKLPETALKVEDCVIELAKAVKEQLGSDDPAFTQAADAMVKWIQLWNSVNSSS >fgenesh2_kg.6__1642__AT5G16520.1 pep chromosome:v.1.0:6:6727199:6730130:-1 gene:fgenesh2_kg.6__1642__AT5G16520.1 transcript:fgenesh2_kg.6__1642__AT5G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPRNSITYNATRCACGIGQLLNRSSGSCEIFGWPSTISTDKDVNYSVISFADNLFAFDRIRKFTQSQAVFLEATLVMLLSWLVFCFFLRFTKLGDGRNVWFNLRWWITRLDVFFSTRHWLDDQQIVKKRKTELGGTLSVASWIVFIGLFAALLYQIITKRTIEVHNVRATGSPDLISFENDLEFNITAVSDMSCSNLRGIGNVVTGNPGFSEFKVAALSSLGSYACKNTTSGPTVNFKCTKCRLTSDYIYISWHFVDLPDSPAAAVGFQFNFTSKNGDNKKHMSFVSGTLRNGSILDESPVTFRGTEGNILKFNLFPRIYHHLHDLKLIQPLFHEFIPGSVYRDTTLLQASMGRSTDGILNTTLFINYLSAYIVEIDHENILGPVSFLADLGGLYCISIGIFFYLLVQCEYRIKKLRNEDTVFRKIRNRRKALDHWDKLRRYVAYTWDCSILVDDAIKTTNLSGICCLTRPSTSSNSSERGQSIMSNKKPNLSIEKNVIPQPASLEMSSFDSASSLAHGDNFSNKKSTTHQVSHSEDDSIPPPPPMEFTEGSSGSEVDAMDIKKKFQLLYDYNVLLREKLLDIQSLLNALAPKASSSSSTAEHGA >fgenesh2_kg.6__1644__AT5G16540.1 pep chromosome:v.1.0:6:6736581:6738826:1 gene:fgenesh2_kg.6__1644__AT5G16540.1 transcript:fgenesh2_kg.6__1644__AT5G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDGSYPERHGEPDCAYYIRTGLCRFGFTCRFNHPHDRKLVIATARIKGEYPERIGQPECEFYLKTGTCKFGVTCKFHHPRNKAGNDGRVSVNVLGYPLRPNEDDCSYFLRTGHCKFGGTCKFNHPQTQSTNLMVSLRGSPVYSALQPPTDGQQSYSWPRTSFVANPPRWQDPSSFSSGSQGGLFSSGFHSGNSVPLGFYALPRENVFPERPGQPECQFYMKTGDCKFGTVCKFHHPRDRQTPAPDCALSSVGLPLRQGEPLCVFYSRYGICKFGPSCKFDHPMRVFTYNNNDASPSPSSSLHQETAITTQLRNLLVSSSVEATPTTLPETTSAKDTIVDAQH >fgenesh2_kg.6__1647__AT5G16550.1 pep chromosome:v.1.0:6:6739533:6741040:1 gene:fgenesh2_kg.6__1647__AT5G16550.1 transcript:fgenesh2_kg.6__1647__AT5G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDHDETENKTYADVVAGDDVGEIVNGGTKNGYRKPDSVEKDEDLKSLYSLICLTIGSILFPDSKTGDASSSFLERVRNSVAENGPKLREASERTGREILLWTRRGSSLRALLVITMGTIVLLTTMALVVFTLFFVAATANAIIISLLISLAVAGGFLALFFLCLTGVYIGALSVAAFVISTATISAVVSVLIASGWIGFFYVVWLGTRGSLRLAKQSVSVVGSAISGNTFSRHQHQDREVNIESSN >fgenesh2_kg.6__1649__AT5G16570.1 pep chromosome:v.1.0:6:6761422:6764551:-1 gene:fgenesh2_kg.6__1649__AT5G16570.1 transcript:fgenesh2_kg.6__1649__AT5G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:D7M863] MSSLADLINLDLSDSTDQIIAEYIWIGGSGLDMRSKARTLPGPVKDPSELPKWNYDGSSTGQAPGDDSEVIIYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRHAAAKIFSDPSVVAEETWYGIEQEYTLLQKDIKWPVGWPVGGFPGPQGPYYCGVGADKAFGRAIVDSHYKACLYAGIDVSGTNGEVMPGQWEFQVGPTVGIAAADQVWVARYILQRITELAGVVLSLDPKPIPGDWNGAGAHTNYSTKSMREDGGYEVIKKAIEKLGLRHKEHIAAYGEGNERRLTGKHETADINTFLWGVANRGASIRVGRDTEKAGKGYFEDRRPASNMDPYTVTSMIAESTILWKP >fgenesh2_kg.6__1654__AT5G16610.2 pep chromosome:v.1.0:6:6792419:6795838:-1 gene:fgenesh2_kg.6__1654__AT5G16610.2 transcript:fgenesh2_kg.6__1654__AT5G16610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNKMCHEPTNISSSESDFIFPDEDHLPLTSRRSLLLSSERVSQRIAASVPASNVDSILKREGEEEESCVNELGVVSNCDATESVNTEILESTSIGCSQGLNESGNLRPQNNILVCCSNAEENFKRVEETERSDDLEHLTLKERRKMLLERVAIRLPESNLEDNTIDCDETDLYKIKAEISCENGIASSSGVQFSGFLEKIDSVLCKNYSIGSKSGSQLNGIQESDIPISHERSFGLSPEASLPEVSSRDDEHSPLGSNENPRKSVKRVKRNPPPLNVYELQSTQVKADPLGDCVMENNDEKNPVTSEQIPVKIEVETHGEALDENELDSVKLSFRLNRCTSAPKPSRCMKNEAETASELDEDEIDHMKLIDRLKLRSFHGSGHHEDLNSPSSGFSFCTSDEYVKPSRVFRPWKHKKTATDSIETALEEDAPGLLQVLIQQGVSVEELRLYGNEGGDASSDDSLLDESFSELEDVISQLFFKRETGTKLLNSSFSKVSRTSYCLTCLFSLIEQARYLQFRKWPVEWGWCRDLQSFIFVFERHNRIVMERPEYGYATYFFELSNTASIRWQIKRLVLAMKLASCGRYQLIENKPLLVGEDMTEGEAEVLMKYGWVANTGLGTMVNYRDRVYHDRKTQKQTSVWRCKISELLVDGYNSGTIVSTFIAPHDDDDVDDAGLGMGDVKLEPY >fgenesh2_kg.6__1655__AT5G16620.1 pep chromosome:v.1.0:6:6799969:6803733:1 gene:fgenesh2_kg.6__1655__AT5G16620.1 transcript:fgenesh2_kg.6__1655__AT5G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTLVSCSASSPKLLIGCNFTSSLKNPTGFSRRTPRIVLRCSKISASAQSQSPSSRPDNTGEIVVVKQRSKAFASIFSSSRDQQTTSVASPSVPVPPPSSSTIGSPLFWIGVGVGLSALFSLVTSNLKKYAMQTAMKTMMNQMNTQNSQFNNPGFPSGSPFPFPFPPQTSPASSPFQSQSQSSGATVDVTATKVDTPPSTKPKPTPAKDIEVDKPSVVLEASKEKKEEKNYAFEDISPEETTKESPFSNYAEVSETSSPKETRLFEDVLQNGAGPANGATASEVFQSLGGGKGGAGLSVEALEKMMEDPTVQKMVYPYLPEEMRNPETFKWMLKNPQYRQQLQDMLNNMSGSGEWDKRMTDTLKNFDLNSPEVKQQFNQIGLTPEEVISKIMENPDVAMAFQNPRVQAALMECSENPMNIMKYQNDKEVMDVFNKISQLFPGMTG >fgenesh2_kg.6__1657__AT5G16640.1 pep chromosome:v.1.0:6:6830983:6833007:1 gene:fgenesh2_kg.6__1657__AT5G16640.1 transcript:fgenesh2_kg.6__1657__AT5G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M869] MRRSISSKAKSFLHRNLLEKGNSGTSPSSSFSICGLCFSRRAYSGGSDYREMLRNGIRYMKLDDSLDLFFHMVQCRPLPSIADFSRLLSAISKMKKYDVVIYLWEQMQMLGIPHNLCTCNILLNCFCRCSQLSLALSFLGKMMKLGHEPDIVTFGSLLNGFCRGDRIYDALYMFDRMVEMGYEPNVVIYNTIIDGLCKSKQVDNALDLLNRMEVDGIRPDAVTYNSLISGLCNSGRWDDATRMVSCMTKREIYPDVFTFNALIDACVKEGRISEAEELYEEMIRRSLDPDIVTYSLLIYGLCMYSRLDEAEQMFGFMVSKGCFPDVVTYSILINGYCKSKKVEHGMKLFCEMSQRGVVRNTVTYTVLIQGYCRAGKLNVAEEIFKWMVFCGVPPNIITYNVLLHGLCDNGKIEKALVILADMQKSGMDADIVTYNIIIRGMCKAGEVADAWDLYCSLNLKGLTPDIWTYTAMMLGLYKKGLRGEADALFRKMKEDGILPNECYV >fgenesh2_kg.6__1658__AT5G16650.1 pep chromosome:v.1.0:6:6833212:6835289:-1 gene:fgenesh2_kg.6__1658__AT5G16650.1 transcript:fgenesh2_kg.6__1658__AT5G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M870] MEDNDKSPPKDYYKILEVDYDATEELIRLNYRKLALKWHPDKHKGDSAATEKFQEINEAYNVLMDPAKRFEYDFTGIYEIHKYTLREYLARFKGMILTCNGLGISQSSSPWTHQLAETTKQQTSKVGFLFLLSLCGIAN >fgenesh2_kg.6__165__AT5G02530.1 pep chromosome:v.1.0:6:680372:682084:-1 gene:fgenesh2_kg.6__165__AT5G02530.1 transcript:fgenesh2_kg.6__165__AT5G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLDMSLDDIIKSNRKPTGSRGRGGIGGGNNTGGRGGSGSNSGPSRRFANRVGARTAPYSRPIQQQAHDAMWQNDVFATDASVAAAFGHHQTAVVGGGSSIETGTKLYISNLDYGVSNEDIKELFSEVGDLKRYGIHYDRSGRSKGTAEVVFSRRGDALAAVKRYNNVQLDGKLMKIEIVGTNLSAPTLPLPAPAQFSFPTNGILGNFNENFNGNFNGNFNGNFRGRGRGGFMGRPRGGFGGGNFRGGRGARGRGGRGSGGRGRDENVSAEDLDAELDKYHKEAMETS >fgenesh2_kg.6__1665__AT5G16730.1 pep chromosome:v.1.0:6:6872771:6875846:1 gene:fgenesh2_kg.6__1665__AT5G16730.1 transcript:fgenesh2_kg.6__1665__AT5G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M876] SFVGNRTSLSETTTTGKSTPATPRIAKRAVNKSETSNNSPSTTTTTPHSRLSLDRSSPNSKSSSVERRSPKLPTPPEKTQARVAVKGTESPQTRLSQIKEDLKKANERITSLEKDKAKALDELKQAKKEAEEATVKLDDALKAQKHVEENSEIEKFQAVEAGIEAVQNNEEELKKELESVKNQQALDSAALVSVRLELEKINEELAAANDAKTKALSQADDASKTADIHAEKVDILSSELTRLKALLDSTREKTAISGNETVAKLEDEIVVLKRDLEKARGFEAEVEEKEVIIEKLNVDLEASKMAESCAHNLSDEWQSKAKELEEQLEEANKLERSASVSLESVMKQLEVSNDKLHDTETEITDLKEKIVTLETTIAKQKEDLEESEKRLGSAEEELSKIEKEVENLKSELETVKEEKNRALKKEQDATLRVQRLSEEKSKLLSDLESSKEEEEKSKKAMESLASALHEVSSEGRELKEKLLSQGDHEYESQIEDLKLVIKATNEKYENMLDEARHEIDVLVSAVEQTKKHFESSKTDWEMKEANLVNYVKKMEEDVASMGKEMNRLDNLLKRTEEEADAAWKKEAQTKDSLKEVEEEVVYLQETLGEAKAESMKLKENLLDKETEFQNVIHENEDLRAKEDVSLKKIEELSKLLEEAISAKKQPEEENGELSESEKDYDLLPKVVEFSSENGHRSVEEKSPKVEALDHGDGNGMEEKEVNGKPEVETEKKEKKDESPDDSVEVIFKMWESCQIEKKEAFPDKKSELESQEEEEDSSKIDESDKTSTENIDETGNALTAEDQLMMEKKIKKKKTLLGKVGNLLKKKAPAPVNQK >fgenesh2_kg.6__1667__AT5G16760.1 pep chromosome:v.1.0:6:6885476:6887061:1 gene:fgenesh2_kg.6__1667__AT5G16760.1 transcript:fgenesh2_kg.6__1667__AT5G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:D7M879] MSDSSIQERYLVGYALAAKKQHSFIQPSLIEHSRQRGIDLVKLDPTKSLLEQGKLDCIIHKLYDVYWKENLHEFREKCPGVPVIDLPEAIERLHNRVSMLEVITQLRFPVSDSERFGVPAQVVVMDSSVLSGGGALGELKFPVIAKPLDADGSAKSHKMFLIYDQEGMKILKAPIVLQEFVNHGGVIFKVYVVGDHVKCVKRRSLPDISEEKIGTSKGSLPFSQISNLTAQEDKNIEYGEDRSLEKVEMPPLSFLTDLAKAMRESMGLNLFNFDVIRDAKDANRYLIIDINYFPGYAKMPSYEPVLTEFFWDMVTKKNHV >fgenesh2_kg.6__1669__AT5G16770.1 pep chromosome:v.1.0:6:6894237:6896293:1 gene:fgenesh2_kg.6__1669__AT5G16770.1 transcript:fgenesh2_kg.6__1669__AT5G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTQEEDEKLIDHIQTHGHGSWRALPKQAGLNRCGKSCRLRWTNYLRPDIKRGNFTEEEEQTIINLHSLLGNKWSSIAGNLPGRTDNEIKNYWNTHLRKKLLQMGIDPVTHRPRTDHLNVLAALPQLIAAANFNSLLNLNQNVQVDATTLAKAQLLHTMIQVLGTNNNTNPSFSSSTMQNSSNLFGQSSYLENQNHFGQSQNFSHILENNHDEHLMVKSQIMDHPLESFSSLLIQPDFQDDHNSLPLLVPASPEESKQTQTMIKNKEYIADYHHHDTANPSSSNSTFTQDHLQPWFDTIDDGASASYWKDIIEQTCSEPWPFPE >fgenesh2_kg.6__166__AT5G02540.1 pep chromosome:v.1.0:6:685718:688642:1 gene:fgenesh2_kg.6__166__AT5G02540.1 transcript:fgenesh2_kg.6__166__AT5G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7M7U4] MGLYSLITGRRGPSGFGSASTAEEVTLGIDATNLTAIITGGTGGIGMETARVLSKRGVHVVIGARNMGAAENAKTEILKQNANARVTLLHLDLSSFKSIKAFVREFHALHLPLNLLINNAGVMFCPYQLSEDGIELQFATNHIGHFLLTNLLLDTMKNTSKSSGVEGRILNVSSVAHIYTYQEGIQFDSINDICSYSDKRAYGQSKLANILHANELSRQLQEEGVNITANSVHPGLILTNLFQHTALLMRFLKFFSFYLWKNIPQGAATTCYVALHPSVKGVTGKYFADCNEVTPSKLARDETLAQKLWDFSVKLINSVSKKNYLGFGDTT >fgenesh2_kg.6__1670__AT5G16780.1 pep chromosome:v.1.0:6:6897095:6901707:-1 gene:fgenesh2_kg.6__1670__AT5G16780.1 transcript:fgenesh2_kg.6__1670__AT5G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKSKSRHESREERADYEGSPVREHRDGRRKEKDHRSKDKDKNRDKDHRRDKEKERDRKRDREGDTDKEISRGRDKEREKDKTRDRVKEKEKEKERDRHKDRETDRDNEKEKDKDRSRVKERASKKSHEDDHQTHKAAERYEHFDNRGLNEGDDNVDAASSGKEASALELQNRILKMREERKKKVEDASDASDALSWVARSRKIEEKRNAEKQRAQQLSRIFEEQDNLNQGENEDGEDGGHLSGVKVLHGLDKVVEGGAVILTLKDQSVLADGDVNNEIDMLENVEIGEQKRRNEAYEAAKKKKGIYDDKFNDDPGAEKKMLPQYDEAATDEGIFLDAKGRFTGEAEKKLEELRKRIQGQTTNTYEDLNSSAKVSSDYFSHEEMLRFKKPKKKKQLRKKDKLDLSVLEAEAVASGFGAEDLGSRKDGRRQAMKEEKERNDYEKRSNAYQEAIAKADEASRLLRREQVQPIKTDEDESMVLADDAEDLYKSLEKARRLALIKKEEAGSGPQAVAHLVASSTNQTTDDNSTTGDETQENTVVFTEMGDFVWGLQREKDVQKPESEDVFMEEDVAPKAPVEVKEEHPDGWTEVKDTDMDATEDSSDTKEITPDETIHEVAVGKGLSGALKLLKDRGTLKEKVEWGGRNMDKKKSKLVGIVDDEGGKESKDRFKDIRIERTDEFGRTLTPKEAFRLLSHKFHGKGPGKMKEEKRMKQYQEELKLKQMKNSDTPSQSVQRMREAQAQLKTPYLVLSGHVKPGQTSDPQSGFATVEKDVPGSLTPMLGDKKVEHFLGIKRKSEPGNSDTPPKRPKP >fgenesh2_kg.6__1674__AT5G16810.1 pep chromosome:v.1.0:6:6906461:6909580:-1 gene:fgenesh2_kg.6__1674__AT5G16810.1 transcript:fgenesh2_kg.6__1674__AT5G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7M885] MNLVAVHRVSLECISRFSLIHRELGEGLSGRRIVNSRGRNRPIRRLHAVTAGLITSADSFEVGRLIGSYGFMNVTSYTGLQSGSDFEYTSDDIGRLKSQDIGEGGVKIRLYEGRISQGPFRGTPIVFKVYPGQRAGGVEADMMAANELNAHSFLQSKRLPANLLILVGGFETQLGEQWLAFRDGGKDSAADYAQTASEKTSRALSQGVWNPYEKEQMMKRRRNFVIKILQGAMKGLAFMHDNDRLHQSLGPSSIVLNTPAEREAIYLIPRLRDLAFSVDIRPSCLEEGATSGSLSEQLWRRATAAGAFTVFEKRAFGIADDIYEAGLLFAYLAFVPFCEAGVMDSLSLQRLLENTFRLDIEAVREYCLADERLEEAVKFLDLGDRAGWELLQAMLNADHRKRPMAEAVLSHRFLNGVV >fgenesh2_kg.6__1675__AT5G16820.1 pep chromosome:v.1.0:6:6910450:6912981:1 gene:fgenesh2_kg.6__1675__AT5G16820.1 transcript:fgenesh2_kg.6__1675__AT5G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVPESVPSPNSNTSSIPPPVNSVPPFLSKTYDMVDDPLTNEVVSWSSGNNSFVVWSVPEFSKVLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKQLLKNIVRRKPSHVQQNQQQTQVQSSSVGACVEVGKFGIEEELERLKRDKNVLMQELVRLRQQQQATENQLQNVGQKVQVMEQRQQQMMSFLAKAVQSPGFLNQLVQQNNDGNRQIPGSNKKRRLPVDEQENCGDHMANGLNRQIVRYQPSINEAAQTMLRQFLNTSTSPRYESVSNNPDSFLLGDVPSSNSVDNGNLSNRVSGVTLAEVSPNAAHSATNQVPEAGLAQHPQASLVHPNLGPSPSQGVAPAASWSPESDLVGCETGNGECFDPIMAVLEAISPEGEGEMDELLDGVPKLPGVQDPFWVQFFSDESSAMTDTDEILSGSVENNDMVMEQEPNEWTRNQQQMNYLTDQMGLLSSEAQRK >fgenesh2_kg.6__1677__AT5G16830.1 pep chromosome:v.1.0:6:6913114:6915445:-1 gene:fgenesh2_kg.6__1677__AT5G16830.1 transcript:fgenesh2_kg.6__1677__AT5G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGTRFQTPNRFTGGRQQRPSSRGDPSQEVAAGIFRISTAVNSFFRLVNSIGTPKDTLELRDKLQKTRLQISELVKNTSAKLKEASEADLHGETSQIKKIADAKLAKDFQSVLKEFQKAQRLAAEREITYTPVVTKEIPTSYNAPELDTDESLRTSQQQTLLLQSRRQEVVFLDNEITFNEAIIEEREQGIREIQEQIGEVNDMFKDLAGLVNHQGVIVDDINSHIDNSHAATTQATAQLRKAAKTQRSNSSLTCLLILIFGIVLLIVIIVVLV >fgenesh2_kg.6__1679__AT5G16840.2 pep chromosome:v.1.0:6:6917217:6919771:1 gene:fgenesh2_kg.6__1679__AT5G16840.2 transcript:fgenesh2_kg.6__1679__AT5G16840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M888] MASQIRSVKVGNLSSGATEHDIKEFFSFSGEVESIDIQSSNEHSAYVTFKETQGAETAVLLSGASIADQSVIIEMAPNYSPPPAPHAETQSSGAESVVQKAEDVVSSMLAKGFILGKDAVGKAKAFDEKHGFTSTATAGVASLDQKIGLSQKLTAGTSLVNEKIKAVDQNFQVTERTKSVYAAAEQTVSSAGSAVMKNRYVLTGVSWAAGAFNRVAQAAGEVGQKTKEKVEAEQPPQPAQSQQQLPEGYSPIHSSEYSKN >fgenesh2_kg.6__167__AT5G02550.1 pep chromosome:v.1.0:6:692029:692517:-1 gene:fgenesh2_kg.6__167__AT5G02550.1 transcript:fgenesh2_kg.6__167__AT5G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERPSRHQRRPSQSVFPISLDDLSDISLAANPPSSVPTNPPRHQIPLPSPAAPPVNRSSNDDNASKDHKGNASSN >fgenesh2_kg.6__1683__AT5G16870.1 pep chromosome:v.1.0:6:6929239:6931158:-1 gene:fgenesh2_kg.6__1683__AT5G16870.1 transcript:fgenesh2_kg.6__1683__AT5G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTWLSALIVGAALGFCIGTRRSKPVVAAVDGDIKNQSSKGLLEIEKLADILDDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLVRRAPKALDCWEECAQPKVVVKIEDEDEMLELQERAKSLKLPTHITIDAGRTQIAPNSRTVMAILGPVEVVDEVTGGLKLL >fgenesh2_kg.6__1684__AT5G16880.1 pep chromosome:v.1.0:6:6933875:6936429:1 gene:fgenesh2_kg.6__1684__AT5G16880.1 transcript:fgenesh2_kg.6__1684__AT5G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M891] MSDNLMDKVTAFGERLKIGGSEVSNKISAGVSSMSFKLKELFQGPNPTDKIVEDATTENLEEPDWDMNLEICDMINQETINSVELIRGIKKRIMMKQPRIQYLALVLLETCVKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALMLIEAWGESTSELRYLPVFEETYKSLKARGIRFPGRDNECLAPIFTPARSTPAPEVNADIPQHVHEPAHIQYDAPVRSFTAEQTKEAFDIARNSIELLSTVLSSSPQHDALQDDLTTTLVQQCRQSQTTVQRIIETAGENEALLFEALNVNDELVKTLSKYEDMNKPSAPLTAHEPAMIPVAEEPDDSPVHGKEETLVRKSSGVRGGFHGGGSGDDMMDDLDEMIFGKKSGGDSSTNADHDLKKDQSSSKNDDLIRF >fgenesh2_kg.6__1688__AT5G16910.1 pep chromosome:v.1.0:6:6942700:6946607:1 gene:fgenesh2_kg.6__1688__AT5G16910.1 transcript:fgenesh2_kg.6__1688__AT5G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKHFDKSPSNLSNNSDIQEPGRPSVPQRVKFGRRTSSGRYISYSRDDLDSELGSQDFMSYTVQIPPTPDNQPMDPSISQKVEEQYVSNSMFTGGFNSTTRAHLMDKVIETKTNHPQMAGAKGSSCAIPGCDAKVMSDERGQDLLPCECDFKICRDCFIDAVKTGGGICPGCKEPYKNTHLTDQVDDNGQQRPMLPGGGGSKMERRLSLMKSTNKSALMRSQTGDFDHNRWLFETTGTYGYGNAFWTKDGNFGSGKDGDGDGDGMEAQDLMSKPWRPLTRKLKIPAGVISPYRLLIFIRIVVLALFLTWRIKHQNPDAIWLWGMSVVCELWFALSWLLDQLPKLCPINRATDLQVLKEKFETPTASNPTGKSDLPGFDVFVSTADPEKEPPLVTANTILSILAAEYPVEKLSCYVSDDGGALLTFEAMAEAASFANIWVPFCRKHAIEPRNPDSYFSLKRDPYKNKVKSDFVKDRRRVKREFDEFKVRVNSLPDSIRRRSDAYHAREEIKAMKMQRQNRDDEILEPVKIPKATWMADGTHWPGTWLTSASDHAKGDHAGIIQVMLKPPSDEPLHGVSEGFLDLTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSEALREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFNPPRSKDFSPSCWSCCFPRSKKKNIPEENRALRMSDYDDEEMNLSLVPKKFGNSTFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAIAVISCWYEDKTEWGSRIGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASSKMKILQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLIISITLCLLALLEIKWSGISLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGVEISFTLTSKSGGDDIDDEFADLYMVKWTSLMIPPITIIMVNLIAIAVGFSRTIYSVVPQWSKLIGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWSGLVAITISLLWVAINPPAGNTEIGGNFSFP >fgenesh2_kg.6__1689__AT5G16920.1 pep chromosome:v.1.0:6:6947910:6948911:1 gene:fgenesh2_kg.6__1689__AT5G16920.1 transcript:fgenesh2_kg.6__1689__AT5G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIQTQVITFCMLVIIVTSSTRAQKSHHVPNNHELSVAIEEMEKANYFSFVMLINMLPSVNPRFLANVTFLMPKDKTLSRSNIINQQDSVSEFLLRHSIPSSLLFEHLNLIPNGSIVPSSLPHYTLEISNGGRSNYFLNNVKIISRNICSLGSIKCHGIDGILQSPSTIDDDSPHNNHTLPFISCPSSHNNSDQSSHNNSSLPTHTLTAPPPASSPLPKSDSPSIHEVEMLNSIVIPLLGLLAGLICM >fgenesh2_kg.6__1691__AT5G16940.1 pep chromosome:v.1.0:6:6952504:6953302:-1 gene:fgenesh2_kg.6__1691__AT5G16940.1 transcript:fgenesh2_kg.6__1691__AT5G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-sulfur lyase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M896] MESELILHEGGCHCGKVKWRVKAATSVVAWRCNCSDCSMRGNVHFIVPSSNFELLDDSKDFITTYTFGTHTAKHTFCKVCGITSFYIPRSNPDGVAVTVKCVKSGTLDHVEVKSYDGQNWEVS >fgenesh2_kg.6__1700__AT5G17030.1 pep chromosome:v.1.0:6:6985921:6987531:-1 gene:fgenesh2_kg.6__1700__AT5G17030.1 transcript:fgenesh2_kg.6__1700__AT5G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LWW3] MAKPSEPTKDSHVAVLAFPFGTHAAPLLAVTCRLATAAPSTVFSFFNTARSNSSLLSSDLPANIRVHNVADGVPEGSILTGNPQEAVELFLEAAPEIFRREIKAADTEVGRKVKCILTDAFLWFAAETAAAEMKASWVAYYGGGANSLTAHLYTDVIRENVGVKDERMEETLGFISGMGKIRVKDTPEGVVFGNLDSVFSKTLHQMGRALPRAKAVFINSFEELDPTFTNDFSSKFTRYLTIGPLALLSSPSQTSTLVQDPHGCLAWTEKQSPASVAYIAFGRVATPPPGELEAIAQGLESSKVPFVWSLQEKNMVHLPKGFLERTREQGMVVPWAPQVELLNHEATGVFVSHGGWNSVLESVSAGVPMICRPIFGDHAVNARSVEAVWEIGMTIIDGVFTKDGFKESLDRVLVQDDGKKMKVNSKKLKELAQDAVSTEGSSFKNFKGLLDEVVKV >fgenesh2_kg.6__1701__AT5G17040.1 pep chromosome:v.1.0:6:6988745:6991768:-1 gene:fgenesh2_kg.6__1701__AT5G17040.1 transcript:fgenesh2_kg.6__1701__AT5G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LWW4] MANSHVAVLAFPFGSHGQAILAVTHRLATASPSTVFSFFNTSQSNFSLFSSDRPANIRVHDVSDGVPEGYVLSRNPQEAVELFLEAAPKIFRRELAVAETEVSRKVTCMLTDAFIWFAADMAAEMKVSWVAFWTSGQNSLTAHLYTDLIRETIGVKEVDGRMEETLGFISGMEKIRVKDIPGGVVFGNLDSVFSTTLHQMGLALPRATAVYMNSFEELDPTLTVNLRSKFKRYLSIGPLALLFSPSQRETPLHDPHACLAWMEKRSIDSVAYIAFGRVMTPPPGELVAIAQGLESSKVPFVWSLQEKNMVHLPKGFLDRTREQGMVVPWAPQVELLNHEAMGVFVSHGGWNSVLESVSAGVPMICRPIFGDHALNARSVEAVWEIGMTIIKESFTKDGFEESLDRVLVQDDGKKMKVNSKKLKELAQDAVSTEGSSFKNFKGLLDEVVKV >fgenesh2_kg.6__1703__AT5G17060.1 pep chromosome:v.1.0:6:6997418:6999399:1 gene:fgenesh2_kg.6__1703__AT5G17060.1 transcript:fgenesh2_kg.6__1703__AT5G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDTFFGNQEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKQEFQEIIKDPFMLNSIILVFANKQDMRGAMSPREVCEGLGLFDLKNRKWHIQGTCALRGDGLYEGLDWLSSTLKDVKAAGFTSVGPSF >fgenesh2_kg.6__1706__AT5G17130.1 pep chromosome:v.1.0:6:7038699:7040345:1 gene:fgenesh2_kg.6__1706__AT5G17130.1 transcript:fgenesh2_kg.6__1706__AT5G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LWX3] MEDYNDVFGGIGTGGGKGKGKNIPNQCKLDVEARREQAKKTQQAGERAESVEEFPIPEDYGDGSRIDFCWTRTHKHLLQRIVEQKEDDCWAWALVRILQFYYNMNITAIAEQKTLSIKSLV >fgenesh2_kg.6__1707__AT5G17140.1 pep chromosome:v.1.0:6:7040641:7041084:1 gene:fgenesh2_kg.6__1707__AT5G17140.1 transcript:fgenesh2_kg.6__1707__AT5G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LWX4] CTKSRQALSLNPTRLRMEIRGLLLTQGPIGISVNVCGIFGRADEEIYILPEPKENMKRHALIIVGFGTTKDGKLFFIVQNTWGTKWGFNGYARIIIKKTCPIFDVSGLVN >fgenesh2_kg.6__1709__AT5G17120.1 pep chromosome:v.1.0:6:7041647:7042407:-1 gene:fgenesh2_kg.6__1709__AT5G17120.1 transcript:fgenesh2_kg.6__1709__AT5G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESTAEQASATDMVNSSLMEEHKVEEEGSDIDRRYKYVLGPEPEWDLDSYDGREYESDPEDRQFFSDEDDYQEFRIRKPFLKLNDEKGNTVELVEIVRAIDAGGARWNSYITFMAREYSNGPLVEYQAKVMNYAGNEKPPFPILCRPSPKLSV >fgenesh2_kg.6__170__AT5G02580.1 pep chromosome:v.1.0:6:703934:704708:1 gene:fgenesh2_kg.6__170__AT5G02580.1 transcript:fgenesh2_kg.6__170__AT5G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHNSSQASYIHLVHHLIEECIVFNMGKEECMDALFKHANIKPIVTSTVWKELEKENKEFFEAYERRREEIPTEKETARRIRDLLSRTTI >fgenesh2_kg.6__1710__AT5G17160.1 pep chromosome:v.1.0:6:7042464:7045323:-1 gene:fgenesh2_kg.6__1710__AT5G17160.1 transcript:fgenesh2_kg.6__1710__AT5G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSLLRRDLQFLCKRNKIPANMTNLAMADALKSLEIVDGLDEYMNQSESNAQQSPTSVAKLPPNTAARTTRRKTTTKADPQPSSQLVSRSCRATSKSLAGEMDLENVNKNVAQEPKTNTVRFEANVPKTPAARSTRKASAATSCSKKDELVQSVYSTRRSTRLLEKCMADLSLKTKETLDNKPAKNEDTEQNVSAKEKNPAGSEGEVIPGRDLSVSMEQVWENLKNDTDQVVGDLAVMDANTETNKEKMNEVLADEKESENSLVQADKQEETLHAICEAGPKKNDNDQEIEDLEIYVDLDIPVLESGNTETHNDDNESKNVLTFDNPVDQQETEHAIQENDSEPETKVDQTDSDAGDSKPKQAIQENDSEPEKINNFDEDTMVDQTDSDAGDSETEPDEEHSGVDSDGTISEAESNQAVLGSETADEEMTLSESEGSTATAPNSPPLLEEAKVIKTTPVSPFAAEPISVQFPRPSKSTTPLKNSALKLVNENKENNMEVMMMNVNNNENGESKGEEGKKKKKVTIDEEILEVASVRQLRKMVKELSIKSSNRTALQILPENNQTAE >fgenesh2_kg.6__1712__AT5G17170.1 pep chromosome:v.1.0:6:7047862:7049801:1 gene:fgenesh2_kg.6__1712__AT5G17170.1 transcript:fgenesh2_kg.6__1712__AT5G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7LWX8] MAGAISGATVSSFFTKTASTSNPSPKLHSSASLFSHKTGFQGVSLEDSKKSVSEIFAVSERKIGGLNELRRFEIKARAAASKTIEVEVDKPLGLTLGQKPGGGVVITGVDGGGNAAKAGLKSGDQVVYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAEVDVKKLNKRPAPPRFGRKLTETQKASTTHICLDCGFIYTLPKSFDEQPDTYVCPQCIAPKKRFAKYDVNTGKAIGGGLPPIGVIVGLLAGLGAVGALLVYGLQ >fgenesh2_kg.6__1714__AT5G17180.1 pep chromosome:v.1.0:6:7050169:7050437:1 gene:fgenesh2_kg.6__1714__AT5G17180.1 transcript:fgenesh2_kg.6__1714__AT5G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKDVKEFKETFKRFDQYVNGEIPWREFDMSGVRKRSTPMTKSEIDKIFVELGTRGEDRVFRASKFSGNPLHPTSVSVKPKPKDVIN >fgenesh2_kg.6__1715__AT5G17190.1 pep chromosome:v.1.0:6:7051052:7051819:1 gene:fgenesh2_kg.6__1715__AT5G17190.1 transcript:fgenesh2_kg.6__1715__AT5G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAAAEAIMVILLTMPGLDALRKGLVAVTRNLLKPFLSIIPFCLFLLMDIYWKYETRPSCDSDSCTPSEHLRHQKSIMKSQRNALLIASALVFYWILYSVTNLVVRIEQLNQRVERLKNKD >fgenesh2_kg.6__1717__AT5G17210.1 pep chromosome:v.1.0:6:7055302:7057114:1 gene:fgenesh2_kg.6__1717__AT5G17210.1 transcript:fgenesh2_kg.6__1717__AT5G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRKVVMCGVLFLLGLLSAVTAFAAEATRIKRSQVKVTVSDSIKKCTYPRSPAFDLGFTSALFLLMAQIIVSVSSGCFCCRKGPAPSRSNWIISLICFVVSWFTFVIAFLVLLTGAALNDEHTEESMNAGTYFCYIVKPGVFSTGAVLSLITIALGIVYYLCLTSSKQNVAATTTGANQGTGIAMGQPQIPERVEDPVFVHEDTYMRRQFT >fgenesh2_kg.6__1719__AT5G17220.1 pep chromosome:v.1.0:6:7057619:7058568:1 gene:fgenesh2_kg.6__1719__AT5G17220.1 transcript:fgenesh2_kg.6__1719__AT5G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase 26 [Source:UniProtKB/TrEMBL;Acc:D7LWY3] MVVKLYGQVTAACPQRVLLCFLEKEIEFEIIHIDLDTLEQKKPEHLLRQPFGQVPAIEDGDFKLFESRAIARYYATKYADQGTNLLGKSLEHRAIVDQWADVETHYFNALAHPIVINLIIKPRLGEKCDVVLVEELKVKLGVVLDIYNNRLSSNRFLAGEEFTMADLTHMPAMGYLMSITDINQMVKARGSFNRWWEEISDRPSWKKLMVLAGH >fgenesh2_kg.6__171__AT5G02590.1 pep chromosome:v.1.0:6:707490:708513:1 gene:fgenesh2_kg.6__171__AT5G02590.1 transcript:fgenesh2_kg.6__171__AT5G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTKFHYCDQTLHLRLDTHRKPQPKPVSHRILQKPPPSRAFRASSSSSSSSSSNPKPSFFKTTCVTLTTAAALFSASLHLATKPATAATLVSPPPPPSTTADLTDQISSRQKEEEEEAALEKHLTNNPNDVEALQSLMKIKFQTKNLDHALEILNRLIEIEPGEQEWRILKAQVQTYGGDFESATKGFEEILAKDPFRVEAYHGLVMAYSESESKLSEIESRINEAIEKCKKENKKKDFRDFMLLIAQIRVIKGNPIEALRVYQELVKDEPKDFRPYLCQGLIYTLMKKKDEAEKQFEEFRRLVPENHPYKDYFDANVLNTNKL >fgenesh2_kg.6__1722__AT5G17240.1 pep chromosome:v.1.0:6:7079205:7081235:1 gene:fgenesh2_kg.6__1722__AT5G17240.1 transcript:fgenesh2_kg.6__1722__AT5G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LWY5] MEVLDLEHQTMETFLRWAAEIGISDSIDSSRYRDSCLGHSLSVADFPHAGGRGLGAVRELKKGELVLKVPRNALMTTESMIAKDRKLNDAVILHGSLSSTQILSVCLLYEMGKGKRSFWYPYLVHLPRDYDLLATFGEFEKQALQVEDAVWATEKAIAKCQFEWKEVGLLMEELELKSKFRSFQAWLWASATISSRTLHVPWDSAGCLCPVGDLFNYDAPGDDLHTLEGPESANDVEEAGLVVETHSERLTDGGFEEDVNAYCLYARRNYQLGEQVLLCYGTYTNLELLEHYGFMLEENSNDKVFIPLETSLFSLASSWPKDSLYIHQDGKPSFALVSTLRLWLIPQSQRDKSVMRLVYAGTQISVKNEILVMKWISEKCGSVRDLPTSVLEDTLLLHNIDKLQDPELRLEQKETEAFGSEVCAFLDANRLRDVTGFSGKPVEFSRKTSRMLSKWRLSVLWRLSYKRTLADCISYCSEKMNNLSCTQDRLRDL >fgenesh2_kg.6__1724__AT5G17260.1 pep chromosome:v.1.0:6:7087687:7091059:-1 gene:fgenesh2_kg.6__1724__AT5G17260.1 transcript:fgenesh2_kg.6__1724__AT5G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC086 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LWY7] MAPVSLPPGFRFHPTDEELITYYLKRKINGQEIELEIIPEVDLYKCEPCKLAGKSLLPSKDQEWYFFSPRDRKYPNGSRTNRATKGGYWKATGKDRRVSWRDRAIGTKKTLVYYRGRAPHGIRTGWVMHEYRLDETECVPSAFGMQDAYALCRVFKKIVIEAKPRDQHQQQHQPYVHTSSNLSGNSSFDVCSDLEISSNAPYNSAADIQSRFGNANAITDHDDWSQYLSQNMPTSFADYGSPYGPSLTQSKVNTEMECEMLQHQMSLPPLRVENSPAQTRDFSKRMNQNSGQSGFDDFTFAASNSNQFYNNNVDDHLIHIGDLDVGNSFLEEEEILPSFESNDQDLEFYGGSRTNTINNIEIDDFFSFENQAQDNDNSNVTPNSAGLEMIGEEIIVNHKMLISTRQTTEILYYQVVPSQILKIHINPVHGNEERTMLMEEGSDDSWFQKAENVAKLKLKQISLVAKRYYKCLTIIF >fgenesh2_kg.6__1726__AT5G17280.1 pep chromosome:v.1.0:6:7113989:7114568:1 gene:fgenesh2_kg.6__1726__AT5G17280.1 transcript:fgenesh2_kg.6__1726__AT5G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSLHRISITTSPGSSLHDVLLSMRFGLTRRHLPLKRPFTNYSITSVSPEQQLISPVTMATTESQNLVQASKEETNKKEVEDTKEILAPPPPEKPEPGDCCGSGCVRCVWDVYYEELEDYNKKLSGETKSV >fgenesh2_kg.6__1729__AT5G17300.1 pep chromosome:v.1.0:6:7117779:7120459:-1 gene:fgenesh2_kg.6__1729__AT5G17300.1 transcript:fgenesh2_kg.6__1729__AT5G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LWZ3] MASSTLTANVQVLSPPSRNRDEEAGDKQIQFNDQSFGGNDYAPKVRKPYTITKERERWTDEEHKKFVEALKLYGRAWRRIEEHVGSKTAVQIRSHAQKFFSKVAREATGGDGSSVEPIVIPPPRPKRKPAHPYPRKFGNDADQTSRPVSPSERDNQSPTSVLSTVGSEALGSLDSSSPNRSLSPVSSASPPAALTTTANAPEELETLKLELFPREILLNRESSIKEPTKRSLKLFGKTVLVSDSGMSSSLTSSTYCKSPIQPLPRKLSSSKTLPIIRNSQEELLSCWIQVPLKQEDVENRCLDSGKAVQNEGSSTGSNTGSVDDTGHTDKTSEPETMVWQWEFKPSERSAFSELRRTNSESNSRGFGPYKKRKMVREEEEEEIRLHL >fgenesh2_kg.6__1733__AT5G17330.1 pep chromosome:v.1.0:6:7165450:7169583:1 gene:fgenesh2_kg.6__1733__AT5G17330.1 transcript:fgenesh2_kg.6__1733__AT5G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:D7LWZ5] MVLSHAASESDVSVHSTFASRYVRTSLPRFKMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMSSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGETETAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPVDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPQKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLVEKNKETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGHEGYTNVMENCRENMIVLRKGLEKTERFNIVSKDEGVPLVAFSLKDNSSHTEFEISDMLRRYGWIVPAYTMPPNAQHITVLRVVIREDFSRTLAERLVIDIEKVMRELDELPSRVIHKISLGQEKSEANSDNVMVTVKKSDVEKQRDIIIGWKKFVADRKKTSGIC >fgenesh2_kg.6__1734__AT5G17350.1 pep chromosome:v.1.0:6:7176308:7177118:1 gene:fgenesh2_kg.6__1734__AT5G17350.1 transcript:fgenesh2_kg.6__1734__AT5G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYVSSALSKTSSSSSSSSAAKVILPDGGVRHIHAPMKAAELMMEIPSFFLVDAKSLKIGRKFCPLAADDDLQIKGCHVYVAFPMTRATSAANASDLARLFVAAKKQRRRLGSDHSSGAVKHCHNNGRVSPDGEEDDVRVISAGSKLNLEDIEEFSAAEFMHRISVSKSKKPKLETIAEESLS >fgenesh2_kg.6__1736__AT5G17370.1 pep chromosome:v.1.0:6:7180025:7182953:-1 gene:fgenesh2_kg.6__1736__AT5G17370.1 transcript:fgenesh2_kg.6__1736__AT5G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LWZ9] EQREQSRLRHNMKPELPGFYYDEEKNRYFPIKGPIPGAKSSSSSRTKQKPDPKPEQETNYQKRTKIKALKLVYSRELNGNVIPVNKKMSNFKDEIQKTQASYPVVWRYGSTEDIGDTALKQFQVDVQTSVGLTRKNILVAGSAGGCLSILRVSKDRQVYDGVIECDPVSVLPRKENDTEEPEAPEYIWKPAQPCLVALSTISSIELIGRFDASENSHPEVNILITRSLQGNVSSECTIWTADCNISGSHAAIGTDLGAALVDLETGKGSYFLRSKSDVFTLQFHQSGNIVHCGLRNGAIVSVDLHTRYDINHRVKPVKLLQRNNGSSFPHSILLQGNINPSHVIYMPSSVTCLKTLKTSDQYLMASSMDGTLYDQRMVKRGVGVQTYEGHVNSHTRIEFGIDPSERFLMSGGDDCYTRIWSIKSGQLMSENKFSNSVPSVVCWSADERQRDHQKDSISHGAWLGSREAIFNLL >fgenesh2_kg.6__1737__AT5G17380.1 pep chromosome:v.1.0:6:7183022:7184998:-1 gene:fgenesh2_kg.6__1737__AT5G17380.1 transcript:fgenesh2_kg.6__1737__AT5G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate decarboxylase family protein [Source:UniProtKB/TrEMBL;Acc:D7LX00] MADNSATTPPSIDGNVLVAKSLSHLGVTHMFGVVGIPVTSLASRAMALGIRFIAFHNEQSAGYAASAYGYLTGKPGILLTVSGPGCVHGLAGLSNAWANTWPMVMISGSCDQRDVGRGDFQELDQIEAVKAFSKLSEKAKDVREIPNCVSRVLDRAVAGRPGGCYLDIPTDVLRQKISESEADKLVDEIVKSLKQEPIRESLRSEIESAVSLLRKAERPLIVFGKGAAYSRAEDELKKLVEITGIPFLPTPMGKGLLPDTHELSATAARSLAIGKCDVALVVGARLNWLLHFGESPKWDKDVKFILVDVSEEEIELRKPHLGIVGDARTVIGLLNKEIKEDPFCLGKSNSWVESISKKAKENGEKMEAQLAKDVVPFNFLTPMRIIRDAILAVEGPSPVVVSEGANTMDVGRSVLVQKEPRTRLDAGTWGTMGVGLGYCIAAAVASPDRLVVAVEGDSGFGFSAMEVETLVRYNLPVVIIVFNNGGVYGGDRRGPEEISGPHKEDPAPTSFVPNAGYHKLIEAFGGKGYIVETPDELKSTLAESFAARKPAVVNVIIDPFAGAESGRLQHKN >fgenesh2_kg.6__1739__AT5G17400.1 pep chromosome:v.1.0:6:7187280:7188780:-1 gene:fgenesh2_kg.6__1739__AT5G17400.1 transcript:fgenesh2_kg.6__1739__AT5G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGKSERFSADFVMGGAAAIVAKSAAAPIERVKLLLQNQGEMIKTGHLIRPYTGLGNCFVRIFREEGVLSFWRGNQANVIRYFPTQASNFAFKGYFKNLLGCSKEKDGYLKWFAGNVASGSAAGATTSLFLYHLDYARTRLGTDAKECSVNGKRQFKGMIDVYRKTLSSDGIKGLYRGFGVSIVGITLYRGMYFGMYDTIKPIVLVGSLEGNFLASFLLGWSITTSAGVIAYPFDTLRRRMMLTSGQPVKYRNAIHALTEIMKSEGFYALYRGVTANMLLGVAGAGVLAGYDQLHRIAYKHWVQ >fgenesh2_kg.6__1740__AT5G17410.1 pep chromosome:v.1.0:6:7189214:7195185:1 gene:fgenesh2_kg.6__1740__AT5G17410.1 transcript:fgenesh2_kg.6__1740__AT5G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:D7LX03] MESMTPISCPTTPRWNQDRPFLTGRFHQETRASSKFADSKRFTLDSSSSGVEQAIGCYDTPVQELIVIDDLLSALVGIEGRYISIKRFHGKEDSIAFQVDPSMDLALQELAKRVFPLCEYYLLIDQFVESSSQFKNGLVNHAFAAALRALLLDYQAMVAQLEHQFRLGRLSIQGLWFYCQPMMGSMRALAAVVQQASTKHFVGSGVLNLLQSQAKAMAGDNSVRSLLEKMTECASNAYLSILERWVYEGIIDDPYGEFFIAENRSLKKESLSQDSTAKYWSQRYSLKDTIPGFLANIAATILTTGKYLNVMRECGHNVQVPISERSKLTIFGSNHHYLECIKAAHEFASIELVNLIKDKYDLIGRLRSIKHYLLLDQGDFLVHFMDIAREELNKKVHEISVEKLQSLLDLALRTTAAAADPRHEELTCCVDRASLLTTLGMHKDPDSNSIEDPMSITGLETFSLSYKVQWPLSIVISKKALSKYQLIFRFLFHCKHVERQLCGAWQIHQGIRSMNSKGTAIPRSSLLCRSMLKFISSLLHYLTFEVLEPNWHVMHDRLQSTRSVDEVIQHHDYFLDKCLRGCLLLLPDVLKKMEKLKSVCLQYAAATQWLISSSIDINSQSHPQKTMIRDTTVTESIFNFEREFNSELQSLGPVLSKGSQAEPYLTHLSQWILGVSKE >fgenesh2_kg.6__1744__AT5G17440.1 pep chromosome:v.1.0:6:7209825:7213928:1 gene:fgenesh2_kg.6__1744__AT5G17440.1 transcript:fgenesh2_kg.6__1744__AT5G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRNGDVTEVNRKYYDRDVCRLHLSGLCPHELFQLTKMDMGPCPKVHSLQLRKEYKEAKAKGVDNYDRELEDAIDRLIVECDRKIGRALNRLQEEDAKAAIAISVTEFTQSPEILELSKQIKEKMKEADLHDLEGKTDLKIRALELVEEMRTKRADLQAVLLLDAFNKDRASLQQPVPAQQPAAALPPPDPRTQEMINEKLKKAEELGEQGMVDEAQKALEEAEALKKLTARQEPVVDSAKYTAVDVRITDQKLRLCDICGAFLSVYDSDRRLADHFGGKLHLGYMLIRDKLAELQEEKNKVHKERVEERRSKERSRERESSKDRDGGDSRDRGRDVDRRSRDRDRHHDHREHDRNYNQSRGYDSRSRRSSRSRSRERPRDYDRRRRHDRY >fgenesh2_kg.6__1745__AT5G17450.1 pep chromosome:v.1.0:6:7215413:7217214:1 gene:fgenesh2_kg.6__1745__AT5G17450.1 transcript:fgenesh2_kg.6__1745__AT5G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFDYISSFCSYTYANAKTKRKPLQTVDIKVKMDCDGCERRVRNVVRRMKGVKSVEVNRKQSRITVNGHVDPNKVLKRVKSTGKKAEFWPYIPQHMVYYPFAPGMYDKRAPAGHIRNPTQSFPTANAPEENYVSLFSDDNVHAACSIM >fgenesh2_kg.6__1749__AT5G17490.1 pep chromosome:v.1.0:6:7228020:7230078:-1 gene:fgenesh2_kg.6__1749__AT5G17490.1 transcript:fgenesh2_kg.6__1749__AT5G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKLEGSGCGGGDDNMDELLAVLGYKVRSSDMADVAQKLEQLEMVLSNDICPSNNALNDTVHYNPSDLSGWAHSMLSELNYYPDLDPTRICDLRPIQDDDECCSSSNSNKRIRLGPWSDSVSSDSTRSVVLIEETGVRLVQALVACAEAVQHENLSLADALVKRVGSLAASQAGAMGKVATYFAEALARRIYRIHPSSAAIDPSFEEILQMNFYDSCPYLKFAHFTANQAILEAVTTSRGVHVIDLGLNQGMQWPALMQALALRPGGPPSFRLTGVGTPSNRDGIQELGGKLAQLAHAIGVEFEFSGLTTERLSDLEPDMFETRPDSETLVVNSVFELHPVLSQPGSIEKLLATVNAVKPGLVTVVEQEANHNGAGFLDRFNEALHYYSSLFDSLEDGVVIPSQDRVMSEVYLGRQILNVVAAEGIDRIERHETLAQWRKRMENAGFDPVNLGSDAFKQASLLLALSGGGDGYRVEENDGSLMLAWQTKPLIAASAWKLAAELRR >fgenesh2_kg.6__174__AT5G02610.1 pep chromosome:v.1.0:6:711724:712715:1 gene:fgenesh2_kg.6__174__AT5G02610.1 transcript:fgenesh2_kg.6__174__AT5G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L35 [Source:UniProtKB/TrEMBL;Acc:D7M7V2] MARIKVHELRDKSKTDLQNQLKEFKAELALLRVAKVTGGAPNKLSKIKVVRKSIAQVLTVISQKQKYALREAYKNKKFLPLDLRPKKTRAIRRRLTKHQASLKTEREKKKEMYFPVRKYAIKV >fgenesh2_kg.6__1750__AT5G17500.1 pep chromosome:v.1.0:6:7231492:7234404:1 gene:fgenesh2_kg.6__1750__AT5G17500.1 transcript:fgenesh2_kg.6__1750__AT5G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 5 protein [Source:UniProtKB/TrEMBL;Acc:D7LX14] MAKTISPLTLVLFLLLSSISLTLATDYPLFTKSRWIVNKKGHRVKLACANWPSHLKPVVAEGLSSQPMDSISKKIKDMGFNCVRLTWPLELMINDTLAFNVTVKQSFERYGLDHELQGIYTHNPSIVNIPLINAFQAVVYSLGRHDVMVILDNHKTVPGWCCSNNDPDAFFGDPKFNPDLWMLGLKKMATIFMNVNNVVGMSLRNELRGYNHTAKDWYKYMQKGAEAVHTSNPNVLVILSGLNFDADLSFLQDRPVNLSFKKKLVLELHWYSFTDGTGQWKSHNVNDFCSQMFAKEHRTGGFVLEQGFPLFLSEFGTDQRGGDFEGNRYMSCMLAWAAEKDIDWAVWALTGVYYFREGKRGVLEAYGMLDANWHNVHNYTYLRRLSVIQPPHKGPGIKHNHHKKIFHPLTGLCLVRKSSCYESELMLGPCTKGEPWSYSHGGILEIKGGHKSCLEGETAVGRSVKLGKKCTKIMQISATKMHLSLKTNDGSLVCLDVDSDNNVVANSCKCLTGDITCEPASQWFKIF >fgenesh2_kg.6__1751__AT5G17510.1 pep chromosome:v.1.0:6:7234742:7236703:-1 gene:fgenesh2_kg.6__1751__AT5G17510.1 transcript:fgenesh2_kg.6__1751__AT5G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTKPPPLGGNNPQQQQQQQQQQLLYQHQLQQRQQQMLLLQQLQKQQHQQQQQAAMSRFPSNIDVHLRPPGLIQNRPINPPPQQNPNPNPNLGQHTPNFQQQQQIASSQQMMQQQQQQQQQKLMRPLNHIELQCAYQDAWRVCHPNFKQPFSSLEDACERLLPYHVVADYEAEEDDRILDSDPTGQALSRSQQWDNNIAAKVAEFTATFEKQALAFNIITRKRAMGEFRSEERLMVEQALLQEERKALFELKAEMDREKAGREAQEAKLRMAALAQAGQSQSHAEIMARNPLRANAVGNQGSNIQLSHEMGEQGRNMNPDEMMNGWGNNSQREDKEPSEDFLNDEENENGETGEQENWREAGEFDLNSR >fgenesh2_kg.6__1752__AT5G17520.1 pep chromosome:v.1.0:6:7236926:7239841:-1 gene:fgenesh2_kg.6__1752__AT5G17520.1 transcript:fgenesh2_kg.6__1752__AT5G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root cap 1 [Source:UniProtKB/TrEMBL;Acc:D7LX16] MEAKSIATSLCGDRVLIFPTSPRSSFVSTSRLSRLPLKRASIGGAASCSGVNGLTRWNSIVSTRRLVPVRAIDSESGSDFPHENQQGNPCLGKFKEYQEWDSWTAKFSGGANIPFLMLQLPQIILNSQNLLAGNNTALSAVPWLGMLTGLLGNLSLLSYFAKKREKEAAVVQTLGVVSTHIVLAQLTMAEAMPLQYFVATSAVVTIGLILNCLYYFGKLSKTAWQLWEDFITIGGLSVLPQIMWSTFVPLVPNSILPGTTAFGIAVAAIIMARTGKLSEKGVRFVGSLSGWTATLMFMWMPVSQMWTNFLNPDNIKGLSSITMLLSMMGNGLMIPRALFIRDLMWLTGSLWATLFYGYGNILCLYVVNCTSKSFFVAATIGLISWIGLALWRDAVAYGHNSPFRSLKELVFGP >fgenesh2_kg.6__1756__AT5G17540.1 pep chromosome:v.1.0:6:7244505:7246391:-1 gene:fgenesh2_kg.6__1756__AT5G17540.1 transcript:fgenesh2_kg.6__1756__AT5G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LX18] MSGLLTFTVHRKKPELVSPVKPTPRELKLLSDIDDQEGLRFHIPTIFFYRHNPTAYSDPTLVYYYPFAGRLREGQNRKLAVDCTGEGVLFIEADADVTLVEFEEKDALKPPFPCFEELLFDIQGSSEMLNTPLMLMQVTRLKCGGFVFAVRINHVMSDAAGLKLFLKTMCEFVRGYHAPTVASVWERHLLNACVPVRVTHMHREYDEMPAIGRSFFFGHGEMSAIRRLLPPNIVNSSTNMETLTSFLWRYRTVALQPDPDKEMRLIFIVNGRSKLKNPPLPPGYYGNAFATTRELSNELRNQAKLSVTEEYMRSLADLMVIKGRPSFLSDGAYLVSDVRIFADIDFKIWGKPVYGGIGTAGVQDFPGASFYVSTEKRNGEIGIIVPVCLPEKAMRMFVEELEGVFNGQFVFNRGSKKL >fgenesh2_kg.6__1761__AT5G17600.1 pep chromosome:v.1.0:6:7266458:7267851:-1 gene:fgenesh2_kg.6__1761__AT5G17600.1 transcript:fgenesh2_kg.6__1761__AT5G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPNPWSPYDSSYNDCSQGICNIYCPQWCYLIFPPPPPSFFLDDDSSSSSSSFSPLLIALIGILTSAFILVSYYTLISKYCHRHHQTSSSETLNHNSDGFFSSTQRISTTGDGLNDSMIKSITVYKYKKGDGFVDGSDCSVCLSEFEENESLRLLPKCNHAFHLPCIDTWLKSHSNCPLCRAFVTGVNNPTAAVGEAGSVVVANRSSSVHQTGSVSEINMNLAGYQHQTGDFDSVVVIEDLEIGSPNSDGRSELLPVEERRDTKDEDSLPIRRSVSLNSGVVGVSIADVLREIEDEEEEESGGVGTSQRREEGEDGGGKTLPPTEANQRSGGVSNFLVRSLSTGRFIFSRYDRGRNYRLPN >fgenesh2_kg.6__1762__AT5G17610.1 pep chromosome:v.1.0:6:7270244:7271402:1 gene:fgenesh2_kg.6__1762__AT5G17610.1 transcript:fgenesh2_kg.6__1762__AT5G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISRALSSFVCLLLLLSICFVPCVFSKSLRPISDVEIRQKKSECYADIESGLWGWQCKSSAIAKENCALRCLSPVCYQLIYESDPLEEGEKDLIRSQEYKYCMYKSSLGESLDGVRGSF >fgenesh2_kg.6__1763__AT5G17620.1 pep chromosome:v.1.0:6:7272218:7275244:-1 gene:fgenesh2_kg.6__1763__AT5G17620.1 transcript:fgenesh2_kg.6__1763__AT5G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear matrix protein 1 [Source:UniProtKB/TrEMBL;Acc:D7LX26] MAAKQMEEIQKKLGLLSYPRANAPAQSLLFAGMERYALLEWLFFKLLGDKSPFSQQNLQGDAGVRDEETVRIQYLAEIAKFLGFTPTVDIEAIQVHGTYEDRMEMLRNIVELVEASLFSDNQEWSIDEQVAKDIQLIDAIAERQSLIFSEECKLFPADVQIQSIYPLPDVSELETKLSEQAKILSNLQQKVDDLAAKHAYNPDEEYTEVESQLRARLESFLETARAFNTIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYNMLLKFLGNLKNLRDSHAALSIGSSGTVAGEPSSVTRIVSDCEAALTVLNRDLGILSASIAREQGERL >fgenesh2_kg.6__1767__AT5G17680.1 pep chromosome:v.1.0:6:7290677:7294782:1 gene:fgenesh2_kg.6__1767__AT5G17680.1 transcript:fgenesh2_kg.6__1767__AT5G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSSSSSSAVWKTDVFVSFRGEDVRKTFVSHLFCEFDRMGINAFRDDLDLQRGKSISPELIDAIKGSRFAIVVVSRNYAASSWCLDELLKIMECKDTISQTILPIFYEVDPSDVRRQRGSFGEDVESHSDKEKVRKWKEALKKLAAISGEDSRNWRDESKLIKKIVRDISDKLVLTSRDDSKGLIGMSFHLDFLQSMMSIEDKDVRMVGIWGMGGVGKTTIAKYLYNQLSGRFQAHCFMENVKEVCNRYGVRRLQEEFLCRMFRERHKEAWGSVSCCSMIRERFRHKRVLIVLDDVDRSEQLNELVKEIDWFGPGSRIIVTTRDRHLLLSHGIDLVYKVKCLPKREALQLFCNYAFREEIRIPHGFQELSVQAINYASGLPLALRVLGSFLYRRSQREWESTLARLKTYPHSDIMEVLRVSYDGLDEQEKAIFLYISCFYNMKHVDYVTKLLDICGFAAEIGITILTEKSLIFVSNGNIKMHDLLEQMGREIVRQQAVNNPAQRLLVWDPEDICDLLSENSGTQLVEGISLNLSEISEVFASDRAFEGLSNLKLLNFYDLSFDGETRVHLPNGLSYLPRKLRYLRWDGYPLKTMPSRFCPEFLVELCMSNSDLEKLWDGIQPLTNLKKMDLSRCKYLVEIPDLSKATNLEELNLSYCQSLVEVTPSIKNLKGLSCFYMTNCIQLKNIPIGITLKSLETVRMSGCSSLMHFPEISWNTRRLYLSSTKIEELPSSISRLSCLVELDMSDCQRLRTLPSYLRHLVSLKSLNLDGCKRLENLPGTLQNLTSLETLEVSGCLNVNEFPRVATNIEVLRISETSIEEIPARICNLSQLRSLDISENKRLKSLPLSISKLRSLEKLKLSGCSVLESFPPEICQTMSCLRWFDLDRTSIKELPENIGNLVALEVLQASRTVIRRAPRSIARLTRLQVLAIGNSLYTPEGLLHSLCPPLARFDDLRALSLSNMNMVEIPNSIGNLWNLLEIDLSGNSFEFIPASIKRLTRLNRLNLNNCQRLQALPDELPRGLLYIYIHNCTSLVSISGCFNQYCLRQFVASNCYKLDQAAQILIHCNMKLESAKPEHSYFPGSDIPSCFNHQVMGPSLNIQLPQSESSSDILGFSACIMIGVDGQYPMNNLKIHCSCILKDADDCELVVMDEVWYPDPKAFTNMCFGTDHLLLFSRTCMSMGAYNEALFEFSIENTEGDSFSPLGEVKKCAVHLISFKDMMQEFSNDSDKIQNSDLDLSKAFDDARLLKRRAYETELLHKEQPRSKRIKFLPVHLA >fgenesh2_kg.6__1769__AT5G17700.1 pep chromosome:v.1.0:6:7298676:7301855:-1 gene:fgenesh2_kg.6__1769__AT5G17700.1 transcript:fgenesh2_kg.6__1769__AT5G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7LX34] MSRGGEMEERLLNGSETEQRRESLYLRKKIWSEVRKMWRIALPSTLFRVMSFGCVVVAQAFIGHSSETGLAAYALLQSTFIRFIYGIMAGMSSATETLCGQAYGAQQYPMMGIYLQRSWIVDTFIATLFVPFIVFAGPILRLLGQNVVISETVDEIYPWVIPYLYSLVFTMTMQMYLQAQMRNAIIGILSTLALVLDIAATWWCVSVMGMGIHGALLGLNISSWSVVIAEFVYVFGGWCPHTWTGFSTAAFVDLIPMLKLSISSGFMLCLEYWYMSIIVLMSGYAKDANIAISAFSICQYIYSWEMNICFGLLGAACVRVANELGKGDADAVRFSIKVVLVVSAVIGVICSALCLAFGGQISYLFSDSPAVSDAVSDLSLVLSISILFNIIQPILSGVAIGAGMQSMVAFVNLASYYAIGVPLGVLLIYVFNFGIKGLWSGMLAGVGVQTLILSYVIYKTDWELEVKKTNERMKTWTLNLKR >fgenesh2_kg.6__177__AT5G02640.1 pep chromosome:v.1.0:6:721978:722646:-1 gene:fgenesh2_kg.6__177__AT5G02640.1 transcript:fgenesh2_kg.6__177__AT5G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVCISSCINDAHDPRVPVRPVRASYVNLYKWPESDAEFVRSVRRGGGVPAARVVDSISCRQMYLRSYTFSREDDESKSEKVTTQTSCLGRVKETASFRRKSKEENGIIVESTKPRRRGERRRVSRKKKQEQACSVMFRFFRRLLSCAATVDVVDPN >fgenesh2_kg.6__1780__AT5G17800.1 pep chromosome:v.1.0:6:7363561:7365634:1 gene:fgenesh2_kg.6__1780__AT5G17800.1 transcript:fgenesh2_kg.6__1780__AT5G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB56 [Source:UniProtKB/TrEMBL;Acc:D7LXM8] MNPNLLEKDLSGKETTNGSRRYEEANNFGSLPNSHTAACKTSLNNLSISRNLSHNKSASVLQSEDEHGKESGENEKSLGMRGKSGINTKVCSRGHWRPTEDAKLKELVAQFGPQNWNLIAHHLLGRSGKSCRLRWFNQLDPRINKRAFTEEEELRLLAAHRAYGNKWALISRLFPGRTDNAVKNHWHVIMARRTRESQRQRQQPPPALSRDAEMTVSSSCRYNQGKFINGEDDEDDDDDGSAVSTCTTELSLTPPSSAYQPRFFNYDNTLASGKDGQCVQRAEVNGIYGKKMDHQNHHTISVSERKVELKMKNGYYFFDFLGVGAS >fgenesh2_kg.6__1782__AT5G17820.1 pep chromosome:v.1.0:6:7384129:7386008:-1 gene:fgenesh2_kg.6__1782__AT5G17820.1 transcript:fgenesh2_kg.6__1782__AT5G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LXN0] MMKGAKFSSLLVLFFIFPIAFAQLRVGFYSRSCPQAETIVRNLVRQRFGVDPTVTAALLRMHFHDCFVRGCDASLLIDSTTSEKTAGPNGSVREFDLIDRIKAQLEAACPSTVSCADIVTLATRDSVLLAGGPSYRIPTGRRDGRVSNNVDVGLPGPTISVSGAVSFFTNKGLNTFDAVALLGAHTVGQGNCGLFSDRITNFQGTGRPDPSMNPALVTSLRNTCRNSATAALDQSTPLRFDNQFFKQIRKGRGVLQVDQRLASDPQTRGIVARYANNNAFFKRQFVRAMVKMGAVDVLTGRKGEIRRNCRRFN >fgenesh2_kg.6__1784__AT5G17840.1 pep chromosome:v.1.0:6:7393861:7395325:-1 gene:fgenesh2_kg.6__1784__AT5G17840.1 transcript:fgenesh2_kg.6__1784__AT5G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSALDFGFPATFPVKSSSVGGHGIPSSGFGSRVRFCSLPPCSSIKAESCLKRDLHKQRSSLESMFCYDKPIPEEIIEEPVGLSMSEREIGDNQRCTCCEAKGALLCATCSGTGLYVDSIMESQGIIVKVRCLGCGGTGNIMCKSCGGRGHVGH >fgenesh2_kg.6__1786__AT5G17860.1 pep chromosome:v.1.0:6:7404936:7406926:-1 gene:fgenesh2_kg.6__1786__AT5G17860.1 transcript:fgenesh2_kg.6__1786__AT5G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFSSRLSSHSLSLLINIFFIFLIYLHFASQNPSPSGSIQTLNSLAGGDSDSCSGGLASLDDHRSKCSYIRSQSKCGPQGYIDYLKIFFCIFGESPVLGHLVLSVWLFVLFYLLGDTAASYFCPSLDSLSKVLKLSPTMAGVTLLSLGNGAPDLFSSVVSFTRSNNGDFGLNSILGGAFFVSSFVVGTICVLIGSRDVSIDRNSFIRDVVFLLVALCCLGLIIFIGKVTIWVALCYLSIYLLYVGFLSVSHFFDRKKRMSEQILRSREDLAEMGVPLLGDITEEKLVLPEKTAQEFKIVFEDPPKRHRSCFSVLVSIIGLPLYLPRRLTIPVVREEKWSKPCAVVSTAIAPVLLTELYCSHYSGSKRNLILYIVSGSIGLILGILAYLTTEKSHPPKKFSLVWLLGGFTMSVTWTYMIAQELVSLLISLGNIFGISPSVLGLTVLAWGNSLGDLIANVTVAFHGGNDGAQIALSGCYAGPLFNTVIGLGVPLVISSLAEYPGVYIIPSDSSLLETLGFLMVGLLWALVIMPKKKMRLDKLVGGGLLAIYLCFLSLRLARVFGVLDIDR >fgenesh2_kg.6__1787__AT5G17870.1 pep chromosome:v.1.0:6:7409890:7410370:1 gene:fgenesh2_kg.6__1787__AT5G17870.1 transcript:fgenesh2_kg.6__1787__AT5G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSAIFGTGIVTVAASPVLRQFQVPTLGNGGGLGMVIECSSRPQKKSTAHHRKSRPKKTQPWDIKRKPTVYAPLPPLPAEWTPFTLASDDGGATAAAPAGDLVSGAA >fgenesh2_kg.6__1789__AT4G08580.1 pep chromosome:v.1.0:6:7427397:7428998:1 gene:fgenesh2_kg.6__1789__AT4G08580.1 transcript:fgenesh2_kg.6__1789__AT4G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTAGVSDAAIAVRAKLQGGIGQTRVRRYWPGKAPEWAEEAEEDEDVRMQTASVLDRSFPKQDDLGVARKDDPRLRRLAQTRVENRDEVRADHRRIRQAEIISTEEEDSRNQETRDEDDDEDALEERRRRIREKNLRRAQEEAALLPLEEEDELQEEEEEEEESEYETDSEDEMPGIAMIKPVFVPKAERDTIAERERLEAEEEALEELAKRKLEKRKIETKQIVVEEVKKDEEIRKNILLEEANIGDVETDDELNEAEEYEVWKTREIGRIKRERDAREAMLREREEIEKLRNMTEQERREWERKNPKPSSTQPKKKWNFMQKYYHKGAFFQADPDDEAGSAGTDGIFQRDFSAPTGEDRLDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWSNPWTSNDPLREKYNKKMAGMDAPIAKPKGSKKMKDWET >fgenesh2_kg.6__178__AT5G02710.1 pep chromosome:v.1.0:6:726163:728206:1 gene:fgenesh2_kg.6__178__AT5G02710.1 transcript:fgenesh2_kg.6__178__AT5G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISLALSTAPMSTTIISATRRSQVSQPKAKKVKPENKRPTTTSTSGFSGRTTKELTWKCVEGCGACCKIAKDFAFATPDEIFDNPDDVELYRSMIGDDGWCINYNKSTRKCSIYSDRPYFCRVEPEVFKSLYGIEEKKFNKEAVSCCIDTIKTIYGPGSKELDNFNRAIRSSPSSS >fgenesh2_kg.6__1790__AT5G17920.1 pep chromosome:v.1.0:6:7431882:7436336:1 gene:fgenesh2_kg.6__1790__AT5G17920.1 transcript:fgenesh2_kg.6__1790__AT5G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cobalamin-independent methionine synthase [Source:UniProtKB/TrEMBL;Acc:D7LXP0] MASHIVGYPRMGPKRELKFALESFWDGKSTAEDLQKVSADLRSSIWKQMSAAGTKFIPSNTFAHYDQVLDTTAMLGAVPPRYGYTGGEIGLDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPEVNFSYASHKAVNEYKEAKALGVDTVPVLVGPVSYLLLSKAAKGVDKSFDLLSLLPKILPIYKEVITELKAAGATWIQLDEPVLVMDLEGHKLQAFTGAYAELESTLSGLNVLVETYFADIPAEAYKTLTSLKGVTAFGFDLVRGTKTLDLVKAGFPEGKYLFAGVVDGRNIWANDFAASLSTLQALEGIVGKDKLVVSTSCSLLHTAVDLINETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEALFSANAAALASRRSSPRVTNEGVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREYKAKKVSEEDYVKAIKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPVIYGDVSRPKAMTVFWSAMAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRHETCYQIALAIKDEVEDLEKGGIGVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNCGVQDSTQIHTHMCYSHFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSSEEIADRVNKMLAVLEQNILWVNPDCGLKTRKYTEVKPALKNMVDAAKLIRSQLASAK >fgenesh2_kg.6__1792__AT5G17930.1 pep chromosome:v.1.0:6:7436896:7441272:-1 gene:fgenesh2_kg.6__1792__AT5G17930.1 transcript:fgenesh2_kg.6__1792__AT5G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7LXP1] MGSSRHEKRKEARLQKNQKKHESWLQRQKSEKEKRVSSSAQKTGEVIKSENFQQISNSETEHMESSRPSGNKDDGKSFIHKKEEVRVKSKEKKMQRVQRMKDLNRPKKKTKFEEFLEMDTPTVISGDQDAELERRLAKKLKVKKGKLRGLDDGLNDLFEGLPSVLDSMESELGDSRKKRKKKRSEEKHDHEDVDKLPNEDLEREESDFSEEPAGKRDRKRHKKKKSVDEDLESDPMNITGDGESETITYHDSPSSLEKVEPPLHEHKPESSSKYVAPHLRSQAKSESEELTKMRTRIKGLLNKMAESNVETITAELASIYRSVARSVSYQIFCEEVLTTYARGNEQYAVFASFIAGMACLVGMDFSAKLIASLAKSFEDEYQKEDSLSLNGISLLLSYLCILGVCSSDLIYDFLMTLGKRLTKVDAFTITTVLDCCGMKIRSDDPLAMKTFIISIQNKANELKTSSDGQTQMSNMMMEKMLETISAIKNNKLRAKEDSVQNTRVKKWLQKLRVEDVLLRGLTWSKLLDPEKKGQWWISGDLVVDSHTAEDVAETMDAEVVEAQKMLKLAEAQRMNTDSRKAIFCVIMSSEDYIDAFEKLLRLDLPGKQDREIMRVLVECCLQEKAFNKYYTVLASKLCEHDKNHKFTLQYCIWDHYKELESMSLQRSMHLAKFVAEIIVTFNLSLAVLKSVDLANPVELTPKRIMHFRMLFEAIFEHPENLVWNLFTRIALNPDYEGLRDGIKFFVKEYVVKTNKAISGKFRKAKEALNNAEGLLM >fgenesh2_kg.6__1793__AT2G06555.1 pep chromosome:v.1.0:6:7443844:7444501:1 gene:fgenesh2_kg.6__1793__AT2G06555.1 transcript:fgenesh2_kg.6__1793__AT2G06555.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LXP3] CYEFASRTPRSGENEDDIIVLAYKLYHQDQKNKFSLEHVWRILKTDQKWCNWCETKLPAKKKAKLSSVEEESLQRPIGVKAAKALAKSKVKEKDLAFKERLSKQKLLDSLLGRSDGLSMPSSWKLVMSARNGLSGSFALL >fgenesh2_kg.6__1794__AT2G06550.1 pep chromosome:v.1.0:6:7445344:7446086:1 gene:fgenesh2_kg.6__1794__AT2G06550.1 transcript:fgenesh2_kg.6__1794__AT2G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LXP4] MGYYLTDGIYPKWATFIQSITCPQGPKASLFASCQEAVRKDVERAFGVLQARFAII >fgenesh2_kg.6__1799__AT5G18160.1 pep chromosome:v.1.0:6:7477595:7478776:-1 gene:fgenesh2_kg.6__1799__AT5G18160.1 transcript:fgenesh2_kg.6__1799__AT5G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LXQ3] MDEQEEKKQRTRQSSSTLRTRQSSSTLKTRQSSHGNHKSQSNSIPFDITFEILSRLPAKSIVRYRSVSKLWSFITTTPEFIKTRSKKTSPPCVLLIFRKHDKLIVFSSPQHKNTYSYVEDYHIEIPKNGFIRRLDSVHGLICFEGSKQLVIWNPTMKRFFTLPEPQGNGDEYYVGGFLGYEPVEGKYKALCIVRGWNTQVLTLGVQESWRVVTKGGFFHWPTKDTGRCINGVIYYKAFDMAPRHAIISFDLRYEEFKLIDFPMRDYDRFLMVSYEGRLALISDTSSVVEIWSLEDAGNKKWSYGQFHLSLPPNKYLKWLDLRGVTDGGELIYTGVSLNGSFCVVYFDPKKSSIRETKFRGITGNEIWQPDRLGFDLVNDFYVLPNHIESFISF >fgenesh2_kg.6__17__AT5G01860.1 pep chromosome:v.1.0:6:58754:59428:-1 gene:fgenesh2_kg.6__17__AT5G01860.1 transcript:fgenesh2_kg.6__17__AT5G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M6E6] MELNLSTPTSCSSSSISSSSHEVIPRTKGKLKEKVTAEPSKETPRLEFRFLFNESTRSHPGFLDEAEGTRNEAKARVFACTFCKKEFSTSQALGGHQNAHKQERSLAKRRKEIELNYPGLSFYSQYPPSGVSYSSSSSQYDLGVRYNPNIAKTKPYPFNIFTCRLGYRGLNIPLLSHLSVPKTDDLSKNLISNLEGSIQDQAEKKDQPDTDPSKDSDIDLSLKL >fgenesh2_kg.6__1802__AT5G18060.1 pep chromosome:v.1.0:6:7499999:7503531:1 gene:fgenesh2_kg.6__1802__AT5G18060.1 transcript:fgenesh2_kg.6__1802__AT5G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVRSLLGAKKILSRSTAAASAAPKGFLAVYVGESQKKRYLVPLSYLSQPSFQALLSKSEEEFGFDHPMGGLTIPCPEDTFITVTSRLQ >fgenesh2_kg.6__1808__AT5G18090.1 pep chromosome:v.1.0:6:7516043:7517699:1 gene:fgenesh2_kg.6__1808__AT5G18090.1 transcript:fgenesh2_kg.6__1808__AT5G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein [Source:UniProtKB/TrEMBL;Acc:D7LXR4] MKNRAFGQIMDDAENPGFFKILRPADLSSEIMRGIPLNFIKSISDEEFSHKMVLKVSWGSSWPIKICRNPSFYFMEKKGWDQFLSDNGLGNNEFLTFTHQGNMCFSVDIYQIDGKELLTPRRSATIASSSGRNKREQRKNIYEDVKKEEEIESWSESSYPGLKAAESTGRRQKHVTKKKKKMTMCNDSEDDNVSLVPEFTLTIKKSYLFFLGVPKMFEELHMPREATMFKIHDPEGKRSWDVMYKLAGTQSRFCAGWIRLAKELGLVIGDVCTFTLIKPTEMLVKVSK >fgenesh2_kg.6__180__AT5G02730.1 pep chromosome:v.1.0:6:729296:729886:1 gene:fgenesh2_kg.6__180__AT5G02730.1 transcript:fgenesh2_kg.6__180__AT5G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLYNPFCLSVSSLLLLILIFSGEFPSTAGTFSPDTKAAAARAINRGRRNKQSAEFLLAHNAARGASGASNLKWDQGLARFASKWAKQRKSDCKMTHSGGPYGENIFWYQRSENWSPRRVVEKWMDESLNYDRLTNTCKSGAMCGHYTQIIWRTTTAVGCARSKCDNDRGFLVICEYSPSGNYEGESPFDILK >fgenesh2_kg.6__1810__AT5G18110.1 pep chromosome:v.1.0:6:7519402:7521316:-1 gene:fgenesh2_kg.6__1810__AT5G18110.1 transcript:fgenesh2_kg.6__1810__AT5G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cap-binding protein nCBP [Source:UniProtKB/TrEMBL;Acc:D7LXR6] MEVADRRDEEIRDSGNMDSIKSQYVTDSVSEERRSRELKDGLHPLRYKFSIWYTRRTPGVRNQSYEDNIKKIVEFSTVEGFWACYCHLARSSLLPSPTDLHFFKDGIRPLWEVGANCNGGKWIIRFSKVVSARFWEDLLLALVGDQLDDADNICGAVLSVRFNEDIISVWNRNASDHQAVMGLRDSIKRHLKLPHAYVMEYKPHDASLRDNSSYRNTWLRG >fgenesh2_kg.6__1813__AT5G18130.1 pep chromosome:v.1.0:6:7527466:7529254:1 gene:fgenesh2_kg.6__1813__AT5G18130.1 transcript:fgenesh2_kg.6__1813__AT5G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEWELLPRNIYKGLDLDLGHEDDHEATKMMRSTGKSFDSDYFICPIQDSVGKTELLHHRSSVVPTQLLQIPITWEPLSPVDDNKDHKKYPDPDFSEPDPELLTESLPSPRITFKKTKETEFADMKIDSPAARFTSPLPRNDEKHSDSEGGLGGESYDEIMGSEVEEGSDLRSKKEVEWDEGEKVNLWKKGLNGIGAICSFGVAAAAATICVFFLGHNSSIQGGRNKNQILRFQIYSDDNKRMNEVVKHATKINEAISVMKGLPVARAQISFGGYYDAL >fgenesh2_kg.6__1814__AT5G18140.1 pep chromosome:v.1.0:6:7529857:7531539:1 gene:fgenesh2_kg.6__1814__AT5G18140.1 transcript:fgenesh2_kg.6__1814__AT5G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LXR9] MQTHLLVGPIPLKGCRRFSSSFSGDLFPPSSNPFGGDLQHHHRRRHRDGRSRCHRTRSKTTVTSAAYSSSSSSASNTGGQNHYVVLGIARNATQVDIKRAYRLLARKFHPDVNKDSKAGELFKTIRCSYEVLSNEATRTQYDRALKIQENSRFHRVKRHNYTPEVEDAMNYYYSWYEKRHRSRQYSTYPNSHFYTETEPQEEEEVETAQDQRDSFVEALRSALLSMFLLYTFGCLASLTFSTFTALLDKELDMGYKVGFMIAWILGGKGGILLTLCLTFASWLCGKASSSVVVLVVVAMWVGSNLARHAPLPQGALLTLLYMSIKLQVDST >fgenesh2_kg.6__1817__AT5G18170.1 pep chromosome:v.1.0:6:7537793:7540169:1 gene:fgenesh2_kg.6__1817__AT5G18170.1 transcript:fgenesh2_kg.6__1817__AT5G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7LXS2] MNALAATNRNFKLAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAKIPYGGAKGGIGCDPSKLSISELERLTRVFTQKIHDLIGIQTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVMFGTEALLNEHGKSISGQRFVIQGFGNVGSWAAKLISEKGGKIVAVSDITGAIKNKDGLDIPALLKHTKQHRGVKGFDGADSIDPNSILVEDCDILVPAALGGVINRENANEIKAKFIIEAANHPTDPDADEILSKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWEEEKVNEELKTYMTRSFKDLKEMCKTHSCDLRMGAFTLGVNRVAQATILRGWGA >fgenesh2_kg.6__1820__AT5G18190.2 pep chromosome:v.1.0:6:7541755:7546440:-1 gene:fgenesh2_kg.6__1820__AT5G18190.2 transcript:fgenesh2_kg.6__1820__AT5G18190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LXS4] MPELRSGARRLRQPSPQVTGQADNIELPPQPVTRRRGGGGRGRGNAAAKGAAPPRPTGGAGRGRGIRLIDLEAEPCEVRPAVGEPAFNQVEGVAEKDIAMEGGSAEKIVGVEEDSSTAPVPERVQVGNSPVYKTERKLGKGGFGQVFVGRRVSGGSDRIGADAIEVALKFEHRNSKGCNFGPPYEWQVYNTLNGCYGVPAVHHKGRQGDFYILVMDMLGPSLWDVWNSSGQSMSPNMVACIAVESISILEKLHMKGFVHGDVKPENFLLGQPGTADEKKLYLIDLGLASKWKESGLHVEYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLVFLLKGRLPWQGYQGDNKSFLVCKKKMSTSPELMCCFCPPPFKLFLEAVTNMKFDEDPNYAKLISIFDSLIEPCALSRPIRIDGALKVGQKRGRLLLNVEEDEQPKKKIRIGSPACQWISVYNARRPMKQRYHYNVADSRLHQHVQKGNEDGLLISCVASAANLWALIMDAGTGFNSQVYELSAVFLHKDWIMEQWEKNYYISSIAGADNGSSLVVMSKGTSYTQQSYKVSDSFPYKWINKKWKEDFHVTSMTTAGNRWGVVMSRNSGFSDQVVELDFLYPSDGIHRRWESGYRITSMAATADQAAFILSVPKRKMMDETQETLRTTAFPSTHVKEKWAKNLYIASICFGRTVC >fgenesh2_kg.6__1821__AT5G18200.1 pep chromosome:v.1.0:6:7548453:7550028:1 gene:fgenesh2_kg.6__1821__AT5G18200.1 transcript:fgenesh2_kg.6__1821__AT5G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQSHAPDGGGSDGDSVENQSPELRKDPVTNRWVIFSPARAKRPTDFKSKSPQNPNPKPSSCAFCIGREQECAPEIFRVPDHDPNWKLRVIENLYPALSRNLETQAKQGETGTGRTTVGFGFHDVVIESPVHSIQLSDIDPVGIGDVLIAYKKRIDQIGQHDSINYIQVFKNQGASAGASMSHSHSQIMALPVVPPTVSSRLDGTKDYFDETGKCCLCEAKSKHFVIDESSHFVSVAPFAATYPFEIWIIPNDHSSHFHHLDDVKAVDLGGLLKLMLQKIAKQLNDPPYNYMIHTSPLKVTESQLPYTHWFLQIVPQLSGVGGFEIGTGCYINPVFPEDVAKVMREVSLT >fgenesh2_kg.6__1825__AT5G18230.1 pep chromosome:v.1.0:6:7559747:7569788:-1 gene:fgenesh2_kg.6__1825__AT5G18230.1 transcript:fgenesh2_kg.6__1825__AT5G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription regulator NOT2/NOT3/NOT5 family protein [Source:UniProtKB/TrEMBL;Acc:D7LXS9] MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNVNQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQSLVDARKLIEKEMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVSELESQIDSFEAELEGLSVKKGKTRPPRLTHLETSITRHKDHIIKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQDDFDEFSDVDELYSTLPLDEVEGLEDLVTAGPLVKGTPLSMKSSLAASASQVRSISLPTHHQSTSQEKTEDPSLPDGSAEMVPKTPPPKNGAGLHSAPSTPAGGRPSLNVPASNISNASVTLSTSIPTQTSIESMGSLSPVTAKEEDATTLPSRKPPSSVADTPLRGIGRVSIPNQPQPSQPPSPIPANGSRISATSAAEVAKRNIMGVESNVQPLTSPLSKMVLPPTAKGNDGTASDSNPGDVAASIGRAFSPSIVSGSQWRPGSPFQSQNETVRGRTEIAPDQREKFLQRLQQVQQGHGNLLGMPSLSGGNEKQFSSQQQNPLLQQSSAISPHGSLGIGVQAPGFNVMSSASLQQQSNAMSQQLGQQPSVADLDHVRNDDQPQQNLPDDSASITASKTIQNEDDSKVLFDTPSGMPSYMLDPVQVSSGPDFSPGQPIQPGQSSSSLGVIGRRSNSELGAIGDHSAVGPMHDQMHNLQMLEAAFYKRPQPSDSERPRPYSPRNPAITPQTFPQTQAPIINNPLLWERLGSDAYGTDTLFFAFYYQQNSYQQYLAAKELKKQSWRYHRKFNTWFQRHKEPKIATDEYEQGAYVYFDFQTPKDENQEGGWCQRIKNEFTFEYSYLEDELVV >fgenesh2_kg.6__182__AT5G02740.1 pep chromosome:v.1.0:6:730100:732107:1 gene:fgenesh2_kg.6__182__AT5G02740.1 transcript:fgenesh2_kg.6__182__AT5G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIRSAIRSSLCLRQLDPAISRSSLPFLQEWRKCLSTATEQPPPASPLPPPPGGSPGEERFYGKFSGFSKHALKTDVMNILEGCSVTSDDLKFNYPRGGNLTPAAVFVQFPSLSAYDKALRNIAKKGKLYRLERAARAQWDPIVPYEGKVVALHGLPVNAITEDIDRFLSGCLYYPGSIQFLTVQGLGTSKRVALVRFTSQTQAMNAYITKNRNFLLNQRITLQVLQ >fgenesh2_kg.6__1830__AT5G18240.4 pep chromosome:v.1.0:6:7570950:7573367:-1 gene:fgenesh2_kg.6__1830__AT5G18240.4 transcript:fgenesh2_kg.6__1830__AT5G18240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHSERHPFLRGNGTGDSGLILSTDAKPRLKWTPDLHERFVEAVNQLGGGDKATPKTIMKVMGIPGLTLYHLKSHLQKYRLSKNLNGQANSSLNKTSVMTMVEENPPEVDESHSESLSIGPQPTMNLPISDALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSILEKAQETLGRQNLGAAGIEATKAQLSELVSKVSAEYPDSSFLEPKELQNLRHQQMQTTYPPNSSLDSCLTSSEGNQKARKMLDNRLGLRTYIGDSTSEQKEIMEEPFFHRMELTWAEEESLRENNNRPYLSTMVNNTEPRISSSRRSPGRLSIGVGLHEHRGGSSNNSEYTEERFNENGEDCKLETHTRTALDLNTRDENYGTTRPKQFDLNGFSWN >fgenesh2_kg.6__1832__AT5G18250.1 pep chromosome:v.1.0:6:7576370:7577843:1 gene:fgenesh2_kg.6__1832__AT5G18250.1 transcript:fgenesh2_kg.6__1832__AT5G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSEHDIDIRCHHCAGPLTKNLETSKWTVAPFIRDSFSMIGSAVGGTASAFIGFNHAMPIVRKWIKGPMWLHFLVGAPPVIVLSSACAGLAGGTVPALAQLASSSYRAAVHSSQPPQAQKDNKMQKSTTSPL >fgenesh2_kg.6__1837__AT5G18300.1 pep chromosome:v.1.0:6:7604130:7604561:1 gene:fgenesh2_kg.6__1837__AT5G18300.1 transcript:fgenesh2_kg.6__1837__AT5G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVEEFYDEEEELVGFRFNPSEEELILDYLLPKLGFYQPKTIYLLEDKDEIYAKVPWRLKHTENGIFEPNEWFYFVKKTNRKVKGWKATGGLKDVLSKKNGKVIGKKRNRSFYVEGERSGWTMTEYSSIGNQNQLLCHLKGP >fgenesh2_kg.6__1838__AT5G18310.2 pep chromosome:v.1.0:6:7608778:7610250:1 gene:fgenesh2_kg.6__1838__AT5G18310.2 transcript:fgenesh2_kg.6__1838__AT5G18310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRYDNYKKKSGQIPRFGEWEEANEMPITQYFENPRQAGLIRHHYTTTSSASSTTTTSSSSSSSAEALKLASHRPRPRQTAGTKEKRGPQRRVRDVSAQSDKYYIDVNGVKQFKNDVAPTSKPPKPVDEDLYKIPPEFIHSSTRKRRPSFLACLVPCA >fgenesh2_kg.6__183__AT5G02750.1 pep chromosome:v.1.0:6:733464:734416:1 gene:fgenesh2_kg.6__183__AT5G02750.1 transcript:fgenesh2_kg.6__183__AT5G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M7V8] MEEGNITIIMASLSTLSPSHLTNLTHSILSISHHHRRRLATVLSSPTLFSLTLRHLLSLSLPDKTHLIANHLLSLLHPLLIHRKHHSSSTVTMKLRDLDAVVLLLFLCETHQLHPDVLEASADNWREILGNTYSNTMLTNNSGLWTCDAGILMPYIETLVRCKRFVDIMGGYNHLRRRDQKEGYEVPAARAAVVALRAVEVFNAAASNAGEVECVICKEEMSEGRDVCEMPCQHVFHWKCILPWLSKKNTCPFCRFQLPTDDVFSEIQRLWEILVKTSELHVA >fgenesh2_kg.6__1842__AT2G09990.1 pep chromosome:v.1.0:6:7631484:7632173:-1 gene:fgenesh2_kg.6__1842__AT2G09990.1 transcript:fgenesh2_kg.6__1842__AT2G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S16 [Source:UniProtKB/TrEMBL;Acc:D7LXU5] MATQAAAESVQCFGRKKTAVAVTHCKRGSGLIKLNGSPIELFQPEILRFKIFEPVLLLGKHRFAGVDMRIRVKGGGHTSQVYAIRQSIAKALVAFYQKYVDEQSKKEIKDVLVRYDRTLLVADPRRCEPKKFGGPGARARFQKSYR >fgenesh2_kg.6__1845__AT5G18390.1 pep chromosome:v.1.0:6:7632304:7633872:1 gene:fgenesh2_kg.6__1845__AT5G18390.1 transcript:fgenesh2_kg.6__1845__AT5G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LXU6] MFLLRRYTGGRLYSIFTVRTSIESSIRHFTSLEPLQSSDSTPTKGDYFAAINHVVNIVRREIHPERSLNSLRLPVTSEFVFRVLRATSRSANDSLRFFNWARSNPSYTPTSMEYEELAKSLASHKKYESMWKILKQMKDLSLDISGETLCFIIEQYGKNGHVDQAVELFNGVPKTLGCQQTVDVYNALLHALCDVKMFHGAYALIRRMIRKGLKPDKRTYAILVNGWCSAGKMKEAQEFLDEMSRKGFNPPARGRDLLIEGLLNAGYLESAKEIVDKMTKGGFVPDILTFNTLIEAISKSGEVEFCIEMYYTACKLGLCVDIDTYKTLIPAVSKIGKIDEAFRLLNNCVEDGHKPFPSLYAPIIKGMCRNGMFDDAFSFFSDMKVKAHPPNRPVYTMLITMCGRGGKFVDAANYLVEMTEMGLVPISRCFDMVTDGLKNSGKHDLAMRIEQLEVQLRGV >fgenesh2_kg.6__1848__AT5G18400.2 pep chromosome:v.1.0:6:7634148:7636320:-1 gene:fgenesh2_kg.6__1848__AT5G18400.2 transcript:fgenesh2_kg.6__1848__AT5G18400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anamorsin homolog [Source:UniProtKB/TrEMBL;Acc:D7LXU7] MDSMVNQKTVLAVTDDVVLPVSSVLTIMKELGKEVIERFDPLIITQASTINQFPLDASSVDAVLAISKTSDFPSDKICGEFSRILKPGGTVFVCKVLEGETGEMQQTIQRRVTLAGFLEPQCLDLKSIKLSTFSLSFGIKAKKPSWKIGSSFALKKPAKVLLKVNLDDDLDLIDEDSLLTEEDLKKPQLPVASGCETTKKACKNCVCGRAEIEEKAVKLGLTEDQIENPQSSCGSCGLGDAFRCGTCPYKGLPPFKLGEKVSLSQNFLEADI >fgenesh2_kg.6__1850__AT5G18410.2 pep chromosome:v.1.0:6:7639499:7648173:-1 gene:fgenesh2_kg.6__1850__AT5G18410.2 transcript:fgenesh2_kg.6__1850__AT5G18410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein pir [Source:UniProtKB/TrEMBL;Acc:D7LXU9] MAVPVEEAIAALSTFSLEDEQPEVQGPAVMVSAERAATDSPIEYSDVAAYRLSLSEDTKALNQLNTLIQEGKEMASILYTYRSCVKALPQLPESMKHSQADLYLETYQVLDLEMSRLREIQRWQSSASAKLAADMQRFSRPERRINGPTVTHLWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSAQWQDTDTMREELDDLQIFLSTRWAILLNLHVEMFRVNNVEDILQVLIVFIVESLELDFALLFPERYILLRVLPVLVVLATPSEKDTEALYKRVKLNRLINIFKNDPVIPAFPDLHLSPAAILKELSVYFQKFSSQTRLLTLPAPHELPPREALEYPLRFPLHYLIVNHIGALRAEHDDFTIRFASSMNQLLLLKSNDGAYTEWCREVKGNMYDMVVEGFQLLSRWTARIWEQCAWKFSRPCRDAGETPEASGSYSDYEKVVRFNYTAEERKALVELVGYIKSVGSMLQRCDTLVADALWETIHAEVQDFVQNTLATMLRTTFRKKKDLSRILSDMRTLSADWMANTRPEHEMPSSQHGNDESRGNFFYPRPVAPTAAQVHCLQFLIYEVVSGGNLRRPGGFFGNNGSEIPVNDLKQFETFFYKLSFFLHILDYSASIGILTDLGFLWFREFYLESSRVIQFPIECSLPWMLIDYILEAQNSGLLESVLLPFDIYNDSAQQALVVLRQRFLYDEIEAEVDHGFDIFVSRLSESIFTYYKSWSASELLDPSFLFALDNGEKFSIQPVRFTALFKMTKVKILGRTINLRSLIAQRMNKIFRENLEFLFDRFESQDLCAVVELEKLIDILKHSHELLSQDLSIDPFSLMLNEMQENISLVSFSSRLATQIWSEMQSDFLPNFILCNTTQRFVRSSKVPPTQKPSVPSAKPSFYCGTQDLNAAHQSFARLHSGFFGIPHLFSIVKLLGSRSLPWLIRALLDHISNKITTLEPMISGLQEALPKSIGLLSFDGGVTGCMKLIREQLNWGTKSELKSEVLRGIKEIGSVIYTMGLLDIVLREVDTKRFMQTAAWLGLIPGAEGQIVNAQDGESPLVNLLKSATSAVVSSPGCLNPAAFYTMSKQAEAADLLYKANMNGGSVLEYTLAFTSASLDKYCSKWSAPPKTGFIDITTSKDFYRIYGGLQIGYLEEITAPQSAQHEVLGDSIAWGGCTIIYLLGQQLHFELFDFSYQVLNVSEVETVSASHTHRNPQIHQGWEGLLEGMKKARRLNNHVFSMLKARCPLEDKTACAIKQSGAPLPRVRFENTVSAFETLPQKGTVA >fgenesh2_kg.6__1856__AT5G18440.2 pep chromosome:v.1.0:6:7663177:7665820:1 gene:fgenesh2_kg.6__1856__AT5G18440.2 transcript:fgenesh2_kg.6__1856__AT5G18440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LXV2] MRPPFNSFQPQARPYRPQHQQQQQVSLFLFYSNHHQQHNGYQNPMNSNQLGMMNPQMMSNPMMGHMNNPIPMPNMPIHPQFFNNMPQQQQLHQFAMPNHINQLLPNLLGNLQFAVANSNLMGHSLPNFFQPNLEPSAFTSRPQLNSFNSLPYPPVPNHHLRPPGFSEPRPQVGIDDRTNGSGSNGNDFRNKFTKHQNFKGPGQGFQRPQLHQADNGKRKSGFNKDHRGKGNYNKMKNGLDGSDADNIAKEKRRSYALMYTPKDVNQWREARRKNFPTRLNVEKKVKKNVSASILDEEAKMRRQQLREVLAKQAELGIEVADVPSHYLSNTDERVHGDNGANDGQKRKFQNNRHKQRRHGRKDKFDKTPRLDDKNSSQESPMTTKKPTLLEKLLSANIKRDKIHLLQVFRFMVMNSFLKEFPEQPLKLPLITVEETGDDLSDVDVDVDDDSCDDD >fgenesh2_kg.6__1859__AT5G18460.1 pep chromosome:v.1.0:6:7675451:7679637:1 gene:fgenesh2_kg.6__1859__AT5G18460.1 transcript:fgenesh2_kg.6__1859__AT5G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVLASKRSLLLFLLIVSLSLSQKVIHISAFNDSKPYRQVSSLRLARIQKHLNRINKSPVFTIQSPDGDVIDCVPKIKQPALDHPLLKHHKIQKAPKKMPKMKEKDGDVKEAENVLEGAWQMWHVNGTRCPKGTVPIRRNTMNDVLRAKSLFDFGKKRRSIDLDRQTEKPDALGTNGHEHAIAYTETSSEIYGAKATINVWDPKIEEVNEFSLSQIWILSGSFVGPDLNSIEAGWQVSPELYGDNRPRLFTYWTSDSYQATGCYNLLCSGFIQTNNKIAIGAAISPLSTFKGNQFDITILIWKDPKMGNWWMGLGDSTLVGYWPAELFTHLADHATTVEWGGEVVNTRASGRHTTTQMGSGHFPDEGFGKASYFRNLEVVDSDNSLVPVRDVKILAENTECYDIKSSHSNEWGTYFYYGGPGFNPRCN >fgenesh2_kg.6__185__AT5G02770.1 pep chromosome:v.1.0:6:742160:744487:-1 gene:fgenesh2_kg.6__185__AT5G02770.1 transcript:fgenesh2_kg.6__185__AT5G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGDKVTATVVNGGGLSTGENPKKIVDLNTTELDRTDDILHGEVKGFSDSGEKKDETDSNGIGSTAGVDSGDISPVDDIQKKIRRAERFGVSVKLTEEEKRNSRAERFGTVAAAVVNGSEGTKKAEELKRKARADRFGVPAATTSTDNTEEEAKKKARLARFGKETKVDSAEEDKRKARALRFSKGASADASSDLPGKQNIGKEAAVSGNAA >fgenesh2_kg.6__1860__AT5G18470.1 pep chromosome:v.1.0:6:7682506:7683959:1 gene:fgenesh2_kg.6__1860__AT5G18470.1 transcript:fgenesh2_kg.6__1860__AT5G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLVLFPFIFLLIPTFLSSVFAISPLKTDTLKPGQQLRDWEQLISAGGIFALGFFTPKESSTSELGSAGPRYLGIWPQRIPINPVWVGNPIESISDSSGALSIDTNGVLKITQENAFPILVNQRPARQLSLSGNVSATLLDSGNFVVREIRPGGVPGRVLWQSFDHPTNTLLPGMKIGFNLRTKKEVSVTSWISDQVPVPGAFRLGLDPSGANQLLVWRRGEIYWSSGILTNNGSSHLTLELSRHYIDYEFKFDSNKYMRYFSYSIKEANNSVLSSWFLDTLGQITVTNVLSSNKSSNWISESSEPCKTDLKNSSAICIKEKPTACRKGSEYFEPRRGYMMDNNNGYYPFYYDDSLSAGLSDCHGNCWRNCSCIAFQAFPDGCQYWEKGSKFVHYDSFNSNLITYVLDSVK >fgenesh2_kg.6__1861__AT5G18475.1 pep chromosome:v.1.0:6:7683916:7685623:-1 gene:fgenesh2_kg.6__1861__AT5G18475.1 transcript:fgenesh2_kg.6__1861__AT5G18475.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LXV6] MRFTTSIINEHRRFSSSSRSWVSPICFSEKKKKKLDPPPESSISTMETNPKTKFISHESTVSLMKRERDPQRALDIFNKASQQKGFNHNNATYSVLLDNLVRHKKFLAVDAILHQMKYETCRFQESLFLNLMRHFSRFDLHDKVMEMFNLIQVIARVKPSLNAISTCLNLLIDSGEVDLARKLLLYAKHNLALQPNTCIFNILVKHHCKNGDIDSAFRVVEEMKRSGISYPNSITYSTLMDCLFAQSRSKEAVELFEDMISKRGISPDPVIFNVMINGFCRSGEVERAKMILDFMKKNGCNPNVYNYSALMNGFCKEGKIQEAKQVFDEVKKTGLKLDTVGYTTLMNCLCRNGEIDEAMKLLGEMKASRCRADALTYNVILRGLSSEGRSEEALQMLDQWGCEGVHLNKGSYRIILNALCCNGELEKAVKFLSVMSKRGIWPHHATWNELVVRLCESGNTEIGVRVLIGFLGIGLIPAPKSWGAVVESICKERKLVHVFELLDSLAS >fgenesh2_kg.6__1862__AT5G18480.1 pep chromosome:v.1.0:6:7685888:7688614:-1 gene:fgenesh2_kg.6__1862__AT5G18480.1 transcript:fgenesh2_kg.6__1862__AT5G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LXV7] MVRLKTSLWVLLLALVSSIQLKGSFGSEPSKVAYVTLLYGDEFLLGVRVLGKSIRDTGSKKDMVALVSDGVSDYSKKLLKADGWKVEKISLLANPNQVHPTRFWGVYTKLKIFNMTDYKKVVYLDADTIVVKNIEDLFKCSKFCANLKHSERLNSGVMVVEPSEALFNDMMRKVKTLSSYTGGDQGFLNSYYPDFPNARVFDPSVTPEVLKTRPVPAMERLSTLYNADVGLYMLANKWMVDDSKLHVIHYTLGPLKPWDWWTAWLVKPVDAWQSIRVKLEETLPGTGGGKNQHDELVVKFLFLLPLCALLFCIYRSIQGREGSLCWSSFSNQIRYLYYQIRSNGTLGYSGISTMNPSYQPHSGSTQSKVPQHLGAVAVVVCFTALLLSLGISFAIVPRQIMPWTGLVLVYEWTFTIFSLLFGCFLLFVHLHGKKIAIQSESSSLDDSAKVHQRAGVSCDVTTLYYGLGMAFLAIAAVSLPYILGITALFTRLGLMVGVGIILAAFMTYASEHLAVRWFLKGLEDRRDTTRSNSLCFLC >fgenesh2_kg.6__1867__AT5G18520.1 pep chromosome:v.1.0:6:7709157:7710748:1 gene:fgenesh2_kg.6__1867__AT5G18520.1 transcript:fgenesh2_kg.6__1867__AT5G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMPLSVVFILLFLAVVVPPSMAEIKSLVISDDARPMILFEKFGFTHTGHVTVSISSVSVVSTSSDPNPEASRLGFFLLSEESLLQVLLEIQQNPRFCVLDSHYVTHLFTFRDLSPPPNSRFNQSYPVTSPNEYSLFFANCVPETKVSMAVRTEMYNKDPNGSKDYLPAGSTQLPTLYSFFFLCYVAFLGFWSYTCWTNKQTVHRIHLLMAGLLLIKSLNLICAAEDKHYVKITGTPHGWDILFYIFQFIRVVLLFTVIILIGTGWSFLKPFLQEKEKNVLIIVIPLQVLANIASIVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKAARNLSKLTLFRQFYIVVIGYLYFTRIVVFALKTIAAYKYQWVSFAAEEIVSLVFYVIMFHMFRPEEKNEYFAVDDDEEEAAALALRDEEFEL >fgenesh2_kg.6__1871__AT5G18540.1 pep chromosome:v.1.0:6:7717799:7720115:1 gene:fgenesh2_kg.6__1871__AT5G18540.1 transcript:fgenesh2_kg.6__1871__AT5G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LXW4] MGGGSIDDELTEDEKRALRGSKFAPLTSLPSSSRSKPPRLAHPGGPLKTNKAAALAKFLERKLQDPNGLASIDPALVELAVKNAKDTVISSGASSSGRRIQHVASFEDVEVSSDDDKIENTKLNKKKKKKNAKKKKDEKKKKNNKKQKQQITVDEDAKLKKPNKKL >fgenesh2_kg.6__1872__AT5G18550.1 pep chromosome:v.1.0:6:7724097:7727279:1 gene:fgenesh2_kg.6__1872__AT5G18550.1 transcript:fgenesh2_kg.6__1872__AT5G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYGGAGEDESRSDPSHEWSAPGTETGIEASMWRLGLRGAGGGGESFPERPDEPDCIYFLRTGVCGYGSRCRFNHPRNRAPVLGSLRTEAGEFPERMGQPVCQHFMRTGTCKFGASCKYHHPRQGGGGGDSVTPVSLNYMGFPLRPGEKECSYFMRTGQCKFGSTCRYHHPVPPGVQAASQQQQQLSAGPTMYPSLQSQSVPSSQQYGVVLARPQILPGSYVQSPYGYGQMVIPPGMVPYSGWNPYQASVSAIPSPGTQPSIGTSSVYGITPLSPSAPAYQSGPSSTGVTNKEQTFPQRPEQPECQYFMRTGDCKFGSSCRFHHPMEAASPEASTLSHIGLPLRPGAVPCTHFAQHGICKFGPACKFDHSLGSSSLSYSPSPSSLTDMPVAPYPLGSSSLGTLAPSSSSSSSDQRTELLSSSSIEPITTATSGSETVAAGVSSSSSMTSGVSHPEPAETNKGDSASIEAKTSS >fgenesh2_kg.6__1873__AT5G18560.1 pep chromosome:v.1.0:6:7728772:7730159:-1 gene:fgenesh2_kg.6__1873__AT5G18560.1 transcript:fgenesh2_kg.6__1873__AT5G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF086 [Source:UniProtKB/TrEMBL;Acc:D7LXW6] MGFALIHQNTSTNTTTTTTTGERRGRRSKQAEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAHEAALAYDRAALSMRGTQARTNFVYTPTDVHTILTNPNLHSLIVSPYNNNQSLLPNSSPQFVIDHHPHYQNYHQPQQPKHTLPQTVLPAASFKTPVRHQSADIQSFGNSPQNSSSNGSLSSSLDEENNFFFSLTSEEHNNNSGYLDCIVPNHCLKPPPDAATTQNLAGVSFTTPAASKASESYGGCSNSYFEDGEMMMNHHHEFGSCDLSAMITNYGAAAASMSMDDYAIMEPQDLSSPSLAAFGDVVADTTGFYSVF >fgenesh2_kg.6__1874__AT5G18570.1 pep chromosome:v.1.0:6:7737705:7740639:-1 gene:fgenesh2_kg.6__1874__AT5G18570.1 transcript:fgenesh2_kg.6__1874__AT5G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP1/OBG family protein [Source:UniProtKB/TrEMBL;Acc:D7LXW8] MASISINCFFTPQALARPSRTRKIFAKPDKVSGRTRNPRKTKLQREVELKSRGGDKLQPISDAGGEATTYTRLPPREDFSDVSLVSSSYLKVSEEVKLSESNVARVEEKIETLGENEEEEKEREVKSYDDDDIRGNYRRLDVFEGNSGSIDEDDEDWEEEVFEYGDDTDESEGSGLKDGEVLCFSGGEGEEEEDDEIGVKEKGVPAVMRCFDRAKIFVKAGDGGNGVVAFRREKFVPFGGPSGGDGGRGGNVYVEVDGSMNSLLPFRKSVHFRAGRGEHGRGKMQSGAKGDNVVVKVAPGTVVRQAREVGSEVEGEEGEEKEVLLELLHPGQRALLLPGGRGGRGNASFKSGMNKVPRIAENGEEGPEMWLDLELKLVADVGIVGAPNAGKSTLLSVISAAQPTIANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHRGFGLGHEFLRHTERCSALVHVVDGSAPQPELEFEAVRLELELFSPEIAEKPYVVAYNKMDLPDAYEKWPMFQETLRARGIEPFCMSAVQRDGTHEVISSVYELLKKYRAANAEPKALFDQANENLDHVAEKIDKERRAAINEFEIFRDSGTRAWHVVGAGLQRFVQMTNWRYMDSDKRFQHVLDACGVNKSLKNMGVKEGDTVIVGEMELIWHDSANGSSRPTDSNKTSTDSVRWPQWK >fgenesh2_kg.6__1877__AT5G18590.2 pep chromosome:v.1.0:6:7744230:7748680:-1 gene:fgenesh2_kg.6__1877__AT5G18590.2 transcript:fgenesh2_kg.6__1877__AT5G18590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LYE8] MFSFSKRRMRLGRVKKVQLSESVQGYKSPLRVTKLADNSSNEAAVAATSYSDEFDFQPSSGNSENWMVLSVGGAKPAPRFNHAAAAIGNKMIVVGGESGSGLLDDVQVLNFDSCTWSTASSKVYLSPSSLPLMIPAWKGHCLVSWGKKVLLVGGKTDPSSDRVSVWAFDTDSECWSLMDAKGDVPVSRNGHTVVRASSVLILFGGEDSKKRKLNDLHMFDLKSSTWLPLNCTGTRPCARSHHAATLFDDKILFVFGGSGKNKTLNDLYSLDFETMVWSRIKIRGFHPSPRAGSCGVLCGTKWYITGGGSRKKRHAETLVFDILKVEWSVANTSSQSSITSNKGFSLVLLQHKDKDFLVAFGGTKKDPSNQVAAFIVDKNKSESPTHPQTTSKKNPGRLLFGKRSSSSAVLTSDESVKASSQRLIDSVARQKLASAIEEHGGSGRRSLSEIAFGDHRNPSSGNVSLRKQFSTEEEYRAVIEPAKCSEEELSVPLPTADDNNGGGAKITGEKTLSMVSDREVLNLQKQCSETFPLENVDGTLIIQEMDNINFAGSASSSSVYQFHEAKMTALIRRNGILEGQLEAALAGREAAERNVSVALRSKQETDKKLSDAMRDVELLKEKLTGLELAQEEANSLSNMVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSLENRAATPRKPFHV >fgenesh2_kg.6__1878__AT5G18600.1 pep chromosome:v.1.0:6:7749928:7750531:-1 gene:fgenesh2_kg.6__1878__AT5G18600.1 transcript:fgenesh2_kg.6__1878__AT5G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7LYE9] MDMITKMVMERPVVIYSKSSCCMSHTIKTLLCDFGANPAVYELDELPRGRDIERALLRLGCSPAVPAVFIGGELVGGANEVMSLHLNGSLIPMLKRAGALWV >fgenesh2_kg.6__187__AT5G02780.1 pep chromosome:v.1.0:6:745944:747872:1 gene:fgenesh2_kg.6__187__AT5G02780.1 transcript:fgenesh2_kg.6__187__AT5G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPQKCFVEDRQVPLDATSDPPALFDGTTRLYISYTCPFAQRVWITRNLKGLQEEIKLVPIDLPNRPAWLKEKVNPANKVPALEHNGKIIGESLDLIKYVDINFDGPSLYPEDSAKREFGEDLLKYVDATFVKTVFGSFKGDPVKETASVFDHVENALKKFDDGPFFLGELSLVDIAYIPFIERFQIFLDEVFKYEIIIGRPNLAAWIENMNKMVAYTQTKTDSDYIVNYFKKFM >fgenesh2_kg.6__1880__AT5G18620.2 pep chromosome:v.1.0:6:7763453:7769753:-1 gene:fgenesh2_kg.6__1880__AT5G18620.2 transcript:fgenesh2_kg.6__1880__AT5G18620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin-remodeling complex ATPase [Source:UniProtKB/TrEMBL;Acc:D7LYF1] MARASKREVSSDEAYSSEEEEQVNDQANVEEDDEELEAVARSAGSDEEDVAPDEAPVSDDEVVPVEDDAEEDEEDEEKAEISKREKARLKEMQKMKKQKIQQILDSQNASIDADMNNKGKGRLKYLLQQTELFAHFAKSDPAPSQKKGKGRGRHSSKLTEEEEDEECLKEEEGGTAGSGGTRLLTQPACIQGKLRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLAYLHEYRGINGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPEERRHIREELLVAGKFDICVTSFEMAIKEKTSLRRFSWRYIIIDEAHRIKNENSLLSKTMRLFSTNYRLLITGTPLQNNLHELWALLNFLLPEVFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNGGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLVTNAGKMVLLDKLLPKLKDRDSRVLIFSQMTRLLDILEDYLMYRGYQYCRIDGNTGGDERDASIEAYNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTENAIEAKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRYGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIQFKMDDSADFYDFDDDNKDESKVDFKKIVSENWNDPPKRERKRNYSETEYFKQTLRQGAPAKPKEPRIPRMPQLHDFQFFNIQRLTELYKKEVRFLMQAHQKTQMKDTIDVDEPEEISGRKGAIAGRGKRNHLTLLWGFSTWSRRDFNAFIRACEKYGRNDIKSIASEMEGKTEEEVERYAQVFQERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYRNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLIEKENQEYDERERQARKEKKLAKNATPSKQPSGRQANESPSSLLKKRKQLSMDDYVSSGKRRK >fgenesh2_kg.6__1884__AT5G18630.1 pep chromosome:v.1.0:6:7770234:7773273:1 gene:fgenesh2_kg.6__1884__AT5G18630.1 transcript:fgenesh2_kg.6__1884__AT5G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7LYF2] MGQKRWFLLLAIFACLLSFSCGRGVLKLKSDDDRPVYNHTLAITLVEYASAVYESDLTKLFTWTCERCNGFTKDFEVIEIIFDVEHCLQAYVGVAEDLNAIIIAFRGTQEHSIQNWVSDLFWKQLDLNYPDMPDAMVHHGFYSAYHNTTVRPAVLDAIKRAKQVYGANINIIVTGHSMGGAMASFCGLDLVVNEGEENVQVMTFGQPRVGNAAFASYYSLLVPNTFRITHDRDMVPHLPPYYYHFPQKTYHHFPTEVWVKEFSFSNFVLFGLEKVCDNTGEDPTCSRSVRGTSISDHLRYFGVELMCESWRQCSIVMNQEVERYSRKDSKGNIFLSRTVPSKDAIENRNL >fgenesh2_kg.6__1887__AT5G18660.1 pep chromosome:v.1.0:6:7784206:7785619:-1 gene:fgenesh2_kg.6__1887__AT5G18660.1 transcript:fgenesh2_kg.6__1887__AT5G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pale-green and chlorophyll B reduced 2 [Source:UniProtKB/TrEMBL;Acc:D7LYF5] MSLCSSFNVFASYSPKPKTIFKDSKFISQFQVKSSPLASTFKTHESSETLKFKRARLKPISSLDSGISEIATSSSFRNKSPKDINVLVVGSTGYIGRFVVKEMIKRGFNVIAVAREKSGIRGKNDKEETLKQLQGANVCFSDVTELDVLEKSIENLGFGIDVVVSCLASRNGGIKDSWKIDYEATKNSLVAGKKFGAKHFVLLSAICVQKPLLEFQRAKLKFEAELMDLAEEQDSSFTYSIVRPTAFFKSLGGQVEIVKDGKPYVMFGDGKLCACKPISEQDLAAFIADCVLEENKINQVLPIGGPGKALTPLEQGEILFRILGREPKFLKVPIEIMDFVIGVLDSIAKIFPSVGEAAEFGKIGRYYAAESMLILDPETGEYSEEKTPSYGKDTLEDFFAKVIREGMAGQELGEQFF >fgenesh2_kg.6__1891__AT5G18700.1 pep chromosome:v.1.0:6:7800218:7805926:-1 gene:fgenesh2_kg.6__1891__AT5G18700.1 transcript:fgenesh2_kg.6__1891__AT5G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3013 [Source:UniProtKB/TrEMBL;Acc:D7LYG0] MNQYHIYEAIGHGKCSTVYKGRKKKTIEYFACKSVDKSRKSKVLQEVRILHSLNHPNVLKFYAWYETSAHMWLVLEYCVGGDLRTLLQQDCKLPEESIYGFAFDLVIALQYLHSKGIIYCDLKPSNILLDENGHIKLCDFGLSRKLDDISKSPSTGKRGTPYYMAPELYEDGGIHSFASDLWALGCVLYECYTGRPPFVAREFTQLVKSIHSDPTPPLPGNASRSFVNLIESLLIKDPAQRIQWADLCGHAFWKSKINLVQLPTQPAFDNMIGIYTKPCLSERNGDRPNKTPPKYREKDPKGGSKQNENSVQGSKGHETPIKGTPGGSKAQTKLPSRATEEKHGGRPGANRQMNILRLSRIAKANLQKENEKENYRRPLPNSNENCAEVKIENTDMELDFDENNDDEGPDESEGTENTSCAQEERVVSQNESHRRQGVLSSNVPDENSSANETPISGEARDCHEEQSEPMDVSAAPPSASPQLKTHRGREISGVAVNHDSSKTPTSLTDVLWHIADLSVRPVMPSRKSDKEAVPSLSFETPQPSDFGKMGKQELEPLNTRIITVLSGSSSGLSEKQNLIRYLETLSSNADAANILTNGPIMLVLVKVLRLSKTPAFRVQIASLIGLLIRHSTSIEDDLANSGILDSLTNGLRDKHEKVRRFSMAALGELLFYISTQNEHKDFKPPESPSKETRSASGWQVSNALISLVSSVLRKGEDDLTQLYALRTIENICSQGAYWATRFSSQDLISNLCYIYRATGKQESMRQTAGSCLVRLARFNPPCIQTVVEKLSLKEIASSFVKGSAREQQVCLNLLNMAMIGSHTFTSFGRHLVTLTEEKNLFPSLLSIIEQGTEVLRGKALLFVALLCKNSRRWLTNFFCNARFLPVVDRLAKEKDSYVQQCLEAFVNVIASIIPGLLDTITNDIQQLMTGRRHGPVSPLNSRAPLKTNAHLFPVVLHLLGSSSFKNKMVTPQVVRQLANLTKLVEASFQGRDDFRITLLQVLECIAGDAPLVTQNGEIIIREILPSLAAIYNGNKDGDARFLCLKIWFDSMTILLTECTEIEQQTSEDLKSISNSHFLPLYPALIQDEDPIPAYAQKLLVMLVEFDYIKISNLLHHNTVSQCFEFLLGDLSSANVNNVKLCLALASAPEMETKLLSQLKVVRRIGNLLEFVNAKDMEDFLEPTLSLCRAFLLRSLGNKKGLSSNYTKEPTLLSETSFTFEVDPQECIRDIADFGSNIGLFLHFAGLDDDTSIAVADIASECVVLLLKAASREATTGFLTNLPKITPILDSWRRRKSTELHLLVLKRVLHCLGYACKQYLSQAMILSISGHDVSKINTIVSEMKNSDVTGLNSVATLVAMELQRLPR >fgenesh2_kg.6__1893__AT5G18710.1 pep chromosome:v.1.0:6:7806768:7808594:1 gene:fgenesh2_kg.6__1893__AT5G18710.1 transcript:fgenesh2_kg.6__1893__AT5G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LYG1] EIILEESLKAEAVMERTNPDGAQELPSSGLEQAPLHHNQKSGEKRKREGLVRKECETGNSSESEQVIIVGDTKAIEDLCFDGGEQSQRSVYSKQQAFDVQSNRNGEGSLGKEEVGEEPERAKQVLAFNDFDKLRKVSNFAVGQTWALYDTVDGMPRLYAQIRTVSASGFDVSVTWLEPDPYDEEPIQKYEKDLPVSVGRFKIGKDETIKDHRRFSHEVHCNEVTSAGKFSLYPRKGETWAIIKGRYKIKYSEINWLADANSPNKYQYAFVEIVSENAGPREHLSTTGKEAEGVPRGAYELDPAALPANIKEIDVPLHLLAEPKVSNSENNMHSQCVHFASKGRTFQTGQVWSFCSGEDYLPRYYGKIQKITFVQAFEQDPVVKLHVGRLKATPTKGVIQWIDKRMPIGCGNFRATKALEIFTDLDVFSRQISPDSSGDGNNYSIMPKTGDIWAIYRNWSNDIDVVDLQSQTYDIVEVLDDKQDYKVLLLAPDGGFKLADRAGFGSVYLAATEHWIDGADVRFTIPKAELLRFSHQVPTSKVREEIHGALQEVYEPNIEALPVNLIL >fgenesh2_kg.6__1894__AT5G18740.1 pep chromosome:v.1.0:6:7831230:7833315:1 gene:fgenesh2_kg.6__1894__AT5G18740.1 transcript:fgenesh2_kg.6__1894__AT5G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTVNELLRRKKAVLNHQIRSDLVVSNHQEKAQAFSLLQQKDVPTKSPGTNFSGKEYSFGLSNCTVKVGEKRQWNECDDICNTENRSKSEDAVVNLSKDMIVHRKRRVFSDNGDAAEEFGSGKQLTEVDCSRNPMSNAINTNRKMDRKQDAQVGAAVGISGNLEVDQNSGLCDSGSGGAVPQNIFGCAGLKFNDFDKLREEVNFEVGQTWAVYDTVDGMPRLYAQIRKVSAPCFELRITYLEPDPNGEKELQWFEEDLPVSVGMFRLGENKSTQDRSIFSHVIHCNEQSNTLCFSVTCRFIKTCHFSVSPRKGETWALFKNWDIKWSSEPDSHRKYEYEFVEILSDYSDEGGAYVAYLHKAKGFASVFFRMGTGYEGIFRILPQSLYRFSHRVPSFKLTEIEGKGMPKDAYELDKAALPETIEEIIVPSNSETRGSGYDDLPLYYGRIQKITFTHAFKQDPVIKLHIGRLKATRSPKDVVDWEDGQMPVGCGTFYSRKIVPQTSLDGIEYTILPKIVEILDDTLDYKVQLLEYESVHDDDDDDDDGTGNRLFRACTEYTYNEDEGSEPIFTIPKSERIRFSNKVPASRVTKEMLGELKEFLSVDYRATPINVIHC >fgenesh2_kg.6__1895__AT5G18748.1 pep chromosome:v.1.0:6:7835796:7835996:-1 gene:fgenesh2_kg.6__1895__AT5G18748.1 transcript:fgenesh2_kg.6__1895__AT5G18748.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LYH0] MAQRERERRKLTGVERKREREEKEEIGHRQSSRRGLTASLTGICLRLRLWGNTSRFIWFSGFTVIF >fgenesh2_kg.6__1898__AT5G18770.1 pep chromosome:v.1.0:6:7843908:7845993:1 gene:fgenesh2_kg.6__1898__AT5G18770.1 transcript:fgenesh2_kg.6__1898__AT5G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LYH3] MVNLIRKFAKSVGRPGIQIWRGEDMISSLPDHLLYRILGFLTTEEAVWTSVLSSRWRNLWKWVPTLELDTSDFPFPCDKTCAAFIDKFLNFQSDSYLREFKLRIDHRSDVSLYEPCLGVVIKKPNIRHFQVESDLLEHWNTNEIPLTLSACEALVCLKLHLVRLNDFESLSLPCLKIMYLEDVFFPSDAAVEMLISCSPVLEDLKISLNRYDDVVVLRVYSQSLKSFTLKRKDPVYAINGAHTVLVDTPRLEYMSLMDYQFKSFKILSMSDSVKVDLDVNFELMRDNLSERNIIYNLLNNFSGVRDVTISWKTLKFIYSLQDMNLLPKFHDLTRLRATMFSNASLEVLPIVLESCPKLKHFTLVMELVNDYPVAVITRLSNVLSHCLVSSLETVEMESPITEKATELKLARYFLENSATLKKLVLRLNHESTGEKHEPGVLKQLIESPRRSSLCQFEVLPVPPNPEPWWIYVKPQRFL >fgenesh2_kg.6__1899__AT5G18780.1 pep chromosome:v.1.0:6:7847798:7849308:1 gene:fgenesh2_kg.6__1899__AT5G18780.1 transcript:fgenesh2_kg.6__1899__AT5G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LYH4] MQGGRFGTSGEDRLSILPEPLLCHILSFLRTKDSVRTSVLSSRWRDLWLWVPRLDLDKSDFSDDNPSASFVDKFLNFRGESYLRGFKLNTDHDVYDTSTLEACLMGLVKCKIQHFEIENSFGFCILMMPLILSMCHTLVTLKLSFVILSKFESLSLPCLEIMHFEKVIFPGDKAAEALISCSPVLRDLKISQSRDDAVEVLSVCSASLKSFTLKLSDRDYVDNGGFTVVIDTPRLEYLNLKDYQCKGFKMVSMSEDVKVDVDVVFEVIGGTVLSKRNIICDFLSCVSNVRYMTISRRSLEFIYRHLELKPRFKFHDLARLRATMFSNSSPEMLPVILETCPNLKHLTLELVHDSLVTEGTSGLLTAPPRCLISSLESVDIESPITDKATELKLVSYFLENSTTLKKLVLRLNQSCRDKHEPGLLKQLLESPRCSSLCQLVII >fgenesh2_kg.6__18__AT5G01840.1 pep chromosome:v.1.0:6:70759:71550:-1 gene:fgenesh2_kg.6__18__AT5G01840.1 transcript:fgenesh2_kg.6__18__AT5G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M6E8] GNSYRFKLSELLPNAWFYKLKDMAKSKKKKLQSQPNSTSSSKKKRHAVPTPTSTTARSPRPPRRSSHSSKPPPSHTPRKSSGNRLRHRATVDSKSSTTSGDTTATETGSFSPEFRSDQVLLPDESLTGSWHSPCSSKLYKPAAFTPPPELDLRPIITKPVATGRKTAVSSPAGVRLRMRSPRISVSSSARRSGSSARRSRAVVKASVDPKRDFKESMEEMIAENKIRATKDLEELLACYLCLNSDEYHAIIINVFKQIWLDLNL >fgenesh2_kg.6__1900__AT5G18800.1 pep chromosome:v.1.0:6:7850243:7851876:1 gene:fgenesh2_kg.6__1900__AT5G18800.1 transcript:fgenesh2_kg.6__1900__AT5G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase 19 kDa subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7LYH6] MSSAVDATGNPIPTSAVLTASAKHIGMRCMPENVAFLKCKKNDPNPEKCLDKGRDVTRCVLGLLKDLHQKCQKEMDDYVGCMYYYTNEFDLCRKEQEAFEKVCPLK >fgenesh2_kg.6__1902__AT5G18820.1 pep chromosome:v.1.0:6:7857425:7860197:1 gene:fgenesh2_kg.6__1902__AT5G18820.1 transcript:fgenesh2_kg.6__1902__AT5G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3007 [Source:UniProtKB/TrEMBL;Acc:D7LYH9] MFAVSPSSFSPTIISPRRSGQMNKPRKFSVVRAGAKRILYGKYSREALQAGIDKLADAVSITLGPRGRNVVLAEKDTIKVINDGVTIAKSIELPDTIENAGATLIQEVAIKMNDSAGDGTTTAIILAREMIKAGSLAIAFGANAVAVKNGMHKTVKELVRVLQMKSIPVKGKNDVKAVASISAGNDEFVGNLIAETVEKIGPDGVISIESSSTSETSVIVEEGMKFDKGYMSPHFITNQEKSTVEFNKAKILVTDQKITSAKELVPLLEKTSQLSVPLLIIAEDISAEVLEILVVNKKQGLINVAVVKCPGMLDGKKALLQDIALMTGADYLSGDLGMTLMGATSDQLGVSRRVLITANSTTIVADASTKPEIQARIAQMKKDLAETDNSYLSKKIAERIAKLTGGVAVIKVGGHTETELEDRKLRIEDAKNATFAAMREGIVPGGGATYIHLLDEIPRIKKNLMEDSYEQIGADIVAMALTAPTMAIATNAGVDGSVVVQKTRELEWRSGYNAMSGKYEDLLNAGIADPCRVSRFALQNAVSVAGIVLTTQAVLVEKIKQPKPAVPQVPGIPTS >fgenesh2_kg.6__1905__AT5G18840.1 pep chromosome:v.1.0:6:7869677:7873078:1 gene:fgenesh2_kg.6__1905__AT5G18840.1 transcript:fgenesh2_kg.6__1905__AT5G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIREIKDEERGEIVHKVEEGLGKPFLTHQDDEKESENNESYLMVLFSTFVAVCGSFEFGSCVGYSAPTQSSIRQDLNLSLAEFSMFGSILTIGAMLGAVMSGKISDFSGRKGAMRTSACFCITGWLAVFFSKGALLLDVGRFFTGFGIGVFSYVVPVYIAEISPKNLRGGLTTLNQLMIVIGSSVSFLIGSLISWKALALTGLAPCIVLFFGLCFIPESPRWLAKAGREKEFRLALQKLRGKDADITNEAEGIQVSIQALEILPQARIQDLVSKKYARSVIIGVSLMVFQQFVGINGIGFYASETFVKAGFSSGKLGTIAIACIQVPITVLGTILIDKSGRRPLIMISAGGIFLGCILTGTSFLLKGQSLLLEWVPTLAVGGVLIYVAAFSIGMGPVPWVIMSEIFPINIKGIAGSLVVLVNWSGAWAISYTFNFLMSWSSPGTFYIYSAFAAATIIFVAKMVPETKGKTLEEIQACIRRET >fgenesh2_kg.6__1906__AT5G18850.1 pep chromosome:v.1.0:6:7873286:7873914:1 gene:fgenesh2_kg.6__1906__AT5G18850.1 transcript:fgenesh2_kg.6__1906__AT5G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSPKTRIWFRTVILISLMVVLFYVGRPLYWKISATIHDIRHNKQSVREGISQIVHEAQRSVGWYHDESDSGFREGHHKKSGVAVSRRLLFVGDQ >fgenesh2_kg.6__1907__AT5G18870.1 pep chromosome:v.1.0:6:7891285:7899328:1 gene:fgenesh2_kg.6__1907__AT5G18870.1 transcript:fgenesh2_kg.6__1907__AT5G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LYI4] PQRFVLVVTIIGLLGHDLTCVLSSPHRILLDTDVDTDDFIALLYLLKLNKTEFDLVGITLSANAWTNAGHGVNHIYDILYMMGRDDIPVGIGGEGGILDDGTILPDVGGYLPIIEQGMTTAGGCRYRQSIPKGLDSNYGFRKHFLPLGNRRYTPLEQPTAQKVIFDKVSQGPISIFVIGSHTNLALFMMSTPYLKHNIQHIYVMGGSVRCPNPTGFCGNLFTDFTSNPYAEFNIFTDPFAAYQVFRLLWFL >fgenesh2_kg.6__1908__AT5G18900.1 pep chromosome:v.1.0:6:7902052:7904203:-1 gene:fgenesh2_kg.6__1908__AT5G18900.1 transcript:fgenesh2_kg.6__1908__AT5G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7LYI6] MAHRGLLLLFFAIFSVLLQSSTSLISSSSVFINPSKVKQVSSKPRAFVYEGFLTELECDHMVSLAKASLKRSAVADNDSGESKFSEVRTSSGTFIPKGKDPIVSGIEDKISTWTFLPKENGEDIQVLRYEHGQKYDAHFDYFHDKVNIVRGGHRIATVLMYLSNVTKGGETVFPDAEVPSCRVLSENKEDLSDCAKRGIAVKPRKGDALLFFNLHPDAIPDPLSLHGGCPVIEGEKWSATKWIHVDSFDKIVTPSGNCTNMHESCERWAVLGECTKNPEYMVGTTELPGYCRHSCKAC >fgenesh2_kg.6__190__AT5G02800.1 pep chromosome:v.1.0:6:752337:754628:-1 gene:fgenesh2_kg.6__190__AT5G02800.1 transcript:fgenesh2_kg.6__190__AT5G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M7W3] MGWIPCSGKSSGRTKTRRNGDQKLNRKSSDCSVSTSEKSKAKSSLSLSKSKGSDHIVAQTFTFSELATATRNFRKECLIGEGGFGRVYKGYLASTGQTAAIKQLDHNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDISPGKQPLDWNTRMKIAAGAAKGLEYLHDKTMPPVIYRDLKCSNILLDDDYYPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDSSRSTGEQNLVAWARPLFKDRRKFSQMADPMLQGQYPPRGLYQALAVAAMCVQEQPNLRPLIADVVTALSYLASQKFDPLAQPVQGSLFAPGTPPRSKRV >fgenesh2_kg.6__1910__AT5G18930.1 pep chromosome:v.1.0:6:7909953:7911505:-1 gene:fgenesh2_kg.6__1910__AT5G18930.1 transcript:fgenesh2_kg.6__1910__AT5G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme [Source:UniProtKB/TrEMBL;Acc:D7LYI9] MAVSGFEGFEKRLELRFFDDDKPITNNPMGLRLIDFESLDQVLNEVQCTVVSAVANRSFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSIRPLIHLARNLGLTLRACRYSRGSFIFPKAQPFPYTSFKDEVIVVEESLPKSLCYRKASVMTPSNNPSRAWHVFTASADVESDESVVVVEVCMTELDRVNARSFFKRKGDEKNNSDSAGKEMTRLSGIDNINANAFICDFAFDPCGYSMNGVDGDRYSTIHVTPEDGFSYASFECGLSLYDDGHEDISEVLSRAIDVFRPSDVSIATTYGGEDYNHEVTKRVERLLAKKLGLKCRSRIMDEFPGSGTVVYQSFTPRRK >fgenesh2_kg.6__1915__AT5G18970.1 pep chromosome:v.1.0:6:7931948:7933396:-1 gene:fgenesh2_kg.6__1915__AT5G18970.1 transcript:fgenesh2_kg.6__1915__AT5G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSKSAAFMLLMLNLGLYFVVTVIASWAVNHGIERTHESASTLSLPAKIFPIYFPVGNMATGFFVIFTLIAGVVGMATSLTGILNVLQWDSPNLHSAAASSLISWSLTLLAMGLACKEINIGWTEANLRTLEVLTIIVSATQLVCTGAIHAGVGETVAAGERPHLGRV >fgenesh2_kg.6__1916__AT5G18980.1 pep chromosome:v.1.0:6:7933741:7937045:-1 gene:fgenesh2_kg.6__1916__AT5G18980.1 transcript:fgenesh2_kg.6__1916__AT5G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LYJ3] MDSRKFEEDEDRSIRLQVSELQKLEEARGSNDTVFDLRSSIEKVDSGETADAASVSSATAFRRKSTVPAPEKKLTLFALQLAILEKTATGIGTLGFIWATVVLLGGFAITLDGSDFWFITIILLIEGARIFSRSHELEWQHQATWTVAGVGISSFRALRSSSASLLKNLKRISASIFKPRSREATARDCVVPETTLETWKNSDVPLLPYARWFFISSTVSRLLYWLQLLSATACVALSSYKLVRHNYGDVHKGDTDKRNRQSALNIFYSLAFAEALLFLVEKVYWEWQVSVCNLLENVTRECEFGVTGLVSIKRFFYDSYSKCVNGSIFDGLKMDIVSFGMELLNSNSSDEQLIGVRILRQFAVTERYSEDTLEKIGINFPVIERLVEMLNWKDLQEEEIRRSAAEILSKLAGKKQNSLRVAGISGAMESISSLLENTRSSGEAPDEIGEKKVFHDHNLHYDFWRFNNLGLLILKKLAKDHDNCGKLGNTRGLLPKIIDFTHADENLLRDENADIARSCVLTLKRSLQLVKMLASTTGNTGKCLRREISEIVFTVSNVRDVLRHGARYPKLQKLGIGILTNLALEAEARERIGGTGGVLKELFNIFFKRETHGDEGNEGCVRIAAGEAIAMLVLESKSNCLHVLRLGVIGRLVEALEVPPIRVNAARVLRNLCLYSGDECFHDLKFVKAAAPTVLKSITSEDNKLQEVMVGLAAQVFRFMSSKESSYVFLDSGIKRRELANSLVSILKKNDKPAIKVPRIRRFVIELAIWMMEDDLEDNVALFREMGLEKELEKVLETTAELENFDVFSGTVGLSRHSRTVHSLAELALEILRG >fgenesh2_kg.6__1918__AT5G19000.1 pep chromosome:v.1.0:6:7945815:7948238:1 gene:fgenesh2_kg.6__1918__AT5G19000.1 transcript:fgenesh2_kg.6__1918__AT5G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB-POZ and math domain 1 [Source:UniProtKB/TrEMBL;Acc:D7LYJ5] MGTTRVCSEVSSGSSKSLSQSLTVSTSTTETVNGFHEFKICGYSLAKGVGVGKYVASDTFMVGGYSWAIYFYPDGKSPEDNSSYVSLFIALASEGADVRALFELTLVDQSGNGKHKVHSHFGRALESGPYTLKYRGSMWGYKRFFRRSSLESSDYLKENSLLVRCRVGVVKSVTEGPRYYNIPVPVSNLGQQLGNLLESGKGCDVIFQLDGETFNAHKLVLATRSPVFKAQLFGPLGDRNTKCITIEDMEAPIFKVLLHFIYWDELPDMQELMGTDSTLASTLVAQHLLAAADRYALERLKAICESKLCEGVAVNTVATTLALAEQHHCFQLKAVCLKFVALPENLKDVMQTDGFDYLKESCPSLLTELLEYVARLSEHSVIASGHRKEIFADGCDASGRRVKPRLH >fgenesh2_kg.6__1919__AT5G19010.1 pep chromosome:v.1.0:6:7948377:7951670:-1 gene:fgenesh2_kg.6__1919__AT5G19010.1 transcript:fgenesh2_kg.6__1919__AT5G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:D7LYJ6] MQPDQRKKSSVEVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDMLGTPSAEAIGRVRNEKARRYLSSMRKKKPIPFSHKFPHADPLALRLLEKMLSFEPKDRPTAEEALADVYFMGLAKVEREPSAQPVTKLEFEFERRRITKEDVRELIYRESLEYHPKMLKEYLDGSEPTNFMYPSAVEHFKKQFAYLEEHYKNGTSHNPPERQQHASLPRACVLYSDNNHPVAQQSSAEVTDGLSKCSIRDERPRGADRNAQMPMSRIPINAPQTIQGAAVARPGKVVGSVLRYNCGAATGVEALEQQQRRMVRNPAAASQYPKRTHPCKSNRGDEDCATAAEGPSRLKPNTQYIPQKVAAAQDTAMSRWY >fgenesh2_kg.6__1920__AT5G19020.1 pep chromosome:v.1.0:6:7955305:7959625:-1 gene:fgenesh2_kg.6__1920__AT5G19020.1 transcript:fgenesh2_kg.6__1920__AT5G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LYJ7] MLHLFFVCSSAASTTTAAKEDSTSMPPFSRSTANQSSSSSLHLCKHSPSATLDLLILILVLFSGTFLLSSYFSYLIHSLSLLSSHFPSITISISSLLPPLIFFFSSDHNTEDEDHHPSGIIPPPASFFFAFAVFFAASIAFLDLCCGSRSRKCRNRKCKGMKKAMEFDLQLQTEECVKSGSVKEIDRLPWKGGSESNPDYECLRAELRKMAPVNGRAVLIFRSKCGCPIAKLEGWGPKRGRRHKNWNLVTSEFVGSVNPVPATFLLPLISLRPARYFSFPSLTHFDFSGESSNIERALVSALGSCASSNDVTCGRQIHGRVLKSGHDSNGFICNSVLNMYAKCRLLVDAESVFRAHAKLDSASFNIMVDGYARSRRLGDALKLFDVMPERSCVSYTTLIKGYAQNNQWSEAMELFREMRNLGIMLNEVTLATVISACSHLGGIWDCKMLHSLAIKLNLDDRVFASTNLLLMYCICSCLKDARKLFDEMPERNLVTWNVMLNGYSKAGLIEQAKELFDQITEKDIVSWGTMIDGCLRKNQLDEALVYYTEMLRRGMKPSEVMMVDLLSASARSVGSSKGLQLHGTIVKMGFDCYDFLQATIIHFYAVSNNLKLALQQFEVSVKDHIASRNALIAGFVKNGMVEQAREVFDQTRDKDIFSWNAMMSGYAQSLSPQLALHLFREMISSSQVKPDAITMVSVFSAISSLGSLEEGKRAHEYLNRSSIPPNDNLIAAIINMYAKCGSIETALNIFHQTKNIFSSTISPWNAIICGSATHGHAKLALDLYSDLQSLPIKPNSITFVGVLSACCHAGLVELGKTYFESMKSDHGIEPDIKHYGCMVDLLGKSGKLEEAKEMIKNMPVKADVMIWGMLLSASRIHGNVEIAELAAAELAAIDPSHGGCKVMLSNVYADAGRWEDVALVREVMRTRDVEWSRAFSGVV >fgenesh2_kg.6__1922__AT5G19050.1 pep chromosome:v.1.0:6:7993936:7996635:1 gene:fgenesh2_kg.6__1922__AT5G19050.1 transcript:fgenesh2_kg.6__1922__AT5G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLPSSSAAAAAAAASTSGSSSSPAAASSSSTTTSWFSGIVRGRGDKSGTAKLSKSSSMAGGGSGSGDYGGPIKGKNQFRGVLFKYGPKSIQVAFKTGEYKQQVIFIGGLTDGLLATDYLEPLAIALDKEKWSLVQLLMSSSYSGFGTSSLKQDAQEIDQLINYLINKENSEGVVLLGHSTGCQDIVYYMGTNAACSRAVRAAILQAPVSDREYKATLPETPAMIDLAANMIKEGREEELMPREADPCAPISAYRYHSLCAYMGDDDMFSSDLSDDQLKTRLGHMAHTPCQVIFSMGDEYVPDYVDKKALVNRLSKAMGGAEKVEIEHGNHSLSNRVHEAVQAIIGFVKREGPSGWDDPWS >fgenesh2_kg.6__1923__AT5G19060.1 pep chromosome:v.1.0:6:7997519:7999444:1 gene:fgenesh2_kg.6__1923__AT5G19060.1 transcript:fgenesh2_kg.6__1923__AT5G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKVVIPSAVSPLHQWRLSALTSLVFFLMVVVWSIDGCTIKSFIESWRFNAYSIRLTSHGDLTSLDLNPTRVKFSWISVEQEQNFTANVLKNWLAPGGEKCREGKTVEIFVPGIDGKDLVELAAGEIHEFRFQSLDDSGKRVCIGGDYFETDLSGENWKSRPPVKDLGNGTYSLSLQIHPDFAGDYNLTVVLLFRHFQGLKFSPARFAFNKKLRNFKLRFIKNPDVVLPELRRCDRSDFDRDVWSGRWIRLGKNDECEISNDGRYRCLPDGFRCRKPWCDGALSELESNGWVYSSHCSFKLFSCESAWNCLKNKWIFFWGDSNHVDSIRNLLNFVLGHPEIGAVPRRFDLKFSNPKNSSETVRITSIFNGHWNETQNYQGLDSLKDDGFRELLKSYFAEETGVPDVMIVNSGLHDGVHWSNLRAFTKGAETAARFWRNVFDSVKARGLQPPEVVFRNTIATGGYARMLAFNPSKMEVYNGVFLEKMNGLGLVSSVIDNFDMTYPWHFDNRCNDGVHYGRAPAKMRWRDGEIGHQYFVDLMLVHVLLNAVCVG >fgenesh2_kg.6__1924__AT5G19070.1 pep chromosome:v.1.0:6:7999870:8002384:1 gene:fgenesh2_kg.6__1924__AT5G19070.1 transcript:fgenesh2_kg.6__1924__AT5G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTWGSALRISVLLILVAAIVLACYFLPVEKLLKDFLLWVEQDLGPWGPFALAVAYIPLTVLAVPASVLTIGGGYLFGLPIGFVADSVGATLGSGAAFLLGRTIGKPFVVAKLKDYPQFQSVALAIEKSGFKICLLLRLAPLLPFSMLNYLLSVTPIRLGPYLLSSWLGMMPITLALVYVGTTLKDLSDVTHKWSEFSLGRWAFLISSLVISVILMVCVTKVAKDALRKALAEHGGDMNEAVAALPELTVTDDASTDLNEPLLIKIDAQQPQDQVNHSH >fgenesh2_kg.6__1927__AT5G19090.2 pep chromosome:v.1.0:6:8017208:8019744:1 gene:fgenesh2_kg.6__1927__AT5G19090.2 transcript:fgenesh2_kg.6__1927__AT5G19090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEFMKIQTCVLKVNIHCDGCKQKVKKILQKIEGVFTTKIDAEHGQVTVSGNVDPSVLIRKLWKLGNHTEIWESSKGGNNNNQNQPTWPIKRGGGGGGGGGGGGPPKMVLPQLTPQQMQQLNPQQLQQLQQLQQMKGFQDLKLPPQLKGGPGPGPGSVPMNKNPQMPNNPNQKAVKFNVPDDDDDEDDFSDEFDDEFDDDDDEFDDDLEDDEFDDHPPLPNKMKPMMGGGGGGNMMMPNAQQMLNAHKNGGGGGGGGGGGGPPGMSMPMGGPMGSLPQMGGGPGPMSNNMQAVQGLPAMGPGGGGGGPSAGAPPGYFQGQVPGNGGGGQDSIPGNPNLQQQQQQQQQQQQYLAAVMNQQRAMGTERFQPMMYARPPPAVNYMPPQPHQQYPYPYPYPYPHQYPPHNGDQYSDYFNDENTSSCNIM >fgenesh2_kg.6__1928__AT5G19100.1 pep chromosome:v.1.0:6:8038379:8039549:-1 gene:fgenesh2_kg.6__1928__AT5G19100.1 transcript:fgenesh2_kg.6__1928__AT5G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVIFLLFSLVFLYLANTSHSLAKFQSFLHPIYKDKSTNIYSIPLSIGSTTSSEEFVLDLNGAAPLLQNCATAAKSTTYHPIKCGSTRCNYANPNFPCPNNVITKKRTVCRSSDNARLFRDTVPLLYTFNGVYTMDSEKSSSLTLTCSDGAPTLKQRTVGLANTHFFLKRWLFVCLPPKGQRLILVTFGSIFASTPLIASDKSGEYLIDVKSIQIGGKTVPILHGTTKISTLAPYTVLQTSIYKALLTAFAGSAKIAKAPAVKPFGACFRSNGGRGVPVIDLLVRGGAKWRIYGSNSLVKVNKNVVCLGFVDGGVNPKNPIVIGGLQMEDNLVEFDLKASKFSFSSSLLLHNTSCSVARLSPF >fgenesh2_kg.6__1929__AT5G19120.1 pep chromosome:v.1.0:6:8052379:8053772:1 gene:fgenesh2_kg.6__1929__AT5G19120.1 transcript:fgenesh2_kg.6__1929__AT5G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pepsin A [Source:UniProtKB/TrEMBL;Acc:D7LYL5] MASCLNLFFLSFLSALSISKSQISDSLNGVVFSVVKDLPTGQYIAQIHLGDSPEPVKLVVDLAGSIPWFDCSSRHVSSSRNLISGSSSGCLKAKVGNDRVSSSSRGDHQNADCELLVRNGAVGITARGELFSDVMSFGSPGTVDLLFACTPPWLLRGLASGAQGVMGLARAQISLPSQLAAETNERRRLTVFLSPLNGVVSTSSVEEVFGVAVSRSLVYTPLLTDSSGNYVINVKSIRVNGKKLSVEGPLAVELSTVVPYTMLESSIYAVFAEAYAKAASEATSVAPVAPFGLCFTSDVDFPAVDLALQSEMVRWRIQGKNLMVDVGGGVRCLGIVDGGSSRVNPIVMGGLQLEGLILDFDLGNSMMGFGQRTRSSL >fgenesh2_kg.6__192__AT5G02820.1 pep chromosome:v.1.0:6:760807:762664:1 gene:fgenesh2_kg.6__192__AT5G02820.1 transcript:fgenesh2_kg.6__192__AT5G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 6 subunit A [Source:UniProtKB/TrEMBL;Acc:D7M7W5] MADKKKRKRSKDDEAEELPFKSILESDDVITELLKSYISSSIKAAAGAGGASSSSSKPLTLADLSLSSSCREVADLSLSSVQTEIETVIVQIARSILAGDGFSFSVPSRAASNQLYVPELDRIVLKDKSTLRPFASVSSVRKTTITTRILALIHQLCLRNIHVTKRDLFYTDVKLFQDQTQSDAVLDDVSCMLGCTRSSLNVIAAEKGVVVGRLIFSDNGDMIDCTKMGMGGKAIPPNIDRVGDMQSDAMFILLVEKDAAYMRLAEDRFYNRFPCIIVTAKGQPDVATRLFLRKMKMELKLPVLALVDSDPYGLKILSVYGCGSKNMSYDSANLTTPDIKWLGIRPSDLDKYKIPEQCRLPMTEQDIKTGKDMLEEDFVKKNPGWVEELNLMVKTKQKAEIQALSSFGFQYLSEVYLPLKLQQQDWL >fgenesh2_kg.6__1931__AT5G19130.1 pep chromosome:v.1.0:6:8053800:8056991:-1 gene:fgenesh2_kg.6__1931__AT5G19130.1 transcript:fgenesh2_kg.6__1931__AT5G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKRDNEEEVKTDDGSPKIKPRPIVRLGIFLIAHSPIFSVVFSAAGVLALLLLPLLAKNTYISENALMPGSARSMLSNQDVSDGSKLVKDIKNFRLNHEGQGVEVQKLIGKYMSEMGAEVSYQKFHPEGNQFHPLHFFSGPDSYTLLENVSCASYGVNVAGIIRAPRGDGKESIVLVTPYDFINGGDYESLSLGIVSSLFSLLSRVTWLSKDIIWLVADSRYGDYRPVAAWLTEYHSPSFKVSDLLKCDELNTADTFRRAGTMAAALVLKVDGRSERFEDTLSIYAEASNGQMPNLDLINVVNYLAVHRQGFYVKLEKVVSLLSSSWLKIFGEIFEAVGKLAHTLNPDWNFGIPAADYLEGSATLASSLYSQALGIPTGPHGAFRDYQVDAITLKVSPRFPPHSKTRQHDFFLRGARLLEGTIRSVNNLLEKFHQSFFLYLLTSPSKFISVGVYMIAFALLVAPLPMVAASLYIDGCKALTNSTHNPAENFKSWKWLDAAKQVFALHLLGFIVTLLPYFICQLPGQHSPRNRSIMWGTTSSSLLLITFVTIPGCSPFSSRLHRNNWAVLKSVTIAAAFIGLCLMSIINFATAEIGALLLVPTCLMAQPIKPALRSRSIKSLLGALCSLVLVTIGFPVMFFAISKGLLGEGIVGLSLGGEFWTWLESLWAWKSATYLYIGMVHLPCWLLCLCILFHPS >fgenesh2_kg.6__1933__AT5G19140.1 pep chromosome:v.1.0:6:8061399:8063874:1 gene:fgenesh2_kg.6__1933__AT5G19140.1 transcript:fgenesh2_kg.6__1933__AT5G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIFSGAIVSPPEELVAAGSRTPSPKTTGATLVKRFVEKNPSAVSVQVGDYVQLAYSHHNESPLRPRSFGAKDEIFCLFQGSLDNLGSLKQQYGLAKNANEVLLVIEAYKTLRDRAPYPANHVVAHLSGDFAFVVFDKSTSTLFVASDQVGKVPLYWGITADGYVAFADDVELLKGACGKSLASFPQGCYYSTALGGLRSFENPKNKITAVPANEEEIWGATFKVEGATVLAD >fgenesh2_kg.6__1934__AT5G19151.1 pep chromosome:v.1.0:6:8066534:8067670:1 gene:fgenesh2_kg.6__1934__AT5G19151.1 transcript:fgenesh2_kg.6__1934__AT5G19151.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LYL9] MKRTVMDNAIRSSVVVLGSLAFGYLSLELGYKPFLEKAEQYERSLQSSQQHQQQDEEQEARWDNSNVEGWEEKR >fgenesh2_kg.6__1935__AT5G23420.1 pep chromosome:v.1.0:6:8084650:8085410:-1 gene:fgenesh2_kg.6__1935__AT5G23420.1 transcript:fgenesh2_kg.6__1935__AT5G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSTTSNAPKQRKRVEAETSSNNTSTTLRRAKDGSAFALCEGCNKSVAVALISMHNCSLDAKIRAQVVETQAEAKKKPAEKKKATADGPKPKRLKKTNDEKKSSSTSNKPKRPLTAFFIFMNDFRKTFKTEHNGSFAKDAAKIGGEKWMSLTEDEKKVYLDKAAELKAEYNKRSNLMMLMMLEEKEADDADEAEEKEVEKTNDDSKEAEGKEEEEEEILDDY >fgenesh2_kg.6__1937__AT5G19190.1 pep chromosome:v.1.0:6:8104955:8105687:1 gene:fgenesh2_kg.6__1937__AT5G19190.1 transcript:fgenesh2_kg.6__1937__AT5G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELELDDDVFFADISKQISLLIMDEDEHLNPVSLSSSSSSLSFQGLFRGGYQTAPYMYQQEQSKGTGVFIPKSSQPRRRPHHHQKQGRYSSFSAKQQHSLHQNRQEYHQQNHENSRSTVTTHNNNNKSNMNTNVHASIPGRTYRDASSIYT >fgenesh2_kg.6__1940__AT5G19210.2 pep chromosome:v.1.0:6:8108950:8111362:1 gene:fgenesh2_kg.6__1940__AT5G19210.2 transcript:fgenesh2_kg.6__1940__AT5G19210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLLNVPHLAFFPKISPFNPPLFSTLKPSFFHSTSTSRALKTSSNSRIINLQAVAETSSEIESNSATDTAALTLRKICQGFVPEHILHRMEEIGFISPTDIQREALPTLFTGRDCILHAQTGSGKTLTYLLLIFSLINPQRSSVQAVIVVPTRELGMQVTKVARMLAAKSEIDVKGCTVMALLDGGTLRRHKSWLKAEPPAILVATVASLCHMLEKHIFRLDSVKVLVVDEVDFLFYSSKQVGSVRKLLTSFSSCDKRQTVFASASIPQHKHFVHDCIQQKWTKRDVVHVHVSAIMPMPLCLLHRFVMCEKTNKHQVLRALLESDAPESAIIFVGEQSEKSKKAGNDPSTTLLMEFLKTSYKGSLEILLLEGDMNFNSRAASLTEIRQGGGFLLVSTDIAARGIDLPETTHIFNFDLPQTVTDYLHRAGRAGRKPFSDRKCIVANLITAEERFVLQRYENELMFSCEELML >fgenesh2_kg.6__1941__AT5G19220.1 pep chromosome:v.1.0:6:8111340:8114277:-1 gene:fgenesh2_kg.6__1941__AT5G19220.1 transcript:fgenesh2_kg.6__1941__AT5G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LYN0] MGVSADCRISFSAPSCIRSSSTGLTRHIKLGSFCNGELMGKKLNSAQLPNIRLRSSSNFSLKRISMSLNSVAGESKVHELETEKRDSRTVASIILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQYNSASLNRHLARAYNSNGVGFGDGYVEVLAATQTPGESGKRWFQGTADAVRQFHWLFEDARSKDIEDVLILSGDHLYRMDYMDFVQDHRQSGADISISCIPIDDRRASDFGLMKIDDKGRVISFSEKPRGDELKAMAVDTTILGLSKEEAEKKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEIIPFSAKEFYVNAYLFNDYWEDIGTIRSFFEANLALTEHPGAFSFYDAAKPIYTSRRNLPPSKIDNSKLVDSIISHGSFLTNCLIEHSIVGIRSRVGSNVQLKDTVMLGADYYETEAEVASLLAEGKVPIGIGENTKIKECIIDKNARVGKNVIIANSEGIQEADRSSDGFYIRSGITVILKNSIIKDGVVI >fgenesh2_kg.6__1942__AT5G19250.1 pep chromosome:v.1.0:6:8114901:8119322:1 gene:fgenesh2_kg.6__1942__AT5G19250.1 transcript:fgenesh2_kg.6__1942__AT5G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKLHLLFLLSVFLSLHRPVLSNTGNDEEDVLRTGINNYRAGLNLTTLIHNENAECLADEIADQFKNQPCTNTTGSFSVPGTQPGFPNLPKLLSKCRLNPTVTRDGAILPACVPNLDPSLVLTNFTQSQYSKDLNDSKFTGIGIGSDDNWIVVVLTTSTPEGSYSPASNSGAFSFGVNGLVSSSLMMMFLLFCFFMF >fgenesh2_kg.6__1944__AT5G19260.1 pep chromosome:v.1.0:6:8134292:8135292:-1 gene:fgenesh2_kg.6__1944__AT5G19260.1 transcript:fgenesh2_kg.6__1944__AT5G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVYQQGFQSQLNEPRALRLRLSSPNPHFSQPFGLALKSHLLDSSNAEDTRIRNDDKSAASSGPDLSGWSFLQSLSSGSSSSSTKTTSSEKEKTYVQRPSSCRALSDQSLALCTENLGSESGSDVTDIDDLFSLDVQTKNLGETTTETRTVKSRKRNLSPSDLPPPLTTMRGFQCIQMRPHREDGRLVMTATKAPPRNGCFQADRSNGRLRLSILKDSDEFVENEEETIEPEEPEEYEEEEEEEEEEDDDEVMGIENVQMSRRCVQGDRENRGLLNWESFCVATS >fgenesh2_kg.6__1945__AT5G19280.1 pep chromosome:v.1.0:6:8145450:8150432:1 gene:fgenesh2_kg.6__1945__AT5G19280.1 transcript:fgenesh2_kg.6__1945__AT5G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kapp [Source:UniProtKB/TrEMBL;Acc:D7LYN5] MAMVEMMNIIGLFMVLMLLLISLIILFACKPWRYFSRFRSSRFSSSFKVGDLQRPLISDDGNLTQGQTSEVTREYDLEGACYQNDGLLHSSLTEGRFYKQRLPSSSPHFTQGESFVLEVISEPSDNALVGQTLKLPAEKGSLTEVQTYDWQNNRNENLQYDLEKDRLTNLSPGLVEDQRSWLSLEVIAGPAIGLQHVVNSTSSSKLPVKLGRVSPSDLALKDSEVSGKHAQITWNSTKLKWELVDMGSLNGTLVNSQSVSHPDLGSRKWGNPVELASDDIITLGTTTKVYVRISSQNEFQIPFKIGVASDPMAVRRGGKKLPMEDICHYKWPLPGANKFGLFCVCDGHGGSGAAESAIKIIPEVLGNILSDSLRKEKVLSKRDASDVLRDMFAKTEARLDEHQYEGCTATVLLVWKDNEENFFAQCANLGDSACVIHLDGRNIQMTEDHRVVSLSERKRFQEAGVPLRDGETRIFGINLARMLGDKFPKQQDSRFSAEPYISEPLRIDQSSKDVFAVLASDGLWDVVSPKKAVQLVLQMREKERGTENIAEKIANGLLNEARAMRTKDNTSIVYLGFDTSL >fgenesh2_kg.6__1948__AT5G19310.1 pep chromosome:v.1.0:6:8155504:8160171:1 gene:fgenesh2_kg.6__1948__AT5G19310.1 transcript:fgenesh2_kg.6__1948__AT5G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQLQQQEDDPIEKTKSLISALNYVSRDLLLPSHLYASVSSIYHGSVSDLSPPPPLRENCFTPNRGDLMSEFEDALLKQRLNCQSGSRLAQFKETRYKSRFQNRLSELEGLPSNRGEDLQEKCLLELYGLKLKELQCKVRAEVSAEYWLRLNCAHPESQLYDWGMMRLPRRMYGVGDPFHMEADDQFRNKRDAERLLRLEEEEKNLIETTQRKFFAEILNAVREFQLQIQATHRRCKQRNDGVQAWHGKQRQRATRAEKLRIMALKSDDQEEYMKLAKESKNEKLTLFLEETNKIFVSLGAAVQRQKDAKLSENIKLLKGSESDLSDVDAPTDVLPDQDIEIIDSDNNDDSNDLLEGERQYNLAIHSIQEKVTKQPSLLQGGELRSYQLEGLQWMVSLYNNDYNGILADEMGLGKTIQTIALIAYLLESKNVHGPHLIVAPKAVLPNWENEFATWAPSISAFLYDGSKEKRTEIRARIAGGKFSVLITHYDLIMRDKAFLKKIDWNYMIVDEGHRLKNHECALAKTLGTGYRIKRRLLLTGTPIQNSLQELWSLLNFLLPHIFNSIQNFEEWFNTPFAERGSASLTDEEELLIINRLHHVIRPFLLRRKKSEVEKFLPGKTQVILKCDMSAWQKLYYKQVTDVGRVGLHSGNGKSKSLQNLTMQLRKCCNHPYLFVGGDYNMWKKPEIVRASGKFELLDRLLPKLKKAGHRILLFSQMTRLIDLLEIYLTLNDYMYLRLDGTTKTDQRGVLLKQFNEPESPYFMFLLSTRAGGLGLNLQTADTIIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSIGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRREMLEEIMSKGTSSLGEDVPSEREINRLAARTEDEFWMFEQMDEERRKKENYKTRLMEEKEVPEWAYTSETQEDKNDSKNHFGSLTGKRKRKEAVYSNSLSDLQWMKAMESEDEDASKVSKKRKRRDTKTPMSNGSKAEAEAEAESSGSEEERKEESGKESEEENEKKPLNSWKTHKKKRSRYSLMTSSPNSRGKSSSNGSKRN >fgenesh2_kg.6__1951__AT5G19330.1 pep chromosome:v.1.0:6:8164067:8168948:-1 gene:fgenesh2_kg.6__1951__AT5G19330.1 transcript:fgenesh2_kg.6__1951__AT5G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LZ69] MEQQPERREGRSFPERKGQKRKLEEGAAAVEDRQISAVTTDGGQALLTEVAAQVSVLNSAFSWQESDRAAAKRATQVLAELAKNEDLVNVIVDGGAVPALMTHLQAPPYNDGDLAEKPYEHEVEKGSAFALGLLAIKPEYQKLIVDKGALPHLVNLLKRNKDGSSSRAVNSVIRRAADAITNLAHENSSIKTRVRVEGGIPPLVELLEFSDSKVQRAAAGALRTLAFKNDDNKNQIVECNALPTLILMLGSEDAAIHYEAVGVIGNLVHSSPHIKKEVLAAGALQPVIGLLSSCCPESQREAALLLGQFASTDSDCKVHIVQRGAVRPLIEMLQSPDVQLKEMSAFALGRLAQDTHNQAGIAHSGGLGPLLKLLDSRNGSLQHNAAFALYGLADNEDNVSDFIRVGGIQKLQDGEFIVQATKDCVSKTLKRLEEKIHGRVLRHLLYLMRISEKSIQRRVALALAHLCSPEDQRTIFIDDNGLELLLGLLGSLNTKQQLDGAAALYKLANKSMALSPVDSAPPSPTQRVYLGEQYVNNATLSDVTFLVEGRTFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIKWEVFELMMRFIYTGSVDITNEISKDLLRAADQYLLEGLKRLCEYTIAQDITLESIGDMYELSEAFHAMSLRQACILFILEHFDKLSSMPWQNELVQRTIPEIREYFCRALTKSTTNLQSLRL >fgenesh2_kg.6__1953__AT5G19340.1 pep chromosome:v.1.0:6:8175245:8176340:-1 gene:fgenesh2_kg.6__1953__AT5G19340.1 transcript:fgenesh2_kg.6__1953__AT5G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LZ70] MAEAEQSLTGPRISFSADLSSSDSDGDFICINPAMNLIVGKEEKDKTSVKAGDFEFLSENATMLSADELFSEGKLLPFWQVKHSEKLKNVTLKTKVEVEEEEEDQKVVKEEGIVHNNKEQENNNNNNRGSWFLDDDPSPRPPKCTVLWKELLRLKKQRTTTTTVSSTRVSSLSPSSSSSSTSSSSSSIGDAVKKEEREKEGKRGKKGLERTRSVTMRIRPMIHVPVCTPSKSSARLPPLFPIRLQKNRV >fgenesh2_kg.6__1954__AT5G19350.2 pep chromosome:v.1.0:6:8180837:8183412:1 gene:fgenesh2_kg.6__1954__AT5G19350.2 transcript:fgenesh2_kg.6__1954__AT5G19350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMQPPQPQGSYHHPQTLEEVRTLWIGDLQYWVDENYLTSCFSQTGELVSVKVIRNKITGQPEGYGFIEFISHAAAERTLQTYNGTQMPGTELTFRLNWASFGSGQKVDAGPDHSIFVGDLAPDVTDYLLQETFRVHYSSVRGAKVVTDPSTGRSKGYGFVKFAEESERNRAMAEMNGLYCSTRPMRISAATPKKNVGVQQQYVTKGPVPSAVAAPVQAYIAQPGQGLPPESDVTCTTISIANLDPNVTEEELKKAFSQLGEIIYVKIPATKGYGYVQFKTRPSAEEAVQKMQGQVIGQQAVRISWSKNPGQDGWVAQADPNQWNGYYGYGQGYDAYGYGTTQDPSLYAYGGYGYPQYPQQGEGTQDISNSAAGGIAGAEQELYDPLATPDVDKLNAAYLSVHASVILGRQMWQRTSALTSQFGK >fgenesh2_kg.6__1957__AT5G19370.1 pep chromosome:v.1.0:6:8186149:8188578:-1 gene:fgenesh2_kg.6__1957__AT5G19370.1 transcript:fgenesh2_kg.6__1957__AT5G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVTGTLSAASSPAVAAVSISAALRLSITPTLAIVSPPHLRWFSTFSRQFLGGGISSLRPRIPSPCPIRLSGFSALKMRASFSSGSSASREILVQHLLVKDDDIELFAELQKRILEGEEMSDLAAEYSICPSKKDGGILGWVKLGQMVPEFEEAAFKAEPNQVVRCRTQFGLHLLQVLSEREPVKDIQVEELHSKMQDPVFMDEAQLIDVREPDEIAIASLPGFQVFPLRQFGTWAPDITSKLNPEKDTFVLCKAGGRSMQVANWLQSQGFKSVYNIAGGIQAYSLKVDPSIPTY >fgenesh2_kg.6__1959__AT5G19390.1 pep chromosome:v.1.0:6:8193409:8200873:1 gene:fgenesh2_kg.6__1959__AT5G19390.1 transcript:fgenesh2_kg.6__1959__AT5G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLTALERPRGAASNTVFKSGPLFISSKGLGWTSWKKRWFILTRTSLVFFKNDPGTLPQKGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETFEDLYEWKTALEQALAQAPNAALIMGQNGIFRAETNEAIEGRDKRPLKSLVVGRPILLALEDIDGSPSFLEKALQFIEKYGTKIEGILRQSADVEEVERRVQEYEQGKTEFTFDEDPHVVGDCIKHVLRELPSSPVSASCCTALLEAYRIESKEARISSLRSAIAETFPEPNRRLLQRILKMMHTISSHSHENRMNPNAVAACMAPLLLRPLLAGECDLEDDFDSGEDNSAQLLAAANAANNAQAIITVLLEDYGSIFDEENIQRCSISTESHIGNSGPDDSSDDDNNVKNEYHNADNEVEPVTDDDNERALSGKMSESSGCTGSDLYEYKGFVADDSDIESPRDINGPRCNSNIRTDHLMRNPFVNSTDQQAGEQIGDDPTKYGENSCLAHVSESYQSSGTVLNVSTHGNTLAAPGLESPSAKSVNKGTPSSVHAKRATFWGRGSARKISTDGSFDSSGEDELAIQRLETTKNELRQRIAKEARGNAILQASLERRKQALHERRLSLEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSHGVDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQTHFGSFSDARDTHQYLQSHNPQKRFLQQDFDSTLAYVNHERKQRHEENLLGVEWRNSKGAGSFGVGNSRQPSRKQIPESTNITDSKISEESGKVSVDKFSAIDSPSVPSTSRALDITEYPRLNHPSAAASAALVELTTRLDFFKERRSQLMEQLQNLDLNYGGSSSQDFIHRPSSPPWN >fgenesh2_kg.6__1963__AT5G19400.1 pep chromosome:v.1.0:6:8202583:8207686:1 gene:fgenesh2_kg.6__1963__AT5G19400.1 transcript:fgenesh2_kg.6__1963__AT5G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTTACSPRERAKSILDKTFALENKRWKAAQTRNPPDLNLWQQIRENYEAIILEDHTFSEQHNIELTLWQLHYKRIEDFRSHINASKGPSKPDVIANHKLQFRTFLSEATGFYHDMILKIRSKYGLPLGYFSEDQETQHLADKDGKKLPEVKLALVSCYRCLIYLGDLARYKGLCGEGDSKNREYAAASSYYLQAASLLPAYGNPHHQLAIVASYLGDEFTTTYRYFRSLAVEIPFPTARDNLIVAFEKNRQSYAQLFVASKDSSRRPTGKGRGKGEDPSSKDANLIAGPVKDKVTISNEMLKAFCTRFLRLNGILFTRTSLETFFDVLASTSSSLREVISSGSVDELSLGIDTSDSALFIVRLVTILIFSVHNSKKETEGQSYAEIVQRVEPARNSLTASFELLGHVIEKCVQLGDPSSSYFLPGVLVFVEWLACCPDVALGSDPDDRQTAVRNSFWNQCVTLFNQILSLGPMFIDDVEDETCFSNMSLYDERETENRLALWEDYELRGFLPLLPAQTILDFSRKHSFGTEGPKEKKARIKRILAAGKALTSVIKVDQNHVYFDSKKKKFLVGIKPSDDLLDSHSSPPKACNTLQDNQVMMNHNSPVMQLGQQIYMGEEDDDDEVIVFKPLVTEKKKEASDQIYVPSGGFRKPDQVATMGDFKALSGSDAAFHENQLLQARGNASIQVPASVGSNLLGLLQPSTQAQAMHMQQVQTQVQVPGSVGSNLLGLLQPSTQSKAMHMQQVQTQAVNPQPAQSLAAARLQPMQSQVAQLQPLPSRVVHFQQTQAQLSHVSAAQTQSASFVGGSKWSPEEAASLASSLSGFAQMGNGHLMRNEMQGNHGVSYYPAHPLPVHQSYNVNGMGGMPYSQSRTSEAVLTPKIDTVLSAGVVADGLGVQSSLAKKNPISRAIRHLGPPPGFNSVPSKLQKEPAPGSDFSGNNNLPVDDYSWLDGYQAQSSRGAGPNSSLNYAASGKPEHMGGTSNVLNGVANFPFPGKQVPTSQVQADFPYFQNPQKDNFVDKNHQSAQLPEQYQGHSTWSSRHFV >fgenesh2_kg.6__1964__AT5G19410.1 pep chromosome:v.1.0:6:8208009:8209854:-1 gene:fgenesh2_kg.6__1964__AT5G19410.1 transcript:fgenesh2_kg.6__1964__AT5G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LZ77] MATSRREEDSIILFSSSNSPDDFSSASSSFSSSPLPSPNRYSLTVTNLSYTIHHTPILKSVSLAAESSKILAVVGPSGTGKSTLLKIISGSVNHKALDPSSGILINNRRITDYNQLRRLCGFVPQDDDLLPLLTVKETLMYSAKFSLRDSTAKEREDRVESLLSDLGLVLVQDSFVGEGDDEDRGVSGGERKRVSIAVEMIRDPPILLLDEPTSGLDSRNSLQVVELLATMAKSKQRTVLFSIHQPSYRILDYISDYLILSRGSVIYLGSLEHLEDSIAKLGFQIPEQLNPIEFAMEIVESLRTFKPDSVAVVESSSMWPENNENDGIITKKEEFRVLDVTEISYLCSRFCKIIYRTKQLFLARTMQAVVAGLGLGSVYTRLKRDEEGVAERLGLFAFSLSFLLSSTVEALPIYLRERRVLMKESSRGSYRISSYMIANTIAFVPFLFVVSLLFSIPVYWIVGLNPSIQAFSFFVLCVWLIILMASSLVLFLSAVSPDFISGNSLICTVLGAFFLFSGYFIPKEKIPKPWMFMYYVSLYRYPLESMVVNEYWSMREECFSSGNMGCLMTGEDVLKERGLDKDTRWINVVIMLAFFVFYRILCWGILLRKASKSTH >fgenesh2_kg.6__1965__AT5G19420.1 pep chromosome:v.1.0:6:8210563:8216123:-1 gene:fgenesh2_kg.6__1965__AT5G19420.1 transcript:fgenesh2_kg.6__1965__AT5G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDLTSRAGPVTRDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYDERSLDVICKDKDEAEVWFSGLKALISRCHQRKWRTESRSDGTPSEANSPRTYTRRSSPLHSPFSSNESFQKEGSNHLRLHSPYESPPKNGIDKAFSDMSLYAVPPKGFFPPDSATMSVHSLSSGGLDTLHGHMKGMGMDAFRVSLSSAISSSSHGSGHDDGDTLGDVFMWGEGIGEGVLGGGNYRVGSSLEIKMDSLLPKALESTIVLDVQYIACGGQHAVLVTKQGESFSWGEESEGRLGHGVDSNVQHPKLIDALSTTNIELVACGEYHSCAVTLSGDLYTWGKGDFGILGHGNEVSHWVPKRVNFLMEGIHVSSIACGPYHTAVVTSAGQLFTFGDGTFGVLGHGDRKSVFIPREVDSLKGLRTVRAACGVWHTAAVVEVMVGSSSSSNCSSGKLFTWGDGDKSRLGHGDKEPKLVPTCVAALVEPNFCQVACGHSLTVALTTSGHVYTMGSPVYGQLGNPHADGKVPTRVEGKLHKSFVEEIACGAYHVAVLTSRTEVYTWGKGSNGRLGHGDADDRNSPTLVESLKDKQVKSIACGSNFTAAVCLHKWASGMDQSMCSGCRQPFNFKRKRHNCYNCGLVFCHSCTNKKSLKACMAPNPNKPYRVCDRCFNKLKKAMETDPSSHSSLSRRESVNQGSDAIDRDEKLDTRSDGQLARFSLLEPMRQVDSRSKKNKKYEFNSSRVSPIPSGGSHRGSLNITKSFNPTFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLSGLTTQKIVVDDTKRTNDNLSQEVVMLRSQVENLTRKAQLQEVELERTTEQLKEALAIASEESARCKAAKEVIKSLTAQLKDMAERLPVGSARTIKSPSLNSFGSSPDYVAPSSNTLNCPNSRETDSESPMTVPMFSNGTSTPVFDSASYRQQANHAADAINRISTRSKESEPRNENEWVEQDEPGVYITLTALAGGARDLKRVRFSRKRFSEKQAEEWWAENRGRVYEQYNVRIVVDKSSVGVGSEDLGH >fgenesh2_kg.6__1966__AT5G19430.1 pep chromosome:v.1.0:6:8217047:8218908:1 gene:fgenesh2_kg.6__1966__AT5G19430.1 transcript:fgenesh2_kg.6__1966__AT5G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LZ79] MSSVTEIVSEQQVIDGIQDMSLQNQDKKKIQEEIHEPSFGNHGGCCAICLSEIPLQETAMVKGCEHTYCVTCILRWASCKESPTCPQCKHPFDFLSVHRALDGSIEDFLFEESVCLLLRASWFVPLDVVELASYSYGYHDDFDIPCDYEDEDDDLDEFYLHGSSLRIGNRRWGGNGFVRSGRQEARPVQRYSGSGSSSSSSSGSSSSEPKDKQVKMTNTTGRRAKRAMKREAANKAAEVTAAAKHEAHLVRLGRK >fgenesh2_kg.6__1967__AT5G19440.1 pep chromosome:v.1.0:6:8219429:8221301:1 gene:fgenesh2_kg.6__1967__AT5G19440.1 transcript:fgenesh2_kg.6__1967__AT5G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGEGKVVCVTGASGYIASWLVKFLLSRGYTVKASVRDPSDPKKTQHLVSLEGAKERLHLFKADLLEQGSFDSAIDGCHGVFHTASPFFHDVKDPQVELIDPAVKGTLNVLNSCTKASSVKRVVVTSSMAAVAYNGKPRTPDVTVDETWFSDPELCETSKMWYVLSKTLAEDTAWKLAKEKGLDIVTINPAMVIGPLLQPTLNTSAAAVLNLINGAKTFPNSSFGWVNVKDVANAHIQAFEVPSANGRYCLVERVVHHSEIVNILRELYPNLPLPERCVDENPYVPTYQVSKEKTRSLGIDYIPLKVSIKETVESLKERGFIHF >fgenesh2_kg.6__1969__AT5G19450.2 pep chromosome:v.1.0:6:8221441:8224975:-1 gene:fgenesh2_kg.6__1969__AT5G19450.2 transcript:fgenesh2_kg.6__1969__AT5G19450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 19 [Source:UniProtKB/TrEMBL;Acc:D7LZ81] MGNCCASPGSETGSKNGKPKIKSNPFYSEAYTTNGSGTGFKLSVLKDPTGHDISLMYDLGREVGRGEFGITYLCTDINTGDKYACKSISKKKLRTAVDIEDVRREVEIMKHMPKHPNIVSLKDAFEDDDAVHIVMELCEGGELFDRIVARGHYTERAAAAVMKTILEVVQICHKHGVMHRDLKPENFLFANKKETSALKAIDFGLSVFFKPGEGFNEIVGSPYYMAPEVLRRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPRVSETAKDLVRKMLEPDPKKRLSAAQVLEHSWIQNAKKAPNVSLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEVAGIKEAFEMMDSKKTGKINLEELKFGLHKLGQQQIPDTDLQILMEAADVDGDGTLNYGEFVAVSVHLKKMANDEHLHKAFSFFDQNQSNYIEIEELREALNDEIDTSSEEVVAAIMQDVDTDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSLSLKLMREGSLQLEGEN >fgenesh2_kg.6__1972__AT5G19473.1 pep chromosome:v.1.0:6:8233515:8235578:1 gene:fgenesh2_kg.6__1972__AT5G19473.1 transcript:fgenesh2_kg.6__1972__AT5G19473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRRENAGWTPVPQFGGWDQKGPNDATNYSVVFSQARANRKQNKAVVRHSSLGSEQELMASVRRNHQQLHHRHETQDDDPVMKKKRILTYINCCIRPN >fgenesh2_kg.6__1974__AT5G19480.2 pep chromosome:v.1.0:6:8236132:8238249:1 gene:fgenesh2_kg.6__1974__AT5G19480.2 transcript:fgenesh2_kg.6__1974__AT5G19480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESESVKFGGPRELGGALDLVTQYKLLPHHEFFCKRSLPESLSDAHYLHNLVGDTEIRKGDGMQLDQLIPNASLSSRDSNARIQPFVLDELKEAFELNDTAPVELPPAEKGAPTTASKSKSESKDKDRKHRKHKEKNKEKDREHKKHKHKHKDRSKDKDKDKDRDRKKDKSGHHDKKRKYNGTEDLDDVQRHKKSKHKSSRLDEMGAM >fgenesh2_kg.6__1975__AT5G19485.1 pep chromosome:v.1.0:6:8238359:8241397:-1 gene:fgenesh2_kg.6__1975__AT5G19485.1 transcript:fgenesh2_kg.6__1975__AT5G19485.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LZ86] MDFQVVILAGGFSSNLVPLVSKEVPKALLPVANRPVLSYVLDLLESNNLKDLIVVVEGEDAALKVGGWISSACVDRLHVEVAAVAENVGTAGALRAIAHHLTAKDILIVSGDIVSDIPPGAVAATHRRHDAAVTVMLCAQPVSGPSESGGSGGKDKTKKPACDDIIGLDSLKQFLLYIAKGAEIKKDTRVKKSILCAAGKIEIRSDLMDSHIYAFKRSVLQEVLDQKPAFRSLKEDVLPYLVRTQLRSEIFSDQNNVEENGNGNGKNNMQNNEVVLSQILSNASLPSFHQVYESGLDSRKTNKCCVYIADESKFFVRLNSIQAFMDVNRDVIGDANHLSGYSFSAHHNIVHPSAELGSKTTVGPHCMLGEGSQVGDKCSVKRSVIGRHCRIGSNVKIVNSVVMDHATIGDGCSIQGSVICSNAQLQERVTLRDCQVEAGYVVCAGSEQKGETFARK >fgenesh2_kg.6__1978__AT5G19510.1 pep chromosome:v.1.0:6:8246551:8248089:-1 gene:fgenesh2_kg.6__1978__AT5G19510.1 transcript:fgenesh2_kg.6__1978__AT5G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1B alpha-subunit 2 [Source:UniProtKB/TrEMBL;Acc:D7LZ89] MAVTFSDLHTEEGVKSVEEHLAGKTYISGDQLSVDDVKVYAAVPEKPSDAFPNASKWYECVASHLAKSFPGKAVGVQIGGSATAPPAVEAEVPAAAADDDDDIDLFGDETEEEKKAAEEREAAKKDTKKPKESGKSSVLMDVKPWDDETDMKKLEEAVRAVELPGLLWGASKLVPVGYGIKKLTIMLTIVDDLVSPDNLIEDFLTSEPNNEYIQSCDIVAFNKI >fgenesh2_kg.6__1979__AT5G19520.1 pep chromosome:v.1.0:6:8249425:8252906:1 gene:fgenesh2_kg.6__1979__AT5G19520.1 transcript:fgenesh2_kg.6__1979__AT5G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LZ90] MAERRVSNGEEVVINVSDKEDPTASSPSFNPLASPGSDAGAEKSKPVPPLSIPPPEIYKFSGSVHKPPKIPSPSNEGLVRRKSLSRSVYSKSKSRFGEQRSFRYDNIIEENGGRSLREQFGAPSFARGSFDRASPNNKSNRSVASAALSKVAEEERDENEEIYKKVKLHRVKRSGMKPLALIELVVFMAILATLVVSLTIDKVNKHTIWGLEVWKWSVLVMVTLSGMLVTNWFMHFAVFLIEKNYLLRKKVLYFVHGLKKNVQVFIWFTLVLIAWICLFDDNVKHSRKTKKFLDFITWTIVSLLVGSILFLVKTFALKVLASKFNVRNFFERIQESIFNQYVLQTLSGPPLIEEAENVGRVPSTGHLSFTSTKDGKVKDKKVIDMGKVHRMKQEKVSAGTMRVLIEAVGTSGISTISSTLDEVNNKKEQKDKEITNEMEAVAAAYEVFNNVAKPNHNYIEEDDLLRFMIREEVDLVLPLIEDADTGKITRKTFTEWVVNVYTSRKTIGHSLNDTKTAVKQLDKLVTGILTVITFIVWLVLLDIASTKLLLVFSSQFVGLAFMIGSTCKNIFESFMFVFVMHPYDVGDRCVVDGVMLLVEEIDLLTTVFLKIDNEKVFYPNSVLISKPISNFYRSPDMGDYVDFAIAFSTPAEKIGSLKGKIGEYLVANSQHWYPEAQVMVRAIENMNKLVLNILVQHTINFQVYIEKSRRRTALIIAIKRILEELEIDYSLLPQDVHLTEHKTHK >fgenesh2_kg.6__197__AT5G02850.1 pep chromosome:v.1.0:6:770544:772121:1 gene:fgenesh2_kg.6__197__AT5G02850.1 transcript:fgenesh2_kg.6__197__AT5G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHQIVQSPARLGLTGPGSPSVQNPTPTRHGHPTSSSSSQSQHQQIQQQPNLLPSSTVAAASSASAASAISSSALLSLLPPLPRAQALLQQMAVLTSKLFDVSPNRALWLSAFRGSLPSFLSSHSLPPPPPLENPNPSSTKEILSQFNSLQTQLFEAVTELQEILDLQDAKQKVAREIKSRDSSLLAFANKLKDAERVLDMLVEDYSDYRKPKRSKIVSEEEEDNDNESSSSSTTVSSQLKLKDILAYAHKISYTTFAPPEFGAGQAPLRGALPPAPQDEQMRASQLYAFADLDIGLPKTVENMEKKVEALIEPPPPPPEAMDISAIHNLLPPNIAVPSGWKPGMPVELPRDLPLPPPGWKPGDPVVLPPLESIAAPRAEDQQHMRPPQGLHRPPDVIQVRAVQLDILEDDDSSDYSSDDASSDDED >fgenesh2_kg.6__1982__AT5G19540.1 pep chromosome:v.1.0:6:8260273:8262292:1 gene:fgenesh2_kg.6__1982__AT5G19540.1 transcript:fgenesh2_kg.6__1982__AT5G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSFAVLPPFGHSSTTERSSSSSSSYSSSKLLRIPQYRFRSNSRCPNVFVSSSMNLPSDDASDAALFLESNSIADYMRFKRRPDAGNGTSELQTAIVSYKKRFPWILLNPFLQVDLVSTIHIADKEYFTTLQKELEPYDSILYEMVASKETLENRKNPIAAKRLKSSRSRGFSILGFIQRQMARVLTLDFQLDCLDYETENWYHADLDFETFQLLQKEKGESFFSFARDMTIRSTKAMIQPALETESLDTWRSKLLWVSRVFPMPLVGLFLIGAFCADFGDQTSDYPELEALSRLDFGAAMKVFLAKRLTSELTQATSDIEEKSVIIGERNRAATEALRRAMEQGHKRIGILYGGGHMPDLGRRLREEFDLVPCEVRWVTAWTIRNPTDLETSSYPILRRMAEALRWPLNRYQTLALLIFSSVLAVDLCFWELFFGSTIDWASQIGAELYQLVDNTKNV >fgenesh2_kg.6__1984__AT5G19560.1 pep chromosome:v.1.0:6:8267609:8270692:1 gene:fgenesh2_kg.6__1984__AT5G19560.1 transcript:fgenesh2_kg.6__1984__AT5G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGRNSGHSSFSSRGDGMHTPEHELAVHGMDAAPSTRRGKQSRRSDIEVMKERFAKLLLGEDMSGGGDGVTSALALSNAITKLADSMFGEQMKLQPMYPETKEIWRKEMDWLLSVVDHIVQFVPSKQMGKNGQFTEIMVTKQRDDLLTNIPALRKLDSVLLETLDNFKDQKEFWYVPRDIEDADHNGDWRRDENWWLPVVKVPTDGLSEESRRWLQNQKDSVAQVLKAATAINAHVLSEMHIPENYIDSLPKNGKTSLGDFLYKSITEECFDPDYFVSFLDLSTEHKVLDLKNRIEASMVIWKRKMCQKEKDGKSQWGSTVSLEKRELFEVRAETILVMLKQQFPGIPQSSLEVSKIKNNKDIGQAILESYSRVLESLASKIMSRIEHVLEADRLVQRQLMGEVETRSESEAESEYEETEKVVAAETPNSRKLSDFIGWRLSSDTKKHSSMSDIEFFHKVEQEKEKPMMKSPRALPKKFSYLAKLENMRSPSDRH >fgenesh2_kg.6__1985__AT5G19570.1 pep chromosome:v.1.0:6:8271030:8271504:1 gene:fgenesh2_kg.6__1985__AT5G19570.1 transcript:fgenesh2_kg.6__1985__AT5G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDMEKMVAVGLLWGATNALIRRGALAWDNRKSSLTTESPPPPPLQIRRKIMIALRDWINLLLFWQYSIPFLINLSASATFFALLSHAPISIAVPVTNATTFAATAAFGILLGEETQIGLALLGTSFIVFGIWLCVL >fgenesh2_kg.6__1986__AT5G19580.1 pep chromosome:v.1.0:6:8271790:8274063:-1 gene:fgenesh2_kg.6__1986__AT5G19580.1 transcript:fgenesh2_kg.6__1986__AT5G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASTRVIWTVSVLMLAAVSEAIFPLPFLPFLPGFNNGFRDNEAVKVAKPQPAAGAVAGGKGGRRQRGGGLDAQTTWGGKWELFLENSGVSGMHAILMPVINKVQYYDATIWRISKIKLPPGVPCHVVNAKTNRIDCWAHSILMDVNTGALKPLALSTDTWCSSGGLTVNGTLVSTGGYGGGANTARYLSSCENCKWEEYPQALAAKRWYSTQATLPDGKFFVIGGRDALNYEYIPEEGQNNRKLYDSLLLRQTDDPEENNLYPFVWLNTDGNLFIFANNRSILLSPKTNQVIKEFPQLPGGARNYPGSGSSALLPIQLYVKNPKVIPAEVLVCGGSKQDAYYKAGKRVFEPALQDCARIRINSAKPRWKTEMMPTPRIMSDTVILPNGDILLVNGAKRGCSGWGYGKDPAFAPLLYKPHAPRGKRFRELKPSTIPRMYHSTAIILPDGKVLVGGSNTNDGYKYNVEFPTELRVEKFSPPYLDPALANIRPKIVTTGTPKQIKYGQFFNVKVDLKQKGATKQNLKVTMLAPAFTTHSISMNMRMLILGVANVKPAGAGYDIQAVAPPNGNIAPPGYYLIFAIHKGVPSTGEWIQIV >fgenesh2_kg.6__1987__AT5G19590.1 pep chromosome:v.1.0:6:8275106:8276490:-1 gene:fgenesh2_kg.6__1987__AT5G19590.1 transcript:fgenesh2_kg.6__1987__AT5G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLYLLSVLILLPVSLQDPSPEVKKPTRAHAELTNHGFPIGLLPLSVNDYFLNQTSGDFSLFLNGACKITLPPDNYIATYSNKVTGRISQGKIAELQGIRVRAFFKSWSITGIRSSGDNLVFEVAGITAKYPSKNFDESLDCEGKRSSS >fgenesh2_kg.6__1988__AT5G19600.1 pep chromosome:v.1.0:6:8276773:8281299:-1 gene:fgenesh2_kg.6__1988__AT5G19600.1 transcript:fgenesh2_kg.6__1988__AT5G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SULTR3_5 [Source:UniProtKB/TrEMBL;Acc:D7LZ98] MENTITSSTSSPKGRGVNFSAPRGFGSKFKTKCKETFFPDDPFKPISQEPNRLIKTKKLLEYFVPIFEWLPKYDMQKLKYDVLAGITITSLAVPQGISYAKLASIPPIIGLYSSFVPPFVYAVFGSSNNLAVGTVAACSLLIAETFGEEMSKNEPELYLHLIFTATLITGLFQFAMGFLRLGILVDFLSHSTITGFMGGTAIIILLQQLKGIFGLVHFTHKTDVVSVLHSILDNRAEWKWQSTLAGVCFLVFLQSTRYIKQKYPKLFWVSAMGPMVVVIVGCVVAYLVKGTAHGIATVGPLKKGLNPPSIQLLNFDSKYLGMVFKAGIVTGLIALAEGIAIGRSFAVMKNEQTDGNKEMIAFGLMNVIGSFTSCYLTTGPFSKTAVNYNAGTKTPMSNVVMGVCMMLVLLFLAPLFSYTPLVGLSAIIMSAMLGLINYEEMYHLFKVDKFDFLVCMSAFFGVSFLSMDYGLIISVGFSIVRALLYVARPSTCKLGRIPNSVMFRDIEQYPASEEMLGYIILQLGSPVFFANSTYVRERILRWIRDEPEAIEFLLLDLSGVSTIDMTGMETLLEVQRILGSKSIKMVIINPRFEVLEKMMLSHFVDKIGKEYMFLSIDDAVQACRFNLTTSKPEP >fgenesh2_kg.6__1989__AT5G19610.1 pep chromosome:v.1.0:6:8282029:8286331:-1 gene:fgenesh2_kg.6__1989__AT5G19610.1 transcript:fgenesh2_kg.6__1989__AT5G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec7 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LZ99] MDRIAVRAKRKELGISCMLNTEVGAVLAVIRRPLSESYLSPQETDHCDSSVQQSLKSLRALIFNPQQDWRTIDPSVYLSPFLEVIQSDEIPASATAVALSSILKILKIEIFDEKTPGAKDAMNSIVSGITSCRLEKTDLLSEDAVMMRILQVLIGIMKHPSSELLEDQAVCTIVNTCFQVVQQSTGRGDLLQRNGRYAMHELIQIIFSRLPDFEVRGDDGGEESESDTDEIDMSGGYGIRCCIDIFHFLCSLLNVVEVVENSEGTSVHTADEDVQIFALVLINSAIELSGDAIGQHPKLLRMVQDDLFHHLIHYGASSSPLVLSMISSCILNIYHFLRKFMRLQLEAFFSFVLLRVTAFTGFLPLQEVALEGLINFCRQPAFIVEAYVNYDCDPMCRNIFEETGKVLCRHTFPTSGPLTSIQIQAFEGLVILIHNIADNMDREEEEGAEEDDNSNAIKPSPVEIHEYIPFWIDKPKEDFETWVDHIRVRKAQKRKLAIAANHFNRDEKKGLEYLKYNYLVSDPLDPMALASFFRFTPGLDKTMIGDYLGDPDELHLSVLKSFTHTFEFTGMNLDTALRTFLESFRLPGESQKIERMIEAFSERFYDQQSSDIFASKDTVHILCYSLIMLNTDQHNPQVRKKMTEDEFIRNNRAINAGNDLPKEYLSELFQSIATNAFALSTHSGPVEMNPNRWIELMNRTKTTQPFSLCQFDRRIGRDMFATIAGPSIAAVSAFFEHSDDDEVLHECVDAMISIARVAQYGLEDILDELIASFCKFTTLLNPYTTPEETLFAFSHDMKPRMATLAVFTLANNFGDSIRGGWRNIVDCLLKLRKLQLLPQSVIEFEITNEENNGGSESDMNIVSNQDTKFNRRQGSSLMGRFSHFLALDSVEESLALGMSEFEQNLKVIKQCRIGQIFSKSSVLPDVAVLNLGRSLIYAAAGKGQKFSTAIEEEETVKFCWDLIITIALSNVHRFNMFWPSYHEYLLNVANFPLFSPIPFVEKGLPGLFRVCIKILASNLQDHLPEELIFRSLTIMWKIDKEIIETCYDTITEFVSKIITVYSANLHTNIGWKSVLQLLSLCGRHPETKEQAVDALIGLMSINASHLSQSSYAYCIDCAFSFVALRNSSVEKNLKILDLMADSVTMLVKWYKTASTDTANSYSPASNTSSSSSMEENSLRGVNFVHHLFLKLSEAFRKTTLARREEIRNRAVTSLEKSFTMGHEDLGFTPSGCIYCIDHVIFPTIDDLHEKLLDYSRRENAEREMRSMEGTLKIAMKMLMNVFLVYLQQIVESAEFRTFWLGVLRRMDTCMKADLGEYGDNKLQEVVPELLTTMIGTMKEKKILVQKEDDDLWEITYIQIQWIAPSLKDELFPDEEI >fgenesh2_kg.6__198__AT5G02860.1 pep chromosome:v.1.0:6:772544:775003:1 gene:fgenesh2_kg.6__198__AT5G02860.1 transcript:fgenesh2_kg.6__198__AT5G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M7W8] MADKLALPLLLPCTPSSKPYSHDQNHHLSRTPFLTTSLSSPPPPPVEPLLHDVFLHQNPNSRQPITSQTSRNRNRTRIGKSRDPNLGKPWSYHGLSPQGQQVLRSLIEPNFDSGQLDALLSELFEPFKDKPESTSSELLAFLKGLGFHKKFDLALCAFDWFMKQKDYQSMLDNSVIAIVISMLGKEGRVSSAANLFNGLQEDGFSLDVYSYTSLISAFANSGRYREAVNVFKKMEEEGCKPTLITYNVILNVFGKMGTPWNKITSLVEKMKSDGIAPDAYTYNTLITCCKRGSLHQEAAQVFEEMKAAGFSHDKVTYNALLDVYGKSHRPKEAMKVLNEMELNGFSPSIVTYNSLISAYARDGMLDEAMELKNQMAEKGTKPDVFTYTTLLSGFERAGKVESAMNIFEEMRNAGCKPNICTFNAFIKMYGNRGKFVDMMKIFDEINVCGLSPDIVTWNTLLAVFGQNGMDSEVSGVFKEMKRAGFVPERETFNTLISAYSRCGSFEQAMTVYRRMLDAGVTPDLSTYNTVLAALARGGMWEQSEKVLAEMEDGRCKPNELTYCSLLHAYANGKEIGLMHSLAEEVYSGVIEPRAVLLKTLVLVCSKCDLLPEAERAFSELKERGFSPDITTLNSMVSIYGRRQMVGKANEVLDYMKERGFTPSMATYNSLMYMHSRSADFGKSEEILREILAKGIKPDIISYNTVIYAYCRNTRMRDASRIFSEMRDSGIVPDVITYNTFIGSYAADSMFEEAIGVVRYMIKHGCRPNQNTYNSIVDGYCKLNRKDEAKLFVEDLRNLDPHAPKGEDLRLLERIVKKWP >fgenesh2_kg.6__1991__AT5G19630.1 pep chromosome:v.1.0:6:8292444:8294275:-1 gene:fgenesh2_kg.6__1991__AT5G19630.1 transcript:fgenesh2_kg.6__1991__AT5G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFTVESCSVDSEEGVKLHTRVFKPRNEGEEVSDDGNLVIVLVHPFSLLGGCQALLKGIASELASKGFKAVTFDTRGAGKSTGRATLTGFAEVKDVVAVCRWLCQNVGAHRILLVGSSAGAPIAGSAVDQVEQVVGYVSLGYPFGLMASILFGRHHKAILSSPKPKLFVMGTQDGFTSVSQLKKKLKSAVGRTETHLIEGVSHFQMEGPEYDSQVTDIICNFISSL >fgenesh2_kg.6__1995__AT5G19670.1 pep chromosome:v.1.0:6:8306799:8312782:1 gene:fgenesh2_kg.6__1995__AT5G19670.1 transcript:fgenesh2_kg.6__1995__AT5G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7LZA5] MEIIRSELRKHSRSGKRKWAILVGIMAFTYILLLLSYGDALRYLLPDGRRLKLPNENNALMTPSRNTLAVNFSEDSAGSGIHVLEKNGNVSDFGLRNESEDDEEGFVGNVDFESFEDAKDSIIIKEVAGSSDSLFPTEKTVMQNEIVSTSNNGHQVQNVSVQSQKNLKSSMSSAGSSIAGSAFGNSSLLVSRKVSKKKKMRCDLPPKSVTTIDEMNRILARHRRTSRAMVCVQLRDEEILTARKEIENAPPVATSERQLYPPIFRNVSMFKRSYELMERILKVYVYKEGNRPIFHTPILKGLYASEGWFMKLMEGNKQYTVKDPRKAHLYYMPFSARMLEYTLYVRNSHNRTNLRQFLKEYTEHISSKYPFFNRTDGADHFLVACHDWAPYETRHHMEHCIKALCNADVTAGFKIGRDISLPETYVRAAKNPLRDLGGKPPSQRRTLAFYAGSMHGYLRQILLQHWKDKDPDMKIFGRMPFGVASKMNYIEQMKSSKYCICPKGYEVNSPRVVESIFYECVPVIISDNFVPPFFEVLDWSAFSVIVAEKDIPRLKDILSSIPEEKYVKMQMAVRKAQRHFLWHAKPEKYDLFHMVLHSIWYNRVFQVKRR >fgenesh2_kg.6__1996__AT5G19680.1 pep chromosome:v.1.0:6:8312943:8315238:1 gene:fgenesh2_kg.6__1996__AT5G19680.1 transcript:fgenesh2_kg.6__1996__AT5G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LZA6] MNSEKEDEPLEEIGDSSNVLDLTSYQLHSLDTVELLPTLIELDLTANRLSGLDSRIAQLSTLKKLSLRQNLIDDSAVEPLSHWDALSDLEVLVLRDNKLAKVPDINIFTKLLVFDISFNEITSLEGTSKASSTLKELYVSKNEVNKIVEIEHLHNLQILELGSNRLRVMENLENFTKLEELWLGRNRIKVVNLCGLKCIKKISLQSNRLTSMKGFEECVALEELYLSHNGISKMEGLNALVTLRVLDVSNNKLTSVDDIQNLTKLEDLWLNDNQIESLEAITEAVTGSKEKLTTIYLENNPCAKSSDYVAVVRQIFPNVEQIDSNLFA >fgenesh2_kg.6__1997__AT5G19690.1 pep chromosome:v.1.0:6:8315826:8321726:1 gene:fgenesh2_kg.6__1997__AT5G19690.1 transcript:fgenesh2_kg.6__1997__AT5G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALESPQPGTPTAMRNAFGNVLSVLILVLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWVLNSLNIPLSVETVCVFTAPVFSAFASWATYLLTKEVKGSGAGLAAAALLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNALAYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSPRLYIAYAPLVVLGTLLAALVPVVGFNAVLTSEHFASFLVFIIIHVVALVYYIKGILSPKMFKVAVTLVVSIGMVVCFIVVAILVALVASSPTGGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFSPLSDASSFVVLYIVMSVYFSGVMVRLMLVLAPAACIMSGIALSQAFDVFTGSIKYQLGASSNSTDDAEDNTSTNNAPKDDASAGKTDKGEEIVKERSSKKGKKKEREPADKPSVKSKIKKKALVLPLEASIVALLLLIMLGAFYVIHCVWAAAEAYSAPSIVLTSQSRDGLHVFDDFRESYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSSEKAAWEIFNSLDVKYVLVVFGGLIGYPSDDINKFLWMVRIGGGVFPHIKEADYLRDGQYRIDSEATPTMLNCLMYKLSYYRFVKTDGKGYDRVRRTEIGKKNFKLTHFEEVFTSHHWMVRIYKLKPPRNRIRGRTKKLKSKTSSGLSSKSVKKNPWI >fgenesh2_kg.6__199__AT5G02870.1 pep chromosome:v.1.0:6:776199:778277:1 gene:fgenesh2_kg.6__199__AT5G02870.1 transcript:fgenesh2_kg.6__199__AT5G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L4/L1 [Source:UniProtKB/TrEMBL;Acc:D7M7W9] MVASAAARPLVTVQCLDGDMSTDQSTTVTLPDVMTAPVRPDIVNFVHAQISNNSRQPYAVSKKAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQAAFGNMCRGGRMFAPTKIWRRWHRRVNVNMKRHAIVSAIAATAVPALVMARGHKIENVPEMPLVVSDSAEAVEKTSAAIKVLKQIGAYDDAEKAKNSIGIRPGKGKMRNRRYISRKGPLVVYGTEGAKIVKAFRNLPGVELCHVERLNLLKLAPGGHLGRFVIWTKSAFDKLESIYGSFEKPSEKKKGYVLPRSKMLNADLARIINSDEVQSVVNPIKKDAKRAVLKKNPLKNFNVMLKLNPYAKTAKRMSLLAEAQRVKAKKEKLEKKRKVVTKDEAQAIKAAGKAWYQTMISDSDYTEFDNFTKWLGASQ >fgenesh2_kg.6__19__AT5G01830.1 pep chromosome:v.1.0:6:73208:75629:-1 gene:fgenesh2_kg.6__19__AT5G01830.1 transcript:fgenesh2_kg.6__19__AT5G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7M6E9] MAVTLDSAFPARKRRPLVVDSPKLSDAKLTRSLFLASHEIASMQPLRFILRPNSLSLIRKVKILASVFDELLLRSQLLVYSQSAQLCFEEMQIVMQRIKSLIDDCSRVSKLWLLLQIDIVAFNFHELLTDLSTVLDILPVHEFDLSNDAQDLISLLRKQCSDSVQFVDARDHALRRKVTDTIAGIKHQISPDHSSLIEIFNDLGFTDSASLTDEIQRLEDEIQDQIDDRSKSAAASLIGLVRYSKCVLYGPSTPAPDFRRHQSLSDANIPADFRCPITLELMRDPVVVSTGQTYDRESIDLWIQSGHNTCPKTGQVLKHTSLIPNRALKNLIVLWCRDQKIPFELYGDGGGEPAPCKEAVEFTKMIVSFLIEKLSLADSNGVVFELRALAKSDTVARACIAEAGAIPKLVRFLATECPSLQINAVTTILNLSILEQNKTRIMETDGALNGVIEVLRSGATWEAKANAAATLFSLAGVSAYRRRLGRKARVVSGLVDLAKQGPTSSKRDALVAILNLVAERENVGRFVEAGVMEAAGDAFQELPEEAVAVVEAVVRRGGLMAVSAAFSLIRLLGEVMREGADTTRESAAATLVTMCRKGGSELVAEMAAIPGIERVIWEMIGAGTARGGRKAASLMRYLRRWAAGDTHDTAAETQSIVVPTPSRIFSPVL >fgenesh2_kg.6__1__AT5G01010.1 pep chromosome:v.1.0:6:1708:5573:-1 gene:fgenesh2_kg.6__1__AT5G01010.1 transcript:fgenesh2_kg.6__1__AT5G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEGLMPITRAFLASYYDKYPFSPLSDDVSRLSSDIASLIQLLTVQSPPSQGETSLIDEANRQPPHKIDENMWKNREQMEEILFLLSPSRWPVQLREPSTSEDAEFASILRTLKDSFDNAFTAMISFQTKNSERIFSTVMTYMPQDFRGTLIRQQKERSERNKQAEVDALVSSGGSIRDTYALLWKQQMERRRQLAQLGSATGVYKTLVKYLVGVPQVLLDFIRQINDDDGPMEEQRERYGPPLYSLTKMIVPEYFPSFGLLLLTSKDQMNLLSEAAIVYTSEFERFVTFISDVFANSPFFISADTAGILGSRDNEEYKEIIVQAGRTYEISLMVESENSYIAWDFSLMQGKISMDIGFSLEYISASGEKTLILPYRRYEADQGNFSTLMAGNYKLVWDNSYSTFFKKVGRYLHFAADGVNQYPYLSPFFHCFH >fgenesh2_kg.6__2000__AT5G19730.1 pep chromosome:v.1.0:6:8341936:8344904:1 gene:fgenesh2_kg.6__2000__AT5G19730.1 transcript:fgenesh2_kg.6__2000__AT5G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7LZB2] MPKFNSTQAPNLFLLLLLLLVILLCSKQTKCHTKGLRLRPRNQKNVNTTSDRTQNPEDEFMKWVRFVGSLKHSVFKAAKNKLFPSYTLTVHKKSNKGDFTKIQDAIDSLPLINFVRVVIKVHAGVYKEKVNILPMKAFITIEGEGAEKTTVEWGDTAQTPDSKGNPMGTYNSASFAVNSPFFVAKNITFKNTTPVPLPGAVGKQAVALRISADNAAFFGCRMLGAQDTLYDHLGRHYYKDCYIEGSVDFIFGNALSLYEGCHVHAIADKLGAVTAQGRSSVLEDTGFSFVKCKVTGTGVLYLGRAWGPFSRVVFAYTYMDNIILPRGWYNWGDPSREMTVFYGQYKCTGAGANYGGRVAWARELTDEEAKPFLSLTFIDGSEWIKL >fgenesh2_kg.6__2001__AT5G19740.1 pep chromosome:v.1.0:6:8345673:8348600:1 gene:fgenesh2_kg.6__2001__AT5G19740.1 transcript:fgenesh2_kg.6__2001__AT5G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M28 family protein [Source:UniProtKB/TrEMBL;Acc:D7LZB3] MSKSKPLAFIVAALSYSFFLFSSSPKSHYHELFLSDSFSDNASVALNLRTLTRRPHVAGTVANAEAAAYVRSVLTSYALKSHVVTYQVSLTYPVHRSLVLTPTESAKPITFLLEQEQAGDNPYANEVMPTFHGYAKSGNVSGPVVYANYGRVEDFLRLKKDMGVNVSGAVVIARYGQIYRGDIVKNAYEAGAVGAVIYTDKRDYGGDEWFPASKWMPPSGVQVGTVYNGLGDPTTPGWASVDGCERLSDEAVELSGDVPLIPSLPVSAADAEVILKTVVGDVGDGDVYPVGPGPGILNLSYTGETVIAQIENVIGVIEGEEEPDRYLILGNHRDAWTFGAVDPNSGTAVLLEIAQRLDKLQKRGWKPRRTIILCNWDAEEYGLIGSTEWVEENREMLTSRAVAYLNVDCAVSGPGFHASATPQLDELIKIAAQEVRDPDNATQTIYDSWIGSSNSVVIGRLGGGGSDYASFVQHVGVPGVDMSFGGGYPVYHSMYDDFTWMEKFGDPMFQRHVAMASVLGLVALRLADEEILPFNYTSYALELKKSAEDLENEKLGHNIDVYPLIKSIEDLSTAAQYINIEKEAVKGALKVRELNDRLMMAERALTDRDGLSERPWYKHLIYGPSTYDDYGYGSKSFPGVDDAIDNAKKLNTKASWEYVQHQIWRVSRAIRQASLVLKGELR >fgenesh2_kg.6__2003__AT5G19760.1 pep chromosome:v.1.0:6:8351109:8353290:-1 gene:fgenesh2_kg.6__2003__AT5G19760.1 transcript:fgenesh2_kg.6__2003__AT5G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicarboxylate/tricarboxylate carrier [Source:UniProtKB/TrEMBL;Acc:D7LZB5] MAEEKKAPIGVWTTVKPFVNGGASGMLATCVIQPIDMIKVRIQLGQGSAASITTNMLKNEGFGAFYKGLSAGLLRQATYTTARLGSFKLLTAKAIESNDGKPLPLYQKALCGLTAGAIGACVGSPADLALIRMQADNTLPLAQRRNYTNAFHALYRISADEGVLALWKGCGPTVVRAMALNMGMLASYDQSAEYMRDNLGLGEMSTVVGASAVSGFCAAACSLPFDFVKTQIQKMQPDAQGKYPYTGSLDCAMKTLKEGGPLKFYSGFPVYCVRIAPHVMMTWIFLNQITKLQKKIGM >fgenesh2_kg.6__2005__AT5G19780.1 pep chromosome:v.1.0:6:8353842:8355974:-1 gene:fgenesh2_kg.6__2005__AT5G19780.1 transcript:fgenesh2_kg.6__2005__AT5G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:D7LZB6] MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDTTVGVAHDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSVPEITNAVFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEEDEGEDY >fgenesh2_kg.6__2007__AT5G19780.1 pep chromosome:v.1.0:6:8359850:8361919:1 gene:fgenesh2_kg.6__2007__AT5G19780.1 transcript:fgenesh2_kg.6__2007__AT5G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:D7LZB6] MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDTTVGVAHDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSVPEITNAVFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEEDEGEDY >fgenesh2_kg.6__2010__AT5G19810.1 pep chromosome:v.1.0:6:8363360:8366133:1 gene:fgenesh2_kg.6__2010__AT5G19810.1 transcript:fgenesh2_kg.6__2010__AT5G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LZB9] MVSQRFSLTLLFLLATLITVANGNDNRKLLTSYKYSPPPPPVYPPPVNLSPPPPQVNLSPPPPPVILSPPPPPVPLSPPPPPVILSPPPPPVPLSPPPPPVILSPPPPPVPLSPPPPPVPLSPPPPPVLLSPPPPPVPLSPPPPPVPRSPPPPPVTRSPPPPRPQAAAYYKRTPPPPPSKYGRVYPPPPPRPQAAAYYKRTPPPPPSKYGRVYPPPPAGKSWLWFLKL >fgenesh2_kg.6__2011__AT5G19820.1 pep chromosome:v.1.0:6:8367440:8373745:-1 gene:fgenesh2_kg.6__2011__AT5G19820.1 transcript:fgenesh2_kg.6__2011__AT5G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2734 [Source:UniProtKB/TrEMBL;Acc:D7LZC0] MASEANQLQQAQLAMVLGSDSAPFETLISHLMSSSNEQRSSAEALFNLAKQSNPDTLALKLAHLLQLSPHPEGRAMAAVLLRKLLTRDDAYLWPRLSLSTQSSLKSSMLSCIQREEAKSISKKICDTVSELASGILPENGWPELLPFVFQCVSSDSPKLQESAFLILAQLSQYVGETLTPHIKLLHGVFLQCLSSNSASSDVKIAALNAVISFVQCLSNSTERDRFQDVLPAMIRTLTESLNNGNEATAQEALELLIELAGTEPRFLRRQLLDIVGSMLQIAEADSLEESTRHLAIEFLVTLAEARERAPGMVRKLPQFIDRLFAVLMKMLEDIEDDPAWYSAETEDEDAGETSNYSMGQECLDRLAISLGGNTIVPVAYQQFSAYLAASEWQKHHASLIALAQIAEGCSKVMIKNLDQVVSMVLSQFQSPHPRVRWAAINAIGQLSTDLGPDLQNQHHERVLPALAAAMDDFQNPRVQAHAASAVLNFSENCTPEILAPYLDGVVSKLLVLLQNGKQMVQEGALTALASVADSSQDHFQKYYDAVMPYLKTILMNATDKSKRMLRAKSMECISLVGMAVGKDRFKDDARQVMEVLMSLQGSQMEADDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSEDEAEDSDDESMETIILGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRRAAVSAMPELMRSAKLAIEKGESQGRDLSYLKQLSDYIIPAMLEALHKEPDTEICVSMLEAINECLQISGNLLDEGKIRSIVDEIKQVMTASSSRKLERGERAHAEDFDAEERELIKEENEQEEEIFDQVGEILGTLVKTFKASFLPFFDELSSYLTPMWGRDKTAEERRIAICIFDDVAEQCRDAAFKYYDTYLPFVLEACNDESPEVRQAAVYGLGVCAEFGGSVFKPLVGEALSRLNVVIQQPNARQSENAMAYDNAVSAVGKICQYHRDSIDSSQVLPAWLNCLPISNDVIEAKVVHEQLCSMVERQDVDLLGPNNQYLPKILIVFAEVLTGKDVVTEETAGRMINILRQLQQTLPPSALASTWSTLKPEQQLALQSMLSS >fgenesh2_kg.6__2012__AT5G19840.1 pep chromosome:v.1.0:6:8377415:8381856:1 gene:fgenesh2_kg.6__2012__AT5G19840.1 transcript:fgenesh2_kg.6__2012__AT5G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LZC2] MPMGCEFPKIQTFESALSAADFESTVELTNFPAVFRGCASDWDAYSKWNPFNSGLDYLEERAGSVEVEAMLSRTAPIFNGDIRSHDRVSLPFSDFIRFCKQHISDKGNGSDVDAKSADLTPMPEDYRPGQIYLAQFPILNDEKEEKVQLKILRQDIQTPTLLGEKSLSSINFWMNSAQARSSTHYDPHHNLLCVVSGRKKVVLWPPSASPSLYPMPIYGEASNHSSVGLENPNLSYYPRAEHSLKQSQKVILNAGDAVFIPEGWFHQVDSEELTVAVNFWWQSNIMSNMPEHMDSYYLRRIARRLIDREMSLLVSKPSSTDLRHQSEHIDQSHIGMAEGGNDNVGNESIKKGLSTLHEKASLHDLDPSASQALHELISLVHDHVNAVDTKDDRVAHLLWNLEASRLRDVLLAMARYFPRTLEALILHMLSPIAAEVLTQKFDEIDQQTGEEDRNQFFREFYGAFDDEAAAMDIILSRKESFAFQAFKSVLDKYLGVNIASPTINI >fgenesh2_kg.6__2013__AT5G19850.1 pep chromosome:v.1.0:6:8381802:8383780:-1 gene:fgenesh2_kg.6__2013__AT5G19850.1 transcript:fgenesh2_kg.6__2013__AT5G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7LZC3] MAKTSIFAAPPFTNFEFPSLFRVKNSSIISFTETNLLRQSISTGIVRTPTPRGIGFVSCSSVTDEASSEELQVRTLTWRWKGYSIRYQCAGTSGPALVLVHGFGANSDHWRKNTPILGKSHRVYSIDLIGYGYSDKPNPRDFGGEPFYTFETWGEQLNDFCLDVVKDEAFFICNSIGGLVGLQAAVSKPEICRGLMLINISLRMLHIKKQPFIGRPFIKSFQNLLRHTPVGKLFFKSIAKPETVKSILCQCYHDSSQVTDELVEAILRPGLEPGAVDVFLEFICYSGGPLPEDLLPLVKCPVLIAWGEKDPWEPIELGRAYSNFDAVEDFVDLPDAGHCPQDEKPEMVNSLIKSFVARH >fgenesh2_kg.6__201__AT5G02880.1 pep chromosome:v.1.0:6:780484:787599:1 gene:fgenesh2_kg.6__201__AT5G02880.1 transcript:fgenesh2_kg.6__201__AT5G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase 4 [Source:UniProtKB/TrEMBL;Acc:D7M7X1] MENRGQKRMEVVEELPADKRACNSQDFRPSTSGSSVQAQANGTSSGHENVDADMDTSSSASPSSRSDEEQDKDSDYGSCDSDEEDPRQRVLQDYQRQRSSGDQGKLKSLLASLTGETDPSGQLSELTELCEVLSFCTEESLSSVMADMLSPVLVKLSKHENNADIMLLAIRAITYLCDVYPRSVASLVRHDTIPALCQRLLTIEYLDVAEQCLQALEKISRDEPVACLNAGAIMAVLSFIDFFSTSIQRVAISTVVNICRKLPSEPASPFMDAVPILCNLLQYEDRQLVENVAICLTKIADQVSESPAMLDQLCRHGLINQSTHLLNLNSRTTLSQPVYNGVIGLLRKLSSGSTLAFRTLYELNIGYRLKEIMSMYDISHSMSSTHPINACSNQVHEVLKLVIELLPASPVEDNQLALEKESFLVNQPDLLKQFGTDMLPVMIQVLNSGANVYVSYGCLSAIHKLICLTKSGDLVELLKNANMSSVLAGILSRKDHHVVVVALQVAEVLLEKYRDAFLNSFIKEGVFFAIEALSNSDRGQQNPVSGIIQGSADLSQKPVTKEIVKCLCQSFERSLSSASQTCKIENDSVFIFATRIKESFFGPEVFNSEKGLTDVLQNLKNLSVALSDLMTVPIDAHVLHDEKFFSIWNQIMERLNGRESVSTFEFTESGVVKSLANYLSNGLYQRKLSKGDPECDSLPFVGKRFEVFTRLLWSDGEATSSLLIQKLQNSLSSLENFPIVLSQFLKQKNSFAAIPNGRCTSYPCLKVRFLKAEGETSLRDYSQDFVTVDPLCYLDAVDQYLWPKVNIEPMDSVEAKDQAIECQSSQLQSTSISCQGESSSPMEIDSESSDASQLQGSQVEDRTQLPGLCSGSGQQNASSSGTSSEKEDALPSLLFRLEGLELDRSLTVYQAMLLHKLKSESETTNGLKLSGPHNITYERAAQLGDFHKNLFPPGSMEDEEYRPFLSYLFAHQLALRLKGSSPSAYDILFLLKSLESMNRFLFHLISLERVNAFGEGRLENLDDLRVQVLPVPHSEFVSSKLTEKLEQQLRDSFAVSTCGLPPWFNDLMDSCPFLFSFEVKSKYFRLAAFGSQKVHHHPQHLSSSNVQGDGRPVTGSLPRKKFLVCREKILESAAKMMELYGNQKVVIEVEYSEEVGTGLGPTLEFYTLVSRAFQNPDLGMWRNDCSSFVGKPGEHSGVLASSSGLFPRPWSGTSTTSDVLQKFVLLGTVVAKALQDGRVLDLPFSKAFYKLILGQELSSFDIHFVDPELCKTMVELQALARRKKVFNEAHGDSRPAKCDLSFHGTKIEDLSLGFALPGYTDYDLAPYSDNDMVNLDNLEEYIKAIVNATVCNGIQKQVEAFQSGFNQVFPIEHLRIFNEEELETMLCGERDLFNMNEVLDHIKFDHGYTSSSPPVENLLEILHEFDREQQRAFLQFVTGSPRLPHGGLASLNPKLTIVRKHGSDSSDTDLPSVMTCANYLKLPAYSSKEKMKEKLIYAITEGQGSFHLS >fgenesh2_kg.6__2020__AT5G19900.1 pep chromosome:v.1.0:6:8411493:8413359:-1 gene:fgenesh2_kg.6__2020__AT5G19900.1 transcript:fgenesh2_kg.6__2020__AT5G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQSKLMNPPPPPQMIGQPPPQQRMNPPLPSSQVPRIMNQTPMLGQSHPIMGMNQQPQVMMNNQPMMMNPRNFNLNPSLSSEYQQNLPPNNFVSKMSRNNWKGKKITSDKRPMMEQQPIRRMHNSGIPMYNPQQLPGSGSSNQVGYKPPTLNELQSQNRLKTRKFYPKKKYGNRYVPYAPRNTTSFIIRAKKSGGIAELVSPCPVTPAVLPTPMFSPSREVLGDMAKEEWGVDGYGSMKGLIRLRADGNELEPYDEDDEDEGGSSESDVEEHVEVERRLDHDLSRFEMIYPNYGGSEYNNVLENRVDDQDSHIAQLEEENLTLKERLFLMERELGDMRRRLQYLERRGMVAEDANEEVVENESESDGDDTGGSDARTSGETKENHVAAEDICMQDVAARDNEAAVKEINNNSKSVDEVKENKQSVGVGSSGESKLEGKEKAETDFREVSGEQCEEANQGMDQSKESEMGIENDSVGKQ >fgenesh2_kg.6__2021__AT5G19920.1 pep chromosome:v.1.0:6:8417185:8421240:-1 gene:fgenesh2_kg.6__2021__AT5G19920.1 transcript:fgenesh2_kg.6__2021__AT5G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7LZD1] MATTAMNKPKIEQGEEVEAEARMFSTQIPDDREALLEFMDQRAKSIQRLKDQISSFDRKLAEERKLMADAEAKFLKIDRVENGTFSMSKPKVPGKTGSILGIAEFWTEGNKAKTANENSTLQSRSEMESLKMPSIILPPSFKRRASAPVRAEVNETTQARPMATRDYNVPKEDTSGPEAKRPRSIPDEVVRETQGRDKEAQPMATMDYNVPKEDKNVPEAKSSHSIPNEVIRESQGRDNVAQPMDTRDYNAPKEDKSGLEAKRSHSIPNEVVWETQAQVRDNHTNKDFAKPRIRVSSNLSQQGQQENAEFRGHDELIALIGRSSLRPTIEGRTVGMLPSCHTKRMRSLAISPSNRELFATSALDGAVHFWKLQSDRSTATLFKTVNRVAINQKKWAEDIAWHPHKSALFSAYTADEGHPQISAIYLNEAGESCKSQFLEGRPHSKGLINRIMFTPWDDPCFITGGSDHAVVLWRDQCENNAWKPTLLHRDLHSSAVMGVTGMRHKNLVLSCGDDRRFVGFDAREEKVTFKHRLDNRCTNILPNPRDVNLVMVHTRQLDRQLRLYDVRLPQTELFSFGWKQESSESQSALINQSWSPDGLHISSGSADPVIHIFDIRYNAPSPSLSMKAHKKRVFKAEWHSSYPLLVSISSDLAIGIHKLW >fgenesh2_kg.6__2022__AT5G19930.1 pep chromosome:v.1.0:6:8421568:8423658:-1 gene:fgenesh2_kg.6__2022__AT5G19930.1 transcript:fgenesh2_kg.6__2022__AT5G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane family protein [Source:UniProtKB/TrEMBL;Acc:D7LZD2] MEMSPQFRLIVAAVISSLIAFRSYKRKSLDLSGGIAGFLVMTIHFTAGFRYGALLLVFFLTSSKLTKVGEDKKRRVDVEFKEGGQRNWVQVLCNGGIASVLVVIACTLTGWQDKCLDSKQSETVTALIGGIIGHYACCNGDTWSSELGVLSDAQPRLITTLKPVKKGTNGGVTNAGLLAALAAGTTVGVTFLIFGFFTASCASDVALKQLLVIPVSALAGLCGSLIDSVLGATIQFSGFCSVRNKVVGKPGPTVKKISGVDILDNNGVNFVSILLTSFLTSIASVYIF >fgenesh2_kg.6__2025__AT5G19950.2 pep chromosome:v.1.0:6:8425140:8428216:-1 gene:fgenesh2_kg.6__2025__AT5G19950.2 transcript:fgenesh2_kg.6__2025__AT5G19950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSSSSAAGHTHTVVINALTSRGWCFRDVEYLKSLVTDISSLIGGGNQTGAVVESVEAELLNMDIKLIGGKSLPDPTELRRCSHLQGPKVLQISSVRDVTRSSAEEFLGSSTGKRVLKFALTDGKTEISALEYSHIPTISNDVTPGTKVRLENKAVIRDGLVCLTPKEVTVLGGYVQSLTEEWQMKKKYANLARSQESKAGVGPPPFEELQIRTGSHHRDYNRTTSRNIVPTAAESSVKHAGVERGESSEVDGNKRGTYKNIQRNPADSDPKISVKVENQEKPSSSDTRPKQVVEAVPLQNQAAAQILLEKMKHSSSNDQQYQGRRGRGRGRGRGRGRGREEEDSAVFTLDEWEKRNTGRGVLPIADHPSDTTRDEDLAWQLQNQFDLEESYVQEVHGTGAADIRMNMFDYGRPDDNFGHGRGRGGRGGRGRGRGHRRGRGRV >fgenesh2_kg.6__2027__AT5G19960.1 pep chromosome:v.1.0:6:8428503:8430984:1 gene:fgenesh2_kg.6__2027__AT5G19960.1 transcript:fgenesh2_kg.6__2027__AT5G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LZD5] MTIDDGNSVYVGGLPYDITEEAVRRVFSIYGTILTVKIVNDRSVRGKCYGFVTFSNRRSADDAIEDMDGKSIGGRAVRVNDVTTRGGRMNPGPGRLQPHGGWDRSPDRRSNGNYDRDRYSDRSRERDRSQDRRKDHRYTENERAYEHSHDFERRNDHDLLDRNGYKERVFEGDEGDWRGDRSFGDNGRGINGTSAHEGRSQETKRDDSTILDGGRGRDHFSNSSGDQQVKEDLEALIKMREALQDEVLVMEERLDEKEVVCSELQKKSKRLEDLLINEKKLVAQRRKELAKLHESFSRVRECTDNLKDCQQELQSLVNSAAREGVAGADEGLGHG >fgenesh2_kg.6__2029__AT5G19980.1 pep chromosome:v.1.0:6:8434679:8435905:-1 gene:fgenesh2_kg.6__2029__AT5G19980.1 transcript:fgenesh2_kg.6__2029__AT5G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane family protein [Source:UniProtKB/TrEMBL;Acc:D7LZD7] MSSSRFDSSKQLTTSSLVIGYALCSSLLAVINKLAITYFNYPGLLTALQYLTCTVAVWLLGKSGLINHDPFTWDTAKKFLPAAIVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAIADTVFRSQPLPSRLTFLSLFVILAGAVGYVATDSSFTLTAYSWALAYLVTITTEMVYIKHMVSSIKLNIWGLVLYNNLLSLMIAPIFWFLTGEYTEVFAALGENRGNLFEPYAFSAVAASCVFGFLISYFGFAARNAISATAFTVTGVVNKFLTVVINVLIWDKHATPVGLVCLLFTICGGVGYQQSVKLDKPIEKVSEKDSEKGEEDEELLPLNPGKLASVV >fgenesh2_kg.6__2031__AT5G20000.1 pep chromosome:v.1.0:6:8440509:8444077:1 gene:fgenesh2_kg.6__2031__AT5G20000.1 transcript:fgenesh2_kg.6__2031__AT5G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSUG1 [Source:UniProtKB/TrEMBL;Acc:D7LZD9] MAAVGVEARPPVTAMEETCNVKGAAAKQGEGLKQYYLQHIHELQRLLRQKTNNLNRLEAQRNELNSRVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKSIDITKLTPSTRVALRNDSYVLHLVLPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >fgenesh2_kg.6__2034__AT5G20030.1 pep chromosome:v.1.0:6:8475535:8477242:-1 gene:fgenesh2_kg.6__2034__AT5G20030.1 transcript:fgenesh2_kg.6__2034__AT5G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LZE3] MRFKKGTKVEVLSKSSVPSGAWWSAEIISGNGHYYTVMYDHDGGTERVPRKSMRPEPPRLQVLDSWGPGDILEVFESCSWKMAIVSKVLEKGCFLIRLLGSSVKFKVTKSDIRVRQSWQDNEWIMIGQGASRLSAQTSTGELGRKVNPKADYISSESKDKLDESDVPLSKSLKKRTYSLVEPHNQTRVLAYPPKFHEEGKEEEDRESVASSVGSCSMDTDGLSAVSFNPIETGSSSDTESSSCGYGKIKKLGVPPIKSSEAADVHRLELDAYRCSIERLHASGPIITWEQETWITNLRLRLNISNEEHLMQIRNLISDDNSTIYR >fgenesh2_kg.6__2035__AT5G20040.1 pep chromosome:v.1.0:6:8478604:8481939:-1 gene:fgenesh2_kg.6__2035__AT5G20040.1 transcript:fgenesh2_kg.6__2035__AT5G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATIPT9 [Source:UniProtKB/TrEMBL;Acc:D7LZE4] MVISSGVFLTRTCYLRLQPPSLVLRRRFCAATTDCSVPLNGTKKRKSEKEKVIVISGPTGAGKSRLAMELAKRLNGEIISADSVQVYRGLDVGSAKPSDSDRKVVPHHLIDILHPSQDYSVGQFFDDGRQATKDILNRGRVPIVTGGTGLYLRWFIYGKPDVPKPSPEVIAEAHDMLVDFQTEYNWEAAVELVVNAGDPKACSLPRNDWYRLRRSLEILKSTGSPPSSFRIPYDSFRENLNSPDADDLLEDGSSADISIQNIETDLDYDFLCFFLSSPRVALYRSIDFRCEDMLSGPNGVLSEARWLLDLGLLPNTNPATRAIGYRQAMEYLLKCRRYDGESSPREFYGFLNKFQTASRNFAKRQMTWFRCEPMYHWLNASKPLDSILQCIYDAYESEGETVEIPESLRMSKDVRDSREASELKLYRSKNRHFVRREDCDPVLEWIRSEGCKSEASCVETAVA >fgenesh2_kg.6__2037__AT5G20050.1 pep chromosome:v.1.0:6:8506217:8507744:1 gene:fgenesh2_kg.6__2037__AT5G20050.1 transcript:fgenesh2_kg.6__2037__AT5G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LZE6] MEDKKANIIATILIIALVVVIIAARVSLKLSKTFYLIAGVDISLILAVICFLIIRSRYNKERKLLVSRFVSEGRELRIEYSFLRKVAGVPTKFKLEDLEEATDGFRSLIGKGGSGSVFKGVLKDGSQVAVKRIEGEEKGEREFRSEVAAIASVQHKNLVRLYGYSSAVSANRPRFLVYDYIVNSSLDIWIFPDRGNRRRSGGGCLSWEQRYQVAIDVAKALAYLHHDCRSKILHLDVKPENILLDENFRAVVTDFGLSKLIARDESRVLTDIRGTRGYLAPEWLLEHGISEKSDVYSFGIVLLEMIGGRRSISRVEVKETKKKKLEYFPRIVNQKMRERKIMEIVDQRLIEANEVNEEEVMKLVCVALWCIQEKSKNRPDMTMVIEMIEGRVPVKEPPDSDVVVVDLLAADDDDASTGVRRVKNIPKLQIHRERNFRLSSICSSIISPISPR >fgenesh2_kg.6__203__AT5G02910.1 pep chromosome:v.1.0:6:794713:796676:1 gene:fgenesh2_kg.6__203__AT5G02910.1 transcript:fgenesh2_kg.6__203__AT5G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M7X4] MITGDSIDGVDFISSLPDVILHHILSSVPTKSAIRTSLLSKRWRYVWSETPSLSIDCRRTDPNSIEKTLAFFSAPKITSFHLCTSLLNRIDPLNSWIEFAISHDSEKLSLEFRDSRVRDYKFPDFFYTNSSVKQLLVNSGSVDLIPRCSVSWTSLKNLSLSFCTLSDESFLKILSGSPLLESLELLYCAEFMCLDLSQSPRLKRLEIDRSDWFMGQTKIVAPHLHCLRLRHSRLPCSLVDVSSLTEADLNIYFCDLGTLTAGFLQHNVVKMLQMLQNVEKLTIGGTFLQMLSLAALCGVPFPMLKVKTLTLETMIIRSVIPGITELLRNTPGLRKLTIHTVKGSSISELHLNAYLRLHSLNQRQCWRSKDSVFPGSLETISMLVGKHAESNLVALFMERLLKSTKSLETMVVLLVGYLDASGFEELLAMATTLSHNNDVSVLIKRSSIKYVSNTFPQR >fgenesh2_kg.6__2041__AT5G20070.1 pep chromosome:v.1.0:6:8512413:8514987:1 gene:fgenesh2_kg.6__2041__AT5G20070.1 transcript:fgenesh2_kg.6__2041__AT5G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALFLSSSSYQTLSFFSRSVTLSLARRTTLSALTMSMNLKTHAFAGNPLKSKTPKSTDPFSPTSAFESLKTLIPVIPNHSTPSPDFKVLPFSKGRPLVFSSGGDANTTPIWHLGWVSLADCKVLLASCGVDLNEESLVYLGPKEEEDLVYWAVDLAEDGFVSELGGRKLCFVELRTLMVAADWADQRAMDELAIAGNARALLEWHIASQFCGSCGGKTVPKEAGRRKQCSNETCRKRVYPRVDPVVIMLVIDRENDRALLSRQSRYVPRMWSCLAGFIEPGESLEEAVRRETWEETGIEVGEVVYHSSQPWPVGPSSMPCQLMLGFFAFAKTLDINVDKEELEDAQWHSREEVKKALAVAEYRKAQRTAAAKVEQICKGVERSQSLSTDFNLESGELAPMFIPGPFAIAHHLISAWVDQAPGDVHAKQQAGVSLSSL >fgenesh2_kg.6__2045__AT5G20090.1 pep chromosome:v.1.0:6:8519976:8521672:-1 gene:fgenesh2_kg.6__2045__AT5G20090.1 transcript:fgenesh2_kg.6__2045__AT5G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:D7LZF0] MATSRFQAFLNSPVGPKTTHFWGPIANWGFVAAGLVDMQKPPEMISGNMSSAMCVYSALFMRFAWMVQPRNYLLLACHASNETVQLYQLSRWARAQGYLSSKKEEEKPSQ >fgenesh2_kg.6__2047__AT5G20110.1 pep chromosome:v.1.0:6:8524372:8526284:-1 gene:fgenesh2_kg.6__2047__AT5G20110.1 transcript:fgenesh2_kg.6__2047__AT5G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEERPKKSKKKSLMNFYKFSITSSKHSLINPKSKPKIPITPSICQEEVEEKPIVQSNKTQNHVMRDIFELETTCSRNNERKKGGGAAEEGRKSVSHVERDTTARIEAAAEMLTVRILAADMPGFMQAHAFRCARMTLDSLEKFSSKHMAFNLKKEFDKGYGPAWHCIVGSSFGSFVTHSTGCFIYFSMDKLYILLFKTKVRPASPH >fgenesh2_kg.6__2049__AT5G20130.1 pep chromosome:v.1.0:6:8530772:8532114:1 gene:fgenesh2_kg.6__2049__AT5G20130.1 transcript:fgenesh2_kg.6__2049__AT5G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVMRLLINPSSSSSPLLHLCSSHRTLDHNSVFSLRTTITKSKARFSCLFSGGNQREEQARKSLESALGEKKTEFDKWDKEIKKREESGGGNGRGGGGWFGGGGWFSGGHFWNEAQQIAFTLLAILVVYMVVAKGEVMAAFVLNPLLYALRGTREGLTSLSSKLMGREASKVSGDNSEEMWKKEGSTAKENVVRKWGSD >fgenesh2_kg.6__2052__AT5G20160.2 pep chromosome:v.1.0:6:8537355:8538727:-1 gene:fgenesh2_kg.6__2052__AT5G20160.2 transcript:fgenesh2_kg.6__2052__AT5G20160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 family protein [Source:UniProtKB/TrEMBL;Acc:D7LZY5] MTGEAVNPKAYPLADSQLSITILDLVQQATNYKQLKKGANEATKTLNRGISEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEASQLKSQIQHLKDAIEKLLI >fgenesh2_kg.6__2054__AT5G20165.1 pep chromosome:v.1.0:6:8539197:8540648:-1 gene:fgenesh2_kg.6__2054__AT5G20165.1 transcript:fgenesh2_kg.6__2054__AT5G20165.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kish [Source:UniProtKB/TrEMBL;Acc:D7LZY6] MSALFNFHSFLTVVLLVICTCTYLKMQFPAILEQKTGFRGFFWKAARIGERLSPWVSAGCFMMGVSIIFF >fgenesh2_kg.6__2056__AT5G20180.1 pep chromosome:v.1.0:6:8544305:8545580:1 gene:fgenesh2_kg.6__2056__AT5G20180.1 transcript:fgenesh2_kg.6__2056__AT5G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:D7LZY8] MKVRSSVKKMCEFCKTVKRRGRVYIICSSNPKHKQRQGFSSFAYEGIIPSPLLSESIASQEMTRLPGQGVSAGLASLLHKRPMPTAFFGWRSGLASILFKQGN >fgenesh2_kg.6__2058__AT5G20190.1 pep chromosome:v.1.0:6:8548204:8549494:1 gene:fgenesh2_kg.6__2058__AT5G20190.1 transcript:fgenesh2_kg.6__2058__AT5G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSASTPLLNSLVHVSSPRESPIEAAESVHQIQRHRSLTLSASSSCCCYSPMSVKSSDESSRKMKRTASESDLRHWTSTKPPVSKFLSGGALMEDMEEGIGFGLIRTSSYDGISWALEEDTEVTGGGGGGMFHGGGKGRSGGRSDGGDDNTDVHYRKMIEANPGNGIFLSNYARFLKEVRKDYLKAEEYCGRAILVSPNDGNVLAMYAELVWKIHKDSSRAETYFNQAVAAAPEDCYVQASYARFLWDAEEEEEEEKEERHEEELEHQTSRMNFFTGPSPITAMS >fgenesh2_kg.6__2059__AT5G20200.1 pep chromosome:v.1.0:6:8550827:8556241:1 gene:fgenesh2_kg.6__2059__AT5G20200.1 transcript:fgenesh2_kg.6__2059__AT5G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGEATFSAAATATATSSYPTGGVGGKLKRQSARRHAATPYSRPPQNQLQRRPWISRIVDPAYRIISGGATRILPYFFSKATSPPALTAPEDQDQHQGELQNGPLDNDTSVTPSLNKPESASIEVGGPSGTANVNEGNFSISAQGRGKAALNDAVAISELERLMEGKTFSQAETDRLIEILSSRAIDLPDVKRDERNSEIPLREGAKKNMSLYDKTRDPIGVKDSNSELWATPAPLAKSIVSCLLDGDKQIQDEVGLSPAELAKAYMGGQTSSTSSQGFVARNEKDSLDRSMLVGKSSLASPSSKPSACWPGIKSSEQSGFATPQSRRESYGLQNFPRTPYSRTILSNSKSKLMQLQNDSSKRLSNLQSPSQSVETRYGQLSKGRDGGLFGPSRRSRQSATPTMVSPYSRPSRGISRFENSAIMKSSEAGESSYLSRSQTTTYGKHKESEVGTPIVPTHSSQIARTILDHLERTQSQSTPKNKSSELKLATSWRYPQSSKTVEQSSSDVNNVKKDGSAKLNEDIQNIFSQNLSSSVLKQPATTTGDTQNGMNKTASASNGIFRGTQTASPALQYELGKPKGSLSRSTHDEVVTSSRDSAKAVPYSFGGETANLSKPPSHSLGNNKPVLPSISVAKPFQKWAVPSGSNAGFTFPVSSSDGATSSEPTTPSIMPFTASPAASGGGVAITNHHEARKDDEIPQFSFDGNRGGDKSPLVFAFPSMSEEVVNEDDDARLNIKYTFGSENPERISFSSAGSDGVCC >fgenesh2_kg.6__2062__AT5G20230.1 pep chromosome:v.1.0:6:8560964:8562150:1 gene:fgenesh2_kg.6__2062__AT5G20230.1 transcript:fgenesh2_kg.6__2062__AT5G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFKTVTFMVLVFAAVVVFAEDYDVGDDTEWTRPMDPEFYTTWATGKTFRVGDELEFDFAAGRHDVAVVTQDAFENCEKEKPISHMTVPPVKIMLNTTGPQYFICTVGDHCRFGQKLSINVVGAGASGGATPGAGGGATPAPGSTPSAGGTTPPTAGGTTTPSGSNGTTTPAGNAASSLGGATFLVAFVSAVVALF >fgenesh2_kg.6__2063__AT5G20240.1 pep chromosome:v.1.0:6:8563581:8566113:1 gene:fgenesh2_kg.6__2063__AT5G20240.1 transcript:fgenesh2_kg.6__2063__AT5G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pistillata [Source:UniProtKB/TrEMBL;Acc:D7LZZ3] MGRGKIEIKRIENANNRVVTFSKRRNGLVKKAKEITVLCDAKVALIIFASNGKMVDYCCPSMDLGAMLDQYQKLSGKKLWDAKHENLSNEIDRIKKENDSLQLELRHLKGEDIQSLNLKNLMAVEHAIEHGLDKVRDHQMELLNSKRRNVKMIMEEHRQLTFQLQQQDMAIASNARGMMMRDHDGQFGYRVQPIQPNLQEKIMSLVID >fgenesh2_kg.6__2069__AT5G20280.1 pep chromosome:v.1.0:6:8579942:8585509:-1 gene:fgenesh2_kg.6__2069__AT5G20280.1 transcript:fgenesh2_kg.6__2069__AT5G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSPS1F [Source:UniProtKB/TrEMBL;Acc:D7LZZ6] MAGNDWVNSYLEAILDVGQGLDDARSSPSLLLRERGRFTPSRYFVEEVITGYDETDLHRSWVKAVATRSPQERNTRLENMCWRIWNLARQKKQHEEKEAQRLAKRRLEREKGRREATADMSEEFSEGEKGDIISDISTHGESTKPRLPRINSAESMELWASQQKGNKLYLVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVSSPDVDYSYGEPTEMLTPRDSEDFSDEMGESSGAYIVRIPFGPKDKYIPKELLWPHIAEFVDGAMNHIMQMSNVLGEQVGVGKPIWPAAIHGHYADAGDATALLSGALNVPMLLTGHSLGRDKLEQLLRQGRLSKEEINSTYKIMRRIEGEELSLDVSEMVITSTRQEIDEQWRLYDGFDPILERKLRARIKRNVSCYGRFMPRMVKIPPGMEFNHIVPHGGDLEDTDGNEEHPTSPDPPIWAEIMRFFSNSRKPMILALARPDPKKNITTLVKAFGECRPLRELANLALIMGNRDGIDEMSSTSSSVLLSVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKSKGVFINPAIIEPFGLTLIEAAAHGLPMVATKNGGPVDIHRVLDNGLLVDPHDQQSISEALLKLVADKHLWAKCRQNGLKNIHQFSWPEHCKTYLSRITSFKPRHPQWQSDDGGDNSEPESPSDSLRDIQDISLNLKFSFDGSGNDNYMNQEASSMDRKSKIEAAVQNWSKGKDSRKMGSLEKLEVNSGKFPAVRIRKFIVVIALDFDGEQDTLEATKRILDAVEKERAEGSVGFILSTSLTISEVQSFLVSGGLNPNDFDAFICNSGSDLHYTSLNNEDGPFVVDFYYHSHIEYRWGGEGLRKTLIRWASSLNEKKADSDEQIVTLAEHLSTDYCYTFTVKKPAAVPPVRELRKLLRIQALRCHVVYSQNGTRINVIPVLASRIQALRYLFVRWGIDMAKMAVFVGESGDTDYEGLLGGLHKSVVLEGVSCSASNALHANRSYPLTDVISLESSNVVHAPPDSDVRDALKKLELLKD >fgenesh2_kg.6__206__AT5G02960.1 pep chromosome:v.1.0:6:812801:814108:-1 gene:fgenesh2_kg.6__206__AT5G02960.1 transcript:fgenesh2_kg.6__206__AT5G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S23 [Source:UniProtKB/TrEMBL;Acc:D7M7Y0] MGKTRGMGAGRKLKRLRINQRWADKQYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >fgenesh2_kg.6__2076__AT5G20330.1 pep chromosome:v.1.0:6:8625643:8626777:1 gene:fgenesh2_kg.6__2076__AT5G20330.1 transcript:fgenesh2_kg.6__2076__AT5G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,3-glucanase bg4 [Source:UniProtKB/TrEMBL;Acc:D7M002] MLYLPKKLFLFLSCIVMLSVNYNNSASVTAANSIGLNYGLLGDNLPSPSNVINLYKSIGITKIRIFDPNTEVLNALRGHRDIEVTVGVKDQDLAALAASEEAVKGWFAANIESYLADVNIAFITIGNEVIPGPIGPQVLPVMQSLTNLVKSRNLPILISTVVAMSNLEQSYPPSAGMFTSQAREQLVPVLKLLSQTSTPILVNIYPYFPYASDPVNIPLNYATFNTEAILVQDGPLGYSNMFEAIFDAFVWAMEKEGVKDLPMVVSETGWPSAGNGNFTTPDIASTYNRNFVRHIASGKGTPKRPNKGIDGFLFATFNENQKPVGTEQNFGLYNPSDMKPIYKLF >fgenesh2_kg.6__2079__AT5G20360.1 pep chromosome:v.1.0:6:8635930:8638323:-1 gene:fgenesh2_kg.6__2079__AT5G20360.1 transcript:fgenesh2_kg.6__2079__AT5G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M004] MEKQNESKSTDAETSQSQLVDDSEVETLDDCVSKVETLDDCVSKVETFVDCVSKVETLDDCVSKVETFVDCVSKVESLDDCVSKAQGLKEEGNKLFQKRNYDGAMFKYGEAIKILPKDHVEVSHVRANMASCYMQLEPGEFSKAIYECDLALSVTPDHSKALLKRARCYEALNKLDLALRDVCMVSKLDPKNPMASEIVEKLKRTLESKGLRVNDSLIELPPDYVEPVEASPAALWAKLGKSRVKKTKKSNQVQEKSEGENVETGKKNIVAAEKGKDKIIDKQSKKNGKGKQLDKRSDMSKEKEKVIIEEELLVVGVEDVNKDVKFVYSDDIRLAELPINCTLFKLREVVHERFPSLRAVHIKYRDQEGDLVTITTDEELRMSEVSSRSQGTMRFYVVGVSPEQDPFFGRLVEMKKLKITADSFKSKVYGRGGCKVEDWMIEFAQLFKTQARIDSDTCLNLQELGMKLNSEAMEEVVTSNAAQGPFDRAAHQFQEVAARSLLKLGNVHMSGARKRLSLLQGVSGESVSDQVKTAYECAQKEHAKAKEKYEEAMKIKPEFFEVFLALGLQQFEEARLSWYYVLVCHLDLKTWPYADVVQFYQSAESNIKKSMEVLKNLETKKESETSQAGKTDCLTHEKVPGSSTQNNPAKEAGRLKSWIDILLCTVLYEKSIMEYKLDQPFWRESLEAATEKFELAGTCRDDVVALISEDYVAGNTLRDLRIHMEEIIQIYNEIYEAKHWTNGIPSDQLEEILKRRAENIFHVSNIAIQRG >fgenesh2_kg.6__207__AT5G02970.1 pep chromosome:v.1.0:6:814513:817366:1 gene:fgenesh2_kg.6__207__AT5G02970.1 transcript:fgenesh2_kg.6__207__AT5G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7M7Y1] MEEIRGVPTWQEELASLVDAGLRYDGAPIDLTAATKRSGFVSADGSGSEPKETLKDQVTGFMKSWGEMLLELAKGCKDIVQQTVVTEDSFVVRKLRKPAAKVSKKLSFLNEFLPEDRDPIHAWPVIFFVFLLALAALSFSPEHDRPVTVIKKLRLHPTGATRVQLPDGRYIAYQELGVSAEKARYSLVTPHSFLSSRLAGIPGVKKSLLVEYGVRLVSYDLPGFGESDPHRGRNLSSAASDMINLAAAIGIDEKFWLLGYSTGSMHTWAAMKYFPGKIAGAAMVAPVINPYEPSMAKEEMVKTWEQWLTKRKFMYFLARRFPILLPFFYRRSFLSGKLDQLDEWMALSLGEKDKLLIKDPTFQEFYQRNVEESVRQGITKPFVEESVLQVSNWGFTLSEFRTQKKCTTNGVLSWLMSMYSEAECELIGFRKPIHIWQGMEDRVAPPSMSDYISRMIPEATVHKIPNEGHFSFFYFCDECHRQIFYALFGEPKGQLERVKETKDTLVETEAHMDTY >fgenesh2_kg.6__2083__AT5G20400.1 pep chromosome:v.1.0:6:8650694:8652107:1 gene:fgenesh2_kg.6__2083__AT5G20400.1 transcript:fgenesh2_kg.6__2083__AT5G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPKFKTVQEVVAAGEGLPVRYLHVPTGDGEVQPLNAAVPEMDIPAIDLSLLLSSSEDGREELSKLHSALSTWGVVQVMNHGITEAFLDNIYKLTKEFFALPTEEKQKCAREIGSIQGYGNDMILWDDQVLDWIDRLYITTYPEDQRQLKFWPQVPLGFKETLHEYTMKQRIVIEQFFKAMARSLELEENSFLDMYGESATMDTRFNMYPPCPRPDKVIGVKPHADGSAFTLLLPDKDVEGLQFLKDGKWYKAPIVPDTILINVGDQMEIMSNGIYKSPVHRVVTNREKERISVATFCIPGADKEIQPVDELVSEARPRLYKTVKKYVELYFKYYQQGRRPIEAALI >fgenesh2_kg.6__2084__AT5G20410.1 pep chromosome:v.1.0:6:8652475:8654704:1 gene:fgenesh2_kg.6__2084__AT5G20410.1 transcript:fgenesh2_kg.6__2084__AT5G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVMSLAEKVLERVYGTSKSAVSVAGGESEKTHRHTHHHIHRSKSYDDSDEDESSMELVQIGAERTKNVLILMSDTGGGHRASAEAIRDAFKIEFGDKYRIIVKDVWKEYTGWPLNDMERSYKFMVKHVQLWKVAFHSTSPKWIHSCYLAAIAAYYAKEVEAGLMEYKPEIIISVHPLMQHIPLWVLKWQELQKRVLFVTVITDLNTCHPTWFHPGVNRCYCPSQEVAERALFDGLDESQVRVFGLPVRPSFARAVLVKDDLRKELEMDQDLRAVLLMGGGEGMGPVKETAKALEEFLYDKENRKPIGQMVVICGRNKKLASALEAIEWKIPVKVRGFETQMEKWMGACDCIITKAGPGTIAESLIRSLPIILNDYIPGQEKGNVPYVVENGAGVFTRSPKETARIVGEWFSTKTDELEQTSENARKLAQPEAVFDIVKDIDELSEQRGPLANVSYTLTSSFASLV >fgenesh2_kg.6__2087__AT5G20480.1 pep chromosome:v.1.0:6:8675599:8679034:1 gene:fgenesh2_kg.6__2087__AT5G20480.1 transcript:fgenesh2_kg.6__2087__AT5G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-TU receptor [Source:UniProtKB/TrEMBL;Acc:D7M014] MKLSFPLAFNALMLLLQVCCVVFAQARFSNETDMKALLEFKSQVSENKREVLASWNHSSPLCNWIGVICGRRQERVISLNIGGFKLTGVISPSIGNLSFLRFLNLGDNSFGSTIPQEVGMLFRLQYLNMSYNLLQGRIPPSLSNCSRLSTVDLSSNQLGHGVPSELGSLSKLAILDLSKNNLTGNFPASFGNLTSLQKLDFAYNQMGGEIPDEVARLTHMVFFQIALNSFSGGFPPALYNISSLEFLSLADNSFSGNLRADFGDLLPSLRWLLLGSNQFTGAIPITLANISSLEWFDISSNYLTGSIPLSFGKLRNLWWLGIRNNSLGYNSSSGLEFIGALANCTQLEHLDVGYNRLGGELPASMANLSTKLTSLFLGQNLISGTIPYDIGNLISLQELSMETNKLSGELPVSFGKLLNLQVVDLYSNAISGEIPSYFGNMTQLQKLHLNSNSFHGRIPQSLGRCRYLLDLWIDTNRLNGTIPREILQIPSLAYIDLSNNFLTGHFPEEVGKLELLVGLGASYNKLSGQIPQAIGGCLSMEFLYMQGNSFDGAIPDISRLVSLTNVDFSNNNLSGRIPRYLTNLPLLRNLNLSMNNFEGSVPTTGVFRNATAVSVFGNKNICGGVREMQLKPCIVEASPRKRKPLSLRKKVFSGIGIGIASLLLIIIVASLCWFMKRRKKNNASDGNPSDSTTLGMFHEKVSYDELHSATSGFSSTNLIGSGNFGNVFKGLLGHENRLVAVKVLNLLKHGATKSFMSECETFKGIRHRNLIKLITVCSSLDSEGNEFRALVYEFMPKGSLDMWLQPEDQERANEHSRSLTLPEKLNIAIDVASALEYLHVHCHDPVAHCDIKPSNVLLDDDLTAHVSDFGLARLLYKYDRESFLKQFSSAGVRGTIGYTAPEYGMGGQPSIQGDVYSFGILLLEMFTGKKPTDEPFAGDYNLHCYTQSVLSGCTSSGGSNAIDEWLRLVLQVGIKCSEEYPRDRMRIAEVVRELISIRTKFFSSKTTITESPRDAPQSSPQEWMLDADLHTV >fgenesh2_kg.6__208__AT5G02980.1 pep chromosome:v.1.0:6:817761:818789:1 gene:fgenesh2_kg.6__208__AT5G02980.1 transcript:fgenesh2_kg.6__208__AT5G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M7Y2] MKESSEADPPPSPTSFSSLPYDIILNCLARVSRFHYPTLSLVSKGFRSLIASRELYATRSRIGKTESFLYICLNLTKNQNPKYRWFTLPPVPNNQKLLPIRLFPYHLKSSTVISTGSEIYRIGGLLWGNRNKSVSVFDCRSNQSRRLPKMRLPRASAAAHVIDGKIYVIGGYKYNDSQNQGEVYDPKTQTWEPILLTTPLDLTTQVCRKVYDRHGMNICFVEIDNLLCQISVSDGKLNWRHPRGDDIGWARVKRLEQERFGNHLVYVEKSGGGRRVTVWWNSVVFHYRSREYETEIWCAEISFERHGLEELWGFVEWSKKVFTFNGCDSPTNFVMDSAIVTC >fgenesh2_kg.6__2092__AT5G20540.1 pep chromosome:v.1.0:6:8704349:8708504:1 gene:fgenesh2_kg.6__2092__AT5G20540.1 transcript:fgenesh2_kg.6__2092__AT5G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIPRSKRADDESSGQPDDPDSKHAKSLTSQLKDMALKASGAYRHCTPCTVAQGQGQGQGPIKTNPSTSSVKSDFESDQRFKMLYGRSNSSITSTAAAAAVTQQQPRVWGKEMEARLKGISSGEATPKSASGRNRVDPIVFVEEKEPKEWVAQVEPGVLITFVSLPGGGNDLKRIRFSRDMFNKLQAQRWWADNYDKVMELYNVQKLSRQAFPLPTPPRSEDEKAKVEYHLEDTPATPPLNKERLPRNIHRPAGLAAYSSSDSLDHNSMQSQQFYDSGLLNSTPKVSSISVAKTETSSIDASIRSSSSRDADRSEEMSVSNASDVDNEWVEQDEPGVYITIKVLPGGKRELRRVRFSRERFGEMHARLWWEENRARIHEQYL >fgenesh2_kg.6__2093__AT5G20560.1 pep chromosome:v.1.0:6:8722983:8723974:1 gene:fgenesh2_kg.6__2093__AT5G20560.1 transcript:fgenesh2_kg.6__2093__AT5G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSKKLFLVLLSCVALIISYNKVDSLSTTSVVGLNYGLLGDNLPSPSNVIKFYKSQNVAKIRIFEPNKDVLNALRGNREIGVTVGIKNEDLAALAANKDTVKSWFATNIDPYIADVNITFITVGNQAIPGDIYGPHVLPVEKSPDLDQHHGHYHEPSCVETTFHRSFNTPSTPIFVNIYPYYFYASDPKNVPLEYTNFNTDQIVVKDGALKYSNLFDAIFDAFLWAMEKEGVKGLPLVVSETGWPSAGNGGMTTPALQYTYIGNFVKHVASGKGTPKRPNSRIDGYIFETYNENQKPVGIYQHFGLYDPTEPTPMYKLY >fgenesh2_kg.6__2094__AT5G20570.1 pep chromosome:v.1.0:6:8724292:8725938:-1 gene:fgenesh2_kg.6__2094__AT5G20570.1 transcript:fgenesh2_kg.6__2094__AT5G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring-box 1 [Source:UniProtKB/TrEMBL;Acc:D7M026] MANLDSDVTMIPAGEASSSVAASSFNKKAKRFEIKKWSAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >fgenesh2_kg.6__2095__AT5G20580.2 pep chromosome:v.1.0:6:8726180:8730460:-1 gene:fgenesh2_kg.6__2095__AT5G20580.2 transcript:fgenesh2_kg.6__2095__AT5G20580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDRRASSVNPTTEQDNAMFLDILHEAPLFGHRESRSLVGSCIYLIILAGYAILAAGAPWILQSVEYLIPSLLCSCNVALLMLTGMFQQYFVNQVQKIRLQGYYSFSQKLKHVVRLPFAIMAYGTASMLLFMVWRPYVSVLPIFTVQRFIMSVEAISAASFMIVFVGYVRQYNSVNSQPDVLNSLYSPLQPATLEGLRYHEAGRLSDQQMALLQYQRENLHYLSEEILRLQESLSKYETNGSGTPQVDLAHLVATRDQELRTLSAEVDQLHSELNLARSLISERDREIQHVRNTNNQYVAENERLRAILGEWSMRAAKLERALEVERISNLELRKKVSALRDQRQVA >fgenesh2_kg.6__2097__AT5G20590.1 pep chromosome:v.1.0:6:8736451:8739108:1 gene:fgenesh2_kg.6__2097__AT5G20590.1 transcript:fgenesh2_kg.6__2097__AT5G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSSFPRTIVSYTVTTSLFVVIFLCSVFFFTRRSLEPSLSPYYAADIPLSAVDLPPPTPLLPQIEPHDGDVTVETKQKEVGDSRRGGDDVAVEIELKLKDVEDSRTETTEVEEEGGEGSRGESVDHAVAEKMRGCDLYKGSWVKDDDEYPLYQPGSCPYVDEAFDCQRNGRRDSDYLNWRWKPDGCDLPRFNATDFLVKLRGKSLMLVGDSMNRNQFESMLCVLREGLSDKSRMYEVHGHNITKGRGYFVFKFVDYNCTVEFVRSHFLVREGVRANAQGNTNPTLSIDRIDKSHAKWKRADILVFNTGHWWVHGKTARGKNYYKEGDYIYPKFDATEAYRRSLKTWAKWIDENVNPKKQLVFYRGYSSAHFRGGEWDSGGSCNGEVEPVKKGSIIDSYPLKMKIVEEAIKEMQAHVILLNVTKLTNFRKDGHPSIYGKTNTDGKKVSTRRQDCSHWCLPGVPDVWNHLIYASLLLQPHS >fgenesh2_kg.6__2099__AT5G20610.1 pep chromosome:v.1.0:6:8743689:8747992:1 gene:fgenesh2_kg.6__2099__AT5G20610.1 transcript:fgenesh2_kg.6__2099__AT5G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVNSEESSSSQKLLKEVETIGEALYVNKNPRGSVAGPNKTPTKPLSRSNLAEPHKEKKSFWNWPLRAINHVRNRRFNCCFSAQVHSIEGLPPIFQDLSLTVHWKRRDESLSTRPAKVSNGRAEFKDKLTHTCSVYGSRSGPHHSAKYEAKHFLLYVAQVGSPEIDLGKHRMDLTKLLPLTLEELQDEKSSGKWSTTFQLTGKANGATLSMSFGYTVVGDTRNPASSGSTQNFRSSSSVKQTSNNTGLTRTISAKSSLGNGKSTARRYDHSIVNKESHPSSQNMEEIKDLHEILPAAQSDLGSSVNTLYQKFDEEKVDPPNESQFEFDVVTKYIEPVESISHENEDANALQSELGTGNETVVPFEEIKKAGEVPTAGSDEVGTEIFPSEESLVNGNETDAPFEVLKKAGEVPTAGRDEVGTEILPSEEPSVNGNETDVPFEELMIVGEATIDRSEEAVEIGTEKLAPEEGNKVSPKDEESVVPQDAEEVVNGERDLKEMIMKDLESALKSIEMLETTASEDEEDRENHGDEDKCFITPMKEAAPSCSRDVAESVASEFLDMLGIEHSPFGLSSESEPESPRERLLREFEMETLAAGSLFDLSIEGDDPQMECDENFSNEYESDFEEGFDLASLVHDIEEELGNRILMREWGMNENTFQNCPPHNGRDAFHPADFPVKEPFDLPPLGDGLGPVVQTKNGGFLRSMNPLLFRNSKAGGSLIMQVSNPVVVPAEMGSGIMEILQKLATAGIEKLSMQANKVMPLDDVTGKTMEEVLWETSPTIDGGDRDHVLVHESDDAAGFVRGAERRTSFAAKPKKFGSSSGNNTFDSEYVSLEDLAPLAMDQIEALSLEGLRIQSGMSDEDAPSDITAQSIGDISAFQGKSGCVGLEGAAGLQLLDIKDDGDDDDDDGLMGLSLTLDEWMKLDSGDIGDEDEINERTSKILAAHHANPLNFIRKGSKGEKRKGKKGRKCGLLGNTFTVALMVQLRDPLRNYEPVGAPMLSLIQVERLFVPPKPKIYSTVSELRKTDEEEEAEASDAKKEEKPMEEQGIPKYKISEVHLTGMKSETDKKPWGITTQQQQVQSGSRWLMANGMGKGNNKLPLMKPKLGSTKPGDKLWGVSGSGSKWKELGKMGKLNTHVRNPNVIMPK >fgenesh2_kg.6__20__AT5G01820.1 pep chromosome:v.1.0:6:79580:81430:1 gene:fgenesh2_kg.6__20__AT5G01820.1 transcript:fgenesh2_kg.6__20__AT5G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7M6F0] MVDSDTVEFPPENRRGQLFGKYEVGKLVGCGAFAKVYHGRNTATGQSVAIKVVSKLRLQKGGLNGNIQREIAIMHRLRHPYIVRLFEVLATKSKIFFVMEFAKGGELFAKVSKGRFCEDLSRRYFQQLISAVGYCHSRGIFHRDLKPENLLLDEKLDLKISDFGLSALTDQIRPDGLLHTLCGTPAYVAPEVLAKKGYDGAKIDIWSCGIILFVLNAGYLPFNDHNLMVMYRKIYKGEFRIPKWTSPDLRRLLTRLLDTNPQTRITIEEIIHDPWFKQGYDDRMSKFHLEDSDMKLPADDIDGEMGARRMNAFDIISGSPGFNLSGLFGDARKYDRVERFVSAWTAERVVEKLEEIVAAENLTVAKKEAWGMKIEGQKGNFAMVVEINQLTDELVMIEVRKRQRAAASGRDLWTDALRPFFVELVHEPDQTDTHEPSLVNTTS >fgenesh2_kg.6__2100__AT5G20620.1 pep chromosome:v.1.0:6:8748103:8750230:-1 gene:fgenesh2_kg.6__2100__AT5G20620.1 transcript:fgenesh2_kg.6__2100__AT5G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSF >fgenesh2_kg.6__2103__AT5G20640.1 pep chromosome:v.1.0:6:8758285:8759422:1 gene:fgenesh2_kg.6__2103__AT5G20640.1 transcript:fgenesh2_kg.6__2103__AT5G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARSSQTVDPGVSQGYSSESETVLVVRRRPHMVNGGGFIVSNSKQQVVFRVHGCGVLGSKGKLLLRNGDGNDLLLIRKMGGIVQALNMVHKKWEGFGYDNEGAERLVFTLKDPKDSCLVQNSSIKILVHGKPLKISSTRNNYVEITGSFAERACNIINSEGRTIAKVRIEKEIEEMVGNKKDLYHVIVKPNVDQAFIVGLIAILDYIHGESTIC >fgenesh2_kg.6__2106__AT5G20670.1 pep chromosome:v.1.0:6:8769768:8770412:-1 gene:fgenesh2_kg.6__2106__AT5G20670.1 transcript:fgenesh2_kg.6__2106__AT5G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSATDINAKPSSSLPAVESVTCDTCGFAEECTPAYINRVKERHKGHWLCGLCAEAVKDEVVRSSTRISVEEALRRHTTFCHRFRSWSPDEEEDPISVIGRILRRSLDGSPRRTTTRTSSSGALPGIDGVESRRSLLRSGSCFPSLSA >fgenesh2_kg.6__2109__AT5G20690.1 pep chromosome:v.1.0:6:8784072:8786181:1 gene:fgenesh2_kg.6__2109__AT5G20690.1 transcript:fgenesh2_kg.6__2109__AT5G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPGFFLLILLFCFSISPSLQHVSESEPLVRFKSSVNITKGDLNSWRLGTDPCSGKWFGIYCQKGLTVSGIHVTRLGLSGTITVDDLKDLPNLKTIRLDNNLLSGPLPHFFKLRGLKSLMLSNNSFSGEIRDDFFKDMSKLKRLFLDHNKFQGNIPSSITQLPQLEELHLQSNNFTGEIPPEIGNIKNLKVLDLSTNQLEGTVPESIADRKNLVANLTENEYLCGAMIDVECEDINLTEGEGHNRKAPTSVPQTSNTATVHAILVSISLLLMFFIIVGIIRKRNKKKNPDFRMLDNQRNNDAVEVRISESSSTTAKRSTDSSRKRGGHADGGSSKKGLSNIGKGGNGGGALGGGMGDIIMVNTEKGSFGLPDLMKAAAEVLGNGSLGSAYKAVMTTGLSVVVKRIRDMNQLAREPFDVEMRRFGKLRHPNILTPLAYHYRREEKLVVSEYMPKSSLLYVLHGDRGIYHSELTWATRLKIIQGVAHGMKFLHGEFASYDLPHGNLKSSNVLLSETYEPLISDYAFLPLLQPSNASQALFAFKTPEFAQTQQVSHKSDVYCLGIIILEILTGKFPSQYLNNGKGGTDIVQWVQSSVAEQKEEELIDPEIVNNTESMRQMVELLRVGAACIASNPDERLDMRETVRRIEQVKV >fgenesh2_kg.6__2112__AT5G20720.2 pep chromosome:v.1.0:6:8799871:8801611:1 gene:fgenesh2_kg.6__2112__AT5G20720.2 transcript:fgenesh2_kg.6__2112__AT5G20720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQLTASPVTMSARSLASLDGLRASSVKFSSLKPGTLRQSQFRRLVVKAASVVAPKYTSIKPLGDRVLVKIKEAEEKTLGGILLPSTAQSKPQGGEVVAVGEGRTIGKNKIDITVPTGAQIIYSKYAGTEVEFNDVKHLILKEDDIVGILETEDIKDLKPLNDRVFIKVAEAEEKTAGGLLLTETTKEKPSIGTVIAVGPGSLDEEGKITPLPVSTGSTVLYSKYAGNDFKGKDGSNYIALRASDVMAILS >fgenesh2_kg.6__2115__AT5G20730.1 pep chromosome:v.1.0:6:8801722:8807188:-1 gene:fgenesh2_kg.6__2115__AT5G20730.1 transcript:fgenesh2_kg.6__2115__AT5G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:D7M044] MKAPSSNGVSPNPVEGERRNINSELWHACAGPLISLPPAGSLVVYFPQGHSEQVAASMQKQTDFIPSYPNLPSKLICMLHNVTLNADPETDEVYAQMTLQPVNKYDRDALLASDMGLKLNRQPNEFFCKTLTASDTSTHGGFSVPRRAAEKIFPALDFSMQPPCQELVAKDIHDNTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDGKAQLLLGIRRANRQQPALSSSVISSDSMHIGVLAAAAHANANNSPFTIFYNPRWAAPAEFVVPLAKYTKAMYAQVSLGMRFRMIFETEECGVRRYMGTVTGISDLDPVRWKNSQWRNLQIGWDESAAGDRPSRVSVWDIEPVLTPFYICPPPFFRPRFSGQPGMLDDETDMESALKRAMPWLDNSLEMKDPSSTIFPGLSLVQWMNMQQQNGQLPSAATQPGFFPSMLSPTAALHNNLGGTDDPSKLLSFQTPQGGISSSNLQFNKPNPQAPMSQLPQPPTTLSQQQQLQQLLHSSLNHQQQHQQQQQQQLQQQQQQLQSQQHSNNNQSHSQQQQQLLQQQQQQLLQQQNQQPLQQQTQQQQQQQLRTQPLQSHSHHQPQPQQLQQHKLQQQLQLPQNQLYNGQQAAQQLQVQQVSTHHLQPQLVSGSMASSVITPPPSSLNQSFQQQQQSKQLPQAHHLLGASTSQSSVIETSKSSSNLMSAPPQETQFPRQVEQQQPPGVNGQNQQTLLQQKAHHAQAQKIFQQSLLEQPHLQFQLLQRLQQQQQQQQQFLSPQSQLPHHQLQSQQLQQLPPLSQGHQFPSSCTNNGLSTLQPPQMLVSRPQEKQNPPVGGGVKAYSGITDGGDAPSSSTSPSTNNCQISSSGFLNRSQSGPAILIPDAAIDISGNLVQDLYSKSDMRLKQELVGQQKSKASLTDHQLEASASGTSYGLDGGDNNRQQNFLAPTFGLDGDSRNSLLGGANVDNGFVPDTLLSRGYDSQKDLQNMLSNYGGVTNDIGTEMSTSAVRTQSFGVPNVPAISNDLAVNDAGVLGGGLWPAQTQRMRTYTKVQERGSVGRSIDVNRYRGYDELRHDLARMFGIEGQLEDPQTSDWKLVYVDHETDILLVGDDPWEEFVNFVQSLKILSSAEVQQMSLDGNFAGVPVTNQACSGGDSGNAWRGHYDDNSATSFNR >fgenesh2_kg.6__2117__AT5G20740.1 pep chromosome:v.1.0:6:8813183:8813996:-1 gene:fgenesh2_kg.6__2117__AT5G20740.1 transcript:fgenesh2_kg.6__2117__AT5G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHKLFLLAIAFAIIVTATTVHGRHNGAKDIVHSSCEHASYPSLCVRTLSSYSGPTITNRRDLAQAAVKISLSHAQSAAKKLTVVRDSVGKKRQEKAALVDCVEMIGDSVDDLSRTLGVLKHLRISGGSSKEFRWQMSNAQTWASAALTDDDTCLDGFEEIDGDIKTEVKQWMTKVARVTSNALYMINQLDETRGKPHDVHL >fgenesh2_kg.6__2118__AT5G20790.1 pep chromosome:v.1.0:6:8816156:8816965:-1 gene:fgenesh2_kg.6__2118__AT5G20790.1 transcript:fgenesh2_kg.6__2118__AT5G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLESPLEALAFEYASFGVFAVVNNVWTWIAVVTAAVSFWRIRVTTIGVGDGHACVLIEELTGSKSENESGRLEPKSITGPVKETETVTTTEPLMYDDGVTKGKLTMYYEVDVDVDGERCVESVDGELTAVSYGGGLGNCGGEWWEKWERVVRMRNGDDGWYRYVDLTVINGNVVRFWDDTQS >fgenesh2_kg.6__211__AT5G03040.1 pep chromosome:v.1.0:6:830540:833493:-1 gene:fgenesh2_kg.6__211__AT5G03040.1 transcript:fgenesh2_kg.6__211__AT5G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 2 [Source:UniProtKB/TrEMBL;Acc:D7M7Y8] MGKKAKWFSSVKKAFSPDSKKSKQKLAEGQNGVISNPPVVDNVRQSSSSSPPPALPPREVRVAEVIDERNRDLSPPSTADAVNVRATDIPVVPSSSAPGVVRRATPARFAGKSNEEAAAILIQTIFRGYLARRALRAMRGLVRLKLLMEGSVVKRQAANTLKCMQTLSRVQSQIRARRIRMSEENQARQKQLLQKHAKELAGLKNGDNWNDSIQSKEKVEANLLSKYEATMRRERALAYAYSHQQNWKSNSKSGNPMFMDPSNPTWGWSWLERWMAGRPLESSEKEQNSNSNNDNAASVKGSINRNEAAKSITRNGSTQPNTPSSARGTPRNKNSFFSPPTPSRLIQSSRKSNDDDAKSTISVLSERNRRHSIAGSSVRDDESLAGSPALPSYMVPTKSARARLKPQSPLGGTTQENEGFTDKASAKKRLSYPTSPALPKPRRFSAPPKVESGGVAVTNEAGS >fgenesh2_kg.6__2120__AT5G20810.1 pep chromosome:v.1.0:6:8818215:8820034:1 gene:fgenesh2_kg.6__2120__AT5G20810.1 transcript:fgenesh2_kg.6__2120__AT5G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENNAAKLTGIRQIVRLKEILQKWQTVTIGPKSDVPPLAAGKQAVAMISPAINKRLLDVKNGDSDEENCQSPEPPHDVPKGYLAVYVGPELRRFIIPTSYLSHSLFKVLLEKAEEEFGFDQSGALTIPCEVETFKYLLKCMENNLKDLHPDDNSDGDAVAAKEE >fgenesh2_kg.6__2121__AT5G20820.1 pep chromosome:v.1.0:6:8821429:8821988:-1 gene:fgenesh2_kg.6__2121__AT5G20820.1 transcript:fgenesh2_kg.6__2121__AT5G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M048] MAKGGNKLMKLKSVLKKLNSFNTKPNQPQAQTNHGRSSAVSAFPSEDLQTVYVGRTRRPYHVSSDVVSHPLFQQLAAVDGGCGSEDGSISVSCEVVLFEHLLWMLENADADESRPESVHELV >fgenesh2_kg.6__2124__AT5G20840.1 pep chromosome:v.1.0:6:8836083:8843662:-1 gene:fgenesh2_kg.6__2124__AT5G20840.1 transcript:fgenesh2_kg.6__2124__AT5G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7M050] MTSSPSMENGGSGSSGSSALLGCMQDFKLFETQSNFYMIGWNGSGVYRILKIDRLDASELNLREDSTAYTKKECYELLKRIHEGNKVTGGLKLVTVCYGIIGFIKFLGPYYMLLITERREIGEICGHSVYEVSKSDIIALQNSSVLCNTANSRDENRYKRLLCMVDLTKDFFFSYSYNIMRSFQKNICDHESGGTLYKKMFVWNEFLTRGTRHHLRNTLWTVPLVYGFFKQTTLSEAGRNFKLTLIARRSRHNAGTRYLKRGINESGNVANDVETEQIVSEDVPVDRPMQISSVVQNRGSIPLFWSQETSRMKVKPDIVLSKRDLNYEATRLHFENLVERYGIPIIILNLIKTNERKPRESILRAEFANAIDFINKDLPEENRLRFLHWDLHKHFHSKTENVLALLGKVAACALMLTGFFYYELTPAMKLEGYMSLSSSDADMSPHNSSDDDSRDCDSLEKSFRPSKNVANGDYDTKPSRLQRGVLRTNCIDCLDRTNVAQYAYGWAALGQQLHALGIRDAPTIELDDPLSSTLMGLYERMGDTLAYQYGGSAAHNKVFSERRGQWRAATQSQEFLRTLQRYYNNAYMDADKQDAINIFLGTFRPEQGSQAVWELRSDSHSNGRSGEISMGEDERFLVKRCLSDGNILHESHTPMSAMSRKSESISHKGFVSSHQVTHIISESSPDMPAAGDVTLSRCTPSMPSTHFFGDVQKIQHNGSSSIYLSEQEDMSSVSNFVDIEWLSSSENLCENDQLSRPSAVTIYSNAETSSSENIITEAKHSTPAMNESGSSSRKGKEPVETELSVHTKILDDFPDSFKQWVAYGEALCH >fgenesh2_kg.6__2128__AT5G20885.1 pep chromosome:v.1.0:6:8860216:8860939:-1 gene:fgenesh2_kg.6__2128__AT5G20885.1 transcript:fgenesh2_kg.6__2128__AT5G20885.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M055] MSFFIEHSGLIVTQLLYKMAVLITILRWILAWILRYRSRSRSTSSSSSPSVSPSISSQTIKESLSVTTFRDAAERSPAMINDTCAVCLGDLEDGDEVRELRNCSHMFHRECIDRWLDYECCGGDDNNEAEEDNHRTCPLCRTPLLAANTTSCGDWPAKNEPSWAVERLLYLFGDDLHL >fgenesh2_kg.6__2130__AT5G20900.1 pep chromosome:v.1.0:6:8866658:8868445:1 gene:fgenesh2_kg.6__2130__AT5G20900.1 transcript:fgenesh2_kg.6__2130__AT5G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVKDEPRASVEGGCGVADGDGGAAEIAGTGSVEKSINKVRSTEIQTSELTVLPSQLTIFFGGSVTVLDGLPAEKVQEILRIAAKAMETKSSTSISPVQSPALNRAPSFSSTSNVASPAAQPFPIQPISFCRSAADLPIARRHSLQRFLEKRRDRLVNKNPYPTSDIKKTDVSTGNVSIKEEFPTA >fgenesh2_kg.6__2131__AT5G20910.1 pep chromosome:v.1.0:6:8868418:8870351:-1 gene:fgenesh2_kg.6__2131__AT5G20910.1 transcript:fgenesh2_kg.6__2131__AT5G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M058] MDTSSSPSPSEESLKLELDDLQKQLNKKLRFEASVSSIHNLLRDHYSSSSPSLRKQFYIVVSRVATVLKTRYTATGFWVAGLSLFEEAERLVSDAAEKKHLKSCIVQAKEQLSEVDNQPTESSQGYLFEGHLTVDREPPQPQWLVQQNLMSAFASIVAGESSNGPAENTLGETANLMQELINGLDMIIPEILEDGGPPRAPPASKEVVEKLPVIIFSEELLKKFGAEAECCICKENLVIGDKMQELPCKHTFHPPCLKPWLDEHNSCPICRHELPTDDQKYENWKEREKEAEEERKGAENAVRGGEYMYV >fgenesh2_kg.6__2134__AT5G20920.1 pep chromosome:v.1.0:6:8870862:8873581:-1 gene:fgenesh2_kg.6__2134__AT5G20920.1 transcript:fgenesh2_kg.6__2134__AT5G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein synthesis initiation factor eIF2 beta [Source:UniProtKB/TrEMBL;Acc:D7M059] MADENNEIREEQEQLAPFDPSKKKKKKKVVIQEPIEDLAESSQIEKSDSLPVNDGLESSFTGMKKKKKKPAESSALNNESVDAGEDLDELANDEEEGEEGIVLKQRYPWEGSERDYIYDELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPDHVMQYLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYITDYVICLGCKSPDTILSKENRLFFLRCEKCGSQRSVAPIKTGFVARVSRRKT >fgenesh2_kg.6__2135__AT5G20930.1 pep chromosome:v.1.0:6:8875138:8880470:1 gene:fgenesh2_kg.6__2135__AT5G20930.1 transcript:fgenesh2_kg.6__2135__AT5G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDMVMHFSSNSSNQSDHSLPDKIAKLEARLTGKTPSSAKPPQLQQQQVSVWSSASSAAVKVVTSTPPGLSETSISDSDDENTGDFLIRANTKKRQKVQESNNFSVVDHVEPQEAAYDGRKNDAESKTGLDVSKKKQGRGRASSTGRGRGSKTNNEVTKSQFLGAPVSAANQQDVSEQKDFRPDGQLRNGECSLHDEDLTSLRAKIAVLEEELRKSRQDSSEYHHLVRKLENEVKDLKDQEQQGKQKTTKVISDLLISVSKTERQEARTKVRHESLRLGSVGVLRTGTIIAETWEDGQMLKDLNAQLRQLLETKEAIERQRKFLKKRQNGGVDKNDGTDTESGAQEEDIIPDEVYKSRLASIKREEEVVLRERERYTLEKGLLMREMKRIRDEDGSRFNNFPVLNSRYALLNLLGKGGFSEVYKAYDLVDHRYVACKLHGLNAQWSEEKKQSYIRHANRECEIHKSLVHHHIVRLWDKFHIDMHTFCTVLEYCSGKDLDAVLKATPNLPEKEARIIIVQIVQGLVYLNKKSQKIIHYDLKPGNVLFDEFGVAKVTDFGLSKIVEDNVGSQGMELTSQGAGTYWYLPPECFELNKTPMISSKVDVWSVGVLFYQMLFGKRPFGHDQSQERILREDTIIKAKKVEFPVTRPAISNEAKELIRRCLTYNQADRPDVLTMAQDPYLSYSKK >fgenesh2_kg.6__2136__AT5G20935.1 pep chromosome:v.1.0:6:8880748:8881220:1 gene:fgenesh2_kg.6__2136__AT5G20935.1 transcript:fgenesh2_kg.6__2136__AT5G20935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGLWYSGSFTPQISVRCCEIANEPPRPKSKLQVGSPIIIVEAPKVIKTAASMPCLRANSGLVKPGDVGRIVSRKPKDLWAVRLSIGTYLLDGKYFKALELDEGDSD >fgenesh2_kg.6__2137__AT5G20940.1 pep chromosome:v.1.0:6:8881553:8884160:-1 gene:fgenesh2_kg.6__2137__AT5G20940.1 transcript:fgenesh2_kg.6__2137__AT5G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 3 protein [Source:UniProtKB/TrEMBL;Acc:D7M062] MGSSSYLLLGLLLLCCTVAANKEPLENAKYKDPKEPLGVRIKNLMSHMTLEEKIGQMVQVERVNATTEVMKKYFGIMFLGSVFSGGGSVPTPYASPEAWVNMVNEIQKKALSTRLGIPIIYGIDAVHGHNTVYNATIFPHNIGLGVTRDPGLVKRIGEATALEVRATGIQYVFAPCIAVCRDPRWGRCYESYSEDHKIVQQMTEIIPGLQGDLPTGQKGVPYVAGKTKVAACAKHFVGDGGTLRGMNANNTVINTNGLLGIHMPAYYDAVKKGVATVMVSYSSINGLKMHANKKLITDFLKNKLKFRGIVISDYLGVDQINTPLGANYSHSVYAAITAGLDMFMGSSNLTKLIDELTSQVKRKLIPMSRIDDAVKRILRVKFTMGLFENPIADHSLANQLGSKEHRELAREAVRKSLVLLKNGENADKPLLPLPKKANKILVAGTHADNLGYQCGGWTITWQGLNGNNLTIGTTILTAVKNTVDPKTQVIYNQNPDTNFVKSGDFDYSIVVIGEKPYAEGFGDSTNLTISEPGTSTIENVCASVKCVVVVVSGRPVVMQPYISNIDALVAAWLPGTEGQGVADVLFGDYGFTGKLARTWFKTVDQLPMNVGDPHYDPLYPFGFGLITNPN >fgenesh2_kg.6__2139__AT5G20950.1 pep chromosome:v.1.0:6:8884957:8888769:-1 gene:fgenesh2_kg.6__2139__AT5G20950.1 transcript:fgenesh2_kg.6__2139__AT5G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 3 protein [Source:UniProtKB/TrEMBL;Acc:D7M063] MGTLSKVLCLVLLCCAVAAAEGTLKYKDPKQPLGARIRDLMNRMTLQEKIGQMVQIERSVATPEVMKKYFIGSVLSGGGSVPSEKATPETWVNMVNEIQKASLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVGLGVTRDPNLLKRIGAATALEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDYRIVKQMTEIIPGLQGDLPTKRKGVPFVGGKTKVAACAKHFVGDGGTVRGIDENNTVIDSKGLFGIHMPGYYNAVNKGVATIMVSYSAWNGLRMHANKELVTGFLKNKLKFRGFVISDWQGIDRITTPPHLNYSYSVYAGISAGIDMIMVPYNYTEFIDEINSQIQKKLIPLSRIDDAVKRILRVKFTMGLFEEPLADLSFANQLGSKEHRELAREAVRKSLVLLKNGKTGAKPLLPLPKKTGKILVAGTHADNLGYQCGGWTITWQGLNGNDHTVGTTILAAVKNTVAPTTQVVYNQNPDANFVKSGKFDYAIVVVGEPPYAEMFGDTTNLTISAPGPSTIGNVCGSVKCVVVVVSGRPVVIQPYVSTIDALVAAWLPGTEGQGVADALFGDYGFTGKLARTWFKSVKQLPMNVGDLHYDPLYPFGFGLTTKPYKM >fgenesh2_kg.6__213__AT5G03060.1 pep chromosome:v.1.0:6:838369:839479:-1 gene:fgenesh2_kg.6__213__AT5G03060.1 transcript:fgenesh2_kg.6__213__AT5G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M7Z0] MTGKSSARSDKSGKNKISSVATPTRSNLFAQEEILATKHEILKENHENLEKDYKSLEASFQQMNEMNEIMMFHHQNQNKELEEKNLSLLKDLEKERSEKEAYMKELKAMESEKEAIINGLSIKNQELLFAKEKDEEKLKEMKNKYDELKERFDAASSQCSFLKSLFDAENLTSIGASDVAFKNEVIMVDDLDVTINNEVIVVDDHNVNNTATDTIVIIDESDAENDNPPPRERNIIREKSVDVKQEQQSDGPTSSKVNHRLSSSSSSSSSSSDEYVTVQLPFKRSRDIYNKS >fgenesh2_kg.6__2141__AT5G20960.2 pep chromosome:v.1.0:6:8900051:8906052:1 gene:fgenesh2_kg.6__2141__AT5G20960.2 transcript:fgenesh2_kg.6__2141__AT5G20960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKVIEEDKEEAMKSSKTSLVFAINGQRFELELSSIDPSTTLVDFLRNKTPFKSVKLGCGEGGCGACVVLLSKYDPLLEKVDDFTISSCLTLLCSIDGCSITTSDGLGNSRVGFHAVHERIAGFHATQCGFCTPGMSVSMFSALLNADKSHPPSSGFSNLTAAEAEKAVSGNLCRCTGYRPLVDACKSFASDVDIEDLGYNSFCKKGENRDVVLGRLPCYDHASSQVCTFPEFLKNEIKNDIMSLDSRKYRWSSPVSVSELQELLEAENGVSVKLVAGNTSTGYYKEEKERKYERFVDIRRIPELTMVRSDEKGVELGACVTISKAIEVLREEENVPMLAKIATHMEKIANRFVRNTGTIGGNVMMAQRKQFPSDLTTILVAARATVKIMTSSSGQEQFTLEEFLQQPPLDAKSLLLSLEIPSWRPAKKNGSSLDTILLFETYRAAPRPRGNALAFLNAAFSAEVSSSEALDGIVVNDCQLVFGAYGTKHAHRAKKVEDFLTGKVISDEVLIEAIGLLKDEIVPDKGTSNPEYRSSLAVTFLFEFFGSLTQTNAKTTNGWLNGGCKEIGFDQNVESLKPEEAMLSSAQQIVENQEHSPVGKGIKKAGACLQASGEAVYVDDIPAPENCLYGAFIYSTMPLAWIKGIRFKQNRVPEGVLGIITYRDIPKDGKNIGTNGFFTSDLLFAEEITHCAGQIIAFLVADSQKHADIAANLVEIDYDTKDLEQPILTLEEAVEKSSFFEVPPPLRCYPVGDITKGMDEAEHKILGSKISFGSQYFFYMETQTALAVPDEDNCMVVYSSSQTPEFVHQTIAGCLGVPEHNVRVITRRVGGGFGGKAVKSMPVAAACALAASKMQRPVRTYVNRKTDMITTGGRHPMKVTYSVGFKSNGKITALDIEVLLDAGLTEDISPLMPKGIQGALMKYDWGALSFNVKVCKTNTVSRTAVRAPGDVQGSYIGEAIIEKVASYLSIDVDEIRKINLHTYESLRLFHSGKAGECSEYTLPLLWDKIDEFSGFNQRRKVVEDFNASNKWRKRGISRVPAVYAVNMRSTPGRVSVLSDGSIVVEIQGIEIGQGLWTKVKQMAAYGLGLIQCGTTSDELLKKIRVIQSDTLSMVQGSITGGSTTSEASSEAVRICCDGLVERLLPVKTALVEQTGGPVTWDNLISQAYRQSINMSVSSKYMPDSTGQYLNYGIAASEVEINVLTGETTILRTDIIYDCGKSLNPAVDLGQIEGAFVQGLGFFMLEEFLMNSDGLVVTDSTWTYKIPTVDTIPRQFNVEILNSGQHKNRVLSSKASGEPPLLLAASVHCAVRAAVKEARKQILTWNSNQQGTDLYFELPVPATMPVVKEFCGLDVVEKYLEWKIKQRKNV >fgenesh2_kg.6__2143__AT5G20980.2 pep chromosome:v.1.0:6:8906174:8911569:-1 gene:fgenesh2_kg.6__2143__AT5G20980.2 transcript:fgenesh2_kg.6__2143__AT5G20980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLALQRLPPLASLPRRPPSLPPPSLPCATVSRRPLRPGFYVARAMSSHIVGYPRIGPKRELKFALESFWDGKTSVDDLQNVAANVRKSIWKHMADTGIKYIPSNTFSYYDQMLDTTAMLGAVPSRYGWESGEIGFDIYFSMARGNASAHAMEMTKWFDTNYHYIVPELGPNVKFSYASHKAVVEFKEAKALGIDSVPVLIGPMTYLLLSKPAKGVDKSFCLLSLIDRILPVYKEVLADLKSAGARWIQFDEPILVMDLDTSQLQAFSDAYSYMESSLAGLNVLIATYFADVPAESYKTLMSLKCVTGFGFDLVRGLETLDLIKMNFPRGKLLFAGVVDGRNIWANDLSASLKTLQTLEDIVGKEKVVVSTSCSLLHTAVDLVNEIKLDKELKSWLAFAAQKVVEVNALAKSFSGAKDEALFSSNSMRQASRRSSPRVTNAAVQQDVAALKKSDHHRSTEVSVRLQAQQKKLNLPALPTTTIGSFPQTTDLRRIRREFKAKKISEVDYVQTIKEEYEKVVKLQEELGIDVLVHGEAERNDMVEFFGEQLSGFAFTSNGWVQSYGSRCVKPPIIYGDITRPKAMTVFWSSMAQKMTQHPMKGMLTGPVTILNWSFVRNDQPRHETCFQIALAIKDEVEDLEKAGVTVIQIDEAALREGLPLRKSEQKFYLDWAVHAFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFHEGVKYGAGIGPGVYDIHSPRIPSTEEMAERINKMLAVLDSKVLWVNPDCGLKTRNYSEVKSALSNMVAAAKLIRSQLNKS >fgenesh2_kg.6__2145__AT5G20990.1 pep chromosome:v.1.0:6:8911841:8916780:-1 gene:fgenesh2_kg.6__2145__AT5G20990.1 transcript:fgenesh2_kg.6__2145__AT5G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHEGCCSGGGGKTEMIPTEEALRIVLGVSKRLPPVIVSLYEALGKVLAEDIRAPDPLPPYPASVKDGYAVVASDGPGEYPVITESRAGNDGLGVTVTPGTVAYVTTGGPIPDGADAVVQVEDTKVIGDVSTESKRVKILIQTKKGTDIRRVGCDIEKDATVLKTGERIGASEIGLLATAGVTMVKVYPMPTVAILSTGDELVEPTAGTLGRGQIRDSNRAMLVAAVMQHQCKVVDLGIVRDDKKELERVLDEAISSGVDIILTSGGVSMGDRDFVKPLLEKKGKVYFSKVLMKPGKPLTFAEISAKPTESMLGKTVLAFGLPGNPVSCLVCFNIFVVPTIRQLAGWTSPHPLRVRARLQEPIKSDPIRPEFHRAIIKWKDNDGSGTPGFVAESTGHQMSSRLLSMRSANALLELPATSNVLSAGTSVSAVIVSDISGFSIDKKASLSEPGSIRKEKKYDEEPGPEYKVAILTVSDTVSAGAGPDRSGPRAVSVVDSSSEKLGGAKVVATAVVPDEVERIKDILQKWSDVDEMDLILTLGGTGFTPRDVTPEATKKVIERETPGLLFVVMQESLKITPFAMLSRSAAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPKHIPHAEATRPTDTWDQSYKLAYATGEKKEEAGCSCTH >fgenesh2_kg.6__2148__AT5G21050.1 pep chromosome:v.1.0:6:8932480:8933541:1 gene:fgenesh2_kg.6__2148__AT5G21050.1 transcript:fgenesh2_kg.6__2148__AT5G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSSSHDSPPSPAITGDSETTITTDPESNTKCQTAIQSLSTIVTTTNIPSTISTLLDDEAVSTAISSLLLRPDSGAGDNNLCRWLYDTFQSAEPSLQILVLRFVPLIAGLYLSRVPLRQPQAGFEAVLLALYAHETTSRAGQAITVNIPDLSHPSIYHESKGLTRNNSTCLNIAVISSTLDPHGTVRSTRRARIVGVALELYYSKISKMPRESKLNFCESCEKWAGQNGETEQSSRAVIPTLSDDSWREEENVAIGGRAERDSGRIPLPWELLQPILRILGHCLLGLKMEDRELSEAANKACQSLYLRSLHDINPKAILATGSLLRLREMALDPKNQIDHTEISNDTVLSV >fgenesh2_kg.6__214__AT5G03070.1 pep chromosome:v.1.0:6:840351:843749:-1 gene:fgenesh2_kg.6__214__AT5G03070.1 transcript:fgenesh2_kg.6__214__AT5G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:D7M7Z1] MADDGSASNRRDPIKSSVGHVAGQRRRQQAVTVAKERRELLVRAKRLCRVGTNGDVEDSLVENEMMIDEEQPILEAQASKSVEELKSAVQYQGKGAMQKRVTALRELRRILSKSEFPPVEAALSAGAIPLLVQCLSFGSPDEQLLESAWCLTNIAAGKPEETKALLPALPLLIAHLGEKSSAPVAEQCAWAIGNVAGEGEDLRNVLLSQGALPSLARMIFPDKGSTVRTAAWALSNLIKGPESKAAAQLVKVDGILDAIFRHLKKTDEETATEIAWIIVYLSALSDIATSMLLKGGILQLLIERLANSNSLQLLIPVLRSVGNFVAVDPKAILTILIREQNTEESIIGVLAKCLRSEHRVLKKEAAWVLSNIAAGSIEHKRMIHSTEVMPLLLRLLSTSPFDIRKEVAYVLGNLCVESAEGDRKPGIIQEHLVSIVRGGCLPGFIELVRSPDIEAARLGLQFIELVLRGMPNGEGPKLVEGEDGIDAMERFQFHENEELRVMANSLVDKYFGEDYGIDE >fgenesh2_kg.6__2152__AT5G21070.1 pep chromosome:v.1.0:6:8940265:8942229:1 gene:fgenesh2_kg.6__2152__AT5G21070.1 transcript:fgenesh2_kg.6__2152__AT5G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFTAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTLFVTAFGAVLHVISTSLLGITAITMANTIAGEETVHKLASLLLVFLGGSYVLLFLAGKGSHTHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSKSMMVLAIIVLLFSTILVMTSLVALSFYGASQLKFHWVERYDKLLVGSVLCLVGILTLLFHDHDHGGHEAHQLHRKIITL >fgenesh2_kg.6__2153__AT5G21080.1 pep chromosome:v.1.0:6:8942528:8975415:-1 gene:fgenesh2_kg.6__2153__AT5G21080.1 transcript:fgenesh2_kg.6__2153__AT5G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSRTVFPVCESLCCFCPALRARSRHPVKRYKHLLADIFPRSQDEQPNDRKIGKLCEYAAKNPLRIPKITTYLEQRCYKELRMEQFHSVKIVMSIYKKLLVSCNEQMSLFASSYLGLIHILLDQNRYDEMRILGCEALYDFVTNQAEGTYMFNLDGLIPKICPLAHELGEEDRTTNLCAAGLQALSSMVWFMGEFSHISVEFDNVVSVVLENYGGLSQPSTSAVNEDNKIASIDKELSPAEAETRIASWTRIVDDRGKAIRVINREDAKNPKFWSRVCLHNLAKLAKEATTVRRVLESLFRYFDFNEVWSTENGLALYVLQDVQLLIERSGLYCLHLHLDHKNVLKKPRMQLDIVYVATALAQQTKVLPSVAIIGALSDMIRHLRKSIHCSLDDSNLGNEMIQYNLKFEAAVEQCLVQLSQKVGDAGPILDIMAVMLESMSNITVMARTLIAAVFRTAQIIAAIPNLSYENKASCLNLEAFPDALFHQLLQAMVCADHESRMGAHRIFSVVLVPSSVSPSSVPNSRRPADMQRTLSRTVSVFSSSAALFRKLKLESDNSVDDTAKIERVSTLSRSTSIFTRGESFDDEEPKNNTSSVLSRLKSSYSRSQSVKRNPSSMVSDQNSSGSSPEKPVIPLRLSSHQICLLLSSIWVQSLSPHNMPQNYEAIANTFSLVLLFGRTKHSSNEVLVWSFQLAFSLRNLSLGGPLQPSRRRSLFTLATSMIIFSAKAFNIPPLVNSAKTSLQEKTVDPFLQLVEDCKLDAVFYGQAEQPAKNYGSKEDDDDALQSLFVIEETTQNQPREHYASMIMKFLGKLSDQESTAIKEQLVSDFIPIDGCPVGTQLTESPVQVYRSEEKNNKPRENAETQLLIPENDAVPSPPEEHLGLDTQPNAKTAFLLSIDELLNAVSQTTAQLGRYSVSDPPDMTYTEMAGHCEALLMGKQEKMSFMSAKSNKFSSQTKEATALPCSGGNPFVEQRSSWEMMGLGAPAASNMCVTEYQNHPPFFNPPASTPFDNFLKAKPLVPLD >fgenesh2_kg.6__2155__AT5G21105.1 pep chromosome:v.1.0:6:8975572:8979933:1 gene:fgenesh2_kg.6__2155__AT5G21105.1 transcript:fgenesh2_kg.6__2155__AT5G21105.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate oxidase [Source:UniProtKB/TrEMBL;Acc:D7M0Q2] MVVWWIVVVAVLTHTASAAVREYDWEVEYKFWQPDCKEGAVMTVNGQFPGPTIQAVAGDTIVVHLTNKLTTEGLVIHWHGIRQLGSPWADGAAGVTQCAINPGETFTYKFTVEKPGTHFYHGHYGMQRSAGLYGSLIVDVAKGQRERLRYDGEFNLLLSDWWHEPIPSQELGLSSKPMRWIGEAQSILINGRGQFNCSLAAQFSNTTLPMCTFKEGDQCAPQKLNVEPNKTYRIRLASTTALASLNLAVQGHKLVVVEADGNYITPFITDDIDIYSGESYSVLLTTDQDPSQNYYISVGVRGRKPNTTQALTILNYVTAPASKLPSSPPPVTPRWDDFERSKNFSKKIFSAMGSPSPPKKYRKRLILLNTQNLIDGYTKWAINNVSLVTPATPYLGSVKYNLKLGFNRKSPPRSYRMDYDIMNPPPFPNTTTGNGIYVFPFNVTVDVIIQNANVLKGVVSEIHPWHLHGHDFWVLGYGDGKFKPGIDEKTYNLKNPPLRNTAILYPYGWTALRFVTDNPGVWFFHCHIEPHLHMGMGVVFAEGLNRIGKIPDEALGCGLTKQFLMNRNHN >fgenesh2_kg.6__2157__AT5G21130.1 pep chromosome:v.1.0:6:8991954:8992916:1 gene:fgenesh2_kg.6__2157__AT5G21130.1 transcript:fgenesh2_kg.6__2157__AT5G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEKPKEMTGDTNSGEFVTNKDVHRIKHLSIDTNDSSSSRYSVDSQKPRIGPPPGTYIINLPKDQIYRVPPPENAHRYEYLSRRKTNQSNCRRCFCHFLAALLILLFLAALVVGILYLVYQPHKPRFSVSGVSVTGINLTSSSPLSPVIGIKVRSQNVNGKLGLIYEKGNEADVFYGGIKLGNGKFTAFKQPADNVTVIVTVLKGSSIQLTSSSRKELKESHKKGKVPFGLRIKAPVKFKVGAVTTWTMTVTVDCKIKVDKLTASATVVTENCDTGLSLL >fgenesh2_kg.6__2159__AT5G21150.1 pep chromosome:v.1.0:6:9003101:9009437:1 gene:fgenesh2_kg.6__2159__AT5G21150.1 transcript:fgenesh2_kg.6__2159__AT5G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAZ domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M0Q6] MDSNEPNGSGVMQKGGLPPPPPVVPTNVVPEVEPVKKNILLPMARPRGSGSKGQKIPLLTNHFGVKFNKASGYFFHYSVAISYEDGRPVEAKGIGRKILDKVQETYQSDLGSKYFAYDGEKTLFTVGALPSNKLDFSVVLEDIPSSRNNAGNDTNDGDRKRSRRPNQSKKFMVEISYAAKIPMQAIASALQGKETENLQDALRVLDIILRQSAARQGCLLVRQSFFHNDVKNFVPIGGGVSGCRGFHSSFRTTQGGLSLNIDTSTTMIVQPGPVVDFLLANQNKKDPYGVDWNKARRVLKNLRVQVTLSNREYKISGLSEHSCKDQMFTWRKPNDKGEFEEVEITVLNYYKERNIEVRYSGDFPCINVGKPKRPTYFPIEFCNLVSLQRYTKSLTNFQRAALVEKSRQKPPERMASLTKGLKDSNYNADPVLQDSGVSIITNFTQVEGRILPTPKLKVGNGQDFTPNNGRWNFNSRKLVEPTTVTRWAVVNFSARCDTNALIRDLIRCGQSKGINVEPPFKDVINENPQFRNAPATVRVENMFEQIKSKLPGQPLFLLCILSERKNSDVYGPWKKKNLVDLGIVTQCIAPTRVNDQYLTNVLLKINAKLGGLNSLLAIERSPAMPKVTQVPTIIVGMDVSHGSPGQSDIPSIAAVVSSRQWPLISKYKACVRTQSRKMEMIDNLFKPVSGKDEGMFRELLLDFYYSSEKRKPEHIIIFRDGVSESQFNQVLNIELDQMMQACKFLDEHWNPKFTVIVAQKNHHTKFFQSSRPDNVPPGTIIDSQICHPRNFDFYLCAHAGMIGTTRPTHYHVLYDEIGFATDDLQELVHSLSYVYQRSTTAISVVAPVCYAHLAAAQMGTVMKYEELSETSSSHGGITTPGAVPVPPMPQLNDKVATSMFFC >fgenesh2_kg.6__2160__AT5G21160.1 pep chromosome:v.1.0:6:9011321:9016562:-1 gene:fgenesh2_kg.6__2160__AT5G21160.1 transcript:fgenesh2_kg.6__2160__AT5G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:La domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M0Q7] MAETEGSVADDRELISCEGAIGTKSPWKTTASTAETIDAPVMGAHSWPALADAAQQPRPKNPPPSAPAPAPPSKNIPTSIPIPSQAVAGQAKSKGGGKANNPGHKNPSGRHSKPGSRSNQNGPPPPPPPPYLVHAVPYHPPSFPPMVPLPHAAAPDFPYAPYPPYPVPVPPVSDSGNEKQVQASPLPPVLPPPQGDPGKPWPRQRGFDPRNMPQGGAGPRNFGRPPFMGPAPGFLVGPGPGFPGPVYYLPGPPPGAIRGPYPPRFAPYPVNQGPPVLSPEKLDLRDRVLKQVEYYFRQLTPLFDENLENDHYLISLMDEEGWVPTKIIAGFKRVKAMTMDVDFIVYALGFSNSVEVQGDQIRKRDKWSDWIPASKKSASVEKIGDSDKDSLESITSGDNFGNPSKGSSKPTASNFSSEGAQSSRTNNYKSGNMKSSADEKRNVEDLSNDFSNTFLLDEELDLEHRSPRKSGLSMSKSIEYEDDDMAVDDQDIQKLVIVTQNSGKSDGTGIGVTKAKNIPKELASTINDGLYYFEQELKKNRSGRRKNNSHLDTKDGKIKSGVGLNTKLGENSAANEGGEEHGTITSRRKQNKGTHKHHTAHARRFFSSNIRNHGNISESPPSSSIGFFFGSTPPDSHGPRLSKLSSSPQCTLSGSSPPVGSLPKSFPPFQHPSHQLLEENGFKQEKYLKYRKRCLNERKKLGSGCSEEMNHLYRFWSYFLRDTFVLSMYDDFQKFALEDAAGNYNYGLECLFRFYSYGLEKHFDEDLYKDFEKLSLDFYHKGNLYGLEKYWAFHHYRGKKEPITKHPELEKLLKEEYRSIDDFRAKDTVTNQKENKSH >fgenesh2_kg.6__2162__AT5G21170.2 pep chromosome:v.1.0:6:9019170:9022904:1 gene:fgenesh2_kg.6__2162__AT5G21170.2 transcript:fgenesh2_kg.6__2162__AT5G21170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:D7M0Q8] MGNANGKEDDATAAASGVTSSSARSNGGDPSARSRHRRPSSDSMSSSPPGSPARSPSPFLFAPQPTLLFHVTSYNICSPMRHLFHCLGITCVPNGCISCRMVPVAPLQRANAPPSPNNIQWNQSQRVFDNPPEQGIPTIITWNQGGNDVAVEGSWDNWRSRKKLQKSGKDHSILFVLPSGIYHYKVIVDGESKYIPDLPFVSNEIGNVCNILDVHNFVPENPESIVEFEAPPSPDHSYGQTLPAAEDYAKEPLAVPPQLHLTLLGTTEETAVATKPQHVVLNHVFIEQGWTPQSIVALGLTHRFESKYITVVLYKPLTR >fgenesh2_kg.6__2163__AT5G21222.1 pep chromosome:v.1.0:6:9023081:9027988:1 gene:fgenesh2_kg.6__2163__AT5G21222.1 transcript:fgenesh2_kg.6__2163__AT5G21222.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M0Q9] MSEPKARRWVGKYELGRMIAECSFGKVRSAVDTQTGDPVALMILHKDKVLKHKMAEQIKREISTMKLINHPNVVCLYEVLASKMKIYIVLEFISGGELFDRIKNDGRMKEEDARRYFQQLINAVDYCHSRGLYHRDLKPENLLLDVQENLKVSDFGLSALSRQVGGDGLHHTACGNPNYAAPEVLNDQGYDGAKADLWSCGVILFVLLAGYLPFEDSSLTTLYKKISSADFSCPPWLSSGAKNLIVRILDPNPMTRITIPEILEDVWFKKDYKPAVFEEKKEANLADVEAVFKDSEEGRVQLRSFPCVICSGGTTCGDVRSRTKLMNGLIERGRPQEAHSIFNTLIEEGHKPSIITYTTLVTALTRQKHFHSLLSLISKVQKNGLRPDTILFNAIINASSESGNLDQAMKIFEKMKESGCKPTASTFNTLIKGYGKIGKLEESSRLLEMMLRDEMLQPNDRTCNILVQAWCNQRKIEEAWNIVYKMQSFGVKPDAVTFNTLARAYSRIGSTCTAEDMIIPRMLHNKVKPNVRTCGTIVNGYCEEGKMEEALRFFYRMKELGVHPNLFVFNSLIKGFLNINDMDGVGEVVDLMEEFGVKPDVVTFSTLMNAWSSVGDMKRCEEIYRDMLEGGIDPDIHAFSILAKGYARAGEPEKAEQILNQMRKFGVRPNVVIYTQIISGWCSAGEMKKAMQVYNKMRGSVGLSPNLTTYETLIWGFGEAKQPWKAEELLKEMEEKNVVPTRKTMQLIADGWKSIGVSNSNDANTLGSSFSTSSKLNIPNNIASSRSPLFSKGMPEKPKLCIKSQFGLRQSVFVVLCRDQIGEAGRFCKFM >fgenesh2_kg.6__2164__AT5G21274.1 pep chromosome:v.1.0:6:9032880:9034494:-1 gene:fgenesh2_kg.6__2164__AT5G21274.1 transcript:fgenesh2_kg.6__2164__AT5G21274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLSDEEVDEMIREADVDGDGQINYEEFVKVMMAK >fgenesh2_kg.6__2165__AT5G21326.1 pep chromosome:v.1.0:6:9035930:9040649:1 gene:fgenesh2_kg.6__2165__AT5G21326.1 transcript:fgenesh2_kg.6__2165__AT5G21326.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7M0R2] MNRPKVQRRVGKYEVGKTLGQGTFAKVRCAVNTKTGERVALKILDKEKVLKHKMAEQIRREICTMKLINHPNVVRLYEVLASKAKIYIVLEFGTGGELFDKIVHDGRLKEENARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDAQGNLKVSDFGLSALSRQVRGDGLLHTACGTPNYAAPEVLNDQGYDGATADLWSCGVILFVLLAGYLPFEDSNLMTLYKKIIAGEFYCPPWLSPGAKNLIVRILDPNPMTRITIPEVLEDAWFKKNYKPAVFEEKEEANLDDVDAVFKDSEEHHVTEKKEEQPTSMNAFELISMSRALDLGNLFEEEEGFKRETRFAAKGAANDLVQKIEEASKPLGFDIQKKNYKMRLENVTAGRKGNLKVATEIFQVSPSLHMIEVRKTKGDTLEFHKFYKKLSTSLNDVVWKSGESSGLSN >fgenesh2_kg.6__2168__AT5G21482.1 pep chromosome:v.1.0:6:9047633:9051134:1 gene:fgenesh2_kg.6__2168__AT5G21482.1 transcript:fgenesh2_kg.6__2168__AT5G21482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYIEPYFLDNDAEAASAVTAAGKSTDGVSESLNIQGEIVCGGAATDMAGRDFGGMNCVKPLAVVRPVGPEDIAGAVKAALSSDKLTVAARGNGHSINGQAMAEGGLVVDMSTTAENHFEVGYLSGGDATAFVDVSGGALWENVLKRCVSEYGLAPRSWTDYLGLTVGGTLSNAGVSGQAFRYGPQTSNVTELDVVTGNGDVVTCSEIENSELFFSVLGGLGQFGIITRARVLLQPAPDMVRWIRVVYTEFDEFTQDAEWLVSQKNESSFDYVEGFVFVNGDDPVNGWPTVPLHPDQEFDPTRLPQSSGSVLYCLELGLHYRDSDSNSNVDKRVERLIGRLRFNEGLRFEVDLPYVDFLLRVKRSEEIAKEIGTWETPHPWLNLFVSKRDIGDFNRTVFKELVKNGVNGPMLVYPLLRSRWDDRTSVVIPEGEIFYIVALLRFVPPCAKGSSVDKMVAQNQEIVHWCVKNGIDFKLYLPHYKSQEEWIRHFGNQWSRFVDRKSMFDPMAILSPGQKIFNRSP >fgenesh2_kg.6__2170__AT5G21910.1 pep chromosome:v.1.0:6:9069110:9069421:1 gene:fgenesh2_kg.6__2170__AT5G21910.1 transcript:fgenesh2_kg.6__2170__AT5G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFTTSWKLLAISLSVLAVLSPLYIDRLSEEDLEEEEELFGFMFSLSLLLLLLILAIALSLYCDPSLTRFDPYWIHRLCGSFGGLLVILILLVFVLICKASD >fgenesh2_kg.6__2171__AT5G21920.1 pep chromosome:v.1.0:6:9069508:9071116:-1 gene:fgenesh2_kg.6__2171__AT5G21920.1 transcript:fgenesh2_kg.6__2171__AT5G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YGGT family protein [Source:UniProtKB/TrEMBL;Acc:D7M0R7] MEVSANEPATKSLKPFPSGPIPNFFVSLSSAFTQTPLVRSNKPNLLLLPPVADSVKLIQDFHRSLVSATEKFSGFFHSLASKNPLFQEAVRLSSEFRLLCDEIRLKNTTRVRFAMSNHGFAAVLPGDSVAGLVVANGLINFLNIYNTILVVRLVLTWFPSAPPAIVNPLSTLCDPYLNIFRGFIPPLGGLDLSPILAFLVLNAFTSSAMALPCELPPAEGAVSLSSSETKWMRRRRLSSHKDHRPSSASMN >fgenesh2_kg.6__2177__AT5G21970.1 pep chromosome:v.1.0:6:9123725:9125425:1 gene:fgenesh2_kg.6__2177__AT5G21970.1 transcript:fgenesh2_kg.6__2177__AT5G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRCWLQFYGNAHNLFAIMPERNALYGESSLRRVWVRFMTSSKRVQDRSREKRVQELEIATEKWKIASKVIFLMEVLKGERDMIITVRSLEQYRRQINLPKPHKISDFIRKSPKLFELYKDQRGVLWCGLTEQGEDLLEEHEKLLEENGDKAAEHVTRCLMMSVDKKLPLDKIVHFRRDFGLSLDFRINWVHKFPQHFKVGKLGDGEEYLELVSWNPAWAITELEKKTLGIIEDCEHKPGMLSLAFPMKFPPSYKKMYRYRGKIEHFQKRSYLSPYADAHGLEAGSKEFDKRAIAVMHELLSFTLEKRLVTDHLTHFRREFVMPQKLMRIFLKHCGIFYVSERGKRFSVFLTEGYEGPELIEKCPLILWKEKLLKFTGYRGRKRDIPTYNDTLDMEERELLESGSGDEDMSVGFEKDDDDDDVVTDDDDEMDIGEVNDAYEEISKV >fgenesh2_kg.6__2178__AT5G21280.1 pep chromosome:v.1.0:6:9125709:9127341:-1 gene:fgenesh2_kg.6__2178__AT5G21280.1 transcript:fgenesh2_kg.6__2178__AT5G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPNKQEPRVSATYIRSLVKQQLTSSTTMTTTTTDGSGGGKTQTQTQTHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKQHRASMRQATRIPPPQPPPPPPPQPLNPPDPFSWTNPSLNFLLPNQPLGLNLNFQDFNDFIQTSSTTSSSSSSSTSSSSSSIFPTNPHIYSSPSPPPTFTTANSDSAPQPPSSSNGENNVITSAWWSELMMKTVEPEIKPETEEVAAVEDDVFPKLSDVMEFPSWLNQTEEELFHPYNLTDNYSSPHNPPLSCMEIGEIEGMDGDDWFA >fgenesh2_kg.6__2179__AT5G21990.1 pep chromosome:v.1.0:6:9136872:9140445:1 gene:fgenesh2_kg.6__2179__AT5G21990.1 transcript:fgenesh2_kg.6__2179__AT5G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEMIRLAQDQMSRMTPADFARIQQQMMSNPDLMNMATESMKNMRPEDLKQAAEQLKHTRPEDMAEISEKMAKASPEDIAAMRAHADAQFTYQINAAQMLKKQGNELHSRGNFSDAAEKYLRAKNNLKDIPSSKGGAILLACSLNLMSCYLKTNQHEECVKEGSEVLASDARNVKALYRRGQAYRDLGLFEDAVSDLSKAHEVSPEDETIADVLRDVKERLAVEGPGKASRGVVIEDITEENNVTSGGNKKSSKEITGTQRERNVNGHAQGVKTDVDGLQALKDNPEAIRTFQNFVSKTDPDTLAALSGGKAGDMSPDMFKTASSMIGKMSPEEIQKMVQTASSFKGDNPFAPTAPSTENGFTPTPDMLKLASDMMSKMSPEERERMFNMASSLKANAPASTSYGTAEASEPRESLGASGSSSGNSFVAPRSGFEPSIPSAPPADLQEQMRNQMKDPAMRQMFTSMIKNMNPEMMASMSEQFGMKLSQEDAAKAQQAMASLSPDALEKMMRWADRAQTGMEKAKKAKKWLLGKGGLIFAILMLVLAMILHRLGYIGN >fgenesh2_kg.6__217__AT5G03120.1 pep chromosome:v.1.0:6:857715:859136:1 gene:fgenesh2_kg.6__217__AT5G03120.1 transcript:fgenesh2_kg.6__217__AT5G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTVMIFLIIYLLLAVPCFAKGSEQTDSEVYEIDYRGPETHNSRPPPETLHGKPPYIHHNASAAGLGAHVGGKN >fgenesh2_kg.6__2181__AT5G22000.1 pep chromosome:v.1.0:6:9143734:9146798:1 gene:fgenesh2_kg.6__2181__AT5G22000.1 transcript:fgenesh2_kg.6__2181__AT5G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGDTTTSEGHLTSAAAFVEGGIQDACDDACSICLESFCESDPSTLTSCKHEYHLQCILEWCQRSSQCPMCWQSISLKDPTSQELLEAVEQERNFRFNPARNATIFRHPTLGDFELQHLPVGVDNAEIEERIIQHLAAAAAMGRARHGVRREGHRSRSSSQGHPQFMVFSSHPNASSPPPHPPMPSSPSQRGESDTVSNLPHNTLGEGSHQSNTQPPTSSHPRQVSPSASDSNSRPVNQSSPSDQDRAGPSELQSFSESLKSRLNAVSTRYKESISKNTRNWKDRLFSRNTSMADLGSEVKREVSAGIATVSRMMERLETRENSRPSTASVSDVSENHTPESNNEHNNRSEAGDEHSMNERGVKETCATGSGSS >fgenesh2_kg.6__2183__AT5G22010.1 pep chromosome:v.1.0:6:9146951:9154284:-1 gene:fgenesh2_kg.6__2183__AT5G22010.1 transcript:fgenesh2_kg.6__2183__AT5G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:UniProtKB/TrEMBL;Acc:D7M0S7] MSDIRKWFMKAHEKGNASAPKSTSSKAGPARNAAETAPLKSEQASEDLETAARRKTSKFFGKDKTKVKDEKEVEEIPAKRKLKTDSDDLAKPRPRKVTKVVDDDDDDDFDVPISRKTRDSTPSKKLKSGSGRGIASKTVDNDEDDDGEDAQDKETPLKAAGRGRGGRAAPGASTGGRGRGGGRGGFMNFGERKDPPHKGEKEVPEGTPDCLAGLTFVISGTLDSLEREEAEDLIKRHGGRITGSVSKKTTYLLCDEDIGGRKSEKAKELGTKFLTEDGLFDMIRSSKPVKKSLPERTNKGTEKICAPPKTSPQKEETRGKPLAKSSPNKVPPAKGKKKIIETSLPWTEKYRPKVPNEIVGNQSLVTQLHNWLSHWHDQFGGTGSKGKGKKLNDAGAKKAVLLSGTPGIGKTTSAKLVSQMLGFQAVEVNASDSRGKANSNIAKGIGGSNANTVKELVNNEAMAANLDRSKHPKTVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLPLNYRKPTKQQMAKRLMHIAKAEGLEINEIALEELAERVNGDIRLALNQLQYMSLSMSAIKYDDIRQRLLSSAKDEDISPFTAVDKLFGYNGGKLRMDERIDLSMSDPDLVPLLIQENYLNYRPSGKDEAKRMDLLALAAESIADGDIINVQIRRYRQWQLSQSCCVASSILPASLLHGSREVLEQGERNFNRFGGWLGKNSTAGKNRRLMEDLHVHVLASRESSAGRETLRVDYLSLLLSRLTSPLQTLPKDEAVSEVVDFMNSYSISQEDFDTIMELGKFKGRENPLEGVPPPVKAALTKKYNEMNKTRMVRVADMVQLPGVKKAPKKRIAAMLEPTVDSLRDEDGEPLADNEEENGSDAEEDSEEATDGQKLESNLKNLNARGIQVELDLKGAGSSGSRKAAGKGRGRGKAADASAEKKATGRGSGAKRKR >fgenesh2_kg.6__2184__AT5G22020.1 pep chromosome:v.1.0:6:9154930:9156628:-1 gene:fgenesh2_kg.6__2184__AT5G22020.1 transcript:fgenesh2_kg.6__2184__AT5G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strictosidine synthase family protein [Source:UniProtKB/TrEMBL;Acc:D7M0S8] MALFLSPKAITLLFFSLSLALYCSIDPFHHCAISDFPNFVSHEVVSPRPDEVPWERDSQNSLQKSKILFLNQVQGPESVAFDSLGRGPYTGVADGRVLFWNGQKWIDFAYTSSNRSEICDPKPSALSYLRNEHICGRPLGLRFDKRTGDLYIADAYMGLLKVGPEGGLAMPLVTEAEGVPLGFTNDLDIDDDGTVYFTDSSINYQRRNFLQLVFSGDNTGRVLKYDPIAKKAVVLVSNLQFPNGVSISKDGSFFVFCEGDIGSLRRYWLKGEKAGTTDVFAFLPGHPDNVRTNENGEFWVALHCRRNYYSYLMARYPKLRMFILRLPITARTHYSFQIGLRPHGLVVKYSPEGKLMQVLEDSEGKVVRSVSEVEEKDGKLWLGSVLMNFVAVYDL >fgenesh2_kg.6__2189__AT5G22040.1 pep chromosome:v.1.0:6:9168200:9170525:-1 gene:fgenesh2_kg.6__2189__AT5G22040.1 transcript:fgenesh2_kg.6__2189__AT5G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGNFADSPASAYGTGQIQDAHSDFQGQLEAFTPERDQSYSDSQAEGQWRWERDGPNMSRPMATAVYNEGQQGVDSSRTYYRGQMDPKAGMEKQGSDIRTQPQHQENPKTGYDNNRGVQTFEGLEQKFMDDITRLAKDQIEAEDAEIARHREKISTINSRYEEQLATLRARHTGKREEIMRKESQARQQQYKQQTMGMMEQYHPNAVGPANLMPSGHPQGYIGNAQDPAAVADAPSRSYGSDRFEAYGERARFQGGNRDHGFEPRGQYPGGSVYDTASRFY >fgenesh2_kg.6__218__AT5G03140.1 pep chromosome:v.1.0:6:865833:868268:-1 gene:fgenesh2_kg.6__218__AT5G03140.1 transcript:fgenesh2_kg.6__218__AT5G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M7Z7] MLKIPPRFFSVYSTLIHIIASFLCSSDVRGDFPATRFDLGTLTLSSLKLLGDAHLNNGTIKLTRELSVPTSTAGKALYGKPVKFRHPETKSPASFTTYFSFSVTNLNPSSIGGGLAFVISPDEDYLGSAGGFLGLTEETGSGSGFVAVEFDTLMDVQFKDVNGNHVGLDLNAVVSAAVADLGNVDIDLKSGNAVNSWITYDGSGRVLTIYVSYSNVRPKSPILSVPLDLDRYVNDSMFVGFSGSTQGSTEIHSIDWWSFTSSFDSSESPPPMSNSPPPSSPSSTSITPSVSTVRKKTADPSSSCRNKLCKKSPAAVAGVVTAGAFFLALFAGVIIWVYSKKIKYNQKSESFASEIMKSPREFTYKELKLATDSFSSSRVIGNGAFGTVYKGILPDTGEIIAIKRCSHISQGNTEFLSELSLIGTLRHRNLLRLQGYCREKGEILLIYDLMPNGSLDKALYESPTTLPWPHRRKILLGVASALAYLHQECENQIIHRDVKTSNIMLDANFNPKLGDFGLARQTEHDKSPDATAAAGTMGYLAPEYLLTGRATEKTDVFSYGAVVLEVCTGRRPITRPEPEPGLRPGLRSSLVDWVWGLYREGKLLTAVDERLSEFNPEEMNRVLMVGLACSQPDPITRPTMRSVVQILVGEADVPEVPTAKPSSSMSFSTSELLLTLQDSISDCNEVLAPISTTSSSSEHDIFIVGKDRSV >fgenesh2_kg.6__2190__AT5G22050.2 pep chromosome:v.1.0:6:9181175:9183389:1 gene:fgenesh2_kg.6__2190__AT5G22050.2 transcript:fgenesh2_kg.6__2190__AT5G22050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M0T2] MDDRLRFSRRIRFLLLAWLRRSRSGRIEFIRRFGYKEIIKATQGFRKVIYSNYHGSAYRAKFKGGEVALVKELNALDLGRERFDEEVQLLGRLRHRHLLTLRGFCIGRKRLLVFDNIENGSLKEHLNDPLKTPLNWKTRIQIAIGVAAALEYLLIFSSNDAQIYDVSVNSCNIMLDENFTPKISDIRVNRHPKNHPKATHDSCSEGSCADEECGNVIFQLGVLMLELITGQSSDRQGKDLIEWVQDSCIANSIDKMIDPDLGNNYSSRELQKVLAVARLCIKTRYEPPSFSITHVYRYLQKKIDVAT >fgenesh2_kg.6__2192__AT5G22060.1 pep chromosome:v.1.0:6:9183602:9186124:-1 gene:fgenesh2_kg.6__2192__AT5G22060.1 transcript:fgenesh2_kg.6__2192__AT5G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRGPSRKSDNTKFYEILGVPKTASPEDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFSSFFGGGGNPFGGHSRGRRQRRGEDVVHPLKVSLEDVYLGTTKKLSLSRKVLCSKCNGKGSKSGASMKCGGCQGSGMKVSIRQVGPGMIQQMQHACNDCKGTGETINDRDRCPQCKAEKVVSEKKVLEVNVEKGMQHNQKITFNGQADEAPDTVTGDIVFVIQQKEHPKFKRKGDDLFVEHTLSLTEALCGFQFVLTHLDKRQLLIKSSPGEVVKPDSYKAISDEGMPIYQRPFMKGKLYIHFTVEFPESLSPDQTKAIEAVLPKPTKAAISDMEIDECEETTLHDVNIEDEMKRKAQAQREAYDDDEDEHPGGAQRVQCAQQ >fgenesh2_kg.6__2193__AT5G22070.1 pep chromosome:v.1.0:6:9189628:9192357:1 gene:fgenesh2_kg.6__2193__AT5G22070.1 transcript:fgenesh2_kg.6__2193__AT5G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNQFVLFFSFLLCLPFVFFFVAPHVFPSPPGESLIPISDEIDDRSLFIAAAGSTSLSHLSSGNPNPKLKIAFLFLTNSDLHFAPIWDRFFSGHSKSLYNVYVHADPFVNVTRPGNGSVFENAFIANAKRTARASPTLISATRRLLATAFLDDPANTYFAVLSQYCIPLHSFNYVYSSLFESSTFDKSDPDPTPNPRGIRILYRSFMELISDEPRLWKRYTARGRYAMMPEVPFEKFRVGSQFFVMTRRHALLTIKDRILWRKFKLPCYRPDECYPEEHYFPTLLNMKDPDGCTGYTLTRVNWTGTVKGHPYTYKPKEVVPELIQRLRRSNHSSSYFFARKFTPACLKPLLAIADSVIFRD >fgenesh2_kg.6__2194__AT5G22080.1 pep chromosome:v.1.0:6:9192373:9195659:-1 gene:fgenesh2_kg.6__2194__AT5G22080.1 transcript:fgenesh2_kg.6__2194__AT5G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M0T5] MGEVKVDDDAILKSFLAEVGEVERDNEVVRILSCFKLNPFEHLNLSFDSSTDDVKRQYRKISLMVHPDKCKHPQAQEAFGALAKAQQLLLNDQERDYILTQVHSAKEELKMKRKKQLKKDTASKIKSLVDEGKHEHIYEQSEEFQKELKLKVREILTDQEWRRRKMAMRISEEEGRLKKDEAEQKEIWKKKREHEEQWEGTREKRVSSWRDFQKSGKKAKKGETRPPKLKTEDPNKSYVQRPVKKG >fgenesh2_kg.6__2197__AT5G22110.1 pep chromosome:v.1.0:6:9218067:9221485:-1 gene:fgenesh2_kg.6__2197__AT5G22110.1 transcript:fgenesh2_kg.6__2197__AT5G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit [Source:UniProtKB/TrEMBL;Acc:D7M0T9] MSSTSQKRKKIQKKFKNRGYNLKFDALDEILVFADQFPDDADGEAIDLLLDNLQESHKSSTVDAESVRGLINQLLGAHNAPEEPTTSASSLAVIDAFLIPKFGYDSVKKKFNEHTSSLPIHGEASAKTALYRERFMLLSQRVSRAEHFSRPAFDAEMSQFENNEISSIQSLISQRGRKWVMGVISQLEDGHFYLEDLSASVEIDLSKAKITTGFFTENTIILAEGEMQVNGIFQVITCGFPPLEDRDKTLKAHSEYDFFGGGTLTKEETIRLADLERQAVSDTFVILSDIWLDDEEVIRKLETVLDGFESVETVPSLFVFMGNFCSRPCNLSFGSYSSLREQFGKLGRMIGNHPRLKENSRFLFIPGPGDAGPSTVLPRCALPNYLTEELRNIIPNAIFSSNPCRVKFYNQEIVFFRQDLLYRMRRSCLVAPSTEETKDPFKHLVYTITHQSHLCPLPLMVQPIIWNYDHSLRLYPTPHTIVLGDKSEQNVCKFGGTTCFNPGSFSTDSTFVAYRPSTQEVELSAL >fgenesh2_kg.6__219__AT5G03150.1 pep chromosome:v.1.0:6:873151:876487:1 gene:fgenesh2_kg.6__219__AT5G03150.1 transcript:fgenesh2_kg.6__219__AT5G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M7Z8] MQMIPGDPFSISSSMGGFVHQETHLHHPQQQIPDLNPNSNPNPNVKPNSSSAKKKRNQPGTPDPDADVIALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSKQEVIKKKVYICPIKTCVHHDASRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHAKTCGTREYKCDCGTLFSRKDSFITHRAFCDALTEEGARMSSLSNNNPVISTTNLNFGNDSNVMNNPNLPHGFVHRGVHHPDINAAISQFGLGFGHDLSAMHAQGLSEMVQMASTGNHHPFPSSSSSLPDFSGHHQFQIPTTSTNPNLTLSSSSTSQQTSASLQHQTLKDSSFSPLFSSSSENKQNKPLSPMSATALLQKAAQMGSTRSNSTTAPSFFAGPTMTSSSATASPPPPPRSSSPMMIQQQLNNFNTNVSRENPNLAPPPLSGVTTSSVDNNPFQSNRSGLNLVQQMGLTRDFLGVSNEHHPHQTGRRPFLPQELARFAPLG >fgenesh2_kg.6__2203__AT5G22200.1 pep chromosome:v.1.0:6:9256523:9257722:1 gene:fgenesh2_kg.6__2203__AT5G22200.1 transcript:fgenesh2_kg.6__2203__AT5G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harpin-induced family protein [Source:UniProtKB/TrEMBL;Acc:D7M0V0] MTGRDCNQHYDYEERRMRRIVWGGLLGLIVAVAFVVFLVWAILHPHGPRFVLQDVTINDFNISQPNFLSSNLQVTLSSRNPNDKIGIFYDRLDIYATYRNQEVTVARLLPSTYQGHLEATVWSPFLIGSNVPVAPYLSPALNEDLIAGMVLLNIKIDGWVRWKVGSWVSGGYHLHVNCPAFITVTGKLVGAGPAIKYQLVQRCAVDLS >fgenesh2_kg.6__2205__AT5G22210.2 pep chromosome:v.1.0:6:9259279:9260479:1 gene:fgenesh2_kg.6__2205__AT5G22210.2 transcript:fgenesh2_kg.6__2205__AT5G22210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKATTVKEEREEIHLKIVPPLDKVFLRWLARDLQRFHGFKPKNNTSAITPPDSYIEFMRLNGSLDVDLDDPDLAHLFK >fgenesh2_kg.6__2206__AT5G22220.2 pep chromosome:v.1.0:6:9261107:9264924:1 gene:fgenesh2_kg.6__2206__AT5G22220.2 transcript:fgenesh2_kg.6__2206__AT5G22220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEPPQFPPSKRQLHPSLSSMKPPLVAPGEYHRFDAAGGGAADQVVVSDAIVIKSTLKRKTDSVNEIAEPNELNTGVLQTPVSGKGGKAKKTSRSVKSNKSGTQASGSNAGSPGNNFAQAGTCRYDSSLGLLTKKFINLIKQAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKTLKNRIQWKGLDVSKPGETIENIANLQDEVLNLTAEEARLDDQIRESQERLTSLSEDENNKRLLFVTENDIKNLPCFQNKTLIAVKAPHGTTLEVPDPDEAGGYQRRYRIILRSTMGPIDVYLVSQFEESFEDIPHTDEPSNVPDEPSNLPSTSGLPENHDVAMPMEEDSTERNMETQELDDTQRVYSDIESHDFVDGIMKIVPPDLDLGVDYWFRSEVGEVSITDMWPDESGPDWNQMITFDQDHAGPSDTALEQPKTPSSPTPEDSTATRSSTGS >fgenesh2_kg.6__2209__AT5G22250.1 pep chromosome:v.1.0:6:9266599:9267741:-1 gene:fgenesh2_kg.6__2209__AT5G22250.1 transcript:fgenesh2_kg.6__2209__AT5G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSDVGIVIRDVWADNLESEFELISGIVEAYPFISMDTEFPGVIFKADLAVLRLGNPNYLYNLLKSNVDALSLIQVGLTLSDADGNLPDLGVQNRRFIWEFNFRDFDVERDPHAPDSIELLRRHGIDFERNRREGVKSGRFAELMMSSGLICNESVSWVTFHSAYDFGYLVKILTRRELPVALREFLRLLRAFFGERVYDVKHIMRFCEQRRLYGGLDRVARSLEVNRAVGKCHQAGSDSLLTWQAFQRMRDLYFVEDGAEKHAGVLYGLEVF >fgenesh2_kg.6__2210__AT5G22260.1 pep chromosome:v.1.0:6:9270215:9272812:-1 gene:fgenesh2_kg.6__2210__AT5G22260.1 transcript:fgenesh2_kg.6__2210__AT5G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLIRTDHQQHIPKKRKRGESRVFRLKTFGESGHPAELNELSFRDNLAKLLDFGHFESSGLMGSWSFQLEIQRHPNPLYVLLFVVEEPIEASLNLRCNHCQYVGWGNQMICNKKYHFVIPSKETMAAFLKLEGGGYIFPEKESLSHLVDLQGHVLHGLFHSNGFGHLLSVNGIETGSDITGHQVMDLWDRLCTGLKARKIGLNDASHKKGMELRLLHGVAKGEPWFGRWGYRFGSGTYGVTQKIYEKALESVRNIPLCLLNHHLTSLNRETPILLSKYQSLSTEPLITLSDLFRFMLNLHSRLPRDNYMNNSRNQIISIDSSNCRWSQKRIQMAIKVVIESLKRVEYRWISRQEVRDAARNYIGDTGLLDFVLKSLGNQVVGNYLVRRSLNPVKKVLEYCLEDISNLLPSSNNELITLQNQNSMGKMATNGHNKITRGQVMKDMFYFYKHILMDYKGVLGPIGGILNQIGMASRAILDAKYFIKEYHYIRDTSAKTLHLDRGNKLGIFCTIAWKCHHHNNEIKIPPQECIVVKKDATLSEVYGEAERVFREIYWELRDVVVESLADGQREIIRVDEMAMMNWNKGLVLEGNVGMMMNIEVMKCYEDDDKKKDKRIECECGAKEEDGERMVCCDICEVWQHTRCVGVQHNEEVPRIFLCQSCDQHLIPLSFLP >fgenesh2_kg.6__2211__AT5G22270.1 pep chromosome:v.1.0:6:9277611:9278393:-1 gene:fgenesh2_kg.6__2211__AT5G22270.1 transcript:fgenesh2_kg.6__2211__AT5G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M0V7] MNQEAASNLELDLKLNISPSLDSSLLTESSSSSLCSEEAEGGGGEAKSMVVVGCPNCIMYIIISLESDPRCPRCNSHVLLDFLTGNH >fgenesh2_kg.6__2213__AT5G22280.1 pep chromosome:v.1.0:6:9279421:9281032:-1 gene:fgenesh2_kg.6__2213__AT5G22280.1 transcript:fgenesh2_kg.6__2213__AT5G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPIMLVFLLVILIVTSQFEWRQPLLELDAAPSLSQKHQQIAKREEAVKEKIILSQERHIQRLNDLVRSLQMQLQRCKGENETRNATETSHLNKQFIELERKHIVED >fgenesh2_kg.6__2216__AT5G22310.1 pep chromosome:v.1.0:6:9300109:9302228:-1 gene:fgenesh2_kg.6__2216__AT5G22310.1 transcript:fgenesh2_kg.6__2216__AT5G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRGCKIRKRGGSSSSSSSLARRNRFKRAIFAGKRVAQDDGGGGGGSGTPVKSITAAKTPVLLSFSPENLPIDHHQLQKSSVSARKLAATLWEINDDADPPVDSNKDCLRSKKPSRHRSKKSTEFSSIDFPPKSSDPISRLTSERIDLREDLVRRRPGNHQKLHLIEHKTIVTNSLKTRLKNVSEGLTTSKELVKVLKRIGELGDDHKTASNRLISALLCELDRARSSLKHLTSEFDAEDEEKRRLIERLQEEAVVERKLRQRTEKMNRRLGRELAEAKETERKMKEEMEREKRAKDVLEEVCDELAKGIGDDKKEMEKEREMMHIADVLREERVQMKLTEAKFEFEDKCAAVERLKVELRRVLDGEEGKGSSEIRRILEIIDGSGSDDEEESDLKSIELNMESGSKWGYVESGRDHRRESRFGGSGDEDDDDDDDDDDPVEKRSVIVENGERDESLKTLRDYIVSNVRCVGPSSSEQWNHRNLPSSEFV >fgenesh2_kg.6__2218__AT1G17277.1 pep chromosome:v.1.0:6:9306800:9307368:-1 gene:fgenesh2_kg.6__2218__AT1G17277.1 transcript:fgenesh2_kg.6__2218__AT1G17277.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7M0W3] MSCNSRNSNVESGGCNSGMISNVEAGGFNSRGFPVKCKCGLDVVMLTSSTPKNPGRPFFRCKSCKDDHLFKWVEDCMYEEVVDALPKISSIDNEIINAKAEVAVEIANLKELMIELKEDGLWSKREIQRWKKMTKVCLVCLCFSVIAIVISMFYKTKNQKFVFGY >fgenesh2_kg.6__2219__AT5G22320.1 pep chromosome:v.1.0:6:9308624:9310883:-1 gene:fgenesh2_kg.6__2219__AT5G22320.1 transcript:fgenesh2_kg.6__2219__AT5G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLTVEQVLKEKKTHDPDFVKELNLGHKALTDVSCLSKFKNLEKLDLRFNNLKDLQGLKSCVNLKWLSVVENKLQSLKGIEALTKLTVLNAGKNQLKSMNEISSLVNLRALILNDNEISSICKLDLLKDLNSLVLSRNPISEIGDSLSKLKNLSKISLSDCRIKAIGSSLKSCSDLKELRLAHNEIKALPAELALNKRLLNLDVGNNMITKLSGLEVLGTLSCLRNLNIRGNPISDNEKSAKKVRTLLLPSVNVFNAQPLEKSSRNAKHTRLDTDDENFDAHHNKLGEEEQSKEYRKSKKSSKRNKSEEEEEEANNDDHKTKKKKSKSNPSVDQVETEKKEEHKQKKIQSNNDDDDAEKKQKRDTPKQELDAIDDAETSFAEIFSRENVSKGSSGNGIEKKKRSSVQETGLVKVIDTKANKKKIEKKQSKSVVIDLPMEVEIGLGGESKWE >fgenesh2_kg.6__221__AT5G03170.1 pep chromosome:v.1.0:6:880208:881131:-1 gene:fgenesh2_kg.6__221__AT5G03170.1 transcript:fgenesh2_kg.6__221__AT5G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRTFISSNLFIFFLIVATTNGQAPAPGPSGPTNITAILEKAGQFTLFIRLLKSTQASDQINTQLNSSSSNGLTVFAPTDNAFNSLKSGTLNSLSDQQKVQLVQFHVLPTLITMPQFQTVSNPLRTQAGDGQNGKFPLNITSSGNQVNITTGVVSATVANSVYSDKQLAVYQVDQVLLPLAMFGSSAAPAPAPEKGGSVSKGSASGGDDGGGSTDSSDAERTRFGFGVRIAIVAAIAAASSLSI >fgenesh2_kg.6__2221__AT5G22340.1 pep chromosome:v.1.0:6:9314843:9317206:1 gene:fgenesh2_kg.6__2221__AT5G22340.1 transcript:fgenesh2_kg.6__2221__AT5G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSIPAASWFSGGTIPPTNVRISLPYHLRRPSNRNSPRFSAINAASRSVSELVEEDVLQMFLKDREENGDFISKVSDRLWLKDILESIDLNSNGASSSTVGLETQESLIAGVDDDDDESGFLKLKPTQEWIGWESDSAPTNKKALAKALRDDRERMKKFNLLKYEALKRELMYLSIVIGTGCSGYCLLALSAQAAVSYAVGVLFSCLYLQLLYGYADGLSREAVPGIFLEKKSKKIGIRSEDLEDFVERTIRGSGLALSSPRLVIPAAIYGLWILSHKYFQNDLFDFQIVPAMVGLFVYKAAALVQVYRDNQDLQFIFPDDY >fgenesh2_kg.6__2228__AT5G22380.1 pep chromosome:v.1.0:6:9330651:9332064:-1 gene:fgenesh2_kg.6__2228__AT5G22380.1 transcript:fgenesh2_kg.6__2228__AT5G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC090 [Source:UniProtKB/TrEMBL;Acc:D7M0X0] MADEVTIGFRFYPTEEELVAFYLRNQLEGRSDDSMHRVIPVLDVFEVEPSHLPNVAGVRCRGDAEQWFFFVPRQEREARGGRPSRTTGSGYWKATGSPGPVFSNDNRMIGVKKTMVFYTGKAPTGRKTKWKMNEYKAVDETVNASTIPKLRHEFSLCRVYITTGSSRAFDRRPVEVLQTERMLTSDVAVAETSFRVESSSETSISGGENIDLSMSTELVDGLTEPIWEWEQLSWP >fgenesh2_kg.6__2229__AT5G22390.1 pep chromosome:v.1.0:6:9338758:9339655:-1 gene:fgenesh2_kg.6__2229__AT5G22390.1 transcript:fgenesh2_kg.6__2229__AT5G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGSFHRIFDNPRQDNSPKSFLDTLSSSSPWNPSKGLHVTTHHDETPPPFTEIFGELHFRESAHSSYEKTSAENSSLQLCTEGLGSESYYDLEDEKVNVKVNGNGEDHDEEIIEVKGKDNGSNDEEWEPRRKERKEYPPAMTRMSFKTYRKEGRLVLEEVRIPRREFLRASREDGRLRLKLVQPEDDHDEEE >fgenesh2_kg.6__222__AT5G03180.1 pep chromosome:v.1.0:6:881865:884366:-1 gene:fgenesh2_kg.6__222__AT5G03180.1 transcript:fgenesh2_kg.6__222__AT5G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M801] MMMDDKDKPREVVEHRSHEDISPALMVGDSTEITEILSPSQHQRWRGLVLDIQSREAHGDFLRANGSLIHSPVSKRFKFSPMSSPRIGRRVGSMSPSSSRNRTNLLNFKNRNHNADIEEGVVSPSGDGTDKSYIPRTWSLTNLLAPRKYKKTESFITHSNPESMNGRYAVEVDPVTSMKGERLLPIRRSRSVPTFFNKDGSVKQPGVFRVIPTPSRGDEKSLEMMQASKMNEHDDGGEDVPEEEAVCRICMVEMEEDEEAFKMECMCKGELALAHKTCTIKWFTIKGNITCDVCKQEVKNLPVTLLRVQDSQDRSRAARDIEISRFNNEWQDVPILVIVSMLAYFCFLEQLLIIDMKSSAVAIALPFSCIIGLLASMISTTMVKKNYVWIYATIQFGFVVLFAHLFYTVVRFDVKQPVMCIVLATMIGFGLTMTGTTAINEYLKWRRSNSHQPEEPASTLVV >fgenesh2_kg.6__2231__AT5G22410.1 pep chromosome:v.1.0:6:9360506:9361924:1 gene:fgenesh2_kg.6__2231__AT5G22410.1 transcript:fgenesh2_kg.6__2231__AT5G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7M0X3] MAVKISTISVLILSLALLSFGHCCYGQLRIGFYSTKCPNVENIVSKVVGEAFIKGSSIAPAMIRLYFHDCFSNGCDASLLLDGASSEKKASPNLSVRGYELIDDIKSAVEQECDRVVSCADIIALATRDLVTLASGGKTRYEIPTGRLDGKVSLALLVDLPSPRMTVSQTAAKFADRKLSLTDMVLLLGGHTIGVAHCSFVMDRLYNFQNTQQPDPSMDPKLVQELRLKCPKDSSIDGIINLDQNFTSSNTMDVSFYKQINFHRGILHIDQQLAIDGMTSKMVTDIANGNDFLARFGQAMVNLGSVRLISKAKDGEIRKSCRSCNNPSCV >fgenesh2_kg.6__2232__AT5G22420.1 pep chromosome:v.1.0:6:9367540:9369916:1 gene:fgenesh2_kg.6__2232__AT5G22420.1 transcript:fgenesh2_kg.6__2232__AT5G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M0X4] KSAEQWYDVSLGINTFGAINVLNFAKKCVKTELLLHVLCLWGKIGTHSREPFAMGEALNGKNKVDINTEMRLAEQKSKQLIEQGCSAEETEQAMEDFGSKRARLYGWPNTYVFTKAMGEMLIGHYRESMPLVIIRPTIITSTFSDPFPGWIEGLKTIDSVIIFYGKGMLKCFLVDQKTVCDIIPVDMVVNAMIATAAEHFHDSGSHTVYHVGSSNQNPVMYKQIYKIIIRYFMESPLFQTLQQYPQWLGSVSTRTFAINYLYSIIFPSQRDQYALHNRKLKMAMRLVKLYKPYVLFKGIFDDKNLETLRIKNEAKEMEKLFGTNSKCIDWEDYFMNTHIPGLMTH >fgenesh2_kg.6__223__AT5G03190.2 pep chromosome:v.1.0:6:886166:888179:1 gene:fgenesh2_kg.6__223__AT5G03190.2 transcript:fgenesh2_kg.6__223__AT5G03190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEMKSIRVPRRVWLGSQISIVLLVGGNHTSSRHALFRALIIASALSVVPLMELTANDRVFGDDGAVDLIDLGRFVIAGPKLFFDKLVEPFWGKTETEKYPQVVISDLVEELMGLKLLHYDAKVLCIGPGSNSAVSAFKEMGFSDVHGVPKHPLFSVFSRKHVNELELSGEKSFDFVYCGDVDLVASPALLVLEMERALKPGGTGAVLVSTNGNDLVKSATSVSFWLKQSEIVRVNYLDEFTVIVFKRNVTETAPYIGKTQLPRDCQSVDTNRPYIEFMEPLLEQKPADFPKSVAYLPKFLDLSLKKSLVYIDIGAAEHIDANLNPNWFFPLYPLDSKAFNVYFVDHNTSVMLSYVKKPRVTFVYHPDLAEDNSTGKKITPLEQLEPFPEDEGFDFLAWFEETAKYADFVVLRMNTSTVEMKFLTVLLETGAICYVDELFLRCSNHKPDCINILQTLRTRGVFVHQWWED >fgenesh2_kg.6__2240__AT5G22480.1 pep chromosome:v.1.0:6:9398158:9402890:-1 gene:fgenesh2_kg.6__2240__AT5G22480.1 transcript:fgenesh2_kg.6__2240__AT5G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (ZPR1-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7M0X9] MDNGNDQQIDVRSVVEAVSADLSFGAPLYVVESMCMRCEENGTTRFLLTLIPHFRKVLISAFECPHCGERNNEVQFAGEIQPRGCCYNLDVLAGDVKIFDRQVVKSESATIKIPELDFEIPPEAQRGSLSTVEGILARAADELSALQEERKKVDPKTAEAIDQFLSKLRACAKAEISFTFILDDPAGNSFIENPHAPSPDPSLTIKFYERTPEQQATLGYVANPSQAGQSEGSLGAPSAKTAYVPNGTIGATAGHRAIAQSNSTDISDNLFRYSAPEEVMTFPSTCGACTEPCETRMFVTKIPYFQEVIVMASTCDSCGYRNSELKPGGAIPEKGKKITLSVRNITDLSRDVIKSDTAGVIIPELDLELAGGTLGGMVTTVEGLVTQIRESLARVHGFTFGDSLEESKKNKWREFGARLTKLLSLEKPWTLILDDELANSFIAPVTDDIKDDHQLTYEEYERSWDQNEELGLNDIDTSSADAAYESTETTKLP >fgenesh2_kg.6__2244__AT5G22510.1 pep chromosome:v.1.0:6:9415611:9418718:-1 gene:fgenesh2_kg.6__2244__AT5G22510.1 transcript:fgenesh2_kg.6__2244__AT5G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASETVLRVPLGSLSQSCCLASFFVNSTPNLSFKPVSINRKGVRCTNSHEFSSVSNSAVGGNSFHSSNCSLKGKKFVCKCQKHDVEESIRSTVLPSDGLKSDLDEMPLPVNGSLSSNGNAQSVGTKSIEDEAWDLLRQSVVFYCGSPIGTIAANDPSSTSVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILYTLQLQSWEKTMDCHSPGQGLMPCSFKVKTVPLDGDDSMTEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCTGDLSVQERVDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALVCAREMLTPEDGSDDLIRALNNRLVALNFHIREYYWLDLKKINEIYRYQTEEYSYDAVNKFNIYPDQIPSWLVDFMPNRGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLATNDQSHAILDLIEAKWAELVADMPLKICYPAMEGEEWRIITGSDPKNTPWSYHNGGAWPTLLWQLTVASIKMGRPEIAEKAVELAERRISLDKWPEYYDTKRARFIGKQARLYQTWSIAGYLVAKLLLANPAAAKFLTSEEDSDLRNAFSCMISANPRRTRGPKKAQQPFIV >fgenesh2_kg.6__2247__AT5G22550.2 pep chromosome:v.1.0:6:9425887:9427395:-1 gene:fgenesh2_kg.6__2247__AT5G22550.2 transcript:fgenesh2_kg.6__2247__AT5G22550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSQASEESATIPDENEEEAYLLLDKVIPPVHLKESAGDRSCCIYRIPHTLERVNDKAYAPKIVSIGPYHHSSDKQHLKMIEEHKKRYLEIFVSKTKDNGVNLSHLVDVVSGLEQKIRDSYSENLEFSRQKLIKVMILDGCFILMLFLVVSQKIEYTNFKDPIFNLRWILPTLRSDLLLLENQLPLFLLEVLLETSKLAPSTSLNMLAFKFFNYSIKKTDDFWKKHNNLRAKHLLDLIRKTFKPVPPPPTIPRQCCINIFRENSRTETSKNTCLGKISCSKEITGAQTSSPPLPPPPRPFLGLIVSARKLQLRGIKFKRKENVETPLDISFKSGILEVPLLVFDDFISTLLINCVAFEQFNMSCSTEITSYVTFMGCLINTEEDATFLIEKGILENYFGTGEQVSLFFKNIGKDISFSISKSFLSKVFEGVNEYTSQGYHVQWAGFKYTHFNTPWTFLSSCAALMLLLLTIFQAFFAAYAYFRPPKNS >fgenesh2_kg.6__2249__AT5G22560.1 pep chromosome:v.1.0:6:9432382:9433998:-1 gene:fgenesh2_kg.6__2249__AT5G22560.1 transcript:fgenesh2_kg.6__2249__AT5G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPKQPTGGSTTISGVDDCIVEISRADEDSKILLRNSAAHQSCCIFRIPHTLVQSNETAYKPKIVSIGPYHHHDGKSDKAKKSRFQMIQQHKQRYLDIFLSKTTKKGVGLEDLHKVVWRKEHLIRDSYSEELQLNQVELIDLMVLDGCFILMLFLMVSRKVLHKTFEDPIFMLRWILPTLRSDLLLLENQVPLFLLRDLFETSKLATKTSLNEMIFNFFGYSIKRPQKFWDERMNLDASHLLDLIRKTFVPDQSKKDKGERWTNMFCGSRCFNILSLVKNKVQTETSTPPPIETKTPPPPPPPTLTQPHPKPPTPPPRPFLKLVVSARKLQLRGIKFQQKKKFQTPLHITLKNGVLKIPPLLFDDFFSSLLINCVAFEQFNVQGTTEMTSYVTFMGCLINTADDATFLSEKGIIENYFGTGEQLSVFFKDTGKDIVFTISKSYLANVFEGVNKYTSQGYHVHWAGVKYTYFKSPWTFLSSCAALLLILLTIFQAFFAGYAYFRPPK >fgenesh2_kg.6__2253__AT5G22610.1 pep chromosome:v.1.0:6:9463195:9464887:1 gene:fgenesh2_kg.6__2253__AT5G22610.1 transcript:fgenesh2_kg.6__2253__AT5G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M1H1] MESRIERSICVEPLAGFSNAREDLISKLPEVLISQILSYLPTKDIVRTSVLSKRWKSIWLLIPGLHLDSCEFPDYNTFVDFMNDFLFSSREPKSCLNKLKLSIQKDETDPSCVTLWTDFVARGKLTHLDVEFGGRLLMRVYWELMPLSLYTCKTLLHLRLYRVLLRKFDPRVDSLPRLKTLCLEENVYPNEASLESLISSCPVLEDLTIVRADDNVRNNSGLVVDAPRLKYLTFNNEKSKSKTISNLGSLVKVNILGPFNISSVVGCSKEQMAHNFLAGISTVRYLIVSEDMMELIYSYLKVDSLPQFCNLSCLKASVWLSSFDFLDILPKLLESCPNLKFIVLDTTCIVNRTKAMVERRVSPVPKCLLSSLEFVEIKNRISVDDGALEVARYFVENSMNLKKLVLGLASSFLRLRLANRAVLKDLLALPRRSSMCQIEVFNALNGRALCYRKNKKTGRVGRIF >fgenesh2_kg.6__2254__AT5G22620.1 pep chromosome:v.1.0:6:9465208:9467828:-1 gene:fgenesh2_kg.6__2254__AT5G22620.1 transcript:fgenesh2_kg.6__2254__AT5G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate/bisphosphoglycerate mutase family protein [Source:UniProtKB/TrEMBL;Acc:D7M1H2] MISLPLTTPILPSRCLLHQTRRQNSTHRRFWIRSSSSLHEQVTAKTTKRVVLVRHGQSTWNEEGRIQGSSDFSVLTKKGESQADISRQMLINDSFDVCFTSPLKRSKKTAEIIWGSRENEMIFDYELREIDLYSFQVSLLKKEGKEKFGEAFKQWQEDPANFIIDGHYPVRELWSRARSCWPGILAHESKSVLVVAHNAVNQALVATAIGLGTEYFRSLLQSNCGVSVLDFTPRADGGSPHVCFNRLNQTPNSPLAGGSSGGRKASKQVILVCHGQGNNEDSAVINQAATNDQSMNMLGVIQSQKTAELLLDLRVSSIVCSPKAASVETAGVISRVQKAAGCLGVDSVPRHVKTKQMNELDVEGVLRKSNQDNDVIASQLDEEAVSALWNRSGKAWESLLDELSDEESNPGEIMVVVGSPMTHISLIAQCLNLTKEGLGLFHLDAGSISVIDFPDGPSRRGVIRCTNYTAHLGRWCSPITRPA >fgenesh2_kg.6__2255__AT5G22640.1 pep chromosome:v.1.0:6:9479817:9484228:1 gene:fgenesh2_kg.6__2255__AT5G22640.1 transcript:fgenesh2_kg.6__2255__AT5G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1211 [Source:UniProtKB/TrEMBL;Acc:D7M1H5] MANEELTESQQQEDPSQQSPNADEENGSNSDSDSDSDSSSQSSGDDFYISESENEAEGDNAIFNYVRPSDIPPDPNANPETNIRRFNRVLDGKRVKRMQEEEEEKYTFYEDLFDFPRDPERWKEQDLREIWADGPLEMTKPGWDPVWADEDDWEIVNDEIQEGRDPGIQPFYVPYRKPYPAIPDNHYDIENAKGVVEELDRIEEFLQWVSYIFPDGSSYEGTVWDDLAQGKGVYVAENGRVRYEGEWLQNDMEGHGVVEVDIPDIEPMPGSKLEAKMRAEGRIIKRDYMTPEDRKWLEMDVEDSVALTDGNYQVPFYENEEWVTQFGEKPEKGRYRYAGQWKHSRMHGCGVYEVNERILYGRFYFGELLEEEHGCTVDICALHSGLAEVAAAKARMFVNKPDGMIREERGPYSDPQHPYFYEEDDVWMAPGFINQFYEVPEYWETYVDEVDQEREMWLNSFYKAPLRLPMPAELEHWWENVEVTPEFVLLNKEPEPDPNDPSKLVQKEDPVILHTPTGRIINYVEDEKHGIRLFWQPPLEEGEDVDPSKVEFLPLGYDEFYGKEVAVKKEHPIKRFVLGIEKSVKPMLDGLEKWTEEKKKANEERKEMIQNELELVEAEICLEEAIEDMDEVLKQKEQEEEKNTEMGLTEEDEDVLVPVYKEEKVVTAKEKIQEKKQEEKYKDDDDEDEDDDDDDDDDDLGPSSFGSADKGRRNSPFSSSSLSFASCTLFPAVQSRLESSFLAWKQHRAEPSKVNRGIIKGADNSTASIHFPPLLSNKARLKMGKVSNQGCVQRSYGSSRSQSQLMSLSRLLSCNASSSSSPDSSSGEDLRDSGLWKTPVGDMSAVLSLQIQTKCSDLFAENPPVLS >fgenesh2_kg.6__2256__AT5G22670.1 pep chromosome:v.1.0:6:9489235:9490598:-1 gene:fgenesh2_kg.6__2256__AT5G22670.1 transcript:fgenesh2_kg.6__2256__AT5G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M1H7] DFDVLEFRGYYEFASVVHGFLDIPRETCIHKLKLALNRNQRDQSYLTQWIHNAVMLEVQDLDILSCGWSYLGTELMPLSLYTCETLVSLKLHYVSLPDFDYVSLPRLKIMHLEDNIYPNDALLENLISSCPVLEDLNVSRDLENIVKVLRVCSLSLKSLILVLDGDRYIEDDGWEVVIDAPGLSYLSLRDDQSKSFVLSSLSSPTKVDIDISFDSVRSVLRNVSLKRSVVRNFFTRLSSVRDMTMSGTTLKVLSRSMRHEPLPQFPNMIQFYAVFYNSDLEKLPNFLESCPNLKSLVLELEEFKKNEILILSSSIPKCLRSSLEYVEIHTRISGAEPEMKLVKYFLENSAVLKKFTLQLGCKRMEEDSIIFRELLRFRRCSASCEVVVEV >fgenesh2_kg.6__2257__AT5G22740.1 pep chromosome:v.1.0:6:9499323:9504475:-1 gene:fgenesh2_kg.6__2257__AT5G22740.1 transcript:fgenesh2_kg.6__2257__AT5G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSPKFVLPETFDGVRMEITGQLGMIWELVKAPVIVPLLQLAVYICLLMSVMLLCERVYMGIVIVLVKLFWKKPDKRYKFEPIHDDEELGSSNFPVVLVQIPMFNEREVYKLSIGAACGLSWPSDRLVIQVLDDSTDPTVKQMVEMECQRWASKGINIRYQIRENRVGYKAGALKEGLKRSYVKHCEYVVIFDADFQPEPDFLRRSIPFLMHNPNIALVQARWRFVNSDECLLTRMQEMSLDYHFTVEQEVGSSTHAFFGFNGTAGIWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFLYLGDLQVKSELPSTFRAFRFQQHRWSCGPANLFRKMVMEIIRNKKVRFWKKVYVIYSFFFVRKIIAHWVTFCFYCVVLPLTILVPEVKVPIWGSVYIPSIITILNSVGTPRSIHLLFYWILFENVMSLHRTKATLIGLFEAGRANEWVVTAKLGSGQSAKGNTKGLKRFPRIFKLPDRLNTLELGFAAFLFVCGCYDFVHGKNNYFIYLFLQTMSFFISGLGWIGTYVPS >fgenesh2_kg.6__2258__AT5G22750.1 pep chromosome:v.1.0:6:9518142:9524221:-1 gene:fgenesh2_kg.6__2258__AT5G22750.1 transcript:fgenesh2_kg.6__2258__AT5G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVSDDLVSTVRSVVGSDYSDMDIIRALHMSNRDPTAAINIIFDTPSFGKPDVATPIPSGSNGGKRVENRIKGCSFGDSASVGVTHLVEEEDESVNGGGEESVSGNEWWFVGCSELGGLSTCKGRKLKSGDELVFTFPHSKGLKPETTPGKRGFGRGRPALRGASDIVRFSTMDSGEIGRIPNEWARCLLPLVRDKKIKIEGSCKSAPEALSIMDTILLSVSVYINSSMFQKHSATSFKTASNTAEESMFHPLPNLFRLLGLIPFKKAEFTPEDLSSKKRPLSSKDGSAIPTSLLQLNKVKNMNQDANGDENEQCISDGDLDNIVGVGDSSGLKEMETPHKLLCELRPYQKQALHWMTQLEKGKCTDEEATMLHPCWEAYCLADKRELVVYLNSFTGDATIHFPSTLQMARGGILADAMGLGKTVMTISLLIAHSWKAASTGFLCPNYEGDKVISSSVDGLTSPPVKATKFPGFDKKLLAQKSVLQNGGNLIVCPMTLLGQWKSEIEMHAKPGSLSVYVHYGQSRPKDAKLLSQNDVVITTYGVLTSEFSQENSADHEGIYAVRWFRIVLDEAHTIKNSKSQISLAAAALVADRRWCLTGTPIQNNLEDLYSLLRFLRIEPWGTWAWWNKLVQKPFEEGDERGLKLVQSILKPIMLRRTKSSTDREGRPILVLPPADARVIYCELSESERDFYDALFKRSKVKFDQFVEQGKVLHNYASILELLLRLRQCCDHPFLVMSRGDTTEYSDLNKLSKRFLSGKSSGLEREGKDVPSEAFVQEVVEELRKGEQGECPICLEAFEDAVLTPCAHRLCRECLLASWRNSNTGLCPVCRKTVSKQELITAPTESRFQVDVEKNWVESSKITALLEELEGLRSSGSKSILFSQWTAFLDLLQIPLSRNNFSFVRLDGTLNQQQREKVLKEFSEDGSILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKSVKIRRFIVKGTVEERMEAVQARKQRMISGALTDQEVRSARIEELKMLFT >fgenesh2_kg.6__2259__AT5G22760.1 pep chromosome:v.1.0:6:9524937:9531037:1 gene:fgenesh2_kg.6__2259__AT5G22760.1 transcript:fgenesh2_kg.6__2259__AT5G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M1I2] MEGKVARPRGRPRKRPRPEDHNGVSNRGKRPVLEMKVAVPRSLLGCYVLKDLDDSRVFLGKIVSYKSGLYRVVYEDGDFEDLETRYLRQLIIGDSYFDDELRARRSKLDDFILKNDEKKKTDCLKNKGVEVPTCTSPSPVAQVESGCCSPECEDNIDPDSETMSPLVPPVELPSSSGTIGIPEEAVVYLLSVYGFFRSFSVQLYICPFGLDDFVGALNFLGPNSLLDAIHLALMRALKGHLERLSSEGSEVASKCLRCIDWSLLDALTWPVYLVQYFAAMGHASGPLWRVFNEFVVEKEYYSSPVVMKLKILQILCDDVFDVADIRAEMDTREESEVGFDPDGVTAELPENGPRRVHPRFAKTSACKEKELSEFVAVNHGISSLNESKNLSSRYTDCGPNGDSSDLDGNSDECRLCGMDGTLLCCDGCPLAYHSRCIGVVKMYIPDGPWYCPECTIKKMGPTVAHKTSLRGAVYFGVDPHGRLFLGTCNHLLVLKIYVNADADIKYYNATDLPKVVLVLLSATNHRMEYLYICKAISQYWDLPGDVISYLRAVETDLSHMQKEGGGEVSDIVKPDSASSSSGNLIQNAVGLHPSASGYAGGPVLPRSNGAQEKNLVALSTQKGLSFKPHAYVNHYTNGELAASAGATLAVLLSEETHEPDLHKFSNAKKAASSNILLQVKAFSIVASSFFWPSPDKKEITRERCGWCHSCKLTSASRRGCMLNAAVTGATKSAMKIYSGLFPLKNGEGVLSSITAYILYLEESLRGLIAGPFLIESLRYQWRKKLEEASTCKAMKSLLLELEENICSIALSSDWLKQIDDWLIEHSIFQSAPDTVGATQKRRPGKRKQRNQAEITAQGSDDDSFTWWRGGKLSKVILLKAVVSKPKIRKAAWQGGMKKLPEFNYGDGSYIPKRSRRSIWKAAVESSKNISQLALQVRYLDMNIRWSELVRPEQNVQDVKGPETEAAIFRNASICDKKIIDNKVRYGVVFGNQKHLPSRVMKNVIEVEKTEDRDEKYWFHEARVPLYLIKEYEESLHRVNIPFIKKPSRKISKLQKRQLKASRANIFSYLASRRDNTEKCSCASCHLDVFLRDSTTCSTCQGFCHKECTMSTQHTTGQVEILVTCKRCYLARARSLININHRPPTTPTVLINGQVQNAVTSVTKTQIKPLNQQLPSPKIRDNASGVKQITPDFNLAPKSKHKTLSWGVIWRKKNLADTGVSFRHQNVLLGARSDQPNLQPVCWVCKLPYNPGLTYIHCTSCDKWYHIEAIKLEESKIPEVVGFKCCKCRRIRSPDCPYMDPKLKEQKQMKQVFFRRQKHGQGNIGIDSDSDRMSEPKDSMPSTPSSLFEDTFVPEDDPLLVSVSKIEQITPNSLDVDWKGDGSVPVPQKLQVRRQVKPEETDGNNNLSCSEFSMHPESMPVVKPETEPTLPVMEWDASGNNNNMVEGELMFDYEDMEFEPQTYFSLTELLTTDDSGQYDGYGDDKDASGITDNPNPQVEAMEQCTSFLYDNTIPCQICMHVEPGPDLTCQTCTMTIHSHCSPWEEESTCIGGSWRCGRCREWM >fgenesh2_kg.6__2260__AT5G22770.2 pep chromosome:v.1.0:6:9532397:9552495:-1 gene:fgenesh2_kg.6__2260__AT5G22770.2 transcript:fgenesh2_kg.6__2260__AT5G22770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMRGLSVFISDVRNCQNKEAERLRVDKELGNIRTCFKNDKVLTPYKKKKYVWKMLYIHMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLKLAINTVRNDIIGRNETFQCLALTLVGNIGGRDFAESLAPDVQKLLISSSCRPLVRKKAALCLLRLFRKNPDAVNVDGWADRMAQLLDERDLGVLTSSTSLLVALVSNNHEAYSSCLPKCVKILERLARNQDVPQEYTYYGIPSPWLQVKAMRALQYFPTIEDPSTRKALFEVLQRILMGTDVVKNVNKNNASHAVLFEALSLVMHLDAEKEMMSQCVALLGKFISVREPNIRYLGLENMTRMLMVTDVQDIIKKHQSQIVTSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSTAEFSMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAASKAREYLDKIAIHETMVKVSAYILGEYGHLLARQPGCSASELFSILHEKLPTVSTPTIPILLSTYAKLLMHTQPPDPELQKKIWAVFHKYESCIDVEIQQRAVEYFELSKKGPAFMDVLAEMPKFPERQSSLIKKAENVEDTADQSAIKLRAQQQPSNALVLADPQPVNGAPPPLKVPIVTGSTEPQSVARSLSHPNGTLSNIDPQTPSPDLLSDLLGPLAIEAPPGAVSNEQHGPIGAEGVPDEVDGSAIVPVEEQPNTVELIGNIAERFHALCLKDSGVLYEDPHIQIGIKAEWRGHHGRLVLFMGNKNTSPLTSVQALILPPAHLRLDLSPVPDTIPPRAQVQSPLEVMNIRPSRDVAVLDFSYKFGTNVVSAKLRIPATLNKFLQPLQLTSEEFFPQWRALSGPPLKLQEVVRGVRPLALPEMANLFNSFHVTICPGLDPNPNNLVASTTFYSESTGAILCLARIETDPADRTQLRMTVGSGDPTLTFELKEFIKEQLITIPMGSRALVPAAGSAPSPAPPVAQPPSPAALADDPGAMLAGLL >fgenesh2_kg.6__2265__AT5G22792.1 pep chromosome:v.1.0:6:9572315:9573025:-1 gene:fgenesh2_kg.6__2265__AT5G22792.1 transcript:fgenesh2_kg.6__2265__AT5G22792.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M1J1] DVHARLGYDPVEDQYKVLCVMMFDGYGRGGNKDIEQEHFVITLRSQQKEWRKIEVTGDSYTDVQGGICIDGAIYYGGVGHKMLARFDVRSEKVEFIKTQKDDIVNVYRWTFINHQGKLGGIEDKRRLSSPGEIHTGEVMLVSDRLESSKPFSVFYYDPIKDSFRSAEVEGIADHEFRRIHGIGKRAREMLCFPGHIENIMFL >fgenesh2_kg.6__226__AT5G03200.1 pep chromosome:v.1.0:6:888121:889602:-1 gene:fgenesh2_kg.6__226__AT5G03200.1 transcript:fgenesh2_kg.6__226__AT5G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLISLIFCCGRRRMSNIPPAMETAPLELPANRFVFAAAPPYLNPNPNYFDQYPGYCLPPPQPQPLPYSLHHLHQYPPHSYQLPHPLIPGGRHPMLPPPYVHEKAVTIRNDVNLKKETLRLIPDPENPNRLLVSFTFDAAMPGRITVVFFATEEEEGNLRATKEDTLPPITFDFGKGLGQKFIQPSGTGIDLTAFADSELFKGMDTDVFPLAIKAEATPAEEGKSGSTNGQITQVVYTKEKGEIKIEVVKQILWVNRMRYELREIYGIENTVDGCDEGKECVVCLSEPRDTTVLPCRHMCMCSGCAKALRFQTNLCPVCRQPVERLLEITVDGNKKGGNGDGD >fgenesh2_kg.6__2270__AT5G22830.1 pep chromosome:v.1.0:6:9614255:9617323:1 gene:fgenesh2_kg.6__2270__AT5G22830.1 transcript:fgenesh2_kg.6__2270__AT5G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPIPSTFTSLFNFSDHSPYPSPSLHYLLPGSSPSFSLHLSALSRTPIYFEALKVLSRSKCFAKSPTTAEDFVGDYETLNVSDDDGSDSNSSDGDNGGREDSKKIDSSSSSSSDSTSLGIREPVYEVVEVKATGAISTRKINRRQLLKSSGLRPRDIRSVDPSLFMTNSVPSLLVREHAILLNLGSLRAIAMRDRVLIFDYNRRGGRAFVDTLMPRLNPRSMNGGPSMPFELEVVESALISRIQRLEQRLMDIEPRVQALLEVLPNRLTADILEELRISKQRLVELGSRAGALRQMLLDLLEDPHEIRRICIMGRNCTLRRGDDDLECMLPSDKLIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAKEMEDSIAVNLSSRRLEVSRFELLLQVGTFCVAVGALIAGIFGMNLRSYLEEQASAFWLTTGGIIIGAAVGFFLMYSYLSRRKIF >fgenesh2_kg.6__2271__AT5G22840.1 pep chromosome:v.1.0:6:9617669:9619741:-1 gene:fgenesh2_kg.6__2271__AT5G22840.1 transcript:fgenesh2_kg.6__2271__AT5G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M1J8] MADEKNGGRLSDASDYSSEDEGTEDYRRGGYHEVRVGDTFKNGSYVIQSKLGWGHFSTVWLAWDSLKSRYVALKIQKSAQHYTEAAMDEIKILKQIAEGDAEDKKCVVKLLDHFKHAGPNGQHVCMVFEYLGDNLLSVIKYSDYRGVPLHMVKELCFHILVGLDYLHRELSIIHTDIKPENILLCSTIDPEADARKSGIPLVLPTAKEKAVPERQAEKEKPKSYTYSADLTKNQKKKIRKKAKKVEGQGCGGEEGSEDNERDSNSETRPNGNATVEWSEESSERVKDAENVSQKSRGNRRGSRSTRQKFLADVDRKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVVLGSKYSTSADMWSFACICFELATGDVLFDPHSGENFERDEDHLALMMELLGMMPRKIALGGRHSRDFFNRQGELRHIRRLRFWPLSKVLTDKYDFSEEDAIAMQDFIIPILEFVPEKRPTAAQCLMHPWMNPVPKSLKPSPSPQNPKDEEVSHENKTKENDEREAMEVGVGNIAIDGSEPKTSAREGRQSARDLRT >fgenesh2_kg.6__2272__AT5G22850.1 pep chromosome:v.1.0:6:9620747:9623758:-1 gene:fgenesh2_kg.6__2272__AT5G22850.1 transcript:fgenesh2_kg.6__2272__AT5G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7M1J9] MVAIRFAAAILIYCLLPAAVLSYGFPAALKLERGIPANHEMELSQLKARDKARHGRLLQSLGGVIDFPVDGTFDPFVVGLYYTKIRLGSPPRDFYVQVDTGSDVLWVSCASCNGCPQTSGLQIQLNFFDPGSSVTATPVSCSDQRCSWGIQSSDSGCSVQNNLCAYTFQYGDGSGTSGFYVSDVLQFDMIVGSSLVPNSTAPVVFGCSTSQTGDLVKSDRAVDGIFGFGQQGMSVISQLASQGLAPRVFSHCLKGENGGGGILVLGEIVEPNMVFTPLVPSQPHYNVNLLSISVNGQALPINPSVFSTSNGQGTIIDTGTTLAYLSEAAYVPFVEAITNAVSQSVRPVVSKGNQCYVIATSVADIFPPVSLNFAGGASMFLNPQDYLIQQNNVGGTAVWCIGFQRIQNQGITILGDLVLKDKIFVYDLVGQRIGWANYDCSMSVNVSATSSSGRSEYVNAGQFNDNSAAPQKLSLDIVGNTLMLSLMVINMFL >fgenesh2_kg.6__2277__AT5G22875.2 pep chromosome:v.1.0:6:9658529:9659587:1 gene:fgenesh2_kg.6__2277__AT5G22875.2 transcript:fgenesh2_kg.6__2277__AT5G22875.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRNIVVATGLVVFASAGLVFPFYMASSKQPVIDPTKPLPPQATFRGPYINTGSRDVGPDHRTYPKK >fgenesh2_kg.6__2278__AT5G22880.1 pep chromosome:v.1.0:6:9659949:9660474:-1 gene:fgenesh2_kg.6__2278__AT5G22880.1 transcript:fgenesh2_kg.6__2278__AT5G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:D7M1K5] MAKADKKPAEKKPAEKTPAAEPAAAAEKKPKAGKKLPREPAVAGDKKKKRSKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAGESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTST >fgenesh2_kg.6__2279__AT5G22900.1 pep chromosome:v.1.0:6:9665564:9668181:1 gene:fgenesh2_kg.6__2279__AT5G22900.1 transcript:fgenesh2_kg.6__2279__AT5G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCHX3 [Source:UniProtKB/TrEMBL;Acc:D7M1K7] MEEFGDNKMLYLRDTWRQGTMICDVLPINPSSNGVWPEPKFSDPNINVHFWNYTFPHLQMIFLIISFLWQFLHFFLRRLGMIRFTSHMLTGVLLSKSFLKENSAARRFFSTEDYKEIVFSLTAACSYMMFWFLMGVKMDMGLIRTTGRKAITIGISSVLLSTLVCSVIFFGNLRDVGTKNSDHTLNSLETVVIYSIQCLSSFPVVGNLLFELRLQNSELGRLAMSSAVISDFSTSVLASALIFMKELKDEQTRLGSVFIGDVIAGNRPLKRAAIVVLFVCLAIYVFRPLMFYIVKQTPSGRPVKAIYLTTIIVMVSGSAILANWCKQSIFMGPFILGLAVPHGPPLGSAIIQKYESAIFGTFIPFFVASSSTEIDISALFDWEDLSGIILIMITSFVVKFILTTVAALFYRMPMEDCFAISLIMSFKGIFELGAYALAYQRGSVRPETFTVACLYIMLNSAIIPPILRYLYDPSRMYAGYEKRNMQHLKQNSELRILSCIYRTEDISPTINLLEATCPSRESPVASYVLHLMELVGQANPIFISHKLQTRRTEETSYSNNVLVSFEKFHKDFYGSVFVSIYTALSMPDTMHGDICMLALNNTTSLILLPFHQTWSADGSALISNSNMIRNLNKSVLDVAPCSVGIFVYRSSSGRRTINDTVSNLSSYNICMIFLGGKDDREAVTLATRMARDPRINITVVRMITTDEKARENSEWDKMLDDEILREVKSNTLVDIFYSEKAVEDASETSGLLKSMVSDFDMFIVGRGKGRKSVFTDGLEEWSEFKELGIIGDLLTSQDFNCQASVLVIQQQQLMI >fgenesh2_kg.6__2281__AT5G22920.1 pep chromosome:v.1.0:6:9673552:9675796:1 gene:fgenesh2_kg.6__2281__AT5G22920.1 transcript:fgenesh2_kg.6__2281__AT5G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M1K9] MEMGFHENEQNQEFANLMEIGSGHYGCSHYRRRCKIRAPCCDEIFDCRHCHNEAKDSLHIEQHHRHELPRHEVSKVICSLCETEQDVQQNCSNCGVCMGKYFCSKCKFFDDDLSKKQYHCDDCGICRTGGEENFFHCKRCRCCYSKIMEDKHRCVEGAMHHNCPVCFEYLFDSTRDITVLQCGHTMHLECTKDMGLHNRYTCPVCSKSIWDMSNLWKKLDEEVAAYPMLKLYENKMVWILCNDCGSNTNVRFHLIAHKCSSCGSYNTRQTQRGSDSHSCSSGMLQVVGSTG >fgenesh2_kg.6__2283__AT5G22940.1 pep chromosome:v.1.0:6:9687685:9689981:1 gene:fgenesh2_kg.6__2283__AT5G22940.1 transcript:fgenesh2_kg.6__2283__AT5G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7M1L1] MSLDIKRPNINKTKKKKTGFVVKMQLNNNNRGGNKRNIFIFFFFRNYYTWILWFFLSLYFFTSYFSVEDQSPPSSIRLLSNHKTSSSLPSRALIESSAIKTNSFGLFSGMKIYVYDLPASFNDDWVTASDRCATHLFAAEVAIHRALLSSDVRTLDPEEADFFFVPVYVSCNFSTSNGFPSLSHARSLLSSAVDFLSDHYPFWNRTQGSDHVFVASHDFGACFHAMEDMAIEEGIPEFMKKSIILQTFGVKYKHPCQEVEHVVIPPYIPPESVQRAIEKAPANGRRDIWAFFRGKMEVNPKNISGRFYSKGVRTAILKKFGGRRRFYLNRHRFAGYRSEIVRSVFCLCPLGWAPWSPRLVESAVLGCVPVVIADGIKLPFSETVRWPEISLTVAEKDVRSLRKILEHVAATNLSVIQRNLHGPVFKRALLYNVPMKEGDATWHILESLWRKLDDRSYRRSRVLSQ >fgenesh2_kg.6__2284__AT5G22950.1 pep chromosome:v.1.0:6:9693120:9694693:1 gene:fgenesh2_kg.6__2284__AT5G22950.1 transcript:fgenesh2_kg.6__2284__AT5G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:UniProtKB/TrEMBL;Acc:D7M1L2] MERVMNIIKPKPDPKQLLRDWQRKLRQECRNIERQIRDIQKEERNVQKAIKEAAKRNDMVSAKALAKEIVSSRRTVNRLYENKAQMNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPQMAATMQEFSKEMTKAGVIEEFVNEAIDNALDSEDMEDEIDEEVDKVLTAIAGETAAELPEAVRKERIKVPAQKASTSREEEAIAEGVDDEEELEEIRARLAKVRS >fgenesh2_kg.6__2285__AT5G22970.1 pep chromosome:v.1.0:6:9695337:9695813:-1 gene:fgenesh2_kg.6__2285__AT5G22970.1 transcript:fgenesh2_kg.6__2285__AT5G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLFAGSGCARAATLLVSNEMQTHSNIPVFVTCRPTPELSKSVPLGQKMLIDIPSIAGDNEKAEGSTQLPHTECVGTFYTKKNSGPYGMWFVLYDSDKDYCKDSCSIVVKDYGFYRWNNNKKTWDLIPPRFWLN >fgenesh2_kg.6__2286__AT5G22980.1 pep chromosome:v.1.0:6:9701845:9704647:1 gene:fgenesh2_kg.6__2286__AT5G22980.1 transcript:fgenesh2_kg.6__2286__AT5G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7M1L4] METKTNFLPFLLMIFIFSQFSLSTSKRILNNPSVFSPSPSSSSSNAEKLIKSFNLMPKYDVNVIPKGSPDAPRLIERQIDFPATIGSQNASKGPSVQEFGHYAGYYSLPHSKSAKMFYFFFESRNKNTDPVVIWLTGGPGCSSSVAMFYENGPFKLSEDLSLTWNDFGWDKVSNLIYVDQPIGTGFSYTSAESDLRHDEAGVSNDLYDFLQAFFKEHPKFVKNDFFITGESYAGHYIPALASRVHSGNKKKEGIPINLKGFAIGNGLTNPEIQYGAYGDYALQMKLISESDHESIKQDYVECQNLTKKCNLDGGLACDSAFDVCNNIFNMIAAKKRGINYYDIRKKCVGSLCYDFSKMENFLNKENVRKALGVGDIEFVSCSSTVYDAMTEDWMINLEVKIPTLVNDGINLLIYAGEYDLICNWLGNSRWVDQMNWSGQKGFGSAKNVPFLVDGKEAGSLKNYGPLTFLKVHDAGHMVPMDQPKASLQMLQNWMQGKLSTPNVRGFGQ >fgenesh2_kg.6__2290__AT5G23030.1 pep chromosome:v.1.0:6:9794390:9795470:1 gene:fgenesh2_kg.6__2290__AT5G23030.1 transcript:fgenesh2_kg.6__2290__AT5G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSNAAVITTNAILALIGLAALSFSVYVYVQGPSQCQRFVQNPLIVTAILLFFISSLGLIAALYGSHIIITLYLFFLFLSILLLLVLSVFIFLVTNPTAGKAFSGKGIGNVKTGDLQNWIGNHFLRGKNWEGITKCLSDSRVCKRFGPRDVDFDSKHLSNVQFGCCRPPVECGFESKNATWWAVPATATTAITGDCKTWSNTQSQLCYGCESCKIGVLKGIRKRWRILLVVNLLLILLVVFLYSCGCCVRKNNRVPWKRRFF >fgenesh2_kg.6__2291__AT5G23040.1 pep chromosome:v.1.0:6:9796970:9798680:-1 gene:fgenesh2_kg.6__2291__AT5G23040.1 transcript:fgenesh2_kg.6__2291__AT5G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLLSGSTVSSSFNAPSKLSLVRNSNKTSLLPFRNVSRSFKSVRCSVDSSYGGNVPTFPRTRVWDPYKRLGVSPYASEEEIWASRNFLLQQYAGHERSQESIEGAFEKLLMSSFIRRKKSKINLKTRLKKKVEESPPWLKALLDFVEMPPMDTIFRRLFLFAFMGGWSIMNSAEGGPAFQVAVSLAACVYFLNEKTKSLGRACLIGIGALAAGWFCGSIIIPMIPTFLIHPTWTLELLTSLVAYVFLFLSCTFLK >fgenesh2_kg.6__2292__AT5G23050.1 pep chromosome:v.1.0:6:9798937:9803240:-1 gene:fgenesh2_kg.6__2292__AT5G23050.1 transcript:fgenesh2_kg.6__2292__AT5G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-activating enzyme 17 [Source:UniProtKB/TrEMBL;Acc:D7M1M3] MAYKSLNSITRSDIEALGISGDVSEKLLKDLDEIIHGSSMPPDTWIQISRRILHPNLPFSFHQMMYYGCYKDFGPDPPAWLPDPKVASLTNVGKLLERRGKEFLGENYKNPISSFSSFQEFSVSNPEVYWKTVLDELNIVFSVPPKCILEKDTSGDNPGGNWLPGAYLNPARNCLTNGFKRRLDDIVIRWRDEGSDDLPVNTMTLLELRSQVWLAAHALSALDLEEESAIAVDMPMNVESVIIYLAIVLAGHVVVSIADSFSPREISTRLKISKAKAIFTQDVIIRGDKSIPLYRRVIDAEAPLAIVVPARGSSCRMKLREKDLSWNNFLGKARNLRGVEYVAVEKPAGAYTNILFSSGTTGEPKAIPWTNISPLKSAADAWCHLDVHRGDVVAWPTNLGWMMGPWLVYASLINGACMALYNGSPLGPTFAKFVQDAEVTVLGVIPSIVRTWQNSNSTSGYDWSRIRCFGSTGEASNIDEYLWLMGRAHYKPVIEYCGGTEIGGSFISGSLLQPQSLAAFSTAVMGCKLFILGEDGNPIPPYAAGVGELALCPHMFGASSTLLNGNHFKVYFQGMPTFQGQILRRHGDLFERTSKGYYRAHGRADDTMNLGGIKVGSIEIERVCNSVDDSVLETAAIGVPPPSGGPEQLVIAVVFKSPEISKHDLNVLKKSFNSEIQKKLNPLFKVSSVVTLPSLPRTATNKVMRRVLRQQLTQTSLNSKL >fgenesh2_kg.6__2293__AT5G23060.1 pep chromosome:v.1.0:6:9804838:9806723:-1 gene:fgenesh2_kg.6__2293__AT5G23060.1 transcript:fgenesh2_kg.6__2293__AT5G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEMAMKSSLSAKLTLPSSSSKKTLRQISVSLPTSTSISLLSLFASPPHEAKAAVSISKDQIVSSITEVEKTINQVQETGSSVFDATQRVFQVVGDALKPALDTALPLAKQAGEEAIKLASPAFSEASKKAQEAMQSSGFDSEPVFNAAKTVTDVAQQTTKAIEDAKPIASSTIETISSADPNVIVVAAGAAFLAYLLLPPVWSAISFNFRGYKGDLTPAQTLDLLCTKNYLMVDIRSEKDKEKAGIPRLPSNAKNRVIAIPLEELPNKVKGIVRNSKRVEAEIAALKISYLKRINKGSNIIILDSYSDSAKIVAKTLKVLGFKNCYIVTDGFSGGRGWLQSRLGTDSYNFSFAQVLSPSRIIPAASRSFGTRSGTKFLPSSD >fgenesh2_kg.6__2296__AT5G23080.1 pep chromosome:v.1.0:6:9811602:9817656:-1 gene:fgenesh2_kg.6__2296__AT5G23080.1 transcript:fgenesh2_kg.6__2296__AT5G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDEEDFVFHGTPIEREEEIGSRKKKAVAGASGNLRTLPAWKQEVTDEEGRRRFHGAFTGGYSAGYYNTVGSKEGWAPQSFTSSRKNRAGARKQSISDFLDEDEKADMEGKSLSASSQFDTFGFTAAEHSRKQAEKEQHERPSAIPGPVPDELVAPVSESIGVKLLLKMGWRRGHSIKDVRASSDARREARKAFLAFSTDENTKETPDSLVSETEVETSLGPQFSEDIKLSESTPVYVLNPKQDLHGLGYDPFKHAPEFREKKRSRLSANKEVGFRKPLSMKESLFGPKSGKIAPGFGIGALEELDVEDEDVYAGYDFDQTYVIEDEQPARPSNDNRLRLTSKEHDVLPGFGAAKNSDYSMERFNPPNIPKDFVARHKFSGPLEAETKPTVSAPPDVPPPADNNLKLLIEGFATFVSRCGKLYEDLSREKNQSNQLFDFLRGGNGHDYYRRRLWEEQQKRSDQSKLTLDVKQSPTVPKMTAETRGSLLGEKPLQRSLKETDTSASSGGSFQFPTNLSDTFTKSASSQEAADAVKPFKDDPAKQERFEQFFKEKYKGGLRTTDSNRVNSMSESARAQERLDFEAAAEAIEKGKAYKEVRRATEQPIDFLAGGLQFTSGGTEQIKDTGVVDMKSSKTYPKREEFQWRPSPLLCKRFDLPDPFMGKLPPAPRARNKMDSLIFLPDTVKAACTRQVSESQEPKTETSIEEPEVEVEVENVERPVDLYKAIFSDDSEDDEDQPINGKIQEGQEKKNEAAATTLNRLIAGDFLESLGKELGFEVPSDVPYQEGIKPMEEESKSRSKPEDSSDKRRDRSGLKEKVEEKRSSLILGSEEEKSRKKREKSPRKGSGGNNLSSGEFSGDERRRKRSNKKDRPIDSESDSSSDYHSRDKQGSRSRRKRRESSREKRSSHKKHSKHHRTKKSSSSRYSSDEEQKESRREKKRRRD >fgenesh2_kg.6__2297__AT5G23090.2 pep chromosome:v.1.0:6:9817807:9819245:1 gene:fgenesh2_kg.6__2297__AT5G23090.2 transcript:fgenesh2_kg.6__2297__AT5G23090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLVSSEANDVCNKEDKRTIAPEHVLKALQVLGFGEYIEEVYAAYEQHKYETMQDTQRSVKWNSGAQMTEEEAAAEQQRMFAEARARMNGGVSVPQPEHPETDQRSPQS >fgenesh2_kg.6__229__AT5G03240.1 pep chromosome:v.1.0:6:904720:906628:-1 gene:fgenesh2_kg.6__229__AT5G03240.1 transcript:fgenesh2_kg.6__229__AT5G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLTDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSF >fgenesh2_kg.6__22__AT5G01800.1 pep chromosome:v.1.0:6:87611:89320:-1 gene:fgenesh2_kg.6__22__AT5G01800.1 transcript:fgenesh2_kg.6__22__AT5G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Saposin B domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M6F2] MGGRFGVLFFLLGLLTCWWSCDARDPILLQPLESAHDDNQVCELCDKYVTLAIDYLQDYDNQNALVEALHISCSQIPPLKKQCLSMVDHYTQLFFTQVSTITSDQICKRLNLCQAATPPFASQVHQGNCEACRQTVSEVVAKLKDPQTKLKIIRLLLKECKSLNNYQDKCKKMVFEYGPLMLTDLEKFLEKKDVCFILHVCPGPATHHGYIPTVEALADS >fgenesh2_kg.6__2302__AT5G23110.1 pep chromosome:v.1.0:6:9836652:9853990:1 gene:fgenesh2_kg.6__2302__AT5G23110.1 transcript:fgenesh2_kg.6__2302__AT5G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M1M9] MDSLLLEDFGQKVDLTRRIREVLLNYPEGTTVLKELIQNADDAGATKVRLCLDRRVHGSGSLLSDSLAQWQGPSLLAYNDAVFTEEDFVSISRIGGSGKHGQAWKTGRFGVGFNSVYHLTDIPSFVSGKYVVLFDPQGAYLPNISAANPGKRIDYVGSSALSQYKDQFLPYCAFGCDMRSPFHGTLFRFPLRNPEQAASSRLSRQAYFEDDISLMFDQLFEEGVFSLLFLKCVLSIEMYTWDDGDPEPKKLYSCSVSSPDNDTVWHRQAVLRLSKTSISGDREMDAFTLEFLSESEKGSQSQRRTDRFYIVQTMASASSKIGLFAATASKEYDIHLLPWASVAACISDDSSENNILKLGHAFCFLPLPVRTGLTVQVNGYFEVSSNRRGIWYGEDMDRSGKVRSAWNRLLLEDVVAPTFTRLLLCLREVLDSRDSYFSLWPSGSFEAPWSILVEQIYKNIYNAPVLFSDLDGGKWVSPADAYLHDEEFSGSKELADALLQLEMPIVCLPRPVFDMLLKHPSFLLPKVVTPDRVRNFLKECKTLSALKKSLKLVLLEYCLDDLTDDSVCTHASNLKLLPLANGDFGFFSESTESVSYFICDELEHMLLQKVYDRVIDRNIPSPLYSRLFAIAESQTANLAIFSIHNLLQLFPRLVPAEWKHRSKISWHPESNRDHPSSSWFVLFWLYLDKQCQSLSLFCDWPILPSTSGYLFIASPQSKLINAEKLPDAVRNVLEKIGGKILNNNFKVEHSDLSSFVSDASYTGVLESIFDAASSDMDGVQNLVYDLNAQEKDELRSFLLDPKWHIGHQIGDLYLRICKILPIYRIYGEISAQEFNYSDLVNPPKYLPPLDVPACLLGCEFVICCQGSEEDVLSRYYGIERMRKSNFYRQNVFNRIEVLQPEIRDQVMISILQNLPQLCLEDRLLREELQNLEFVPTVNGPLKRPSVLHDPRNEELYALLEDSDCFPGSGFQGSAILDMLQGLGLKTTVSPEIILESARLVERLMHKDLEKAHSRGKVLFSFLEVNAVKWLPDQSSEDDGAINRIFSRAATAFRPRNLTCNLVKFWSELNMICWCPVLVSAPFQTLPWPVVTSTVAPPKLVRPKTDMWLVSASMRILDGECSSTALAYNLGWLSHPGGSAIAAQLLELGKNNEILIDQVLRQELALAMPKIYSILARLLGSDEMDIVKAVLEGSRWIWVGDGFATLSEVVLDGPLHLVPYIRVIPTDLAVFRGLFVELGVREFLTPSDYADVLCRIAVRKGTSPLDPQEIRAAVLIAQQLAEAQFLDKVTIYLPDVSGRLFPSSDLVYNDAPWLTASDNHNSSFSAESTMLLNAKRTMQKFVHGNISNEVAEKLGVRSLRRVLLAESADSMNFSLSGAAEAFGQHEALTTRLKHILEMYADGPGILFELVQNAEDAGASEVTFLLDKTHYGTSSLLSPEMADWQGPALYCFNNSVFTQQDMYAISRIGQASKLEKPFAIGRFGLGFNCVYHFTDIPGFVSGENIVMFDPHANHLPGISPTHPGLRIKFAGRNILDQFPDQFAPFLHFGCDLEHTFPGTLFRFPLRNASVAPRSHIKKETYASEDVLSLFTSFSGVVSEALVFLRNVKTVSIFTKEGAGHEMQLLHRVCKDHNVGQDTEPKPSSKVFSLLDESIFAGMNKDQLLKKLSNTVVKDLPYKCQKIVVTEQDSSGCILHGWITGECLNAGVSKKNLNLPEMSHKLIPWASVAVLINSVKSDNVEDLAASNSNIFGPSTISIQNRRNFGGRAFCFLPLPITTGLPAHINAYFELSSNRRDLWFGNDMAGDGKVRSDWNLYLIEEVVVPAYGHLLEKIASELGPCDLFFSVWPVTLGTEPWASLVRKLYSFIANNGLRVLYTKARGGQWISTKQAIYPDFSFPKAEELVDVLADAGLPVINISKTVAERFGEACSSLHFMTPQLLRTLLTRRKREFRDRNGLALALEYCLLDLKVPFLADLLYGLPLLPLADGSFTTFSKSGTAERIFFAEEIGYEILKDSLPHQLVDREVPEGVYSKLLAVAQSEESCICLLSCNLLEKLFFKLLPADWHLSEKILWTPGQQEHPTVEWIRVLWSYLKLSCDDLSIFSKWPILPVEDGCLMRLIVNSNVIRDDGWSENMSSLLLKCGCRFLNRELPVEHPQLDTFVQPPTATGILNALLAVSGGHENIKGIFLNVSEGELHELRNFILQSKWFSGGHMNEVHFETIKHLPIFESYRSRKLVSLNCPVMWLKPDGIREDLLDDDFVRLDSERERAIFKRYLQIKEPSKMEFYKACVLNRMSEFLSQQEALLAILHDLNDLVVDDVSLQCELSTTPFVLAANGLWQQPSRLYDPRVPALQELLHKEVYFPSEKFSDSKILDALVGLGLRTTLDCSTYLDAARSVSMLHDSGDLEASRYGRRLLFHIKTLSVKLSSKTGEANHDESQNIMSITSEDSLDGETYPEYETETSYLGSLLTEQSEDEFWCQLRSIPWCPICLDPPIEGIPWLESSNLVASPDRVRPKSQMFLVSATMHLLDGECQSSYLHQKLGWMDCLTIDILCKQLIEISKSYKEQKSRSSINPDFESMLQGQIPLLYTRLQELSRENDFLALKSALSGVPWVWLGDDFVSADVLSFDSPVKFTPYLYVVPSELSDFKELLLELGVRLSFDAADYMNTLQHLQNDIKGSQLTDEQINFVLCVLEAIADCFSEVSSDSDNNSVLVPDSAGFLVPLDDLVYNDAPWVDSSSLSGKRFVHPSINSDMANRLGIQSLRCISLVDNDITQDLPCMDFTKLKELLSLYASKDFLLFDLLELADCCRVKKLHIIFDKREHSRKTLLQHNLGEFQGPALVAILEGVTLTREEVCSLQLLSQWRIKGETLNYGLGLLSCYFMCDLLSIVSGGYFYMFDPQGATLSAPTTQAPAAKMFSLIGTNLVERFSDQFNPMLIGQDKAWSLTDSTIIRMPLSTEILKDGLEAGLDRVKQISDQFLENASRILIFLKSVSQVSFSTWEQGNAKPHQDYTLHIDSASAIMRNPFPEKKLQTLKTRLFGSSNSGVKSRIIEVNLHIGENKLLDRWLVVLRMGSGQSQNMARDRKYLAYNLTPVAGVAAHVSRNGRPVDVHPASPIMSPLPLSGSVNLPVTILGCFLIRNNCGRFLFKNQNERAMSEPQLDAGDKLIDAWNKELMSCVRDSYIEIVVEMERLRREHSSSSIESSTARQLALSLKAYGHQLYSFWPRSNQHALRSQHDGAIATEVLKPEWECLVEQVIRPFYARVADLPLWQLYSGNLVKAEEGMFLTQPGSEVAVNLLPVTVCSFVKEHYPVFSVPWELLAEVQAVGIPVREVNPKMVRVLLRKSSASIDLRSVDTFIDVLEYCLSDIQFIEALNFEGANMDEGNSTYASTSTSTQAQAGSSDAFEMMTSLGKALFDFGRVVVEDIGRVGDSIGQRNSNNRYSNADPRFLSAVNELKGLPCPTATNHLALLGKSELWLGNKEQQTLMLPVSERFIHPKVFDRSSLAHIFLKSSVQAFLKLRIWSLPLLASNMKYLFHDHWISYISESNSVPWFSWESTSSSSDDSGPSPEWIQLFWKNFNGSADELSLFSDWPLIPAFLGRPILCRVRERHLIFFPPPALQPISRSGTDMHQRDSDISTTSVSDGSLSELIQQYVSGFDQAQSKHPWLILLLNQCNIPVCDAAYIDCAQRCKCLPSPSVSLGQAIASKLAEGKRAGYIADIASFPTFGRDELFTLLANDFSSSGSRYQAYELEVLSSLPIFKTVTGSYMDLQRHGLCIISGDSFLKPYDECCFCYLPDSVECHFLQALGVTVLHNHQTLVRYGLAGFESRSQSEQEDILIYVYGNWLDLEADSTVIEALREAKFVRNSDEFSSELSKPKDLFDPSDTLLLSVFFGERKLFPGERFSSEGWLRILRKAGLRTAAEADVILECAKRVEFLGNERNRASEEDDFETDLVYSEKDISVELSTLAGSVIEAIFLNFAGFYSTAFCNTLGQIACVPAESGFPSLGGRKGGKRVLTRYSEAVLLRDWPLAWSSVPILSTQRFIPPEFSWTALRLKSPPIFSTVLKHLQVIGRNGGEDTLAHWPNDPNVMTIDVTSCEVLKYLEKVWGSLTSSDILELQKVAFLPAANGTRLVGAGSLFVRLPINLSPFAFELPSLYLPFLKILKDLGLNDVLSVGAAKDILSKLQKACGYRRLNPNELRAVMEVLHFLCDEINKTKPPEINTIKLDVIVPDDGCRLVHARSCVYVDSFGSRYVKYIDTARLRLVHPHLPERICLDLGVTKLSDVVIEELENAEHIQTLDNIGFISLKAIRRKLQSESFQAALWTVSRQTTTVDDLTFEVMQHSLQSASEKIGFVRNIYTRFLLLPNSVDVTLVCKESMIPEWENESHHRTMYFINHHRTSILVSEPPGYISFLDVMATVVSEVLGFPTSLPIGSLFSCPEGSETEIAACLRLCSYSLTHTGTADSSIGQEIMPQDAVQVQLHPLRPFFKGEIVAWKIQQGDKLRYGRVPEDVRPSAGQALYRLKVEMTPGETGLLLSSQVFSFRGTSIENEGPSILPEVLPAVSDNKSQETSESSRTNKTSSSQPVNEMQYGRVTAKELVEAVHEMLSAAGINMELENQSLLLRTITLQEELKDSKVAFLLEQERAEASMKEAETAKSQWLCQICQMKEVEITIVPCGHVLCRDCSTSVSRCPFCRLQVNRTIRIFRP >fgenesh2_kg.6__2303__AT5G23120.1 pep chromosome:v.1.0:6:9854795:9857323:1 gene:fgenesh2_kg.6__2303__AT5G23120.1 transcript:fgenesh2_kg.6__2303__AT5G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCF136 [Source:UniProtKB/TrEMBL;Acc:D7M1N0] MASLQLCDGYLLFKPSVSPQRISHRLIPKASASPSSSSSSLSFSRRELLYQSAAVSLSIVGPVKEAKADEQLSEWERVFLPIDPGVVLLDIAFVPDEPSRGFLLGTRQTLLETKDGGNTWNPRSIPSAEEEDFNYRFNSISFKGKQGWIIGKPAILLYTADAGDNWDRIPLSSQLPGDMVFIKATGDKSAEMVTDEGAIYVTSNKGYNWKAAIQETVSATLNRTVSSGISGASYYTGTFSAVNRSPDGRYVAVSSRGNFFLTWEPGQPYWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLYLSKGTGLTEDFEEVPVQSRGFGILDVGYRSEEEAWAAGGSGILLRTRNGGKSWNRDKAADNIAANLYAVKFVDDKKGFVLGNDGVLLRYVG >fgenesh2_kg.6__2304__AT5G23130.1 pep chromosome:v.1.0:6:9857842:9860423:1 gene:fgenesh2_kg.6__2304__AT5G23130.1 transcript:fgenesh2_kg.6__2304__AT5G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDRGRRISGCNVSFEFRGDYGYGFVDRSQGCHERSPSRSLSVSKILRITSPTLSPPSSSSTGAGYIEHRVSKFDTLAGIAIKYGVEVADITKLNGLVTDLQMFALKSLRIPLPGRHPPSPCLSNGSLNHGEDCSEQASSSESNGNHQDVFDSFQSLRLKPSEKKISSAMNSLQGYYGLKPKTRRASEGFEMAVYKNEASHLQHDGQYLTPYPATNTPLSHHRKSRSLVDAVIAEVNQSPNPSKAGGGEVNSDKPMRRRQKSEADFSSRAPELLLKEENRSSNGAFSAIAGKNLALRSKASGRANVSTDTENGNVNSIPISLMDAPVGVGDSFSSVRKSFSASSLQESDCTSNGSSLWSTSKWTLKPDLLTQAAMASSIFDGLPKPLTGRRNKKAVD >fgenesh2_kg.6__2305__AT5G23150.1 pep chromosome:v.1.0:6:9863015:9869832:1 gene:fgenesh2_kg.6__2305__AT5G23150.1 transcript:fgenesh2_kg.6__2305__AT5G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of ag-4 2 [Source:UniProtKB/TrEMBL;Acc:D7M1N3] MAPGRKRGASKAKTKGQLILGDLVLAKVKGFPAWPAKISRPEDWDRAPDPKKYFVQFFGTEEIAFVAPPDIQAFTSEAKSKLLARCQGKTVKYFAQAVEQICTAFEELQNHKSNVSGDEDPLDAAEPGLTKAEIVDGTDHIVIESDGTNNFDSRVDPCFPKNIGEETKAEIGKLDSSPFLESKITTTFSGSESLEHGSYDPRLKEGDFDKGTDGSACIEHFGNGQKKLSNGKRIKKEAGGSDIKGEDTVHRDRSNNSHVPGGRTASGNSDSKKLKGLLTEKSSSKVSAGKHENSPGFKGGVSGKKRRLESELGKVAPRVDESSRAAKKPRCESAADKKEKCEIDDGSDSTGTVSDIKREIVLGLSARGGNLQYDKEVVAYTKRRRQTMEHAISSSFSGSRDKSGKGHLEQKDRSSPVSNVKAPAAQSLKKRRAVCIYDEDDDEDPKTPLHGRPAIVPKAASVLAGGPKSANLCQSTSTKGKMSAGSTENTELRKFPLRKHCEDASRVLPGNVENSTNSLPTVKPINELPPKDVKQILRSPKMSPQLVLTNKHVAGQHKLVKSSVKVSDVVMAKKPQSDSCKETVAGSDKISSSQSQPANQRHKAASVGERPTVVSKAASRLNDSGSRDMSVDLSAGMLDLNREKWSAPFTSAKAPDSAASMKDLIAAAQAKRKLAHSQNSIFGNLNPSFLSISDTQVRSHSPFMVQDASASAAITMPLVVQGHQQGSSPSNHGHQSSSRSQIETDDNEERRLSSGHKSVGGSLSGSTEAAVSRDAFEGMLETLSRTRESIGRATRLAIDCAKYGLASEVVELLIQKLESESHFPRKVDLFFLVDSITQYSHSQKGIAGASYVPTVQAALPRLLGAAAPPGTGASDNRRKCLKVLKLWLERKVFPESLLRCYIDDIRASGDDATVGFSLRRPSRSERAVDDPIREMEGMLVDEYGSNATFQLPGFFSSHNFEDDEEDDDLPTSQKAKSTSAGERFNVLDDLEIHDISSDKCHRVLEDVDHELEMEDVSGQRKDVAPSSLRENETEQSLDVMEPVAEKSTEVNPLPEDSPPLPHESPPSTPPLPPSPPPPSPPPPPSSPPPLLPPPPPAAHFPPLSPPQSQPPPPPPLSPPPSPPPPPPPPSQSIAFSASLTTQQSIAGHHQLPFQPGFPPPAYPLSHQTYPGSMQQDRCSIFTGDQIVQGPGNSRGSHVEGAGKPEYFVQQSSSFSPAGVCSSREPSSFTSSRQLEFGSSDVLFNPDASSQNHRFQPSTPLSQRPMVRLPSAPSSHFSYPSHVQSQSQHSYTHPYSFPPQRDDGRRYRNEEPWRIPSSGHSAENQSGAWIRGRNSHPGLPRVTDGFFRPPLERPPSGTMNYQPSAASNLQAAAAIPGHAAPQMLPSRPDIPTVNCWRPA >fgenesh2_kg.6__2306__AT5G23160.1 pep chromosome:v.1.0:6:9874301:9875522:-1 gene:fgenesh2_kg.6__2306__AT5G23160.1 transcript:fgenesh2_kg.6__2306__AT5G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPERKVRERIKSSSSYFLRCFGVSRKIHSDKPIVDAGQETQKTKKKMRTRWFSRPTKFRLKNGDITPATPIHEMECQNSTVEDDKQNLFRVIRHVPDRKNVATSGYKAVDHESYEKDTTERRDINPDPLSFLGYDDTFYERVSTDGKLDPTNIVGSGSKPKEIREKSSRVRKGSRVAKLDPVIGISIIMLTLMIMLTWGRLCAILCTSTWCYILPRLKEAATAVAVAKRKRNGSGKGEEGSFQGDLDLNSEAYKKKVVLEGFLVRQHRVSL >fgenesh2_kg.6__2307__AT5G23170.1 pep chromosome:v.1.0:6:9877516:9878727:-1 gene:fgenesh2_kg.6__2307__AT5G23170.1 transcript:fgenesh2_kg.6__2307__AT5G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M1N5] MKEFDYDKLFTVTDRFSHSKLIGKGSHGYVYKALLHHHNHDDKHQRVVAIKAPSSLSLSLSSSSSKSEQTKKLENEINVMSSLPYHPHVLSFLGHAEKKLMVVEFMPNGSLHQLLHVSADPLPTWLKRIEIALQIASAVHFLHEQGIIHRDIKSENVLFDSNWEAKLADFGLAVDLGGGKTRPAPAGTIGYLDPCYTLPENLSMKTDVYSYGVVLLEIVSCRKAIDVSRSPSSIVDWAVPLIKEGRIGEICGGGGGGSAVFRGMNLRLLRMAARCVSSDVESRPCFGEITTEIVACLAEPLKSLPLWISVLRRVVKLKRRKKRLRETL >fgenesh2_kg.6__2309__AT5G23200.1 pep chromosome:v.1.0:6:9888431:9890570:1 gene:fgenesh2_kg.6__2309__AT5G23200.1 transcript:fgenesh2_kg.6__2309__AT5G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFNKFQQAVGVLAKSTTFAKNPRQLQFEADVNKLFMYTSYYRLGREAEETDAEEIIEMAGKATLSEQQKQVQENIHYQVENFCSLMDGILLPDVRNNESGSQSTSPPPRRSGLTFAIGSNNAFPAADTPLVPETKPLKLNEVSQRLMDQMGYTLEIKPSVIPHKDAGQGCFIKGEADVGTVLAFYPGVIYSPAFYRYIPGYPKVDSQNSYLITRYDGTVINAQPWGLGGESREVWNGSYTPAVKANSKAAENGSDRLWKALSKPLEGSGEAKDVLERRNPLAFGHLANHPAKEMTPNVMICPYDFPLMAKDLRPYIPNISFGDSGEIKMKRFGSFWFKTGSKNGLEAPVLKTLVLVATRSLCNEELLLNYRLSNSKRRPDWYTPVNEEEDRRRWS >fgenesh2_kg.6__2310__AT5G23210.1 pep chromosome:v.1.0:6:9891775:9896264:1 gene:fgenesh2_kg.6__2310__AT5G23210.1 transcript:fgenesh2_kg.6__2310__AT5G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7M1N9] MGSHSVEFSVLVLFLVSFLLGSSSAEKLCSDNDGDNGCFRSRVLAAQRADRVKELPGQPPVKFRQYAGYVTVNETHGRALFYWFFEATHNPSKKPLLLWLNGGPGCSSIGFGASEELGPFFPQNSSQPKLKLNPYSWNKAANLLFLESPVGVGFSYTNTSRDINQLGDTITARDSYNFLVNWFKRFPQYKSHEFYIAGESYAGHYVPQLSELIYNENKIAPKKDFINLKGLMIGNALLDDETDQKGMIEYAWDHAVISDALYKKVNQNCDFKQKLVTKECNAALDEYFDVYKILDMYSLYSPKCVPTTSTNSSTSHSVAGNRPLPAFRSVLRPRLISHNEGWRRMAAGYDPCASEYTEKYMNRRDVQEALHANVTNISYPWTHCSDTVSFWSDAPASMLPTLRTLVSAGLRVWVFSGDTDGRIPVTATRYSLKKLGLKIVQDWTPWYTKLQVGGWTVEYDGLMFVTVRGAGHQVPTFKPREALQLVHHFLGNKKLPTYPF >fgenesh2_kg.6__2315__AT5G23230.1 pep chromosome:v.1.0:6:9914841:9915457:-1 gene:fgenesh2_kg.6__2315__AT5G23230.1 transcript:fgenesh2_kg.6__2315__AT5G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismatase hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7M1P3] MASSSTRKYETRKRDPNPKTAALLVIDMQNHFSSMAKPILNNALTTIDICRRASIPVFFTRHNHKSPTDHGMLGEWWNGDLILDGTTDSEIIREIQILTKPEEIVEKSTYSAFNNTRLHEKLGKIGVKEVIVIGVMTNLCCETTAREAFVKGFRVFFSTDATATVNEELHEATLMNLAYGFAYLVDCDSLRRGLLSNI >fgenesh2_kg.6__2316__AT5G23240.1 pep chromosome:v.1.0:6:9915619:9917620:-1 gene:fgenesh2_kg.6__2316__AT5G23240.1 transcript:fgenesh2_kg.6__2316__AT5G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M1P4] MSPAIFTPTTLLPSTATTWPCTTSQKLITIRSPLKLKCRATSSTSSSSITDFDLYDLLGIDRSSDKSQIKAAYRALQKRCHPDIAGDPGHDMAIILNEAYQLLSDPITRQAYDKEQAKLEELRGYTGKPIYSVWCGPETEQRAAFVDEVKCVGCLKCALCAEKTFAIETAYGRARVVAQWADPESKIKEAIEACLVDCISMVERSDLAPLEFLMSKQPRGNVRIGVGNTVGERVSNVFVDVKKFQERYAKAMSRTTKETSQREVQISAVEAIRSISNWLYWRSSPYTKPLSPDSNMSLTFTKRKKTVDPDIRKLQDAVAAMKQAEQSGGKTKEKGSAYMLGEDYWTPSNTALPSSGNNTSSKASSIPQVTRKTFPSEEKPASRRDNRRHFRIKKFPIGTAIVAVFLVQYQASYRAASELNDHIGGSLALAIVNSPWQQILLAGVTWYFIGAMLLQLVEAVQHKLEDKEV >fgenesh2_kg.6__2318__AT5G23250.1 pep chromosome:v.1.0:6:9918106:9920485:1 gene:fgenesh2_kg.6__2318__AT5G23250.1 transcript:fgenesh2_kg.6__2318__AT5G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate--CoA ligase [ADP-forming] subunit alpha, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7M1P5] MSRQVTRLLGSLRRSGGGGSSEVCSLTSLTQSRSFGTTPPPPAAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVAGVTPKKGGTEHLGLPVFNTVAEAKAETKANASVIYVPAPFAAAAIMEGLAAELDLIVCITEGIPQHDMVRVKAALNSQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGKIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFFADPQTEGIVLIGEIGGTAEEDAAALIKESGTDKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKSLRDAGVKVVESPAKIGAAMFEIFQERGLLK >fgenesh2_kg.6__2319__AT5G23260.2 pep chromosome:v.1.0:6:9929906:9932331:1 gene:fgenesh2_kg.6__2319__AT5G23260.2 transcript:fgenesh2_kg.6__2319__AT5G23260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKKIENQTARQVTFSKRRTGLMKKTRELSILCDAHIGLIVFSATGKLSEFCSEQDRMPQLIDRYLHTNGLRLPDHQDDQDQLYHEMEVLRRETCNLELHLRPYQGHDLASIPPHELDGLERQLEHSVLKVRERKNELMQQQLENLSRKRRMLEEDNNNMYRWLHEHRAAIEFQQAGIETKPGEYQQFLEQLQYYKPGEYDQQFLEQQQQQQPNSVLQLATLPSEIDPNYNLQLAQPNLQNDPTAKSD >fgenesh2_kg.6__231__AT5G03260.1 pep chromosome:v.1.0:6:913015:915378:-1 gene:fgenesh2_kg.6__231__AT5G03260.1 transcript:fgenesh2_kg.6__231__AT5G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:D7LWM0] MKMAFLFLFFYLLAFLGDSPVDAAVKKYQFDVQVKNISRICNAKPIVTVNGMFPGPTVYAREGDRVIINVTNHVQYNMSIHWHGLKQYRNGWADGPAYITQCPIQTGQSYLYDFNVTGQRGTLWWHAHILWLRATVYGAIVILPEPGKPYPFPQPYQESNIILGEWWNKDVETAVNQANQLGAPPPMSDAHTINGKPGPLFPCSEKHTFVIEAEAGKTYLLRIINAALNDELFFGIAGHNMTVVEIDAVYTKPFTTKAILIGPGQTTNVLVKTDRSPNRYYMAASPFMDAPVSVDNKTVTAILQYKGVPNTVLPILPKLPLPNDTSFALDYNGKLKSLNTPNFPALVPLKVDRRLFYTIGLGINACPTCVNGTNLAASINNITFVMPKTALLKAHYSNISGVFRTDFPDRPPKAFNYTGVPLTANLGTSTGTRLSRVKFNTTIELVLQDTNLLTVESHPFHLHGYNFFVVGTGVGNFDPKKDPAKFNLIDPPERNTVGVPTGGWAAIRFRADNPGVWFMHCHLEVHTMWGLKMAFVVENGKTPELSVLPPPKDYPSC >fgenesh2_kg.6__2323__AT5G23280.1 pep chromosome:v.1.0:6:9976836:9978085:1 gene:fgenesh2_kg.6__2323__AT5G23280.1 transcript:fgenesh2_kg.6__2323__AT5G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINNNNNNDGVMISSNGALIEQPSSVVVKKPPAKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTASVSIRGTSSTSLSSSLDHKPTSLLGGSSPFILGKRVRADEDNNNHNSGGKDETFTTTPAGFWAVPARPDFGQVWSFTGAPQEMFLQQHHQQPLFVHQQQQQQAAMGEASAARVGNYLPGHLNLLASLSGGSPGSGRREEDPR >fgenesh2_kg.6__2326__AT5G23310.1 pep chromosome:v.1.0:6:9984333:9986147:1 gene:fgenesh2_kg.6__2326__AT5G23310.1 transcript:fgenesh2_kg.6__2326__AT5G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVVTTSCFCTNSDSSIRLKSSKLVNLSNQQRRYSLRSRGGLKVEAYYGLKTPPYPLDALEPYMSRRTLEVHWGKHHRGYVDNLNKQLGKDDRLYGYTMEELIKATYNNGNPLPEFNNAAQVYNHDFFWESMQPGGGDMPKKGVLEQIDKDFGSFTNFREKFTNAALTQFGSGWVWLVLKREERRLEVVKTSNAINPLVWDDIPIISLDVWEHSYYLDYKNDRARYINTFLNHLVSWNAAMSRMARAEAFVNLGEPNIPIA >fgenesh2_kg.6__2328__AT5G23330.1 pep chromosome:v.1.0:6:9987854:9989567:1 gene:fgenesh2_kg.6__2328__AT5G23330.1 transcript:fgenesh2_kg.6__2328__AT5G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGGSRASVHLLDHRHPPTLGAKVLRQSSFVLRPCLAKSQQPMKSSSSRSHCKTPAPLDCFSQGDVNPKLSAEGLFPVAGGIVALGKFDALHIGHRELAIQAARIGTPYLLSFVGMAEVLGWKPRAPIVAKCDRKRVLSSWASYCGNVAPVEFEIEFASVRHLNPQQFVEKLSRELRVCGVVAGENYRFGYRASGDASELVRLCKEYGISAYIINSVMDKNQVSGNTDTEEDSKSKERGQVSSTRVRHALAAGDVRYVTELLGRPHRVLSRARTQDLTSKGRRVTLHTSSLLNLPPGNGVYKACSLIVGDKHPISCKVVVDTSNLYIETEEECFHNSDESQEEFLLLGIEFG >fgenesh2_kg.6__2330__AT5G23360.1 pep chromosome:v.1.0:6:9994751:9995507:-1 gene:fgenesh2_kg.6__2330__AT5G23360.1 transcript:fgenesh2_kg.6__2330__AT5G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSRVHQQVIAFPAAKTATMSYLPDPASINKLQIPTSSKNSLLTGKGKSMLRKKKTDSFTNGARDQDKLGPKLTETVKRKLSLGAKILQMGGLEKIYKRLFKVCNDEKLFKAYQCYLSTTAGPIGGLLFISSKKIAFCSERSIKVASPQGDLNRVHYKVSIPLCKINGVNQSQNTKKPSQKYLEVVTVDGFDFWFMGFVSYQKAFNCLEQALNNDEQ >fgenesh2_kg.6__2333__AT5G23380.1 pep chromosome:v.1.0:6:10004175:10007992:1 gene:fgenesh2_kg.6__2333__AT5G23380.1 transcript:fgenesh2_kg.6__2333__AT5G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRGKTNFERFLECSSPRVPIQYYTQREGSSSSSSLALGAIEEEEVRKPRIVLGDIWSACADWSADGLQVPLSLENFDSDVKHHYLPSLSAIQIFTIKPFSDDSRSSAIEIDATETGSAKPESDSNFKPRFSDDHLGYLYFQYDEITLPFKRTTLTFKMEDLAAQHTGLSSLTSSDLSPYSWISIAWYPIYPIPSVRMNGISAAFLTYHLLTPNFPETIGKDDKGNEQGGSSTAEVLLPPFGAVTYKAFGDMWIMPGTSDYQKREMHEESASSWLQTRGFSHSDFSFFMSHKFNGGPY >fgenesh2_kg.6__2334__AT5G23390.1 pep chromosome:v.1.0:6:10008217:10012149:-1 gene:fgenesh2_kg.6__2334__AT5G23390.1 transcript:fgenesh2_kg.6__2334__AT5G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGGGAKVGVLENFMRTQQSSLKSLFQRKKSSSGRDGDASPSPIASPKPIPQLSLLANSVVSRCSKILNIPTEDLQHHFDVELPESVKQLLTYARNFLEFCSFQALHQVMKKPDYLSDQEFRQLLFDMMLAWETPSVTSEQENKDAASPSKQDSEDEDGWSLFYSSPTNMAMQVDEKKSVGQEAFARIAPVCPAIADAITVHNLFDALTSSSGHRLHFIVYDKYIRTLDKIFKAAKSTLGPSAANLQLAKGEIVLDMDGANPVLPVLKHVGISAWPGKLTLTNYALYFDSMGGGEKAMRYDLTEDTKQVIKPELTGPLGARIFDKAIMYKSTIVPEPVYFEFTEFKGNARRDYWLGICLEILRVQWFIRRYNFKGVQRSEILARAILGIFRYRAIREAFQVFSSQYKTLLIFNLAESLPGGDMVLEALSSRVSRITTDVPSDVDSVQYMKWPSKLSPVSLKLLEHFGLNLETGTNMGEEMTIVGDFCVGETSPLEIALKQSILDTDRAEAAQATVEQVKVEGIDTNVAVMKELLLPFIKLGLHINLLAYWQDPYKSTVFMILVSYLIISEWIGLILPSILVLVAIVMLWRKQFNKGKEPKAVRVKAPPSKNAVEQLLVLQDAISQFESLIQAVNVGLLKIRAITLAILPQATDTTAISLVVVAVILAVVPVKYLITIAFVEWFTRETGWRKASSDRLERRIREWWFRVPAAPVQLIRAEDSKKKKK >fgenesh2_kg.6__2335__AT5G23395.1 pep chromosome:v.1.0:6:10012339:10013942:-1 gene:fgenesh2_kg.6__2335__AT5G23395.1 transcript:fgenesh2_kg.6__2335__AT5G23395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAQSDENSIPTTTTTTTTTTTTTTNTPPPPSANSPRDSEDTSSPSMDSLLAEAAAYGEDDNENESLEAKAQRALDCPCIADLRNGSCGSQFSEAFLCFLKSTAEEKGSDCVHPFVALQSCIKANPDAFSKSVTEDEEKTEKKEEEPPVQDHRIIPPLWAKDPPLSNNSKL >fgenesh2_kg.6__2337__AT5G23430.1 pep chromosome:v.1.0:6:10034464:10041268:-1 gene:fgenesh2_kg.6__2337__AT5G23430.1 transcript:fgenesh2_kg.6__2337__AT5G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 WD40 repeat-containing subunit B1 homolog [Source:UniProtKB/TrEMBL;Acc:D7M297] MTTKRAYKLQEFVAHSAAVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLYGHSSGIDSVTFDASEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNVLRFTPDGRWVVSGGEDNIVKVWDLTAGKLLTEFKSHEGQIQSLDFHPHEFLLATGSADRTVKFWDLETFELIGSGGPETAGVRCLSFNPDGKTVLCGLQESLKIFSWEPIRCHDGVDVGWSRLSDMNVHEGKLLGCSYNQSCVGVWVVDLSRTEPCMAGDTAQSNGHPEKRSCSGRDSVVLNDNNSKTVLGKLSVSQNVDPLLKETKSLGRLSVSQNSDPSTKETKSIGRSSTSQNSDSSMKESKPLGRLSVSQNSDVSKDSRTLSSTGSLPGTPHRVSSTNVSKATSGVSTAVSNAATSRRNFTKANPKANPVNKAADFVPVIVPRADPRIEQATESRAELDIIARTMPYSLQAADSRRSPSSRNNPDLPNASGFEMSESQPVEPNNILDGGTLPGGKGGMRGATERSINDFRYKRYGRSNSRSRMGSPPRNHDENYDLVSHKSNRDPSPTESQKGGRFQSLVINRERRGRFSNFEGPVSNFSSGNVPAPNIRPSNMFKQRGNHMPVEQGIDSPSEENIVEDIMGKHDQFVSSMQSRLAKLQVVRRYWERNDVKNSIGSIEKMADNAVTADVLGIITERNEILTLDNCTSLLPLLTALLGSNMDQHLSVSLDLLLKLVRLYGSPIYSSLSAPASVGVDIEAEQRIERYSRCFVELEKVKTCLPSLARRGGLVAKSVLELNLAFQEVSS >fgenesh2_kg.6__2339__AT5G23440.1 pep chromosome:v.1.0:6:10045428:10046125:-1 gene:fgenesh2_kg.6__2339__AT5G23440.1 transcript:fgenesh2_kg.6__2339__AT5G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTRA1 [Source:UniProtKB/TrEMBL;Acc:D7M298] MSSPVALSPAIAAAVRPPSSHDCLSASATSTTTAMALKSCIFAPLSLFTSQSRIKHSSSRKTSRIFTIRCDVAIKSADSVNADANPSSSPSTEEEIEAEAKAKIGSRVKVTAPLKVYHVNRVAEVDLEGMEGKLKDYVAVWKGKRISANLPYKVEFFKEIEGRGPVKFVAHLKEDEFEFIDQ >fgenesh2_kg.6__233__AT5G03280.1 pep chromosome:v.1.0:6:927221:934076:1 gene:fgenesh2_kg.6__233__AT5G03280.1 transcript:fgenesh2_kg.6__233__AT5G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEIVNVRPQLGFIQRMVPALLPVLLVSVGYIDPGKWVANIEGGARFGYDLVASTLLFNFAAILCQYVAARISVVTGKHLAQICNEEYDKWTCMFLGIQAEFSAILLDLTMVVGVAHALNLLFGVELSTGVFLAAVDAFLFPVFASFLENGVANTVSICSAGLVLLLYVSGVLLSQSEIPFSMNGVLTRLNGESAFALMGLLGASIVPHNFYIHSYFAGESTSSSDVDKSSLCQDHLFAIFGVFSGLSLVNYVLMNAAANVFHSTGLVVLTFHDAMSLMEQVFMSPIIPVVFLMLLFFSSQITALAWAFGGEVVLHDFLKIEIPGWLHRATIRILAVAPALYCVWTSGAEGIYQLLIFTQVLVAMMLPCSVIPLFRIASSRQIMGVHKIPQVGEFLALTTFLGFLGLNVVFVVEMVFGSSDWAGGLRWNTVMGTSIQYTTLLVSSCASLCLMLWLAATPLKSASNRAEAQIWNMDAQNAISYPANQEEEIERSETRRNEDESIVRLESRVKDQFDTTSATSSVYDLPENILMTDQEIHSSPLEESELDVKFSTSQVSSLKEDSDVKEQSVLQSTVVNEVSDKDLIVETKMAKIEPMSPVEKIVSMENNSKFIEKDVEGVSWETEEATKAATSSNFSVGSDGPPSFRSLSGEGGSGTGSLSRLQGLGRAARRHLSAILDEFWGHLYDFHGQLVAEARAKKIDQLFGADQKPASSMKADSFGKDMSSGYCMSPTAKGMDSQMTSSLYDSLKQQRTPGSIDSLYGLQRGSSPSPLVNRMQMLGAYSNTTNNNNAYELSERRYSSLRAPSSSEGWEHQQPATVHGYQIKSYVDNLAKERLEALQSRGEIPTSRSMALGTLSYTQQLALALKQKSQNGLTPGPAPGFENFAGSRSISRQSERSYYGVPSSGNTDTVGAAVANEKKYSSMPDISGLSMSARNMHLPNNKSGYWDPSSGGGGYGASYGRLSNESSLYSNLGSRVGVPSTYDDISQSRGGYRDAYSLPQSATTGTGSLWSRQPFEQFGVAERNGAVGEELRNRSNPINIDNSASSNVDAEAKLLQSFRHCILKLIKLEGSEWLFGQSDGVDEELIDRVAAREKFIYEAEAREINQVGHMGEPLISSVPNCGDGCVWRADLIVSFGVWCIHRVLDLSLMESRPELWGKYTYVLNRLQGVIDPAFSKLRTPMTPCFCLQIPASHQRASPPSANGMLPPAAKPAKGKCTTAVTLLDLIKDVEMAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNKPVGLNQDGPGSRKNVTAYGSLG >fgenesh2_kg.6__2342__AT5G23450.2 pep chromosome:v.1.0:6:10046965:10051798:-1 gene:fgenesh2_kg.6__2342__AT5G23450.2 transcript:fgenesh2_kg.6__2342__AT5G23450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATLCBK1 [Source:UniProtKB/TrEMBL;Acc:D7M299] MQKSGVNRNPSLRVAIPQAQQSLRRLGFCSQIATGASQQSSPVVFPEKRSKKVKASSRRGEVTNDPQVKPKPDEHRIDIGGGDEKSDLLGSLVYAGKLVLDKRKSASGKDATEIQQPSATDVSNKKAVDAKLTSSALVWGSDMLQLNDVVSVTYNVGLRHFTVHAYPVGKVSCGLSCFTKPKRSRKDFRFVAPTVEEAVQWVASFGDQQCFINCLPHPLVSKKQASSELFSVPVDTPPELVFRCKSAPKMLVILNPRSGHGRSIKVFHNVVEPIFKLAGVKMEVVKTTKAGHARELASTVDINLCSDGIICVGGDGIINEVLNGLLTRSNQKEGVSIPIGIVPAGSDNSLVWTVLGVRDPISAALSIVKGGLTATDVFAVEWIHTGVIHFGMTVSYYGFVSDVLELSEKYQKRFGPLRYFVAGFLKFMCLPKYSYEVEYLPAQKEDAEGKIRLEKEAMDMQDLYTDVMRRSSREGFPRASSLSSIDSIMTPSLGEVDTCSSTHASTEPSEYVRGIDPKMKRMSSGRKDVTAEPEVIHPQAQSTTPNWPRTRSKSRMDKGWMGLTSVQDPPTRCSWGNTGGHDREDISSTVSDPGPIWDAGPKWDTEPSAWDVENSIELPGPPEDIETGLRKQSITPIFEDKWVSRKGHFLGIMVCNHACRTVQSSQVVAPNSEHDDGTMDMLLVHGCGRLRLLRFFILLQTGRHLSLPYVECVKVKSVKIKAGKNTHDSCGIDGELFALHGEVISTMLPEQCRLIGNAPGRHS >fgenesh2_kg.6__2345__AT5G23490.1 pep chromosome:v.1.0:6:10064780:10072462:1 gene:fgenesh2_kg.6__2345__AT5G23490.1 transcript:fgenesh2_kg.6__2345__AT5G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHEERLAERFSGVGLEDSSRSAENEFKNDNLFQVIKAVEAAETTIKEQVEENSRLKAELQRSALELAKYKSDEFLPQTSNLGDHSNSTTVSSLVHQPVDWKKSVVVKASDADSSGLLVVHPHVNANGAEATVSNRFESHSEGNIINGIVRGAIDGAGPSQFDSSLSPMRMRLEGEHVAHVSSSTHGSMPVDEVNHSGNAWKQDLIHKVQEQEQEISHLRKYLTDCSVKEAKIRNEKYVLEKRIAYMRLAFDQQQQDLVDTSSKALSYRQEIIEENIRLTYALQATHQERSTFVSYLLPLLSEYSLQPQVSDAQSIVSNVKVLFKHLQEKLLLTETKLKESEYQLAPWQSDVNNSNDSPLAPSRSAGVALTHSTKVSVYSHDHTAIDWNLERQQQDEPSSSAVRNYHLDDSSTFSPLVNSQSAAFEMHVQPGTSVDESPALKKVDETPPKHVQFLEPISKTVVDDAQNPSYKSAFDDPSSSNSPLLSPVFEEHPSSFSEDGDDDPLPAIEDLQISGEPYPGYELQACGYSINGTTSCNFEWVCHLEDGSVNYIDGAKQPNYLVTADDVDLYLAIEVQPLDDRNRKGELVKVFANDNRKITCLPEMQSNIEKTLHTGHASYKTGFLDIWEEATLSIKREGYSIKCNNDLTIAEKFSASTAVTIPFGQPAELVIIGSDGSEHSLRADNGSPDLIGSRDEIVLTLRLFIKRALQRKKGKKRVFLFNK >fgenesh2_kg.6__2348__AT5G23530.1 pep chromosome:v.1.0:6:10078666:10080098:-1 gene:fgenesh2_kg.6__2348__AT5G23530.1 transcript:fgenesh2_kg.6__2348__AT5G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSQPNQKLTLPLKTRIALTVISTMTDNAQRPDGTINRRFLRLFDFRAPPNPKPVNSVSTSDFVVDQSRDLWFRLYTPHVSGDKIPVVVFFHGGGFAFLSPNAYPYDNVCRRFARKLPAYVISVNYRLAPEHRYPAQYDDGYDALKFLEENHGKVLPANADLSRCFFAGDSAGGNIAHNVAVRICREPRGCFTAVKLIGLISIQPFFGGEERTEAEKRLVGAPLVSPGRTDWCWKAMGLNRDHEAVNVGGPNAVDISDLEYPETMVVVAGFDPLQDWQRSYYEWIKLSGKRATLIEYPNMFHAFYIFPELPESGQLIMRIKDFVAERVASLSA >fgenesh2_kg.6__2349__AT5G23540.1 pep chromosome:v.1.0:6:10084480:10086418:1 gene:fgenesh2_kg.6__2349__AT5G23540.1 transcript:fgenesh2_kg.6__2349__AT5G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGAGGGLGHASPDSPTLDTSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRSINPQTIMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRPFDTHSKTNEQTVQEMLSLAAKYNKAVQEEDELSPEKLAIVNVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >fgenesh2_kg.6__234__AT5G03285.1 pep chromosome:v.1.0:6:934231:934434:1 gene:fgenesh2_kg.6__234__AT5G03285.1 transcript:fgenesh2_kg.6__234__AT5G03285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVIYLSFPAILLLLIVAITFYLIGRKIGRREGIEAASQFHYGPPLANFPAPAPPHKAMEKPPEPV >fgenesh2_kg.6__2351__AT5G23550.1 pep chromosome:v.1.0:6:10086520:10088532:-1 gene:fgenesh2_kg.6__2351__AT5G23550.1 transcript:fgenesh2_kg.6__2351__AT5G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein [Source:UniProtKB/TrEMBL;Acc:D7M2A9] MDKMNQAFEKMKMLVGMEVEDEQQAAEEENSLSFMEDLNRNCALTTKQRFYGFAICLSAGLTCTLLSMLVFFNPVKFGITFTLGNLMALGSTAFLIGPQRQVTMMLDPARIYATALYLASIIIALFCALYVRNKLLTLLAIILEFTGLIWYSLSYIPFARTMVSRIFMTCFDTEF >fgenesh2_kg.6__2352__AT5G23570.1 pep chromosome:v.1.0:6:10095760:10098174:1 gene:fgenesh2_kg.6__2352__AT5G23570.1 transcript:fgenesh2_kg.6__2352__AT5G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAGPMSKGKNVSQGGSKPEVEQLVQGLTGTKLAPSQDDGGEWEVISKKNKNKPGNTSGKAWVSQNSNPPRAWGGQQQGRGNNVAGRGNGNGRGTQANISGRGRALSKKYDNHFVAPPPVTRPPLEGGWNWQARGGSAQHTVVQEVPNMEDDLDNASEEENDSNALDDSDDDLASDDYDSDVSQQSHGSRKQNKWFKKFFDSLDSLSIEQINEPQRQWHCPACQNGPGAIDWYNLHPLLAHARTKGARRVKLHRELAEVLEKDLQMKGASVIPCGEIYGQWKGLGEDEKDHEIVWPPMVIIMNTRLDKDDNDKWLGMGNQELLEYFDKYEALRARHSYGPQGHRGMSVLMFESSATGYLEAERLHRELAETGLDRNAWVRRRGMFSGGVRQLYGFLATKQDLDIFNQHSQGKTRLKFEMKSYQEMVVKELRQISEDNQQLNYFKNKLSKQNKHAKVLEESLEIMSEKLRETAENYRIVRQRTKMQHEQNREEMDAQDRFFKDEIKQIHKKRDAKEENFEMLQQQERAKVVDQQQKNTNPSSNDDFRKRAEEVSSFIEFQEKEMEEFVEEREMLIKEQEKKMADMKTRHYEEILDLEKEFDEALEQLMYKHGLHNEDD >fgenesh2_kg.6__2353__AT5G23575.1 pep chromosome:v.1.0:6:10101413:10105473:1 gene:fgenesh2_kg.6__2353__AT5G23575.1 transcript:fgenesh2_kg.6__2353__AT5G23575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAGQTAAVAEAAGADGAQPQQQRGFGSTISGIVRIAVFWYFASKFFSPKQKPMDPSAPSQLMTNLFHKGESLDMWFYLSEHDKFNDFGNDRALYWHETNIPYAVWTPESIRTKSLTYYPSETLQNNGSLYAHVFFARSGFPIDPNDPEYQPLNSFSRTHAVATYFPKQKKNKKKSLLGSPKDSDESEPEVEKVGDKKSDPKEEVPVEWISLWKPNVTINLVDDFTRYSQNGVPPNIAPHLLVEPTTGNYYPTIYFNEFWLLRDKFIPVNETVSELPLNLEISPISMMKWQLFQQVDQSFQMQRSYGSMLDGESDELKRVFLEGNPYLLGITMFVSMLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFICQFVIFLYLLDNDTSWMILASSGVGVCIEFWKIGKAMRIEVDRSGMFPRLRFHDRESYASNKTKEYDDIAIKFLSYVLLLLVVGLSIYSLAYERHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPILHRLSVFRDDVIFLIYLYQRWVYPVDKTRVNEFGFGGEDETAEKKLITEKEEEDKKTN >fgenesh2_kg.6__2357__AT5G23610.1 pep chromosome:v.1.0:6:10111390:10114254:-1 gene:fgenesh2_kg.6__2357__AT5G23610.1 transcript:fgenesh2_kg.6__2357__AT5G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLREKTLAAIYNLNRRRRSDKKICRKELNDSAVAAGSVVIKHEAEDTPGEEKEEEGNTSGNNALVEVKLEKEEELGLLVTCGIHKRKRLSRGGRSRRYSDSSFEEKPLIILPRNKQPRVLTTRWNNERIKFAEQTLADVLKEKGATLETPVTRQLLRVIARSKIGDTGLLDHCLKHMDGKVTPGGADRFRRCYNTDGCMQYWLESADLVKIKLESGIPDPTWVPPSWWKVQTASHDPSAVSSKLLMGEIEQMKSDIKELASKQKLPDHAEANEKLCKDLMSWRVNTDKQIVEISKSLTSTQVMFKDLISWKDKVDQQLGVISNTLNNLQPNGSTSFSPAPENWENLLRNANMDDFTEWDDLIDGLPEAVRPETYALPTNPCKSSFQDHTLVEEQSLVNIDMQMTDSCMTRGESRSSSQDKAEMTPGSSITAGPKSDIDDPTIQTQETLKELVTWKAKAEQQLTELTNAVLALKGQNQPNWRYP >fgenesh2_kg.6__2359__AT5G23630.1 pep chromosome:v.1.0:6:10114487:10122046:-1 gene:fgenesh2_kg.6__2359__AT5G23630.1 transcript:fgenesh2_kg.6__2359__AT5G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate deficiency response 2 [Source:Projected from Arabidopsis thaliana (AT5G23630) TAIR;Acc:AT5G23630] MSSFRVGGKVVEKVDLCRKKQLVWRLDVWPFAILYTVWLTTIVPSIDFTDACIALGALSAFHILVLLFTAWSVDFKCFVQFSKVNSIDQADACKVTPAKFSGSKEVVPLHFRSQMTGSAASEDLEEIFFDFRKQRFIYSKELGAFSKLPYPTKETFGHYLKCTGHGTEAKVATATEKWGRNVFDYPQPTFQKLMKENCMEPFFVFQVFCVGLWCLDEFWYYSVFTLFMLFMFESTMAKSRLKTLTDLRRVRVDSQTVMVYRSGRWVRLLGTDLLPGDVVSIGRPSTHTGGEDKTVPADMLLLVGSAIVNEAILTGESTPQWKVPIVGQGSDEKLSIKRDKNHVLFGGTKILQHSPDKSFSLKTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFAVIAAGYVLVKGLEDPTRSKYKLLLGCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDLCCFDKTGTLTSDDMEFRGVGGLSNSEEADTDMSKVPVRTLEILASCHALVFVDNKLVGDPLEKAALKGIDWSYKADEKALPRRGNGNSVQIMQRYHFASHLKRMSVIVRIQEEYLVFVKGAPETIQERLVDVPAQYIETYKRYTRQGSRVLALAYKRLPDMMVSEARDMDRDAVESDLTFAGFAVFNCPIRPDSAPVLLELKNSSHDLVMITGDQALTACHVAGQVHIVSNPVLILGRSGTDNEYKWMSPDEKEIIPYSEKDIETLAETHDLCIGGDSIEMLQATSAVLRVIPFVKVFARVAPQQKELILTTFKAVGRGTLMCGDGTNDVGALKQAHVGVALLNTIPPSSPSDSSKDDKSKSKKSKLPLEPASKTIIQNGEGSSKGKIPPQNRHLTAAELQRQKLKKMMDELNSDEGDGRSAPLVKLGDASMASPFTAKHASVAPVTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVLTAAFFLFISHARPLQTLSAERPHPSVFSLYLFLSLLGQFAVHLTFLIYSVKEAEKHMPEECIEPDASFHPNLVNTVSYMVSMMLQVATFAVNYMGHPFNQSIRENKPFFYALIAGAGFFTVIASDLFRDLNDSLKLVPLPQGLRDKLLLWASLMFIICYSWERLLRWAFPGKISSWKHKQRAVTANLEKKKKV >fgenesh2_kg.6__235__AT5G03290.1 pep chromosome:v.1.0:6:934725:937069:1 gene:fgenesh2_kg.6__235__AT5G03290.1 transcript:fgenesh2_kg.6__235__AT5G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NAD] subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7LWM4] MAANLARRLIGGNRSTQILGAVNSSSGAATSVARAFCSSTTPITATLFPGDGIGPEIAESVKKVFTTAGVPIEWEEHYVGTEIDPRTQSFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDDVDLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFLYAKTHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEITYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLVGGLGLTPSCNIGEDGVALAEAVHGSAPDIAGKNLANPTALLLSGVMMLRHLKLNEQAEQIHSAIINTIAEGKYRTADLGGSSTTTEFTKAICDHL >fgenesh2_kg.6__2361__AT5G23670.1 pep chromosome:v.1.0:6:10149503:10153022:1 gene:fgenesh2_kg.6__2361__AT5G23670.1 transcript:fgenesh2_kg.6__2361__AT5G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LCB2 subunit protein [Source:UniProtKB/TrEMBL;Acc:D7M2B9] MITIPYLTAVSTYFSYGLLFAFGQLRDFFRRFIDWWLTSNLQGYAPICLGHEDFYIRRLYHRIQDCFERPISSAPDAWFDVVERYSNDNNKTLKRTTKTSRCLNLGSYNYLGFGSFDEYCTPRVIESLKKFSASTCSSRVDAGTTSVHAELEECVTRFVGKPAAVVFGMGYATNSAIIPVLIGKGGLIISDSLNHSSIVNGARGSGATIRVFQHNTPSHLERVLREQIAEGQPRTHRPWKKIIVVVEGIYSMEGEICHLPEVVAICKKYKAYVYLDEAHSIGAIGETGKGICELLGVDTADVDVMMGTFTKSFGSCGGYIAGSKELIQYLKHQCPAHLYATSIPTPSAQQIISAIKVILGEDGSNRGAQKLARIRENSNFFRAELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQKVAVVVVGFPATPLLLARARICISASHSREDLIRALKVISKVGDLSGIKYFPAEPKKIEQSKNDIKLD >fgenesh2_kg.6__2364__AT5G23690.1 pep chromosome:v.1.0:6:10155041:10158179:1 gene:fgenesh2_kg.6__2364__AT5G23690.1 transcript:fgenesh2_kg.6__2364__AT5G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide adenylyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7M2C1] MAISSVGFACRSYFPVRTLQSHCLWKVNSLRFNTVAAAIETIDDSDGFFTKDSDSQDKVANGTRDREWKRLNSKDLGISSSMIAKSTRKVLNGLKSKGHDVYLVGGCVRDLILKRTPKDFDILTSAELREVVQTFPRCEIVGRRFPICHVHIGDDLVEVSSFSTSAQNYPRNTRTESKESSGSDGDEDCIRLNNCLQRDFTINGLMFDPYAKVVYDYLGGMEDIRKAKVRTVIHAGTSFQQDCARILRAIRIAARLGFRMSKETAHFIKNLSLLVQRLDKGRILMEMNYMLAYGSAEASLRLLWKFGLLEILLPIQAAYLARSGFRRRDKRTNMLLSLFANLDKLLAPDRPCHSSLWIAILAFHKALADQPRSPLVVAAFSLAVHNCGDILEAVKITKKIARPHDKSFFELVEPEENLDFQTLLDEVMDLDASIKDALNQMTDGYYISKAMSAYPQAPYSDLVFIPLQLYLRAGRIFDCVKKDETHTQMEFEAKKGSKIEYGSLYSGDFPEIRHVFARVVFDTVFPLNLSQDL >fgenesh2_kg.6__2365__AT5G23700.1 pep chromosome:v.1.0:6:10159417:10163121:1 gene:fgenesh2_kg.6__2365__AT5G23700.1 transcript:fgenesh2_kg.6__2365__AT5G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRRAGSPVYGRQWSRSSNVTESRSPSKSPAHRNQIGSVGGLSTVKRTQNVATKAAAQRLAKVMALQNKDNEEDDDDDDDHEFKFAPPSSGAINGSFSRRNRSQSPAIGRNTEQVTSVRSSSTGRPSTFSRSATPNASPIWMPPKASLKPPVIIPPIDQSFKDRDQRYFGDVPLVNSRDKGYQREAAALRDEVDMLQEENEIVLEKLHRAEENREAAEARARELEKQVASLGEGVSLEAKLLSRKEAALRQREAALKAANEKKDGKKEEVVSLRSQLQSMKDEAATAAEQLQEAEAEAKALRTMTQRMVLTHDEMEEVALKRCWLARYWGLAVQHGICSDIASSRHEKWSALAPLPFELVISAAQKAKDKTDRFLSDLTGEGNIESMLSVEMGLRELASLKVEDAVMLAFAQKRTPSLVRQGIFQRAWRTQICRDICIIKLDEILTVLSHFLNAELKEGEQEDVAFKQAWLMYFWGRAKLHGVEEDIADERMQFWISRSDGKNPTSQDVVDVERGMLELRKLGVEQQLWEACRKETDQLLPSSSPTSTLSNHNFDS >fgenesh2_kg.6__2366__AT5G23720.1 pep chromosome:v.1.0:6:10165178:10170721:1 gene:fgenesh2_kg.6__2366__AT5G23720.1 transcript:fgenesh2_kg.6__2366__AT5G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Propyzamide-hypersensitive 1 [Source:UniProtKB/TrEMBL;Acc:D7M2C4] MADPEKKRDQSFSQEKDDEKYLYLGHDEHESPLPLTVTSRVLYMLGDIASGPAHRFTQWLDLVRKRSATYGSSGFPHRLHRIDDMVTSAGEGNIDPKSPPSNQSSEISLWERLGKASTVDIESSCFSWNMLSSLHHTEHSSSTDHSEEDQSKPLEVTVNSGGVVFFALFNSSSSEDASRKEEAAVIKFASSRMATQSERLGYEFSKWLGVQIPQARVIHSCNPEWTLIKEATEKAQAKATSEGDEVGEMTCSELLEALELSRCLLLMSYVHGCPMLESMSSFETEEKAERAAAALGRILVLDLVIRNEDRLPCRQLRWRGNPANLLLTDRILSSTKHLECSFEEAFDSAIKRYHPKDYRSIQRERRASSVDSRSRLSISDQMLVSQSSGFSDITESPKSYDTGLMSPMSDRSVAADFHLVAIDSGVPRRPPAGKRASDQEIYPRLVELLLNSSQYSSNLLHEITEGNLGYPQAEDGEETSNVRSVVTPVVREFRNGFRAGLRDLQEFHIFLVTLHQKLDVLLRAFFSMMDKTMCADFDREDFSVPESPSQTHGHEVNHYPSPSKDRVHSDNSSDHSESDMQKSVPRTPNSENKEDASSPKSRESWHGRSSKGGESLSSQRLASKLRDFHKFAKVDAESNKELDQWNETLRNEVMKLCQENGFNTGFFEGSDNNSCTDAYELKVRLEHILERISLICKAANTEKPSMIQDNLFIGGGLAARSIYTLQHLGITHILCLCANEIGQSDTQYPDLFKYQNFSITDEEDSKIESIFQEALDFIKHGEETGGKILVHCFEGRSRSATVVLAYLMLQKNLTLLEAWGKLRKVHRRAQPNDGFARILINLDKKCHGKVSMEWRQRKPTMKVCPVCGKNAGLSSSSLKLHLQKSHRKLSSGSVDSAMNMEIQKALEALKLSTGRGSSASSNSFQSHPG >fgenesh2_kg.6__2371__AT5G23750.1 pep chromosome:v.1.0:6:10180064:10181910:-1 gene:fgenesh2_kg.6__2371__AT5G23750.1 transcript:fgenesh2_kg.6__2371__AT5G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEPKKVTETVSEPTPTPEVPVEKPVAAGDVIPQEKPVVAPQEKPVAPPPVLPSPAPAEEKLGDSKALVPVVAKEVEEEKKEGSVHRDAVLARVETEKRMSLIKAWEEAEKCKVENKAEKKLSSIGSWENNKKAAVEAELKKMEEHLEKKKAEYVELMKNKIAQIHKEAEEKRAMIEAKRGEEILKAEELAAKYRATGTAPKKLFGCI >fgenesh2_kg.6__2375__AT5G23800.1 pep chromosome:v.1.0:6:10195446:10197739:-1 gene:fgenesh2_kg.6__2375__AT5G23800.1 transcript:fgenesh2_kg.6__2375__AT5G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M2D2] MERQIPSESLSLSEGCEIEISYKNNSNESVWYKAIIEAKPPKNSTFKELCVRLLKEDVSTPLNELRDKVLIRPIPPKNVQDCIDIEIGTFVDADYKDAWWAGFVVKVIDDDKFWVCFDSPPDIIQFDRNHLTPHLQWVDEKIFSWWIRGSTRNSEFLKRLSEEPRFSPGTMVELCSKRDEGEVVWVPAMVFKEFKENDEYRYIVKDRPLIGRSYRTRPNKTVDLRSLRPIPPPIRVKEYQLEDYIEVYHDGIGWRQGRVVETRGGVMESLSQRWCTLLIEATKKQLTFKKSDLRPLRVWEDGLWKTRESSLTQGSGDKTEAETQRKTFPKKTLSRNQNGSGNDSTQENENSNRKRKREENLCSVSSVEENKVKDTTTVLLFEKKLPIWKILDSMEVFKAVPQSPHFSPLAEIREDSREMLAVGMMLTFSCLLEQVKALQHDENISSFISLSNSFTELETHGFNVQVAQLRINKLLTLRGMQSKKLDELNGAKKVTADKESVKAENERKILELQRLNEEMDKEIAQSMSCEAKIVQQLDDMKLEFHATASAPW >fgenesh2_kg.6__2378__AT5G23810.1 pep chromosome:v.1.0:6:10203148:10205414:1 gene:fgenesh2_kg.6__2378__AT5G23810.1 transcript:fgenesh2_kg.6__2378__AT5G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKENDESRVITPTELQPHDSVSARTGTLWTAVTHIITGVIGAGVLSLAWATAKLGWIAGPAALIAFAGVTLLSAFLLSDCYRFPDPDNGPLRLNSYSQAVKLYLGKKNEIVCGVVVYISLFGCGIAYTIVTATCIRAIMKSNCYHREGHNATCSFGDNNNYFMLLFGLTQIFMSQIPNFHNMLWLSLVAAIMSFTYSFIGMGLALGKIIENRKIEGSVRGSPAENRGAKVWLAFQALGNIAFSYPFSIILLEIQDTLRSPPAEKETMKKASTVAVFIQTFFFFCCGCFGYAAFGDLTPGNLLTGSGFYEPFWLVDFANACIVLHLVGGYQVYSQPIFAAVERWLTMKYPQNKFIASFYGFKLPLLRGGTLRLNPMRMCLRTMYVLITTGVAVMFPYFNEVLGVLGAIGFWPLAVYFPVEMCILQKKIPSWTRPWLLLRGFSFVCLLVCLLSLVGSIYGLVGAKFG >fgenesh2_kg.6__2379__AT5G23860.1 pep chromosome:v.1.0:6:10216754:10218488:1 gene:fgenesh2_kg.6__2379__AT5G23860.1 transcript:fgenesh2_kg.6__2379__AT5G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:D7M2D7] MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYQGENDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFIFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEEGYEYEEDQVEVQEEQ >fgenesh2_kg.6__2380__AT5G23880.1 pep chromosome:v.1.0:6:10232983:10249836:1 gene:fgenesh2_kg.6__2380__AT5G23880.1 transcript:fgenesh2_kg.6__2380__AT5G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CPSF100 [Source:UniProtKB/TrEMBL;Acc:D7M2E1] MGTSVQVTPLSGVYNENPLSYLVSIDGFNFLIDCGWNDLFDTSLLEPLSRVASSIDAVLLSHPDTLHLGALPYAMKQLGLSAPVYATEPVHRLGLLTMYDQFLSRKQVSDFDLFTLDDIDSAFQNVIRLTYSQNYHLSGKGEGIVIAPHVAGHMLGGSIWRITKDGEDVIYAVDYNHRKERHLNGTVLQSFVRPAVLITDAYHALYTNQTARQQRDKEFLDTISKHLEVGGNVLLPVDTAGRVLELLLILEQHWSQRGFSFPIYFLTYVSSSTIDYVKSFLEWMSDSISKSFETSRDNAFLLRHVTLLINKTDLDNAPPGPKVVLASMASLEAGFAREIFVEWANDPRNLVLFTETGQFGTLARMLQSAPPPKFVKVTMSKRVPLAGEELIAYEEEQNRLKREEALRASLVKEEETKASHGSDDNSSEPMVIDTKTTHDVVGSHGPAYKDILIDGFVPPSSSVAPMFPFYDNTSEWDDFGEIINPDDYVIKDEDMDRGAMHNGGDVDGRLDEATASLMLDTRPSKVISNELIVTVSCSLVKMDYEGRSDGRSIKSMIAHVSPLKLVLVHAIAEATEHLKQHCLNNICPHVYAPQIEETVDVTSDLCAYKVQLSEKLMSNVIFKKLGDSEVAWVDSEVGKTESDMRSLLPMSGAASPHKPVLVGDLKIADFKQFLSSKGVQVEFAGGGALRCGEYVTLRKVGPTGQKGGASGPQQILIEGPLCEDYYKIRDYLYSQFYLL >fgenesh2_kg.6__2384__AT5G23890.1 pep chromosome:v.1.0:6:10250281:10254671:1 gene:fgenesh2_kg.6__2384__AT5G23890.1 transcript:fgenesh2_kg.6__2384__AT5G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATATWTPSSLQLRLVLSSGVRRNSRAVYLRPSRLARNSGYGIVCVSQKPGVDAWTGSDSSKSSADNLAGWDDSDNDDKKSSRAKKKSLIEGVVGAGVAGIILFLGLSYAAASFSKRTKKQEMHSLTSQQESMVQLSDETSSDEIKVANSEENNLKDEDKSIESNDVAQKSDEGSGEDKLLGTKTLSVDGVMLDEADATESIPQNTPEADLIISVETDPETAESEKIISESKSLLDSSTEPILLDAESSNLVGVENTNSEDPGSLPNTEPTNVSDLENRVNSQKEDSLSSLSDIDAFAASGTVTEELPEVSSQSDSTSSPQIVPLNDTETAFSTGEDLSEVNGTPEYLAAGSMSSISDIDTTKETESSNSPEPESIDGSKDELNIYSQDKLDDNGTLLEIPSGGSAFSSAGIPAPFMSVIVNPGKILVPAAVDQVQCQAFAALQVLKVIETDIQPSDLCTRREYARWLVSASSALSRNTTSKVYPAMYIENVTELAFDDITPEDPDFSSIQGLAEAGLIASKLSNRDLLDDVKGTFLFSPESLLSRQDLISWKMALEKRQLPEADKKMLYKLSGFIDIDKINPDAWPAIIADLSTGEQGIAALAFGCTRLFQPHKPVTKGQAAIALSSGEASDIVSEELARIEAESMAEKAVSAHNALVAEVEKDVNASFEKELSMEREKIEAVEKMAELAKVELEQLREKREEENLALVKERAAVESEMEVLSRLRRDAEEKLEDLMSNKAEISFEKERALNLRKEAEEESQRISKLQYELEVERKALSMARSWAEEEAKRAREQGKALEDARKRWETNGLRVVVDKDFQETISGETEQSILLNDVERSSVEETEERAKTLMDKLKEMAGTVIGKSREVIFLVMEKIRLWITILKEYAVNLGKRAGEMRDAAIVKAKVAATEVEKGTVQLSDKVKKMVDECRDGVGKISQRFKT >fgenesh2_kg.6__2385__AT5G23900.1 pep chromosome:v.1.0:6:10257613:10258696:-1 gene:fgenesh2_kg.6__2385__AT5G23900.1 transcript:fgenesh2_kg.6__2385__AT5G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L13 [Source:UniProtKB/TrEMBL;Acc:D7M2E3] MKHNNVIPSSHFRKHWQNYVKTWFNQPARKTRRRVARQKKAVKIFPRPTSGPLRPVVHGQTLKYNMKVRAGKGFTLEELKAAGIPKKLAPTIGISVDHRRKNRSLEGLQSNVQRLKTYKAKLVVFPRRSRKVKAGDSTPEELANATQVQGDYMPIASEKAAMELVKLTADMKAFKAYDKIRLERTNARHAGARAKRAAEAEKEEKK >fgenesh2_kg.6__2386__AT5G23903.1 pep chromosome:v.1.0:6:10260174:10260800:1 gene:fgenesh2_kg.6__2386__AT5G23903.1 transcript:fgenesh2_kg.6__2386__AT5G23903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLLLFIFSLSVLVGSINGRSCGSSTPTSEDMYQKQMERADESADRMGRIGLECEPQGYTGGSGNTNYSVGATILGFFILFFIFGAPIVICLACIRRQKAINAANLNNVSSQVVGAHVAHGTDLKSYPGTNTVQKGDPNYQV >fgenesh2_kg.6__2387__AT5G23910.1 pep chromosome:v.1.0:6:10262280:10266999:1 gene:fgenesh2_kg.6__2387__AT5G23910.1 transcript:fgenesh2_kg.6__2387__AT5G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHGRKVVRVVARVKPSTDLVSTRSISVHKPMGEDSETVSISFGAQFAGSKDSYKLDYCYEENETTGSILTKEIKPLISTVFEGKDANVIAQGARNGGKTHLIQGNERELGLTVLTMSEMLSMAEERGDSVSVSVYEVSQETVYDLLGQEKRVVAVLEGAQGKIQLKGLSQATSLIFQCFCFQVPVKSLSEFQNLYYGLKKSQKLTSDPPVRSHKGVMIHVTTGNANPGSLGRMNFLDMAGYEDSRKQKSALAPLEIARINKSIYALQNVMYALNTNESHVPYRESKLTRMLKDCFKGCNRTLLITCLPRKFSQDSFYMLNLASRICLGSNRAMNNPTKKKINGPERSISLSSAAQRRQTPLNVSATSRKQTMLRGSVTERKTKINTAASAIKARKLFGEANDSVKCKNSSKKMEGKARMALKNVISTSKVVSSIQASSPKEVNPCEHELNEAACLMFYNVGNLFVIYCYRFSIFFGRRGIFSGFLKLCSSHGTGKFSICNFSGYSTSASLSSEAVDITEKETPWKHKEIFSGATHCDDAFTEKAQIVKRDENNSVIDDLALVINKGENLDKENNSLLANETASPPLSMRLQELSNNLKSICKISNQLSVPEKYQSPLTILQAEEASEHSDITAEAAVSGELRTPERTMPSNIGCSPWKAYSAHSSKLKNSAVGEYLKFINTAGKEDLKKLKGIGDKRAAYIVELREESPFKTVDDLKSIGLSAKQVKGLLKKEIGEIFE >fgenesh2_kg.6__2388__AT5G23930.1 pep chromosome:v.1.0:6:10268654:10270018:-1 gene:fgenesh2_kg.6__2388__AT5G23930.1 transcript:fgenesh2_kg.6__2388__AT5G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLILQGGRRSRELHQWQNRVMNLLLQNGSTFTESFSSVVATAKDLSFEDERKRKTFTVSYLIDSLGLTTKLAESISMKANFDEKGNPDSVLKLLRSYGFKDCQISSIIATYPRFLVESPEKSLRAKLHFLKLNGASSSELTEIVSKVPKILGKRGGKWIIHYYDYVKEILQDQDTSSSSKRKQTNRNRNVSVLRELGVPQRLLLNLLISRAKPVCGKERFEESVKKIVEMGFDPKSPKFVSALYVFYDLSDKTIEEKVNAYKRLGLSLDEVWVVFKKWPFSLKYSEKKIIQTFETLKRVGLREEEVCLMVKRYPECVGTSEEKIVKSVETFLELGFTKDEFVMIIKRHPQCIGLAADSVKKKTEFLVKTMGWPLKVVASTPIVLGFSLEKFVLPRCNVIKALLSKGLIDEIPAISSVLTSPKLKFLKLFVEKHQDVLPELNSIFTGDNVLVN >fgenesh2_kg.6__2389__AT5G23970.1 pep chromosome:v.1.0:6:10285169:10286525:1 gene:fgenesh2_kg.6__2389__AT5G23970.1 transcript:fgenesh2_kg.6__2389__AT5G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7M2F1] MKLEFVSKEIIKPASPNHPQTLSLSFFDQFLPSTYVSAIFFYDEQANQEDIIVQRLKSSLSQTLSLFYPLAGQIKDGVTVHCNDQGALFTEARADIFLSDFLRNPSDADLVHEFIVSPDHADPETWPLLHVKVIFFKDRGFAVAVSVSHKICDAASLSTFVCSWTNASKGYADTVNPEFPGPDFYPPADISIEFPPLLVRKTKSKTKRFVFGSLMIEKLKNRASSGNLEPQVTRIESITALLLRCMTKAGHSKAGKVTKFAITQTMNLRTRVSSSFLPHKAIGNFFFLPLLKESSESKMEIEETVSKLQKTKEELNQLIRNDPDDAKSSVEAKERIASAMLSSLHEVSPETETYVVSSWCRMSFYEADFGWGKPVWVAPGSVGKTQVVLMDAKDSEGIEAWVTLPETDMVEFEHDDELLAYATISPSVLI >fgenesh2_kg.6__238__AT5G03330.1 pep chromosome:v.1.0:6:951968:954758:1 gene:fgenesh2_kg.6__238__AT5G03330.1 transcript:fgenesh2_kg.6__238__AT5G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHEENTSIVEWFLGPHPYTYPPYGIEMIHEDDEVAHHHHQSGEYYREYEDHRSSDVDNDEIIARTLQDDFLQLEIAESNDFSHQNQQQHQQEGYTNNYSNNNNGYAWNDQSAVDYSSEWIGNDNDQDGRSDDSVNVFSCSSPSDTDEYVYSWESDQCDADGEFGRRLNQMVPIPYIPKINGEIPPEEEAVSDHERLRNRLEMFDFTEVKVPGDGNCQFRALADQLYKTADRHKHVRRQIVKQLKSRPDSYQGYVPMDFSEYLKKMSRSGEWGDHVTLQAAADAYRVKIVVLTSFKDTCYIEILPTSQEFKGVIFLSFWAEVHYNAIYLNRDTSETELQRKRKWWRFGN >fgenesh2_kg.6__2392__AT5G24000.1 pep chromosome:v.1.0:6:10301600:10304051:-1 gene:fgenesh2_kg.6__2392__AT5G24000.1 transcript:fgenesh2_kg.6__2392__AT5G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFTVVSPNPLSPTISSLHVSPSRHHLSSITIRRNKSPPETLPPPRLVSSRRRRVKVFSQQRFPLITPDDHWSQWAALFASGAFGVWSEKTKIGSMVSGALTSTLLGLAASNLGLIPFETPSYDFFMEFLLPHTIPLLLFRADLRRIIRSTGSLLLAFLIGSVATVVGTVVAFMLVPMRSLGPDNWKIAAALMGSYIGGSLNFVAISEALQISPSVVAAGVAVDNVICALYFMVLFALASKIPPETTSASSPDSDMTKDDELKDKNRVVSTSIALSISFLICKAAISMTTLFKIQGGMLPAVTAITIVLATSFPDFFNSLAPSAETISLILMQVFFTILGATGSVWNVINTAPSIFLFAAIQVMVHLAVTLVLGKLFCIDMKLLLLASNANIGGPTTACAMATAKGWTSLVVPGILSGVFGVSIATFLGIGCGVFVLKRL >fgenesh2_kg.6__2393__AT5G24010.1 pep chromosome:v.1.0:6:10307258:10310023:1 gene:fgenesh2_kg.6__2393__AT5G24010.1 transcript:fgenesh2_kg.6__2393__AT5G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M2F5] MAFPKNLIQTLLFFFSLLHLSLASFTPTDNYLINSGSNTNTSFFTIRSFLSDSSKPGSSFLSTDRSISISDPNPSPDSPALYNTARVFPIGGSYKFQVTTKGTHFIRLHFAPFKASSFNLRSAKFRVLINGFSVMNSFSTSSVVVKEFILKIDDPVLEISFLPSKASGFGFVNAVEVFSAPNDYIMDQGTKLVIPNSAQIFSNLSSQVLETVHRINVGGSKLTPFNDTLWRTWVVDDNYLLLRAAARRAWTTHSPNYQNGGATREIAPDNVYMTAQEMDRDNQELQARFNISWGFQVDEKRVLHLVRLHFCDIVSSSLNQLYFNVFINEYLAYKDVDLSTLTFHVLASPLYIDFVAESDRSGMLRISVGPSDLSNPARVNALLNGVEIMRILSPVSSEVVSGKRNVVWIVVGSVLGGFVFLSLFFLCVLCLCRRKNNKTRSSESTGWTPLRRFRGSSNSRTTERTVSSSGYHTLRISFAELQSGTNNFDKSLVIGVGGFGMVFKGSLKDNTKVAVKRGSPGSRQGLPEFLSEITILSKIRHRHLVSLVGYCEEQSEMILVYEYMDKGPLKSHLYGSSNPPLSWKQRLEVCIGAARGLHYLHTGSSQGIIHRDIKSTNILLDNNYVAKVADFGLSRSGPCIDETHVSTGVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPAVDPLLVREQVNLAEWAIEWQRKGMLDQIVDPNIADEIKPCSLKKFAETAEKCCADYGVDRPTIGDVLWNLEHVLQLQESGPLNNPEEVYGDVTDSGTARQGPSSGSNTDRDYGDGTSGIISSSQVFSQLMTNAGR >fgenesh2_kg.6__2395__AT5G24030.1 pep chromosome:v.1.0:6:10311741:10316450:-1 gene:fgenesh2_kg.6__2395__AT5G24030.1 transcript:fgenesh2_kg.6__2395__AT5G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport family protein [Source:UniProtKB/TrEMBL;Acc:D7M2F7] MDEKPNYVIQVEEELPSLLRKATTEEMVGFDNYKENGHPFPHSISRFHPSHASTTTLNGQEASRSIDTMEAHHNYNETPPWTHQRKPSISMPTSPNVLMISDPTTSLASENNKNSGSTGKSVKFLSQPMTKVSSLYIETGNDDNDRRLSHDNHHHRQQQHQNGHHQNQNPAANKLKDNRYNSFKTWSGKLERQFTRKPASVEPEAPNRNNQNLNTNEAMPVDRYYDALEGPELETLQPQEEIVLPNDKKWPFLLRYPISTFGMCLGVSSQAIMWKTLATAEPTKFLHVPLWINQGLWFISVALVLTIATIYLLKIILYFEAVRREYYHPIRINFFFAPFISLLFLALGVPPSIITDLPHFLWYLLMFPFICLELKIYGQWMSGGQRRLSRVANPTNHLSVVGNFVGALLGASMGLREGPIFFYAVGMAHYLVLFVTLYQRLPTNETLPKDLHPVFFLFVAAPSVASMAWAKVTGSFDYGSKVCYFIAIFLYFSLAVRINFFRGIKFSLSWWAYTFPMTGAAIATIRYATVVRSTMTQIMCVVLCAIATLVVFALLVTTIIHAFVLRDLFPNDLAIAISNRPRPKQNSHHRWLDQLRNVSSENIENYLKFTDSDSTHSNDLEACNGKTQESDSS >fgenesh2_kg.6__2398__AT5G24070.1 pep chromosome:v.1.0:6:10349127:10350896:-1 gene:fgenesh2_kg.6__2398__AT5G24070.1 transcript:fgenesh2_kg.6__2398__AT5G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7M2G6] MRQFVKFFPLLAIVIISLAGTATIEAATGLNPPVKLVWHYYKVTNTCDDAETYIRYQVEKFYKNDSSIAPKLLRLLYSDCMVNGCDASVLLQGPNSERTAPQNRGLGGFVIIDKIKQVLESRCPGVVSCADILNLATRDAVHMAGAPSYPVFTGRRDGGRLNADAVDLPSPSISVDESLAYFKSKGLDVLDMTTLLGAHSMGKTHCSYIVDRLYNFKNTGKPDPTMNSTLVSQLRYLCPPRTQKGQTDPLVYLNPDSGSSNRFTSSYYSRVLSHNAVLRVDQELLNNDDSKEITQEFASGFEDFRKSFALAMSRMGSINVLTGTAGEIRRDCRVTNANYGA >fgenesh2_kg.6__2399__AT5G24090.1 pep chromosome:v.1.0:6:10372938:10374725:-1 gene:fgenesh2_kg.6__2399__AT5G24090.1 transcript:fgenesh2_kg.6__2399__AT5G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acidic endochitinase [Source:UniProtKB/TrEMBL;Acc:D7M2G9] MTNINLLKHVIYLLFFISCFITKPSDASRGGIAIYWGQNGNEGNLSATCATGRYAYVNVAFLVKFGNGQTPELNLAGHCNPAANTCTHFGSQVKDCQSRGIKVMLSLGGGIGNYSIGSREDAKVVTDYLWNNFLGGKSSSRPLGDAVLDGIDFNIELGSPQHWDDLARSLSKLSHRGRKVYLTGAPQCPFPDRLMGSALNTRRFDYVWIQFYNNPPCSYSSGNTQNLFDSWNKWTTSITAQKIFLGLPAAPEASGSGYIPPDVLTSQILPTLKKSRKYGGVMLWSKFWDDKNGYSSSILASV >fgenesh2_kg.6__23__AT5G01770.1 pep chromosome:v.1.0:6:95101:102862:1 gene:fgenesh2_kg.6__23__AT5G01770.1 transcript:fgenesh2_kg.6__23__AT5G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAPTOR1A [Source:UniProtKB/TrEMBL;Acc:D7M6F5] MALGDLMVSRLSQSSVTAVTNHRYNDDDCASTHDDSRMSIIASPPSIYNHFASSSYENLSAAPSMAYLPQTLVLCDLRHDASEALDIVQSPRWRLKERMKTGCVALVMCLHITVDPPDVIKISPCATLECWIDPFSIYPPRRALETIGQSLSIQYERWLPRARYKVELDPTKDDVRKLCLSCRKTAKTERVLFHYNGHGVPKPTPNGEIWVYNKNFTQYIPLPVSELDSWLKTPAIYVFDCSAARVIVNAFAELHVGESSGSSGPPKDCILLAACDVHETLPQSVEFPADVFTSCLTTPIKIALKWFCRRSLLKEFIDESLIDRIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPRELFQRLFRQDLLVASLFRNFLLAERIMRDAWDMAAEICLSQLPKLFLDPNTEFQPSLFFTEQLTAFEVWLDHGSEHKKPPEQPLSDGAIIGFILLPSNICLYLTILAYLETDLYQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIYPCVVKLLQQTTIELRQILVFIWTKILAFDKSCQVDLVKDRGHIYFIRFLDSSDAFPEQRAMAAFVLAVIVDGYKQGQESCLEANLIGVCLGHLEATQPCDPPPEPLFLQWLCLCLGKLWEDFVEAQIMGKEANASEKAAAVFALGTLLDVGFDSGKGVRDEEFDDNENIIIEDIMIKSLLDVVSDGSPLVRAEVAVALARFAFGHKQHLKSVAASYWKPQSSLRTSFPSMAKFHDAGTSTIVSSHMGSLTRASPDSQPVAREGRISSSLHEASSGPMQGSPLSDDSSLHSDSGIIHDGVNNGVVHQPRPLDNAIYSQCVLAMFTLAKDSSPRIASLGRRVLSVIGIEQIVAASASHTPLAGLVRSSSWFDMHTGHLPLTFRTPPVSPPQTSYLTGLRRVCSLELRPHLLGSPDSGLADPLLGVSGSERSLLPQSTIYNWSCGYFSKPLLGGADANEEIVGQREEKEKFSLEHIAKCQHSSISGINNIPIANWDTKFETGTKTALLHPFSPIVVAADENERIRVWNYEEATLLNGFDNHDFPDKGISKLCFVNELDDSLLLVASCNVPTLARVSFAIRIWKDYATKGRQKLVTGFSSIQGHKPGARGLNAVVDWQQQSGYLYVSGESSSIMLWDLEREQLVKSVPSESECRVTALSASQVHGSQLAAGFADGSVRLYDVRTPDLLVCATRPHQRVEKVVGLSFQPGLDPAKIVSASQAGDIQFLDLKITKKTYLTIDAHRGSLTALGVHRHAPIIASGSAKQLIKVFSLKGEQLGIIKYHTSFMAQKIGPVSCLAFHPYQVLLAAGAAGSFVSLYTHHNTQPPR >fgenesh2_kg.6__2400__AT5G24100.1 pep chromosome:v.1.0:6:10377688:10380574:1 gene:fgenesh2_kg.6__2400__AT5G24100.1 transcript:fgenesh2_kg.6__2400__AT5G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFSIFYVVLLLFFGSTSLFSRVTGDLAGDRQALLDFRNNIVHPRSLAWNASSPVCTTWPGVTCDRDGTRVTALHLPGASLLGVIPPRTISRLSELQILSLRSNGLRGPFPIDFLQLKKLKAISLSNNRFSGPLPSDYATWTNLTVLDLSGNRFNGSIPAGFANLTGLVSLNLAKNSFSGEIPDLNLPGLHRLNFSNNNLTGSIPNSLKRFGNSAFSGNNLVYENAPPPVIPKEKEKEKKGIYISEPAILGIAISVCFVIFFVIAVLIIVCYVKRQKKRETETEPKPEKLKPAQKMPSEKEVSKLGKEQNIEDMEDKSEINKVMFFEGSNLAFNLEDLLIASAEFLGKGTFGMTYKAVLEDSKVIAVKRLKDIVVSRKDFKHQMEIVGNIKHENVAPLRAYVCSKEEKLMVYDYYSDGSLSLRLHGKNTDEGHVPLNWETRLRFMIGVAKGLGHLHIQKLAHGNIKSSNVFMNSEGYGCISEAGLPLLTNPVVRADSSARSILRYRASEVTDTRRSTPESDIYSFGILMLETLTGRSSMDDRKEGIDLVVWVNDVIAKQWTGEVFDMELVKTPNIESKLLQMLQLGTSCAARVPAKRPEMVKVIETLEEIERD >fgenesh2_kg.6__2402__AT5G24110.1 pep chromosome:v.1.0:6:10382551:10384147:-1 gene:fgenesh2_kg.6__2402__AT5G24110.1 transcript:fgenesh2_kg.6__2402__AT5G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNHSSGEWEKMKKEINELMTEGRDYAHQFGSASSQETREHLAKKILESYHKSLTIMNYSGELDQVSHGGGSPKSDDSDQEPLHVIKSSKKSMPRWTSKVRIAPGAGIDRTLDDGFSWRKYGQKDILGAKFPRGYYRCTYRKSQGCEATKQVQRSDENQMLFEISYRGIHSCSQAGHVRSNIPVQHLEPNQTQEHENLDMVKESLDNYNHQAHLHDNFHYPLCSTPNLENNNAYMLQLRDHNTEFFGSTSFSSDLRTNINYNFPASGSASHSTSNSPSTVPLESPFESYDPNHPYGGFGGFYS >fgenesh2_kg.6__2403__AT5G24120.1 pep chromosome:v.1.0:6:10386029:10388284:-1 gene:fgenesh2_kg.6__2403__AT5G24120.1 transcript:fgenesh2_kg.6__2403__AT5G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVFISSSAARSPLGLSSDLLTHRSSLKKPSIVAFKADDSSNSALIIPPREQVLIPAEKHNEKKRVVTRRKPCKAPKKPSSLEHNSAPSCSLGVDYYEAAARLESIYKLSPATTTLDEEDVEDGGSKAKVSPRRKRKQSGEEKKVVVRNNVMKEKRLSLDKRIALKRNVQEKPVVISAEKKVTKKQQEEEKIERLVRDYSASNDIVSLDWKKMKIPPVLSSTEHAWLFKLMQPMKALLEVKDELQKSLGREPREAEIAGEINMTAGEVKKKIEIGRAARNKLIKHNLRLVLFVMNKYFHDFTNGPKFQDLCQAGMRGLITAIDRFEPKRKFRLSTYGLFWIRHAIIRSMTTSNFTRVPFGLESVRVEIFKTKTELLFELGRLPTEDEVVERLKITPERYREVLRAAKPVLSLNSKHSVTQEEFINGITDVDGVGANNSRQPALLRLALDDVLDSLKPKESLVIRQRYGLDGKGDRTLGEIAGNLNISREMVRKHEVKALMKLKHQARVDYLRQYIV >fgenesh2_kg.6__2404__AT5G24130.1 pep chromosome:v.1.0:6:10391525:10393279:1 gene:fgenesh2_kg.6__2404__AT5G24130.1 transcript:fgenesh2_kg.6__2404__AT5G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATAREIRTYGPRLGRSRAEYINAGLYLFATVALIGGFTATGFSWEPRSGLVLILLALVLITAVNVHDLVAHLAGIDYRLRLMEYDLQLGLVEFAVPLVQIAGSVVFFLGIFFVFHQAETKRGYSGREHHALNLLIAGPLLWVIGSIHNSCQIYQRADSHVQILQQCVHIPFLVGSLLFLVSAVLNSLDQSGSSHSGLKLLGERWVWLGIAGAICLFVGGLMNVVKVFNFVQITGLRLEKLRGGAQDRLLEGREGYLPLVAEEERIRKMEADEASSRTKPRAHLTSKAGAGATETVVVSSPTPYKDVLVGQS >fgenesh2_kg.6__2410__AT5G24165.1 pep chromosome:v.1.0:6:10434930:10435660:1 gene:fgenesh2_kg.6__2410__AT5G24165.1 transcript:fgenesh2_kg.6__2410__AT5G24165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIPRIKFPQRHSISSGSGSAPGSVAGGKKNVTASSDVPAAPKNTAEGGKASLQPKRTPVSDKEIESIMLGGCI >fgenesh2_kg.6__2411__AT5G24170.1 pep chromosome:v.1.0:6:10436010:10437928:-1 gene:fgenesh2_kg.6__2411__AT5G24170.1 transcript:fgenesh2_kg.6__2411__AT5G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein [Source:UniProtKB/TrEMBL;Acc:D7M307] MQKLNDFFSGGGDGEETTDSFLEEGSEGLCALSTTQRMYGFAASLATGLLLMFLSMIVFGIPIKFALLFTFGNVLAIGSTAFLMGPEQQMNMMFDPVRFLATSIYIGCVVVALICALLIHSKILTVLAILCEICALIWYSLSYIPFARRMVSEIMIRLCDTEL >fgenesh2_kg.6__2413__AT5G24190.1 pep chromosome:v.1.0:6:10445729:10446971:1 gene:fgenesh2_kg.6__2413__AT5G24190.1 transcript:fgenesh2_kg.6__2413__AT5G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVKLDIRCIFNNLHGGGRTIHAIQAISAMIDKHSESAIWLAGHSLGAALVLMAGKTMNIYGFLLESYIFNPPIITVPLEQLPGGGTLKGVYRIAESLVKATAASFEMALTNQRVQEDSKTASWIPYIYVNPADPICAGYIDYFRHKTFMSKIGASKIEKTGSRHSFRTQWKRGIGTSSPLSDLSMEPLHLLQSADMTINKNKSCSSMVAHGLHQWWEQDSVLRKNWKSCLIRPDPEGKS >fgenesh2_kg.6__2416__AT5G24240.1 pep chromosome:v.1.0:6:10481968:10485058:-1 gene:fgenesh2_kg.6__2416__AT5G24240.1 transcript:fgenesh2_kg.6__2416__AT5G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-and 4-kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M316] MSVASVALSPALEELVNFPGTIGRFGLNLDDPILVFLTIAGSVIPKRVMESDSIASVKLRIQSIKGFFVKKQKLLYDGREPTRNDSQIRDYGLADGKLLHLVIRLSDLQAISVRTVDGKEFELVVERSRNVGFVKQQIASKEKELGIPRDHELTLDGEELDDQRLITDLCKNGENVIHLLIRKSVKVRAKPVGKDFEVFIENVNHKHNVDGRRGKNILSQAKPKEFFVEPVIVNPEIKLPLLLKELISSTLEGLEKGNGPIQSSDGSGGAYFMQDPSGHKYVSVFKPIDEEPMAVNNPRGQAVSVDGEGLKKGTQVGEGAMREVAAYILDYPMSGPLTFPHDQTGFAGVPPTTMVKCLHKDFNHPNGYSFAPENTKIGSLQMFVSNVGSCEDMGYRVFPVDQVHKISVLDIRLANADRHAGNILVSRDGKDGQMVLTPIDHGYCFPNKFEDCTFEWLYWPQAKEPYSSETVEYIKSLDPEQDIELLRFHGWEIPPSCARVLRISTMLLKKGSAKGLTLFTIGSIMCRETLKEESVIEQIIHDAEAIMPTETTEDEFISTVSAIMDNRLDQYAWN >fgenesh2_kg.6__2421__AT5G24290.2 pep chromosome:v.1.0:6:10535573:10538066:-1 gene:fgenesh2_kg.6__2421__AT5G24290.2 transcript:fgenesh2_kg.6__2421__AT5G24290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNGPVNASWSELKDGDKELVDAECLVDLLESYRFGKDNVPAREFRFKAAATAPAPVNTTEIEVEVEEDNDGSQAQQDPIILETTVSETGSNNETGSNQGTGSNEENGNNWLESSSTNLPKVENERQQNGEDCEMEEEEKNERSSSVSEEKLLATQENYELGEEDEEKKERSSSDSEEKSNLEKLLATQEKYELYCPSCSSCITRKVILKKRKRGKHVDSSPDLKPDVPVVEPSHIEEMEPPVKVHVPETRIEDDNQEDKEEGIIFNCLACLKYFIRLGTRFLQLDYITGKPVEEPVEECIEVIMRNSINTTQSPTQIQPDRERFAVELLKSTVYGGLTETITSLGVVSSASASGSSTMNILALAVANLAGGLIVLAQNLQDLRNSSDQEKDRYEELLGRRANFRIHILVAVMSYIFFGIMPPLVYAFSFYETGIKNYKLISVFLVSLLCVILLGMIKVYVRKPPNSRELTKAYLKSAAYYTSTVVASCGISYFVGDIMGEYTGKLSLVGLDQISITSPCYGTKPEECRFTSF >fgenesh2_kg.6__2423__AT5G24300.1 pep chromosome:v.1.0:6:10541641:10545886:1 gene:fgenesh2_kg.6__2423__AT5G24300.1 transcript:fgenesh2_kg.6__2423__AT5G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:D7M325] MASLQISGSVKFEPLVGFNRIRYFRPIGSLGIPRFRRRFSIGRPLLLRRSSSFSGDKIGESVGDEKGFITDAERDGSGSVLGFQLTPTGDQEIFSTSTGEITHQEEKKEAIDETVMADFGVPGNRAVEEGAVEVGIPSGKAEVVNNLVFVTSEAAPYSKTGGLGDVCGSLPIALAGRGHRVMVVSPRYLNGTAADNNYARAKDLGIRITVNCFGGSQEVSFYHEYRDGVDWVFVDHKSYHRPGNPYGDSKGAFGDNQFRFTLLCHAACEAPLVLPLGGFTYGEKSLFLVNDWHAGLVPILLAAKYRPYGVYKDARSILIIHNLAHQGVEPAATYTNLGLPSEWYGAVGWVFPTWARTHALDTGEAVNVLKGAIVTSDRIITVSQGYAWEITTVEGGYGLQDLLSSRKSVINGITNGINVDEWNPSTDEHIPFHYSADDFSEKVKCKMALQKELGLPIRPECPMIGFIGRLDYQKGIDLIQTAGPDLMVDDIQFVMLGSGDPKYESWMRSMEETYRDKFRGWVGFNVPISHRITAGCDILLMPSRFEPCGLNQLYAMRYGTIPVVHGTGGLRDTVENFNPYAEGGAGAGTGWVFTPLSKDSMVSALRLAAATYREYKESWEGLMRRGMTRNYSWENAAVQYEQVFQWVFMDPPYVS >fgenesh2_kg.6__2424__AT5G24310.1 pep chromosome:v.1.0:6:10548681:10551807:1 gene:fgenesh2_kg.6__2424__AT5G24310.1 transcript:fgenesh2_kg.6__2424__AT5G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATMPMPREASNYHEISMRQSMLFSDSLKDLKNLRTQLYSAAEYFELSYTNDEQKQIVVETLKDYAIKALVNTVDHLGSVTYKVNDFVDEKVDEVAGTELRVSCIEQRLRMCQEYMDHEGRSQQSLVIDTPKFHKRYILPFGEIKRGGNLAKLKNVEGSFDGEEDWNQFRNAVRATIRETPPPPVRKPVLQSPSQRKPQRSATFSFSSIATAPKKEQDKRAVSPHRFPLLRSGSVAIRPSSISRPTTPSKSRAVTPTPKRYPSEPRRSASVRVAFEKEAQKEPEHQQQPSKSKRLLKALLSRRKTKKDDTLYTYLDEY >fgenesh2_kg.6__2426__AT5G24313.1 pep chromosome:v.1.0:6:10553745:10566968:-1 gene:fgenesh2_kg.6__2426__AT5G24313.1 transcript:fgenesh2_kg.6__2426__AT5G24313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWKNHLLICCILLAMVMIGHAQTNRKLLDDNVSSSYFPSMESRQAFLPSSHRSLGAEHYERSPPPPPPKKSSEVEPQTNGV >fgenesh2_kg.6__2427__AT5G24314.1 pep chromosome:v.1.0:6:10567366:10568823:1 gene:fgenesh2_kg.6__2427__AT5G24314.1 transcript:fgenesh2_kg.6__2427__AT5G24314.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE225/PTAC7 [Source:UniProtKB/TrEMBL;Acc:D7M329] MASFTCSSPSSILPIIDTRSGNLRCTFRSQVSCGIQRDDNGRRVWRRRTLTKKDDMLRYKMQRVPFVEEQVRKIREVGKVMTMDIEQLLLREDNRFEFVNSVAAEATEYVEKNRDEYGGSKKAIFHVLSNRVNDLGFDRPEAYVEADPYKPGPGYLLEYYT >fgenesh2_kg.6__242__AT5G03345.1 pep chromosome:v.1.0:6:959115:960712:1 gene:fgenesh2_kg.6__242__AT5G03345.1 transcript:fgenesh2_kg.6__242__AT5G03345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGFVVGVFGVLILSHAAYSTIQYRGLLKIMEEEFSRPPINVILELIIGLALCMWAALTFPGKFLSIHPDSDENRAVSLPDNSDFMIFNHRGRLFPPEIEMKF >fgenesh2_kg.6__2431__AT5G24330.1 pep chromosome:v.1.0:6:10589783:10591619:-1 gene:fgenesh2_kg.6__2431__AT5G24330.1 transcript:fgenesh2_kg.6__2431__AT5G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVRRRRTQASNPRSEPPQQYMAEDSDSDWDTVCEECSSGKQPAKLLLCDKCDKGFHLFCLRPILVSVPKGSWFCPSCSKHQIPKSFPLVQTKIIDFFRIKRSPDSSQISNSSDSIGKKRKKNSLVMSKKKRRLLPYNPSNDPQRRLEQMASLATALRASNTKFSNELTYVSGKAPGSANQAAFEKGGMQVLSKEGVETLALCKKMMDLGECPPLMVVFDPYEGFTVEADRFIKDWTIITEYVGDVDYLSNREDDYDGDSMMTLLHASDPSQCLVICPDRRSNIARFISGINNHSPEGRKKQNLKCVRFNINGEARVLLVANRDISKGERLYYDYNGYEHEYPTEHFV >fgenesh2_kg.6__2432__AT5G24340.1 pep chromosome:v.1.0:6:10592106:10595528:1 gene:fgenesh2_kg.6__2432__AT5G24340.1 transcript:fgenesh2_kg.6__2432__AT5G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNLKIYLVSSTDSSEFTHLKWSFTRSTIIALDAEWKPQHSNTSSFPTVTLLQVACRLSYATDDDEVSDVFLIDLTSIHLPSVWELLNEMFVSPDVLKLGFRFKQDLVYLSSTFTQHGCEGGFQEVKQYLDITSIYNYLQHKRFGRKAPKDIKSLAAICKEMLDISLSKELQCSDWSYRPLTEEQKLYAATDAHCLLQIFDVFKAHLVEGITVQDSREKNVGLQEILTESDYSSKIVTAKLCKATDVIRSMSENGQNIANRVVSRKTTLNTMPMDENLLKIVRKYGERILLKESDLLPNKLKKKTRKRVSSSSMNTNKHLVCSADWQGPPPWDLSLGGDGCPKFLLDVMVEGLAKHLRCVGIDAAIPHSKKPDSRELLDQAFKENRVLLTRDTKLLRHQDLAKHQIYRVKSLLKNEQLLEVIETFQLKISGNQLMSRCTKCNGKFIQKPLSIEEAIEAAKGFQRIPNCLFNKNLEFWQCMNCHQLYWEGTQYHNAVQKFMEVCKLSE >fgenesh2_kg.6__2434__AT5G24360.1 pep chromosome:v.1.0:6:10624584:10628206:1 gene:fgenesh2_kg.6__2434__AT5G24360.1 transcript:fgenesh2_kg.6__2434__AT5G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSALLDLILFLLVSPLAHSFKGSEISKFYDKSISNQISQPDRESGYVLVSTVDGSISLVDMSSQKLDWTFHTNEPIYSSYQAPHYHYTTDEERASALGDDFFMDCDKDWRLFNSSMRKGKRVNEIVDASEFIGTLPYTSTDRIVLGKKDTSVFLLDWKTGKLVKRYRMDELYSNTVVENDKEKAIVLSKEAPILFGSGFKESEDLPELVYIERKDFKIQCISKFGDVLWSVSYAKMEAKLQNHESVHLMGGLSSSDGIPIRTSWGKNHLPLSYTTSVPVVQLRNVNYETLFPRLGFLDEALYLPFQDRKPNRLAPGDGKHLALPSNKEAEEITDIIDGSTTQAGFASKFSGLIVLLFGFCVTMLSVCGIFFCRLRQGMWIKDPYVSEVPIAIPKKKKSKKNGTHKKENGLISGGNKDPSNEENDKRLLTAFPGLNNNSSAEGYRVGKLFVSNKEIAKGSNGTVVLEGSYEGRLVAVKRLVQSHHDVAQKEILNLMASDKHPNIVRWYGVDQDEHFIYISLERCACSLNDLIYASSALLESPVASSSIQPIQRNPIFENGKGVELWKENGHPSPVLLKLMSDIVAGLVHLHDIGIVHRDLKPQNVLIVKNSSVCAKLSDMGISKRLPADTSALTRNSTGSGSSGWQAPEQLRNERQTRAVDLFSLGCVLFFCMTAGKHPYGDNYERDVNVLNDRKDLFLIESLPEAVHLLSGLLNPDPNLRPRAQEVLHHPLFWNSDMRLSFLRDASDRVELENREEGSQLLAALESTAAVTLNGRWDEKLDSIFLDNIGRYRRYKFDSIRDLLRVIRNKLNHYRELPKELQELLGSVPEGFERYFSSRFPKLLIQVYTVLFDYCNNEEFFFKYSKTTVF >fgenesh2_kg.6__2437__AT5G24380.1 pep chromosome:v.1.0:6:10644274:10647339:1 gene:fgenesh2_kg.6__2437__AT5G24380.1 transcript:fgenesh2_kg.6__2437__AT5G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENERVEREQNQFQEDEFIDSRKPPPWRKQITVRAIVASLLIGIVYSVICLKLNLTTGLVPNLNISSALLAFVFLKSWTKVLQKAGIATTPFTRQENTIAQTCAVACYSISLAGGFASYLLGLNRRTYELTGVNTEGNNPRGIKEPGVGWMTSFLFVTSFIGLVVLVPLRKVMIIDYKLTYPSGTATAVLINGFHTSKGNKTAKKQIRGFIKSFGLSFFWAFFGWFYSGGDKCGFSQFPTFGLQALEKSFYFDFSMTYVGAGMICSHLVNLSLLFGAILSWGIMWPLIARLKGEWFPATLEKTSMQGLNGYKVFICIALILGDGLYNFLKILFFTGRSFHSRLSKTNSISTLVEVPEDGKKESDNLKRENEVFVRESIPLWMACVGYVFFSLVSIIAIPLMFPQLKWYFVLVAYLLAPSLSFCNAYGAGLTDMNMAYNYGKAALFVMAALAGKNDGVVAGMVACGLIKSIVSVSADLMHDFKTGHLTQTSPRSMLVAQAIGTAIGCVVAPLTFFLFYKAFDVGNQNGEYKAPYAMIYRNMAIIGVQGLSALPQHCLELCYGFFAFAVVANLARDLLPDRPGKWIPLPMAMAVPFLVGGSFAIDMCIGSVVVFVWQKVNRKKADVMVPAVASGLICGDGLWILPSSLLALAKVRPPICMNFTAAH >fgenesh2_kg.6__2438__AT5G24390.1 pep chromosome:v.1.0:6:10647281:10650277:-1 gene:fgenesh2_kg.6__2438__AT5G24390.1 transcript:fgenesh2_kg.6__2438__AT5G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RabGAP/TBC domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M344] MKALRRIQTRSSFPNPSSPSSPWTHLRSAFVIVTSSSPASCSSSDPHRLKSPWSRRKRKKPLTLRRWRRFFTPEGRLRNGGVDLLKKVRSRGIDPSIRSEVWPFLLGVCDLNSSEEERGATRTWRRKVYERLRRQCKRLQRQDSATFKLNKINKTTQDEHDSWSLAQDSDSSCSGDACSAHESLTSDKDNTEDIGYMSDVSCTLDRDYSGSRHLNSESSDSDSSDENDSVQVAPSSEGRDEHSTSPSSIYISRTKEDFVTWQRIIRLDALRADTEWTPYSSSQAMVSENRARRAAEAVVLKDYSHLEPSKVFHAARLVAVLEAYALYDPEIGYCQGMSDLLSPVLSVIPDDYEAFWCFVGFMKKARQNFRLDEVGITRQLNIVSKIIKSKDSQLYKHLEKVKAEDCFFVYRMVLVMFRRELTLEQTLSLWEVIWADQAAVRAGMGKSSWSRRIKQRAPPTEDLLLYVVAASVLQRRKVIIEKYNSMEEILRECHNMVGKLDVWKLLDDAHDLIVTLHAKIEHSNPIIV >fgenesh2_kg.6__2439__AT5G24400.1 pep chromosome:v.1.0:6:10651106:10652715:-1 gene:fgenesh2_kg.6__2439__AT5G24400.1 transcript:fgenesh2_kg.6__2439__AT5G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2024 [Source:UniProtKB/TrEMBL;Acc:D7M345] MASSSSSCFLRSILFSSPTNLRSNYPLSTFFPKNHLTCSPSTSPRFESFSVSSIETGSSKRVSDTRIKVKSMATTDIGKEEKKRVEIYDLEENLAIDLAKFTANLSDKFCKERGAFTVVVSGGSLIKSLRKLVESPYVDSIDWARWHFFWVDERVVPKNHEDSNYKLAYDSFLSKVPIPPGNVYAINESLSAEAAADDYETCLKHLVKTNILRVSESTGFPKFDLMFLGMGPDGHVASLFPGHGLCNESKKWVVSISDSPKPPSERITFTFPVINSSAHVALVVCGSGKAEAVQAALKKTGDVPPAGSVSAEDELVWFLDKPASSKL >fgenesh2_kg.6__243__AT5G03370.1 pep chromosome:v.1.0:6:963108:964266:-1 gene:fgenesh2_kg.6__243__AT5G03370.1 transcript:fgenesh2_kg.6__243__AT5G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylphosphatase [Source:UniProtKB/TrEMBL;Acc:D7LWN3] MAWIVGIRTRFLSFGKVPQISSSLLRIYYPRNHQNPSLLPLPSFSAAHSRRRPLLWLRSSPPVSSMTTQAESGSSQQSDSSKTVRVVIKGRVQGVCYRNWTVENAEQLGVKGWVRNRRDGSVEALFSGPPEAVDEMHQRCRRGPPAAMVTGLEAFPSTEEPGSSFEYRSTV >fgenesh2_kg.6__2444__AT5G24460.1 pep chromosome:v.1.0:6:10684127:10685270:1 gene:fgenesh2_kg.6__2444__AT5G24460.1 transcript:fgenesh2_kg.6__2444__AT5G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGPSPPHTPLVNRRNNNHRPRNATVTSALPDLFLAAVSLLFLWSSPKPLLSLPPNRFSFPLNPRRRITAMSRRSPPPPSQRFANPQSLSDWLESRLPSDSFAAWGVKPGTKNVHNLWLELSDGETSLADSTPPVRTVNVVTVRVIGKNGRILVEAHQELSDGSIRDRFRPLSEKMKPEESPDEAVFRAIKEELGSIFNGDDVGQRIRILPGTYSRRVEERNSLSYPGLPARYALHSVDATVEGLPEEDFCTDEKEYDGGDSTTEDSVETRAAGKAVTVKRHYWKWVSPDSIRS >fgenesh2_kg.6__2446__AT5G24490.1 pep chromosome:v.1.0:6:10702253:10704200:1 gene:fgenesh2_kg.6__2446__AT5G24490.1 transcript:fgenesh2_kg.6__2446__AT5G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLGFSQTKFHHCGVSISTPPCSSSSTVVSMVGSSRTDSKKLRSDFLGHIGYDDRRQVRPSCCKSSLAVKMSWDGPLASVKLIIQGKNLELSEPIKQHVEEKVGKSVQKHSHLVREVDVRLSVRGGEFGKGPRIRRCEVTLFTKKHGVVRAEEDAETVYACIDLVSTIIQRKLRKIKEKDSDHGRHMKGFNRLKVREPVIEPVVEDVEDSTDSSLGEEEEEDDLIKEIVRTKTFEMPPLTVAEAVEQLELVSHDFYGFQNEETGEINIVYKRKEGGYGLIIPKKDGKAEKVEPLPTEQLNEHSFAE >fgenesh2_kg.6__2447__AT5G24510.1 pep chromosome:v.1.0:6:10705860:10712109:-1 gene:fgenesh2_kg.6__2447__AT5G24510.1 transcript:fgenesh2_kg.6__2447__AT5G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSELACTYAALILHGDGIEITANVNVESYWPSLFAKLCEKKNIDDLIMNVGAGGCGCGSLGPDTASAPAVSQSASSPPREEE >fgenesh2_kg.6__2449__AT5G24520.2 pep chromosome:v.1.0:6:10713880:10716011:-1 gene:fgenesh2_kg.6__2449__AT5G24520.2 transcript:fgenesh2_kg.6__2449__AT5G24520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSAPDSLSRSETAVTYDSPYPLYAMAFSSLRSSPGHRIAVGSFLEDYNNRIDILSFDSDSMTVKPHPNLSFEHPYPPTKLMFSPPSLRRPSAGDLLASSGDFLRLWEINEDSSTVEPISVLNNSKTSEFCAPLTSFDWNDVEPKRLGTCSIDTTCTIWDIEKSVVETQLIAHDKEVHDIAWGEARVFASVSADGSVRIFDLRDKEHSTIIYESPQPDTPLLRLAWNKQDLRYMATILMDSNKVVILDIRSPTMPVAELERHQASVNAIAWAPQSCKHICSGGDDTQALIWELPTVAGPNGIDPMSVYSAGSEINQLQWSSSQPDWIGIAFANKMQLLRV >fgenesh2_kg.6__2455__AT5G24580.2 pep chromosome:v.1.0:6:10773250:10775273:-1 gene:fgenesh2_kg.6__2455__AT5G24580.2 transcript:fgenesh2_kg.6__2455__AT5G24580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKPAKEAVSAPEAVPAEEKEKKKDVAEEKKVAAEEEKPTEEEEPQPPPPPPPFILYVDLHCVGCAKKIERSILKIRGVEEVVMDMNENQVTIKGVLDPQAVCNKIKKKTKRMAKVLSPLPAAEGEPLPPIITSQVSGGLTTVELNVNMHCEACADQLKKKILKMRGVQTTVTEHTTGKVIVTGTMDAEKLVDYVYRRTKKQARIVPQPDPEPENPAAEEEKKEENGDGDEKPPETGEDKEEEKKKEGEENREEGGGEDAADTEEERRDDEMAAMAEVEGMKRMMYYYQPSYVIERIPPPQLFSDENPNACCIS >fgenesh2_kg.6__2459__AT5G24620.1 pep chromosome:v.1.0:6:10805329:10806897:1 gene:fgenesh2_kg.6__2459__AT5G24620.1 transcript:fgenesh2_kg.6__2459__AT5G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPMVPMAVLVYVSLLFSVSYSSTFVITNNCPFTIWPGTLAGSGTQPLPTTGFRLDVGQSVRIPSALGWSGRIWARTGCNFDATGAGKCMTGDCGGKLECAGNGAAPPTSLFEITLGHGADDKDFYDVSLVDGYNLPIVALPTGGGLIGACNATGCVADINISCPKELQVVGEEEAERGVVACKSACEAFGLDQYCCSGQFANPTTCRPSFYSSIFKRACPRAYSYAFDDGTSTFTCKASEYAIIFCPGRVKRPDSQSSDPPSPPQNQFGQPMAPPPQNQYGQPMAPPTQNPYGQPMAPPTQNQYNQPMAPPTQNQYGPPMAPLTQNPPGPNGESMAPPPQNQNENGHFMPPPTVNQVPNDQYMNPPIQDQSQSSSNILRPYPVFLLLGFSLTALRQSGTT >fgenesh2_kg.6__2464__AT5G24680.1 pep chromosome:v.1.0:6:10829254:10831336:1 gene:fgenesh2_kg.6__2464__AT5G24680.1 transcript:fgenesh2_kg.6__2464__AT5G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALCPVCNLTLPLSLIQSHVNSHFEDDEIDPQIESDHHLALQLASSSSDPSPSSSASSSDKVVSLVHLQTKSQFYSVGHGGLICLLRNCLESELRSKSKPLESSTSLLSGFVDHFQSSMEDKGWGCGWRNIQMQCSHLLSCRVEAKRVLFSGSNFVPDIPSLQRWLELAWSKGFDVSGALQFDNRICGSKRWIGTTECAALLRSFGLKAMIVDFAPKKSKSMYLSVPGSAIAPKVKSYGPMDRYVVKKGGSGKGKAVDSHSSNSSRISKGAVLMEWVWNYFSDNRLNVSSGVHMTNKGPLYFQHEGHSRTIVGIQRRLLGTTFTPQYNLLILEPADFTRAIEKALIEKRGWEGYLKRGAHTLTSPEYQMLYVDNGIADGEELEKLKTIDSHFVEF >fgenesh2_kg.6__2466__AT5G24710.1 pep chromosome:v.1.0:6:10835065:10844429:-1 gene:fgenesh2_kg.6__2466__AT5G24710.1 transcript:fgenesh2_kg.6__2466__AT5G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARAFRQTNGKIVKIQVHPTHPWLVTADDSDHVSVWNWEHRQVIYELKAGGVDERRLVGAKLEKLAEGESDYKAKPTEAIRGGSVKQVKFYDDDVRYWQLWRNRSAAAESPSAVNHLTSAFTSPAPSTKGRHFLVICCENKAIFLDLVTMRGRDVPKQELDNKSLLCMEFLSRSSGGDGPLVAFGSTDGVIRVLSMITWKLARRYTGGHKGSIYCLMNFMASSGEALLVSGGSDGLLVLWSADNGTDSRELVPKLSLKAHDGGVVAVELSRVSGSAPQLITIGADKTLAIWDTMTFKELRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWSIEHPTYSALTRPLCELSSLVPPQVLATHRKLRVYCMVAHPLQPHLVATGTNVGIIVSEFDPRAIPSAAPLPALPGSRENSAIYILGRELKLLNFQLSNSANPSLGNNSALSESGLSKGDPGEQLTVKQTKKQIVAPVPHDSYSVLSVSSSGKYVAVVWPDILYFSIYKVSDWSIVDSGSARLLAWDTCRDRFAILESVLPQRMPIIPKGGLSRKAKEAAAAAAQAAAAASAASSASVQVRILLDDGTSNILMRSVGGRSEPVIGLHGGALLGIGYRTSRRISPVAATAISTIQSMPLSGFGNSNVSSFSSYDDGFSSQKSAESAPLNYQLYSWENFEPVGGMLPQPEWMAWDQTVEYCAFAYQQYMVISSLRPQYRYLGDVAIAHATGAVWHRRQLFVATPTTIECVFVDAGVSEIDIETRKMKEEMKLKEVQARAVAEHGELALITVEGSQAGKQERISLRPPMLQVVRLASFQNAPSVPPFLSLPRQSRGDSDDIMDERRVNEVAVGGGGVSVAVTRFPVEQKRPVGPLVVAGVRDGVLWLIDRYMCAHAISLNHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALHCLLTMSNSKDIGQDGVGLDLSDILSLTATKKEDVVEAVEGIVKFAKEFLDLIDAADATGHADIAREALKRLATAGSVKGALQGHELRGLSLRLANHGELTRLSGLINNLISIGLGRESAFSAAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPTLKNLVQAWNKTLQKEVEQAPSSKTDAASAFLASLEDPKLTSLSDASRKPPIEILPPGMSSIFASITAPKKPLLTQKTAQPEVAKPLALEEPAKPLAIEAPPSSEAPRTESAPETAATAESPAPETVAVAESPAPETVAVAESPAPETAAAPVDGPVKETVSEPPPVEEETSLEDKSDPSSTPNTETAVATEDTSQTTTTPETVTRAPPETVTTAPPPETVTTTVKPTENAATERRVTNYPPIRSQPIDFDFLNG >fgenesh2_kg.6__2470__AT5G24760.1 pep chromosome:v.1.0:6:10883826:10886403:-1 gene:fgenesh2_kg.6__2470__AT5G24760.1 transcript:fgenesh2_kg.6__2470__AT5G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSFEQPQVITCKAAVAWRAGEPLVMEEVEVSPPQPLEIRIKVVCTSLCRSDLSAWEAQSLLPRIFGHEAAGIVESIGEGVTEFEKGDHVLAVFTGECGSCRHCISGKSNMCQVLGMERKGLMHSDQKTRFTIKGKPVYHYCAVSSFSEYTVVHSGCAVKVDPVAPLHKICLLSCGVAAGLGAAWNVADVQKGSSVVIFGLGTVGLSVAQGAKLRGAAQIIGVDINPAKAEQAKTFGVTDFINSNDLSEPIPQVIKRMTGGGADFSFECVGDTGIATTALQSCSDGWGMTVTLGVPKVKPEVSAHYGLFLSGKSLKGTLFGGWKPKSDLPSLIEKYMNKEIMIDELVTHNLVFDEINKAFDLMRDGKCLRCVLHMPK >fgenesh2_kg.6__2473__AT5G24770.1 pep chromosome:v.1.0:6:10895754:10922709:-1 gene:fgenesh2_kg.6__2473__AT5G24770.1 transcript:fgenesh2_kg.6__2473__AT5G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vegetative storage protein Vsp2 [Source:UniProtKB/TrEMBL;Acc:D7M383] MKILSLSLLLLLAATVSASVPELIELVDSNTISGNEAELLEKEKLSINYHNCRSWHLGVETSNIIDFDTVPANCKDYVEDYLITSKQYQSDSKTVCKEAYFYAKGLSLKNDTVNVWIFDLDDTLLSSIPYYAKYGYGTEKTDPGAYWLWLGTGASTPGLPETLHLYQNILELGIEPIILSDRWKLWKNVTLENLEAAGVIYWKHLILKPNGSNLRQVVYKSKVRKSLVKKGYNIVGNIGDQWADLVEDTPGRVFKLPNPLYYVPS >fgenesh2_kg.6__2479__AT5G24820.1 pep chromosome:v.1.0:6:10969583:10971535:1 gene:fgenesh2_kg.6__2479__AT5G24820.1 transcript:fgenesh2_kg.6__2479__AT5G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7M391] MVSPNPLIFLLLLLFIVLTHNYSLEDDLPNDIPNGFYLPFESNLYVEITIGTPTRTFNLKLDSSTHLTCLDNDDDHQCSLSDKSSNTFSTISCNNSSLCPHVSTNSTNHFNTTTTKTTTSLSLLCTPSDFCRYEASPSSSGYLVSDTLQLTSSITDQENSLSIARGFVFGCGASNRATPEEDGGGVDGRLSLTTHRFSFLSQLRLTRFSHCLWPSSAGSRNYIRLGSAASYGGDMVLVPMLNTTGTEAYSYHVALFGISLAQQRMRSSETSGLAIDIGTYYTSLEPSLYEEVKEELMAQIGPTVAYEVNELMCFTTEVGLDIDSLPKLTFHFQGYDYTISNKGLYLRDSPSSLCTALVRSSMKDEERINVIGASALVDHAVGYDTSQRMLAFQQRDCLSDFVDGM >fgenesh2_kg.6__2480__AT5G24840.1 pep chromosome:v.1.0:6:10980966:10981832:-1 gene:fgenesh2_kg.6__2480__AT5G24840.1 transcript:fgenesh2_kg.6__2480__AT5G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N(7)-)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M393] MDSETKPTTFSKSTGLPRKRFYRARAHSNPLSDSHFPIPISPAHVDFSLHFPKFVEADNKISKKVEFADIGCGFGGLLISLATLFPDTLMIGMELRDKVTEYVKERILALRRTSSEGQYENISVVRTNSMKYIPNYFEKGQLSKMFFLFPDPHFKEKNHRRRVISTHLLDEYAYVLRAGGIIYTITDVEELGEWMKACLEKHPMFESLTQEELDTDPVVELLCSATEEGQKVARNGGQTFRAVFRRIAYVS >fgenesh2_kg.6__2484__AT5G24870.1 pep chromosome:v.1.0:6:11014917:11017931:-1 gene:fgenesh2_kg.6__2484__AT5G24870.1 transcript:fgenesh2_kg.6__2484__AT5G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M3S6] MDGLKGKRTFRPIMQRKASGLVLHENMKKKDDKSLVPICSRIGCSSRISSTKGALIDHKAKSTVSSFRSFSNGKESVGSSSRSMSGFGGTKKASKVGCRRQLSSLLDMDSSESSSVNEESPTSERVLPRGKTKESTMVNVSGEVVTEAGSSSRGTRRSIHQRPDLVTREARMGNSEQNARASVNKNGLKDLRSKSGSDVLPSNSNPIRKSNIFRKKNSDGESSSSNRGNKTEGSVIGGRNLSSPQGNGITISESRRNRNIPNVRDNSVVSSSTRRSTGNYGRTGRAGAVATLQAPRPATLADLNPSRSAEVSRSPLNSYSRPISSNGRLRSLMMPGSPSEGGLSHSLMNRDGFRRYNMNGVAEVLLALERIEQDEELTYEQLAVLETNLFLNGMSSFHDQHRDMRLDIDNMSYEELLALEEKMGTVSTALSEEALLKSLKSSIYRPNDESDDICLNKDDDVKCSICQEEYVDGDEVGTLPCQHKYHVSCAQQWLRMKNWCPICKTSAESQPQPFS >fgenesh2_kg.6__2485__AT5G24880.1 pep chromosome:v.1.0:6:11021871:11023184:1 gene:fgenesh2_kg.6__2485__AT5G24880.1 transcript:fgenesh2_kg.6__2485__AT5G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSTTKAKTDNQNLTRTKSLGRKPKLPSSTGSSEDGSDQKPEKPLPNYLKPTISSRPDPVKLLKKNNSSLDDNQKLLRRRSFDRPPSSLTSPSTSASPRIQKSLNVSPSRSRDRPAFPREKPVTAQRSSSFHGSRGVPRGGTTVKSPPVAPKKSGLSSSSTSSKSKREGSENVTNKKASDKEINALDSASMSSAQEDHQEEILKVESDHVQVADHNIEEPKDEKQDKEVQETVVQANESVEEKTKSGEPTPVASPIGKDCNAVIKELEEKMINNEEEIEEKIEETKEQDNNQDNKGEEEEDVKKKIDENETPEKVDIESKNVESVEETTQEKEEEEEKEKVKEEEKEKVKEDGKEKVEEEKEKEKVKDEEKEKVKEEESGEGKKKEVVKGKKESPSAYNDVIASKMQENPRKNKVLALAGAFQTVIDYETAASK >fgenesh2_kg.6__2486__AT5G24920.1 pep chromosome:v.1.0:6:11041856:11042473:1 gene:fgenesh2_kg.6__2486__AT5G24920.1 transcript:fgenesh2_kg.6__2486__AT5G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M3T1] MVESQTRSPWHTPVPYLFGGLAAMLGLIAFALLLLACSYLKLSRGTEDEEKQTESGEKVVAKVFEEKILVIMAGQNNPTFLATPVAAKICLDCVNMEKKVGQNGESTETE >fgenesh2_kg.6__2487__AT5G24930.1 pep chromosome:v.1.0:6:11047597:11049579:1 gene:fgenesh2_kg.6__2487__AT5G24930.1 transcript:fgenesh2_kg.6__2487__AT5G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLCDSCKSATAALYCRPDAAFLCLSCDSKVHAANKLASRHARVWMCEVCEQAPAHVTCKADAAALCVTCDRDIHSANPLARRHERVPVTPFYDSVSSDGSVKHTAVNFLDDCYLSDIDGNGSREEEEEEAASWLLPNPKTTTTATAGMVAVTAAEEVPGDSPEMNTGQQYLFSDPDPYLDLDYGSVDPKVESLEQNSSGTDGVVPVENRTVRVPTVNENCYEMDFTGGSKGFAYGGYNCISHSVSSSSMEVGVVPDGGSVADVSYPYGGPATSGADPGSQRAVPLTSAEREARVMRYREKRKNRKFEKTIRYASRKAYAEMRPRIKGRFAKRTDTSESSDVVGHGGIFSGFGLVPTF >fgenesh2_kg.6__2488__AT5G24940.1 pep chromosome:v.1.0:6:11049876:11052068:-1 gene:fgenesh2_kg.6__2488__AT5G24940.1 transcript:fgenesh2_kg.6__2488__AT5G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMDLALSYSNQMRIVEAPASGGGLSQNGKFSYGYASSAGKRSSMEDFFETRIDGIDGEIVGLFGVFDGHGGARAAEYVKRHLFSNLITHPKFISDTKSAIADAYTHTDSELLKSENSHTRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAFAVSRDHKPDQSDERERIENAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKIDDSLEFLILASDGLWDVFSNEEAVAVVKEVEDPEESTKKLVGEAIRRGSADNITCVVVRFLESKTANNNGSSSSEEANQVQTAVRNDSDHKNLTKETNQDHIAVHRDLDCSNADNENLNQKPIAVTAAGRSVSSEQSGLAEEKNQMPIAIRSGSDLKSSSTKVPNQGKITVHNDSDSSIANQKPVAATHTSSLEQSGSTSEKNRKSIQVHSDSPASRTSSSTPSIFN >fgenesh2_kg.6__2490__AT5G24970.1 pep chromosome:v.1.0:6:11055968:11060534:-1 gene:fgenesh2_kg.6__2490__AT5G24970.1 transcript:fgenesh2_kg.6__2490__AT5G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 family protein [Source:UniProtKB/TrEMBL;Acc:D7M3T5] MRNVVVALRHHRRVSLLRRIVALRDDKTIDFKPRGKDNGLSRQTNTWVSPFCLQRRYSTGFTSVHGGIPTAEYAKLRRESLETEFGHALGAYSSKSFSAVYRFGPFLALYRAAIISYHVVKLAFWQLFVQDMRKRAVKFRETLISLGPFYIKALSTRPDILPSIYCQELSKLQDQIPPFPTTIAMRCIEEQLGAPVSKLFADISLKPVAAASLGQVYKAHLHSGQLVAVKVQRPGMSLILTRDALLFKMIGGQLKRFAKARKDLLVAVNEMVRHMFDEIDYVLEAKNAERFASLYSFDSWNEQINVNDGPRNKSRNHRAENIKVPKIYWNFTRTAVLTMEWIDGIKLTDEIKLKRASLDRRDLIDQGLSCSLKQLLEVGFFHADPHPGNLVATKEGSLVYFDFGMMGNIPRHYRVGLIQILVHFVNRDSLSLANDFLSLGFLPEGVDIQAVSNALRASFGSTTRISQDFQGVMEQLYDVMYEFNFSLPPDYALVIRSLGSLEGTAKILDPEFKVIESAYPFVIGRLLADPSPDMRKILRELVICNDGSIRWNRLERLVAAISEQASATSGDSREDKTLKKSSELKSFDMHSVVSATEDLLLFILSEKGQRVRVFLLQDIIRMVDIFLEEAALDLNTTINLKREEGTMKRVSNGFKCLNEAVKLAPGMWISMLLRMSRKSEVHSYALDIVSALSTHFGHKVPHTCWILFSKLLHHSRSQ >fgenesh2_kg.6__2494__AT5G25050.1 pep chromosome:v.1.0:6:11088531:11090799:1 gene:fgenesh2_kg.6__2494__AT5G25050.1 transcript:fgenesh2_kg.6__2494__AT5G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane transporter family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M3T8] VEEEQNLENGGSVHVVKGESNFRNVVCGPVRWLKMLSSELHWSFVFGVVSLYGINQGLGGSLGRVATEYYMKDVQKVQPSESQALTAITKIPWIIKPLWGILTDVLPIFGFHRRPYFILAGVLGVVSLLFISLHSNLHLYLALLWMTISSAAMAIADVTIDACTAYNSIKHPSLASDMQSLCSLSSSIGALLGFFMSGILVHIVGSKVVFGLLTFPFALVSVVGIVFSEPHVPGFSYKQVNQKFTDAGKAMWRTMKCSDVWRPSLYMYISLTLGLNIHEGLFYWFTDSKDGPLFAQETVGFILSIGSIGSILGATLYQLVLKDHPFRGLCLWTQLLFALSGMLDLILVLRLNLKFGLPDYLFIVVDEIVSQMIGRLKWMPLLVLTSKLCPHGIEGTFFALLMSIDNAGLMTSSWLGGILLHVLKVTRTEFHNLWLAVLVRNVMRLLPLCFLFLVPKGDQNTFKLPDEIMGEESEDSENEKEGTRNLELASLVQAVDRR >fgenesh2_kg.6__2495__AT5G25070.1 pep chromosome:v.1.0:6:11101453:11103790:-1 gene:fgenesh2_kg.6__2495__AT5G25070.1 transcript:fgenesh2_kg.6__2495__AT5G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDDMDSLFEGMELFTPVAELAGDNKVSSPPQSGNNETKAAEATLITAPSQPDMTEALDENLFSDLTIVTPVQHQPEPIEVVTTHPSPAKNYGRQVSRRKKRAAGLRIGYGRHETNNLDEDEDDSVSQQSDSVSQVSDSVTQVSDSVAQVIDSGNQSLDSPVVSVVVGNGSSRLELVKAQIEAKLNRACELAASVTSARKNAIRKRRQASENLRLASTTHEELEKQLEEAIEAEDFDAAERISESLAAKERDRLALLALLRQAESDCDAIESKMEEVLLSQIAAEEESSSLLRRFCTDAENDAGSILEKAEAFYSDEMEKWHSCSEDVEVRKVELDIESVVVDNVRLSLNGTLEGSVEQDMKEKEMLRKKNEHLSNELEELLALVKAKEKEIDENDLKIEAVEERINNVVTGYKELQTSMDKMVNDVQAGLTQIDKETEDLSRKKKDVDEFMTSEKERGAKLRDLARVSADEACEYDKVIKLRKDLMAYVSKTREERAKLVNIEEKLSEEVQKLQEEVSSTRESLKERSSKKSIIQQNITSFMDKIMFIEKRMPELEAEKKVAASTRNFKEAGRIAAEAKSLNLEKDKIQMETGKANAELEKAEHEIEETIKRLQEIERLILSKEKELAISRFQRLRIDSGTAKAERSAALELSDLEEANLLLEEAQEAESEAEKLKLTCGLKEEEEKEEDEAKSYEVFVSMELIATVGLKKLQELAECVPS >fgenesh2_kg.6__2496__AT5G25080.1 pep chromosome:v.1.0:6:11103951:11106959:1 gene:fgenesh2_kg.6__2496__AT5G25080.1 transcript:fgenesh2_kg.6__2496__AT5G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGSSSGATAGAGGVVPESAIEAVNQTLAYLKELKPQLEQMLTLAEPEVLAAMQPLQRAKTMYLLAGATTTLYELRLRCTGVDPDDHRVKSEIERINVYREKFQKCLDQSKEPLRPTTVLNRQAATRFIEHSLPDLTSTQKQNIRDLSRGEKSRFRNSETTARKRKYQSTVQSAAKDFLEKAAREIIGHNENGLKGPLMAAADGSDDVEVGTA >fgenesh2_kg.6__2497__AT5G25090.1 pep chromosome:v.1.0:6:11106923:11107693:-1 gene:fgenesh2_kg.6__2497__AT5G25090.1 transcript:fgenesh2_kg.6__2497__AT5G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTLIEATFLFFFFFLANFACCKEILVGGKTSAWKIPSSPSESLNKWAESLRFHVGDSLVWKYDGEKDSVLQVTKEAYINCNTTNPAANYSNGDTKVKLERSGPYFFISGSKSNCVEGEKLHIVVMSSRGGHTGGFFTGSAPSPAPSPALGAPAVAPATGAAASLTRQVGVLGFVGLLGVVLL >fgenesh2_kg.6__249__AT3G46100.1 pep chromosome:v.1.0:6:976456:979051:1 gene:fgenesh2_kg.6__249__AT3G46100.1 transcript:fgenesh2_kg.6__249__AT3G46100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidyl-tRNA synthetase 1 [Source:UniProtKB/TrEMBL;Acc:D7LWN6] MRAIHIVTTRLSSSFIRPILLDFVSCSPLRQFSIPRRFICAATKANGAGRSGSIVAPLVVNEDFQKIDVNPPKGTRDFPPEDMRLRNWLFNHFKEVSRLFGYEEVDFPVLETEALFIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSVSLPLKWFAVGQCWRYERMTRGRRREHYQWNMDIIGVPEVTAEAELISSIVTFFKRIGITASDVGFKVSSRKVLQELLKKYGVPEDLFGRVCIIIDKIEKIPIDEIKKELGFTGVSEDAIEQLLQVLSVKSLDDLEDILGGAGEAIADLKQLFSLAEKFGYSEWIQFDASVVRGLAYYTGIVFEGFDRKGKLRAICGGGRYDRLLSTYGGDDIPACGFGFGDAVIVELLKEKDLLPELGQEVENIVCALDKDLQGAAATVATTLRDKGQTVDLVLESKPLKWVFKRAARVNARRLVLVGKTEWEDGSVSVKVLSSGEQFQVKLNDLE >fgenesh2_kg.6__24__AT5G01760.1 pep chromosome:v.1.0:6:102911:105435:-1 gene:fgenesh2_kg.6__24__AT5G01760.1 transcript:fgenesh2_kg.6__24__AT5G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSSSSSSSASPSFTLSSVTVTVDKATSELLRTPDWTIIIAICDSLNSNCWQCKYAIKAVKRRLQHKSSKVQLLTLTLLEAMHKNCGDFVHSHITKKHLLEDMVKIVRKKGDFEVRNRILLLLDTWNEAFSGVPCKYPHYNWAYQELKGCGVTFPQRSKEAPLMLAPPPPVTHSSSSSMNLMSIGSFRRLDETMATEIEGLSLSSLESMRNVMDLVNDMVQAVNPSDKSAVKDELIVDLVEQCRSNQKKLIQMLTTTAHEDVMTRGLELNDSLQVVLARHDAIASGVSLPMLEAPETSSALKTYDAAALESDSESSSSSSSESETDEEEDLKDDFMQLAKRHALLSAEHSDEEEETLLLGTDNEKIAKTEAKTKCKDLALFDTTTTTTKSEQDIIELLSLTLSTTALPSPQTQPQMQPQTQPPSFFADDNILMNSYVVPWAQSQEEPQVPKMTQFAPSGPQFQPWPLQQQQPFTYGYPQPQWSGGQVNSNETTLWSQGCNENKVFERNLQYSNSFPARATWTSGAASVPTVILMFSGTQMEALGVANDLCCNYVIIVPKDFKLLQLQINKEN >fgenesh2_kg.6__2500__AT5G25130.1 pep chromosome:v.1.0:6:11129427:11143735:1 gene:fgenesh2_kg.6__2500__AT5G25130.1 transcript:fgenesh2_kg.6__2500__AT5G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B11 [Source:UniProtKB/TrEMBL;Acc:D7M3U7] MSLWYIIVAFVFFSSIIIVRNTRTTKTNLPPGPPRLPIIGNLHQLGSKPHRSMFKLSEKYGSLMSLKFGNVSTVVASTPETVKEVLKTFDVECCSRPYMTYPARFTYNLKDLGFSPYSTYWREVRKMTVVELYTAKRVKSFQHTRKEEVASLVDFIKQAASLEKSVNLNNKLMKLAGSVICRVAFGINLKGSKLENTYEEVIQGTMEVVGSFAAADYFPVIGTIIDRITGLHSKCEKIFKAMDAFFDQSIKHHLEDESIKDDITALLLKMERGETGLGEFQLTRDHTKGILFNILNAGIDTTAQVMTWVMTHLIKNPRILKKAQAEVREVIKNKDDIAEEDIERLEYLKMVIKETLRINPALPFLIPREASKDIEIGGYDIPKKTWIYVNIWALQRNPNVWKDPEAFIPERFMDSEIDYKGLNFELLPFGSGRRMCPGIGMGMALVHLTLINLLYRFDWKLPEGMKVEDVDLEESYGLVCPKKVPLQLIPVLTQWT >fgenesh2_kg.6__2501__AT5G25150.1 pep chromosome:v.1.0:6:11153513:11158462:1 gene:fgenesh2_kg.6__2501__AT5G25150.1 transcript:fgenesh2_kg.6__2501__AT5G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide binding protein [Source:UniProtKB/TrEMBL;Acc:D7M3U9] MDPEQINEFVVGYLKKKGFNSAAKDLESYHHHNNNGSSFTSTDYHNDPDLTKLIRSFSQQEDDPTRYREGYSNLRSWAYNSLDLYKHELLRVMYPVFIHCYMDLVGKGHTQEARGFFNSFRRDHEMVHLRDLQKLEGVLAPSHLEEMEFARSLRKSKVNIKISKYSYDLLIQYLQRTKSTLMLGIINEHINFQVYSGQPNSSSDDIEAVTIFGSFQDTANHINQKEIQWGLLEDSLEDRLEKTGGLLSDSEKGQGESKDGDADDSKKRSTEIGKQGSSLKKLKKDKVGNATAKVARLETITVPPAPRVKPELALPVMSTDVEQSILEDLRNRVQLSSVVMPSVSFYTFVNTHNGLNCSSISHDGSLVAGGFSDSSIKVWDMAKIGQAGSGVLQGENDTNDQIMGPNGRRSYTLLLGHSGPVYSATFSPPGDFVLSSSADTTIRLWSTKLNANLVCYKGHNYPVWDVQFSPFGHYFASCSHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHPNCNYIATGSSDKTVRLWDVQTGECVRIFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLLTARCITPLMGHNSCVWSLSYSGEGSLLASGSADCTVKLWDVTSSTKLTKAVEKNGNTNRLRSLRTFPTKSTPVHALRFSRRNLLFAAGALSKPAS >fgenesh2_kg.6__2504__AT5G25180.1 pep chromosome:v.1.0:6:11173855:11175440:-1 gene:fgenesh2_kg.6__2504__AT5G25180.1 transcript:fgenesh2_kg.6__2504__AT5G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B14 [Source:UniProtKB/TrEMBL;Acc:D7M3V2] MNWWFIIVSASFFFAFILIAKNTRKTKKNLPPGPPRLPIIGNLHQLGSKPQRSLFKLSEKYGSLMSLKFGNVSAVVASTPETVKDVLKTFDVDCCSRPYMTYPARVTYNLNDLAFSPYSKYWREVRKMTVLELYTAKRVKSFQNVRQEEVASFVDFIKQSASLEQPVNMKQKVGFGINLEGSKLANTYEEVIQGTMEVLGSFAAADYFPIIGKIIDRITGLHSKCEKVFKSMDSFFDESIKRHLEDANIKDDIIDLLLKMERGEMGLGEFQLTRNHTKGILLNVLIAGVDTSGHTVTWVMTHLIKNPRILRKAQAEVREVIKNKDDIAEEDIERLEYLKMVIKETLRINPLVPLLIPREASKDIEIGGYDIPKKTWIYVNVWALQRNSNVWKDPEAFIPERFMDSEIDYKGLDFELLPFGSGRRMCPGMGMGMALVHLILINLLYRFDWKLPEGMNVEDVDLEESYGLVCPKKVPLQLIPVLTQWT >fgenesh2_kg.6__2505__AT5G25190.1 pep chromosome:v.1.0:6:11189437:11190449:-1 gene:fgenesh2_kg.6__2505__AT5G25190.1 transcript:fgenesh2_kg.6__2505__AT5G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRFRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRARTNFPYNPNAIPTSSSKLLSATLTAKLHKCYMASLQMTKQTQTQTQTQTARSQSPDTDGVTANGNQFNREVGVTETTEIKWEDGNVNMQQNFRPLEEDHIEQMIEELLHYGSIELCSVLPTQTL >fgenesh2_kg.6__2506__AT5G25210.1 pep chromosome:v.1.0:6:11202216:11204205:1 gene:fgenesh2_kg.6__2506__AT5G25210.1 transcript:fgenesh2_kg.6__2506__AT5G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDDWTKCAMRDGEVVAELLVTLKEAKVIKNPIVAALRWGIRQPRSRCPRKEAESRCSPSTPLSWSGGCGGSSSSPSGYVDGYEATSRQISTVGSRSKNIASLRSPFSERGIENDNLKRMKMNHSQNLAENENRKADGNFLLPDLNIMPCDENSDHFSSLGVETTLYGIRIIS >fgenesh2_kg.6__2508__AT5G25220.1 pep chromosome:v.1.0:6:11224173:11226709:1 gene:fgenesh2_kg.6__2508__AT5G25220.1 transcript:fgenesh2_kg.6__2508__AT5G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHNHLSQDLSFNHFTDQHQPPPPQPPPPPPQQQQHFQEAPPPNWLNTALLRSSVNNNFLNLHTATANTTTASSSDSPSSAAAAANQWLSRSSSFLQRNNNATASTVVGDGIDDVTGGAETMIQGDMKSGGGENKNDGGGAAAADGVVSWQNARHKAEILSHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVAKYSALGAAAQGLVGDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGMGATMSDDEDEQVESDANLFDGSLDVLGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTVLKNKRKSNAGDNSGRERFA >fgenesh2_kg.6__2509__AT5G25240.1 pep chromosome:v.1.0:6:11229079:11229565:-1 gene:fgenesh2_kg.6__2509__AT5G25240.1 transcript:fgenesh2_kg.6__2509__AT5G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M3V7] MATDRENLLADDYEETATFCGCGFFRKLCVVRWRRRDDESRSRGGWSGCLQEERGGKWGSEKLKGLKEISEKIAGPKWKNFIRSFSSGRKKMKRDVDFTYDLKNYSLNFDDGGCGDGEDSSPERFVAP >fgenesh2_kg.6__250__AT5G03415.1 pep chromosome:v.1.0:6:979857:982809:1 gene:fgenesh2_kg.6__250__AT5G03415.1 transcript:fgenesh2_kg.6__250__AT5G03415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSNSNHNHHDDGSGRSNNNNNNPSTRSWGTAVSGQSVSTSGSMGSPSSRSEQTITVATSASDSTFLRMNNLDIQGDDAGSQGASGIKKKKRGQRAAGPDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFALPNNDGTSPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWRGLPRTSLSDIEELKNERLSLRNRIEKKTAYSQELEEQYVGLQNLIQRNEHLYSSGNAPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNFVLKTMKFCDQPPQQPNGHNNSQVVSHNFTPENSNEGPSTGPAPQADMYQSHLQQQQHQQHSQLQIIRTPETNNVTSVADTGPVKSPSLPGIMNSSVKPEN >fgenesh2_kg.6__2510__AT5G25250.1 pep chromosome:v.1.0:6:11231565:11233835:1 gene:fgenesh2_kg.6__2510__AT5G25250.1 transcript:fgenesh2_kg.6__2510__AT5G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVARASQYLAITGAGIEDIKLSKKSWVFPWQSCTIFDVSPVNYTFKVQAMSAEKLPFVLPAVFTIGPRVDDDEALILYARLISPHDKESNHVHELVEGVIEGETRVLAASMTMEEIFKGTKEFKKEVFDKVQLELNQFGLVIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQARIDVSEAKMKGEIGAKERTGLTLQNAAKIDAESKIISMQRQGEGTKEEIKVKTEVKVFENQKEADVAKANAELAMKKAAWTKDAQVAEVEATKAVALREAELQTQVEKMNALTRTEKLKAEFLSKASVEYETKVQEANWELYNKQKQAEAVLYEKQKQAEAQKAQADASFYSKQKEAEGLVALASAQGTYLRTLLDAVQNDYSCLRDFLMINNGIYQEIAKTNAMAVRDLQPKISVWNHGGEQGGGSGNAMKDIAGLYKMLPPALDTVYEQTGMKPPAWIGTLGDVEPKQVTRN >fgenesh2_kg.6__2511__AT5G25270.1 pep chromosome:v.1.0:6:11247194:11251435:-1 gene:fgenesh2_kg.6__2511__AT5G25270.1 transcript:fgenesh2_kg.6__2511__AT5G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNGKDEIMVEASQCASAMVEIKIKTLDSQTYTLRVDKCIVSAVLGSLGISNPEGGIEGIDNMGPLHERLSRSSGPSTVRDSSGGRAATPNAVDQTSTPLTSSQPAAIPDSLTTLSEYLNHLRQEFAANGSNANNLQDSENSMGNVQDSASTTGESRIPRPSHLAEVLQSTRQLLIGEVAACLTNLSRQLVDHVNVTDPPTRRLCQSNMLQSGSLLESLGISLLELGRATMMLRLGQTPDDAVVNAGPAVFISPTGRNPLPSHSSRLGTSIGGLQAGTAHSNPFAGQSLASAPRNIEIRIRTGSWVPASGTNQREESTTQQTPGQSIPSAPSSTTDSAPSTRGSAEPPRNPVALVIPVVARYQQISLGERSSTGLDGVHQPVTESSRQPQSASTPGREGDSSASPGGRGLSELRNRIHQFLRPLSRREHQAGSTESQGAANPSATASTETNEAVANAQAEPATTMDEGNFISSVLQQIMPFISQNVASSSSGEAATGRGSNSSRQASSREAQEEEGTARGNSNRRPEPPSPPESKRQRRE >fgenesh2_kg.6__2513__AT5G25280.2 pep chromosome:v.1.0:6:11276820:11279960:1 gene:fgenesh2_kg.6__2513__AT5G25280.2 transcript:fgenesh2_kg.6__2513__AT5G25280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M3W7] MAITSSRTTRSNGSALRSKSPSGRFCGVYSSSSSPSSSGFASSTSSSFSSPSTAFFSSHNQNNHHHNHQHRSASPTRVNLFTSAPMTQSFRYSIDNRSISPNRSIAVSSNKPSNHHKIPDSRRRCMCSPTTHPGSFRCSLHKNVANPHGQGTGTYPTNSLNMRRSAMTNSLVRIGGVEGEWVRRALTTLIRPSSHQLKRRSAYEPRRSRLASMSKAED >fgenesh2_kg.6__2516__AT5G25310.1 pep chromosome:v.1.0:6:11319855:11321101:1 gene:fgenesh2_kg.6__2516__AT5G25310.1 transcript:fgenesh2_kg.6__2516__AT5G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7M3X2] MEKRFKVYVYEEGEPPLVHDGPCKSVYAVEGRFITEIEKMRTKFRTYDANQAYVYFLPFSVTWLVRYLYEGNSDAKPLRTFVSDYIRLVSTNHPFWNRTNGADHFMLACHDWGPLTSQADNDLFNTSIRVMCNANSSEGFNPSKDVTLPEIKLYGGEVDPKLRLSKTLSASPRPYLGFFAGGVHGPVRPILLNHWKQRDPDMPVYEYLPKHLNYYDFMRSSKFCFCPSGYEVASPRVIEAIYSECIPVILSVNFVLPFTDVLRWETFSVLVDVSEIPRLKEILMSISDEKYEWLKRNLRYVRRHFELNDPPKRFDAFHLTLHSIWLRRLNLRLT >fgenesh2_kg.6__2518__AT5G25330.1 pep chromosome:v.1.0:6:11327251:11328523:1 gene:fgenesh2_kg.6__2518__AT5G25330.1 transcript:fgenesh2_kg.6__2518__AT5G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALYFILLVCVPLGVIMTFTSPRLAITVAVTKPAVLVIQNSNNTLTSPRVITSQPLDHDDLLLRQASKVDLNPSPKFPKKLAFMFLTTNSLPFAPLWELFFNQSSDHKSLYNVYVHVDPTQKHEPGSYGTFHNRIIPSSKPAYRHTPTLISAARRLLAHALLDDPSNYMFILLSPSCIPLHSFNFTYKTLVSSTKSFIEILKDEPGWYERWAARGPYAMFPEVPPEEFRIGSQFWTLTRAHAQLVVSDVEIWSKFNKSCVRKDICYPEEHYFPTLLHMRDPQGCVSATVTHVDWSVNEHGHPRTYKPSEVRAELIQKLRSARLRYGDGNRTRKDPFLFARKFSPAGISQLMNITRNVIFNDLALV >fgenesh2_kg.6__251__AT5G03420.1 pep chromosome:v.1.0:6:983021:986592:1 gene:fgenesh2_kg.6__251__AT5G03420.1 transcript:fgenesh2_kg.6__251__AT5G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISQIPFSLSFPSLEFRKPLLYYHRHHQPQLFVSYLNYTKKKHSFVCFACSIKQTRVRKRVKSNEELRSEIMEFVASAGLPQGHVPSMKELSAHGRVDLANIVRRRGYKFIKELVANSDTEDCNELVSENNTNTETGASGVCLEDFLSTFLIYLSGVEGQGEEALDVVDGNLSTELPSSHSSNEAEEQASLSKDEFISSSSDDGVLSLENSLSNLGDSNHSGEITENNFKVESVELNERADIENSSSEASISANYSQVLDDTSSRPTDAEAGNVLMTEDEKLNGVDKDFSLTFDHYTSPTYNHYTSPDLSYTKHVDIASGSSYDLTSENTMANAENFQNQQIDDMAENRSGSADDSLVESEDKDWMSGLSSSTSSIEEKTTRFIQNGYLDTVDDDENGIPNESCPEDTKEGVETTKGGAYIGDSYGGQRSISMPPNGSALALEEVTHAKELNSSDRNSDQRDGSADLDTDSHDETMKRENQVEIDRLRFMLHQKELELSRLKEQIEKEKLSLSVLQRQAETEIQKAQMLISEKEVELRDAEESLSGLQEVVIEYCGDGNVVEVTGSFNGWQHRVGMELQASKSIGKQKCWSTLLWLYPGTYEIKFIVDGQWITDPQKDSVTRGHITNNILIVDS >fgenesh2_kg.6__2520__AT5G25350.1 pep chromosome:v.1.0:6:11329992:11332815:-1 gene:fgenesh2_kg.6__2520__AT5G25350.1 transcript:fgenesh2_kg.6__2520__AT5G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ein3-binding F box protein 2 [Source:UniProtKB/TrEMBL;Acc:D7M3X6] MSGIFRFSGDEDFFLGGSSMYLSPGSCPGVYFPARKRLRIAAPSVFSGFEEKQTSIDVLPDECLFEILRRLPSGEERSACACVSKHWLNLLSSISRSEVNESVQDVEGEGFLSRRLEGKKATDLRLAAIAVGTSSRGGLGKLQIRGSGFDSKVTDAGLGAVAHGCPSLRVLSLWNLPAVSDMGLSEISRSCPMIEKLDLSRCPGITDNGLVAIAENCVNLSDLTIDSCSGTLYQSEIYLYQELPTYWRSRCCLPLGPSWFLLDETVTDLVLHGLQGVNEKGFWVMGNAKGLKKLKSLSVMSCRGMTDIGLEAVGNGCPDLKHVSLNKCLLVSGKGLVALAKSALSLESLKLEECHRINQVGLMGFLMNCGSKLKAFSLANCLGISDFNLESPLSSPSCSSLRSLSIRCCPGFGDASLAFLGKFCHQLQDVELCGLNGVTDAGVRELLQSNNVGLVKVNLSECINVSDNTVSAISVCHGRTLESLNLDGCKNITDTSLVAVAKNCYSVNDLDISNTLVSDHGIKALASSPNHLNLQVLSVGGCSAITDKSKACIQKLGRTLLGLNIQRCGRISSSTVDTLIENLWRCDILY >fgenesh2_kg.6__2521__AT5G25360.1 pep chromosome:v.1.0:6:11336689:11339511:-1 gene:fgenesh2_kg.6__2521__AT5G25360.1 transcript:fgenesh2_kg.6__2521__AT5G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCEIIPSWIYQLFGCMGGCFGCCNKPPLIVAVDEPSKGLRIQGRLVKKPSVSDDFWSTSTCEMDNSTLQSQRSMSSISFTNNTSTSASTSNPTEFVNHGLNLWNQTRQQWLANGTSHKKAKLREPTISWNATYESLLGMNKRFSRPIPLPEMVDFLVDVWEQEGLYD >fgenesh2_kg.6__2526__AT5G25380.1 pep chromosome:v.1.0:6:11365507:11367947:-1 gene:fgenesh2_kg.6__2526__AT5G25380.1 transcript:fgenesh2_kg.6__2526__AT5G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCA2_1 [Source:UniProtKB/TrEMBL;Acc:D7M3Y2] MYRVSSKHPNATKEAISTTKIRDNNVRITRSKAKALGVSMSPSKPAFKQEPKRVARPSNKRMASDVTAYKHKRRAVLKDVTNTLAERAEGDIKACKHGQKETKQISEDGLVDVDAEKSKLAEDLSKIRMVESLDASAPKQKEDGSDVADYLQIVDIDSNVQDPQFCSLYAASIYDRSHVAELEQRPSTSYMVQVQRDIDPNMRGILIDWLVEVSEEYKLTSDSLYLTVNLIDRFMSHNYIEKQRLQLLGVTCMLIASKYEEICAPRLEEFCFITDNTYTRLEVLSMEIQVLNFLHFRLSVPTTKTFLRRFIHAAQASDKVPLIEMEFLANYFAELTLTEYTFLRFLPSLIAASAVFLARWTLDQSNHPWNPTLQHYTRYKTSALKNTVLAMEDLQLNTSGSTLIAIRTKYNQQKFKRVATLTSPERVTTLFSR >fgenesh2_kg.6__2527__AT5G25410.1 pep chromosome:v.1.0:6:11396316:11398462:1 gene:fgenesh2_kg.6__2527__AT5G25410.1 transcript:fgenesh2_kg.6__2527__AT5G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGVRIALVMNLLPFLFSPALIRSDPNMVPLRTFKIRENVTYDCINIYKQPGLEHPLLQNHKIQMKPSFARHELKNQTDNTKTYKNKMGCPHGTVPILRNSKEYITNAQLFAEKYVYPLSGDSPGTHIAGVKSQNGPYHGVEASFSIHNINIERDQASYAQLYVGSGLNNKVNFIQAGWMINPSLFGDGDVWSYGFWKGENGKGCYNTACPGFVQVSQEVPIAQPLDQPHEHLLHYSIHQDKQTGNWWITKLILNAPNIDVGYWPKELFNLIGTGANMVGVGGAVQASHQGSSPPMGNGKFPTGDRKESAMFANIEVLNSNYEQRRIDSFPMEKLLDSPKCYGIRTDKVKLLDFTFNYGGPGGNSCGV >fgenesh2_kg.6__252__AT5G03430.1 pep chromosome:v.1.0:6:986667:997049:-1 gene:fgenesh2_kg.6__252__AT5G03430.1 transcript:fgenesh2_kg.6__252__AT5G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoadenosine phosphosulfate reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LWN9] MEIDKAIGESDDKRLKTKYNNAIFVIKRALALYSIEEVAFSFNGGKDSTVLLHLLRAGYFLHKKEQTCSNGGLSSFPVRTIYFESPSAFTEINAFTYDAAQTYNLQLDIIRQDFKSGLEALLKANPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVKYCSLYDQGYTSIGSIHDTVPNSLLSVNDNSSKEKFKPAYLLSDGRLERAGRVKKIASLKYDVETESQKHEVLLASVIAVGDEILSGTVEDQLGLSLCKKLTSVGWSVQQTTVLRNDIDSVSEEVDRQRSTSDMVFIYGGVGPLHSDVTLAGVAKAFGVRLAPDEEFEEYLRHLISEQCTGDRNEMAQLPEGITELLHHEKLSVPLIKCRNVIVLAATNTEELEKEWECLTELTKLGGGSLIEYSSRRLMTSLTDVEVAEPLSKLGLEFPDIYLGCYRKSRQGPIIICLTGKDNARIDSAAQALCKKFKKDVFVEIK >fgenesh2_kg.6__2539__AT5G25475.2 pep chromosome:v.1.0:6:11432838:11434797:-1 gene:fgenesh2_kg.6__2539__AT5G25475.2 transcript:fgenesh2_kg.6__2539__AT5G25475.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRGAIDKIQGNVSKPCFWKSLSPGQNWKSKPMRIIPEEFVRRTPGAFEHRVVFSVRWENSWQLWLEREKNELFMIEEDWNEFVDDNHLGPNDNLFFRHDETMNLEVQIFKNNGDEIIDVPLEVEPETEPFHPTPKKSHRETTAASASVSGGSANGGTNCRGRQRSNDVKNPERYLLNPENPYFVQAVTKRNDVLYVSRPVVQTYNLKFGPVKSTITYLLPGGKKEEGETKIYNGQPCFSGWSVLCRRHNLKIGDSVVCELERCGGLVTAVRVHFVNKY >fgenesh2_kg.6__253__AT5G03440.2 pep chromosome:v.1.0:6:997772:999345:-1 gene:fgenesh2_kg.6__253__AT5G03440.2 transcript:fgenesh2_kg.6__253__AT5G03440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANNEPGNVSCNSSNDKEKSSEKIFVNHAEIAWQEMRKKWVGDPSNRTSEMPAEPVIGFNATYEDLLTSNTPFNKPIPLAEMVDFLFDIWHGDGLFD >fgenesh2_kg.6__2540__AT5G25480.1 pep chromosome:v.1.0:6:11435032:11437734:-1 gene:fgenesh2_kg.6__2540__AT5G25480.1 transcript:fgenesh2_kg.6__2540__AT5G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA methyltransferase-2 [Source:UniProtKB/TrEMBL;Acc:D7M3Z7] MAEEELQRIDEKKPWRVLEFYSGIGGMRYSLMASGVVAEVVEAFEINDSANDVYQHNFKHRPYQGNIQSLTAVDLDKYNADAWLLSPPCQPYTRQGLQKQSGDARAFSFLRILELIPHTSKPPQILFVENVVGFETSDTHMEMIDTLTKLNYVTQEFILSPLQFGVPYSRPRYFCLAKRKPLPFKSQHSNNKLLWSPSPLYGCDDQVEVGKCQAEEGLDKLLQFCEPVEKFLESAAHVDGEPSSVDDSENGSKDCYGQEDDSVPDSVHQYLVPVSLIERWGNAMDIVYPDSKRCCCFTKSYYRYVKGTGSLLATVQPKIKGKESCLKEQRLRYFTPREVANFHSFPEDFVFPKHISLRQRYAMLGNSLSVAVVAPLLRYLFDS >fgenesh2_kg.6__2541__AT5G25490.1 pep chromosome:v.1.0:6:11441372:11442411:1 gene:fgenesh2_kg.6__2541__AT5G25490.1 transcript:fgenesh2_kg.6__2541__AT5G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (Ran-binding) family protein [Source:UniProtKB/TrEMBL;Acc:D7M3Z8] MNRPGDWNCRSCSHLNFQWRDSCQRCREPRPGGISTDLLGSFGGRPVSSSFGFNTGPDVRPGDWYCNVGSCGTHNFANRSSCFKCGAAKDEFSSSSAAATTGFIDMNVGPRRGLFGFGSSSGGSGTGRSPWKSGDWICPRSGCNEHNFASRSECFRCNAPKPATEPPY >fgenesh2_kg.6__2543__AT5G25510.1 pep chromosome:v.1.0:6:11447811:11450077:-1 gene:fgenesh2_kg.6__2543__AT5G25510.1 transcript:fgenesh2_kg.6__2543__AT5G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A regulatory subunit [Source:UniProtKB/TrEMBL;Acc:D7M400] MFKQFLSKLPRKSSKSDSGELNRSSSGPVSSPVQRSGTSAGSGPVRSNSGKRMSSAVFPASVVAGIEPLVPFKDVPSSEKLNLFVSKVSLCCVTFDFSEPGKNSIEKDVKRQTLLELLDFVASGSVKFTEPAILAMCRMCAVNLFRVFPPNYRSSSGGENDDDEPMFDPAWPHLQIVYDLLLKFITSPCLDAKLAKKYLDHAFIVRLLDLFDSEDPRERECLKTILHRVYGKFMVHRPFVRKSMSNIFYRFVFETEKHSGIAELLEIFGSIVSGFALPLKEEHKIFLWRVLIPLHKPKSVGNYFQQLSYCITQFIDKEPKLGSVVIKGLLKFWPITNSQKEVMFLGEVEEIVEAMSVMEFQKIMVPLFLRIACCVTSSHFQVSERALFLWNNDQIVNLIGHNRQAILPIMFTALEKNAQNHWNQSVLNLTLNVRKMFCEMDEALFMSCHARFKEDEAKLCSAAEKRKEVWARLENAASMKPITGKTAVLVTPRATSIAC >fgenesh2_kg.6__2544__AT5G25520.2 pep chromosome:v.1.0:6:11450508:11455022:1 gene:fgenesh2_kg.6__2544__AT5G25520.2 transcript:fgenesh2_kg.6__2544__AT5G25520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEHIDLETDTFGETNLIEIVGSNDQHISVSDMEQEPMETSVSGPLAFRFEPEDVPFQSSMLVDTQSLMPQFQLPVAHSYSGESSVSACSILPGAGKRNSPPESQSTLGGSAASEKLDAPNRRVEPVHHRPWLEQFYSESIERGHMPPPATLSSKTEHPPTSAKRSRQMEPASQKSGKQVMNKKQSGLSQGSVKTQNDGNESLRSKMKESLAAALALVHEHEESPKEKKNSETEEASVPIAESNEPASADGTSVTVAEDITPALSTRDDSFGQKNGNGRILSQESSNDTKMNYVNQSDVQKTQFDEVFPCDDVRFSDSIFSGDELLQGNGLSWVLEPVSDFGENEPQKSFEDPELLASKIELELFKLFRGVNKKYKEKGRSLLFNLKDKNNPELRESVMSGKISPERLCNMTAEELASKELSQWRQAKAEEMAEMVVLRDADIDVRNLVRKTHKGEFQVEIDPVDSGTVDVSAEITSHSKPRAKAKSAKSSTKSTLKKNDSNDKNIKLNQGTSSAMTLPPTEEIDPMHGLSMDDEMKDVGFLPPIVSLDEFMESLNSEPPFGSPHEHPPVKEEPASEKSDSKDGSHSKSPSRSPKQSPKEPSESVSSKTELIMTNVTSPKPDAGVKLDTDVSKPEEILLVDNIKEDRIWDGILQLSAASVVSVTGIFKRQKWVISTKDMHEAKFRLNRKQLTKEYIGEKAKTGEWPTMVEVKGRVRLSAFGKFVKELPLSRSRVLMVMNVVCKDGISQSQRDSLFEVTKSYVADQRVGYAEPTSGVELYLCPPRGEILELLSKIISKDHFDEVKCSEDIGLIGVVVWRRAVVASPGSRHKPGFKRQHSSSGAKKSVLAPENKRSSCVNVTNPPPVVNVASMRNHGLVGCDDDDEDVPPGFGPVAAKDDDDLPEFNFSSSSGPVTSFPQPPPQSRSMDQVRELILKYGNSAGSGSKRPWDGHEDDDDDDIPEWQPQVTGHQVQLPPPPPDLSPQFHSRTMARPPAQQQPVAGPSSGWKAYQNTPRQQQYSTRRNRGF >fgenesh2_kg.6__2548__AT5G25560.1 pep chromosome:v.1.0:6:11485594:11489297:1 gene:fgenesh2_kg.6__2548__AT5G25560.1 transcript:fgenesh2_kg.6__2548__AT5G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M407] MGDVYFNHFAEQPQMFDQEKGEMSSNLHPHSINEDSESSTLERVTAESLINKVLGRGLMEHGCPHYRRRCCIRAPCCNEIFGCHHCHNEAKNNINVDQKQRHDIPRHQVEQVICLLCGTEQEVGQICIHCGVCMGKYFCKVCKLFDDDTSKKQYHCDGCGICRIGGRENFFHCYKCGCCYSILLKNSHPCVEGAMHHDCPICFEFLFESRNDVTVLPCGHTIHQKCLEEMRDHYQYACPLCSKSVCDMSKVWEKFDMEIAATPMPEPYQNRMVQILCNDCGKKSEVKYHVVAQKCPNCKSYNTRQTRG >fgenesh2_kg.6__2551__AT5G25570.1 pep chromosome:v.1.0:6:11489696:11491228:1 gene:fgenesh2_kg.6__2551__AT5G25570.1 transcript:fgenesh2_kg.6__2551__AT5G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPSHSDAQLVAHQSPGQQAESDLASLVFEMSQQVQMGMENMLKMVKYEIDQNSVGIKEEIEKSKDFAMEKKRILEEEKDQFQKAAYTILDMLSNSRG >fgenesh2_kg.6__2552__AT5G25580.1 pep chromosome:v.1.0:6:11491632:11494306:1 gene:fgenesh2_kg.6__2552__AT5G25580.1 transcript:fgenesh2_kg.6__2552__AT5G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLRQRKTVVTREPEPKMEEERLCEGLTILSSDSAVKHLRGRWELASVLNFFNVFGPLVSEKLKLTAEEIEMGLIESNTTNAQLHIALLKGIPPVNKTLDDANAWITVLCKKLAPWWPCIAKGEIPITANKGEEISEYQRLDPINRLKILKALCELRVQQDDARTYIQENTKEGDRDSCFRKRKLGGDGKKTSYWFDGNDIQGYRIYREVNEISKKNAGSDLSCLSWETEATNLDEFQRVARELSSSKASSLAAIGGMIETEAIPVVEKYHKKKEKAMKRKMKQEMVVNFSLPLRTTRSCRNRMPATYTFDEYDKMISDAVEETEDTDGDEVEGREQQSTSNDSSEGNKVKSTEDVGDEALEIHNEDEKENEKSKEDAEPKEEFGAKNRLRQRVTRNSAIC >fgenesh2_kg.6__2554__AT5G25610.1 pep chromosome:v.1.0:6:11504602:11507167:-1 gene:fgenesh2_kg.6__2554__AT5G25610.1 transcript:fgenesh2_kg.6__2554__AT5G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLPVICLLGSFMVVAIAADLTPERYWSTALPNTPIPNSLHNLLTLDFTDEKSTNVQVGKGGVNVNTHKGKTGGKTAVNVGKGGVRVDTGKGKPGGGTHVSVGSGKGHGGGVAVHTGKPGKRTDVGVGKGGVTVHTRHKGRPIYVGVKPGANPFVYNYAAKETQLHDDPNAALFFLEKDLVPGKAMNVRFNAEDGYGGKTAFLPRGEAETVPFGSEKFSETLKRFSVEAGSEEAEMMKKTIDECEAKKVGGEEKYCATSLESMVDFSVSKLGKYHVRAVSTEVAKKNAPMQKYKIAAAGVKKLSDDKSVVCHKQKYPFAVFYCHKAMMTTVYAVPLEGENGMRAKAVAVCHKNTSAWNPNHLAFKVLKVKPGTVPVCHFLPESHVVWFSY >fgenesh2_kg.6__2556__AT5G25630.1 pep chromosome:v.1.0:6:11549529:11551684:1 gene:fgenesh2_kg.6__2556__AT5G25630.1 transcript:fgenesh2_kg.6__2556__AT5G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M4J8] MGDVEEKKKVLPMSEPEKSTPIKYRFCKSCGEGSSCRTVRSRTKLMNVLIERGRPHEAQTVFKTLAETGHRPSLISYTTLLAAMTVQKQYGSLSSIVSEVEQSGTKPDSIFFNAVINAFSESGNMEDAVQALLKMKELGLNPTTSTYNTLIKGYGIAGKPERSSALLDLMLEESNVDVRPNIRTFNVLVQAWCKKKKVEEAWEVVHKMEECGVRPDTVTYNTIATCYVQKGETVRAESEVVEKMVMKEKAKPNGRTCGIVVGGYCREGRVRDGLRFVRRMKEMRVEANLVVFNSLINGFVEVMDRDGIDEVLTLMKECNVKADVITYSTVMNAWSSAGYMEKAAQVFKEMVKAGVKPDAHAYSILAKGYVRAKEPKKAEELLETLIVESRPNVVIFTTVISGWCSSGSMDDAMRVFNKMCKFGVSPNIKTFETLMWGYLEVKQPWKAEEVLQMMRGFGVKPENSTFLLLAEAWRVAGLTDESNKAINALKCKDIEIAKLEKLYQKQSSGSSLNLLQIPVGKRELPTAKAMNLSACKLGARVPIICQKQSQAQFGISGQFVHSCTVFLN >fgenesh2_kg.6__2559__AT5G25752.1 pep chromosome:v.1.0:6:11554759:11556580:-1 gene:fgenesh2_kg.6__2559__AT5G25752.1 transcript:fgenesh2_kg.6__2559__AT5G25752.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid family protein [Source:UniProtKB/TrEMBL;Acc:D7M4K1] MSQLLHVHRLSLPQSSLRFRFPPLHRRRAASSPSNSTESTVFRSLTVSRSQITCRFSQSDITPQFELDKAQDNRKPQKRANGIFWIILINLGIYVADHFFQVRGIKSLYLYHNFPAWYQFVTATFCHANWNHLSSNLFFLYIFGKLVEEEEGNFGLWLSYLFTGVGANLVSWLVLPRNAVSVGASGAVFGLFAISVLVKMSWDWRKILEVLILGQFVVERVMEAAQASAGLSGTIYGGYSLQTVNHIAHLSGALVGVVLVWLLSKFPSESVDQEVKKSPQK >fgenesh2_kg.6__255__AT5G03450.1 pep chromosome:v.1.0:6:999672:1002945:-1 gene:fgenesh2_kg.6__255__AT5G03450.1 transcript:fgenesh2_kg.6__255__AT5G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LWP1] MPPRRRYPFSGPGDIIARLIEFEDEYEGFVEEDFGYVRHGEEEDEEEEEEEDEEDSERQTREYIDVVESPIRVSQNESGENENQIRSQGVCSSSSGSQEHVEWKHGDTEGLSCSICMEVWTSGGQHQVCCLPCGHLYGFSCINKWFQQRRSGGKCPLCNKTCSLRDVRKIYASRVAAVDDEAHKRIVFLEAKLSSIEQKTASWSNKEAQWKKIEAELRLEVNKLKKKIANMESTTKGAQSQSNAASQEKYISGHNIYQEHHGQSPYCSFRHQGELLINGGRIFDIDGGRQILLLARRLPGVGGTFVLTQMSLHSSGEIDDILLPPTTRAIKDLHLSPHSNGLAVFGSLGKKLSVISLESHNTVLSYDLPAAPWSCSWDLNSSHHIYAGLQNGMVLVFDMRQTTGPFASMTGVTTNPVHTIHHLSGNSTPTSDVRTLLSASSIGLCQWNISGSEGSPSLVSETGNPGICISSSYCPRSDHIVASYRPRVGSSDDTVPTQPSLTQTGANNSNSNGVEGFHVCHKRRGVDSYYQKLSSTQAIVDPIRLPRTAIIDFGGERKELFASCDESTRNLILQDPSTFTITQLFPLSSHLPLQDLKYAHVNGSGLLGLLTDDRLQLLRKESPLR >fgenesh2_kg.6__2560__AT5G25754.1 pep chromosome:v.1.0:6:11557877:11562787:1 gene:fgenesh2_kg.6__2560__AT5G25754.1 transcript:fgenesh2_kg.6__2560__AT5G25754.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit L [Source:UniProtKB/TrEMBL;Acc:D7M4K2] MASNYEYEEGPAASNERESGGESGYDPNIVADSVKSFVVHMYRHIREKNVYEIHQMCETSFQSISERLFKETPWPSVEAIAPYVDNDHVFCLLYREMWFRHLYARLSPTLKQRIDSYDNYCSLFQVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQFRAKLKNKTEQEIALLRQHDKAWNVYGVLNFLQALVEKSCIIQILEHDKHGLEQFTATDGYDYSGGSNVLKVLGYFSMVGLLRVHCLLGDYHTALKWLQPIDITQQGVYTSVIGCHIATIYHYGFANLMLRRYLDSVREFNKILLYIFKTKQYHQKSPQYEQLLKKNEQMYALLALCLSLCPQPKLVDDSVSSQLRDKYGEKMMRMLRYDDEAFGIYDELFSYACPKFITPSPPCFEEPLVNYNQDAYRLQLKMFLYEVKQQQLLSGVRTFLKVYSSISLAKLANCMEVDEPTLRTILLTYKHKTHSVDSDGRIISNADIDFYINNDMIYVVESKPAKRYGDFLLRQIAKLDGVINDMDRVKLE >fgenesh2_kg.6__2567__AT5G27640.2 pep chromosome:v.1.0:6:11592582:11657252:-1 gene:fgenesh2_kg.6__2567__AT5G27640.2 transcript:fgenesh2_kg.6__2567__AT5G27640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit B [Source:UniProtKB/TrEMBL;Acc:D7M4L0] MAAVVDIDALSAQLGVNWSEVNLDSIQLPPGNNFGIESDDEAVYHDDQSEFDTGFGNIIVVDHLPVVPKEKFEKLEGVLKKIYNQLGVIKENGLWMPVDPDTKMTLGYCFIEFNTPQETELDCLTMVVYILRVCFNVWGSLQEAQNAKEKSHGYKLDKTHIFAVNMFDDFDRLMNVREEWEPPQARPYVPGENLQKWLTDEKARDQLVIRSGPDTEVYWNDARQKKPEPVHKRPYWTESYVQWSPLGTYLVTLHKQGAAVWGGADTFTRLMRYQHSMVKLVDFSPGERYLVTYHSQEPSNPRDASKVEIKVFDVRTGRMMRDFKGSADEFSIGGPGGVAGASWPVFRWAGGKDDKYFAKLSKNTISVYETETFSLIDKKSMKVDNVVDICWSPTDSILSLFVPEQGGGNQPAKVALVQIPSKVELRQKNLFSVSDCKMYWQSSGEYLAVKVDRYTKTKKSTYSGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDQPRPDVSFYSMKTAQNTGRVSKLATLKAKQANALFWSPTGKYIILAGLKGFNGQLEFFNVDELETMATAEHFMATDIEWDPTGRYVATAVTSVHEMENGFTIWSFNGNLVYRILKDHFFQLAWRPRPPSFLTAEKEEEIAKNLKKYSKKYEAEDQDVSLLLSEQDREKRRALKEEWEKWVMQWKSLHEEEKLERQDLRDGEVSDVEEDEYEAKEVEFEDLIDVTEEIVQESM >fgenesh2_kg.6__256__AT5G03455.1 pep chromosome:v.1.0:6:1007053:1008614:1 gene:fgenesh2_kg.6__256__AT5G03455.1 transcript:fgenesh2_kg.6__256__AT5G03455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARSISYITSTQLLPLHRRPNIAIIDVRDEERNYDGHIAGSLHYASGSFDDKISHLVQNVKDKDTLVFHCALSQVRGPTCARRLVNYLDEKKEDTGIKNIMILERGFNGWEASGKPVCRCADVPCKGDCA >fgenesh2_kg.6__2574__AT5G25880.1 pep chromosome:v.1.0:6:11678926:11681771:1 gene:fgenesh2_kg.6__2574__AT5G25880.1 transcript:fgenesh2_kg.6__2574__AT5G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDQTQISDEYVSGNKSGVGGGISDVYGEDSATLDQLVTPWVTSVASGYTLMRDPRYNKGLAFTDKERDTHYITGLLPPVVLSQDVQERKVMQFEDFANHHAFELLSKYCSSHLVFNDDIQVTASVVLAGLIAAQKVLGKSLADHTFLFLGAGEAGTGIAELIALKISRETGKPIDETRKKIWLVDSKGLIVSSRKESLQHFKQPWAHDHKPVKELLGAVNAIKPTVLIGTSGVGKTFTKEVVEAMATLNEKPLILALSNPTSQAECTAEEAYTWTKGRAIFASGSPFDPVEYDGKKFMPGQANNCYIFPGLGLGLIMSGAIRVRDDMLLAACKEALASQVTEENFANGLIYPPFANIRKISANIAASVGAKTYELGLASNLPRPKDLVKMAESCMYSPVYRNFR >fgenesh2_kg.6__2576__AT5G25930.1 pep chromosome:v.1.0:6:11745411:11748804:1 gene:fgenesh2_kg.6__2576__AT5G25930.1 transcript:fgenesh2_kg.6__2576__AT5G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7M4M0] MTLLPLPFLFFFLTSIPLSVFSQSNDQSTLLNVKRDLGDPPSLQLWNNTSSPCNWSEITCTAGNVTGINFKNQNFTGTVPTTICDLSNLNFLDLSFNYFAGEFPTVLYNCTKLQYLDLSQNLFNGSLPVDIDRLSPELDYLDLAANAFAGDIPKNIGRISKLKVLNLYQSEYDGSFPPEIGDLVELEELRLALNDKFTPAKIPTEFGKLKNLKYMWLEEMNLIGEISAVVFENMTDLKHVDLSVNNLTGRIPDVLFGLKNLTELYLYANDLTGEIPKSISATNMVFLDLSANNLTGSIPVSIGNLTKLEVLNLFNNELTGEIPPVIGKLPELKEFKIFTNKLTGEIPAEFGVYSKLERFEVSENQLTGKLPESLCKRGKLQGVVVYSNNLTGEIPESLGDCGTLLTVQLQNNGFSGKFPSRIWTASSMYSLQVSNNSFTGELPENVAWNMSRIEIDNNRFYGVIPRKIGTWSSLVEFKAGNNRFSGEIPKELTSLSNLLSIFLDENDLTGELPDDIISWKSLITLSLSKNKLSGKIPRALGLLPRLLNLDLSENQFSGEIPPEIGSLKLTTLNVSSNRLTGGIPEQLDNLAYERSFLNNSNLCADKPVLNLPDCRKQRRGSRGFPGKILAMILVIAVLLLTITLFVTFFVIRDYTRKQRRRGLETWKLTSFHRVDFAESDIVSNLMEHYVIGSGGSGKVYKIFVESSGQCVAVKRIWDSKKLDQKLEKEFIAEVEILGTIRHSNIVKLLCCISREDSKLLVYEYLEKRSLDQWLHGKKKGGTVAANNLTWPQRLNIAVGAAQGLCYMHHDCTPAIIHRDVKSSNILLDSEFNAKIADFGLAKLLIKQNQQPHTMSAVAGSFGYIAPEYAYTSKVDEKIDVYSFGVVLLELVTGREGNNGDEHTNLADWSWRHYQSGKPTAEAFDEDIKEASTTEAMTTVFKLGLMCTNTLPSHRPSMKEILYVLRQQGLGATKKTATEAHEAPLLVSLSGRRTSKRVEDEDLGFV >fgenesh2_kg.6__257__AT5G03460.1 pep chromosome:v.1.0:6:1009012:1010168:1 gene:fgenesh2_kg.6__257__AT5G03460.1 transcript:fgenesh2_kg.6__257__AT5G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LWP3] VCVMCLVPLFLVPLINLMPRIIDYFMAKLYAWLGWEYRKPARAPPACPFKPVAQNDKATKVAAETGTEGTETIAKPVVAEETGGIKQD >fgenesh2_kg.6__2580__AT5G26000.1 pep chromosome:v.1.0:6:11788904:11801042:-1 gene:fgenesh2_kg.6__2580__AT5G26000.1 transcript:fgenesh2_kg.6__2580__AT5G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGFSLAILLAVVTCKAEEFTCEENEPFTCNQTKLFNSGSFEKDFIFGVASSAYQVEGGRGRGLNIWDGFTHRYPEKGGADLGNGDTTCDSYTNWQKDIDVMDELNATGYRFSFAWSRILPKGKRSRGVNEGGINYYNRLINNTIARNITPFVTLFHWDLPQTLQDEYNGFLNRTIIDDFKDYADLCFELFGDRVKNWITINQLYTVPTRGYALGTDAPGRCSPKIDERCPGGNSSTEPYLVAHNQLLAHAAAVDVYRTKYKQDQGGKIGPVMITRWFLPYDDTPESKEATERAKEFFHGWFMGPLTEGKYPDIMREYVGDRLPEFNETEAALVKGSYDFLGLNYYVTQYAQNNDTIVPPDVHTALMDSRATLTSTNATGHAPGPPFNAGSYYYPKGIYYVMEYFKNKYGDPLIYITENGISTPGDESFDEAVADYKRIDYLCSHLCFLSKVIKEKAVNVKGYFAWALGDNYEFCNGFTVRFGLSYVDFTNVTGDRDLKASGKWYQQFINVTTEDSTNQDLLRSSVSVKNRDRKSLADA >fgenesh2_kg.6__2583__AT5G26030.1 pep chromosome:v.1.0:6:11822436:11825113:1 gene:fgenesh2_kg.6__2583__AT5G26030.1 transcript:fgenesh2_kg.6__2583__AT5G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase [Source:UniProtKB/TrEMBL;Acc:D7M4N4] MEATALSSGFNPLMKRKDYRFPRSYAQRKSLSLIRCDSKERSFGLNNNIAITHRDLRFKTNVFEQAHSVTGDCSFDEARAKTRSLVAEDKIGVLLLNLGGPETLNDVQPFLYNLFADPDIIRLPRPFQFLQGTIAKFISVVRAPKSKEGYAAIGGGSPLRKITDEQADAIRMALQAKNIAANVYVGMRYWYPFTEEAVQQIKKDKITRLVVLPLYPQYSISTTGSSIRVLQDLFRKDPYLAGVPVAIIQSWYQRRGYVNSMADLIEKELQTFSDPKEVMIFFSAHGVPVSYVENAGDPYQKQMEECIDLIMAELKARGVLNDHKLAYQSRVGPVQWLKPYTDEVLVDLGKSGVKSLLAVPVSFVSEHIETLEEIDMEYRELALESGVENWGRVPALGLTPSFITDLADAVIESLPSAEAMSNPNAVLSEDSESSDAFSYIVKMFFGSILAFVLLLSPKMFHAFRNL >fgenesh2_kg.6__2585__AT5G26040.2 pep chromosome:v.1.0:6:11825376:11827888:-1 gene:fgenesh2_kg.6__2585__AT5G26040.2 transcript:fgenesh2_kg.6__2585__AT5G26040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:D7M4N5] MTDTRVISTWTELTRDSAIYLLFTFFAIKVYIFLFCCTRTSEMSSFSMATHPEALRRERILNSKLYFDVPLSKVSIIYSSAYDISFMGIEKLHPFDSSKWGRVCKFLVSDGFLEEKAIVEPLEASKIDLLVVHSENYLNSLKSSATVARITEVPPVAFFPNFLVQQKVLYPFRKQVGGTILAAKLATERGWAINIGGGFHHCTAERGGGFCAFADISLCIHFAFLRLRISRVMIIDLDAHQGNGHETDLGDDNRVYILDMYNPNIYPFDYRARRFIDQNIEVVSGTTTDEYLRKLDEALEVASRNFQPELVIYNAGTDILDGDPLGLLKISPDGITSRDEKVFRFAREKSIPLVMLTSGGYMKSSARVIADSIENLSRQGLIQTRPE >fgenesh2_kg.6__2587__AT5G26070.1 pep chromosome:v.1.0:6:11833992:11834300:1 gene:fgenesh2_kg.6__2587__AT5G26070.1 transcript:fgenesh2_kg.6__2587__AT5G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGLSITLVFLLAIIFTVAEAKNNRKLLPSPTNYQPLYYPSPSPYRPPVTLSPPPPPPAYSRPVALPPTLPIPHPSPHAERFYYRQSPPPPSGKPWWWLL >fgenesh2_kg.6__2588__AT5G26090.1 pep chromosome:v.1.0:6:11840149:11843556:-1 gene:fgenesh2_kg.6__2588__AT5G26090.1 transcript:fgenesh2_kg.6__2588__AT5G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M4P0] HVTVVSYAGRSHFVTTELKIRNGMQGLKRPEIVYHCHAINSGLDYGWRRATRPPLGHSFHVLLEGGEKLEEEIHRCHFRSVLGTADVDIRMTAIDAEICNFKKACAIHEVTPEGITFDGKEWNFEERYPRLIPKKYLEAKWKPWPRRTNRSSGGQGSGRRPSGDVGFKLMMKNEMYGLKRPSVLYRCKSSDKSLRWHISIPHAEFTWDFEVPPFGTGVVIHRCEFKSSQGTAEVEIKTLSMTSTLCGGHLCKYIIRPNGVYFVGFETYYPHNILLRFLEFVRPVDKLVEPWKAWSPRQLKALRERTSNRTRSHDDKDVDEDEQDDD >fgenesh2_kg.6__2589__AT5G26114.1 pep chromosome:v.1.0:6:11861918:11862172:-1 gene:fgenesh2_kg.6__2589__AT5G26114.1 transcript:fgenesh2_kg.6__2589__AT5G26114.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M4P3] MVLKRAVEEDKSVRNKKLKAENSILIRPIILGERCRVLDAEEGDEEHSQRMPKNRIYRPRSMSSISISRTNSNIDMNAIPVEVTS >fgenesh2_kg.6__258__AT5G03470.1 pep chromosome:v.1.0:6:1010900:1013419:1 gene:fgenesh2_kg.6__258__AT5G03470.1 transcript:fgenesh2_kg.6__258__AT5G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A regulatory subunit [Source:UniProtKB/TrEMBL;Acc:D7LWP4] MFKKIMKGANRKASKAEANDSSMYGFDPPGRSGPGSNMIVNHASRGSLVPSSPGSMAATTQPPPMYSVEPLPLFRDVSVSDRQSLFLRKLQICCFQFDFTDTLKNAREKEIKRQTLLELVDFIQSGAGKLSEVCQEEMVKMISVNIFRCLPPASHENTGQEPADLEEEEPYLEPSWPHLQLVYELLLRYIVPSDTDTKVAKRYIDHSFVLRLLELFETEDPREREYLKTILHRIYGKFMVHRPFIRKAMNNIFYRFIYETERHSGIGELLEILGSIINGFALPMKEEHKLFLIRALIPLHKPKPIAMYHQQLSYCIVQFVEKDYKLADTVIRGLLKFWPVTNCTKEVLFLGELEEVLEATQTVEFQRCMVPLFQQIARCLSSSNFQVAERALFLWNNEHVVGLIAQNRGVILPIIFASLERNIESHWNQAVHGLSANIKRMFMEMDPELFEDCQQQYEEKQAKSKQVEEQRQSRWRRLDEAVEEREREDPMITS >fgenesh2_kg.6__2590__AT5G26120.1 pep chromosome:v.1.0:6:11863043:11868908:-1 gene:fgenesh2_kg.6__2590__AT5G26120.1 transcript:fgenesh2_kg.6__2590__AT5G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein 51 [Source:UniProtKB/TrEMBL;Acc:D7M4P4] METSWRFLKSVCILSFLIGACSVYQSLCLVDAQADAIVTLQVDASNMTRRPIPETLFGIFFEEINHAGAGGLWAELVSNRGFEAGGQTIPSNIWPWSIIGDESSIYVVTDRSSCFERNKIALKMEVLCDSNGSSNCCPSGGVGIYNPGYWGMNIEEAKKYKVVFYVRSTGDIDVSVSFTSSNGSLTLASENIIALASDVSNWTKKEMLLEANGTDNGARLQFTTTKKGSIWFDQVSAMPMDTYKKDESDIVALMEIDEVEGKNDSRLQICKTKEEEEEQTTNDLFQMMVDLKPRFIRFPGGCFVEGEWLGNAFRWKETVGAWEERPGHYGDVWKYWTDDGLGHFEFFQLAEDIGAAPVWVFNNGISHNDQVETENVKPFVQEAIDGIEFARGDPQSTWGSVRAAMGHPEPFELKYVAVGNEDCFKSYYRGNYLEFYDAIKKAYPDIKIISNCDASFKPLDHPADYFDYHIYTLAKDLFSRSHDFDNTPRNGPKAFVSEYAVNKTDANNGNLLAALGEAAFLLGLEKNSDIVGMVSYAPLFVNTNDKRWIPDAIVFNSSHLYGTPSYWVQQFFTESSGATLLNSTLKGNSFSIEASAISFQANGKTYIQIKAVNFGEESVNLKVTVTGLIAKFYGSKKKVLTSANVMDENSFSTPEMIVPQESLLEMTEEEDLMFVLPPHSFSSFDLLKQSENIIKMPI >fgenesh2_kg.6__2594__AT5G26160.1 pep chromosome:v.1.0:6:11894762:11898406:1 gene:fgenesh2_kg.6__2594__AT5G26160.1 transcript:fgenesh2_kg.6__2594__AT5G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNDREESSNGQLLKDIKEVSKALYLNNNGPQRPVLSLSPSVRSKSVSRTTEIGVVLSNKKKKLLVPWDWKKPLKAIAHFGQRRFDVCFLLHVHSIEGLPLNLDGTKLVVQWKRKDEEMTTQPSKVLQGTAEFEETLTHRCSVYGSKHGPHRSAKYQVKLFLVYVSPVDAPWLVLGKHWVDFTKILPLSLEELEGTRSTRKWNTSFKLSGLAESAVLNLSFDYSVVSSSVCDSTSEKVMLKRVGSVPSMDHRSSSLDDGKVINQVSPSLSLDLSQSIDFLYEKLNEQNPQRSTETGIKYEHLDDSGDTDFAFTGQGVEMFQQERSRLEESTYPNTESSRIEIIDVHEILKDEDESFFEDSLFIDHLSVAALKHSVDGAPKSSFSSQVISESSESKSPSAMEDSTKKENFLEVKSTYKAAKISTKSLSLDDITESVANDFLNMLELEECSYVYTSDGEPTSPRESLLREFENEAFASRNFLLDLDGEAADVSDTDEKSNDFSFSASSLGVGESKREGKSQLLIDRRKAKVLEDLETENLFREWEFNDKCFENSFCACSDGFGSPIELPVDKGVDLLPLGDNIGPSVWIKGGGCIRSINPLLFRECKDASHLIMQVSVPVVLVSELGSDILEILQSLAASGIEGLCSEVNALMPLEDIMGKTIHEVVDGAKFKRTGHDCSDKSRGVVVQKPSGQLDLFPSNEEFGGFGSNMCPSYVPLEDITSLAIDEIYLLSIEGLKIQCSMSDQDPQSGIAPKPMDQSDALELMSFSSTLDEWLRLDHGMLDNKDQDQASSGKGHTLRNKLTLALQVLLRDPFVNNEPVGASMLALIQVERSLDSSNSSVCSLAQEGRNKDSFGYETQLWRITEIGLAGLKIEPGVDHPWCTKSQQQSGTRWLLASGADKTIKCQASESKAIIVSNPQATRKRLDTLWSIISDRHHQEGDLSSSAASVPFTRNLDVIFLNEVTERS >fgenesh2_kg.6__259__AT5G03490.1 pep chromosome:v.1.0:6:1015017:1016522:1 gene:fgenesh2_kg.6__259__AT5G03490.1 transcript:fgenesh2_kg.6__259__AT5G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LWP6] MTKVLLPENSKPPHIVVFPFPAQGHLLPLLDLTHQLCLRGVNVSVIVTPGNLTYLSPLLSAHPSSVTSVVFPFPPHPSLSPGVENVKDVGNSGNLPIMASLRQLRDPIIHWFRSHPNPPIALISDFFLGWTHDLCNQISIPRFAFFSISFFLVSVLHFCFENIDLIKSTDPIHLLDLPRAPIFKEEHLPSIVRRSLQTPSPDIETIKDFSKNLLSYGSVFNSSEILEDDYLEYVKQRMGHDRVFVIGPLCSIGSGLKSDSGSIDPSLLSWLDGSPNRSVLYVCFGSQKALTKDQCDALALGLEKSMTRFVWVVKKDPIPDRFEDRVSGRGLVVRGWVSQLAVLRHVAVGGFLSHCGWNSVLEGITSGAVVLGWPMEADQFVNARLLVEHLGIAVRVCEGGETVPDPVELGRVIAETMGEGGREVAACAEEIRQKTEAAVTETNGSSIEDLQRLVKEFGKV >fgenesh2_kg.6__25__AT5G01750.2 pep chromosome:v.1.0:6:105998:107345:-1 gene:fgenesh2_kg.6__25__AT5G01750.2 transcript:fgenesh2_kg.6__25__AT5G01750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPYVYAYPQGTGPSGPPPPQAGGVIVDPRYCAPYPVDLAIVRKMMKLTDGNFVITDVNGNLLFKVKEPVFGLHDKRILLDGSGNPVVTLREKMVSMHDRWQVFRGGSTEQRDLLYTVKRSSMLQLKTKLDVFLGHNKDEKRCDFRVKGSWLERSCVVYAGESDAIVAQMHRMHTVQSVFLGKDNFSVTVYPNVDYAFIASLVVILDDVNREDRTA >fgenesh2_kg.6__2600__AT5G26230.1 pep chromosome:v.1.0:6:11964029:11965036:-1 gene:fgenesh2_kg.6__2600__AT5G26230.1 transcript:fgenesh2_kg.6__2600__AT5G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQPPRTRHSPPQSHSSPSSSSSEFEFNISISPRKASSSLCPADELFYKGQLLPLQLSPRLSLVRTLCSSSSSEYTSSSSSSAATSAARDSTESNSSTDSTASFPLLHPPPLDCCDSSRPSSVTDDEDFFFKPPKNKSSSSGFSLSRFSSVFKKDPKTNLHHHSSSSSSAAAPSSVKRMSSTAKEVIRKYMKKVKPLYDKLSQKQSSTIKTESSLSLKDSGNNIRKTTTTTTTVTTAPTVVSSGNGLSISFSGNLMKYTKRGRCAASCPSSMRSSPNHSGVLTRGGFPGHQGASSSNNNSVSSSMEELQSAIQGAIAHCKNSMLQKNLVSSLEI >fgenesh2_kg.6__2606__AT5G26300.1 pep chromosome:v.1.0:6:12002767:12004509:1 gene:fgenesh2_kg.6__2606__AT5G26300.1 transcript:fgenesh2_kg.6__2606__AT5G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKLSLGFISLAFLFITSSSAEFIIQQVTQGRGTEYNSSYILNENLGVTRVLREERPSSKIVTITSFSVIKGRGEPYESSVFEAAGYKWRLVLYVNGNPNDGGNDHISLYARIEETESLPVGWEVNVDLKLFVHNGKLHKYLTVTDGTVKRYNNAKKEWGYGQLIPQSTFYNTNEGYIEQDTGSFGAEIFIVSPAQQQEKVTFISNPPNNVFTWKILHFSTLEDKFYYSDDFLVEDRYWRLGFNPKGDGGGRPHALPIFLFAQGHKANAVVTNTWGAVNLRLKNQRSTNHRQIYSAAWYPIRSGYGVGVNNIILLADLNDASKGYLVNDAIIFEAEMVKVSVTNIVSA >fgenesh2_kg.6__2607__AT5G26330.1 pep chromosome:v.1.0:6:12005830:12007044:-1 gene:fgenesh2_kg.6__2607__AT5G26330.1 transcript:fgenesh2_kg.6__2607__AT5G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIVAALACMVVMLRLSEAAVYKVGDSAGWTTIANVDYKLWASTKTFHIGDTVLFEYNPQFHNVMRVTHPMYRSCNTSKPISTFTTGNDSITLTNHGHHFFFCGVPGHCLAGQKLDLNVLLPASSTPLSDPPTSSSSPPSTTIPAAGVPGPSPSLAASLSSVTTAQIVAVVVLLVSLAFTDFAS >fgenesh2_kg.6__2608__AT5G26340.1 pep chromosome:v.1.0:6:12009467:12012697:-1 gene:fgenesh2_kg.6__2608__AT5G26340.1 transcript:fgenesh2_kg.6__2608__AT5G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGFATSANGVEFEAKITPIVIISCIMAATGGLMFGYDVGVSGGVTSMPDFLEKFFPVVYRKVQAGTEKDSNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRTRGRRLTMLIAGVFFIIGVALNAGAQDLAMLIAGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNITIGILFANLVNYGTAKRMGMEVIVRFGGNPALLLTVGALLVTETPNSLVERGRLDEGKAVLRRIRGTDNVEPEFADLLEASRLAKEVKHPFRNLLQRKNRPQLVIAVALQIFQQCTGINAIMFYAPVLFNTVGFGNDASLYSAVVTGAVNVLSTVVSIYSVDKVGRRFLLLEAGFQMFFSQVVIAIILGIKVTDHSTNLSKGFAILVVVMICTYVAAFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFIIAQAFLSMLCHFKFGIFIFFSAWVLVMSFFVMFLLPETKNVPIEEMTERVWKKHWFWARFMDDHNDQVFVNGKKSNGKSNGFDPSTRL >fgenesh2_kg.6__2609__AT5G26360.1 pep chromosome:v.1.0:6:12014808:12018629:-1 gene:fgenesh2_kg.6__2609__AT5G26360.1 transcript:fgenesh2_kg.6__2609__AT5G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:UniProtKB/TrEMBL;Acc:D7M4R7] MHAPVLVLSDSLKRESGSKVHHGNIQASKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILRELDVAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIEKNYHPTVICRAYIKALEDSIAVLDKIAMSIDINDRSSVLGLVKSCIGTKFTSQFGDLIADLAIDATTTVGVDLGQGLREVDIKKYIKVEKVPGGQFEDSEVLKGVMFNKDVVAPGKMKRKIVNPRIILLDCPLEYKKGENQTNAELVREEDWEVLLKLEEEYIENICVQILKFKPDLVITEKGLSDLACHYFSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDIGTGAGLFEVKKIGDDFFSFIVDCKEPKACTVLLRGPSKDFINEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSATLKQKSATIEGIEKWPYEAAAIAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWTGIDGNTGAIADMKESKIWDSYNVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGSGPSKPTIETEGDADNEQILPD >fgenesh2_kg.6__2610__AT5G26570.1 pep chromosome:v.1.0:6:12020131:12026129:1 gene:fgenesh2_kg.6__2610__AT5G26570.1 transcript:fgenesh2_kg.6__2610__AT5G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGSHCCSSPFTFITRNTSSLPKLVNFTRRVNLSHQSHRLRNSSSRLTCTATSSSTIEEQRKKKDGSGTKVKLNVRLDYQVKFGEHVAMFGSAKEIGSWKKKSPLNWTENGWVCELELDGGQVLEYKFVIVKDDGSLSWESGDNRVLKVPNSGNFSVVCHWDATRETLDLPQEVGIDDGGGGDERDNHDVGDERVMGSENGAQLQKSTLGGQWQGKDASFMRSNDHGNREVGRNWDTTGLEGTALKMVEGDRNSKNWWRKLEMVREVIVGSVEKEERLKALIYSSIYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRELEQICSKKDATAEEVLVARKIHPCLPSFKAEFTAAVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLIATEAMLQRITETPGKYSGDFVEQFKIFHNELKDFFNAGSLTEQLDSMKISMDDRGLSALNLFFECKKRLDASGESSNVLELIKTMHSLASLRETIIKELNSGLRNDAPDTAIAMRQKWRLCEIGLEDYFFVLLSRFLNALETMGGADQLAKDVGSRNVSSWNDPLDALVLGVHQVGLSGWKQEECLAIGNELLAWRERDLLEKEGEEDGKKIWAMRLKATLDRARRLTAEYSDLLLQIFPPNVEILGKALGIPENSVKTYTEAEIRAGIIFQISKLCTVLLKAVRNSLGSEGWDVVVPGSTSGTLVQVESIVPGSLPSTGGGPIILLVNKADGDEEVSAANGNIAGVMLLQELPHLSHLGVRARQEKIVFVTCDDDDKVADIRRLVGKFVRLEASPSYVNLILSTEGKSRTSKSSANKKTDKNSLSKKKTDKKSLSTDDEESKPGSSSSSSLLYSSKDIPSGGIIALADADVPTSGSKSAACGLLSSLAEASSKVHSEHGVPASFKVPTGVVIPFGSMELALKQSNSEEKFASLLEKLETARPEGGELDDICDQIHEVMKTLQVPKETINSISKAFPKDARLIVRSSANVEDLAGMSAAGLYESIPNVSPSDPLVFSNSVCQVWASLYTRRAVLSRRAAGISQREASMAVLVQEMLSPDLSFVLHTVSPADPDSNLVEAEIAPGLGETLASGTRGTPWRLASGKLDGIVQTLAFANFSEELLVSGTGPADGKYVRLTVDYSKKRLTVDSVFRQQLGQRLGSVGFFLERNFGCAQDVEGCLVGEDVYIVQSRPQPL >fgenesh2_kg.6__2615__AT5G26667.2 pep chromosome:v.1.0:6:12041538:12044303:1 gene:fgenesh2_kg.6__2615__AT5G26667.2 transcript:fgenesh2_kg.6__2615__AT5G26667.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UMP-CMP kinase [Source:UniProtKB/TrEMBL;Acc:D7M4S2] MGSVDASNGKKPTVIFVFSIGGPGSGKGTQCAYIVEHFGYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQKAIQENGNDKFLIDGFPRNEENRAAFEKVTEIEPKFVLFFDCPEEEMEKRLLGRNQGREDDNIETIRKRFKVFLESSLPVIQYYEAKGKVRKINAAKPIEAVFEEVKAIFSPEAEKVEA >fgenesh2_kg.6__2621__AT5G26740.1 pep chromosome:v.1.0:6:12059904:12062634:1 gene:fgenesh2_kg.6__2621__AT5G26740.1 transcript:fgenesh2_kg.6__2621__AT5G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLIPFYLNIVAFLCTVGAIALAIFHIYRHLLNYTEPTYQRYIVRIIFMVPVYAFMSFLSLVLPKSSIYFDSIREVYEAWVIYNFLSLCLAWVGGPGSVVLSLSGRSLKPSWSLMTCCFPPLTLDGRFIRRCKQGCLQFVILKPILVAVTLVLYAKGKYKDGNFNPDQAYLYLTIIYTISYTVALYALVLFYMACRDLLQPFNPVPKFVIIKSVVFLTYWQGVLVFLAAKSGFIKSAEAAAHFQNFIICVEMLIAAACHFYAFPYKEYAGANVGGSGSFSGSLSHAVKLNDFYHDTVHQFAPTYHDYVLYNHQDGGDEGTKKYRSRTFVPTGQEMDAMRKNKPVYANKIDGVSVSSSLSSEASSPKSSSVTSDPARSDAVKSSLLVDASDSLDTMYDMSLIDIDLSSFPSNVPSASESGPR >fgenesh2_kg.6__2622__AT5G26731.1 pep chromosome:v.1.0:6:12063556:12064232:1 gene:fgenesh2_kg.6__2622__AT5G26731.1 transcript:fgenesh2_kg.6__2622__AT5G26731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKLVFRSSSGGDYYGTHGAPYGEYMEKRQLFLRSYQFSRKQSFTEKVSRSVKRVKRVVLTRFRSARKLKRVVWSRLRTAFFYRRRRFCRLLHLHEPCYCF >fgenesh2_kg.6__2624__AT5G26720.1 pep chromosome:v.1.0:6:12099573:12099989:1 gene:fgenesh2_kg.6__2624__AT5G26720.1 transcript:fgenesh2_kg.6__2624__AT5G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNHSEISLNEQNRVDNDTNTKTTRKKKKKKDVTIVDDDDNQLDSTNQLVTKSKNRFIAKFRKKPKSPKGSRKGGCFRVMMRPRRRERDGDDDDDADSVLSPVSDPNDERFSHEMMRVMLETNDFCSDECNPHR >fgenesh2_kg.6__2625__AT5G26710.1 pep chromosome:v.1.0:6:12101870:12104625:1 gene:fgenesh2_kg.6__2625__AT5G26710.1 transcript:fgenesh2_kg.6__2625__AT5G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKLSFPPESPPLSVIVALSLSASPVTIDSSASVTTVPSFVFSDGRKLSGTTVLLRYVGRSANTLPDFYGNNAFDSSQIDEWVDYASVFSSGSEFENACGRVDKYLESRTFLVGHSLSIADVAIWSALAGTGQRWESLRKSKKYQSLVRWFNSILDEYSELLNKVLATYVKKSSGKPVAAPKSKDSQQALKGDAQDKSKPEVDLPEAEIGKVRLRFAPEPSGYLHIGHAKAALLNKYFAERYQGEVIVRFDDTNPAKESNEFVDNLVKDIGTLGIKYERVTYTSDYFPELMEMAEKLMREGKAYVDDTPREQMQKERMDGIDSKCRNHSVEENLKLWKEMIAGSERGLQCCVRGKFNMQDPNKAMRDPVYYRCNPMSHHRIGDKYKIYPTYDFACPFVDSLEGITHALRSSEYHDRNAQYFKVLEDMGLRQVQLYEFSRLNLVFTLLSKRKLLWFVQTGLVDGWDDPRFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWSINKRIIDPVCPRHTAVIAERRVLFTLTDGPDEPFVRLIPKHKKFEGAGEKATTFTKSIWIEEADASAISVGEEVTLMDWGNAIVKEITKDKEGRVTALSGVLNLQGSVKTTKLKLTWLPDTNELVNLTLTEFDYLITKKKLEDDDEVADFVNPNTKKETLALGDSNMRNLKCGDVIQLERKGYFRCDVPFVKSSKPIVLFSIPDGRAAK >fgenesh2_kg.6__2626__AT5G26700.1 pep chromosome:v.1.0:6:12104571:12105939:-1 gene:fgenesh2_kg.6__2626__AT5G26700.1 transcript:fgenesh2_kg.6__2626__AT5G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFATHLVVVVTMMVAAVAAAEMLQDVCVADLSNAVKVNGYTCKDSTQITPEDFYFKGLATIAATNTSTGSVVTGANVEKLPGLNTLGLSMSRIDYAPNGLNPPHIHPRASEIIFVLEGQLYVGFVTTGGKLIAKYLNKGDVFAFPKGLLHFQKNIANSPASVLAAFDSQLPGTQSLVASLFGALPDNILVKSFQLKPKQVKKIKLRYTPKK >fgenesh2_kg.6__2627__AT5G26680.1 pep chromosome:v.1.0:6:12108085:12111782:-1 gene:fgenesh2_kg.6__2627__AT5G26680.1 transcript:fgenesh2_kg.6__2627__AT5G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease 1 [Source:UniProtKB/TrEMBL;Acc:D7M5B4] MGIKGLTKLLADNAPSCMKEQKFESYFGRKIAVDASMSIYQFLIVVGRTGTEMLTNEAGEVTSHLQGMFNRTIRLLEAGIKPVYVFDGKPPELKRQELAKRYSKRADATADLTGAIEAGNKEDIEKYSKRTVKVTKQHNDDCKRLLRLMGVPVVEATSEAEAQCAALCKSGKVYGVASEDMDSLTFGAPKFLRHLMDPSSRKIPVMEFEVAKILEELQLTMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIETILENLNKERYQIPEEWPYNEARKLFKEPDVITDEEQLDIKWTSPDEEGIVQFLVNENGFNIDRVTKAIEKIKTAKNKSSQGRLESFFKPVANSSVPAKRKGNLICLVVSEVIPQYTGSQGTKCLLRQYKPAISNKVSSLHAFGWNSSKNIVSIRLFSLSWGFNLSEIPESTTKGAANKKTKGAGGRKKK >fgenesh2_kg.6__2628__AT5G26670.1 pep chromosome:v.1.0:6:12114437:12117142:1 gene:fgenesh2_kg.6__2628__AT5G26670.1 transcript:fgenesh2_kg.6__2628__AT5G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:D7M5B5] MRKLFLLGFVVAGMILVTEAKGYFEFNVTELDHIEELEFGFSKFSSNFNPLMVGLTLIRGAGSKGAVCLDGTLPGYHLHRGHGSGDNSWLIQLEGGGWCDNIRNCVYRKKSRRGSSNYMEKQIQFTGILSNKVQENPDFFNWNRVKLRYCDGGSFSGDSQNKAAGLQFRGEKIWRAAMDDLKAKGMRNAKQALLSGCSAGGLAVILRCDEFRNLFSGNTRVKCLSDAGLFLDTPDVSGGHTIRNLYNGVVQLQGVKNNLPHLCTNHLNPTSCFFPQNLISQMKTPLFIVNAAYDIWQIQSSIAPPSADPSGYWHECRLNHGRCTPAQIRFLQGFRNQMLRAVRGFSNLKKNGLFINSCFAHCQTERQDTWFADDSPVIHKMAVAIAVGDWYFDRAEVKLIDCPYPCDRSCHNLVFR >fgenesh2_kg.6__262__AT5G03520.2 pep chromosome:v.1.0:6:1044750:1046741:1 gene:fgenesh2_kg.6__262__AT5G03520.2 transcript:fgenesh2_kg.6__262__AT5G03520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtRABE1d/AtRab8C [Source:UniProtKB/TrEMBL;Acc:D7LWQ6] MITSSSFFSSAIAVWGRVVCYFDSLMILSLQVSLLPLGIIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWMKNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVENVFLSIAKDIKQRLTETDTKAEPQGIKITKQDTASSSSTAEKSACCSYV >fgenesh2_kg.6__2630__AT5G26660.1 pep chromosome:v.1.0:6:12129096:12130690:-1 gene:fgenesh2_kg.6__2630__AT5G26660.1 transcript:fgenesh2_kg.6__2630__AT5G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMYB4 [Source:UniProtKB/TrEMBL;Acc:D7M5B7] MGRHSCCFKQKLRKGLWSPEEDEKLLNYITRHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQDEESLIIELHAALGNRWSQIATRLPGRTDNEIKNFWNSCLKKKLRRKGIDPTTHKPLITSELQSLNVIDQKLTSSELVKSTGSINNLHDQSMVVSSQPGPWWFPATTTTTNQNAAFCFSSSNTTPTVSDQIVSLLSSMSTSSSPTPMTTNFNPAPNNWEQLNYCNTVPSQTNSIYSAFFGNQYTEASQNMNNSNITPLVLDHHQDTKSWASEILHYTEHNQSSEIGLEAEVKPDIAKYYWRSASSSSSPNLEAATLLHDANVEVYGKNLQKLNSMVFDQSL >fgenesh2_kg.6__2633__AT5G26610.2 pep chromosome:v.1.0:6:12238093:12239897:1 gene:fgenesh2_kg.6__2633__AT5G26610.2 transcript:fgenesh2_kg.6__2633__AT5G26610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M5C5] MDIRRRESKTEASSREKRIYEKDQMNQESFIEGLAEEFRLPITHRVTENVDLEDVEQASLDTKISSSNVGFRLLQKMGWKGKGLGKQEQGITEPIKSGIRDRRLGLGKQEEDDYFTAEENIQRKKLDSEIEETEEIAKKREVLAEREQKIQSDVKEIRKVFYCELCSKQYRTVMEFEGHLSSYDHNHKKRFKEMKEMHGASSRDDRKKREQQRQEREMTKMADARKQQQMQQNQQEIPENVPVSTPAKTMVAPLAVQDQRKTLKFGFSSKSGSISKSQPTSSTKKPKVAIASVFGNDSDEE >fgenesh2_kg.6__2636__AT5G26600.2 pep chromosome:v.1.0:6:12240276:12242615:1 gene:fgenesh2_kg.6__2636__AT5G26600.2 transcript:fgenesh2_kg.6__2636__AT5G26600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transaminase [Source:UniProtKB/TrEMBL;Acc:D7M5C6] MASSLSPPEKASYHHRHSSKRYSTNGNVESSSVSDFVKRPKISPQNYISCSEIESEFSHHDPEFARINNGSFGCCPSSILALQRDWQLRFLRQPDRFYFDELKPKISDSRSVIKRLINADHDDEVSIVDNATTAAAIVLQQTAWAFREGRFDKGDAVVMLHYAYGSVKKSVEAYVTRSGGHVIEVQLPFPVNSADEIIDRFRIGLESGKANGRRVRLALIDHVTSMPSVVIPIKELVKICRREGVDQVFVDAAHGIGCVDVDMKEIGADFYTSNLHKWFFAPPSVAFLYCRKSSNGGGGVADLHHPVVSNEYGNGLAVESSWVGTRDYSAQLVVPSILEFVNRFEGGIDGIKKRNHESVVEMGHMLVKSWGTQLGCPPEMCASMIMVGLPVYLGVSSDSDVLKLRTFLREKFRIEIPIYFRPPEDGEIDPITGYVRISFQVYNKPEDYHRLRDAINELVRDGFRCASLSS >fgenesh2_kg.6__2637__AT5G26751.1 pep chromosome:v.1.0:6:12246581:12249687:-1 gene:fgenesh2_kg.6__2637__AT5G26751.1 transcript:fgenesh2_kg.6__2637__AT5G26751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGIAPNPGARDSTTHAIGVDKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDRRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYTYQIFRALSYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSAALDTLVHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGVPVEMVAKLVPEHARKQCPWLGL >fgenesh2_kg.6__2643__AT5G26780.3 pep chromosome:v.1.0:6:12265243:12269153:1 gene:fgenesh2_kg.6__2643__AT5G26780.3 transcript:fgenesh2_kg.6__2643__AT5G26780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M5D2] MALALRRLSSSVKKPISLLSSNGDSLRFMSSLSTSAMAESEKSRSSWIKQLNASLEEIDPEVADIIELEKARQWKGFELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFQLDPSKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDENTGYIDYDQLEKSAVLFRPKLIVAGASAYARLYDYARIRKVCDKQKAVMLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGLKEINKQGKEVIYDYEDRINQAVFPGLQGGPHNHTITGLAVALKQARTPEYKAYQDQVLRNCSKFAELGIRPTSLLAKGYDLVSGGTENHLVLVNLKNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFIEEDFVKVAEYFDLAVKIALKIKAESQGTKLKDFVATMQSNEKLQSEMAKLREMVEEYAKQFPTIGFEKETMRYKE >fgenesh2_kg.6__2646__AT5G26790.1 pep chromosome:v.1.0:6:12270370:12270828:1 gene:fgenesh2_kg.6__2646__AT5G26790.1 transcript:fgenesh2_kg.6__2646__AT5G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M5D3] MPSSIRWWWPIHFTSPGSAPRWLSWRRPELSLLSVVFDDVVWKVVTAFESVALVSMLCFFFLFCGC >fgenesh2_kg.6__2647__AT5G26810.1 pep chromosome:v.1.0:6:12281041:12283163:1 gene:fgenesh2_kg.6__2647__AT5G26810.1 transcript:fgenesh2_kg.6__2647__AT5G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M5D6] MSCLFIFIALLLSFCLKSLTALDQTCGNKVVNTIVVDQTGSGEGQRVTTIMYNGHEATDVSSTFTSYPPHIVVRNLSIMTYYPLNSLTMKANDMSWKIKPAVAISVYGDKSAFYNCDFVGLQDTVWDNHGKHHFKNCYIQGAIDFIFGSGQSIYEDCHINATAGALASEVAVGYITAQGRSSVSDPSGFVFLRGSVSGTTSVYLGRAYGPFSRVIFIQTDLSSVVHPKGWDPWHYGRYEMSFTYAEVECKGAGSDISGRVPWSDKLHSFYTKQQFSISNFIDQDQWISNIPRF >fgenesh2_kg.6__2648__AT5G26820.1 pep chromosome:v.1.0:6:12284027:12287452:1 gene:fgenesh2_kg.6__2648__AT5G26820.1 transcript:fgenesh2_kg.6__2648__AT5G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRHSPSFDFLFHFPVDRSRFLSPVAFSRVRYHRFPSCRWLSLSSSSSCSRRLNSFSSRCSIINTDVCHDFVTTDDDIHEDFLTPIEDHTIPIVHLDTNIAVTESLSLLTECTFVDTVLTALPVLSEEEQTVIAATPAHPEGLYVLYASCLVGNLVEQLWNFAWPSAIAMLHPSLLPVAVMGFVTKLAIIAGGPVVGKFMDYSPRVPTYISLNVIQAAAQVVSAGMIIHAYTVPSTLASSILLQPWFFALLFAGAIDSLCGIASGVAIERDWVVLLAGINRPIALAQANAVLHRMDLLCEIAGTMLFGILLSKYDPVTCLKFAATLMVGSLPTMTALIWLTNKFSSGVLDRPKCSLSSCSAEGSRTNTDSIFDIGMETIKLGWKEYIQQPVLPASLAYVLLYFNIVLTPGSLMTAFLTQRCVNPSVIGGFSGLCAVMGVAATFLSANLVKRVGILKAGAVGLFFQASLLAVAVAVYCSSSLSQKSPLFFFLSMIVLSRLGHMSYGVVGAQILQTGIPSSKANLIGATEISVASLAESLMLGVAIAANDASHFGFLAVLSLLSVVAASLIFCRLLRNPTDEQRRLFSFDPLSN >fgenesh2_kg.6__2650__AT5G26850.1 pep chromosome:v.1.0:6:12325360:12330814:1 gene:fgenesh2_kg.6__2650__AT5G26850.1 transcript:fgenesh2_kg.6__2650__AT5G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISRNVFPACESMCVCCPALRSRSRQPVKRYKKLLGEIFPKSPDGAPNERKIVKLCEYAAKNPIRIPKIAKFLEERCYKDLRSEQMKFINIVTEAYNKMLCHCKDQMAYFATSLLNVVTELLDNSKQDTPTILGCQTLTRFIYSQVDGTYTHSIEKFALKVCSLAREEGEEHQKQCLRASGLQCLSAMVWYMGEFSHIFATVDEIVHAILDNYEADMIVQTNEDREEQNCNWVNEVIRCEGRGTTVCNSPSYMIVRPRTARKDPTLLTKEEIEMPKVWAQICLQRMVDLAKESTTLRQILDPMFSYFNARSQWTPPNGLAMIVLSDAVYLMETSGSQQLVLSTVVRYLDNKHVANDPELKAYIIQVAGCLAKLIRTSSYLRDISFVNDLCRHLRKSFQATSRSIGDEELNLNVMLQNSIEDCLREIAKGIVNTQPLFDMMAVSVEGLPSSGIVSRAAVGSLLILAHAMSSALSPSMRSQQVFPDTLLDALLKAMLHPNVETRVGAHEIFSVILLQSSGQSQAGLASVRASGYLNESRNWRSDTTSAFTSVTARLDKLRKEKDGVKIEKNGYNNTHEDLKNYKSSPKFHKLNSIIDRTAAFINLADMLPSMMKFTEDQIGQLLSAFWIQSALPDILPSNIEAIAHSFSLVLLSLRLKNPDDGLVVRAFQLLFSLRNLSLDLNNGTLPSVCKRLILALSTSTLMFAAKIYQIPHICEMLKAQLPGDVDPYLFIGDDLQLHVRPQANMKDFGSSSDSQTATSMLFEMRSKVELSNTIIIDIVAKNLSNLSKLEEADVKMQILEPFIPDDAFMFGSRPNVEPQPNQSISKESLSFDDDIPAGSMVEDEVTSELSVRFPPRGSPSPTIPQVISIGQLMESALEVAGQVVGSSVSTSPLSYDTMTNRCETFGTGTRQKLSRWLATENRQMNGLYRNSSEESSALEKVVEDGNIYGRESGLLQDSWSMMRLPPASPFDNFLKAAGAGR >fgenesh2_kg.6__2653__AT5G26860.1 pep chromosome:v.1.0:6:12331017:12336917:1 gene:fgenesh2_kg.6__2653__AT5G26860.1 transcript:fgenesh2_kg.6__2653__AT5G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7M5E0] MKGFDTNLRLQASSRELSNGFLHKRQNFINLNLRNCYYPRSSFSPTMLKLFTSSASRVQHLTPAIRVGSSPVESPLFKALSQITGWNRRSGSLGHRAFFCSEPTDGEAAAEAEAKAVESDSEGSDSKSSSAIVSTNPRPEDCLTVLALPVPHRPLFPGFYMPIYVKDPKVLAALQESRRRQAPYAGAFLLKDGPSADSSSSTDAEKNINELKGKELLNRLHEVGTLAQISSIQGDQVILVGHRRLRITEMVSEEPLTVKVDHLKDNPFDMDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYTQACLRVQHIGDFTYPRLADFGAAICGANRHQAQEVLEELDVHKRLRLTLELMKKEMEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGVETDDKSALSAKFKERIEPNKEKIPAHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTILPWGNYSDENFDVVGAQKILDEDHYGLSDVKERILEFIAVGRLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLGDVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVTIDLSKVLFVCTANVIDMIPNPLLDRMEVISIAGYITDEKVHIARDYLEKTARGDCGVKPEQVEVSDAALLSLIENYCREAGVRNLQKQIEKIYRKIALKLVREGAVAEEPAVAIDPEEAEIVADVGESPENHTVEENPVSSAEEPKEAAQTEKIAIEKVMIDESNLADYVGKPVFHEEKLYEQTPVGVVMGLAWTSMGGSTLYIETTVVEEGEGKGGLNITGQLGDVMKESAQIAHTVARKIMLEKEPENQFFANSKLHLHVPAGATPKDGPSAGCTMITSLLSLATKKPVRKDLAMTGEVTLTGRILPIGGVKEKTIAARRSQIKTIIFPEANRRDFDELAENVKEGLDVHFVDDYGKIFELAFGYDKQED >fgenesh2_kg.6__2654__AT5G26910.1 pep chromosome:v.1.0:6:12336933:12341347:-1 gene:fgenesh2_kg.6__2654__AT5G26910.1 transcript:fgenesh2_kg.6__2654__AT5G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVERKRSRGGFLNLFDWHGKPRKKLFSGSSSQLSESKQTKQTAQNLLKARVSLIEVDEIGKSSNYNPRSDSSCCASSVTSDDGQGTRAPSVVARLMGLESLPVPNVQEPQFNPDLDPFFVRPSRNTNRWDAYENLGYVNLRSDYDGISWDHLDSRTNNGRNQPIERFQSETFPPRSAKPICVTNNRHLSPIRSPGFVPSRNPIYVMEAASRTIEPSPRMVARTRFSPSNSSSSVPMRIQDLREKLEAAQKESSRQISNDTFNLKYPSGKHNEKRTATSLMTPSTSKFMGKSSSDGLKGKVKPSYVSTQAKTSTTPLSVTRNSANQKEKAEAIKCVAKSQNGLRGAPINLGKSKQNNHKQNCRDNQPSMTSVLNQKGSKVNNKVVNKVPVESGSISKQSGLTTASTEKNTSLSLSRKKTLPRSKRLPNGMQKSGISDDKRTKRSENLIKCNITIDGGLNKGKDDRKKEMDVISFTFSSPIKGLSSDSLSSTQGIGQDTDSAVSFNMLGGDSLNVLLEQKLRELTSKLESSSCSLTQEESSCSIPKDEVNGMISFSSEYDKSTQNGLDKVLSESESVSDCTSFYDKQKFQIQAEEHEVSSISTVTEADDLRSSCSKGFSDCRQTAEYGTIQSSSDQKLTWVSLNESHQAQDESELSESVITLSYSEAEERLDWELEYISEILGSDQLMVKEFALGMATDVLPASLFDEMEGRGEATAAKIKRKTLFDFVNKCLALKCEKMFMGSCRGLLGKGGFLFEQRDWLAEELNREIHGLKKMREMMMDELVDKEMSSFEGRWLDFERETYEEGIDIEGEIVSTLVDDLVNDLVSGTQRRTLRQREGLV >fgenesh2_kg.6__2656__AT5G26920.1 pep chromosome:v.1.0:6:12399303:12402339:1 gene:fgenesh2_kg.6__2656__AT5G26920.1 transcript:fgenesh2_kg.6__2656__AT5G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein [Source:UniProtKB/TrEMBL;Acc:D7M5E5] MKIRNSPTYHGGGYSGLRARNLTFKKVVKQVMRDQSYNQFMIQMENMIRRIVGEETHRVLQTFYSSSCVSMERSRSETPSSRPRLKLRFINSPPPSIFTGSKIEAEGGSPLMIELVDAPTNTRVSSGPFSSSRVELVPLNADFTEESWTVEGFKRNILKQREGKRPLLTGDLTLTLKNGVGVIAGDIAFSDNSSWTRSRKFRLGAKLTGDGAVEARSEAFGCLDQRGESYRKHHPPYPNDDVWRLEKIAKDGVSAKRLAEREIYTVKEFRRLYTVNPNELHNIIGVGISKKTWNTIVSHAMDCVLDETECYTYDANTPGVTLLFNSVYELIKVSFNGDDIQNLDQPILNQLKFEAYQNLNRITAVNDGTFMGHPQRSLQCPQDPGFGITCSGLQHIAFQGSLDPSSSSMSLCHAAASSTVHPDVLMSFDNSPTPTFHINKKFLPTFGNSFKVSELDPVPGKSQTVVTRGCIENEEDENAFAYHQHYDMTTNWSPGTHEAVETMYLTVTGTEETGMFDVHLTNVNLGSPRARWCKVKAAFKVRAAFKEVRRHTTARNPREGL >fgenesh2_kg.6__2661__AT5G26960.1 pep chromosome:v.1.0:6:12435963:12437332:-1 gene:fgenesh2_kg.6__2661__AT5G26960.1 transcript:fgenesh2_kg.6__2661__AT5G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M5E9] MSESCNSRHFSWLMKSCLPNPSDAKSLVPIHQPSSTAANSSATIASLPDDLLLECISRVPSSSIPSLAAVCRRWSRLLLSPYFLHLRRRLGLLRHSLFAISAVDSGLFAADLQFQSEIASWKVSLAVSSRSVCVDGSLSHARAAAIGPRVYVVSRNAVLRYDSWMGTLNLRSPMLFPRKKFAIAVVSGKIYVAGGGGGSEVAAAVEEYDPELNRWKVVTQSARKRYGCIGAAVDGVFYVIGGLKIGNETSRAVAARAYASSMDLFDVESRQWLRSRSVPGGGCVVAACAAVRYVYVLSSHAVELSFWRFDARRRGGNSGFGEWRRLKSPPLPAQVRLDGTVRFSCVGVEDKVAVVQVVGCIDDLLRRSGRSERGLRESLVLLYDTTDGEWRRAADLPEMITRAACACVEW >fgenesh2_kg.6__2664__AT5G27000.1 pep chromosome:v.1.0:6:12460203:12465908:1 gene:fgenesh2_kg.6__2664__AT5G27000.1 transcript:fgenesh2_kg.6__2664__AT5G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7M5F5] MATTSEINNDLSFSVVSIVEDVLQQHSSRSSDVGFVSRKVDESSLRRYEAVGWLRDMVGVSNGKDFPAEPSEEDFRLGLRSGIVLCNVLNKVNPGSVSKVVEAPDDVVDGAALSAFQYFENIRNFLVAIEEMGLPSFEASDMEKGGKSIRIVNCILALKSYSEWKLKGENGPWRYGSNMKNNFGSRKPFLRKSSEPFVSSISRTHSTDISSTDTPMSSDGDSRSINGLVRSFIADKKHEDIPNVVESVLNKVMEEVQQRLSIHNEMMKSRSKPIPEDDSSCETMVRSQLCDARQHEESEENSPPQVVEKRFQRTNFEHYEEQEILLNQQKHIQELKQTLNTTKAGMQLLQMKYQEDFFHLGKHLNGLAYAATGYKRVLEENRKLYNLVQDLKGNIRVYCRVRPFLPGQSNGGLSAVEHIDEGTITIRVPSKYGKAGQKPFMFNKVFGPSATQEEVFSDMQPLVRSVLDGYNVCIFAYGQTGSGKTFTMTGPKELTEESLGVNYRALADLFLLSNQRKDTTNYEISVQMLEIYNEQVRDLLATDGQTKRLEIRNNSHNGINVPEASLEPVSSTDDVIQLMELGQMNRAVSSTAMNDRSSRSHSCVTVHVQGRDLTSGAILHGSMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVISSLSQKTSHVPYRNSKLTQLLQDSLGGSAKTLMFVHISPEADTLGETISTLKFAERVGSVELGAARVNKDNSEVKELKEQIANLKMALVRKGNGNDVQPTALPINRERISRRRSLETPTIRPKLPTMGNTSSNSRPQIMDLSGPEVFFTDTASSRRHSLDINELMKSSSPAWPRQSLNAKDEDREFKSGEWIDKHEELIQNQNPNSPEQFYQSMVPQQPSLYGGKQDFEVQSITDNESDETATSDCSDTDLLWRLSVQVNVPKVSNIQNSTNPKPKKIQPRTAKLSETRSLIPSLIPAPSKRPPNTVNSQPQRPTRDGKRRLSLGT >fgenesh2_kg.6__2666__AT5G27945.1 pep chromosome:v.1.0:6:12472036:12474133:-1 gene:fgenesh2_kg.6__2666__AT5G27945.1 transcript:fgenesh2_kg.6__2666__AT5G27945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIALALCLTHHSPMVLSIVDDDPQEKTMDSLWKKRHVSDLTRSGSLVGVTEAYLVIPVDHFLTNPSSLTLSPRAYCRRGSSYVVTEKVEERDDFLKQVWFLRESLVFFFVDIEESIVVTSASRKAYMKQLAETIYQNHTRILAFRNKPKALFPSNHSVFPHQQPKSVKPRRYIPQTREKILNAPGTVDDFYINLLDWGKKGPVTSLNWTQDGHLGFCFQSSTENIERLSPVKSWIIDMEQSHSNNWMRSSIVGTYVVHTQEVCGLKWERSGQQLASGGNVNVVHIWDCSVSSSNSTRQWLHSSCLVPIPSESACNCSGIHTGACLNSVETGSQVCSLLWRKSERQLLSSHGFTQNQLTLWKYPSMLKMAELTGHTSRVLYMAQSPDGCTVASAAGDETLRFWNVSGVPETTKKAAPEPFSHVNRIR >fgenesh2_kg.6__266__AT5G03545.1 pep chromosome:v.1.0:6:1057177:1057835:1 gene:fgenesh2_kg.6__266__AT5G03545.1 transcript:fgenesh2_kg.6__266__AT5G03545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMRIVCEWSDEDCMKVDEDKLDVSFIIPRLGNFDPLASFGSPRNQQIIITSSYFVLCSLFTLFPVWFGLLSLISLCLC >fgenesh2_kg.6__2673__AT5G27930.2 pep chromosome:v.1.0:6:12495176:12498521:1 gene:fgenesh2_kg.6__2673__AT5G27930.2 transcript:fgenesh2_kg.6__2673__AT5G27930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFSSMFNGLARSFSIKKVKNNNGNCDAKEAADEMANEAKKKELILKSSGYVNAQGSNNLASVFSKRGEKGVNQDCALVWEGFGCQEDMIFCGIFDGHGPWGHYVAKQVRNSMPSSLLCNWQKILAQATLEPELDLEGSNKKLSRFDIWKQSYLKTCATVDQELEHHRKIDSYYSGTTALTIVRQGEVIYVANVGDSRAVLATVSDEGSLVAVQLTLDFKPNLPQEKERIIGCKGRVFCLEDEPGVHRVWQPDSETPGLAMSRAFGDYCIKEYGLVSVPEVTQRHISTKDHFIILASDGIWDVISNQEAIEIVSSMAERPKAAKRLVEQAVRAWKKKRRGLSMDDMSVVCLFLHSSSSSSLSQHHHATTLK >fgenesh2_kg.6__2677__AT5G27880.1 pep chromosome:v.1.0:6:12571546:12572403:-1 gene:fgenesh2_kg.6__2677__AT5G27880.1 transcript:fgenesh2_kg.6__2677__AT5G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M5G8] MSSSSSSTPTPKSKKLKGIVVAMEKSKEKATRSTNLKLGSPQEVTSERRFENSSFGSSAVRRGREIMKFYPSKSNKIYTCHFCKKGFSTSQALGGHQNAHKQEREWDKKRKEMQADYPGLAFFNPYLDKPHILLGGYSQDALSNENHLGITLDPFKRLIYPSFKSGVIGGIADMNVAVVPRVTPTRFFTGNTSTNGSASRVPNPLPSYNNLYPLNSRNVLPFPPFQTTKLSSNLVSQGNGLSEENFISKIGTKNNIVEIDDDDDDDIQPEEEKTKSGGIDLSLSL >fgenesh2_kg.6__2679__AT5G27860.1 pep chromosome:v.1.0:6:12586648:12588301:1 gene:fgenesh2_kg.6__2679__AT5G27860.1 transcript:fgenesh2_kg.6__2679__AT5G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSSDVSSDSSDSHRRRKDCRRHRRNDRDRDSLKVRKKSRSTSKKRRRRQHSSDSSDSSYSDSSSESSDSEHERSRRHKKHEKPKKAKDKERSKSHRHKRHKNRDRKKGEGEGSSGPVKLSKLLNRDKEDGERRSAVSGKKILLKVDKSKEDKAAESKRNELLKFLNASFD >fgenesh2_kg.6__2680__AT5G27850.1 pep chromosome:v.1.0:6:12589069:12590410:-1 gene:fgenesh2_kg.6__2680__AT5G27850.1 transcript:fgenesh2_kg.6__2680__AT5G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L18 [Source:UniProtKB/TrEMBL;Acc:D7M5H2] MGIDLIAGGKSKKTKRTAPKSDDVYLKLLVKLYRFLVRRSESKFNAVILKRLFMSKVNKAPLSLSRLVEFMTGKEDKIAVLVGTITDDLRVHEIPAIKVTALRFTERARARIEKAGGECLTFDQLALKAPLGQNTVLLRGPKNSREAVKHFGPAPGVPHSSTKPYVRHKGRKFEKARGKRKSRGFKV >fgenesh2_kg.6__2682__AT5G27840.1 pep chromosome:v.1.0:6:12598043:12600180:1 gene:fgenesh2_kg.6__2682__AT5G27840.1 transcript:fgenesh2_kg.6__2682__AT5G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7M5H3] MMTSMEGMVEKGVLDDIIRRLLEGKGGKQVQLSESEIRQLCFNARQIFLSQPNLLDLHAPIRICGDIHGQYQDLLRLFEYGGYPPSANYLFLGDYVDRGKQSLETICLLLAYKIRYPSKIYLLRGNHEDAKINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDEKILCMHGGLSPDLDNLNQIREIQRPTEIPDSGLLCDLLWSDPDQKIEGWADSDRGISCTFGADKVAEFLDKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDESLVCSFEIMKPAPASSSHPLKKVPKMGKS >fgenesh2_kg.6__2683__AT5G27830.1 pep chromosome:v.1.0:6:12600222:12601867:-1 gene:fgenesh2_kg.6__2683__AT5G27830.1 transcript:fgenesh2_kg.6__2683__AT5G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCSTKRVFLIQSPILFLHLLISLSSGAVKPDLKGVCVSKGGRFPPYESEGKPPKSVGRGSKDLTLCRVFRKRTCCTAVQTNPAFVAVRNLATYGEASQECLELFELLECSICNPNVGIQPGPPRICASFCDRVFEACKDAYFASNALTRVIGPCGVNDDIVCVKASNWESNGTAFCEAAGFAVQTNHDSREKPCYGSKASLESVVESWSRDSRKETPLKTETLSCFKDLLQWVREMTTIQKISLGMSFLVAGMFLIRQSNNRNQKQRLAEIQRTSRRLGGNGNGNSYSAAINRRTSSD >fgenesh2_kg.6__2686__AT5G27770.1 pep chromosome:v.1.0:6:12616099:12617434:-1 gene:fgenesh2_kg.6__2686__AT5G27770.1 transcript:fgenesh2_kg.6__2686__AT5G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L22 [Source:UniProtKB/TrEMBL;Acc:D7M5H6] MSRGNAAATKGKKKGVSFTIDCSKPVDDKIMEIASLEKFLQERIKVGGKAGALGDSVSITREKSKITVTSDGQFSKRYRKYLTKKYLKKHNVRDWLRVIAANKDRNLYELRYFNIAENEAEEED >fgenesh2_kg.6__2688__AT5G27750.1 pep chromosome:v.1.0:6:12624576:12626422:-1 gene:fgenesh2_kg.6__2688__AT5G27750.1 transcript:fgenesh2_kg.6__2688__AT5G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFDRISELPESLITQILLCLPTKDSVKTSVLSTRWKNLWLNVPGLDLNCRDLPDQDEEYEEVFINFIDRFLEFNPESRLQKFKVDYSRREILGFKDRIGTAINRGIRLLDAVSSTEYREDDGFMYPYFEFMPLNLFTSKTLVSLKLSCSGLRDPGFVYMPCLKVMFLQEIRWSGTMHLEKLVSGCPVLEELTLVRYLDEDELVVALTRVRSWSLKTFYVPLTYGSFCRSRVLDTVLEIDAPGLESMTLKEDHFEKIIVKNLTSLFMIDLDIKFVVNYDSSFDPEDLWKTNEILDFLTGISRARHMIISKKTVKALDSYSKVGSIPKFNNLSRLQAVFPSPLLPFLPAFLESFPNLKILILKIAFAKDDETEELNLVNVPRCFISTLECVEIKGLFEWEEEEMIIAKYFLENSAVLKKLTLSFIDYPRYASNSDVYEDLNKLTKRSRRCRIIVDDD >fgenesh2_kg.6__268__AT5G03555.1 pep chromosome:v.1.0:6:1061874:1063802:-1 gene:fgenesh2_kg.6__268__AT5G03555.1 transcript:fgenesh2_kg.6__268__AT5G03555.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Permease, cytosine/purines, uracil, thiamine, allantoin family protein [Source:UniProtKB/TrEMBL;Acc:D7LWR2] MVSNCLSLSLHLNLHPHKHNRHSLSSFRSQTKAKLYQHVSFTDSSHKRSYTSCVSTFDSQHKSSKHYELGNHNFSPNRPGYNLGLSRSGVIRPRLSAMTGSESNDFGFDESQFDPSLTNEDLKPTTPSQRTFSWLDMSSLWIGLVVGVPTYYLAGSLVDLGMAWWQGIATVVAANLILLVPLVLTAQPGTLYGISFPVLARSSFGIRGAHIPTLLRALVGCGWYGIETWIGGEAIFLLLPAHFKNSALSHTLPWLGTSPLEFSCFIVFWLAQVCIVWRGMDGIRKLEKYSAPILISLTSCLLAWSYLKAGGFGHMLSLSSKLTSAQFWTLFFPSLTANISFWATLALNIPDFSRFAKSQTDQIIGQVGLPVFMGLFTFVGVAVTSSTSIIFGRVISNPIELLGQIGGLATTLLAIVGISLATLTTNIAANVVAPANALVNLNPKFFTFGRGAFLTAVLGIVFQPWRLLKSSESFVYTWLIGYSALLGPIGGIILVDYYLIKKMKLNIGDLYSLSPSGEYYFSKGYNVAAVVALVAGIIPVVPGFLHKIRALSKISNGFVVVYDSALFFSFIIAGFVYWIIMSRLGRKQSSLSSSSQPLL >fgenesh2_kg.6__2690__AT5G27730.1 pep chromosome:v.1.0:6:12652891:12659562:-1 gene:fgenesh2_kg.6__2690__AT5G27730.1 transcript:fgenesh2_kg.6__2690__AT5G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIKVERSLAGNNRQRLASLDIFRGLTVALMILVDDAGGDWPMIAHAPWNGCNLADFVMPFFLFIVGVSIALSLKRISNKFEACKKVCFRTCKLLFWGLLLQGGFSHAPDELSYGVDVTMMRFCGILQRIALSYLVVALIEIFTKDLHEENLSTGRLSIFKSYYCHWIVGVSVLVIYLATLYGTYVPDWEFVVNDKDSILYGKIQSVSCGVRGKLNPPCNAVGYVDRQVLVINHMYHHPAWRRSKAFTDDSPYEGALRQDAPSWCHAPFEPEGILSSISAILSTIIGVHFGHIIIHLQGHLARLKHWISTGLVFLTLGLTLHFTHLMPLNKQLYSFSYICVTSGAAALVFSSLYSLVDVLEWKHMFLPLKWIGMNAMLVYVMGAEGILAAFFNGWYYRHPHNTLITWIREHVFIRVWHSKRVGVLMYVIFAEILFWGLVTGVFHRFKIYWKL >fgenesh2_kg.6__2692__AT5G27710.1 pep chromosome:v.1.0:6:12662283:12664641:-1 gene:fgenesh2_kg.6__2692__AT5G27710.1 transcript:fgenesh2_kg.6__2692__AT5G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSYVPLRFTIFISFSIAAASFKLASSQSPSSLPKATAGDLLSVLGPSSTASCLNPIVSREIKSCLKFLVPFKSDKSKPELGRCSLRTGLCSGKIDAVEKLSKFEEDNSLIWWPPESVLELARLAVDSGGDPGSIQRTLNPKMIPVPDVERSRRDRCQLTRSPYGRHFIAEEVNSYFEFLFHLIESRGPSVGLNVSLTRYDLFHGHLFLASESGRLGILFHAKEYPAYDKKVFPYNMGYCQRGSDMKYDDSMNLRNILWLAPLPSNSSPDWLAPGVLVVLDAHPDGVIYRDLIPDYVKFVRTIYEDDLGTTAVDVNYLNVGTHEPDYQLFVC >fgenesh2_kg.6__2693__AT5G27690.1 pep chromosome:v.1.0:6:12716019:12717317:-1 gene:fgenesh2_kg.6__2693__AT5G27690.1 transcript:fgenesh2_kg.6__2693__AT5G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGTEIKSDTRQEEQRHVFEDYPEPLRYTTWVLRVSIHCEGCKRKIKKILSKIDGVYTTNIDVKQQKVTVIGNVEPEILIKKIMKAGRHAELWPTSMENNINNDCNYQRKAKKDNEETSGDEDDDENNNNNGGGDGGGGGGGCDQVKQVVTFVNGQLQPQGDGAPKKKKKKKKKKKSLGNTTVVMEGGGGGGGGGGAPPQNDGPPETVIYSAPPDHHIIHGPPQHHHHQQNHPYPTAHSPPRHHPQQIYGPSPGPRPPSFYHTQPQTAPSYTVSYNTVHRPSNGGNETGSYYTAPPSHPTSYYSYEYVDTGYESPPPEFYSYRSQPSDSFEALSEGNPNSCYVM >fgenesh2_kg.6__2694__AT5G27680.1 pep chromosome:v.1.0:6:12726857:12732022:1 gene:fgenesh2_kg.6__2694__AT5G27680.1 transcript:fgenesh2_kg.6__2694__AT5G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) TAIR;Acc:AT5G27680] MDLSSDHVVMKLVEMGFEKLDALDAVKAVGGKSCDDAVEYILKGHDRTGGSAPASSLCSIGSNKILGKRAMPSSFSSGSKRQSSLLDHFRSVDQNKKKGNTFGIAEVNSQLETVSDTSKEQIKSLAPVFLESSCFPETELSNGCSEASSTWETRVNSILQNRFGISSLRSFQREALSTWVAHEDCLVLAATGSGKSLCFQIPALLTGKVVVVISPLISLMHDQCLKLSRHRVSACFLGSGQLDTCIEEKAMQGMYQIIYVCPETVVRLIKPLQKLAKTHGIALFAIDEAHCVSKWGHDFRPHYRRLSVLRENFCASNLEFLEYDVPIMALTATATVHVQEDIVESLHLSKETQTVLTSFFRPNLQFSVKHSRTKFASSYAKDFQNLIDLYSEKKKSTGKKLAVISRESEEQTDFGYHDAQNIHETDYDEDEEDQENSLAKKNSSNGKELSEEYLEDETDIFQSVDDWDVACGEFCAMPPCELLDVPIPSEKQKDLEGLTIIYVPTRKESVNIAKYLCGVGLKAAAYNASLPKKHLRQVHQDFHENKLQVVVATIAFGMGIDKKNVRKIIHYGWPQSLEAYYQEAGRAGRDGELAECVLYADLSRAPTLLPSRRSKEQTEQAYKMLSDCFRYGMKTSQCRAKILVEYFGEDFSSKKCNLCDVCTEGPPEQVNVREEANLLFQVINAFHVDNDSEHAPYEDYGLGNSKQKKLSHKPNLLFFISKIREQCQKFKETDCLWWKGLARIMEAKGYIKEMDNKDRRVEIKFIKPTEKGKKQLDFEDDKPLYVYPEADMLLSLKQDRTYSGFSEWGKGWADPEIRRQRLERRERKPRRERKPRKKRTRGPSSKKLHPWRSKE >fgenesh2_kg.6__2695__AT5G27670.1 pep chromosome:v.1.0:6:12739127:12740011:1 gene:fgenesh2_kg.6__2695__AT5G27670.1 transcript:fgenesh2_kg.6__2695__AT5G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:D7M5J4] MESSQATTKPARGAGGRKGGDRKKSVTKSVKAGLQFPVGRIARYLKKGRYAIRYGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRINPRHLCLAIRNDEELGKLLHGVTIASGGVLPNINPVLLPKKSTAAEKASPATKSPKKA >fgenesh2_kg.6__2696__AT5G27660.1 pep chromosome:v.1.0:6:12740339:12742671:1 gene:fgenesh2_kg.6__2696__AT5G27660.1 transcript:fgenesh2_kg.6__2696__AT5G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-type peptidase/ trypsin [Source:UniProtKB/TrEMBL;Acc:D7M5J5] MNFLRRAVSSSSKRSELIRIVAVATATSGIVYANSNPDARTRISLAIPESVRESLLLLPWRISPGLIHRPDQSLFGNFAFSSRVSPKSEAAVNDEKGVPVEASDSSKPSNGYLGRDTIANAAARVGPAVVNLSVPQGFHGISMGKSIGSGTIIDADGTILTCAHVVVDFQNIRQSSKGRVDVTLQDGRTFEGVVVNADLQSDIALVKIKSKTPLPTAKLGFSSKLRPGDWVIAVGCPLSLQNTITAGIVSCVDRKSSDLGLGGTRREYLQTDCAINAGNSGGPLVNLDGEVIGVNIMKVLAADGLGFSVPIDSVSKIIEHFKKSGRVIRPWIGLKMIELNKMIIAQLKERDPMFPNVERGILVPTVIPGSPADRAGFKPGDVVVRFDGKPIIEIIDDRIGKRMQVVVERSNKERVTLEVIPEEANPDM >fgenesh2_kg.6__2697__AT5G25780.1 pep chromosome:v.1.0:6:12743267:12751759:1 gene:fgenesh2_kg.6__2697__AT5G25780.1 transcript:fgenesh2_kg.6__2697__AT5G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit B [Source:UniProtKB/TrEMBL;Acc:D7M626] MEVVDIDARAAKLGINWSQVNFDSIQLPPGEDFGIESDDEAVYHDDQSEFDTGFGNIIVVDHLPVVPKEKFEKLEGVVKKIYNQLGVIKENGLWMPVDPDTKMTLGYCFIEFNTPQEAQNAKEKSHGYKLDKSHIFAVNMFDDFDRLMNVREEWEPPQARPYVPGENLQKWLTDDKARDQLVIRSGPDTEVYWNDARQKKPEPVHKRPYWTESYVQWSPLGTYLVTLHKQGAAVWGGADTFTRLMRYQHSMVKLVDFSPGEKYLVTYHSQEPSNPRDASKVEIKVFDVRTGRMMRDFKGSADEFSIGGPGGVAGASWPVFRWAGGKDDKYFAKLSKNTISVYETETFSLIDKKSMKVDNVVDICWSPTDSILSLFVPEQGGGNQPAKVALVQIPSKVELRQKNLFSVSDCKMYWQSSGEYLAVKVDRYTKTKKSTYSGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDQPRPDVSFYSMKTTQNTGRVSKLATLKAKQANALFWSPTGKYIILAGLKGFNGQLEFFNVDELETMATAEHFMATDIEWDPTGRYVATAVTSVHEMENGFTIWSFNGIVIYRILKDHFFQLAWRPRPPSFLTAEKEEEIAKNLKKYSKKYEAEDQDVSLLLSEQDREKRKALKDEWEKWVMQWKALHEEEKLARQNLRDGEVSDVEEDEYEAKEVEFEDLIDVTEEIVQESM >fgenesh2_kg.6__2701__AT5G27630.1 pep chromosome:v.1.0:6:12752044:12757061:-1 gene:fgenesh2_kg.6__2701__AT5G27630.1 transcript:fgenesh2_kg.6__2701__AT5G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA binding protein 5, acyl-CoA-binding domain 5 [Source:UniProtKB/TrEMBL;Acc:D7M627] MAHMVRASSGLAYPERFYAAASYVGLDGSQSSVKQLSSKFSNDTSLLLYALHQQATLGPCNIPKPSAWNPVEQSKWKSWQGLGTMLSIEAMRLFVKILEKEDPGWYPRASNSVLEPAVDVQINSTKAEPSFESGASFGETKTISTEDERLTVTQDKDVVLEDPNTVSVYNKWTAPRTPGQPPKARYEHGAAVIQDKMYVYGGNHNGRYLGDLHVLDLKNWTWSRVETKVVTESQETSSPAKLTHCAGHSLIPWESKLLSVGGHTKDPSEFMIVKEFDLLSCSWSILKTHGKPPISRGGQSATLVGKSLVIFGGQDGKKSLLNDLHILHLDTMTWDEMDVVGSPPTPRSDHAAAVHAERYLLIFGGGSHTTCFDDLHVLDLQTMEWSRHTQQGEAPTPRAGHAGVTIGENWYIVGGGDNKTGASKTVVLNMSTLAWSVVTSVQGHVPLASEGLSLVVSSYNGEDIVVAFGGYNGHYNNKVNVLKPSHKSSLKSKIMGASAVPDSFSAVNNATTRDIESEIEVEGKAERIITTLESEKEEVGASLNKEKIQTLQLKEELAEIDTRNTELYKELQSVRNQLAVEQSRCLNLDGETFLSNVEVAELRQKLQTMETLQKELELLQRQRAVASEQVAAMNAKRQSSGGVWGWLAGTPPPKT >fgenesh2_kg.6__2704__AT5G27600.1 pep chromosome:v.1.0:6:12773604:12778096:-1 gene:fgenesh2_kg.6__2704__AT5G27600.1 transcript:fgenesh2_kg.6__2704__AT5G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain acyl-CoA synthetase 7 [Source:UniProtKB/TrEMBL;Acc:D7M631] MKFASPAQRRLETIRSHLYTSPADDQSSLFLNATASSASPFLNKDGYSVVLPEKPDNGKWNVYRSAKSPTKLVSRFQDHPEIGTLHDNFVYAVETYPENKYLGTRVRSDGTIGEYSWMTYAETASERQAIGSGLLFHGINQGACVGLYFINRPEWLVVDHACAAYSFISVPLYDTLGPDAVKFVVNHATLQAIFCVPQTLNILLSFLAEIPSIRLIVVVGGADEHLPSLPQGSGVNIVSYQKLLSQGRSTLHPFSPPKPEDIATICYTSGTTGTPKGVVLTHGNLIANVAGSSVEAEFLPSDTYISYLPLAHIYERANQIMAVYGGVTVGFYQGDVLKLMDDFAVLRPTIFCSVPRLYNRIYDGITSAVKSSGVIKQRLFQVAYNSKKQAIINGRNPSAFWDKLVFNKIKEKLGGRVRFMGSGASPLSPDVMDFLRVCFGCSVREGYGMTETSCVISAMDDGDNLSGHVGSPNPACEVKLVDVPEMNYTSDDQPYPRGEICVRGPIIFKGYYKDEEQTREILDGDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYTKCRFVSQCFIHGDSFNSSLVAIVSVDPDVMKDWAASEGIKYEHLGQLCNDPRVRKAVLAEMDDLGKEAQLRGFEFAKAVTLVPEPFTLENGLLTPTFKIKRPQAKAYFAEAIAKMYAEIAASNPMPSKL >fgenesh2_kg.6__2705__AT5G27560.1 pep chromosome:v.1.0:6:12778780:12780882:-1 gene:fgenesh2_kg.6__2705__AT5G27560.1 transcript:fgenesh2_kg.6__2705__AT5G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSVPSCFHGYLHRCSFSTTQFYAGSLSCGFSASPTSRIRTCVKFDKFQGDSPLEPTTSVSTSSVSQELPLEEEAQDQLEDDEFSNFCLPNDLEGAVRQSGEAGAAFVNSGGTRAIVELLIPQLQFLDDEGAQAELWDLSRVFLDTLIKETGCERVKAVFPDAGAAALLKYRWKDATFGFASLSDRKPVEKEDEIIVMVVPDYQMLEYVEKIAKGLADDPPRPLIMWNPRLISEEVGVGFNVRKLRRYFLSSFTTVYSMRPLAAGAVFRCYPGKWKVFYDNKDRPGRYLLAKELIGRPDAEDLEIIYGGVEEKSEEGPSLLSQAAGIFSSINRFMKSM >fgenesh2_kg.6__2706__AT5G27550.1 pep chromosome:v.1.0:6:12782360:12784196:1 gene:fgenesh2_kg.6__2706__AT5G27550.1 transcript:fgenesh2_kg.6__2706__AT5G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7M633] MAATKLGTLEKQYLEESSERKRLYNEVIELKGNIRVFCRCRPLNQAEIANGFASVAEFEPTQENELQILSSDSSKKHFKFDHVFKPEDGQEAVFAQTKPIVTSVLDGYNVCIFAYGQTGTGKTFTMEGTPENRGVNYRTLEELFRCSESKSHLMKFELSVSMLEVYNEKIRDLLVDNSNQPPKKLEVKQSAEGTQEVPGLVEAQVYNTDGVWDLLKKGYAVRSVGSTAANEQSSRSHCLLRVTVKGENLINGQRTRSHLWLVDLAGSERVGKVEVEGERLKESQFINKSLSALGDVIAALASKTSHIPYRNSKLTHMLQNSLGGDCKTLMFVQISPSSADLGETLCSLNFASRVRGIESGPARKQADVSEHLKSKQMAEKLKHEEKETKKLQDNVQSLQLRLTAREHICRGLQDKVVRFN >fgenesh2_kg.6__2708__AT5G27540.1 pep chromosome:v.1.0:6:12786071:12790929:-1 gene:fgenesh2_kg.6__2708__AT5G27540.1 transcript:fgenesh2_kg.6__2708__AT5G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase [Source:UniProtKB/TrEMBL;Acc:D7M635] MARYAAGTVDCPGSPKSVRIVVVGDKGTGKSSLIVAAATDSFPPNVPPVLPDYKLPVEFFPDGIPVTIVDTSSRPEDRDMVAEELKRADAVVLTYACDRPETLERLSEYWLPELRRLEVKIPIIVAGCRLDFRDDNNQVSLEQVMSPIMQQFREIETCIECSALKQLQAQEVFYYAQKTVLHPTGPLFDQDSQALKPRCVRALKRIFILCDHDRDGALSEAELNDFQVKCFHAPLQPSEIEGVKRVVQEKLPEGVNERGLTVTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIRLAEELLPSTIFKGAPDQSFELTNAAIEFLKGMYMLFDDDQDNNLRPQEIEDLFSTAPESPWKEAPYEDAAEKTALGGLSFDAFLSMWSLMTLLEPARSVENLIYIGFPGDPSTAIRVTRRRRLDRKKQQCERKVFQCFVLGPNNAGKSSLLNCFLGRSYADNQESTTDERYAVNMVDESGGAKKTLIMREIPEDGVQGLFSSKESLAACDIAVFVYDSSDESSWKRATQLLVEVATYGEATGYEVPCLMVSAKDDLDSSPISIQESTRMTQDMGIEPPVSISSKLGDFNNLFRKILTAAQHPHLSIPETEAGKSRKHYNRLINRSLMAVSIGAAAVVVGLAAYRVYATRKSSSA >fgenesh2_kg.6__270__AT5G03570.1 pep chromosome:v.1.0:6:1067831:1069962:1 gene:fgenesh2_kg.6__270__AT5G03570.1 transcript:fgenesh2_kg.6__270__AT5G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETETRVFLSSEQHQEEEPSLPRSMVISLYLGYFLARWGARTWEFSVALYMIYLWPNSLFLTAMYGVVESGSAAIFGPIVGQMIDGMSYVKVLRLWLVTQNLSFIVAGGAVVALLLVPDLRSHNFPVFAILVVLTNVSGAIGVLSTLAGTILIERDWVVVMSEGHSPTVLTRMNSVIRGIDLSSKLLSPVITGLIISFVSLKASAITFAAWATITVWVEYWLFISVYNGVPAIVQSDERRRLRLSQSQGEETESASSFYVPLLHEEESYRKSQSRSGIFRILERISESSFVSAWRNYLNQEIVLPGVALALLFFTVLSFGTLMTATLEWKGIPTYIIGIGRGISAGVGLAATVLYPLMQSRISPLRTGLWSFWSQWTCLLVCVGSIWVEKEKIASYMLMAGVAASRLGLWMFDLAVIQQMQDLVPESDRCVVGGVQNSLQAALALMANLLGIIVSNPKDFWMLTLISFATVSFAGMLYTIHLYRIRKHLFHLEKIPLLNNFLVS >fgenesh2_kg.6__2710__AT5G27520.1 pep chromosome:v.1.0:6:12797611:12799673:1 gene:fgenesh2_kg.6__2710__AT5G27520.1 transcript:fgenesh2_kg.6__2710__AT5G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7M637] MGVDLDLESISEATSGAIGSLLSTTILYPLDTCKSKFQAEIRVRGQQKYRYLSDVFWEAISSGNVLSLYQGLGTKNLQSFISSFIYFYSYSYFKRLHSQRIGSKSIGTKANLLIAAAAGACTSVLTQPLDTASSRMQTSEFGKSKGLWKTLTDGSWGNAFDGLGISLLLTSNPAIQYTVFDQLKQNLLEKRKAKSSKDSSPVVLSAFMAFVLGAVSKSAATVITYPAIRCKVMIQAADDSKENETKKPRKRIRKTIPGVVYAIWKKEGILGFFKGLQAQILKTVLSSALLLMIKEKITATTWILILAIRTLFVTKGRLKSP >fgenesh2_kg.6__2711__AT5G27510.1 pep chromosome:v.1.0:6:12799998:12800903:-1 gene:fgenesh2_kg.6__2711__AT5G27510.1 transcript:fgenesh2_kg.6__2711__AT5G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M638] MESNEEYIKFLGEGAYSFVDLFKYTKSDGSSFLAAVKSSDEEDSLLTEIRILSELRGCPRIIQYFGNDLEEGFDYKGNRVYKLLLEYATEGSLSDFMNNYTDRKLPDLMIRDFTRMILEGLVSIHSHGYVHCDLKSDNLLVFKCRDSYELKISDFGISLEVGEIPDHWKIEYPFVGTPNYMPPESLQDGVAKKTLDLWSLGCLVLEMYVGKKPWLGFNPDDFVSILSNGNAPEIPESLPCDAREFIQTCFARNPKERGTASELLSHRFLRRGKWKSKMTTPFNRLKFNKILNKFLRLKNFL >fgenesh2_kg.6__2712__AT5G27490.1 pep chromosome:v.1.0:6:12816054:12817771:1 gene:fgenesh2_kg.6__2712__AT5G27490.1 transcript:fgenesh2_kg.6__2712__AT5G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:D7M640] MMSGGGYSNIDHQKVSGSVPAVPDPGHVTVKFADSNLQTFPPSATQGKISGGTNPPRDVDDTFSRPVNGTDEPQSGGWLHRFTVGAYKPYFDVDTSDVVERLKESLFPFRGTFTEKTANNPDLYGPFWICTTLIFVAASIGTFVTYIAHKLKKQEWNYDINLVTWSAGVFYGYVTIVPLALYVVLKYFSAPSGLVQLFCLYGYSLFVFIPALCLSVVPLEIFRWVIAGLAGFMSATFVALNLKAHINSAGERWFLIVVSIFLLQLALSVVLKLYLFTVTV >fgenesh2_kg.6__2713__AT5G27470.1 pep chromosome:v.1.0:6:12817923:12820218:-1 gene:fgenesh2_kg.6__2713__AT5G27470.1 transcript:fgenesh2_kg.6__2713__AT5G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seryl-tRNA synthetase [Source:UniProtKB/TrEMBL;Acc:D7M641] MLDINLFREEKGNNPEIIRESQRRRFASVEVVDEIIKLDKEWRQRQFEVDSFRKEFNKLNKQVAQLKIKKEDATEIIQQTEKNKQDSTAKEAEVREAYAALKAKLETVGNLIHDSVPIDKDEANNLVIKLWGEKRFSTPGLKLKNHVDLVELLGIADTKRGAEIAGARGFFLKGDGLMLNQALINFGLTFLKKRGFTGLQPPFFMRKDVMAKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHLDEWIHPTELPLRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKIEQFCITGPNENASWEMLDEMMKNSEDFYQALKLPYQIVSIVSGALNDAAAKKYDLEAWFPSSETFRELVSCSNCTDYQARRLEIRYGQKKSNEQTKQYVHMLNSTLTATERTICCILENYQREDGVDIPEVLQPFMGGETFLPFKAKPVVADTKGKKSKA >fgenesh2_kg.6__2716__AT5G27450.1 pep chromosome:v.1.0:6:12825608:12828292:-1 gene:fgenesh2_kg.6__2716__AT5G27450.1 transcript:fgenesh2_kg.6__2716__AT5G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:UniProtKB/TrEMBL;Acc:D7M644] MEVKARAPGKIILAGEHAVVHGSTAVAAAIDLYTYVTLRFPLQSAENSDRLTLQLKDISLEFSWSLARIKEAIPYDSSTLCRSTPTSCSQETLKSIAVLVEEQNLPKEKIWLSSGISTFLWLYTRIIGFNPATVVINSELPYGSGLGSSAALCVALTAALLASSISDKTCGNGWSSLDETNLELLNKWAFEGEKIIHGKPSGIDNTVSAYGNMIKFCSGEITRLQSNMPLRMLITNTRVGRNTKALVSGVSQRAARHPDAMNSVFNAVDSISQELAAIIQSKDETSVTEKEESIKELMEMNQGLLQSMGVSHSSIEAVVQTTVKHKLVSKLTGAGGGGCVLTLLPTGTVVDKVVEELESSGFQCFTASIGGNGAQISY >fgenesh2_kg.6__2717__AT5G27440.1 pep chromosome:v.1.0:6:12828554:12829332:1 gene:fgenesh2_kg.6__2717__AT5G27440.1 transcript:fgenesh2_kg.6__2717__AT5G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLHLTSSHLNGFHFLNPQQSSKLSSISRFSVSISHRNQFQSLVICAKKRKKRVGYRRITRFLFNSMSLLPPNLQILTQPLDLVIADLGGVDGGGGRGGGLGFWRGWGRFDGWRRKKNRVPVLVFVCVILWIYGFCKISGIEIKSELVLKLLGLCFFGVTMVRELKREARFLVFGFLCFVASLVFGFKKEHLVKLAWRVRSSSSSVLLCRRRSRRRV >fgenesh2_kg.6__2719__AT5G27410.1 pep chromosome:v.1.0:6:12840356:12844534:-1 gene:fgenesh2_kg.6__2719__AT5G27410.1 transcript:fgenesh2_kg.6__2719__AT5G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase class IV family protein [Source:UniProtKB/TrEMBL;Acc:D7M648] MEVIHAWSAPRSLSTTLLYSFAQRDDIEVLDEPLYAAFLKSTGVDRPYRDELLSKMECDGEKVVKDIIYGPGKKKYRFCKHISKQRLLGLPSELMSKGKHFILIRNPLNILPSFEKVHPSSFHELGLGELVSIYSDLCQMGTPPAIIDADELQRDPEVTLRSLCDDLEIPFQASMLKWEAGPIPEDGLWAPWWYKTLHKSTGFSSPKKYPQTFPLMHYDLLEQCLPLYNILRRQVKHKSSLLTSTLTPPSLPVPENAKLLAWVGDEIVPREMAKVSVFDSVVQGGDSVWEGLRIYKGKVFKLEEHLDRLSDSAKALAFNNVPTREEIKEAIFRTLITNGMFDNTHIRLSLTRGKKVTSGMSPAFNRYGCTLIVLAEWKPPVYDNDGGIVLVTATTRRNSPNNLDSKIHHNNLLNNILAKIESNNAKVDDAIMLDKDGFVSETNATNIFMVKKDRVLTPHADYCLPGITRATVMELVVKENFILEERRISLSEFHTADEVWTTGTMGELSPVVKIDGRVIGEGKVGPVTRRLQSAYKKLTDGSGVPIPTYQEP >fgenesh2_kg.6__2724__AT5G27380.1 pep chromosome:v.1.0:6:12853115:12856173:1 gene:fgenesh2_kg.6__2724__AT5G27380.1 transcript:fgenesh2_kg.6__2724__AT5G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione synthetase [Source:UniProtKB/TrEMBL;Acc:D7M652] MGSGGGSVCSSLSYSSSSTCFASLFSICSPSSSSLKLNPRRFLFHNPTNLRKQAPLRCGRSPEMESKKPIFDVETFDDDFVQKLVYDALVWSSLHGLVVGDKTYQKSGNVPGVGLMHAPISLLPTAFPEIYWKQACDVTPIFNELIDRVSLDGKFLQDSLSRTKKADVFTSRLLDIHSKMLERNKKEDIRLGLHRLDYMLDEETNSLLQIEMNTISSSFPGLSRLVSQLHQSLLRSYGDQIGIDSERVPRNTSTIQFADAIAKAWLEYNNPRAVVMVTVQPEERNMYDQHLLSRNTHNIVAIRKTLAEVETEGSVQEDGTLIVGGQAVAVVYFRSGYTPNDHPSESEWNARLLIEESSAVKCPSIAYHLTGSKKIQQELAKPGVLERFLDNKEDIAKLRKCFAGLWSLDDPEIVKQAIEKPGLFVMKPQREGGGNNIYGDDVRENLLRLQKEGEDGNAAYILMQRIFPKVSNMFLVREGVYHKNQVISELGVYGAYLRNKDNVIVNEQSGYLMRTKIESSDEGGVAAGFAVLDSIYLN >fgenesh2_kg.6__2732__AT5G50300.1 pep chromosome:v.1.0:6:13089311:13091278:1 gene:fgenesh2_kg.6__2732__AT5G50300.1 transcript:fgenesh2_kg.6__2732__AT5G50300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKKLCKSWNDMKKHLYRFQELRRCNRYIPHHGLFITVNANILADSGATCSFHDCSTVSGSSPPGPECVLGSNPGYEECLARVKKDLVVATLSAMVGSLAMGLFANLPFGLAPGMGANAHIAYNVVGFRGSGSISYHTAMAIVLLEGCAFLAVSALGLRGKLACLIPQTVRLACTVDEPGHWARGTGKVNSSDINRCAETDSVTGTCLGGKMKSPTFWLAVVGTSKGSMIYGIVFVTAVSWIRGTQIQSTLGAISFTEFRKSEVWVAFATLFYVDLLGTTGVLYTMAEIGGFVEDGNRRRLLRGGIGARVTTTATFVESSAGLKEGGKTGLTAVIVGVYFLASMFLTPLVTNVPRWAVGPSLVMVGVMMMGVVKDIRWGEIKEAVTAFVTILLMPLTYSIANGIIAGIGIYLALSMYDVVLGVAKLLNGVRKRVMREHNQVSSVATV >fgenesh2_kg.6__2733__AT5G27280.1 pep chromosome:v.1.0:6:13093596:13094651:-1 gene:fgenesh2_kg.6__2733__AT5G27280.1 transcript:fgenesh2_kg.6__2733__AT5G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSLSSAATIISSSSSPLSIFSPKKRTDSSPSPRIVRLSKKKEDKDYDPQQSESNSSSLVPLFRNRTLSNDEAMGLVLSAASVKGWTTGSGMEGPSLPAKPDTETVSTFPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHEVKYYVSSSSFNYRDAKWDVSGLNLFDDEEDDDDNDNDAGDSKDVFPL >fgenesh2_kg.6__2734__AT5G27270.1 pep chromosome:v.1.0:6:13137439:13141545:-1 gene:fgenesh2_kg.6__2734__AT5G27270.1 transcript:fgenesh2_kg.6__2734__AT5G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M672] MKSDFLTSTTHFNPSIFLPKIPSRNSKISIKSSSSSKVRPDPWSLSDGNPEKPKPRYERPKHPLSDDDARRIIKKKAQYLSTLRRNQGSHAMTPKWIKRTPEQMVQYLEDDRNGQMYGKHVVAAIKTVRGLSQRREGSDDMRLVMSSFVAKLSFRDMCVVLKEQRGWRQVRDFFSWMKLQLSYRPSVVVYTIVLRLYGQVGKIKMAEETFLEMLEVGCEPDGVACGTMLCTYARWGRHNAMLTFYKAVQERRIILSTSVYNFMLSSLQKNQMVEEGVPPNEFTYTLVVSSYAKQGFKEEALWAFGEMKSLGFIPEEVTYSSVIGLSVKAGDWDQAVGLYEDMRSRGIVPSNYTCASMLSLYYKTENYPKALSLFADMERNKIPADEVIRGLIIRIYGKLGLFHDAQSIFEETERLNLLADEKTYLAMSQVHLNSGNVVKALDVIEMMKTRDIPLSRFAYIVMLQCYAKIQNVDCAEEAFRALSNTGLPDASSCNDMLNLYTRLNLGEKAKGFIKQITADQVQFNIELYKMAMRVYCKEGMVAEAIELVDKMGRVAVVKDDRFVQTLAEAMYIEINKLDKHEAVLNVSRLDVTALGMLLNLRLKEGNLIETKAILNLMFQTDLGSLAVNRVLSTFVREGDVFKAEILADIIIKLGLRIEEETVATLIAVYGRQHKLKEAKRLYVSAGESKTLGKSVISSMIDAYVRCGWLEDAFGLFMESAEKGCDPGAITISILVNALTNRGKHREAEHISRTCLEKNMALDTVGYNTLIKAMLEAGKLQCASEIYERMHTSGVPCSIQTYNTMISVYGRGLQLDKAIEIFSNARRSGLYLDEKIYTNMIMHYGKAGKMSEALSLFSEMQKKGIKPGTPSYNMVVKICATSRLHREVDELLQAMERTGICTESSTYLSLIQAYAESSQFAEAEKTITLMQEKGIPLFHSHFSLLLSAFAKAGMMDEAERIYSKMSEAGISPDSACKRTILKGYMSCGDAEKGILFYKKVIRSSVEDDRFVSSVVQDLYKAVGKEHDV >fgenesh2_kg.6__2735__AT5G27240.1 pep chromosome:v.1.0:6:13141889:13145234:-1 gene:fgenesh2_kg.6__2735__AT5G27240.1 transcript:fgenesh2_kg.6__2735__AT5G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M673] MDCNKEEASRAKTLAEDKMKNGDFVGAQKLLLKAQSLFSGLETLPQMLAVCDVHNSAEKKLNGLENWYGILQVMHFADDATIKKQVRKLALLLHPDKNQFPGAESAFKLVWDASRFLADKDKRSQYDIKRRIYLRVASYQLNAANYGLQCASDSVTFWTCCEHCGYRYRYLIEYANTLLQCTSCQRSYMAYDTGFNGASFKSSTGQKDVRNQGPCNTSVNINAESTGAQPGSVAAEVDKKGTFNEEFNKKNGGGEIKKTHVKKPKRKAESMKSYAQSSIPEKDEGKMNSAAKLQKPQPEATEPEIGLSKSVPDESISRSDQAPSTSKDRNKRKKCVEESINVDGSDIVKDKADSNDNSKWKSPRRGQQSSWAEKVGSDDSPPKKRSRSNVGLKSEQTTRKGLVGVGSSTRRDSGGGSTAPSCVFNGKAKKFVDSGYPEISASKDKVREGCKESGEGVVMAAKIDNNHNANEKLITQDSPDPEFSNFELTTSCFAVNQVWSLYDPTDGMPRLYARIEKVLDSEFKLWITWIDPLQDNNSIPIACGIFQVGDSAEENDHLKFSSQMFHLTRNNSIGIYPRKGEIWAIFRGWDISWSASSENHKHPYEYDFIGLGVVFLGTSGRVCFPLSAKCTGWSPQLQIPPSEMLRFSHKVPSFKMTGKEREGVPPGCFELDTAALPKEFFEVCDSKVDVGLERERPNGKTGGPFPEASKVEMQANTTLESSPVKKKRPKVHDNHGSFSKESDGRCTNHEKNSVKKSRKSVKAVDVLNLRKSPRLLSETNSQANSRSGQQIAEKKSANNGEYCGQRGGLSVSGERMSTPMISEKTITTDSSRMRKTPQDIHKPTGNLKKHGRNDESLSQSRGNGLLTQLNGSIKCSEPETRVPSSCKTVKENTFNFEYQRSWDKFQIDQIWAIYSNDEGIPRKYAQIKKIDTSPEFKLHVAPLELYRPPIHMPRPVCCGRFKLKTGKAEIFVPSSFSHQVKAVKAKRNRFEVYPGKGEIWALYQNWNTTDCAETEELEIVEVVETDEQRIQAMLLTAKEFNNKPLYGSSQESNASLVDIPKTEVCRFSHQIPAFRHERRATRFGDGEYWELDLKAVRGLNLKNP >fgenesh2_kg.6__2740__AT1G24360.1 pep chromosome:v.1.0:6:13204899:13207458:1 gene:fgenesh2_kg.6__2740__AT1G24360.1 transcript:fgenesh2_kg.6__2740__AT1G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-reductase [Source:UniProtKB/TrEMBL;Acc:D7M684] MATAVTAPRLISLKSAAKLGYREISQVRQWAPLHSAMPRFGILRFVKAQATATEQSPGEVVQKVESPVVVITGASRGIGKAIALALGKAGCKIEEYGGQAITFGGDVSKAADVDAMMKTALDKWGTIDVVVNNAGITRDTLLIRMKQSQWDEVIALNLTGVFLCTQAAVKIMMKKKKGRIINISSVVGLIGNIGQANYAAAKGGVIAFSKTIAREGASRNINVNAVCPGFIASDMTAELGEDMEKKILGTIPLGRYGKAEEVAGLVEFLALSPAASYITGQAFTIDGGIAI >fgenesh2_kg.6__2741__AT5G27210.1 pep chromosome:v.1.0:6:13218711:13220774:1 gene:fgenesh2_kg.6__2741__AT5G27210.1 transcript:fgenesh2_kg.6__2741__AT5G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEEIAESPFLISQLNPNSTANGYRWVDKCHGFLHNTVLVAASLFFVVYLAYEAKKSLSKLSNRRSYIMIAYYGCLWLVSLLNLAWCCLQGWECTPGKQVVWNLLTLFTTSGMLFLEVSLVAFLFQGNYASGAEALTRTFLISGFIVALDLLLKAIFLFGFGVPLFIDNNENGKTFKWGLWIIHKLLLTGVYGMVFLMYNSRWREKLPARPAFYNYIIIMFALYSLYLVASAFTANSTHFGFWLYGIMSVCYHALYLPLLYVTFLADFFQEEDLNLENVYYSEMRDAGFFDSDWE >fgenesh2_kg.6__2744__AT5G27120.1 pep chromosome:v.1.0:6:13280580:13283373:-1 gene:fgenesh2_kg.6__2744__AT5G27120.1 transcript:fgenesh2_kg.6__2744__AT5G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILFETPGGFAIFKVLDEGKLSNVEDIGNEFLTAKSARKMVKLVAFDKFDNTAEALEAVAKLLEGTPSKGLRKFLKANCVGETLAVADSKLGNIIKEKLKIDCVHNNAVMELLRGVRSQLSELISGLGDKDLAPMSLGLSHSLARYKLKFSTDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIVQDNILYAKAVKLMGNRVNAAKLDFSEILADEVEAELKEAAVISMGTEVSDLDLLHIRELCDQVLSLAEYRAQLFDYLKSRMNTIAPNLSALVGELVGARLISHGGSLLNLSKQPGSTVQILGAEKALFRALKTKHATPKYGLIYHASVVCQATPKNKGKISRSLAAKVALAVRCDALGYGQDNTMGVENRLKLEARLRTLEGKDLGRLSGSAKGKPKIEVYDKDKKKGSGGLITPAKTYNTAADSLLQTPTVDSENGVKEKKDKKKKKKKADDEEEPKTEEPSKKKSKKKKTEAEPETAEEPTKKEKKKRKHEEEETEMPVKKKERSEKKKKKTEV >fgenesh2_kg.6__2748__AT5G27944.1 pep chromosome:v.1.0:6:13292065:13292715:-1 gene:fgenesh2_kg.6__2748__AT5G27944.1 transcript:fgenesh2_kg.6__2748__AT5G27944.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M699] MSTKKKTKLSLRNQTCFKKSSLSSSTAKKTTNLSMREETMFKKAFELSTLCDIEVCVIYYGRDGELIKTWPEDQSKVRDMAERFTKLNDRERRKKSTNLSLLLRKKILDDNKLSEKVLEMKDSLESGIRVLQDKLKLLQPENQIELGQSRVVSSTTNPLSSPSLIEDHHQQQSPLMSNTEQELSTSSLSQHQSKFSVFLYNHDNGSFCQLPNS >fgenesh2_kg.6__274__AT5G03610.1 pep chromosome:v.1.0:6:1081235:1084740:1 gene:fgenesh2_kg.6__274__AT5G03610.1 transcript:fgenesh2_kg.6__274__AT5G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LWS0] MDSLIKLFFSLFLFLFTSLLFGEINGVEGSNQNHHLYAFRPTKLFVFGDSYADTGNIKKAFSSSWKFPYGITFPGKPAGRFSDGRVATDFLAKFVGIKSPIPYFWKDYAGKKRLQYGMNFAYGGTGVFNTQTPLPNMTTQIDIFQNLLTAGDIYYPSELTSSVALVSVAGNDYSNFIALNRPASEFPAFIKQVVDQTEVNLRRIHALGVKKIAVPSLQPLGCLPPFTFVSSFQRCNETQNALVNLHNNLLQQVVAKLNNETKQSTFIILDLYNAFLTVFKNKGANPGSTRFESPLKPCCIGVSRKYNCGSVDEKGVKKYIVCDNPKSAFFWDGLHPTEEGWRSVYSVLRESLTASLIKA >fgenesh2_kg.6__2751__AT5G27070.1 pep chromosome:v.1.0:6:13294629:13295462:-1 gene:fgenesh2_kg.6__2751__AT5G27070.1 transcript:fgenesh2_kg.6__2751__AT5G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M6A0] MSTKKKTKLSLRNQTRFKKSSLSSSTAKKTTNLSMREDTMFKKAFELSTLCDIEVCVIYYGRDGELIKTWPEDKSKVRDMAERFTKLNDRERRKKSTNLSLLLRKKILDDDKLSEKVLEMKDSLESGLRVLQDKLLLLQPENQTELGQSRVVSSTTNPLSSPEDHHQQQWTESLVNGVSNTEQDLSMSSLSQHQSKFSIFLYNHDNGSFCQLPDSVSSFDQSALLGAQGSDLRSNFDHLPMVFPPQMQTQTPLVHFDQFAPWNQAPSFTDPMMFSYN >fgenesh2_kg.6__2753__AT5G27570.1 pep chromosome:v.1.0:6:13296052:13297284:1 gene:fgenesh2_kg.6__2753__AT5G27570.1 transcript:fgenesh2_kg.6__2753__AT5G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDYAPYALTEGRKPKVEVTSASRKAYMNQLAETMNQNRTRILAFRNKPKALLSSNHSDSPHEQSKSVKRRRYIPQNSEKILDAPGIVDDFYLNLLDWGSSNVLALALGHSIYLRDASSDSTSMLVTIDEEKGPVTSINWMQDGCTLAIGLDNSEVQIWDSASNSQLRTLRGGHQTRVGSLAWNNHILTTGGRDGKIINNDVRIRSSIVGSYLGHTDEVCGLKWSESGKQLASGGNDKVVHIWDRSLASSNSTRKWLQRFEGHTAATKALAWCPFQANLLATGGGVGDRTIKFWNTHTGACLNSVETGSQVCSLLWSNKERELLSSHGFTQNQLTLWKYPSMLKIAELNGHTSRVLYMAQSPDGCTVASAAGDETLRLWNVFGVPPPKTTKKAAPKAYLQIFSHVNCIR >fgenesh2_kg.6__2754__AT5G27950.1 pep chromosome:v.1.0:6:13297634:13301682:1 gene:fgenesh2_kg.6__2754__AT5G27950.1 transcript:fgenesh2_kg.6__2754__AT5G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISAEKGEILIPQSPVSSESVVPLVYTDVNVVPEHERNQLEKSISNLEGEVLELKSKLKSLEEKRREVLNKIIDTKGSIRVFCRVRPFLLTERRPIREPVSFGPDNIVIRSAGSRKEFEFDKVFHQSATQEDVFGEVKPILRSALDGHNVCVLAYGQTGTGKTFTMDGTNEQPGLAPRAIKELFNEASMDPTHSVTFKMSMLEIYMGNLKDLLSARQSLKSYEASAKCNLNIQVDLKGSVEIEGLTEVEVPDFTKARWWYNKGRRVRSTSWTNVNETSSRSHCLTRITIFRRGDAVGSKTEVSKLWMIDLGGSERLLKTGAIGQTMDEGRAINLSLSALGDVIAALRRKKGHVPYRNSKLTQILKDSLGTRSKVLMLVHISPRDEDVGETICSLSFTKRARALESNRGLTSELQKLREKKISELEVEMRETQDNCKKIKARLLEAECLLNENKKLFWITNDKHLEDIEKKVLSPLDHLQETDATPKSSDKLVKISKSSGFVPRFMTSTVASRQRQTMSEKEINAKAQSIRSMVKNLTQFSTSQSLSLSDSRNRVLLRRSYTKPLQATANSGTTPETPKRHIKDNSLQRKNMNDTSSPRSKMVTSSDPNVRAKLCHHRRRMSSLT >fgenesh2_kg.6__2756__AT5G27980.1 pep chromosome:v.1.0:6:13349582:13350525:-1 gene:fgenesh2_kg.6__2756__AT5G27980.1 transcript:fgenesh2_kg.6__2756__AT5G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed maturation family protein [Source:UniProtKB/TrEMBL;Acc:D7M6A6] MSEEQLQKPIDCVDVKGEAEKISTTEGSIKAAEDKEKGVVAEASGEQAEGEVNQKKVVANPLKSEGTITIGEALEAAVLTAGNKPVEWSDAAAIQAAEVRATGRTNIMPGGVAASAQSAATLNARVGSDDTKTTLADVLTGASSKLPSDKAATRKDAEGVTGAEMRNDPHLTTYPTGVAASVAAAARINQSK >fgenesh2_kg.6__2759__AT5G28020.4 pep chromosome:v.1.0:6:13541608:13543534:1 gene:fgenesh2_kg.6__2759__AT5G28020.4 transcript:fgenesh2_kg.6__2759__AT5G28020.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:D7M6S7] MEDRCSIKNDVTELIGNTPMVYLNNVVDGCVARIAAKLEMMEPFSSVKDRIAYSMIKDAEEKGLITPGKSTLIEPTAGNTGIGLACVGAARGYKVILVMPSTMSLERRIILKALGAELHLSEQRIGLKGMLEKTDAILSKTPGGYIPQQFENAANPEIHYQTTGPEIWRDSAGKVDILVAGVGTGGTASGVGKFLKEQNKDIKVCVVEPEESPVLSGGQPGPHLIQGIGSGIIPFNLDLTIVDEIIKVTGEEAIETAKLLALKEGLLVGISSGAAAAAALKVAKRPENTGKLIAVVFPSGGERYLSTKLFDSVRYEAENLPIEY >fgenesh2_kg.6__275__AT5G03620.1 pep chromosome:v.1.0:6:1085044:1088196:1 gene:fgenesh2_kg.6__275__AT5G03620.1 transcript:fgenesh2_kg.6__275__AT5G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7LWS1] MVSNQRLRLFILCFCLVNTAFIAATEDENNERKPYIVYMGEATENSHVEAAENHHNLLLTVIGDESKAREVKMYSYGKNINGFVARLFPHEAEKLSREEGVVSVFKNTQRQLHTTRSWDFLGLVESKYKRSVAIESNIIVGVLDTGIDVDSPSFNDKGVGPPPAKWKGKCVTGNNFTRCNNKVLGAKYFRLQQEGLPDGEGDSAADYDGHGTHTSSTIAGVSVSSASLFGIANGTARGGVPSARIAAYKVCWDSGCTDMDMLAAFDEAISDGVDIISISIGGASLPFFEDPIAIGAFHAMKRGILTMCSAGNNGPGLFTVSNLAPWVMTVAANSLDRKFETVVKLGNGLTASGISLNGFNPRKKMYPLTSGSLASNLSAGGYGEPSTCEPGTLGEDKVMGKVVYCEAGREEGGNGGQGQDHVVRSLKGAGVIVQLLEPTDMATSTLIAGSYVFFEDGTKITEYINSTKNPQAVIFKTKTTKMLAPSISSFSARGPQRISPNILKPDISAPGLNILAAYSKLASVTGYPDDNRRTLFSIMSGTSMACPHAAAAAAYVKSFHPDWSPAAIKSALMTTATPMRIKGNEAELSYGSGQINPRRAIHPGLVYDITEDAYLRFLCKEGYNSTSIGLLIGNNKNNTTTKKEYKCENFKRGLGSDGLNYPSMHKQVTSTDTKVSEVFYRTVRNVGYGPSTYVARVWAPKGLRVEVVPKVMSFERPGEKKNFKVVIDGVWDETMKGIVSASVEWDDSRGHVVRSPILLFRSDNDYR >fgenesh2_kg.6__2764__AT5G28010.1 pep chromosome:v.1.0:6:13549481:13550062:-1 gene:fgenesh2_kg.6__2764__AT5G28010.1 transcript:fgenesh2_kg.6__2764__AT5G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I allergen family protein [Source:UniProtKB/TrEMBL;Acc:D7M6S8] MADTVEAMPNPSLLGELEVEVEIKAPAATFYHIYAGRPHHVAKATPRNVQSCDLHDGEWGTVGSIVFWNYVHEGQAKVAKERIELVEPEKKLIKFRVIEGDVMAEYKSFLITIQVTPKEGGVGSVVKWHLEYEKIDENVAHPENLLPFFAEMTREIDEHLLSEE >fgenesh2_kg.6__276__AT5G03630.1 pep chromosome:v.1.0:6:1088517:1091071:-1 gene:fgenesh2_kg.6__276__AT5G03630.1 transcript:fgenesh2_kg.6__276__AT5G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSFKYVIVGGGVSAGYAAREFFKQGVKPGELAIISREQVPPYERPALSKGYIHLENKATLPNFYVAAGSGGERQFPQWYKEKGIELILGTEIVKADLAAKTLVSGTGQVFKYQTLLAATGSSVIRLSDFGVPGADAKNIFYLRELEDADYLAYAMETKEKGKAVVVGGGYIGLELSAALKANNLEVTMVYPEPWCMPRLFTAGIASFYEGYYANKGINIVKGTVASGFSTNSNGEVTEVKLKDGRTLEADIVIVGVGGRPIISLFKGQVEEEKGALQTDGFFKTSLPDVYAIGDVATFPMKLYNEMRRVEHVDHARKSAEQAVKAIKAAEEGNSIPEYDYLPYFYSRAFDLSWQFYGDNVGESVLFGDNDPKSPKPKFGSYWIKEGKVVGAFLEGGTPEENNAIAKLARAQPSVESLEVLSKEGLSFATKI >fgenesh2_kg.6__2770__AT5G28020.3 pep chromosome:v.1.0:6:13556973:13558773:-1 gene:fgenesh2_kg.6__2770__AT5G28020.3 transcript:fgenesh2_kg.6__2770__AT5G28020.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:D7M6S9] MEDRISLIKNDVTELIGNTPMVYLNKIVDGCVARIAAKLEMMEPCSSIKDRIAYSMIKDAEDKGLITPGKSTLIEATGGNTGIGLASIGASRGYKVILLMPATMSLERRIILRALGAEVHLTDMSIGIKGQLEKAKEILSKTPGGYIPHQFINPENPEIHYRTTGPEIWRDSAGKVDILVAGVGTGGTVTGTGKFLKEKNKDIKVCVVEPAESAVLSGGEPGPHLIQGIGSGEIPTNLDLSIVDEIIQVTGEEAIETAKLLALKEGLLVGISSGASAAAALKVAKRPENAGKLIVVIFPSGGERYLSTELFESVRYEAENLPVE >fgenesh2_kg.6__2771__AT5G28040.1 pep chromosome:v.1.0:6:13573281:13575066:-1 gene:fgenesh2_kg.6__2771__AT5G28040.1 transcript:fgenesh2_kg.6__2771__AT5G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQRDTDFSVESLDLEEDGGGGGGRGGGETESDEDVVIPEPNEAEDDDHDHDPDPEYEDLNSPSMISAISTAPVAKSTSGTVTVALPAGSAVPVSSIPSDSDQKWHRMTEIVHQKPPIDDSRRLFQRLWTDEDEIELLRGFLDYITSHRGNSSHPPDTAPFYEQIKSKLQLDFNKNQLVEKLRRLKKKYRNVMSKFSSGKEVFFKSPHDQATFDISRKIWNQTGKIIGFEDNNVMDFEETNHVNNTNGSSSFNVSIVNVDVDSENGLEKKVTISRKRSRSRIGKIDEDKPVIAPSEGLMPNAVNLNENVAVAGDFGDGRNLGGMIEETVKNCVSPMIKEMMNGTTGMMMAAMGGFPGGGAHALGVLSPMLMLSMNLGFGGKGVGDERWRRQQILELEVYSRRLELVQEQIRATVNELKTMPNGG >fgenesh2_kg.6__2773__AT5G28050.1 pep chromosome:v.1.0:6:13576665:13578586:-1 gene:fgenesh2_kg.6__2773__AT5G28050.1 transcript:fgenesh2_kg.6__2773__AT5G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein [Source:UniProtKB/TrEMBL;Acc:D7M6T1] MEEAKVEAKDGTISVASAFSGHQQAVHDSDHKFLTQAVEEAYKGVDCGDGGPFGAVIVHKDEVVASCHNMVLKYTDPTAHAEVTAIREACKKLNKIELSECEIYASCEPCPMCFGAIHLSRLKRLVYGAKAEAAIAIGFDDFIADALRGTGVYQKSSLEIKKADGNGAAIAEQVFQNTKEKFRLY >fgenesh2_kg.6__2776__AT5G28080.2 pep chromosome:v.1.0:6:13604980:13607357:-1 gene:fgenesh2_kg.6__2776__AT5G28080.2 transcript:fgenesh2_kg.6__2776__AT5G28080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M6T5] MMNNISHLESDYSEYVEVDPTGRYGRYNEVLGKGSSKTVYRGFDEYQGIEVAWNQVKLYDFLQSPQELERLYCEIHLLKTLKHKSIMKFYASWVDTDNRNINFVTEMFTSGTLRQYRLKHKRVNIRAVKNWCRQILRGLNYLHTHDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAACLQHSHAAHCVGTPEFMAPEVYKEEYNQLVDIYSFGMCVLEMVTFDYPYSECSHPAQIYKRVISVRKKPDGLDKVKDPDVRGFIEKCLATVSLRLSACELLDDHFLCIDEGESDMKRVGSQRDLIDEAGTLLRHSYHIPHYLNGYYNGDETVESHGIDLLEFQNDEEEEEDDKSFGNVDISIKGDRRETGDGLFLRLRIVDKEGRVRNIYFPFDIETDTAISVAREMVEELEMDDCDVTKIANMIDAEIASLVPNWSIFCSSASNRSSVGSIMDYNEMQCGQNGCEEKHGRFEEVTFEITVNHSDEEE >fgenesh2_kg.6__2777__AT5G28150.1 pep chromosome:v.1.0:6:13659135:13660740:1 gene:fgenesh2_kg.6__2777__AT5G28150.1 transcript:fgenesh2_kg.6__2777__AT5G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFPSCFGENGVQVADSSSSNSGKNAQNLVTCIYQCRIRGRNCLITVTWTKNLMGQSVTVGVDDSCNQSLCKFEIKPWLFTKRKGSKSLEAYSCNIDVFWDLSSAKFGSGPEALGGFYVGVVVDKEMVLLLGDMKKEAFKKTNASPSSLGAVFIAKKEHVFGKRVFATKAQLCADGKIHDLLIECDTSVTDPCLVVRVDGKTLLQVKRLKWKFRGNDTIIVNRMAVEVLWDVHSWLFGLPPAGNAVFMFRTCQSTEKSLSFSEDMTTTNSKSQSFGFSLILYAWKNE >fgenesh2_kg.6__2779__AT5G28220.1 pep chromosome:v.1.0:6:13687894:13695608:-1 gene:fgenesh2_kg.6__2779__AT5G28220.1 transcript:fgenesh2_kg.6__2779__AT5G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKTEETQLNQLEIQVDNGGGGAWEYLCLVRNLKIRRSEKVLKHASSILNDPRKRSALGPDEWTLNEQVAIAAMDCQCLGVAQNCIKALQQKFPGSKRVGRLEALLLEAKGLWGEAEEAYSSLLEDNPLDQAIHKRRVAISKALGKPSIAIELLNKYLELFMADHDAWRELAELYLSLQMYKQAAFCYEELILSQPTVPLYHLAYADVLYTIGGVENIISARKYYAATIDLTGGKNTRALLGICLCASAIAQLSEGKNKEDKDATAAPELHSLSAAAVEKEYKQKAPDKLQLISSALRSLKI >fgenesh2_kg.6__277__AT5G03640.1 pep chromosome:v.1.0:6:1094402:1097275:1 gene:fgenesh2_kg.6__277__AT5G03640.1 transcript:fgenesh2_kg.6__277__AT5G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LWS3] MGSFAGKCEFVEEKEDCQNSIVYTAKSTAIPHSRSSIVDDKDLERPVLKLGYRGSLEDDINQLFESISIRTSGMIPSYQVGASSSSRNNNPLQRNALKSPANPGGPRSPSKRNAEPATLKQALRDLCVTKASETAATKRIPKTSTGGSVYGSVLVEPGSGASEEGKAVLVELLGEDQSSSSDSISQQLRLLKIHSSSQNSQTSKRYCDEMTILKKHKNLSLDDQELSIENKNLGSSSPGSGNNKTVVGLKSVRKVRLLYANTPSSTIVNGKRVAKLTRTIPRGGGAKPALRNKGSMKKKATNVYDEVDGFYDPIAKELLCHRCHFALKNTAAKEEPSKDSLGEPDLELKQSVKDELASDFSSSSYESSHEIGASAETKLDKIRSSLDLMNTETPQVSLTSAFRNKNIEDVPEYGNNEIIEEDSLSGKEVDHKDSVFMSEQSVEIGSFSEKSVVMNPDSPPKKLSLDGAAIEDVKENSEIGNKGEECIGYNSSSTSISEEEVQQQSNNIMTRSSFGNRPHMSKDVRWEAIQHIRAQHGLGSLGLRHFNLLKKLGCGDIGTVYLAELTGTNCLFAIKVMDNEFLERRNKMSRAQTEKDILKMLDHPFLPTLYAHFTSDNLSCLVMECCPGGDLHVLRQKQPGRWFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVRDDGHIMVTDFDLSLRCTVSPTLLNSSSPLHADAMRLSSGSRTGSNCIEPSCFRPKLSRGSGTKKKGKQHRIMMKKLKKSDLIARFKSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGIFLYELLYGKTPFKGATNEETIANVVLQSLKFPDNPNVSFQAKDLIRGLLVKEPENRLGTEKGAAEIKRHAFFEGLNWALIRCAIPPELPDFYDYGVPNHEGNSNYLDCKAVGEHLEFELF >fgenesh2_kg.6__2780__AT5G28290.1 pep chromosome:v.1.0:6:13724488:13728456:-1 gene:fgenesh2_kg.6__2780__AT5G28290.1 transcript:fgenesh2_kg.6__2780__AT5G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYEVLEQIGKGSFGSALLVRHKHEKKLYVLKKIRLARQTGRTRRSAHQEMELISKIRNPFIVEYKDSWVEKGCYVCIVIGYCKGGDMAEAIKKANGIEFSEEKLCKWLVQLLMALEYLHASHILHRDVKCSNIFLTKDQDIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCMYEMTALKPAFKAFDMQGLINRINRSIVAPLPAQYSIAFRSLVKSMLRKNPELRPSASDLLRQPLLQPYVQKVLLKLSFREHDTLPSESERRRSYPEQRRRTSGKSVSFGPSRFGVDQEDSVSSVKPVHTYLQRHRPVDLSANDTSRVVVRRPALSSGVSNSSKYVPVRSNQPKSGGLKPAVAIRRASLPVSHKPAKGTKDSLYHPNIGILHQLNSPDVSVNSPRIDRIKFPLASYEEMPFIPVVRKKKGSSRGSYSPPPEPPLDCSITKDKFTLEPERETKSDLSDQNATAGASSRASSGASRRQRFDPSSYRQRAEALEGLLEFSARLLIDERYDELNVLLKPFGPGKVSPRETAIWLSKSFKETSPSNLEED >fgenesh2_kg.6__2781__AT5G28300.1 pep chromosome:v.1.0:6:13737761:13740347:-1 gene:fgenesh2_kg.6__2781__AT5G28300.1 transcript:fgenesh2_kg.6__2781__AT5G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGGVPEQIHRFIASPPPPPPLPPHQPAAERSLPFPVSFSSFNTNHQAQHMLSLDRRKIIHHHHHHHHHDIKDGGATAEWIGHTDHDGDNHHHHHHHPWCSDEVLALLRFRSTVENWFPEFNWEHTSRKLAEVGFKRSPQECKEKFEEEERRYFNINNNNTNDHQHIGNYNNKGNNYRVFSEVEEFYDVSSEVGDNQNKRNDSVEAKGNVGETVTGQDLMEEDKLRDHDQGQVEETSMENKINSIEVGKVGNVEEDAKSSSSSSLMMIIKEKEKRKRKKEKERFGVLKGFCEGLVRNMIAQQEEMHKKLLEDMVKNEEEKIAREEAWKKQEIERVNKEVEIRVQEQAMASDRNTSIIKFISKFTDHDLDVVENPTSLSQDSSSLTLPKTQGRRKFQTISSLLPQTLTPHNPLTHDKSLEPTKTLKTKTQTPKPPKSDDKSDLGKRWPKDEVLALINIRRGISNMNDDDHKDENSLSSSSKAVPLWERISKKMLEIGYKRSAKRCKEKWENINKYFRKTKDVNKKRPLDSRTCPYFHQLTALYSQSSTGTTTTATTATSAGDLITRPDEENRVGSEDPDISVAMHVDGDGAGDKSNVQFSGFDLEF >fgenesh2_kg.6__2782__AT1G54110.1 pep chromosome:v.1.0:6:13754330:13755936:1 gene:fgenesh2_kg.6__2782__AT1G54110.1 transcript:fgenesh2_kg.6__2782__AT1G54110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M6U8] RRFSEEKTPQGLPRVTKAKVNEYYEKIEAVASRIAAQVTDTEFSYENFAKDSTSDSSPNIEDDTRSPTSPQLKRRIVPLCSKEPSYDADPSKPIKLDTAAQARIDKQRKLQEDLTDEMVVLARQLKERSQMISQSVQNTEKILDSTEEAIEQSLASTRHATVRATKIYSESSKTSCFQWLLIFAMTCVFIMVVLLIRVT >fgenesh2_kg.6__2784__AT5G28490.1 pep chromosome:v.1.0:6:13780739:13781498:-1 gene:fgenesh2_kg.6__2784__AT5G28490.1 transcript:fgenesh2_kg.6__2784__AT5G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-dependent short hypocotyls 1 [Source:UniProtKB/TrEMBL;Acc:D7M6V0] MDLISHQPNKNPNSSTQLTPPSSSRYENQKRRDWNTFCQYLRNHRPPLSLPSCSGAHVLEFLRYLDQFGKTKVHHQNCAFFGLPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGPPEANPFGSRAVRLFLREVRDFQAKARGVSYEKKRKRVNRQKPQTQPPLPLQQQQQQQQPQQGQSLMANYSGATV >fgenesh2_kg.6__2785__AT5G28500.1 pep chromosome:v.1.0:6:13820902:13822338:1 gene:fgenesh2_kg.6__2785__AT5G28500.1 transcript:fgenesh2_kg.6__2785__AT5G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLTATTLKSSLFTQSKTHGFSNTRPVYPKPFTTITAALIPASNRQAPPQQQLYQPFRPPPSPIPPKFRSLDTAGRIEVLADRLGLWFEYAPLISSLYTEGFIPPSIEELTGLSGVEQNRLIVGSQVRDSLVQSGAKPELIAAFDTGGAELLYEIRLLNTTQRIAAAEYIVDHDFDTKGAQDLARAIKDYPHRRGDVGWEDFDYNLPGDCLSFMLYRKSREYRSPSEIRTTLLEQALETAVTEKAKKAVTRELHGESEEERAKEEEIKIIRVPVVRLRFGEVAGASSVVVLPVCKAEEGEEKLLEAPMEFESGGEFGVVEAEKDWSRWVVLPGWEPVVAVRKGGVAVSFSDDREVLPWNGKEEAIMVVIDREKKTVEADNGYYYLVVAEGGMKLDRGSVLKEKGVNESLGMVVLVVRPPRDEDDDWQINDEDWD >fgenesh2_kg.6__2787__AT5G28590.1 pep chromosome:v.1.0:6:13840874:13842271:-1 gene:fgenesh2_kg.6__2787__AT5G28590.1 transcript:fgenesh2_kg.6__2787__AT5G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLFKTCGGTNRLNLVITIGRLIDPHWKTGQSFTPHIVNITPGEDVAQKIVLFAQQSKHELCILSASGSISNASLSHLASGTSHGGKTGGLSVCLSSSDGQIFGGGVGGLLKAAGPVQVVLGTFQLEKRKDGRNGVKGDDASGSGNMLPSPSGTESLLGYHPDMENPNDEHHTITSSALGGGAHFMMQPPQGLHMTHARPSEWGGTGYDLSGKIS >fgenesh2_kg.6__2788__AT5G28610.1 pep chromosome:v.1.0:6:13868871:13869345:1 gene:fgenesh2_kg.6__2788__AT5G28610.1 transcript:fgenesh2_kg.6__2788__AT5G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAHVERGIGTLDSSPEKEKEKSHKHRGLFHLHHHSKDEKDEDKKKEGSKREKIAAAMVGLGATLKKVKHPRHHGSGGKEEQEGAAEEEEVEEEEEEEEEDDGGDEEEGGKFSAFISIIAKAFEE >fgenesh2_kg.6__2789__AT5G28630.1 pep chromosome:v.1.0:6:13871573:13872145:1 gene:fgenesh2_kg.6__2789__AT5G28630.1 transcript:fgenesh2_kg.6__2789__AT5G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQLHESMEATYIEKGIGTQDSSPEKEKEKSHKHRGLFHLHHHSKDEKDEDKKKEGSKREKIAAAMVGLGATLKKIKHGGGGKKKKRGGGGEEEGGEEEGEEEEESSSEEEEEEGGDGGDEEEGGGKFSAFISMIA >fgenesh2_kg.6__2791__AT5G28650.1 pep chromosome:v.1.0:6:13917601:13918818:-1 gene:fgenesh2_kg.6__2791__AT5G28650.1 transcript:fgenesh2_kg.6__2791__AT5G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEAANKAAVESCHGVLNLLSQQTNDSKSIMVETREAVSKFKRVSSLLARGLGQRKIKKLNNYKFSSSLLPQHMFLESPICSNNAISGSIPVLAPKPLQIVPASHPPLMLFNQKMCVDKSFLELKPPSFRAVDPKPYQVIHNHQQGVYSRSKSGLNLKFDGSGGVSCYSPSMSNGSRSFVSSLSMDGSVTDYDMNSFHLIGLPQGSDHISQHSRRTSCSGSLKCGSRSKCHCSKKRKSVLRVKRTIRVPAISNRIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDETSMLIVTYEGEHNHSRLLSSQSAHT >fgenesh2_kg.6__2794__AT5G28740.1 pep chromosome:v.1.0:6:14122794:14126013:1 gene:fgenesh2_kg.6__2794__AT5G28740.1 transcript:fgenesh2_kg.6__2794__AT5G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKDLYPSQEDLLYEEELLRNPFSLKLWWRYLIAKAESPFKKRFIIYERALKALPGSYKLWYAYLRERLDIVRNLPVTHPQYDSLNNTFERALVTMHKMPRIWVMYLQTLTVQQLITRTRRTFDRALCALPVTQHDRIWEPYLVFVSQDGIPIETSLRVYRRYLMYDPSHIEEFIEFLVKSERWQESAERLASVLNDDKFYSIKGKTKHKLWMELCELLVHHANVISGLNVDAIIRGGIRKFTDEVGMLWTSLADYYIRKNLLEKARDIYEEGMMKVVTVRDFSVIFDVYSRFEESTVAKKMEMMSSSDEEDENEENGVEDEDEDVRLNFNLSVKELQRKILNGFWLNDDNDVDLRLARLEELMNRRPALANSVLLRQNPHNVEQWHRRVKIFEGNAAKQILTYTEAVRTVDPMKAVGKPHTLWVAFAKLYENHKDLVNTRVILDKAVQVNYKNVDHLASVWCEWAEMELRHKNFKGALELMRRATAVPTVEVRRRVAADGNEPVQMKLHRSLRLWSFYVDLEESLGTLESTRAVYEKILDLRIATPQIILNYAFLLEENKYFEDAFKVYERGVKIFKYPHVKDIWVTYLTKFVKRYGKTKLERAKRVVLSMLFQCSSENLSIDAPSDAVRTLYLQYAKLEEDYGMAKRAMKVYEEATKKVPEGQKLEMYEIYISRAAEIFGVPRTREIYEQAIESGLPHKDVKIMCIKFAELERSLGEIDRARALYKYASQFADPRSDPEFWNKWHEFEVQHGNEDTYREMLRIKRSVSASYSQTHFILPENMMQKDKLLDVEDAKDELKKAGLPEDEMAALERQLLTTTTTTTTAAAKDGVRRVGFVSAGVISQSGENEGKPVTGNGEDSELPDESDDESDGDDQVEIAQKEVPAAVFGGLARKRDENDEEAGEDGAAKKLGALERIKRQKLNQ >fgenesh2_kg.6__2795__AT5G28750.1 pep chromosome:v.1.0:6:14126182:14127571:-1 gene:fgenesh2_kg.6__2795__AT5G28750.1 transcript:fgenesh2_kg.6__2795__AT5G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVATLSSPPPVSLPLLSSSRSSFFSNCFTVTTRPNNRSLVAIRPRIRQEPTRKRLTCNALFGLGVPELAVIAGVAALLFGPKKLPEIGKSIGKTVKSFQQAAKEFESELKIEPEDSVADSSQVTMSNKEEEKKTEVSSSSKENV >fgenesh2_kg.6__2797__AT5G28770.2 pep chromosome:v.1.0:6:14129000:14130738:-1 gene:fgenesh2_kg.6__2797__AT5G28770.2 transcript:fgenesh2_kg.6__2797__AT5G28770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVFSDEEISGNHHWSVNGTTAMNRSASEWAFHRFIQESSAGESTTACGVSVSSPPNVPVDSDEYRAFLKSKLNLACAAVAMKRGCFIKPQDTSGRSDNGGASASEQGSLASSKATPMMSSAITSGSELSGDEEEADGETNMNPTNVKRVKRMLSNRESARRSRRRKQAHLSELETQVSQLRVENSKLMKGLTDVTQTFNEASVENRVLKANIETLRAKVKMAEETVKRLTGFNPMFHTMPQIVSTVSLPSETSNSLETTSSQVTAPEIISSGNKSKALIGCKMNRTASMRRVESLEHLQKRIRSVGDQ >fgenesh2_kg.6__2799__AT2G47430.1 pep chromosome:v.1.0:6:14165362:14169511:-1 gene:fgenesh2_kg.6__2799__AT2G47430.1 transcript:fgenesh2_kg.6__2799__AT2G47430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin-independent 1 [Source:UniProtKB/TrEMBL;Acc:D7M6Y7] MVNVKKLVASRLIVVFGILAFMVVVFGCIWISNWRTTTKSVAKDVASFTEDLRTSLVSEIQNIGKFTYLKTNLSTIGLARMVDSYLTNNDTGFTEIQTQIAPLLFEAYSTIPQVSQVSYISRDGLLFSYIAESNTSVAVFANSSSTSSGGDYTWYTQTVDQLTGRLNGNATKSKALDLTHTYWIQAAQSNNYTTAFLGTSLGGEDSETLIQSVVSLYSKKGLVSLGFPVKTLTDVLNRLNLHGEELYMWTKDGTVLVREGSLNASFFISNGSICFGRESKSVWSQCIPDNCSSSGYEVEIKRSTFQAFCSVLEVSGVPLRYTLMFPNKGGATRIRHQAEKAMYQLIVVTIFLGLGWPLWFVWFMMQATRKEMHMRATLINQMEATQQAERKSMNKSQAFANASHDIRGALAGIKGLIDICCDEVKPGSNVDTTLKQVNVCSKDLVVLLNSVLDMSKIESGKMQLVEEDFNLAKLLEDVIDFYHPVAMKKGVDVVFDPHDGSIFKFTNVRGDSCKLKQILNNLVSNSVKFTTDGHISIRAWAQRPGSKSSVVLASDIQGVSKILKSMFCKNKDQSSTYEIEISNSIRNNANTMEFVFEADDTGKGIPIEMRKSVFENYIQVRGTGHQGTGLGLGIVQSLVRLMGGEIRITDKAMGEKGTCFEFNVLLTTLESPPMNNMKVKQDIEAGGDYVSTPNLGLTINTSLGGSMNLRNLSPKFNNCLSSSPKQEWSRVVLLLKSEERRRVTEKYIKNVGIKVSVVEKWEHLSYALERLFGFSPQSSMGRAECSLSCSSSRKLPLIGMDGIDSRSQLPKRRRNSFPALVLLVIDAKTGPFFELYDTVKQFLVWLNESSTHVSERGDISCSRPLHGSRLMEVLKMLPEFGGTLLRETPTELQRESPLRYSFVAERSLKHKFQEEGLSSLRFNKKLGKTLMAPTSSESETSVKLLRIGGNPIGNLEDEQGTSKPSDDEFLREKRVLVVDDNFLTRKVAIGKLKKMGVSEVKQCDNGKEALRLVTEGLTQRREEQGSVDNLPFDYIFMDCQMPDMDGYEATREIRKVEKSYGVHIPVIAVSGHDPGSREARETIQAGMDAFLDKNLNQLANVIRDIESKTTTRH >fgenesh2_kg.6__279__AT5G03660.1 pep chromosome:v.1.0:6:1109318:1111410:1 gene:fgenesh2_kg.6__279__AT5G03660.1 transcript:fgenesh2_kg.6__279__AT5G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTETSRPASADQGRRLKDQLSESMSFSSQMKKEDDELSMKALSAFKAKEEEIEKKKMEIRERVQAQLGRVEDESKRLAMIREELEGFADPMRKEVTMVRKKIDSLDKELKPLGNTVQKKEIEYKDALEAFNEKNKEKVELITKLQELEGESEKLRFKKLEELSKNIDLTKP >fgenesh2_kg.6__27__AT5G01740.1 pep chromosome:v.1.0:6:118461:119195:-1 gene:fgenesh2_kg.6__27__AT5G01740.1 transcript:fgenesh2_kg.6__27__AT5G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHNVEISTRLTPEMKNQRTIELLYKALQQGDTSTVTKVVASDVEWWFHGPHHCQHMMRLLTGERRSQVSFRFEPSSVQVVVPGHDCVIAEGWEGSQVYWVHVWKLKDGVVTELREYFNTWLTVTDYSLGAIGWDMGRCTVWESVPRDLARGSLPSLLLAI >fgenesh2_kg.6__2800__AT5G28840.1 pep chromosome:v.1.0:6:14248051:14250457:-1 gene:fgenesh2_kg.6__2800__AT5G28840.1 transcript:fgenesh2_kg.6__2800__AT5G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-D-mannose 3',5'-epimerase [Source:UniProtKB/TrEMBL;Acc:D7M6Z2] MGTTNGSDYGAYTYKELEREPYWPSEKLKISITGAGGFIASHIARRLKHEGHYVIASDWKKNEHMTEDMFCDEFHLVDLRVMENCLKVTKGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMIEAARINGIKRFFYASSACIYPEFKQLETTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEMVLSFEEKKLPIHHIPGPEGVRGRNSDNNLIKEKLGWAPNMRLKEGLRITYFWIKEQIEKEKAKGSDVSLYGSSKVVGTQAPVQLGSLRAADGKE >fgenesh2_kg.6__2803__AT5G28900.1 pep chromosome:v.1.0:6:14364118:14373169:1 gene:fgenesh2_kg.6__2803__AT5G28900.1 transcript:fgenesh2_kg.6__2803__AT5G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7M701] MVGSIVPGDMACLDADLLQLQEMSSFVLNSKPGFTQKLFDQWLSLPESHRQIGSLLKDAIAGAPINVTGSASGSNSATIPSMFPAGSAPPLSPRSCGSPRTTKQRAPSNLGSTLKVVNEPVKEPIPQFYFQNGRPPPSEIKEQCMFRINHFFYGHIDGLQIQEFKLVTREICKLPSFFSTSLFQKIDVNNTGFVTREAFIDYWVNGNMLIMDTTTQIFKILKQKDQSFIVKDDFKPLLKELLATHPGLEFLQSTPEFQERYAETVTYRIFYYINRSGNGRITFRELKRGNLIDAMLHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLMRYGNHALTYRIVDRIFSQVARKFTSKVEGKMGYEDFVYFILAEEDKSSEPSLEYWFKCIDLDANGIITRNEMQFFYEEQLHRMECMAQEAVLFEDILCQMIDMIGPENESHITLHDLKGSKLSGNVFNILFNLNKFMAFETRDPFLIRQERENPTLTDWDRFAHREYIRLSMEEDVEDASNGSAEVWDESSLEAPF >fgenesh2_kg.6__2805__AT5G28910.2 pep chromosome:v.1.0:6:14373480:14375808:-1 gene:fgenesh2_kg.6__2805__AT5G28910.2 transcript:fgenesh2_kg.6__2805__AT5G28910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLERVVSEKALKLGNSFPCQICVVGFLCGICLTSLFLAALTSLGTFEFAAFSFTSTSSVFPPCNSSTSQIINMVASIDRKLKWKNKVETEEEDEVKLLVSAWDNLLLNEEDFLKKVGMNKSDVPNGPHLENCEEKARVRERLDTRIANRTLPSWISGGDEENYPLTRRVQREIWIHQHPLDCQNKSLKFLVADWETLPGFGIGAQIAGMTGLLAIAIKENRVLVTNHYNRADHDGCKGSSRGSWSCYFLPETSEECRKRAFAIVKKREAWESGIVTRKQNYTTKEIWAGPIPKLWGKPWSYMKSTTEINGSLISSHRKMDRRWWRAQAVRYLMRYQTEYTCGLMNTARNSAFGKEAAKIVLSARDWRKKNKKIKTQIEEQVWSDHKPWIPRPMLSVHVRMGDKACEMRVAALEEYMRLADRIRDRFPELNRIWLSTEMKEVVDRSEDYAHWRFYYTKVARQVGNKSMAEYEASLGREMSTNYPLVNFLMASDADFFVGALGSTWCFLIDGMRNTGGKVMSGYLSVNKDRFW >fgenesh2_kg.6__2806__AT5G29000.2 pep chromosome:v.1.0:6:14397687:14399832:-1 gene:fgenesh2_kg.6__2806__AT5G29000.2 transcript:fgenesh2_kg.6__2806__AT5G29000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7M706] MTLANDYGYSTAMSSSFSALHTTVEERYRKLPNSFWVSSGQELMNNPVPCQSVSGGNSGGYLFPSPSGFCNVSAVSPHGRNLQNQPPVSIVPRERLAMQDCPLEAQPPLLINHHHQEFTDPLPEFFDFSDHVPVQNVQAESSGVRVDSSVELHKKSEWQEWADQLISVDDGSEPNWSELLGDSSSHNPNSEIPTPFLDVRRQEIKANQQQQVVSSEDQLSGKNSSSSVATSKQRMRWTPELHEAFVEAVNQLGGSERATPKAVLKLLNIPGLTIYHVKSHLQKYRTARYKPDTSEVTGEPQEKNMTSIEDIKSLDMKTSVEITQALRLQMEVQKRLHEQLEIQRSLQLQIEKQGRYLQMMFEKQQKIQENKSSSPEASPKQCNGTSAEVEVDLETRTGAHNEPASASRKRVRED >fgenesh2_kg.6__2811__AT5G30495.2 pep chromosome:v.1.0:6:14507746:14510112:1 gene:fgenesh2_kg.6__2811__AT5G30495.2 transcript:fgenesh2_kg.6__2811__AT5G30495.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKPLIGLKWEPKLPGLSLDSTTGSSLSKRAESHESSSLWMSKSELVDGLCLPPNDPKKINKMIRKQVKDTTGSNWFDMPAPTMTPELKRDLQLLKLRTVMDPALHYKKSVSRSKLAEKYFQIGTVIEPAEEFYGRLTKKNRKATLADELVSDPKTSQYRKRKVKEIEEKSRAVTNKKWKKKGNQSKNKKQRRN >fgenesh2_kg.6__2813__AT5G30490.2 pep chromosome:v.1.0:6:14513655:14515552:1 gene:fgenesh2_kg.6__2813__AT5G30490.2 transcript:fgenesh2_kg.6__2813__AT5G30490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHEQSPEKSSSSTVSKKVAEIWQRMNEGVPNKRLNFASTALPAKKSANSNWKSYLGVGAKRKDHCVSNVQKEDSLLNHSCSGEAKSIAAAALAAVRNATVTAAAASSRGKIEITEVKDFAGQEIEVRRLVEADSKEALERGNKGSSSSSAAPSAVDAVLEQIKKKQKLSVLDKTKKDWGEYKEENKGVEDELDKYKKSSDQYLDKVGFLERADYRQFEKERDARLALQSKRRHDDV >fgenesh2_kg.6__2815__AT5G30510.1 pep chromosome:v.1.0:6:14628732:14630894:-1 gene:fgenesh2_kg.6__2815__AT5G30510.1 transcript:fgenesh2_kg.6__2815__AT5G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAQQFTGLRCSPLSSSSRLSRSVSKNFPQNKSASVSPTIVAAVAISSGQTKERLELKKMFEDAYERCRTAPMEGVAFSVDDFAAAIEQYDFNSEIGTRVKGTVFKTDANGALVDISAKSSAYLSVEQACIHRIKHVEEAGIVPGMVEEFVIIGENESDDSLLLSLRNIQYELAWERCRQLQAEDVIVKAKVIGANKGGLVALVEGLRGFVPFSQISSKAAAEELLEKEIPLKFVEVDEEQTKLVLSNRKAVADSQAQLGIGSVVLGVVQSLKPYGAFIDIGGINGLLHVSQISHDRVSDIATVLQPGDTLKVMILSHDRDRGRVSLSTKKLEPTPGDMIRNPKLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLSSDGILGPLGSELPDDGVDLTVDDIPSAVDL >fgenesh2_kg.6__2819__AT4G06599.1 pep chromosome:v.1.0:6:17372066:17373653:-1 gene:fgenesh2_kg.6__2819__AT4G06599.1 transcript:fgenesh2_kg.6__2819__AT4G06599.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein [Source:UniProtKB/TrEMBL;Acc:D7M7L5] MASSSSSPTPSATAALSPLTEEELTLTVKWNGKEYTVRICADDSVAELKRRICLLTTVLPKRQKLLYPKIGNKLSDDSLLLSSIPFKPSLKMTMIGTIEDDIIVDQAESPEIVDDFELGKEEAVDIKDKEVNKQKLRRRIDQYKINLRSPCRKGKKLLVLDIDYTLFDHRSTAENPLQLMRPYLHEFLTAAYAEYDIMIWSATSMKWVELKMTELGVLNNPNYKITALLDHLAMITVQSDTRGIFDCKPLGLIWALLPEFYNPGNTIMFDDLRRNFVMNPQNGLTIRPFRKAHANRDTDQELVKLTQYLLTIAELHDLSSLHHSRWESFSQDNVKRRRQE >fgenesh2_kg.6__281__AT5G03670.1 pep chromosome:v.1.0:6:1118435:1121449:1 gene:fgenesh2_kg.6__281__AT5G03670.1 transcript:fgenesh2_kg.6__281__AT5G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRHLKDLLEEDQEPFQLQSYISDRRCQINAHVTHLQVKKRRPVSQNAGLPSRFCRNACFFSLRESPDPKKSPLFELKSPNRSQNAVFVNIPARTASILLEAAVRIQKQSSEVSKTRTRNAGNAFGIFGSVLKKLTNRKKREISGGKDVGRVSSSSVKDMLRWESPVVRKIVTRKSKRKAEEENASSQTHKIASETQFSRRSSSSGVWSENVTNGERSWDVDFETSISTSSRSNGSDEFAMMMNGQDLSEDKRFCESPFHFVLQTTMPSNGGFRTPNFSSPAASPRYDCQEQMKDSYEVEKLKKLEMEEEEEEKEQSSPVSVLDPPFQDDDEDIHMDDNNIPSSFRSVQKAKHLLLQKLCRFEQLAGLDPMELEKRMSDEETEEEDVEEEMKSLYHCEIITQRVLKTYFEEMVEVPEGVEALISDLAAEELQSDIDSEAEAEIVAKRVCERLTSWRDVESNTIDMMVEHDFRTESLGLWKSKNDAEVAETVLDIEFEIFEDLVEELSEDIGGDW >fgenesh2_kg.6__2821__AT4G06634.1 pep chromosome:v.1.0:6:17379615:17381917:-1 gene:fgenesh2_kg.6__2821__AT4G06634.1 transcript:fgenesh2_kg.6__2821__AT4G06634.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M7L7] MDHHNYQYQNPFERRPILKSKAPAVKWIKEWVPQDIVATGGKCYLHKWVTEDTFNRHKEKEKEPDVPEPEPEPTTEILFLCSYDGCGKTFFDVSALRKHSHIHGERQYVCDQEGCGKKFLDSSKLKRHHLIHTGVRNYVCTYEGCGKAFSLDFNLRSHMKTHSQENYHICPYSGCGKRYAHEYKLKNHVAAYHEKNGAGETPKYTPPAEKVSRTVKTPAAVYGPSSDRPYACPYEGCEKAYIHEYKLKLHLKREHPGHLPEENADTLTLNKHNGSDRNDMDDGSDQDVYRKHTSNGKSQTHKQQTRAKPNMRTPPAKAGKKGSTSSPAKVRIAKKPWQAKETFEEVEREEEEDSEETEEDRDNVDDGWRFGENNEEDDDDEETEYED >fgenesh2_kg.6__2822__AT4G06744.1 pep chromosome:v.1.0:6:17553435:17554925:-1 gene:fgenesh2_kg.6__2822__AT4G06744.1 transcript:fgenesh2_kg.6__2822__AT4G06744.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7M7M1] MKNPLLLALFLVGTVALPDRSSRGTQELTNDGLTGTSQAILSFFDQRLAVVYPVIQRFKSLITLDPYNVTKTWIGSDICSYRGFHCDNPPDNTTAVTVASIDFNGFQLSAPSIEGFIDQFPDLALFHVNSNNFGGTVPSKIVNLRYLYELDISNNRFTGQFPTAVVGMSGLTFLDIRFNSFSGSIPPQILGQNLEVLFINDNGFTASLPEIPGDGTSTTHILFLTFANNKFNGPLPRSILRSMSTLTEVLFLNNDFTGCIPHEIGFLTGASVIDIGGNKLTGPLPLSLMCLEKVEQVNFAGNLLFGAIPEAVCMLLRDNLVNLSLSNNYFTHVGPWCRGLLDRGVLDVSNNCIPFFPGQRSMQECAEFFVKPKKYYCPHMWFHSFFSCRYSHISSSSSSAFMPMVAPSP >fgenesh2_kg.6__2823__AT4G06746.1 pep chromosome:v.1.0:6:17560214:17560757:-1 gene:fgenesh2_kg.6__2823__AT4G06746.1 transcript:fgenesh2_kg.6__2823__AT4G06746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGMVMNEKPKRNLMSPNEKRYKGIRMRKWGKWVAEIREPNKRSRIWLGSYKTAVAAARAYDTAVFYLRGPSARLNFPEQVLQDGNGGEGVGGDMSATLIRQKAAEVGARVDAELRLENKMVEKLDMNKLPEAYGL >fgenesh2_kg.6__2828__AT4G07960.1 pep chromosome:v.1.0:6:17621947:17625323:-1 gene:fgenesh2_kg.6__2828__AT4G07960.1 transcript:fgenesh2_kg.6__2828__AT4G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKFEWWAKGNNNNNRKGTPVVVKMENPNNWSMVELESPSHDDFLVRTHEKSRNKNARQLTWVLLLKAHRAAGCLTSLGSALIALGTAVRRRIAAGRTDTEISSSSGVQKQNPAKKSKLFYSCLKVFLWLSLILLGFEIAAYFKGWHFGTSKLQLQFIFNKGFFDWVYTRWVLLRVEYLAPPLQFLANGCIVLFLVQSLDRLILCLGCFWIRFKKIKPVPKPDSISDLESGDNGAFLPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKGKILIQILDDSDDPITQSLIKEEVHKWQNQGARIVYRHRVNREGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPLPDFLKKTIPHFKDNEELGLVQARWSFVNKEENLLTRLQNINLAFHFEVEQQVNSVFLNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPAVIKSKISIGKKFNLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPAWVVCYIPATMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKSGRSSEGDLAALVEKDEKKTKHQRGVSAPETEAEKKAEKTKKKKKKHNRIYMKELSLAFLLLTAATRSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVE >fgenesh2_kg.6__2829__AT5G60090.1 pep chromosome:v.1.0:6:17659988:17661725:1 gene:fgenesh2_kg.6__2829__AT5G60090.1 transcript:fgenesh2_kg.6__2829__AT5G60090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M7N2] MKRFFCCLKGGSSRNAGQGNDENDEVNLDRPFGNNERLNHLWRNHLALPDDREVSDPTARVSFLWRELVDGTINFRDEYFLGKGNFGEVYRCRFSRLNEDGAVKIQKPDNPTGHVEFLAEVTTLHAANHPNVIRLLGNCYGQRNRAIVYEFMPNGCLEPHIFAHATRVQGQLPQGLQLPIRVLDWDTRMRIALGVAKGLVYLHQELKVINRDVKAGNILLDANFVPKLTDFGLATKIDVDETGSLGYIAPEGEISRLVSTKTDVYSYGALLLVLFTGRQPFYSKKPVGKKNLTDWFIRVWPRLEDAPVQVDVALGYRYSVEGLKKIFDTARMCIKAEPLERPTMSDVEAMVLKAASFPVQVPPPQVKRRRSASTYEVFSGGF >fgenesh2_kg.6__282__AT5G03680.1 pep chromosome:v.1.0:6:1129299:1132558:1 gene:fgenesh2_kg.6__282__AT5G03680.1 transcript:fgenesh2_kg.6__282__AT5G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDQHPQYGIPELRQLMKGGGRTTTTTPSTSSHFPSDFFGFNLAPVQPPPHRLHQFTTDPDMGFLPRGIHGLGGGGSSTAGNNSNLNASTSGGGGVGFSGFLDGGGFGGGGGGEGGGTGRWPRQETLTLLEIRSRLDHKFKEANQKGPLWDEVSRIMSEEHGYQRSGKKCREKFENLYKYYRKTKEGKAGRQDGKHYRFFRQLEALYGDSNTLVSCPNHNTQFMSSALHGFHTQNPMNVTTTTSNIHNVDSVHGFHQSLSLSNNYNSSELELMTSSSEGNDSSSRRKKRSWKAKIKEFIDTNMKRLIERQDVWLEKLTKVIEDKEEQRMMKEEEWRKIEAARIDKEHLFWAKERARMEARDVAVIEALQYLTGKPLIKPLCSSPEEINGNNEIRNNSENQNENGSDQTMTNNVSVKGSSSCWDEQEILKLMEIRTSMDSAFQEILGGCSDEFLWEQIASKLIQLGFDQRSALLCKEKWEWISNGMRKEKKQINKKRKDNSSSCGVYYPRNEENPIYNNQESGYNDNDRHQMNEQGNVGSSTSNANANVTAGNPSGAMAASTNCFPFFMGDGDQNLWESYGLRLSKGENQ >fgenesh2_kg.6__2835__ATMG00660.1 pep chromosome:v.1.0:6:17729367:17729819:-1 gene:fgenesh2_kg.6__2835__ATMG00660.1 transcript:fgenesh2_kg.6__2835__ATMG00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFGEAKFLSGHQTLQLMRKKALWGKGKRVCCLVTLPAFQRCLEDGPDAAERQPGSRFPTGRGTGDGHLKAHHDLQVRPARPGKATRLGVRGSIKAEGRDLFSATEKKRSRFDSAQPNDTSNTNDLCLECVARSLF >fgenesh2_kg.6__2836__AT2G07696.1 pep chromosome:v.1.0:6:17730658:17731488:-1 gene:fgenesh2_kg.6__2836__AT2G07696.1 transcript:fgenesh2_kg.6__2836__AT2G07696.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7 [Source:UniProtKB/TrEMBL;Acc:D7M7P0] MGGLDGEQKLLIKKLVNFRMKEGKRTRVRAIVYQTFHRPARTERDVIKLMVDAVENIKPICEVAKVGVAGTIYDVPGIVARDRQQTLAIRWILEAAFKRRISYRISLEKCSFAEILDAYQKRGSARRKRENLHGLASTNRSFAHFRWW >fgenesh2_kg.6__283__AT5G03700.1 pep chromosome:v.1.0:6:1138474:1139829:-1 gene:fgenesh2_kg.6__283__AT5G03700.1 transcript:fgenesh2_kg.6__283__AT5G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLCLNSFTRFLLFLFVFLVFSHKWQRANASEPVLELVKGFEAKPDSSIDSFQPLLTDPNGNFSFGFLRVNGSRLSLAVTHPNLTEPLWVFDPTRSASWSHKTKLFFNGSLVISDHSSRLEWSTHTNGDRLILRNDSNLQVVKTSSFVEWESFDFPGNTLVENQNFTSAMALVSPNGLYSMRLGSDFIGLYAKVSEDSQQFYWKHSALQAKAKVKDGAGPILARINPNGYLGMYQTGNIPIDVEAFNSFQRPVNGLLILRLESDGNLRGYLWDGSHWALNYEAIRETCDLPNPCGPKLGYFKVREGVGKKKSRLGLTVGMSLLAVIAVVLMVAMVYVGFRNWSREKRVLEEDNGLSPGPYKNLGSDSFSSVEMSRR >fgenesh2_kg.6__2840__AT4G09040.1 pep chromosome:v.1.0:6:17748027:17750464:1 gene:fgenesh2_kg.6__2840__AT4G09040.1 transcript:fgenesh2_kg.6__2840__AT4G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLPGISLQILGHKSNHKNPNPKFSLTNHSLSFSTSSLCLLNHYSTFPDSIPAKSRNFTTYFSTTTQDPVLESSSSSATEVVEEEISKTRLIAQNVPWTSTPEDIRSLFEKYGNVIDIEMSMHKKERNRGLVFIEMASPDEAATALKSLESCDYEGRRLKVDYAKTKKKKTYAPREKPSPVPTFNLFVANLAFEARAKHLKEFFDADTGNVVSTEVIFQENPRRSSGYGFVSFKTKKQAEAALIEFQGKDFMGRPIRLAKSKQFVKLQAKEGLQPPEEEEEPSPSEAMTQEVETPAA >fgenesh2_kg.6__2843__AT4G09010.1 pep chromosome:v.1.0:6:17775209:17777195:1 gene:fgenesh2_kg.6__2843__AT4G09010.1 transcript:fgenesh2_kg.6__2843__AT4G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSFLSTVPSFTNTTNHQHLTTLSSSSSHRSAVIRSFHRRDVLKLTGTAMGMELIGNGFINHVGDANAADLNQRRQRSELQSKIKITLSSAIKAKPELLPSILKLALNDAMTYDKATKSGGANGSIRFSSELSRAENEGLSDGLSLIEDVKKEIDSISKGGPISYADLIQLAGQSAVKSTFLASAIRKCGGNEEKGNLLYTAYGSAGQWGLFDRNFGRSDATEADSEGRVPQWGKATVQEMKDKFIAIGLGPRQLAVMSAFLGPDQLATEQLLATDPQVAPWVQKYQRSRETVSQTDYEVDLITAFTKLSCLGQQINFEAYTYPVERINLSKLKL >fgenesh2_kg.6__2849__AT4G08960.1 pep chromosome:v.1.0:6:17795474:17797465:-1 gene:fgenesh2_kg.6__2849__AT4G08960.1 transcript:fgenesh2_kg.6__2849__AT4G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A activator [Source:UniProtKB/TrEMBL;Acc:D7M7Q5] MESSKEQQNTPEKSTSEAPATVSSAFPPSGCCTNCGGPTISEPPPLASFPEMSPPPNYRPIRAPAINLPHNSQAIILSPVPHAEQVPVVSPPYQFQSPVKRIHSPDDIRRFHESASCKNFLGFIVSLSESIRGYKISDPCDISPTVAAIVSILETLLQWIDEIPPAQQSARYGNVSFRSWHERLGERGESLILEFLPEEFKESVIEIVPYFFDSFGNSSRIDYGTGHETNFAAWLYCLARMGIVKEEDYHGLVARVFVKYLELMRKLQMVYCLEPAGSHGVWGLDDYHFLPFIFGSSQLIDHKYMKPKSIHNDDILENFSSEYMYLSCIAFVKKVKKGLFAEHSPLLDDISGVPNWKKVNSGLLKMYRVEVLEKVPIMQHFLFGWLIKWEE >fgenesh2_kg.6__2850__AT4G08950.1 pep chromosome:v.1.0:6:17829854:17831032:-1 gene:fgenesh2_kg.6__2850__AT4G08950.1 transcript:fgenesh2_kg.6__2850__AT4G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLVFKLFLFLSLLQISVSARNLATEEPNQFKLLKYHKGALLSGKISVNLIWYGKFKPSQRAIISDFITSLTHTSPTSKTLHQPSVATWWKTTEKYYKLAAPNKNSSPLSLTLGKQILDESCSLRKSLTDKKIRQLASKGDQRDAINVVLTSADVTVTGFGMSRCGTHGHARGLGKRGSKFAYIWVGNSETQCPGQCAWPFHAPVYGPQSPPLVAPNNDVGLDGMVINLASLLAGTATNPFGNGYYQGPQNAPLEAASACPGVYGKGAYPGYAGDLLVDTTTGGSFNAYGANGRKFLLPALYDPTTSDCSTMV >fgenesh2_kg.6__2856__AT1G13370.1 pep chromosome:v.1.0:6:17882427:17883278:1 gene:fgenesh2_kg.6__2856__AT1G13370.1 transcript:fgenesh2_kg.6__2856__AT1G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:D7M7R3] MARTKQTARKSHGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDVQLARRIRGERA >fgenesh2_kg.6__2857__AT4G08900.1 pep chromosome:v.1.0:6:17918166:17920186:-1 gene:fgenesh2_kg.6__2857__AT4G08900.1 transcript:fgenesh2_kg.6__2857__AT4G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginase [Source:UniProtKB/TrEMBL;Acc:D7M7R5] MSKIIGKRGINYIHRLNSASFTSVSASSIEKGQNRVIDASLTLIRERAKLKGELVRLLGGAKASTSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSATEEGKELKDPRVLTDVGDVPVQEIRDCGVDDDRLMNVISESVKLVMDEEPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNKYSHASSFARIMEGGYARRLLQVGIRSINQEGREQGKRFGVEQYEMRTFSKDRPMLENLKLGEGVKGVYISIDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQADVVGADVVEFNPQRDTVDGMTAMVAAKLVRELAAKISK >fgenesh2_kg.6__2858__AT4G08895.1 pep chromosome:v.1.0:6:17961909:17962480:-1 gene:fgenesh2_kg.6__2858__AT4G08895.1 transcript:fgenesh2_kg.6__2858__AT4G08895.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic phosphate transporter family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M8A1] MTKLGFPQTSLACSPRNFFAVTDIFTTIGWLPSAKTMNAIQELYMIAKAQTIIACCSTVRAIPYHHWTLPANRIGFVVFYSLTFFFSNFGPNATTFIVPAEIFPARIRSTCHGISAASGKAGAMVGSFGFAAL >fgenesh2_kg.6__2859__AT4G08878.1 pep chromosome:v.1.0:6:17962542:17963246:-1 gene:fgenesh2_kg.6__2859__AT4G08878.1 transcript:fgenesh2_kg.6__2859__AT4G08878.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M8A2] TQWYHFTAVVVAGMGFFTDSYDLFVISLITKLLGRIYYQVPGSSSPGSLPDGISAAVSGVAFAGTFLGQIFFGCLGDKLGRKRVYGLTLLIMTICSIGSGLSLGNDPKTVMVTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFGMQGVGILAAGGVSLLVSYIFEIKYPSRAYILDGAASTVPQADYVWRIILMVGALPALLTYYWRMKMPETARYTALVAKNA >fgenesh2_kg.6__2861__AT4G08150.1 pep chromosome:v.1.0:6:18071751:18074902:-1 gene:fgenesh2_kg.6__2861__AT4G08150.1 transcript:fgenesh2_kg.6__2861__AT4G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYQHDNSSTPQRVSFLYSPISSSNKNNNTSDNNSNNSSNYGPGYNNTNNNNHHHQHMLFPHMSSLLPQNTENCFRSDHDQPNNNNPSVKSEASSSRINHYSMLMRAIHNTQEANNNTNNDNVSDVEAMKAKIIAHPHYSTLLQAYLDCQKIGAPPEVVDRITAARQDFEARQQRPTPSVSASSRDPELDQFMEAYCDMLVKYREELTRPIQEAMEFIRRIESQLSMLCQSPIHILNNPADGKSEGMGSSDEEQENTSGGETELPEIDPRAEDRELKNHLLKKYSGYLSSLKQELSKKKKKGKLPKEARQKLLTWWELHYKWPYPSESEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLQHPHHAALYMDGHYMGDGPYRLGP >fgenesh2_kg.6__2863__AT4G08160.1 pep chromosome:v.1.0:6:18102788:18106154:-1 gene:fgenesh2_kg.6__2863__AT4G08160.1 transcript:fgenesh2_kg.6__2863__AT4G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 10 protein [Source:UniProtKB/TrEMBL;Acc:D7M8B1] MEKNTNMNHTSDDDNDKHHTNEEQEKIILNPNFEDGLNNWTGRACKIVLHESMDGGKIVPLSGKVFAAATQRKDTWNGIQQEISGRFQRKRVYEVTAMVRIFGNNVTNATVQATLWVLNANQREQYIVIANVQATDKNWVELKGKFVIQGSPSRVILYLEGPPSGSDILLNSLVVKHAKRNRPSPPPFYENPGFGVNIIENSEVVNGATQPWFTLGNCKLSVGQGAPRTLPPMARDTLGPRKPLGGSYILVTNRTQTWMGPAQMITDKIKLFLTYQVSAWVKIGVGVSGSSMSPQNVNIALSVDNQWVNGGQVEATVGDTWHEIGGSFRLEKQPQNVMVYVQGPAAGIDLMIAGLQIFPVDRRDRVRCLKRQVDQVRKRDIVLKFSGLDEDSSDLFPYIVKVKQTYNSFPVGTCINRTDIDNEDFVDFFTKNFNWAVFGNELKWYSTEAERGKVNYQDADDMLDLCIGNNINVRGHCIFWEVESTVQPWVRQLNKTDLMNAVQRRLTDLLTRYKGKFKHYDVNNEMLHGSFYQDKLGKGVRALMFNIAHKLDPSPLLFVNDYHVEDGDDTRSSPEKYIKLVLDLEAQGAIVGGIGIQGHIDSPVGAIVCSALDKLSVLGRPIWFTELDVSSSNEYVRGEDLEVMLWEAFAHPAVEGIMLWGFWELSMSRENANLVEGEGEVNEAGKRFLEVKQEWLSHAYGIIDDESEFTFKGYHGTYAVEVCTPAGIVLKTFVVEKGDTPLIISIDLSCL >fgenesh2_kg.6__2867__AT4G08180.3 pep chromosome:v.1.0:6:18120736:18125027:1 gene:fgenesh2_kg.6__2867__AT4G08180.3 transcript:fgenesh2_kg.6__2867__AT4G08180.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7M8B4] MHPFCCVTTVSDHSPSMPPLPEPQPPLPIHAAASSATPFGSARSEPIISRSASQSYNHHSGQLNNNQNLIHSLSFNHQSDVANRLGQRVLALPAAVREPPNDVKINDIVGNGIAGILYKWVNYGRGWRPRWFVLQDGVLSYYKIHGPDKIFVSPETEKGSKVIGDESARMISRHHRRGGSSSSCQLRRKPFGEVHLKVSSVRESRSDDKRFSIFTGTKRLHLRAETREDRTTWVEALQAVKDMFPRMSNSELMAPTNNLAMSTEKLRLRLIEEGVSELAIQDCEQIMKSEFSALQSQLVLLKQKQWLLIDTLRQLETEKVDLENTVVDESQRQADNGCSGELRHEKFSEGTATESDDDNERGDAAEEEFDEEENTFFDTRDFLSSSSFKSSGSGFRTSSFSSDEDGFESEDDIDPSIKSIGCNYPRVKRRKNLPDPVEKEKSVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAFEYGKRGNSLMRILNVAAFAVSGYASTEGRICKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCDGTGWKFWGDSNLRSKFWGRSIQLDPVGVLTLQFDDGEILQWSKVTTSIYNLILGKLYCDHYGTMRIEGSAEYSCKLKFKEQSIIDRNPHQVHGIVQDKSGKTVATMFGKWDESMHFVTGDCSGKGKQSEAMSGAQLLWKRSKPPGNATKYNLTRFAITLNELTPGLKESLPPTDSRLRPDQRYLENGEFEMANTEKLRLEQRQRQARKMQERGWKPRWFMKEKGSESYRYKGGYWEAREDGLWVDCPDIFGHIDSDQQMIE >fgenesh2_kg.6__286__AT5G03730.1 pep chromosome:v.1.0:6:1150253:1155680:-1 gene:fgenesh2_kg.6__286__AT5G03730.1 transcript:fgenesh2_kg.6__286__AT5G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPGRRSNYTLLSQFSEDQVSVSVTGAPPPHYDSLSSENRSNNNSGNNGKTKTERGGFDWDPSGGGGGDHRLSNQPNRVGNNMYASSLGLQRQSSGSSFGESSLSGDYYMPTLSAAANEIESVGFPQDDGFRLGFGGGGGDLRIQMAGESAGGSSSGKSWAQQTEESYQLQLALALRLSSEATCADDPNFLDPVPDESALRTSPSSAETVSHRFWVNGCLSYYDKVPDGFYMMNGLDPYIWTLCIDLHESGRIPSIESLRAVDSGVDSSLEAIIVDRRSDPAFKELHNRVHDISCSCITTKEVVDQLAKLICNRMGGPVIMGEDELVPMWKECIDGLKEIFKVVVPIGSLSVGLCRHRALLFKVLADIIDLPCRIAKGCKYCNRDDAASCLVRFGLDREYLVDLVGKPGHLWEPDSLLNGPSSISISSPLRFPRPKPVEPAVDFRLLAKQYFSDSQSLNLVFDPASDDMGFSMFHRQYDNPGGENDASAENGGSLPPSANMPPQNMMRASNQVEAVPMNAPPTNQPVPNRANRELGLDGDDMDIPWCDLNIKEKIGAGSFGTVHRAEWHGSDVAVKILMEQDFHAERVNEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHKSGAREQLDERRRLSMAYDVAKGMNYLHNRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKASTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWGNLNPAQVVAAVGFKCKRLEIPRNLNPQVAAIIEGCWTNEPWKRPSFATIMDLLRPLIKSAVPPPNRSDL >fgenesh2_kg.6__2870__AT4G08230.1 pep chromosome:v.1.0:6:18152158:18153885:-1 gene:fgenesh2_kg.6__2870__AT4G08230.1 transcript:fgenesh2_kg.6__2870__AT4G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M8B7] MAYVEGGVVKAKRPIWRLRTIKDFFLSIINLIQVFFVTMFSMEKSDAYRKGSKANKKWGGMGGGGGGGGGGGGGGGSGGGPPRGGLDNVRGLNDIRGADHNSLPACGS >fgenesh2_kg.6__2872__AT4G08240.2 pep chromosome:v.1.0:6:18214855:18217343:1 gene:fgenesh2_kg.6__2872__AT4G08240.2 transcript:fgenesh2_kg.6__2872__AT4G08240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLSIGDLLADLGEETGDSPENNPNPDNSSKSDSNDAISGPLDLTRLFQENYDKLNDAFAGSDHSWTSLTLELCTSLETANKLVHATTTNARLLSEKVEELEKIVKRGDSAVAAARTVHATVNQKGLPSA >fgenesh2_kg.6__2874__AT4G08290.1 pep chromosome:v.1.0:6:18309861:18312362:1 gene:fgenesh2_kg.6__2874__AT4G08290.1 transcript:fgenesh2_kg.6__2874__AT4G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7M8D7] MEGVSATMHKFRPYLLMIFLQFGAAGTYIVIMATLNQGQNRYVVIVYRNLVAALVLAPFALIFERKVRPKMTLSVFWKIMALGFLEPVLDQGFGYLGMNMTSATYTSAIMNILPSVTFIIAWILRMEKVNIAEIRSKAKIIGTLVGLGGALVMTLYKGPLIPLPWSNPTMDQQNGHTNSSEDHNNWVVGTLLILLGCVAWSGFYVLQSITIKTYPADLSLSALICLAGAVQSFAVALVVERHSSGWAVGWDARLFAPLYTGIVSSGITYYVQGMVMKTRGPVFVTAFNPLCMILVALLASFILHEQIHFGCVIGGAVIAAGLYMVVWGKGKDYEVSGLDILEKNSLQELPITTKGDDDKLVSSISDNSNVTIPGGAHRNTSGI >fgenesh2_kg.6__2876__AT4G08300.1 pep chromosome:v.1.0:6:18340450:18343156:1 gene:fgenesh2_kg.6__2876__AT4G08300.1 transcript:fgenesh2_kg.6__2876__AT4G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7M8D9] MKGGKMDKLKPIIAIISLQFGYAGMYIITMVSFKHGMNHWILATYRHVVATIVIAPFALILERKVRPKMTWPLFLRILALGFLEPLLDQNLYYIGMKATSATYSSAFVNALPAITFIMAVIFRIETVNLKKTRSLAKVIGTAITVGGAMVMTLYKGPAIELFKSAHTSLHGGSSGSSSETTDQNWVTGTLAVMGSITAWAGFFILQSFTLKKFPAELSLVMWICAMGTVLNTIASLIMVRDASAWKVGMDSGTLAAVYSGVVCSGMAYYIQSIVIRERGPVFTTSFSPMCMIITAFLGVLVLAEKIHLGSIIGAIFIVFGLYSVVWGKAKDEVISAEEKIGLQELPITNNMSTKTEGGGIPSAVDKT >fgenesh2_kg.6__2877__AT4G08310.1 pep chromosome:v.1.0:6:18343880:18347004:-1 gene:fgenesh2_kg.6__2877__AT4G08310.1 transcript:fgenesh2_kg.6__2877__AT4G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDSTTTSAMEISGDKIDSKDGEATTTAIESQILAAMQSRVTYLRDKADNFTFEGVRRLLEEDLKLEKHALDAHKSFVKQHLLKCLEGAENDETSENSQETEKKDTVTPVKEVAKLSKEHKEKKDVKEDTTGDDEKTEDSPVMGLLTEENTSQSVAEQTKDEDSKEVLQSDIKKALRKRASYIKANSEKITMGLLRRLLEQDLKLEKYSLDPYKKFINGELDEILQALEATKSSTKAQRKTVTKKVKSTPAKNSDSEEMSDSDGDDDKEVTVKKKKTAEKRKSSKSEGTGKRKREKEKLASAKKTKQTDSQSDSDAGEKASSSEKSVKKQETPTTGYGKRVEHLKSIIKSCGMSISPSVYRKAKQAPEEKREETLIKELKELLAKEGLSANPSEKEIKEVKKRKERTKELEGIDTSNIVSSSRRRSTASFVPPPKPIKAEESESDESEDSENEEDEDEEVVVEEDEDEEEDEGGNKDGGEGSQNEGEPNTEDGGEEESE >fgenesh2_kg.6__2880__AT4G08330.1 pep chromosome:v.1.0:6:18350235:18351521:-1 gene:fgenesh2_kg.6__2880__AT4G08330.1 transcript:fgenesh2_kg.6__2880__AT4G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSASVGVNADRFGGNQFHSPSFSSSSSMRHVNYSCGSCGYELNLSSTNRITSTIGSKYGKSMKSGIISFFNIDEGRFSQVDEFQCMPHFSRYSWGLFRHRTKLLCRKCNNYIGNASQEKAPEYALVTQNSDPTSPRIGSVTKYDIRIRSLQPSSAVALL >fgenesh2_kg.6__2883__AT4G08390.2 pep chromosome:v.1.0:6:18534547:18537099:1 gene:fgenesh2_kg.6__2883__AT4G08390.2 transcript:fgenesh2_kg.6__2883__AT4G08390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTERVFLTLNGTLLSRPKTTATTMSSSLRSTTAASRLLRSSSSTRSTLTLSAFTSLSFARSLVSSPLLSSSPLDFQKKYRVSSVNRSFNSTAATTKCSASDPDQLKNAREDIKELLNTKFCHPILVRLGWHDAGTYNKNIKEWPQRGGANGSLRFEIELKHAANAGLVNALNLIKDIKEKYSGITYADLFQLASATAIEEAGGPKIPMKYGRVDASGPEDCPEEGRLPDAGPPSPANHLREVFYRMGLDDKDIVALSGAHTLGRSRPERSGWGKPETKYTKEGPGAPGGQSWTPEWLKFDNSYFKEIKEKRDEDLLVLPTDAAIFEDSSFKVYAEKYAADQDAFFKDYAVAHAKLSNLGAKFDPPEGIVI >fgenesh2_kg.6__2885__AT4G08450.1 pep chromosome:v.1.0:6:18541263:18544722:1 gene:fgenesh2_kg.6__2885__AT4G08450.1 transcript:fgenesh2_kg.6__2885__AT4G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M8F3] SSSRNSGYDVFTSFSGEDVRVTFLTHFFKELDRKMIIAFKDNEIERGHSIGPKLIKAIKDSRIAVVVFSKNYSSSSWCLNELLEIVKCQEIVIPIFYDLDPSDVRKQEGEFGESFKKTCKNRTKDEIQRWREALTNVANIAGYHTGKPNDEAKLIEEIANNVLDKLMKLTPSKDFDEFFGIEEHIKELSVLLCLESQEVRMVGIWGATGIGKTTIARALFNRLYRHFQGRVFIDRAFISKSMDIYSRANPDDYNLKLHLQEKFLSKLLDKKNLEINHLDAVKERLKNMKVLLFIDDLDDQVVLEALACQTQWFGDGSRIIVITKDKHLLRAYGIDNIYEVLLPSKDLAIKMFCRSAFRQNSPPNGFIELSYEVVQRAGSLPLGLNILGSYLRGRNKEIWMEMMPGFRNKLDGKIEKTLRVSYDGLDSKDDQAIFRHIACIFNFETCSDIKKLLADSGLNVTNGLINLVDKSLIRIKPKQKTVEMHCLLQETGREIVRAQSVDDPRKREFLVDGKDIYDVLDDCSGTKKVLGISLDIDEIDELHLHVDAFKGMRNLRFLKLYTNTKISEKEDKLLLPKEFNYLPNTLRLLSWQRFPMRCMPSEFFPKYLVKLIMTGSKLEKLWEGVMPLQCLKTINLFGSQNLKEFPDLSLATSLETLSLGYCLSLVEVPSTIGNLNKLTYLNMLGCHNLETLPADINLKSLSHLILNGCSRLKIFPALSTNISELTLNLLAVEKFPSNLHLENLVYLIIQGMTSVKLWDGVKVLTSLKTMDLRDSKNLKEIPDLSMASNLLILNLRECLSLVELPSTIRNLHNLAELDMSGCTNLETFPNDVNLQSLKRINLARCSRLKIFPDISTNISELDLSQTAIEEVPWWIENFSKLEYLLMGKCDMLEHVFLNISKLKHLKSVDFSDCGRLTK >fgenesh2_kg.6__2886__AT4G08455.1 pep chromosome:v.1.0:6:18552650:18553816:1 gene:fgenesh2_kg.6__2886__AT4G08455.1 transcript:fgenesh2_kg.6__2886__AT4G08455.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M8F4] MRCISCREEYLPRNAGTCKECYVEAGETEEELKREIDDLKAKVSFLRLSSSLDHGTSSSSRSFTDLVLIASQDSAGSPPIPAHKSVLVSRSPVFKAMLENEMEESLSGTIKISDVSYDALRTFVYYLYTAEACLDEQMACDLLVMSEKYQVKHLKSYCERFLVTKLSPDNSLMTYAFAHQHNAKHVLDAALSQIVENMEKLTKREEYMELVEKDPRLIVEIYEAYLSKQVNTAAGGTSTSKTG >fgenesh2_kg.6__2887__AT4G08460.1 pep chromosome:v.1.0:6:18554083:18557459:-1 gene:fgenesh2_kg.6__2887__AT4G08460.1 transcript:fgenesh2_kg.6__2887__AT4G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKEQASLTRFPPCPRNPDKFKDINKTLQEKGYGKALKRKPWKGVTCPVCLEVPHNSVVLLCSSYHKGCRPYMCATGNRFSNCLEQYKKAYAKDEKSDKPPELLCPLCRGQVKGWTVVEKERKYLNSKKRSCMKDKCLFYGSYRQLKKHVKEVHPRVKPRAIDPVLEAKWKKLEVERERSDVISTVMASTPGAMVFGDYVIEPYNNAYGHHDDSYEDDSESDDEMEGGLFELGSFDIGRLQPRSAAVSSRGIRSMIIRNRWVRSRGVSRRRQTQD >fgenesh2_kg.6__2889__AT4G08500.1 pep chromosome:v.1.0:6:18605456:18609002:-1 gene:fgenesh2_kg.6__2889__AT4G08500.1 transcript:fgenesh2_kg.6__2889__AT4G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRILARMKKSSGRRGGDKITPVRRLERRDAARNINYDAASSSSSSAEDLSVSTSSLMTRSLEFPEPTSFRIGGGGEGEMDRIYRSLGVSGPDDLAISFDAWEACKKRSSSDVVNRFKSFDIDNHKVQERDLNEAGPSGVVVTSNSLNHLDLSEAGPSGVVVASSSTNRDINELMPSELSEIGNLSTPVDRVVVDGGTPGIVENRRAFERTPTILVKSKGYLVPNDVVTVGGGIKGVRPPVLKPPPAMKRPPIDLRGSSWDFLTHFAPSETVKRPSSSSSSSENGCDDEEAKVEEVETEEMGARFVQLGDTADEACSFTTNEGDSSSTVSNTSPIYPDGGSIITSWQKGQLLGRGSFGSVYEGISGDGDFFAVKEVSLLDQGSQAQECIQQLEGEIALLSQLQHQNIVRYRGTAKDGSNLYIFLELVTQGSLLKLYQRYQLRDSVVSLYTRQILDGLKYLHDKGFIHRDIKCANILVDANGAVKLADFGLAKVSKFNDIKSCKGTPFWMAPEVINRKDSDGYGSPADIWSLGCTVLEMCTGKIPYSDLEPVQALFRIGRGTLPEVPDTLSLDARHFILKCLKVNPEERPTAAELLNHPFVRRPLPSMGSGGSGSASPLLRR >fgenesh2_kg.6__2890__AT4G08510.1 pep chromosome:v.1.0:6:18612749:18616001:1 gene:fgenesh2_kg.6__2890__AT4G08510.1 transcript:fgenesh2_kg.6__2890__AT4G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGEPSLVPEWLRSSGHGSGVGSSNQLLSSLPNSKNRNSRSRSDVDSVRSPFLDRSSSTNTRRGSSNGSTKHAYSSFNFNRSNRDKDRSREKDRLSYMDPWDHDTSLPFGTFLIGTGQEQLRRSHSMTTRKQGNHLAQGFTVGYKNGGNINTYNGHGILPGTSPAKSSKRTGFDKDFPLLRAEERNGGPDVVRIASPVLSPTAQSLSVGNPALIVGEGWTSALAEVPNVIEKSGTGSHSNVGSSATLTVPTCRNMAEALVQAPGRTVTPPQAQTLEDRAIRQSRQLIPVVPSAPKGSVHNSSDKSKTKPMFRSGEIGLASSRNTQQHSSVMLGNLQSNPGSQIKPDTTKKLVILKPARENGVVAGGSPPSSRAAASQLTTAPSTQFTASVRSTNGPREPRGASVNMIAGKTAEKKLSLAQTQSRHAFFSALKQKTSTNISTDPSKTSSCILSSVEEKANSSKELVASDLSSPQAAERDEIMESVEKVSDVAERISRFESAVRPDPKEAAFLKSLGWDENDSEEYTLPMEEMIEWCKKFKPSLLQKLPII >fgenesh2_kg.6__2894__AT4G08555.1 pep chromosome:v.1.0:6:18684262:18684604:1 gene:fgenesh2_kg.6__2894__AT4G08555.1 transcript:fgenesh2_kg.6__2894__AT4G08555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVGGSSTTGFAEAYAMRKIYKEKMKMKLAEVKVEEEKNNVEEKSKSWKTKKSKKTLQINPRVSS >fgenesh2_kg.6__2896__AT4G08590.1 pep chromosome:v.1.0:6:18706099:18709028:1 gene:fgenesh2_kg.6__2896__AT4G08590.1 transcript:fgenesh2_kg.6__2896__AT4G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M8G6] MARDNQLPGDGDGVSTPEESLTYRTCDTPSHVTCVSSPPLDRSGDVDPLPVSGIGGHESGGSKADESMIDTDETKKRKRLLSGEEKSDGEIASVDDGVDVFAAICEDLNCSLCNQLPDRPVTTQCGHNFCLKCFEKWIDRGNETCAKCRSPIPDIMAGNPRVNSSLVPVIRYVKVAKGAGAGTANFFSFTSNQGGPENAFRTKRAKTGRANAACGRIYVTVPFDHFGPIPAENDPVRNQGVLVGESWKDRVECRQWGAHFAHVSCIAGQSDYGAQSVAISGGYKDDVDHGEWFLFTGRGRRNFNNEDQEFEELNEALRVSCEMGYPVRVVRSYKEKHSAYAPEEGVRYDGVYRIEKCWRKARFQDSCKVCRYLFVRCDNEPAPWNSDENGDRPRPLPDIPELETATDLFERKESPSWDFDEAEGRWRWMKPPPANHEQRKRMRIAMTCLLLFVMIIFVGSSSILYRV >fgenesh2_kg.6__2898__AT5G28712.1 pep chromosome:v.1.0:6:18720630:18721898:-1 gene:fgenesh2_kg.6__2898__AT5G28712.1 transcript:fgenesh2_kg.6__2898__AT5G28712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHNFIRISNFPDEDFSERMGHTENSNTDGATDQDDMEAAEVEDGDVMANIKEYIANKLWQDKNTG >fgenesh2_kg.6__289__AT5G03760.1 pep chromosome:v.1.0:6:1163979:1168688:-1 gene:fgenesh2_kg.6__289__AT5G03760.1 transcript:fgenesh2_kg.6__289__AT5G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCSLA09 [Source:UniProtKB/TrEMBL;Acc:D7LWT4] MELGDSTTSVIPDSFMGYRDDITMQMSMVLDQIRAPLIVPVLRLGVYICLTMSVMLFVERVYMGIVISLVKLFGRKPDKRFKYEPMKDDIELGNSVYPMVLVQIPMFNEREVYQLSIGAACGLSWPSDRIVIQVLDDSTDPTIKDLVEMECSRWASKGVNIKYEIRDNRKGYKAGALKEGMKKSYVKSCDYVAIFDADFQPEPDFLWRTVPYLLHNPKLALVQARWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGIWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLGSLKVKNELPSTFKAYRYQQHRWSCGPANLFRKMAFEIMTNKNVTLWKKVHVIYSFFVVRKLVAHIVTFIFYCVILPATVLVPEVSVPKWGAVYIPSVITLLNAVGTPRSLHLMVFWILFENVMSLHRTKATFIGLLEGGRVNEWIVTEKLGDVKAKSATKTPKKVLRFRFGDRIHVLELGVGMYLLFVGCYDAFFGKNHYYLYLFAQAIAFFIAGFGQIGTIVPNH >fgenesh2_kg.6__28__AT5G01730.1 pep chromosome:v.1.0:6:126103:130813:1 gene:fgenesh2_kg.6__28__AT5G01730.1 transcript:fgenesh2_kg.6__28__AT5G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRYQIRNEYGLADKELYQSADKEDPEALLEASSMAGLVGVLRQLGDLSEFAAELAVMLWPCVSNSSKLTFLLFRYPFSLKPIILHSFMIQASFKWHSNLQIKEDLISPRNLPHCIMDSYQECRAPPQLFLLDKFDVAGSGSCLKRYSDPSLLKTHTASAVVATSKLSKDERPRKSKKKGSHTTSKKTPEDSTHAKLHQLFFLEHAENGHKNPEFHVKLKKRQLNRPPINSSSGTSYMEKFLKNSSPYCERVHGTLDQSSPAMETEVTVCSVQEDLPKPSLVYPNSGDTRKYNEMEMESITDDERIEIPFVPHEITVNEKSLPNIEESPVVCLESSSSVNLCCKTNNDADAPASTESEAKEAGSDEKAGCDLGFPGFGQPQICTNAEVTQTEVLTQFSDVLHHSPEEGESSLLCTDIQRASPESKPHKAEEAAVELDKSFSQMTPDIDSAGMETLELKQTPFSLSCYENPPNLPEDSESHLELQSNKASADEIGLKSSEACEVFEVRRDPMLNISPETHLLMLTQDASEGGTNDVHSQHVFSVEAASEISLSALVEDQFSSITNQEIEALESEDISSEAGHFIPDTKTSLNETPVALESDYLLPNQYISTFENFEDLTLAIDAQDYAVPEKDETNSQDGSSMNPEQSKHISTSEINSENGILMSDTPRDLHTGYGSLSASSFLEDALANPVLAEISSYSGQEDPQTMSIVSDDNNDPEVPIPDGTCFAGDGDDDNQTGLNNKANETVPQIDLETISNPQESLLGTEECLSSEYCLQIQNQGQETPSETGSANSITSSAESPPTQNVSVGVQNSPLEEALTCNEKVFPSSITEIEALHAPHQETFTPLNDHISESVLSTGLTDEEDFLDVSPESMVPFSTSLHETPQANPKITPPLPPLPPTQWWMGKLVESTEMPSLAGSGNNSFNIHRDENTQNGSVQANEAQYPSEVSVTDGENHNSHVYTEESKATEEQSPSAVNGTSDTYMESKHKFLNRNPENSFALEESAQGLEADWRTEAMALEWFSQNLREHNNPHPAMLEEEQPQVDHPLEQPGQIEFPQTLRDNSSYEQNLKAGKLKRDEDTVVIGIDRSMLRKVSERNRTQVGARLDENDSLLEIIRSKSFNLRPADASGRPNFQVAVPKTNLKVAAILEKANTLRQAMAGSDDEHDSDSWSE >fgenesh2_kg.6__2901__AT4G08685.1 pep chromosome:v.1.0:6:18783307:18784325:1 gene:fgenesh2_kg.6__2901__AT4G08685.1 transcript:fgenesh2_kg.6__2901__AT4G08685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAVLLVVLCFLPVLAIAARPNKNPFVVRGRVYCDTCLAGFETPASTYIHGTSGAVVRLECKDRRTMELTYSHEARTDSTGSYKILVNEDHDDQFCDAMLVRSSQLRCSNVSPGHDRARVTLTRFNGIASDDRFANNMGFLRDAAMPGCADIMKLYQETED >fgenesh2_kg.6__2904__AT4G08700.1 pep chromosome:v.1.0:6:18813664:18815022:-1 gene:fgenesh2_kg.6__2904__AT4G08700.1 transcript:fgenesh2_kg.6__2904__AT4G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPUP13 [Source:UniProtKB/TrEMBL;Acc:D7M8H8] MVEEAMLLLKEEDEGSRRTSWWILVFISIFFLISAQAIAVLLGRFYYNEGGNSKWISTLVQTGGFPILYLPLCLLPASQSSSSSCSFKTLVWIYLSLGFAIGLDNLLYSIGLLYLSASTYSILCASQLAFNGVFSYYINSQKITCLILFSVLFLSISAVLVSLDDDSNSPSGDSKWSYLIGCFCTVLASLIYSLQLSLMQFSFENVLKSETFSMVLEMQIYTSLVASCVAVIGLFASGEWMLLSVEMEEFQEGQVIYVLTLVGTAVSWQLCSVGAVALIFRVSSLFSNLIGTLSLIVTPLAAIAVFHDKLTEVKMVAMLIAFTGFAFYIYQNYLDDLNVQRARKTQAELF >fgenesh2_kg.6__2906__AT4G08770.1 pep chromosome:v.1.0:6:18893342:18895097:-1 gene:fgenesh2_kg.6__2906__AT4G08770.1 transcript:fgenesh2_kg.6__2906__AT4G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LX48] MHSSLIKLGFLLLLQVSLSHAQLSPSFYDKTCPQVFDIVTNTIVNALRSDPRIAASIIRLHFHDCFVNGCDASILLDNTTSFRTEKDAFGNANSARGFDVIDKMKAAVEKACPRTVSCADLLAIAAQESVVLAGGPSWRVPNGRRDSLRGFMDLANNNLPAPSFTLKQLKDRFKNVGLDRASDLVALSGGHTFGKNQCRFIMDRLYNFSDTGLPDPTLDKSYLTTLRKQCPRNGNQSVLVDFDLRTPTLFDNKYYVNLKENKGLIQSDQELFSSPDASDTLPLVREFADGQGKFFDAFAKAMIRMSSLSPLTGKQGEIRLNCRVVNSKPRIMDVVEDAIKFASSM >fgenesh2_kg.6__2909__AT4G08780.1 pep chromosome:v.1.0:6:18916861:18924327:1 gene:fgenesh2_kg.6__2909__AT4G08780.1 transcript:fgenesh2_kg.6__2909__AT4G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LX50] MYSSLIKLGFLLLLLQVSLSHAQLSPSFYDKTCPQVFDIVTNTIVNALRSDPRIAASILRLHFHDCFVNGCDASILLDNTTSFRTEKDAFGNANSARGFDVIDKMKAAVEKACPGTVSCADMLAIAAQESVVLAGGPSWRVPNGRRDSLRGFMDLANNNLPGPSSTLQELKDRFKNVGLDRPSDLVALSGGHTFGKNQCQFIIDRLYNFGDTGLPDPTLDKSYLATLRKQCPRNGNKSVLVDFDFRTPTVFDNKYYVNLKENKGLIQTDQELFSSPDASDTLPLVREYADGQGKFFDAFEKAMIRMSSLSPLTGKQGEIRLNCRVVNSKSRIMDVVEDAIEFASSM >fgenesh2_kg.6__290__AT5G03780.1 pep chromosome:v.1.0:6:1177817:1179731:-1 gene:fgenesh2_kg.6__290__AT5G03780.1 transcript:fgenesh2_kg.6__290__AT5G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRTKSILVRSSRKSLDPSNPSLAPLPDDEANENFCSGIVPDTFDERDVPHKKRHCLGTSVTTDRGGSVEPLLDLDACIVCEVSDERVSRCCGVDCLLSFHGECLYAELGSSSSEDVANPFCPYCWLKIVALKSKTLREKTVEAEKAVFKYLDKEMKSRNGDTTLSGDAIGNQEQSTNKGDNSRGENMLLIEEIDQPGEDKGKVGTDKVIDEVGASEDEERVATENFQDAEDDDKDDETAKDQSTRILNTGAGKKGDVSSFLSMQESFSAKEHDHVQQSEVRRRRRRIELNTIDSEISSKGSSNERNGEDVTEQITSSAQVTSPSGKMKNQQATTNVAAKSKTVRDISFFMKDQRRRLLWTYKEEEMLKVGVEKFAADAKKNMPWRKILEMGEKVFHETRTPADLKDKWRNMLGARVGPKTNKAAH >fgenesh2_kg.6__2912__AT4G08810.1 pep chromosome:v.1.0:6:18941594:18943466:-1 gene:fgenesh2_kg.6__2912__AT4G08810.1 transcript:fgenesh2_kg.6__2912__AT4G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESDLTTEPIAQNLIKLISNVCFSVFVFTVLIFTVIAVTYQPPDPWLESAPALTKLLTETENATFKIDGSMLKTGEDIASSSPVSAPAGLVTEATIEKSEERIGNMTVKNSSSDYSMDCDDLKIVNCSDPRVLVAVERFNLKVFKSIVFLEYETPVNGSNLDECDVSWRFRNKKEKSWRRYRDFRRFKFGFGENCTYKVFHTSGWHSGVNARRPRIRPSSGRGGGPKVVRGGDPEINDTIPTLGSQTNFRRGKYLYYSRGGDYCKGMNQYMWSFLCGLGEAIYLNRTFVMDLSLCLSASYSSTGKDEEGKDFRYYFDFEHLKETASIVEEGEFLRDWKKWNRSHKRKVPVRKVKTHKVSPLQLSKDKSTIIWRQFDTPEPENYWYRVCEGKASKYVERPWHALWKSKRLMNIVSEISGKMDWDFDAVHVVRGEKAKNKKLWPHLDADTWPDAILTKLKGLVQVWRNLYVATNEPFYNYFDKLRSQYKVHLLDDYSYLWGNKSEWYNETSLLNNGKPVDFDGYMRVAVDTEVFYRGKTRVETFYNLTTDCKDGINTC >fgenesh2_kg.6__2914__AT4G08850.1 pep chromosome:v.1.0:6:18993117:18997065:-1 gene:fgenesh2_kg.6__2914__AT4G08850.1 transcript:fgenesh2_kg.6__2914__AT4G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDLQVLLIISIVLSCSLVVSATVEEANALLKWKSTFTNQTSSSKLSSWVNPNTSSFCTSWYGVSCLRGSIVRLNLTNTGIEGTFEEFPFSSLPNLTYVDLSMNRFSGTISPLWGRFSKLVYFDLSINQLVGEIPPELGDLSNLDTLHLVENKLNGSIPSEIGRLTKVTEIAIYDNLLTGPIPSSFGNLTRLVNLYLFINSLSGPIPSEIGNLPNLRELCLDRNNLTGKIPSSFGNLKNVSLLNMFENQLSGEIPPEIGNMTALDTLSLHTNKLTGPIPSTLGNIKTLAILHLYLNQLSGSIPPELGDMEAMIDLEISENKLTGPVPDSFGKLTVLEWLFLRDNQLSGPIPPGIANSTELTVLQLDTNNFTGFLPDTICRSGKLENLTLDDNHFEGPVPKSLRNCKSLVRVRFKGNHFSGDISDAFGVYPTLNFIDLSNNNFHGQLSANWEQSTKLVAFILSNNSISGAIPPEIWNMTQLNQLDLSFNRITGELPESISNINRISKLQLNGNQLSGKIPSGIRLLTNLEYLDLSSNQFGFEIPATLNNLPRLYYMNLSRNDLDQTIPEGLTKLSQLQMLDLSYNQLDGEISSQFGSLQNLERLDLSHNNLSGQIPTSFKDMLALTHIDVSHNNLQGPIPDNAAFRNASPNALEGNNDLCGDNKALKPCSITSSKKSHKDRNLIIYILVPIIGAIIILSVCAGIFICFRKRTKQIEENSDSESGGETLSIFSFDGKVRYQEIIKATGEFDSKYLIGTGGHGKVYKAKLPNAIMAVKKLNETTDSSITNPSTKQEFLNEIRALTEIRHRNVVKLFGFCSHRRNTFLVYEYMERGSLRKVLENDDEAKKLDWGKRINVVKGVADALSYMHHDRSPAIVHRDISSGNILLGEDYEAKISDFGTAKLLKPDSSNWSAVAGTYGYVAPELAYAMKVTEKCDVYSFGVLTLEVIKGEHPGDLVSTLSSSPPDTSLSLKTISDHRLPEPTPEIKEEVLEILKVALMCLHSDPQARPTMLSISTAFS >fgenesh2_kg.6__2915__AT4G08870.1 pep chromosome:v.1.0:6:19031021:19045222:-1 gene:fgenesh2_kg.6__2915__AT4G08870.1 transcript:fgenesh2_kg.6__2915__AT4G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKIGQRGVPYFKRLISAPFTTLRSLPTSLVETGQNRVIDASLTLIRERAKLKGELVRLIGGAKATTALLGVPLGHNSSFLEGPALAPPHVREAIWCGSTNSTTEEGKELKDPRVLSDVGDIPVQEIREMGVDDDRLMNVVSDSVKLVMEEEPLRPLVIGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDRFEGNYYSHASSFARIMEGSYARRLLQVGIRSINKEGREQGKRFGVEQYEMRTFSKDRQMLENLKLGEGVKGVYISIDVDCLDPGFAHGVSHFEPGGLSFRDVLNILHNLQGDLVGADVVEYNPQRDTADDMTAMVAAKFVRELAAKMSK >fgenesh2_kg.6__2917__AT4G09080.1 pep chromosome:v.1.0:6:19140126:19142526:1 gene:fgenesh2_kg.6__2917__AT4G09080.1 transcript:fgenesh2_kg.6__2917__AT4G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon outer membrane complex 75-IV [Source:UniProtKB/TrEMBL;Acc:D7LX68] MEAVNEAVRKIKSLVIPHPDEKTNGIVLEIKLDETDQRSAKWSLDPSLALFEVTGSCTLGRQNFEGLNCSLMSAVTTSNIFDPKLDDLLSKLEYVRCLDGDKNPRNRTFKTSFFNSRKLSPVFTGGPGFEEVVPPMFVARDCLKATITENLTRQREFTYGVMLEEIIAQDENRKVSVNGLSFSPSGGISVNGPPTTLSGTGIDRIASLQANITRDNTKLVNGAIVGERNVFQVDQGLGIGSNFPLFNRHQLTLTRFIQLKQVEEGSGNPRPPVLVLHGHYGGCIGDLPNYDAFALGGPNSVRGYSMGELGAAKHILELSAEIRIPVKNTHVYTFAEHGNDLGSSKDVKGNPTAVYWKMGHGSSYGLGVKLGKVRAEYTVRHNRGTGALFLRFGERY >fgenesh2_kg.6__2919__AT4G09110.1 pep chromosome:v.1.0:6:19161672:19162429:1 gene:fgenesh2_kg.6__2919__AT4G09110.1 transcript:fgenesh2_kg.6__2919__AT4G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LX71] TLACFLHNTFYRAELEAASQEVFHSRARSGLEKELIESFPLFLYSEVKGFKIGKGGVECAICLSEFEDQETLRWMPPCSHTFHANCIDVWLSSRSTCPVCRANLSLKPCESFPYPIMDLETGNARRDVLERSLLQLGKNLDRFTLQLPKEMQRRLVSLNLIRMRNTALPRAMSSRQG >fgenesh2_kg.6__2921__AT4G09150.1 pep chromosome:v.1.0:6:19187872:19192928:1 gene:fgenesh2_kg.6__2921__AT4G09150.1 transcript:fgenesh2_kg.6__2921__AT4G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 11 [Source:UniProtKB/TrEMBL;Acc:D7LX78] MTEPERLGGISLSFPANEEDTVTTTSPKTLPRRLRRRLLEPKSPVSAEEIDSKLREADLRRQQYYESLSSKARPKMRSPRSASIEELSQRLESKLNDAEQKRLSILEKELARLAKMDEARQAAKNGLEQRVEKERDELESKVEERVLKAEKNRMLLFKAMAQRRAAKRQRAAQSLMKKAVQEMRYKESVRAAIYQKRAAAESKRMGILEAERRRANARLTRVFGAASSVQSQKEAERKKMKDRLEERLQRAKKLKAQYMKRRRGMDSCSSSRSETMRKNQVHLVRMLERCWRRFTKYKKSTYGLARAYHSLGINEKSIESVPFEQFAIQMNSVSVIQTVKALLDRLEIRLALSKASNVENINHLLKHIFPPARRGKSPSSVSKGEQKSPNSKMGYQKLKKIARYPARIFLCAYMIKQHPGAIFRGRGEHEIALVESATYLIREFELLVKIILEGPECTLPDNVSFEAPRPKKFRSQLEAFDKAWCSYLEGFVVWKINDAKLLEKDIARTQEPELSEVSKHISSPTILKVSSPTNRALFAETDGAQESKAPADSHLPSSSSQGSSNLSPSLNSGIEGISTPNVTANSIDAALASENEVIVNEIVHDNSSSFADSFDPNTGDTNTLQVRVKETMEKAFWDGVMESMKQSQPDFSWVLKLMKEVRDELCEISPKDWRQEIVQTIDTDVLSQLLASGNVDMGYLGNILEFSLGILLKLSAPANEEEIRTTHHKLMTELGEIVPTEGHSNSSYAILMVKGLRFVLQQIQILKKEISKSRLKLLKPLLKGPAGLEYLKKSFSSRHGSPDRASPSLPLTKRWLLSVRGEAEREWKEHKDALSALTNNHSGSTGLPSTTMRTGGNISSVSKVNTPSSPFPGIELSECKGETVDLLVRLGLLKMVSEIGGLTLETVPETFQLNLSRLRAVQSQIQKITLVSISVLILQQTLVSENSSPIDMETITWTCINRLYEMLDAKPDAGLSEIMETLSKLLDSDDAETKKQVIANMLVKSLQAGDAVFTRVSQTIYLAIRAAVLAGNNTKRKQLVETMLRKIGAASLSDKVIEVSNILVLVATVSRSVHGLWYEELLKKPN >fgenesh2_kg.6__2922__AT4G09160.1 pep chromosome:v.1.0:6:19222010:19224960:1 gene:fgenesh2_kg.6__2922__AT4G09160.1 transcript:fgenesh2_kg.6__2922__AT4G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein [Source:UniProtKB/TrEMBL;Acc:D7LX83] MLQDSATTTTTPPPPLTSDVSMPSGEEQEPNHVTSEEEAPVTSEPNLQLPLMPELEESNHAAEVDSEKSHEMTPDTVTSVSEGLNHAAEDSELTHEVTPETETLKPEVLNHAVEDSEQPHQMTPETETLKPEVPNHAAEDSEQIQQITPETATLKPEVLNHAAEDSEQTHGVTPTPEKETSETDTSLLVISEPEELNHEAEDDSETPETEPSQKLMLEHRRKYMEVEDWTEPELPDAAVLEAAASVPEPQAPPPTTTAPTVESRSLAEMMNREEAEAEEKPKIQIPRSLGSFKEETNKISDLSEPELNALHELRHLLQVSQDSSKSFIWGVPLLKDDRTDVVLLKFLRARDFKPQEAYSMLNKTLQWRIDFNIEELLDENLGDDLDKVVFMQGHDKDNHPVCYNVYGEFQNKDLYQKTFSDEEKRERFLRWRIQFLEKSIRNLDFVAGGVSTICQVNDLKNSPGPGKTELRLATKQALHLLQDNYPEFVSKQIFINVPWWYLAFYRIISPFMSQRSKSKLVFAGPSRSAETLFKYISPEHVPVQYGGLSVDNCDCNSDFTHDDIATEITIKPTTKQTVEIIVYEKCTIVWEIRVVGWEVSYGAEFVPENKEGYTVIIQKPRKMTAKNEPVVSHSFKVGEVGRILLTVDNPTSTKKMLIYRFKVKPLLCE >fgenesh2_kg.6__2924__AT4G09180.1 pep chromosome:v.1.0:6:19237066:19239539:1 gene:fgenesh2_kg.6__2924__AT4G09180.1 transcript:fgenesh2_kg.6__2924__AT4G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7LX86] MQSTSVGSSGGGDDGGGGRGGGGGGLSRSGLSRIRSAPATWLEALLEEDEEESLKPNLGLTDLLTGNSNDLPTSRSSFEFPIPVEQGLYQQGGFHRQNSTPADFLSGSDGFIQSFGIPANYDYLSGNIDVSPGSKRSREMEALFSSPEFTSQMKGEQSSGQVPAGVSGMTDMNMENLMEDSVAFRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLEEAVEYVKVLQRQIQELTEEQKRCTCIPKEE >fgenesh2_kg.6__2926__AT4G09320.1 pep chromosome:v.1.0:6:19246916:19247854:1 gene:fgenesh2_kg.6__2926__AT4G09320.1 transcript:fgenesh2_kg.6__2926__AT4G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:D7LX88] MEQTFIMIKPDGVQRGLIGEVISRFEKKGFTLKGLKLISVERSFAEKHYEDLSSKPFFSGLVDYIVSGPVVAMIWEGKNVVLTGRKIIGATNPANSEPGTIRGDFAIDIGRNVIHGSDAVESARKEIALWFPDGPVNWQSSVHPWIYE >fgenesh2_kg.6__2929__AT4G09460.1 pep chromosome:v.1.0:6:19290178:19291298:1 gene:fgenesh2_kg.6__2929__AT4G09460.1 transcript:fgenesh2_kg.6__2929__AT4G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMYB6 [Source:UniProtKB/TrEMBL;Acc:D7LX93] MGRSPCCEKAHTNKGAWTKEEDQRLVDYIRNHGEGCWRSLPKSAGLLRCGKSCRLRWINYLRPDLKRGNFTDEEDQIIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLSHGIDPQTHRPINDSKTVPFQTVVPSQNDAVEYSFSNLAVKLKTENSSDNGASTSGTTTDEDLRQNGECYNSDNSRKIELNLDLTLGFGSGSVRVVGAGSSADSKPWRDQLMTARLSQL >fgenesh2_kg.6__292__AT5G03795.1 pep chromosome:v.1.0:6:1199343:1202333:-1 gene:fgenesh2_kg.6__292__AT5G03795.1 transcript:fgenesh2_kg.6__292__AT5G03795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEDVDGKCRNMSACSSTTSYSTKLFLFMVPLVVISAFVFVNIGPKTPTSFLTSLSTSSHHLPPSPSLPPAPAPALSPLPPEMLPSLSASSLSTKVESIQDDYNRTIQMNLINVTTTSSNVTSTASLEPKKRRVLSNLEKIEFELQKARASIKAASMDDPVDDPDYVPLGPMYWNAKVFHRSYLEMEKQFKIYVYKEGEPPLFHDGPCKSIYSMEGSFIYEMETDTRFRTNNPDKAHAFYLPFSVVKMVRYVYERNSRDFSPIRNTVRDYINLVGDKYPYWNRSIGADHFILSCHDWGPEASFSHPHLGHNSIRALCNANTSEKFKPRKDVSIPEINLRTGSLTGLVGGPSPSSRPILAFFAGGVHGPVRPVLLEHWENKDNDIRVHKYLPRGTSYSDMMRNSKFCICPSGYEVASPRIVEALYSGCVPVLINSGYVPPFSDVLNWRSFSVIVSVEDIPNLKTILTAISPRQYLRMYRRVLKVRRHFEVNSPAKRFDVFHMILHSIWVRRLNVRIREV >fgenesh2_kg.6__2933__AT4G09466.1 pep chromosome:v.1.0:6:19317322:19328373:1 gene:fgenesh2_kg.6__2933__AT4G09466.1 transcript:fgenesh2_kg.6__2933__AT4G09466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISSPLALLFIILSSIMINHFHVASSKTWCIATLTATNAQLQANINFGCSQGVDCRPIRPGGSCFIPNTLVNHASFVMNSYYQSHGRTNQACSFKNTGTFAATDPSFGKCVYAS >fgenesh2_kg.6__2936__AT2G22930.1 pep chromosome:v.1.0:6:19337892:19339296:1 gene:fgenesh2_kg.6__2936__AT2G22930.1 transcript:fgenesh2_kg.6__2936__AT2G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LX96] MKRKFHAFMFPWFAFGHMIPFLHLANKLAEKGHRVTFLLPKKAQKQLEHHNLFPDSIVFHPLTIPPVNGLPAGAETTSDIPISMDNFLSEALDLTRDQVEAAVRALRPDMIFFDFAQWVPEMAKEHMIKSVSYIIVCATTIAHTHVPGGKLGVPPSSYPSSKVFFRENDAHALATLSIFYKRLYHQITTGLKNCDVIAMRTCKEIEGKFCDYISRQYHKKVLLTGPMFPEPDTTKPLEEHWSHFLSGFTPKSVVFCSFGSQIILEKDQFQELCLGMELTGLPFLVAVKPPRGSSTVQEGLPQGFEERVKGRGVVWGGWVQQPLILSHPSIGCFVNHCGPGTIWESLVSDCQMVLIPFLSDQVLFTRLMTEEFEVSVEVYREKTGWFSKESLSNAIKSVMDKDSDLGKLVRSNHTKLKEILVSPGLLTGYVDNFVEALQEDLI >fgenesh2_kg.6__2937__AT4G09510.1 pep chromosome:v.1.0:6:19385395:19388366:-1 gene:fgenesh2_kg.6__2937__AT4G09510.1 transcript:fgenesh2_kg.6__2937__AT4G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHKEPLWLRVEGSHCSLSEMDDFDLTRALEKPRQLKIERKRSFDERSMSELSTGYARQDSILEMAHSPGSRSMVDTPLSVRNSFEPHPMVAEAWEALRRSMVFFRGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLQLQGWEKRVDRFKLGEGVMPASFKVLHDPVRKTDTIVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLSETPECQRGMRLILSLCLSEGFDTFPTLLCADGCSMVDRRMGVYGYPIEIQALFFMALRCALSMLKPDEEGREFIERIVKRLHALSFHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVMPDSIPDWVFDFMPLRGGYFVGNVSPARMDFRWFSLGNCVSILSSLATPDQSMAIMDLLEHRWEELVGEMPLKICYPCIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWTLTAACIKTGRPQIARRAIDLIESRLHRDCWPEYYDGKQGRYVGKQARKYQTWSIAGYLVAKMMLEDPSHIGMISLEEDKQMKPVIKRSASWTC >fgenesh2_kg.6__293__AT5G03800.1 pep chromosome:v.1.0:6:1202615:1205416:-1 gene:fgenesh2_kg.6__293__AT5G03800.1 transcript:fgenesh2_kg.6__293__AT5G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB175 [Source:UniProtKB/TrEMBL;Acc:D7LWU0] MSTVNHHCILNFPHIPPSIPANHRPKLHSSLSLYRKPERLFTISASLSLSPATIHECSSSPSSSSSSFEKEEREEIESIVDGFFYLLRLSAQYHDVEVTKAVHASFLKLREEKTRLGNALISTYLKLGFPREAFLVFVSLSSPTVVSYTALISGFSRLNLEIEALKVFFRMRKAGIVQPNEYTFVAILTACVRVSRFSLGIQIHGLIVKSGFLNSVFVGNSLMSLYSKDSGSSCDDVLKLFDEIPHRDVASWNTVISSLVKEGKSHKAFNLFYEMNRVEGLGVDCFTLSTLLSSCTDSSDLLRGRELHGRAIRIGLMQELSVNNALIGFYSKFGDMKKVESLYEMMMVQDAVTFTEMITAYMAFGMVDSAVEIFENITEKNTITYNALMAGFCRNGHGLKALKLFTEMLQRGVELTDFSLTSAVDACGLVSEKRVSEQIHGFCIKFGCLLNPCIQTALLDMCTRCERMADAEEMFEQWPSNLDRSKATTSILGGYARNGLPDKALSLFHRTLCEEELFLDEVSLTLILAVCGTLGFREMGYQIHCYALKAGYFSDVCLGNSLISMYSKCCDSDDAIKVFNTMQEHDVVSWNSLISCYILQRNGNEALALWSRMNEEEIKPDMITLTLVISAFRYTESNKLSSCRDLFLSMKTIYDIEPTTEHYTAFVRVLGHWGLLEEAEDTINSMPFQPEVSVLRALLDSCRVHSNTSVAKRVAKLVLSTKPENPSEYILKSNIYSASGLWHRSEMIREEMRERGYRKHPSKSWIIHEKKVHSFHARDTSHPQEKDIYSGLEILIMECLKSGYEPNTEFVLQEVDEFMKKSFLFHHSAKLAVTYGILTSNTRGKPVRVVKNVMLCGDCHEFFKYVSVVVKREIVLRDSSGFHHFVNGKCSCRDLW >fgenesh2_kg.6__2940__AT4G09520.1 pep chromosome:v.1.0:6:19390484:19392684:-1 gene:fgenesh2_kg.6__2940__AT4G09520.1 transcript:fgenesh2_kg.6__2940__AT4G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKKRVAFVLIDGLGDVSIPRLGYKTPLQAANIPNLDAIASSGINGLMDPVEVGLGCGSDTAHLSLMGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEDSGVVVSRRADRHFEEEGPILCAALDGMKLPSFPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRFLLEAKPLDESEDANHTAKVVNELSREISRILVSHPVNVKRAAQGKNIANLVLLRGCGIRIEVPPFHEMHGLWPCMVAPTKIIAGLGMSLGIDILEAPGATGDYRTLLTSKAIAIANALSAPLNRCPNVFEPAEDGHKPGRSDGYDFGFLHIKAIDDAGHDKATLFKVRGLEAVDKAIRQLAKLLWHAESSSNYQYFLCVTGDHSTPVEYGDHSFEPVPFTMCRLKDFVSAVGGESVLLETSLDPFPLPTIVTEIEEEDGGKREAPSAISGDSVSELNEIAAARGCLGRFHGGEMMGVITKFLKLEV >fgenesh2_kg.6__2941__AT4G09530.1 pep chromosome:v.1.0:6:19397890:19398185:-1 gene:fgenesh2_kg.6__2941__AT4G09530.1 transcript:fgenesh2_kg.6__2941__AT4G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LXA3] MPNKVIDMHFHQREEEEDSGESRSSSSRTPRGHFVVYVGTNKKLERFVIPTKFLKSPSFQKLLDNAAEEFGYAEAYQNKIVLPCDVSSFRSLVMFLTS >fgenesh2_kg.6__2943__AT4G09570.1 pep chromosome:v.1.0:6:19453594:19456362:1 gene:fgenesh2_kg.6__2943__AT4G09570.1 transcript:fgenesh2_kg.6__2943__AT4G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 4 [Source:UniProtKB/TrEMBL;Acc:D7LXA9] MEKPNPRRPSNSVLPYETPRLRDHYLLGKKLGQGQFGTTYLCTEKSSSSNYACKSIPKRKLVCREDYEDVWREIQIMHHLSEHPNVVRIKGTYEDSVFVHIVMEVCEGGELFDRIVSKGHFSEREAVKLIKTILGVVEACHSLGVMHRDLKPENFLFDSASDDAKLQATDFGLSVFYKPGQYLYDVVGSPYYVAPEVLKKCYGPEIDVWSAGVILYILLSGVPPFWAETESGIFRQILQGKIDFKSDPWPSISEGAKDLIYKMLDRSPKKRISAHEALCHPWIIDEQAAPDKPLDPAVLSRLKQFSQMNKIKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGSITFEELKAGLKRVGSELMESEIKSLMDAADIDNSGTIDYGEFLAATLHMNKMEREENLVVAFSYFDKDGSGYITIDELQQACTEFGLCDTPLDDMIKEIDLDNDGRIDFSEFTAMMKKGDGVGRSRTMRNNLNFNIAEAFGVEDTNTSTKSDDSPN >fgenesh2_kg.6__2944__AT4G09580.1 pep chromosome:v.1.0:6:19456398:19458480:-1 gene:fgenesh2_kg.6__2944__AT4G09580.1 transcript:fgenesh2_kg.6__2944__AT4G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRNLTGDGGGRQLDGGGRQLVKDEESAAASSAAKGLLNDDSSTGKRTKSERFPLSRWEFAVFFTVFLVFTTGLLCIYLTMPAAEYGKLKVPRTISDLRLLKENLGSYASEYQARFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVVRGFVLVVLNATAGACSCFFLSKLVGRPLVNWLWPEKLRFFQAEIAKRRDRLLNYMLFLRITPTLPNLFINLSSPIVDIPFHVFFLATLVGLMPASYITVRAGLALGDLRSVKDLYDFKTLSVLFLIGSISIFPALLKRKRVYE >fgenesh2_kg.6__2948__AT4G09600.1 pep chromosome:v.1.0:6:19486270:19487022:-1 gene:fgenesh2_kg.6__2948__AT4G09600.1 transcript:fgenesh2_kg.6__2948__AT4G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFRSKLFFLLILFCLTTYELHVHAAEATQVGEGVVKIDCGGRCKGRCSKSSRPNLCLRACNSCCYRCNCVPPGTAGNHHLCPCYASITTHGGRLKCP >fgenesh2_kg.6__2949__AT4G09620.1 pep chromosome:v.1.0:6:19501450:19503141:-1 gene:fgenesh2_kg.6__2949__AT4G09620.1 transcript:fgenesh2_kg.6__2949__AT4G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVGNSLASPLATLGPAKCFRHPTGDLVTYTTVLPLSLNSNSSYHGVIGGVRTSLISRKKWIVFCSTTQVETSNEDPKTWEECKEALSCFDFSVEEKDKILGKAFGHIHSPYWTEERVKENPKVETLNQILEFLRSLGLSDEDLHKVMKKFPEVLGCSLEEEMKPNIGILENQWGITGKQLRNLLLRNPKVLGYNVDCKGDCVAQCTRCWVRF >fgenesh2_kg.6__294__AT5G03810.1 pep chromosome:v.1.0:6:1205838:1207251:-1 gene:fgenesh2_kg.6__294__AT5G03810.1 transcript:fgenesh2_kg.6__294__AT5G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LWU1] MFMSFSVIACVGTGQPLVPALIIMGDSVVDAGNNNHLNTLVKANFPPYGRDFFAHNATGRFSNGKLATDFTAESLGFTSYPVAYLSQEANGTNLLTGANFASGASGFDDGTALFYNAITLNQQLENYKEYQNKVTNIVGRERANEIFSGAIHLLSTGSSDFLQSYYINPILNLIFTPDQYSDRLLRSYSTFVQNLYGLGARKIGVTTLPPLGCLPAAITTFGEAGNNTCVERLNRDAVSFNTKLNNTSMNLTNNLPGLKLVVFDIYNPLLSMVMNPVENGFLESRRACCGTGTVETSFLCNARSVGTCSNATNYVFWDGFHPSEAANRVIANNLLVQGIPLIS >fgenesh2_kg.6__2951__AT4G09650.1 pep chromosome:v.1.0:6:19558076:19558935:1 gene:fgenesh2_kg.6__2951__AT4G09650.1 transcript:fgenesh2_kg.6__2951__AT4G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQQTLFSLQSKLPPSSFQIARSLPLRKTFPIRINNGNAAGARMSATAASSYAMALADVAKRNDTMELTVADIEKLDQVFSDPQVLNFFANPTITVEKKRQVIDDIVKSSSLQSHTSNFLNVLVDANRINIMTEIVKEFELVYNKLTDTQLAEVRSVVKLEPPQLAQIAKQVQKLTGAKNVRVKTVIDPSLVAGFTIRYGDSGSKLIDMSVKKQLEDIAQQLELGEIQLAT >fgenesh2_kg.6__2952__AT4G09670.1 pep chromosome:v.1.0:6:19569972:19571379:-1 gene:fgenesh2_kg.6__2952__AT4G09670.1 transcript:fgenesh2_kg.6__2952__AT4G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LXC3] MATDTQIRIGVMGCADIARKVSRAINLAPNATIAAVASRSLEKAKSFATANNYPESTKIHGSYESILEDPEIDALYVPLPTSLHVEWAIKAAEKGKHILLEKPVAMNVTEFDKIVVACEANGVQIMDGTMWVHNPRTALLKEFLSDSERFGQLKTVQSCFSFAGDEEFLKNDIRVKPGLDGLGALGDAGWYTIRATLLANNFELPKTVTAFPGAVLNKAGVILSCGASLSWEDGRTATIYCSFLANLTMEITAIGTKGTLRVHDFIIPYKESEASFTTSTKAWFNDLVTAWVSPPSEHTVKTELPQEACMVREFARLVGEIKNNGAKPDGYWPSISRKTQLVVDAVKESVDKNYQQISLSGR >fgenesh2_kg.6__2956__AT4G09680.1 pep chromosome:v.1.0:6:19622200:19628383:1 gene:fgenesh2_kg.6__2956__AT4G09680.1 transcript:fgenesh2_kg.6__2956__AT4G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENATILTIAELVHKGRAVTGSSSLFFSAATPTPHSSSESHPGAVDSDFSRKFLAPLNHPAVIVGTLALPSETLKCPNRYCFRFSDGDLTICCDILRFEIRAIGSTICVLSWNFLPMKHCCGFLEIIKWKFVDTDNLVSRCSFPLIPSLYSPQNVDRKSRYSVCGVLESISPVSVVPCQDGVSSDSVNLPGFLVHVMACECKEYNRDAIDCGHAFEKSVFVYLCGSVAASWHPAITKLVGSNVAFSGLKRKLVYVRGDSLLVFVTTENSVLHPPWLSKKQTVLKAGVDRRGNCGSYLGFVRGLYRRGKLVEMDEDVWLLLTDQIHNRSHSIRTGSLGGIFTEFCMHESCGCNSEARDCNLKLVMPISSFVHHFKVMLNELLSQIKKDFSASDCLSDSPSTWKRYNHTNTKTFRSEDTSVILLGRLKISSSGRLQLHDRASSIDILAPDLLSDINASRICEGHPGMPDCTSAFIEALVLPWELICTVTEEDAAAAPYFEEHDTSQEIRPHKRCKTNNELERQRALSVPHEISCQMTIRCASSHCLVTAATLSNLRENKSGNMHITKRVLLEFIPECRNYYALQIGGCYLMKHDTDDSFCVGRSGISNNDKIGFRPETRIWSVEFSFDEVLTHDGSMDVYPLVSSQPSLAVEQENVFSPQPCSDVSLLLPYDAKGLFSVFLKDVEEVNKPLAAGKDNNNIACCTQSEKIMHAEPSRVPPSNSLFPEGNLATFRGDVVAVDAVTSSVDDVSSSYCINVLVNHQIVKIFGPLRRHSYLTGFGPGASATFYRILGTGEENRFVLTSASFVKINSRKALDGPQLEKPTHRAALCLPKITHQEYVPCILAGPAWNSFSENKDNQQIKFACKVLSVYLLVLQTRSDDPSENECRNNIDIPLAGFVVDDGSSIYLCWTSGERAFTILRLHEELPEEAIDVAQWIRRDSSRGTAAYHLEQIVRVHKRIVMKCNGSQIDTLFQDITIAVTSDQLLTKSEDKFLKWLILNAISGPIWEVATSSMDMKMIEHLEREQCVEMETSRHNLQSVWGNEVCQVDPLVRAWSLLQGLLNS >fgenesh2_kg.6__2957__AT4G09720.1 pep chromosome:v.1.0:6:19639427:19641549:1 gene:fgenesh2_kg.6__2957__AT4G09720.1 transcript:fgenesh2_kg.6__2957__AT4G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtRABG3a [Source:UniProtKB/TrEMBL;Acc:D7LXC5] MATRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSMQYKATIGADFVTKELQIGEKLVTLQIWDTAGQERFQSLGAAFYRGADCCALVYDVNVLRSFDNLETWHEEFLKQASPSDPKTFPFIVLGNKVDVDGGSSRVVSEKKAADWCASNGNIPYFETSAKEDFNVDEAFLTIAKTALANEHEQDIYFQGIPDGVTENEPKGGGCAC >fgenesh2_kg.6__2965__AT4G09770.1 pep chromosome:v.1.0:6:19725151:19726680:-1 gene:fgenesh2_kg.6__2965__AT4G09770.1 transcript:fgenesh2_kg.6__2965__AT4G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLREQGPSSYLVKLVGFSEVRLSHERLIFYPAGKVEEGGKDHVSIYARIDNVGASEMQIDVELKFFIYNHNIKKYSVFQDGTMKHYSKEKKEWGLAQMLLLSKFNDPKNGYIDGNACIVGVEIFVIKPREKVERVAFTQNPPENKFTWKISHFSEIGDKRYYYSDEFVVGDRKWRMKISPKGDKKVRALSVYVQAMAYLPNAVASSTYAKLKLRLINQKNSNHIEKRVFHFYSRETQDGSGISELISVEDLNDESKGYLVEDSIILETTLLCVSETMFVDSI >fgenesh2_kg.6__2966__AT4G09800.1 pep chromosome:v.1.0:6:19738980:19740491:1 gene:fgenesh2_kg.6__2966__AT4G09800.1 transcript:fgenesh2_kg.6__2966__AT4G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEEFQHILRVLNTNVDGKQKIMFALTSIKGIGRRLANIVCKKADVDMNKRAGELSAAEIDNLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >fgenesh2_kg.6__2967__AT4G09810.1 pep chromosome:v.1.0:6:19740840:19742589:-1 gene:fgenesh2_kg.6__2967__AT4G09810.1 transcript:fgenesh2_kg.6__2967__AT4G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKKSDKKATLDAAAWIFNVVTSVGIIIVNKALIYGFSFATTLTGLHFATKTLMTLVLRCLGYIQPSHLPFTDLLKFILFANFSIVGMNVSRMWNSVGFYKYDSRVMLVGSCIYLIRFVTREIQNLALDLFLLVLVFVLLLTLVLTPKVSLLLLLLCGVLLCNNIFHQRKYSLSSFNLLGHTAPAQAATLLIVGPFLDYWLTDKRVDMYDYNFVSLMFITLLCTIAIGTILSQFICIGRFTAVSFQVLGHMKTILVLVMGFFFFDRDGLNLHVVLGMIIAVLGMIWYGNASSKPGGKEKKNYSLPTTRQQKQGAASDSDEYQGKA >fgenesh2_kg.6__2968__AT4G09820.1 pep chromosome:v.1.0:6:19746657:19749508:1 gene:fgenesh2_kg.6__2968__AT4G09820.1 transcript:fgenesh2_kg.6__2968__AT4G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSIIPAEKVAGAEKRELQGLLKTAVQFVEWTYSVFWQFCPQQRVLVWASGYYNGAIKTRKTTQPAEVTAEEAALERSQQLRELYETLLAGESTSEARACTALSPEDLTETEWFYLMCVSFSFPPPSGMPGKAYARRKHVWLSGANEVDSKTFSRAILAKSAKIQTVVCIPMLDGVVELGTTKKVREDVEFVELIKSFFHDHCKSNPKPALSEHSTYQVHEEAEEEEVEEEITMSEEMRLGSPDDDDVSNQNLHSDLHIESTHTLDTHMDMMNLVEEGGNYSQTVTTLLISHPTSLLSDSVSTSSYVQSSFATWRVENVKDHQRVEKAASSSQWMLKHMILRVPFLHDNTKDKRLPREELNHVVAERRRREKLNEKFITLRSMVPFVTKMDKVSILGDTIAYVNHLRKRVHELESTHHEQQHKRTRTCKRKTSEEVEVSIIESDVLLEMRCEYRDGLLLDILQVLHELGIETTAVHTAVNDLDFEAEIRAKVRGKKASIAEVKRAIHQVIIHDTNL >fgenesh2_kg.6__296__AT5G03830.1 pep chromosome:v.1.0:6:1208447:1210119:1 gene:fgenesh2_kg.6__296__AT5G03830.1 transcript:fgenesh2_kg.6__296__AT5G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPSGRRRLSKYQPLAFSPFMRSLTFASTARRKLPLPDISFDSKYPNLSIIGKSNNCWNPLMKKTLRERYILSWIADDEDDALFALVTALNLARYKASHLVEVIIMFKGVCSYLLLCFADNKCFRELKEFLLKVCSEKNVASDLELLVEKKAKDVGLLVSQRVMNLPPQLLPPLYDGLFDEVSWATEDEPTEQLRGSFRFKSYILITKIHKLTSWSFTFPIHSQLGTSQEAQEIPEFRQRLKSLIDEQ >fgenesh2_kg.6__2970__AT2G17980.1 pep chromosome:v.1.0:6:19788604:19790832:1 gene:fgenesh2_kg.6__2970__AT2G17980.1 transcript:fgenesh2_kg.6__2970__AT2G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSLY1 [Source:UniProtKB/TrEMBL;Acc:D7LXX4] MALNLRQKQTACIIRMLNLNQPLNPSGTANEEVYKILIYDTFCQNILSPLINVKDLRKHGVTLYFLIDKDRRPVHDVPAVYFVQPTESNLQRIIADASRSLYDTFHLNFSSSIPRKLLEELASGTLKSGSVDKVSKVHDQYLEFVTLEDNLFSLAQQSTYVQMNDPSAGDKEIEEIIERVASGLFCVLVTLGVVPVIRCPRGGPAEMVASLLDQKLRDHLLSKNNLFTEGGGFMSSFQRPLLCIFDRNFELSVGIQHDFRYRPLVHDVLDLKLNRLTVQAEKGGKKSFDLDSADPFWSANSSLEFPEVAVEIETQLNKYKRDVEEVNKRTGGGSGTEFDGTDLMGNTKHLMNAVNSLPELTERKQVIDKHTNIATALLGQIKERSIDAFTKKESEMMMRGGIDRAELMATLKGKGTKMDKLRFAIMYLISTETINQSEVESVEAALNEAEADTSAFQYVKKIKSLNVSLAASSANSASRSNIVDWAEKLYGQSISAVTAGVKNLLSSDQQLAVTRTVEALTEGKPNPEIDSYLFLDPRAPKSSSSGGSHVKGPFREAIVFMIGGGNYVEYGSLQELTQRQLTVKNVIYGATEILTGGELVEQLGLLGKKMGLGGPVASTSSSGH >fgenesh2_kg.6__2971__AT2G17990.1 pep chromosome:v.1.0:6:19793335:19795319:1 gene:fgenesh2_kg.6__2971__AT2G17990.1 transcript:fgenesh2_kg.6__2971__AT2G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREDTNASAQEFLNREATEIWKSELESRRLQVDSLEAELVDVNAYLEFGSEEDARKELGVLSGRVRSTATMLRYLRSKAGNLAIPDLANVSSGKDGGSSSEGERNTRRYHGSLGVEDGAYTNEMLQSIEMVTDVLESLVRRVTAAESETAVQKEKALLGEEEISRKTVQIENLSSKLEEMERFAHGTNSVLNEMRERIEELVEETMRQREKAKENEEELWRVKREFESLKSYVSTFTNVRETLLSSERQFKTIEELFERLVTKTTQLEGEKAQKEVEVQKLMEENVKLTALLDKKEAQLLALNEQCKVMALSASNI >fgenesh2_kg.6__2972__AT4G09890.1 pep chromosome:v.1.0:6:19823951:19824467:1 gene:fgenesh2_kg.6__2972__AT4G09890.1 transcript:fgenesh2_kg.6__2972__AT4G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGSKSYTEYSSSFSTDEFGYDQNRSNSYNFNGPCINTDPEMKRKRRVASYNLFATEEKLKSTLKNSFKWIKNKFSGDDNSIRYNV >fgenesh2_kg.6__2973__AT4G09900.1 pep chromosome:v.1.0:6:19840202:19842708:-1 gene:fgenesh2_kg.6__2973__AT4G09900.1 transcript:fgenesh2_kg.6__2973__AT4G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7LXY6] MGNRVICMKKKDVVLRSGGDGSRSKRVNRSQRKLLADEESLHRQALSMAIHQAQVSQRFDGSMSRRIGSTSSRRGTLSDPFANSKQVPEFLESLTVKKFVLVHGEGFGAWCWYKTIASLEESGLSPVTVDLAGSGFNMTDANSVSTLEEYSKPLIELLQSLPEEEKVILVGHSTGGACVSYALERFPEKISKAIFICATMVTDGQRPFDVFADELGSAERFMKESQFLIYGNGKDKPATGFMFEKQHMKGLYFNQSPNKDIALSTISMRPVPLGPMMEKLSLSAERYGKGRRFYVQTLDDLALSPDVQEKLVRENSPEAVFKIKGSDHCPFFSKPQSLHKILLEIAQIP >fgenesh2_kg.6__2975__AT4G09960.3 pep chromosome:v.1.0:6:19857786:19861925:-1 gene:fgenesh2_kg.6__2975__AT4G09960.3 transcript:fgenesh2_kg.6__2975__AT4G09960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYANNNIRSTIERYKKACSDSTNTSTVQEINAAYYQQESAKLRQQIQTIQNSNRNLMGESLSSLSVKELKQVENRLEKAISRIRSKKHELLLVEIENAQKREIELDNENIYLRTKVAEVERYQQHHHQMVSGSEITAIEALASRNYFAHSIMTAGSGSGNGGSYSDPDKKILHLG >fgenesh2_kg.6__2978__AT4G09984.1 pep chromosome:v.1.0:6:19879937:19880128:-1 gene:fgenesh2_kg.6__2978__AT4G09984.1 transcript:fgenesh2_kg.6__2978__AT4G09984.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LXZ5] VVPEKLTTCSRLLPKKPGKCVKEDCDRMCKQKWPGKYTVGRCYPYTDAKKCLCSVCGPDRQPP >fgenesh2_kg.6__2979__AT4G09990.1 pep chromosome:v.1.0:6:19886831:19887856:-1 gene:fgenesh2_kg.6__2979__AT4G09990.1 transcript:fgenesh2_kg.6__2979__AT4G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKPQPIISSKLIFICFSILVLFILFLNRASFSSSSTSTIRREYHEIPKCPLTSLQCTKIPISLSDALVHYVTTEITPQQTFDEISVSKRVLDKKSPCNFLVFGLGHDSLMWASLNHGGRTLFLEEDKAWIKTVTKKFPNLESYHVEYDTKVKDSNKLIELERTEDCKAVSDPRDSKCALSLKDFPADVYETQWDVIMVDAPTGYNDDAPGRMSAIYTAGLLARNRYDGGETDVFVHDINRPVEDEFSVAFLCRGYIKEQQGRLRHFTIPSHRASLGTPFCPADISRRF >fgenesh2_kg.6__297__AT5G03840.1 pep chromosome:v.1.0:6:1214379:1215508:-1 gene:fgenesh2_kg.6__297__AT5G03840.1 transcript:fgenesh2_kg.6__297__AT5G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMGTRVIEPLIMGRVVGDVLDFFTPTIKMNVSYNKKQVSNGHELFPSSVSSKPRVEIHGGDLRSFFTLVMIDPDVPGPSDPFLKEHLHWIVTNIPGTTDATFGKEVVSYELPRPSIGIHRFVFVLFKQKQRRVIFPNIPSRDHFNTRKFAVEYDLGLPVAAVFFNAQRETAARKR >fgenesh2_kg.6__2982__AT4G10030.1 pep chromosome:v.1.0:6:19904013:19907263:-1 gene:fgenesh2_kg.6__2982__AT4G10030.1 transcript:fgenesh2_kg.6__2982__AT4G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7LY00] MSAVSCSTASSSGGCGIRFQSKTFASSAKTHSPNLIRIGPNSQSDRFSIHRLELLHGTPKVRGTSIRMALVDERRSTSNDVAEPPRILAYDLVQGALVKWRWKDDKSVPDTPTAVLLHGILGSGKNWGTFARRLAHEFPTWQFLLVDLRCHGDSAFLKKRAPHSVATTASDVLKLVGQLRLTPRVLVGHSFGGKVVLSMVEQAAKPLPRPVRAWVLDATPGKVRAGGDGEDHPRELISFLRKLPKVVLSKREVLKALINEGFSNDVAQWVITNLKPTGPSASSFSWTFDLDGIAELYQIFQEVHVNFLKAERSLHRWALKDLQRIHAAEELASEEGGGVEMHVLEDAGHWVHTDNPDGLFRILSSSFQVLRA >fgenesh2_kg.6__2983__AT4G10040.1 pep chromosome:v.1.0:6:19924919:19926269:1 gene:fgenesh2_kg.6__2983__AT4G10040.1 transcript:fgenesh2_kg.6__2983__AT4G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome C-2 [Source:UniProtKB/TrEMBL;Acc:D7LY03] MASFDEAPPGNPKAGEKIFRTKCAQCHTVEKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKSMAVNWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKEGTA >fgenesh2_kg.6__2984__AT4G10050.1 pep chromosome:v.1.0:6:19948648:19951649:-1 gene:fgenesh2_kg.6__2984__AT4G10050.1 transcript:fgenesh2_kg.6__2984__AT4G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase methylesterase 1 [Source:UniProtKB/TrEMBL;Acc:D7LY06] MDSSSNLNPLREDTSEDHLEAPASVFASRPLRPPSTTSSEKYSPVEWTSYFDKEDDISITGSDDVFHVYMAGSEGPVVFCLHGGGYSGLSFSIVASQVKEKARVVAMDLRGHGKSVSENELDLSLETMSNDVVAVIKEMYGDSPPAIVLVGHSMGGSVAVQVAANKTLPSLAGLVVVDVVEGTAIASLIHMQKILSNRMQHFPSIEKAIEYSVRGGSLRNIDSARVSIPPTLKYYDSKQCYVYRTRLEETEQYWKGWYDGLSEKFLSSPVPKLLLLAGTDRLDRTLTIGQMQGKFQMIVVKHTGHAIQEDVPEEFANLVLNFISRNRIGPHGVEIPGMWKPSQQQPKT >fgenesh2_kg.6__2987__AT4G10080.1 pep chromosome:v.1.0:6:19971068:19972757:-1 gene:fgenesh2_kg.6__2987__AT4G10080.1 transcript:fgenesh2_kg.6__2987__AT4G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWEILQCSDTESTDSITSVKKLECVSLIDDGMILSDHFSATDLSHYGVIESGEVGVDLGFDQFNVNQSGVDCVRNELGVYDSETRILSDFEVANVESKDVAELTDAIKRFSEADGLEVVADGRQSGVEEPIEDSSKSCSDLGGCELVSGDSGVVNGEDEIVSDGGVASSEAFEGNEGNIREVVAANSDDEGKSRETVWWKMPFVLLKYSVFKIGPVWSVSMAAAVMGLVLLGRRLYNMKKKAQRFHLKVTIDEKKASQVMSQAARLNEVFTEVRRVPVIRPALPSPGAWPVLSLR >fgenesh2_kg.6__2988__AT4G10660.1 pep chromosome:v.1.0:6:19997804:19998887:-1 gene:fgenesh2_kg.6__2988__AT4G10660.1 transcript:fgenesh2_kg.6__2988__AT4G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LY14] SKEDVSKNGLEKKAWRKAAEYVRVVEKHPWFITFPKHDDLTIFIDPLERKRYTLNLPELAGTDVCYSKYGWLLMRRSNLVDMFFFNPYTLELINLPKCELSFQAIAFSSAPTSGTCAVIALRPFTRYSVRISICHPGAIEWITQDFSCSIGFDLYMHSDLVYANDHFYCFSSGGVLVDFDLSSRTMSHQAWNEHRCPYIHNDNDEWFNMLKRIYLVEQKGELFLMYTCSSEIPMVYKLVSSSWEEMSSILLLTADKIGCSWHVEQDVYPTRLMKVGTIRVSSFQNQQYGKSRKNYALLGVSGSSHLL >fgenesh2_kg.6__2989__AT4G10100.1 pep chromosome:v.1.0:6:20017846:20019086:1 gene:fgenesh2_kg.6__2989__AT4G10100.1 transcript:fgenesh2_kg.6__2989__AT4G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase sulfur carrier subunit [Source:UniProtKB/TrEMBL;Acc:D7LY15] MDKEVITKIESDDTSSVEIKVLLFARARELAGVPDLTLKMPSGSTTQKCMDELVLKFPSLEEVRCCVVLALNEEYTTDSAIVQHRDELAIIPPISGG >fgenesh2_kg.6__2992__AT4G10110.1 pep chromosome:v.1.0:6:20019104:20020484:-1 gene:fgenesh2_kg.6__2992__AT4G10110.1 transcript:fgenesh2_kg.6__2992__AT4G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LY16] MSTNSNCTVYIGNVDERVSDRVLYDIMIQAGRVIDLHIPRDKETDKPKGFAFAEYETEEIADYAVKLFSGLVSLYNRNLKFAISGQDKLQANSGQRTRPQSLAFEHSDRGYNHHHSERFSSQLISPPSPLPLDYTQEPPPPGVSNGASLEYSRRVLGSALDSINHSRPRRY >fgenesh2_kg.6__2993__AT4G10120.2 pep chromosome:v.1.0:6:20026862:20032113:1 gene:fgenesh2_kg.6__2993__AT4G10120.2 transcript:fgenesh2_kg.6__2993__AT4G10120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSPS4F [Source:UniProtKB/TrEMBL;Acc:D7LY17] MARNDWINSYLEAILDVGTSNKKRFESNSKIVQKLGDMNSKDHQDKVFGDMNSKDHHQEKVFSPIKYFVEEVVNSFDESDLYKTWIKVIATRNTRERSNRLENICWRIWHLARKKKQIVWDDGIRLSKRRIEREQGRNDAEEDLLSELSEGEKEKNDGEKEKNEVVTTLEPPRDHMPRIRSEMQIWSEDDKSSRNLYIVLISMHGLVRGENMELGRDSDTGGQVKYVVELARALANTEGVHRVDLLTRQISSPEVDYSYGEPVEMLSCPPEGSDSCGSYIIRIPCGSRDKYIPKESLWPHIPEFVDGALNHIVSIARSLGEQVNGGKPIWPYVIHGHYADAGEVAAHLAGALNVPMVLTGHSLGRNKFEQLLQQGRITREDIDRTYKIMRRIEAEEQSLDAAEMVVTSTRQEIEAQWGLYDGFDIKLERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSYVLTQDSQVPDGDLKSLIGPDRNQIKKPVPPIWSEIMRFFSNPHKPTILALSRPDHKKNVTTLVKAFGECQPLRELANLVLILGNRDDIEEMPNSSSVVLMNVLKLIDQYDLYGQVAYPKHHKQSEVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPIVATRNGGPVDIVKALNNGLLVDPHDQQAISDALLKLVANKHLWAECRKNGLKNIHRFSWPEHCRNYLSHVEHCRNRHPTSSLDIMKVPEELTSDSLMDVDDISLRFSTEGDFTLNGELDAGTRQRKLVDAISQMNSMKGRPSVIYSPGRRQMLFVVAVDSYDDKGNIKANLDDIIKDVMKAADLTSRKGKIGFVLASGSSLQEVVEITQKNLINLEDFDAIVCNSGSEIYYPWRDMMVDADYETHVEYKWPGESIRSVILRLACTEPATEDDITEYASACSTRCYAISVKQGVKTRRVDDLRQRLRMRGLRCNIVYTHAATRLNVIPLCASRIQALRYLSIRWGIDMSKTVFFLGEKGDTDYEDLLGGLHKTIILKNVVGSDSEKLLRSEENFKREDAVPQESPNISYVKENGGHQEILSTLEAYGIK >fgenesh2_kg.6__2997__AT4G10150.1 pep chromosome:v.1.0:6:20067231:20085732:1 gene:fgenesh2_kg.6__2997__AT4G10150.1 transcript:fgenesh2_kg.6__2997__AT4G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LY22] MSYSDPNQNPIPETYAPSNSTESEKLKLYQAFIFSVPICFTFIVLFVLYVIYLRRNSTTNVDWSSLGMRGGIFVPTNNNLSTAELGLSKDVREMLPIVIYKESFTVKDSQCSVCLADYQAEEKLQQMPACGHTFHMECIDRWLTSHTTCPLCRLSLIPKPSLDLSQQTPEIVSPIENFNGGEASAQPDSQSTTEAISHIDDGQEGNRDSQEVSKEPAEENDPNSVGTSDGCRTCRLG >fgenesh2_kg.6__2998__AT4G10170.1 pep chromosome:v.1.0:6:20104339:20105555:1 gene:fgenesh2_kg.6__2998__AT4G10170.1 transcript:fgenesh2_kg.6__2998__AT4G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIKNTVHYCCVSRDNQILYSYNGGDQTNESLAALCLEKSPPFHNWYFETIGKRRFGFLFGDGFVYFAIVDEVLKRSSVLKFLEHLRDEFKKAARNNSRGSFTAMIGSINVEDQLVPVVARLIASLERVAAESTNNELKSNLGEQSEVSNSTKAPLLGRSSKQEKKKGKDHVIEVEEHRKSNDRENRTDVSAGAGAGTSLEKECVSSRGRSVTQSFEWKWRRLVQIVLAIDAAICLTLFGIWLAICRGIECTRS >fgenesh2_kg.6__299__AT5G03870.1 pep chromosome:v.1.0:6:1227905:1229219:-1 gene:fgenesh2_kg.6__299__AT5G03870.1 transcript:fgenesh2_kg.6__299__AT5G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7LWU7] MGCVSSKLGKKKLIREIRVNNGGDHIVSLTSTTYGHLDLDERAETSPKSLEVTKGEVFESEIKARRSIQRDDPEIINTWELMEDLEDSMHVSNPQKISPKSRGIFGKSWKTPVKSVVESPKRGSSKRFGGKENNSRGVSPNQILKPKNILETPKRGVMRLSFPLKSEEPSVVITQRRKSYSPMFDPDLVASYERELSQEQEQIKMVISPVVHESRKTEKTRESERILEKFPEKCPPGGENSVVIYITTLRGIRKTFEDCNVVRSILDSHEVRFSERDVSMHSVFKEEIRGIMGTKHVKIPAVFVKGRMLGSVEEVMKLEEEGKLGILLEGIPAARLGGSCCRGCGGMRFVMCVVCDGSCKVRGEDKKSMVKCLECNENGLVLCPICS >fgenesh2_kg.6__2__AT5G01020.1 pep chromosome:v.1.0:6:6525:9106:-1 gene:fgenesh2_kg.6__2__AT5G01020.1 transcript:fgenesh2_kg.6__2__AT5G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M6D0] MGNCGTRDEAAVFTPQAQAQQLQKKHSRSVSDLSDPSTPRFRDDSRTPLSYAQVIPFTLFELETITKSFRPDYILGEGGFGTVYKGYIDDNLRVGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMLRGSLENHLFRKTTAPLSWSRRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLEMLTGRKSVDKTRPSKEQNLVDWARPKLNDKRKLLQIIDPRLENQYSVRAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQCTGDALIPGATTAAGAAFAMGGVPDYRMHRRFAKNVGPGAICRSPNPNYSPGGPAACRVR >fgenesh2_kg.6__3000__AT4G10180.1 pep chromosome:v.1.0:6:20106606:20109341:1 gene:fgenesh2_kg.6__3000__AT4G10180.1 transcript:fgenesh2_kg.6__3000__AT4G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1 [Source:UniProtKB/TrEMBL;Acc:D7LY25] MFTSGNVTARVFERQIRTPPPGASVNRARHFYENLVPSYTLYDVDSPDHCFRKFTEDGLFLISFSRNHQELIVYRPSWLTYSTTSDDDDSTPLPRRASKFDSFFTQLYSVNLASSNELICKDFFLYHKTRRFGLFATSTAQIHDSSPTNDAVPGVPSIDKITFILLRLDDGDVLDERVFLHDFVNLAHNMGVFLYDDLLAILSLRYQRIHLLQIRDSGHLVDARAIGYFCREDDELFLNSSSQAMMSQDKSKQQSLSGNKDDDDVAENGLHHSQPQPNPTNSFLSGIKQRLLSFIFREIWNEESDNVLRVQSLKKKFYFHFQDYVDLIIWKVQFLDRQHLLIKFGSVDGGVTRSADHHPAFFAVYNMETTDIVAFYQNSAEDLYQLFEQFSDHFTVSSSTPFMNFVTSHSNSVHALEQLKYMKNKSNSFSQFVKKMLLSLPFSCQSQSPSPYFDQSLFRFDEKLISAADRHRQSSDNPIKFISRRQPQTLKFKIKPGPECGTADGRSKKICSFLFHPHLPLAISIQQTLFMPPSVVNIHFRR >fgenesh2_kg.6__3002__AT4G10240.1 pep chromosome:v.1.0:6:20138610:20139209:-1 gene:fgenesh2_kg.6__3002__AT4G10240.1 transcript:fgenesh2_kg.6__3002__AT4G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (B-box type) family protein [Source:UniProtKB/TrEMBL;Acc:D7LY29] MKIQCEVCEKAEAEVLCCSDEAVLCKPCDIKVHEANKIFQRHHRVALHKDASSATTASGAPLCDICQERKGYFFCLEDRALLCNDCDGAIHTCNSHQRFLLSGVQVSDQSLTENSECSTSFGSETCQIQSKVSLNSQYSSEETEAGNSGEIVHKNPSVILRP >fgenesh2_kg.6__3003__AT4G10250.1 pep chromosome:v.1.0:6:20140023:20140929:1 gene:fgenesh2_kg.6__3003__AT4G10250.1 transcript:fgenesh2_kg.6__3003__AT4G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHSP22.0 [Source:UniProtKB/TrEMBL;Acc:D7LY30] MMKHLLSIFFIGALLLGNIKTSEGSLSSALETTPGSLLSDLWLDRFPDPFKILERIPLELERDTSVALSPARVDWKETAEGHEILLDVPGLKKDEVKIEVEENRVLRVSGERKREEEKKGDQWHRVERSYGKFWRQFKLPDNVDMESVKAKLENGVLTINLTKLSPEKVKGPRVVNIAAEEDQTAKISSSESKEL >fgenesh2_kg.6__3007__AT4G10300.1 pep chromosome:v.1.0:6:20155655:20174567:1 gene:fgenesh2_kg.6__3007__AT4G10300.1 transcript:fgenesh2_kg.6__3007__AT4G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIGVVNLTSINPYLTRTSNKPYNSRRASSMAAAIRAESVATEKLGITIEKNPPESKLTQLGVRNWHRWGCPPSKFPWTYSAKETCYLLEGKVKVYPNGSDEGVEIEAGDFVVFPKGMSCTWDVSVAVDKHYQFE >fgenesh2_kg.6__3008__AT4G10310.1 pep chromosome:v.1.0:6:20177407:20180653:1 gene:fgenesh2_kg.6__3008__AT4G10310.1 transcript:fgenesh2_kg.6__3008__AT4G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:D7LY37] MDRVAAKIAKIRSQLMKSSSLFLLYFIYFLLFSFLGFLALKITKPRTTSRPHDLDLFFTSVSAITVSSMSTVDMEVFSNTQLIFLTILMFLAGEIFTSFLNLYFQHFTNFVFPHNKIRHLVGSFNSDRCDLETVTDHREGLSKIEERASKCLYSVVLSYHLVSNLVGSVLLLVYVNFVKTARDVLSSKDISPLTFSVFTTVSTFANCGFVPTNENMIIFRKNSGLIWLLIPQVLMGNTLFPCFLALLIWGLYKITKRDEFGYILKNQKKMGYSHLLSVRLCVLLGVTVLGFLIIQLLFFCAFEWTSESLEGMSSYEKLVGSLFQVVNSRHTGETIVDLSTLSPAILVLFILMMYLPSYTLFMPLTEQKTIEKEGDDDSGNGKKVTKSGLFVSQLSFLTICIFLISITERQKLRRDPLNFNVLNITLEVISAYGNVGFTTGYSCERRLDISDGSCKDAGYGFAGRWSPVGKFVLIIVMFYGRFKQFTAKSGRAWILYPSSS >fgenesh2_kg.6__3009__AT4G10320.1 pep chromosome:v.1.0:6:20180786:20189097:-1 gene:fgenesh2_kg.6__3009__AT4G10320.1 transcript:fgenesh2_kg.6__3009__AT4G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVCEGKEFSFPRQEEDVLSFWNEIDAFKTQLKRTENLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQSMNGHHVTRRFGWDCHGLPVENEIDRKLNIKRREEVIKMGIDKYNEECRSIVTRYVGEWEKVITRTGRWIDFKNDYKTMDLPFMESVWWVFAQLWDKNLVYRGFKVMPYSTGCKTPLSNFEAGQNYKEVPDPEIMVTFPVIGDQDNGAFVAWTTTPWTLPSNLALCVNAKFVYVKVRNKNTGKVYVVAESRLSALPTDKPKAKLSNGPADVTKKANPKAKGAKPETAADSYEVLEKINGASLVGKKYEPLFDYFSDFSSEAFRVVADDYVTDDSGTGIVHCAPAFGEDDYRVCLLNKIIKKGENLVVAVDDDGLFTERITHFRGRYVKDADKDIIEAVKAKGRLVKTGSFTHSYPFCWRSDTPLIYRAVPSWFVRVEQMKEKLLKSNDQTEWVPDYVKDKRFHNWLENARDWAISRSRFWGTPLPIWISDDGEEVVVMDSVEKLEKLSGVKVFDLHRHHIDHITIPSSRGHEFGVLRRVEDVFDCWFESGSMPYAYIHYPFENKELFENNFPGHFVAEGLDQTRGWFYTLMVLSTALFEKPAFKHLICNGLVLAEDGKKMSKKLRNYPPPLEVIDEYGADAVRLYLINSPVVRAETLRFKKEGVLGVVKDVFLPWYNAYRFLVQNAKRLETEGGGPFVPTDLATLQSANVLDQWIHSATQSLVRTVRREMKKYQLYTVVPHLLKFLDSLTNVYVRFNRKRLKGRTGEDDCHTALSTLFNVLLTTCKVMAPFTPFFTETLYQNLRKACKGSEESVHYCSIPPKEGKEGKRIEDSVTRMMKIIDLARNIRERNKLPLKTPLKEMIVVHPDAEFLNDITGVLREYVLEELNVRSLVPCNDTLKYASLKAEPNFSVLGKRLGKSMGLVAKEVKEMSQKDILTFEEAGEVTIANHLLKPEDIKIVRVFKRPDDMKDNEIDSAGDGDVLVVLDLRADDSLVEAGFAREIVNRIQKLRKKSGLEPTDTVDVYFESLDEDESVSKQVLVSQEQNIKDSIGSPLLLSTLMPSHAVIIADETFTPKETSDESVKKAPKLSYKISLARPALKFNEEAILALYSGDVKSATGLQTYLLSRDHSNLKSEFQAGDGKITVSCVENLPSVTVVLGEHLHLSVGDDFLSKRNV >fgenesh2_kg.6__300__AT5G03880.1 pep chromosome:v.1.0:6:1230892:1233830:-1 gene:fgenesh2_kg.6__300__AT5G03880.1 transcript:fgenesh2_kg.6__300__AT5G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILVNNILPQPPILRSVSSSRRSSIRALVMVKASSEPSESISVSTKTSDDTGAVVFTAPPGFKPPEPKRFAVKSGKLFDVFGAAIGLFFRFGTGVFVSGYSASFVSKEEIPADQYALRLGGITVKETAKVGPRPEKPIEIYEFEGCPFCRKVREMVAVLDLDILYYPCPRGSPNFRPKVKQMGGKQQFPYMVDPNTGVSMYESDGIIKYLSEKYGDGTVPLSLSLGALTAITAGFAMIGRMGKGNLYTPAKLPPKPLEFWAYEGSPFCKLVREVLVELELPHIQRSCARGSPKRQVLLEKAGHFQVPYLEDPNTGVAMFESAEIVEYLKQTYAA >fgenesh2_kg.6__3010__AT4G10330.1 pep chromosome:v.1.0:6:20215344:20216568:1 gene:fgenesh2_kg.6__3010__AT4G10330.1 transcript:fgenesh2_kg.6__3010__AT4G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRRRTGDDDKGLLWKLPQVRINDIGKVGPAFGLGFGCGFGFGAGLIGGVGFGPGVPGLQFGLGFGAGCGIGVGFGYGVGRGAAYDDSRSYYNVGKPSLNEVDSLIDELVVSTKKLVKATTNEIDKWIK >fgenesh2_kg.6__3011__AT4G10340.1 pep chromosome:v.1.0:6:20216835:20218478:1 gene:fgenesh2_kg.6__3011__AT4G10340.1 transcript:fgenesh2_kg.6__3011__AT4G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7LY42] MASLGVSEMLGTPLNFRAVSRSSAPLASSPATFKTVALFSKKKPAPAKSKAVSETSDELAKWYGPDRRIFLPDGLLDRSEIPEYLNGEVAGDYGYDPFGLGKKPENFAKYQAFELIHARWAMLGAAGFIIPEALNKYGANCGPEAVWFKTGALLLDGNTLNYFGKNIPINLVLAVVAEVVLLGGAEYYRITNGLDFEDKLHPGGPFDPLGLAKDPEQGALLKVKEIKNGRLAMFAMLGFFIQAYVTGEGPVENLAKHLSDPFGNNLLTVIAGTAERAPTL >fgenesh2_kg.6__3016__AT4G10390.1 pep chromosome:v.1.0:6:20271454:20273236:-1 gene:fgenesh2_kg.6__3016__AT4G10390.1 transcript:fgenesh2_kg.6__3016__AT4G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LY50] MACFLNCVRYDVSKTITDKPTSKGSGVTCYSSWDDVETLTSNFSRLIGSGGYSSIYMARFSGSDKAALKVHVSSHRLYQVFRLELDILLRLQHPNIVKLLGYFDDSEENGALLLEYLPQGNLQEKLQSNSKQVLQWRNRVAIALQLVQAIEHIHEKCTPQIVHGDIKASNVLLDKNFNCKLCDFGSAKVGFSSMVQPPTMSPRSRQVRMVGSPGYTDPHYFKTGIASKKMDMYGFGVVVLELVSGKEAFSAERGEMLVHIAAPLMNEILDSSVDIAENKVRQFLDPRLLSNSLDIDEVKTMLNIAALCISSKLSLRPSATQVAETLIKEIPSLSFIGCGKGV >fgenesh2_kg.6__301__AT5G03890.1 pep chromosome:v.1.0:6:1238484:1239021:1 gene:fgenesh2_kg.6__301__AT5G03890.1 transcript:fgenesh2_kg.6__301__AT5G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LWU9] MEKKVIKIVRNDGKVLEYREPINVHHILTQFSGHSLSHNNTQLLPDAKLLSGRLYYLLPSTMNKKKVNKKVTFANPEVEDDERSLSEEEDTSESNSKIDGDDNKNVTVVRMKIVVHKQELEKLLQGGSVHEMMYQTLEKQLLLTDDGDDLDECNSGWRPALDSIPESESLRRT >fgenesh2_kg.6__3025__AT4G10480.1 pep chromosome:v.1.0:6:20367061:20368315:-1 gene:fgenesh2_kg.6__3025__AT4G10480.1 transcript:fgenesh2_kg.6__3025__AT4G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPVIEEVNEEALMDAIKEQMKLQKENDVVVEDVKDGDEDDDDVDDDDDEIADGAGENESSKQSRSEKKSRKAMLKLGMKPVTDVSRVTIKRSKNVLFVISKPDVFKSPNSETYVIFGEAKIDDMSSQLQAQAAQKFKMPDVASMIPNTDGSEAATVAQEEEDDEDVDETGVEAKDIDLVMTQAGVSRTKAVKALKASDGDIVSAIMELTT >fgenesh2_kg.6__3029__AT4G10507.1 pep chromosome:v.1.0:6:20395728:20396134:1 gene:fgenesh2_kg.6__3029__AT4G10507.1 transcript:fgenesh2_kg.6__3029__AT4G10507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFSMFDSFCAEIMRNKFTSKSSSHGSSTSSSGVQAVSIKKTTKKVLVEKELRFAPEFDGLHCFETIVPS >fgenesh2_kg.6__302__AT5G03900.2 pep chromosome:v.1.0:6:1239648:1243750:1 gene:fgenesh2_kg.6__302__AT5G03900.2 transcript:fgenesh2_kg.6__302__AT5G03900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVSTCLILSPRLTQVGLSSKKPFLIRLRSPVDRYSFPGILTERCVSTRRKFNRHGIALVKAASLDKVSGAIKPGGLVESDKLPTDVRKRAMDAVDECGRRVTVGDVASRAGLKVTEAQTALQALAADTDGFLEVSDEGDVLYVFPRDYRTKLAAKSLRIQIEPYLEKAKGAIDYLARVSFGTALIASIVIVYTSIIALLSSRSDDDNRQRRRGRGYDSGFNFYINPVDLLWYWDPNYYNRRRAREDEGKGMNFIESVFSFVFGDGDPNQGIEEERWQMIGQYITSRGGVVAADELAPYLDVPSSKSAMNDESYILPVLLRFDGQPELDDEGNILYRFPSLQRTASGSSRRKEYVGKWFDWVADMEKFFKEKKWQFSKTSTSERALVIGLGAVNLFGVIVLNTLLNEMAVRPGGFLTFVKNIYPLLQIYAGSFFAIPLVRWFSIKRKNNQIENRNKARLQFARALESPDIALRRKLLSARDMAQNTVIGRDRIVYSTDRDMMEQNYETDEWDRRFKELEKSD >fgenesh2_kg.6__3031__AT4G10520.1 pep chromosome:v.1.0:6:20408576:20411796:1 gene:fgenesh2_kg.6__3031__AT4G10520.1 transcript:fgenesh2_kg.6__3031__AT4G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7LYP9] MSKTIILFALFLSIVLNVQISFVVAESKVYIVYLGEKEHDNPESVTESHHQMLSSLLGSKKAVLDSIVYSYRHGFSGFAAKLTESQAQQISELPEVVQVIPNTLYEMTTTRTWDYLGISPGNSDSLLEKARMGYQVIVGVLDTGVWPESEMFNDKGYGPIPSRWKGGCESGDLFNGSIHCNRKLIGAKYFVDANNAEFGVLNKTENPDYLSPRDINGHGTHVASTIGGSFLPNVSYLGLGRGTARGGAPGVHIAVYKVCWLQRGCSGADVLKAMDEAIHDGCSFISRNRFEGADLCWSISCAGNAGPTAQTISNVAPWVLTVAATTQDRSFPTAITLGNNITILGQAIFAGPELGFVGLTYPEFSGDCEKLSSNPNSAMQGKVVLCFTASRPSNAAITTVRNAGGLGVIIARNPTHLLTPTRNFPYVSVDFELGTDILYYIRSTRSPIVNIQASKTLFGQSVSTKVATFSSRGPNSVSPAILKPDIAAPGVNILAAISPNSSINDGGFAMMSGTSMATPVVSGVVVLLKSLHPDWSPSAIKSAIVTTAWRTDPSGEPIFADGSSRKLADPFDYGGGLINPEKAVKPGLIYDMTTDDYVMYMCSVDYSDISISRVLGKTTVCPNPKPSVLDLNLPSITIPNLRGEVTLTRTVTNVGPVNSVYKVVIDPPTGVNVAVTPTELVFDSTTTKRSFTVRVSTTHKVNTGYYFGSLTWTDNLHNVAIPVSVRTQILQRYYDEN >fgenesh2_kg.6__3033__AT4G10540.1 pep chromosome:v.1.0:6:20412518:20415677:-1 gene:fgenesh2_kg.6__3033__AT4G10540.1 transcript:fgenesh2_kg.6__3033__AT4G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7LYQ0] MKNCKTSVFAVLSLVIILNGLSTFVVVVQAGAESKVHIVYLGEKQHDDPEFVTKSHHRMLWSLLGSKEDAHNSMVHSYRHGFSGFAAKLTKSQAKKLADLPEVVHVTPDSFYELATTRTWDYLGLSVANPKNLLNDTNMGEEVIIGVVDSGVWPESEVFKDNGIGPVPSHWKGGCESGENFTSFHCNKKLIGAKYFINGFLATHESFNSTESLDFISPRDHSGHGTHVATIAGGSPLHNISYKGLAGGTVRGGALRARIAMYKACWYLDNLDITTCSSADLLKAMDEAMHDGVDVLSLSIGSRLPYFSETDARAVIATGAFHAVLKGITVVCSGGNSGPAGQTVGNTAPWILTVAATTLDRSFPTPITLGNNKVILGQAMYTGPELGFTSLVYPENPGNSNESFFGDCELLFFNSNRTMAGKVVLCFTTSKRYTTVASAVSYVKEAGGLGIIVARNPGDNLSPCVDDFPCVAVDYELGTDILFYIRSTGSPVVKIQPSKTLFGQPVGTKVADFSSRGPNSIEPAILKPDIAAPGVSILAATSTNKTFNDRGFIMASGTSMAAPVISGVVALLKAMHRDWSPAAIRSAIVTTAWRTDPFGEQIFAEGSPRKLADPFDYGGGLVNPEKAAKPGLVYDLGLEDYALYMCSVGYNETSISQLVGKGTVCSNPKPSVLDFNLPSITIPNLKEEVTLTKTLTNVGPVESVYKVVIEPPLGVVVTVTPETLVFNSTTKRVSFKVRVSTKHKINTGYFFGSLTWSDSLHNVTIPLSVRTQLMQNYYDEN >fgenesh2_kg.6__3040__AT4G10600.1 pep chromosome:v.1.0:6:20447513:20448439:-1 gene:fgenesh2_kg.6__3040__AT4G10600.1 transcript:fgenesh2_kg.6__3040__AT4G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LYQ6] MKPHEDWVYESWTVDCVCGVNFDDGKEMVDCDECGVWVHTWCSRYVKGDDLFVCHRCKIKKNDDELSKLSVTKSLRMENSSIQSETVVLKTCSEIPIEERVHVQGVPGGDLALFECVSSVFSRQLWKCSGYVPKKFRFQYREFPCWDEKENVCDVGGDEDTCAGVLLSMSKEYGVDGKGNQGSRGIESDVDKEKSLLCTLSINKRRKESAGGFEDRVKKKVKVADKEEED >fgenesh2_kg.6__3042__AT4G10610.1 pep chromosome:v.1.0:6:20460662:20462925:1 gene:fgenesh2_kg.6__3042__AT4G10610.1 transcript:fgenesh2_kg.6__3042__AT4G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein 37 [Source:UniProtKB/TrEMBL;Acc:D7LYQ9] MAVIESVGANTTVEAGGLISPSPPSSVTSQESGVSSNNDHGGNEIHGEIGVHVARSDGDESFKRDMRELHELLSKLNPMAKEFVPPSLTKPVVNGFNGGFFAVNNGFGAAGNFPVNEDGGFRRKKSFGQQGKRRMNPRTSLAQREEIIRRTVYVSDIDQQVTEEQLAGLFIGFGQVVDCRICGDPNSVLRFAFIEFTDEVGARAALSLSGTMLGFYPVKVMPSKTAIAPVNPTFLPRTEDEREMCARTIYCTNIDKKLTQADIKLFFESVCGEVYRLRLLGDYHHPTRIGFVEFVMAESAIAALNCSGVLLGSLPIRVSPSKTPVRSRAVPRHQMH >fgenesh2_kg.6__3044__AT4G10630.1 pep chromosome:v.1.0:6:20471186:20472163:-1 gene:fgenesh2_kg.6__3044__AT4G10630.1 transcript:fgenesh2_kg.6__3044__AT4G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7LYR1] MGCVSSNLLNHDEDFPQIGGGSSAFGHHIVKLTSTTYGLLTLDPPPPSSAAFSSDNMPMTPPDKSKSLWSEPRLIKSEPEIINSWELMSGLDGESFRFTPLPKTPVKYKVFGGENKENSDPNRRNPRKILNDEVLKPLDPNSDPNLRNPRKSLDLKLDEKFERICPPGGENRVVMYTTSLRGVRRTFEACNAVRAAVESFGVVVCERDVSMDRGFREELVSLMAKRVKDDGVAALPPRVFVKGMYIGGVEEVLRLVEEGSFGELIRGIPRKKADGACDGCGGMFFLPCFRCDGSCKMVKGWGSAAVVVRCSECNENGLVPCPICS >fgenesh2_kg.6__3045__AT4G10640.1 pep chromosome:v.1.0:6:20486081:20488078:1 gene:fgenesh2_kg.6__3045__AT4G10640.1 transcript:fgenesh2_kg.6__3045__AT4G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 16 [Source:UniProtKB/TrEMBL;Acc:D7LYR3] MAKKNGTSWFTAVKKILWSPSKDSDKKTHHKETDYKQRKEKKGWIFRKTKLETTNSLVKDSVRTVPTVEIDEEEKPTVTVSAVDDAVSEIVKLTATPGYIRRHWAAIIIIQTAFRGYLARRALRALRGIVKLQALVRGNNVRNQAKLTLRCIKALVRVQDQVLNHHQQQRSRLLASSPSSNCNMEARRNSMFAESNGFWDTKTYLQDIRSRRSLSRDMSRCNAEFNSEETELILQKKLEIAIKREKAQALALSNQIRSRSYRNQSAGDDRELLERTQWLDRWMATKQWDDTITNSTTRAPIKTFETVTTHHHQRSYPATPPSCRTLRSFAVRSASPRIPCSPSSMQPNYMSATESAKAKARTQSTPRRRPVGTAKKRLCYADEDSLRSPSFKSCLWGDHESDYSCCYGDGFAGKISPCSTTELRWLK >fgenesh2_kg.6__3048__AT4G10695.1 pep chromosome:v.1.0:6:20502918:20503530:-1 gene:fgenesh2_kg.6__3048__AT4G10695.1 transcript:fgenesh2_kg.6__3048__AT4G10695.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LYR8] MSYLVLRDNIRASAVCKAWRKAAESVRVVEKHPWVITFPKHDDLTILFDPLERKRYTLNLPELAGTNVCYSKDGWLLMRRSGLVDMFFFNPYTRELINLPKCELSFQAIAFSSAPTSGTCVVIALRPFTRFVIRISICYLGATEWVTQDFSCSHGFDPYMHSNLVYANDHFYCFSSGGVLVDFDLASRTMSHQVWNEHRCPYMH >fgenesh2_kg.6__304__AT5G03910.1 pep chromosome:v.1.0:6:1245600:1248461:-1 gene:fgenesh2_kg.6__304__AT5G03910.1 transcript:fgenesh2_kg.6__304__AT5G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLRLTPPPCLLIPPPPLSHRRSSSLFLKHPFQPSPRPLSSGKPSALRLRANTTVNSLKPFENVKPYLQSESKTILLGWLCSCVSVVSLSQIVPRLGSFTSSLNANAASLMKLKGECLVLAGLVLAKVVAYYLQQAFLWEAALNTVYKIRVFAYRRVLERELDFFEGGNGISSGDIAYRITAEASEVADTIYALLNTVVPSAIQIFVMTAHMIVASPALTLVSAMVIPSVALLTAYLGDRLRKISRKAQIASAQLSTYLNEVLPAILFVKANNAEISESVRFQRFARAALDENFKKKKMKSLIPQIVQVMYLGSLSIFCVGAVILAGSSLSSSAIVSFVASLAFLIDPVQDLGKAYNELKQGEPAIERLFDLTSLESKVIERPEAIQLEKVAGEVELCDISFKYDENMLPVLDGLNLHIKAGETVALVGPSGGGKTTLIKLLLRLYEPSSGSIFIDKSDIKDIKLESLRKHVGLVSQDTTLFSGTIADNIGYRDLTTGIDMKRVELAAKTANADEFIRNLPEGYNTGVGPRGSSLSGGQKQRLAIARALYQNSSVLILDEATSALDSLSELLVREALERVMQDHTVIVIAHRLETVMMAQRVFLLERGKLKELNRSSLLSTHKDSLSSAGLVI >fgenesh2_kg.6__3053__AT4G10730.1 pep chromosome:v.1.0:6:20515493:20520721:-1 gene:fgenesh2_kg.6__3053__AT4G10730.1 transcript:fgenesh2_kg.6__3053__AT4G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase [Source:UniProtKB/TrEMBL;Acc:D7LYS2] MVSRLRLALEAVFGSRRRKKKMASTSSGGDKKKKKGFSVNPKDYKLMEEVGYGASAVVHRAIYLPTNEVVAIKCLDLDRCNSNLDDIRREAQTMTLIDHPNVIKSFCSFAVDHHLWVVMPFMAQGSCLHLMKAAYPDGFEEAAICSMLKETLKALDYLHRQGHIHRDVKAGNILLDDTGEIKLGDFGVSACLFDNGDRQRARNTFVGTPCWMAPEVLQPGSGYDSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKELVALCLVKDQTKRPTAEKLLKHSFFKNAKPPEICVKKLFVDLPPLWTRVKALQAKDAAQLALKGMASADQDAISQSEYQRGVSAWNFNIEDLKEQASLLDDDDILTESREEEESPGEQLHNKVNDRGQVSCSQLLSENMNGKEKVSDTEVVEPICEEKSTLNSTASSVEQAAPSSEQDVPQAKGKSVRRQTHSGPLSSGVVLINSDSEKGPGYERSESERQLKSSVRRAPSFSGPLNLPNRASANSFSAPIKSSGGFRDSIDDKSKTNVVQIKGRFSVTSENLDLARSSPLRKSASVGNWILDSKMPTGQPSKESSSHHASPSFILPQLQNLFQHNSIVQDLTLNLVNSLQQAAEATDGSQNGKLPPLPRGSDSNGTVVELTASERERLLLTKITELRARMKELTEELEKEKSKETQLQQKLKSVTGREQL >fgenesh2_kg.6__3056__AT4G10770.1 pep chromosome:v.1.0:6:20530736:20535381:-1 gene:fgenesh2_kg.6__3056__AT4G10770.1 transcript:fgenesh2_kg.6__3056__AT4G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOPT7 [Source:UniProtKB/TrEMBL;Acc:D7LYS6] MEESELVLPLLTNPKDLTNPSSASSSSSSEPRDETEDLLLPISGEEEEEEENSPIRQVALTVPTTDDPSLPVLTFRMWVLGTLSGILLSFLNQFFWYRTEPLTISAISAQIAVVPLGRLMAAKISDRVFFQGSKWQFTLNPGPFNVKEHVLITIFANAGAGSVYAIHVVTVVKAFYMKNITFFVSFIVIVTTQVLGFGWAGIFRKYLVEPAAMWWPANLVQVSLFRALHEKEERTKGGLTRTQFFVIAFVCSFAYYVFPGYLFQIMTSLSWVCWFFPSSVMAQQIGSGLHGLGVGAIGLDWSTISSYLGSPLASPWFATANVGVGFVLVIYVLVPICYWLDVYKAKTFPIFSSSLFTSQGSKYNITSIIDSNFHLDLPSYEREGPLYLCTFFAISYGVGFAALSATIMHVALFHGREIWEQSKESFKEKKLDVHARLMQRYKQVPEWWFWCILVTNVGATIFACEYYNDQLQLPWWGVLLACTVAIIFTLPIGIITAITNQAPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMQQAITFLQDFKLGHYMKIPPRTMFMAQIVGTLISCLVYLTTAWWLMETIPNICDSVSNSVWTCPSDKVFYDASVIWGLIGPRRIFGDLGLYKSVNWFFLVGAIAPVLVWLASRMFPRQEWIKLINMPVLISATSSMPPATAVNYTTWVLAGFLSGFVVFRYRPNLWQRYNYVLSGALDAGLAFMGVLLYMCLGLENVSLDWWGNELDGCPLASCPTAPGIIVEGCPLYT >fgenesh2_kg.6__3057__AT4G10790.1 pep chromosome:v.1.0:6:20547287:20549758:-1 gene:fgenesh2_kg.6__3057__AT4G10790.1 transcript:fgenesh2_kg.6__3057__AT4G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTVDKLGYFQAITGLEDADLCTEILQAHGWDLELAISSFTSSDHDASSSAAVDGGNNHDHDHDNAAVTPDYQPRGIVDDTELVMRDDGGGNQGPGVAWRIITLPISIVSGSLGLVSGAIGLGIWAAGGVLSYSFGMLGFRSGRGGGSADSSSASRLVSVSSAAGEAMEFVALFDRDYWSNNNAFKIDFVVEGFMDALQRSRSSFKLLFVYLHSPDHPDTPVFCDGTLCNEAVVAFVNENFVSWGGSIRSSEGFKMSNSLKALRFPFCAVVMPAANQRIALLQQVEGPKSPEEMLAILQRVVEDSSPTLVTARVEAEERRTNLRLREEQDAAYRAALEADQARERERREEEERLEREAAEEKEAQERAEREAAEKEAARVRMRQEKALALGDEPEKGPDVTQVLVRFPNGERKGRMFKSETKIQTLYDYVDSLGVLDTEEYSLITNFPRTVYGRDKESMSLKDAGLHPQASLFIEIN >fgenesh2_kg.6__3058__AT4G10800.1 pep chromosome:v.1.0:6:20551052:20552299:1 gene:fgenesh2_kg.6__3058__AT4G10800.1 transcript:fgenesh2_kg.6__3058__AT4G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAVIDVSLNTKNVFSSALRFAMSIDTLKNSPELVHELKTSAQEQVEFMLSEDEEVRLLITEEEVKSVVRLGISNVISMLLDRLSSLLVILPECTEFSVLKTLYDIEWLCKVLPRMELMKDLVFKWADVSSEILVIAQKCKLDSRILGVKVKLVEVTGKILEAVGYGIVIVPSKSRTCLLKIWLPFIRRLKTLVDAEGSECEYRMDEDLCEFIEGSMVSLVLTLPSNDQAEVFGEWMRGIGLEGVKFPDLSEAFEVWCYRSKSAKRRLLERCDRLAIVNLDH >fgenesh2_kg.6__305__AT5G03920.1 pep chromosome:v.1.0:6:1251364:1251996:1 gene:fgenesh2_kg.6__305__AT5G03920.1 transcript:fgenesh2_kg.6__305__AT5G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPVVMAEEGEHSGAKELAKPNISIVYTTKSKKLTLVESGKNREFSHNDRFQIEIVDLSRFEVPKSSMGDVTITWEVVYKKDWQNVATIVKARRSLDTNLRWLFNDLPAPEDLIRRGLTADVKVSLNGDLLDKISLEIFIENDKRYFSPDDTLRYLQCFFFSKN >fgenesh2_kg.6__3060__AT4G10840.1 pep chromosome:v.1.0:6:20563393:20579002:1 gene:fgenesh2_kg.6__3060__AT4G10840.1 transcript:fgenesh2_kg.6__3060__AT4G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLVSVKTPADTPPLRVAVPDTQPLSNPPRTPMKKTPSSTPSRSKPSPGRSSGKKDSPTVSSSAAAAAVIDVDDPSLDNPDLGPFLLKLARDAIASGEGPNKALDYAIRATKSFERCCAAVAPPIPGGSDGGPVLDLAMSLHVLAAIYCSLGRFDEAVPPLERAIQVPDPTRGPDHSLAAFSGHMQLGDTLSMLGQIDRSIACYEEGLKIQIQTLGDTDPRVGETCRYLAEAYVQAMQFNKAEELCKKTLEIHRAHSEPASLEEAADRRLMAIICEAKGDYENALEHLVLASMAMIASGQESEVASIDVSIGNIYMSLCRFDEAVFSYQKALTVFKASKGETHPTVASVFVRLAELYHRTGKLRESKSYCENALRIYNKPVPGTTVEEIAGGLTEISAIYESVDEPEEALKLLQKSMKLLEDKPGQQSAIAGLEARMGVMYYTLGRYEDARNAFESAVTKLRAAGEKSAFFGVVLNQMGLACVQLFKIDEAGELFEEARGILEQERGPCDQDTLGVYSNLAATYDAMGRIEDAIEILEQVLKLREEKLGTANPDFEDEKKRLAELLKEAGRSRNYKAKSLQNLIDPNARPPKKESSAKKWPSLGFKF >fgenesh2_kg.6__3062__AT4G10850.1 pep chromosome:v.1.0:6:20582016:20588687:1 gene:fgenesh2_kg.6__3062__AT4G10850.1 transcript:fgenesh2_kg.6__3062__AT4G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:D7LYT4] MVVAHLNLLRKIVGIIGNFIALCLFLSPTPTFVRIVKKKSVEEYSPIPYLATLINCLVWVLYGLPTVHPDSTLVVTINGTGILIEIVFLTIFFVYCGRQKQRLVISAVIAGETAFIAILAVLVFTLQHTTEKRTMSVGIVCCVFNVMMYASPLSVMKMVIKTKSVEFMPFWLSVAGFLNAGVWTIYALMPFDPFMAIPNGIGCLFGLAQLILYGAYYKSTKKILAEREKQSGYIGLSSAIAHTESEKTANTNQELNNV >fgenesh2_kg.6__3064__AT4G10920.2 pep chromosome:v.1.0:6:20617624:20620335:-1 gene:fgenesh2_kg.6__3064__AT4G10920.2 transcript:fgenesh2_kg.6__3064__AT4G10920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKETKEKIERTVREILNESDMKEMTEFKVRQLASEGLGIDLSEKSHKAFVRSVVEKFLHEERARDYEKSQVNKEEEDGDKDCGKGNKEFDDDGDLIIWRLSDKRRVTIQEFKGKTLVSIREYYKKDGKELPTSKGISLTDEQWSTFKKNIPAIENAVKKMESRV >fgenesh2_kg.6__3069__AT4G10950.1 pep chromosome:v.1.0:6:20635100:20637131:-1 gene:fgenesh2_kg.6__3069__AT4G10950.1 transcript:fgenesh2_kg.6__3069__AT4G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LYU4] MSIKLLVLVFSLLIIFTRPKLIADHHLTTRISPFYPSIPPFLPPSPSLRAQSPVVKPSLPFVPALFVFGDSSVDSGTNNFLGTLARADRLPYGRDFDTHQPTGRFCNGRIPVDYLGLPFVPSYLGQTGTVEDMFQGVNYASAGAGIILSSGSELGQRVSFAMQVEQFVDTFQQMILSIGEEASERLVSNSVFYISIGVNDYIHFYIRNISNVQNLYTPWNFNQFLASNMRQELKTLYNVKVRRMVVMGLPPIGCAPYYMWKYRSQNGECAEEVNSMIMESNFVMRYTVDKLNRELPGASIIYCDVFQSAMDILRNHQLYGFNETTDACCGLGRYKGWLPCISPEMACSDASGHLWWDQFHPTDAVNAILADNVWNGRHVDMCYPTNLETMLHS >fgenesh2_kg.6__306__AT5G03930.1 pep chromosome:v.1.0:6:1252819:1253352:1 gene:fgenesh2_kg.6__306__AT5G03930.1 transcript:fgenesh2_kg.6__306__AT5G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGEHSGAKEVKLTKPKISLSDLTFVIYVTTVEKISSSCNHYTEKEFKKCGAELECGSNDRFHIQVENLSHRGISKSSMAGVEIKCEVIYKDDWEDGGFIIFDAQRPLDTNFGWLNNDLLAPNRLYRGLVADIELSDNSELLDKIDLQIFHRGEGRYFSLDDTLSYLQCFYLY >fgenesh2_kg.6__3073__AT4G10970.1 pep chromosome:v.1.0:6:20646184:20648911:-1 gene:fgenesh2_kg.6__3073__AT4G10970.1 transcript:fgenesh2_kg.6__3073__AT4G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKPITTETVALTEKKMDMSLDEIIKMEKSNTNVNKGKKQRASNKKEKFNGAAKNSAVKAQRYMDSRSDVRQGAFAKRRSNFQGNGNQFPVTATVARKAASAHQLRGRPYNAGRVTNTNQSRFITPPTQNRAAQRGFFAKPQQQQQREKIEQKQANGGGGGQRQWPQTLDSRFANMKEERMRMRRFADNRNSVGNNGAGLQHQQRSMVPWVRRATRFPN >fgenesh2_kg.6__3074__AT4G11010.1 pep chromosome:v.1.0:6:20653227:20654978:-1 gene:fgenesh2_kg.6__3074__AT4G11010.1 transcript:fgenesh2_kg.6__3074__AT4G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:D7LYU8] MSSQICRSASKAAKSLLSSAKNARFFSEGRAIGAAAAVSASGKIPLYASNFVRSSGSGVSSKSWITGLLALPAAGYMIQDQEVLAAEMERTFIAIKPDGVQRGLISEIISRFERKGFKLVGIKVIVPSKDFAQKHYHDLKERPFFNGLCDFLSSGPVIAMVWEGDGVIRYGRKLIGATDPQKSEPGTIRGDLAVTVGRNIIHGSDGPETAKDEISLWFKPQELVSYTSNSEKWLYGDN >fgenesh2_kg.6__3077__AT4G11050.1 pep chromosome:v.1.0:6:20719659:20723244:-1 gene:fgenesh2_kg.6__3077__AT4G11050.1 transcript:fgenesh2_kg.6__3077__AT4G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7LYV9] MGSTTTISILVLLLLGLVQLAVSGHDYKQALSKSILFFEAQRSGHLPPNQRVSWRSHSGLYDGKSSGVDLVGGYYDAGDNVKFGLPMAFTVTTMCWSIIEYGGQLKSNGELGHAIDAVKWGTDYFIKTHPEPNVLYGEVGDGKSDHYCWQRPEEMTTDRKAYKIDRNNPGSDLAGETAAAMAAASIVFRRSDPSYSAELLRHAHQLFEFADKYRGKYDSSITVAQKYYRSVSGYNDELLWAAAWLYQATNDKYYLDYLGKNGDSMGGTGWSMTEFGWDVKYAGVQTLVAKVLMQGKGGEHTAVFERYQQKAEQFMCSLLGKSTKNIKKTPGGLIFRQSWNNMQFVTSASFLAAVYSDYLSSSKRDLRCSQGNISPSQLLDFSKSQVDYILGDNPRATSYMVGYGENYPRQVHHRGSSIVSFNVDQKFVTCRGGYATWFSRKGSDPNVLTGAIVGGPDAYDNFADQRDNYEQTEPATYNNAPLLGVLARLISGPTGFDQLLPGVSPTPSPVIIKLAPVPKKKPTTPPVASSPSPITISQKMTLSWKNEGKVYYRYSTRLTNRSTKTLKILKISITKLYGPIWGVTKTGTSFTFPSWMQSLPAGKSMEFVYIHSAASPADVLVSNYSLE >fgenesh2_kg.6__3078__AT4G11060.1 pep chromosome:v.1.0:6:20728360:20730337:-1 gene:fgenesh2_kg.6__3078__AT4G11060.1 transcript:fgenesh2_kg.6__3078__AT4G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrially targeted single-stranded DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7LYW0] MNSIAIRVSKVLRSSSISPLVISAERGSKSWFSTGPIDEGVEEEFEEIVSERPELQPHGVDPRKGWGFRGVHRAIICGKVGQAPLQKILRNGRTVTIFTVGTGGMFDQRLVGATNQPKPAQWHRIAVHNEVLGSYAVQKLAKNSSVYVEGDIETRVYNDSISSEVKSIPEICVRRDGKIRLIKYGESISKISFDELKEGLI >fgenesh2_kg.6__307__AT5G03940.1 pep chromosome:v.1.0:6:1256615:1259928:-1 gene:fgenesh2_kg.6__307__AT5G03940.1 transcript:fgenesh2_kg.6__307__AT5G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQFSSHFSVSRVPNYTLGIRRSRATFSSAFTGTSNSASLSSRNPSTREIWSWVKSKTVGQGRYRRSYVRAEMFGQLTGGLEAAWSKLKGEEVLTKENIAEPMRDIRRALLEADVSLPVVRRFVQSVSDQAVGMGVIRGVKPDQQLVKIVHDELVKLMGGEVSELQFAKSGPTVILLAGLQGVGKTTVCAKLACYLKKQGKSCMLIAGDVYRPAAIDQLVILGEQVGVPVYTAGTDVKPADIAKQGLKEAKKNNVDVVIMDTAGRLQIDKGMMDELKDVKKFLNPTEVLLVVDAMTGQEAAALVTTFNVEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKATEVMRQEDAEDLQKKIMSAKFDFNDFLKQTRAVAKMGSMTRVLGMIPGMGKVSPAQIREAEKNLLVMEAMIEVMTPEERERPELLAESPERRKRIAKDSGKTEQQVSALVAQIFQMRVKMKNLMGVMEGGSIPALSGLEDALKAEQKAPPGTARRKRKADSRKKFVESASSKPGPRGFGSGN >fgenesh2_kg.6__3080__AT4G11070.1 pep chromosome:v.1.0:6:20734835:20736495:1 gene:fgenesh2_kg.6__3080__AT4G11070.1 transcript:fgenesh2_kg.6__3080__AT4G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 41 [Source:UniProtKB/TrEMBL;Acc:D7LYW1] MEMMNWEQRNLLNELIHGLKAAKQLQASPSPSPSTSSLTMEMKETLLHNIVSSLEKAILMLNGSTTQHIPTTELALDLLANPGKVPESPASITESLRSEELFDVGSKDCNLSSKKRKMLPKWTEQVRISPERGLEGPHDGVFSWRKYGQKDILGAKYPRSYYRCTFRNTQYCWATKQVQRSDGDPTIFEVTYRGTHTCSQGIPPPKIQETKPNHTVAVNYQNNVDNLRTNLTVRTNGLEASTFSFPVTSPFYTYESINGGTLCHVGSSAPSDFTGLISTNTSTGSSPIFDVDFHFDPTAEINTGFPTFLHNSI >fgenesh2_kg.6__3081__AT4G11080.1 pep chromosome:v.1.0:6:20736662:20738714:-1 gene:fgenesh2_kg.6__3081__AT4G11080.1 transcript:fgenesh2_kg.6__3081__AT4G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSSDPAPAKKSRNSRKALKEKNEIVESHHHCCHQSQPRGKKRNPMLEKMKIEKEKTEDLLKEKDEILKKKEEELETKDVEQEKLKTELKKLQKMKEFKPNMSFAFSQSMAQTEEDKKGKKKKTDCVERKRPSTPYILWCKDNWNDVKKENPEADFKETSNILGAKWRTLSVEEKKFYEEKYQVDKEAYLQVITKEKREREAMKLLDDEQKQKTAMELLDQYLHFVQEAEQDNKKKAKKIKDPLKPKHPISAYLIYANERRAALKGENKSVIEVAKMTGEEWKNLSEEQKAPYDQMAKKKKEIYLQEMEGYKITKEEETMSQKKEEEELMKLHKQEALQLLKKKEKTDNIIKKTKEMTKNKKKNEKVDPNKPKKPASSYFLFCKEARKSVLEEHPGINNSTVTAHISLKWKELGEEEKQVYNGKAAELMEAYKKEVEEYNKTKTSS >fgenesh2_kg.6__3082__AT4G11090.1 pep chromosome:v.1.0:6:20739884:20741669:-1 gene:fgenesh2_kg.6__3082__AT4G11090.1 transcript:fgenesh2_kg.6__3082__AT4G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPKWESISNLQQNTFLIKLVAATLITCLAFRFFVFRFGQFSPVQVSVNGNSNSQISPPSFIISDNEDQIPVDIEVEKCDLFTGKWIKDPLGPIYTNESCGIVVDGHQNCITNGRPDSGFLYWKWKPNDCSLPRFDSLRFLQLMRNKSWAIIGDSIARNHVESLLCMLSIVEKPVEVYHDENYRSKRWYFPLYNFTISNIWSPFLVQAAIFEDSNGVSSAAVQLHLDKLDNTWTDLFPSLDYAIISSGEWFLKTAVYHENANPVGCHGCPESSNMTDLGFDYAYNTSLRHVMDFIAKSKTKGMIFFRTSIPDHFEDGEWHNGGTCKKTEPVSEEEVEMKVLNKILRDVEIVQFERVVTETGNLKLLDFAGMLLTRPDGHPGPYREFRPFDKDKNAKVQNDCLHWCLPGPIDHLNDVILEIIVNGRTGK >fgenesh2_kg.6__3084__AT4G11120.1 pep chromosome:v.1.0:6:20761982:20764358:1 gene:fgenesh2_kg.6__3084__AT4G11120.1 transcript:fgenesh2_kg.6__3084__AT4G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7LYW9] MAFARAVRRPIGVFYYSVSGRFSSGNDYSTVASKLETLSQYKSSVSSGYTSPVRGFGSFIRSFSSEAPAVSDQMSLIKQLRERTSAPIKDVKASLVECNWDLEAAQKDLRKRGKVLASKKSSRTAAEGMLAVAQNEGKVVVIELNCETDFVARNEIFQYLALAMAKHALLVENSSQQVSGVLPFGPELFEEFKLNLDHPKVNGETTVSNAVTEVAAIMGENVKFRRGFLMSKSSAGVLSAYLHTSPQPGLGRIAGIVSLEVEGENTQLEAIQRVGSELAMQVVAAKPLFLSKDLVSSEAIANEREILKSQAESTGKNQMAIEKIVEGRLRKYFEEVALMEQKFILNDAINIKTLVDNLSKEVGSPVKVTNFLRVEVGEGIERLEASDEPVAQTA >fgenesh2_kg.6__3085__AT4G11130.1 pep chromosome:v.1.0:6:20764814:20768747:1 gene:fgenesh2_kg.6__3085__AT4G11130.1 transcript:fgenesh2_kg.6__3085__AT4G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:UniProtKB/TrEMBL;Acc:D7LYX0] MVSETTTNRSTLKISNVPQTIVADELLRFLELNLGEDTVFALEILTTRDNWKPRDFARVQFTTLEVKSRAQLLSSQSKLLFKTHNLRLSEAYDDIIPRPVDPRRRLDDIVLTVGFPESDEKRFCALEKWDGVRCWILEEKRRLEFWVWENGECYKIEVRFEDIVETLCCCVNGDASETNAFLLKLKYGPKVFKRVSGPHIATKFKSDRYQFCKEDFDFMWIRTTDFSGLKSIGTSTCFCLEVHNGSTMLDVFSGLPYYREETLSLTFVDGKNFASVSQIVPLLNAAILGLEFPYEILFQLNALVHAQKISLFAASNMELINIFRGLSLETTLVILKKLHQQSSICYDPLFFVKTQMQSVVKKMKHSPASAYKRLTEQNIMSCQRAYVTPSKIYLLGPELETANYVVKNFAEHVSDFMRVTFVEEDWSKLPANALTVNSKEGYFVKPFRTNIYNRVLSILGEGITVGPKRFEFLAFSASQLRGNSVWMFASNEKIKAEDIREWMGCFRKIRSISKCAARMGQLFSASRQTLNVRAQDVEQIPDIEVTTDGADYCFSDGIGKISLAFAKQVAQKCGLSHVPSAFQIRYGGYKGVIAVDRSSFRKMSLRDSMLKFDSNNRMLNVTRWTESMPCFLNREIICLLSTLGIEDAVFEAMQAVHLSMLGNMLEDRDAALNVLQKLSGENSKNLLVKMLLQGYAPSSEPYLSMMLRVHHESQLSELKSRCRILVPKGRILIGCMDEMGILEYGQVFVRVTLTKAELKSREQSYFHKIDEETSVVIGKVVVTKNPCLHPGDIRVLDAIYEVNFEEKGFLDCIIFPQKGERPHPNECSGGDLDGDQFFVSWDEKLIPSQMDPPMDYAGSRPRIMDHDVTLEEIHKFFVDYMISDTLGVISTAHLVHADRDPEKARSQKCLELANLHSRAVDFAKTGAPAEMPYALKPREFPDFLERFEKPTYISESVFGKLYRAVRSSLAQRKPEAESDGTLTYDATLEEAGFESFIETAKAHRDMYAEKLTSLMIYYGAVNEEEILTGILKTKEMYLARDNRRYGDMKDRITLSVKDLHKEAMGWFDKSCEDEQQKKKLASAWYYVTYNPSHRDEKLTFLSFPWIVGDVLLDIKAENAQRQSGEKPTSGIVSI >fgenesh2_kg.6__3086__AT4G11140.1 pep chromosome:v.1.0:6:20787706:20788988:-1 gene:fgenesh2_kg.6__3086__AT4G11140.1 transcript:fgenesh2_kg.6__3086__AT4G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LYX2] METAKKVSLPRILRISVTDPYATDSSSDEEEEDGFEAITRKRRRVKKYVNEVVLESVSDKGKPMKKKRKKTVATAPVVVTAAARKFRGVRQRPWGKWAAEIRDPSRRVRVWLGTFDTAEEAAIVYDNAAIQLRGPNALLNFSPPPVTENGEEEASTEVEETSGSISGGGGCLHSPVSVLHSPFSGESTAKIAVKEEVSGVSTAEIVVKEEPSFNVPDFSAPLFSDDDVFGFPTSMSDCFGGDLFGDNLFADMSFGSGFGFGSGFSSWHVEDHFQDIGDLFGS >fgenesh2_kg.6__3087__AT4G11150.1 pep chromosome:v.1.0:6:20814953:20816737:1 gene:fgenesh2_kg.6__3087__AT4G11150.1 transcript:fgenesh2_kg.6__3087__AT4G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGDVSRQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQDYEKKEKQADVRKKIDYSMQLNASRIKVLQAQDDIVNAMKDQAAKDLLNVSRDEYAYKQLLKDLIVQCLLRLKEPSVLLRCREEDLGLIEAVLDDAKEEYAGKAKVHAPEVAVDTKIFLPPPPKSNDPHGLHCSGGVVLASRDGKIVCENTLDARLDVAFRMKLPVIRKSLFGQVTA >fgenesh2_kg.6__3088__AT4G11160.1 pep chromosome:v.1.0:6:20824449:20828186:1 gene:fgenesh2_kg.6__3088__AT4G11160.1 transcript:fgenesh2_kg.6__3088__AT4G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFAFLSSLFGLGIQASFRIGLALPRVVVASTERQISSTVSKRASESVSFSFARYINGFGFSSLSNWSRSHESFPSGTSIRYFHASRETLAKRKEDADRLLSHRERKKQTVKTKGKFSKREKKSDKPPVEAPYVPPRLKRLAKGLPEKTVDIFEGMTLLELSRRTGESVAVLQSILINVGETFSSEFDTISVDVAELLAMEIGINVRRQHSTEGSEILPRPPVVTVMGHVDHGKTSLLDALRNTSVAAREAGGITQHVGAFVVGMPDSGTSITFLDTPGHAAFSEMRARGAAVTDIVVLVVAADDGVMPQTLEAIAHARSANVPIVVAINKCDKPGANPEKVKYQLTSEGIELEDIGGNVQAVEVSAAKSTGLDKLEEALLLQAVDMDLKARVDGPAQAYVVEARLDKGRGPLATIIVKAGTLVRGQHVVIGSQWGRLRAIRDMIGKTTDRATPAMPVEIEGLKGLPMAGDDVIVVESEERARMLSEGRKRKFEKDRLLKAEEARIEEAAARMETESEEGFVRVELPIVVKSDVQGTAQAVADALRTLNSPQVFVNIVHSGVGAISHSDLDLAQACSACIVGFNVKSGSSANLSAAQASVKVFHHRVIYHLLEDIGNLIVEKAPGVSEMEVAGEAEVLSIFKILGKRRTEEDGVSIAGCKVMDGRVCRSGLMRLLRSGEVVFEGSCASLKREKQDVEQVGKGNECGLVMGDWNDFRVGDVIQCMEPVIRKPKFISSESGAVRIEC >fgenesh2_kg.6__3089__AT4G11180.1 pep chromosome:v.1.0:6:20831056:20831729:1 gene:fgenesh2_kg.6__3089__AT4G11180.1 transcript:fgenesh2_kg.6__3089__AT4G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7LZF7] MVNQIYKQVFSFFLSVLLLQSSTVSYVRKSFDLNKPCRHFVLYLHNIAYDDDNAANATAATIVNPLGLGDYSFGRVIICDDPVTMDQNYLSKPVARAQGFYFYNKKTNFNTWVAWTLVFNSTKHKGTFTIMDANPYGMEPTRDLAIVGGTGDFLMTRGIATLKTKLSQGSKYFCLEMNIKLYECY >fgenesh2_kg.6__3092__AT4G11230.1 pep chromosome:v.1.0:6:20868675:20873496:-1 gene:fgenesh2_kg.6__3092__AT4G11230.1 transcript:fgenesh2_kg.6__3092__AT4G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGGTHDDRWGSDLTSAGEFTQSFPSFPATYSPSDGEELVEVTIEFPSGVLLNIESVTSTDPEITSCSASDSGSKSHSFGWSASSSRRISEFHTVEKAKKFSRDLKEKLQRISLGYSSRSAPEPVVPNVVDFTDPAVLCRSLTQRLTKSNGSCTERAINALKFISSKDNRIANWRDVQNNFANLSKDGYLCRSDFANCIGLENENSKEFADELFDAMCRRRRLMVDKINLQELYEFWYQITDESFDSRLQIFFDMVKNGDGRITENEVKEIIILSASANNLLRLRERAEEYAALIMEELAPDGLYSQYIELKDLEMLLLEKDISHSYSQPFSQTSRALSQNLKDTRWGISRNLLYSLQDNWKRIWVLTLWLVIMGWLFMWKCYQYKRKDAFHVMGYCLVMAKGAAETLKFNMALILLPVCRNTITYLRSTALCHSVPFDDCINFHKTISVAIIIAMLLHASSHLACDFPRILTSTETDYKRYLVKYFGITRPTYFDLVNSPVGITGFIMVAFMVIAFILASRRCRRNLTKLPKPFDKLTGYNAFWYSHHLLLTVYVLLVIHGVSLYLEHKWYRKTIWMYLAVPVLLYIGERILRFFRSRLYTVEICKVVIYPGNVVVLRMTKPTSFDYKSGQYVFVQCPAVSKFEWHPFSITSSPGDDYLSIHIRQRGDWTEGIKKAFSVVCQAPEAGKSGLLRADGPNQRSFPELLIDGPYGAPAQDHWKYDVVLLVGLGIGATPFVSILRDLLNTIVKQQEQAECLSGSCSNSNISSDHSFSCLNSEARSRIPQTQRKTLNTKNAYFYWVTREQGSFDWFKDIMNEIADSDRKGVIEMHNYLTSVYEEGDTRSNLLTMIQTLNHAKNGVRTHFGRPKWKKVLSKISTKHRNARIGVFYCGVPSLGKELSTLCHEFNQTGISRFEFHKEQF >fgenesh2_kg.6__3093__AT4G11240.1 pep chromosome:v.1.0:6:20876038:20878185:1 gene:fgenesh2_kg.6__3093__AT4G11240.1 transcript:fgenesh2_kg.6__3093__AT4G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LZG5] MDETLLDDIIRRLLATNNGRTVKQAQITEAEIRQLCLASKEVFLSQPNLLELEAPIKICGDVHGQFPDLLRLFEYGGYPPAANYLFLGDYVDRGKQSIETICLLLAYKVKYKFNFFLLRGNHECASINRVYGFYDECKRRYNVRLWKTFTECFNCLPVSALIDDKILCMHGGLSPDIKSLDDIKRIPRPIDVPDEGILCDLLWADPDREIEGWGENDRGVSYTFGADKVAEFLQTHDLDLVCRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGALMSVDDSLTCSFQILKASEKKGRFGFNNHVPRPGTPPHKGGKGR >fgenesh2_kg.6__3097__AT4G11300.1 pep chromosome:v.1.0:6:21071606:21072910:-1 gene:fgenesh2_kg.6__3097__AT4G11300.1 transcript:fgenesh2_kg.6__3097__AT4G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATEHQSSFLSRISRRSQVVSMEVNHEQELEELEDFQKHAAERFTELLPPTDSPESHPILSIQWLRKLLDVFMSIESEFRSVLTTNPSQISKPPLDKLVPEMLDRIVKALDICTAVVNGVDSVRQIQRCAEIAVTALKQTPLSDGSVRRAKRALTSLLAALNVDKNSGSSGGGSGRRSSTEQWSSFGRRSGGSGGGGCVSKNWSAAKQIQAMTANLVAPRGGEASPMYIMSSVMVMVMWTLVVAVPCQTSNGLMVHLPLPKNQVWASAAVSISEKIGEEMKRKETRCGGLMEEMQRMERIGLKMMEFSEGFRFNGEDDVTAEVAEMEEICRKMEDGLEGLQRRVREVFHRLVKSRSEILEVIDH >fgenesh2_kg.6__3099__AT4G11320.1 pep chromosome:v.1.0:6:21097641:21099538:1 gene:fgenesh2_kg.6__3099__AT4G11320.1 transcript:fgenesh2_kg.6__3099__AT4G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAKSAMLVLLLAMVISSCATAMDMSIVSSNDNHHVTNGPGRRQGVFDAEATLMFESWMVKHGKVYESVAEKERRLTIFEDNLRFITNRNAENLSYRLGLNRFADLSLHEYAQICHGADPRPPRNHVFMTSSNRYKTSDGDVLPKSVDWRNEGAVTEVKDQGQCRSCWAFSTVGAVEGLNKIVTGELVTLSEQDLINCNKENNGCGGGKVETAYEFIMNNGGLGTDNDYPYKALNGVCNDRLKENNKNVMIDGYENLPANDESALMKAVAHQPVTAVVDSSSREFQLYASGVFDGTCGTNLNHGVVVVGYGTENGRDYWIVRNSRGNTWGEAGYMKMARNIANPRGLCGIAMRASYPLKNSFSTDKISVA >fgenesh2_kg.6__309__AT5G03960.1 pep chromosome:v.1.0:6:1262395:1264133:-1 gene:fgenesh2_kg.6__309__AT5G03960.1 transcript:fgenesh2_kg.6__309__AT5G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 12 [Source:UniProtKB/TrEMBL;Acc:D7LXD7] MAKRRSWFGWMKRLFVCEAKAKPEKPRRLRWVFRRLKLRQQIATYGQETRTLNEATQDQRKHAMNVAIATAAAAEAAVAAAKAAAEVVRMAGNAFTSQHFVKKLAPNVAAIKIQSAFRAYLARKALRALKALVRLQAIVRGRAVRRKVSALLKSSLTNKASRSSIIQRNTERKHWSKTKSEIKEELQVSHHSMCNSKVKCNGWDSSALTNEDMKAIWLRKQEGVIKRDRMLKYSRSHRERRSPHMLLESLYTKDMGMRSCRLEHWGGSKSAKSINSFLIPSEMLVPTKVKLRTLQRQDSGDGQDSPFSFPRRSFSRLEQSLLEDESWIPSSNSFQPYMSMTESAREKMRSLSTPRQRVGLMDSLFDNYKKDGDKGSLWSSFVCENSKNNNAKKSSLTTYQHNC >fgenesh2_kg.6__30__AT5G01720.1 pep chromosome:v.1.0:6:140191:143904:1 gene:fgenesh2_kg.6__30__AT5G01720.1 transcript:fgenesh2_kg.6__30__AT5G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M6G1] MKKVKQIRVSKPFDLLSEELVFIILDLISPNPSDLKSFSLTCKWFYQLESKHRRSLKPLRSDYLPRILTRFRNTTDLDLTFCPRVTDYALSVVGCLCGPTLHSLDLSRSGSFSAAGLLRLAVKCVNLVEIDLSNATEMRDADAAVVAEARSLERLKLGRCKMLTDMGIGCIAVGCKKLNMVSLKWCVGVGDLGVGLLAVKCKDIRSLDLSYLPITGKCLHDILKLQHLEELFLEGCFGVDDDSLKSLRHDCKSLKKLDASSCQNLTHKGLTSLLSGAACLQRLDLAHCSSVISLDFASSLKKVSALQSIGLDGCSVTPDGLKAIGTLCNSLKEVSLSKCVSVTDEGLSSLVMKLKDLRKLDITCCRKLSGVSITQIANSCPLLVSLKMESCSLVSREAFWLIGQKCRLLEELDLTDNEIDDEGLKSISSCLSLSSLKLGICLNITDKGLSYIGMSCSNLRELDLYRSVGITDVGISTIAQGCIHLETINISYCQDITDKSLVSLSKCSLLQTFESRGCPNITSQGLAAIAVRCKRLAKVDLKKCPSINDSGLLALAHFSQNLKQINVSDTAVTEVGLLSLANIGCLQNIAVVISSGLSPSGVVAALLGCGGLRKAKLHASLRSLLPLSLIHHLEARGCAFLWKDNTLQAELDPKYWKQQLEEIVP >fgenesh2_kg.6__3101__AT4G11350.1 pep chromosome:v.1.0:6:21114832:21117275:1 gene:fgenesh2_kg.6__3101__AT4G11350.1 transcript:fgenesh2_kg.6__3101__AT4G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase, transferring glycosyl groups [Source:UniProtKB/TrEMBL;Acc:D7LZJ1] MKGNQKDSSEKPIWDRSSSGLSMTRPGRLMIWLMLFISVTYIIYTLKIVSTSHPCEDLTSESILQQRPEKKAVAVAVKAVPAEQEATDLNHVVFGIAASSKLWKQRKEYIKIWYKPKKMRGYVWLDKEVKIKSETGDQENLPSVRISGDTSSFPYTNKQGHRSAIRISRIVSETLMSLDSESKKNVRWFVMGDDDTVFVTDNLIRVLRKYDHEQMYYIGSLSESHLQNIFFSYGMAYGGGGFAISYPLAVALSKMQDRCIKRYPALYGSDDRMQACMAELGVPLTKEIGFHQYDVHGNLFGLLAAHPVTPFVSMHHLDVVEPIFPNMTRVRAIKKLTTPMKIDSAGLLQQSICYDKHKSWTISVSWGFAVQVFRGSFSPREMEMPSRTFLNWYKRADYTAYAFNTRPVSRNHCQKPFVFHMSNAKFDPQLNTTVSEYTRHRVPHPACRWDMVNPEEINTIVVYKKPDPHLWTRSPRRNCCRVLQTKRNNTLWINVGVCRAGEVTEVK >fgenesh2_kg.6__3103__AT4G11380.1 pep chromosome:v.1.0:6:21126909:21132158:1 gene:fgenesh2_kg.6__3103__AT4G11380.1 transcript:fgenesh2_kg.6__3103__AT4G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-adaptin-like protein [Source:UniProtKB/TrEMBL;Acc:D7LZJ5] MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQKCLKDDDPYVRKTAAICVAKLFDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQENSTSPIFEINSTTLTKLLTALNECTEWGQVFILDALSRYKAADPREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVIRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPAQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVITDDSNQLDPSLLDELLTNISTLSSVYHKPPEAFVTRLKTTVQKTEDEDFAEGSEAGYSSSNPVDSAASPPATTGNIPQPAGRQPAAAVPAPVPDLLGDLMGLDNAAIVPLDEPITPSGPPLPVVVPASSGQGLQISAQLSRKDGHVFYSMLFENNSQTVLDGFMIQFNKNTFGLAAAGPLQIPPLQPGTSARTMLPMVLFQNMSAGPPSSLLQVAVKNNQQPVWYFTDKILLHALFGEDGRMERGTFLETWRSLPDSNEVQKDFPGITITSVESTIDLLTAFNMFFIAKRKNGNQDVIYLSAKDPRDVLFLIELTAMVGQPGLKCAVKTPTPEIAPVFFEALELLFKA >fgenesh2_kg.6__3105__AT4G11420.1 pep chromosome:v.1.0:6:21157287:21162237:-1 gene:fgenesh2_kg.6__3105__AT4G11420.1 transcript:fgenesh2_kg.6__3105__AT4G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit A [Source:UniProtKB/TrEMBL;Acc:D7LZK1] MANFAKPENALKRADELINVGQKQDALQALHDLITSKRYRAWQKPLEKIMFKYLDLCVDLKRGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFLHLATEKAEQARSQADALEEALDVDDLEADRKPEDLQLSIVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHKAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLQLYLDTRFDQLKVATELGLWQEAFRSVEDIYGLMCMVKKTPKSSLLMVYYSKLTEIFWISSSHLYHAYAWFKLFSLQKNFNKNLSQKDLQLISSSVVLAALSIPPFDRAQSASHMELENEKERNLRMANLIGFNLEPKFEGRDMLSRSALLSELVSRGVLSCASQEVKDLFHVLEHEFHPLDLGSKIQPLLEKISKSGGKLSSAPSLPEAQLSQYVPALEKLATLRLLQQVSKIYQTIRIESLSQLVPFFEFSVVEKISVDAVKNNFVAMKVDHMKGVVIFGNLGIESDGLRDHLAVFAESLSKVRAMLYPVPSKASKLAGIIPNLADTVEKEHKRLLARKSIIEKRKEDQERQQLEMEREEEQKRLKLQKLTEEAEQKRLAAELAERRKQRILREIEEKELEEAQALLEDTEKRMKKGKKKPLLDGEKVTKQTVMERALTEQVKERKEMEKKLQKLAKTMDYLERAKREEAAPLIEAAYQRRLVEEREFYEREQQREVELSKERHESDLKEKNRLSRMLGNKEIFQAQVISRRQAEFDRIRTEREERISQIIRARKQERDIKRKQIYYLTIEEERIRKLQEEEEVRKREEAERLKKEQAERKAKLDEIAEKQRQREREVEEKGRLEREAALKGTTNAPPARLAEPTVTPTGTAAPAATAPASGPAKYVPKWKRQTTEVSSAPTAAPTPSETDRWPNRGPPPGDDHWGNSRGPAQNTDRWTSPPAEGGDRWGSGPRGSDERRSAFGSSRPRPTQR >fgenesh2_kg.6__3107__AT4G11450.1 pep chromosome:v.1.0:6:21165988:21169545:-1 gene:fgenesh2_kg.6__3107__AT4G11450.1 transcript:fgenesh2_kg.6__3107__AT4G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGKGIDMEQSPNSVLPPPRPFTESEKRTSTRLKPPRRDEILRVKEGFTEISFRRYRSTSCKNFPSKSFAMGDTTELRRGSVYQSSNALFKELREPQGRKDTKAKLELSRSSDASFSFRVVDSSRKRSTEKRAHKTVLDGQKSSVEPCTSSNFIDICLKSGIKDRAAVLDSADNDRKIRLPKPHSAKVDSLETSCNKDSSRVRKMFDPFVKSKSLRSPLGYIGESGDQFKLGRNSERCKSMLSDYSNIHKRSNLCACPPPVVNKDYTSVLKSSPVHLHCRLKMESKNGLPVFQFVSDSPEEVYAAKTWKSDNGSTWVYTFSSAGSRKRSSASVRGLNDVNKESLLVAQMQVSCKLCTEVRKKGQDPETLMVNEFVLFDIAQARRSISTKEDQSLPLDMVNNTSKNSAKPDSEIRNNSMSGDASDTLKQRCQPRRTSQSYDLEASNGTNPWSATDLHPDLEIAAIIIQDTIEKRESLKYRRGDKRLMEKTNLLGLSPIEEEKKELYGSRSSEKLKVVIPRGNHGLPTTENSCPSPLIQRWRSGGGCDCGGWDMACPLMVIGNPRISCSHDQPLVDNQHPLQLFVQGAKEHIPALYMSFVEEGQYDVHFHAQLSTLQAFSICVAILHNTEVSDSYRNGENVQQLSHCNSLKMLIDDDVQFLVEAVTEEEEKIVPKPLKEAVTALQSYMPNPPFSPISRV >fgenesh2_kg.6__3108__AT4G11460.1 pep chromosome:v.1.0:6:21185161:21187727:1 gene:fgenesh2_kg.6__3108__AT4G11460.1 transcript:fgenesh2_kg.6__3108__AT4G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LZK6] MCRKNLFSLVFWVVSVSLIVVVSAQLCSEKFGTFTPGGTFDRNRRLILSSLPSEVTAQDGFYNASIGTDPDQLYAMGMCIPGAKQKLCRDCMKDVTRMLIQTCPNQIAAIHWSGGGKTVFMARYYNRPSSRPLDLDSVAIGYNDGNLRTNLTDFDRLWERLVVHMVTKASSSSIKYLSFDNSRFYAADEANLTSFQMIYALMQCTPDVSPSNCNTCLKRSVGDYVGCCHGKQGGYVYRPNCIFRWDLYPFNGAFDLLTLAPPPSSQLESPPPQTKKDEETIHPGITIGIVVATVIIMALLALGVAVCRSRKKYQAFASETADDITTVGYLQFDIKDIEAATSNFLASNKIGQGGFGEGTFSNGTEVAVKRLSRTSDQGELEFKNEVLLVAKLQHRNLVRLLGFALQGEEKILVFEFVPNKSLDYFLFGSTNPTKKGQLDWTRRYNIIGGITRGILYLHQDSRLTIIHRDIKASNILLDANMNPKIADFGMARNFRDHQTEDSTGRVVGTFGYMPPEYVTHGQFSTKFDVYSFGVLILEIVSGRKNSSFYQMDGSVCNLVTYVWRLWNTDTSLELIDPAIRESYEKDEVTRCIHIGLLCVQENPANRPAMSTVFQMLTNSSITLNVPQPPGFFFRNRPESDTLSLRVEQDQYNTKSVTCSIDDATITTLTPR >fgenesh2_kg.6__3109__AT4G11480.1 pep chromosome:v.1.0:6:21203185:21205632:1 gene:fgenesh2_kg.6__3109__AT4G11480.1 transcript:fgenesh2_kg.6__3109__AT4G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LZK8] MSLHNLLSILCFVLAISFGYVSAQKCVDSMFFRPNGTYDTNRRLILSTLASNVSTRDGYYNGSVGEGPEKIYALGLCIPRTDPKVCSDCIQIASTGLLQNCPNQTDSYDWRSQKTLCFVRYSNSSFSNKMDLEPTMIIGDLNSGLFQGNLTAYSRTWEVFMKSMITRVGRTRYLADISPRIGSARIYALMQCIPGISSLECGNCIRENVRMYQNCCNGFIGGTIRKPVCFLRWDGSEYLGAFGDTPSLPPPSPDGKTISTGAIVAVVVVSAVIFVALLALVLVIRKRRQSYKTLKPQTDNDMTSPQSLQFDFMTLEAATDKFSRNNKLGQGGFGEIFKGILPNQTEVAVKRLSINSGQGTQEFKNEVVIVAKLQHRNLVRLLGFCLERYEQILVYEFVSNKSLNYFLFDPTKKSQLDWKIRYSIIGGITRGLLYLHQDSRLTIIHRDIKASNILLDADMNPKIADFGIARNFSVDQTEDNTGRVVGTFGYMPPEYVTHGQFSTKSDVYSFGVLILEIICGKKNSSFYQMADSGGNLVTHVWRLWNKDSPLDLIDPAIKESYDNDVVIRCIHIGILCVQETPADRPEMSTIFQMLTNSFITLPEQTQFRPFNLWIRAGASITRVTPR >fgenesh2_kg.6__310__AT5G03970.1 pep chromosome:v.1.0:6:1265767:1267614:-1 gene:fgenesh2_kg.6__310__AT5G03970.1 transcript:fgenesh2_kg.6__310__AT5G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LXD8] MSCSARLKTSLGMTSALSAHEVLNSNDTMCEILLLLPPETIYKLILVSKRWLQIIASPYFRHTYLAKWNPSFELIGFLVCSSTYLGRRVDGARRPRAEPSLPLLSTSSIGDEIESSGILKKLGYYIDSSDGLLLCGRHPKAYYLWDPSTQKQQQLPRPRVHFEELCMSLITEDCPDKGFSYKVIRAECVGFIAQSTKLKVETFSSKTSTWSYSELSCPEPISLSPWTLGRVIKGVVYWHARGGKVAIYDSNSEEKRVDLIKLPKTYDYDEQVLGETTDGVRTKETQLLAFLNQKSDSVFIRCEAHIFIYDTETKRVEVVQYQGRKSAFIWDYCKVVPYFRRTWPSSPLFDNNVMMIDTPQCNASSAGSD >fgenesh2_kg.6__3111__AT4G11510.1 pep chromosome:v.1.0:6:21237362:21237529:-1 gene:fgenesh2_kg.6__3111__AT4G11510.1 transcript:fgenesh2_kg.6__3111__AT4G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LZL8] AKDIGYPGIGRGDRQPGCDHGNCPPDQPANPYHRGCEIAKRCRGPSPPVPSQKMI >fgenesh2_kg.6__3113__AT4G11570.1 pep chromosome:v.1.0:6:21273634:21275403:1 gene:fgenesh2_kg.6__3113__AT4G11570.1 transcript:fgenesh2_kg.6__3113__AT4G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAIGAVSLVGHRPSIVRITVKNESKTQKSQNIVRFPVKVDCSAKGVLSHLMMQSVKKNRMSVFPIRALAMELTKEKKKDERLPKTWNYLDSGADDNPSLWPPENKADKPSLHNPLLRQERMGCGWLGAIFEWEGVLIEDNPDLDNQSWLTLAQEEGKSPPPAFMLRRVEGMKNEQAISEVLCWSRDPVQVRRMAKRKEEIFKALHGGVYRLRDGSQEFVNVLMNNKIPMALVSTRPRETLENAVGSVGIRKFFSVIVASEDVYRGKPDPEMFIYAAQLLDFIPERCIVFGNSNQTIEAAHDGRMKCVAVASKHPIYELGAAELVVRRLDELSIIDLKKLADTDLTEFEPELEMEKEDERELPSSAVAVDDNF >fgenesh2_kg.6__3115__AT4G11590.1 pep chromosome:v.1.0:6:21297365:21298541:1 gene:fgenesh2_kg.6__3115__AT4G11590.1 transcript:fgenesh2_kg.6__3115__AT4G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSQSKKSKIENNSQSLEISREKNFNDVPLDVAMEIFMRLPVKSVVRFLLLSKSSLQSRLLVVFIDLDRQRNCENWYFFSLSSSSTSYLSRVTCLSPDYVYYTYYVNGLISFGYGLEKYIANPSTGNPSTVLGRVQTRSTVAHSFFGKKCNVMMVELEYYKLQLSSQHQVVTLGIEKKQWRMIDYRTPHGPVLNSVCIDGVIYYVAFTGTDLSQLSLMRFDLGSEKLDLFTSLSADFPAAFLHGFNLMSYKGKVALATKTSFNEFEVWILDQQVETHGWLKKSFSIKGKKLLYSGLFITGTTHTGEFVLALRFYSNNFYVIYYNPDTKSFRKTKVQVHADYEFKHRETKAMFFRIM >fgenesh2_kg.6__3116__AT4G11600.1 pep chromosome:v.1.0:6:21298536:21317080:-1 gene:fgenesh2_kg.6__3116__AT4G11600.1 transcript:fgenesh2_kg.6__3116__AT4G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LZN1] MLRSSIRLLYIRRTSPLLRSLSSSSSSSSSSSSKRFDSAKPLFNSHRIISLPISTTGAKLSRSEHSMATSSEPKSIYDFTVKDAKGNDVDLSIYKGKVLLIVNVASQCGLTNSNYTELAQLYEKYKDHGFEILAFPCNQFGNQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGDKAAPIYKFLKSSKGGLFGDGIKWNFAKFLVDKDGNVVDRYAPTTSPLSIEKDLKKLLGVTA >fgenesh2_kg.6__3117__AT4G11610.1 pep chromosome:v.1.0:6:21317492:21321926:-1 gene:fgenesh2_kg.6__3117__AT4G11610.1 transcript:fgenesh2_kg.6__3117__AT4G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent thioredoxin reductase B [Source:UniProtKB/TrEMBL;Acc:D7LZN2] MMMSNLKLGVDVIGAHNLFPKDGQGTSNAYVELYFDGQKHRTTIKDRDLNPVWHESFFFNISDPSRLHYLNLEAQAYSHNRSTNGRSFLGKVSLSGTSFVPHSDAVVLHFPLERRGIFSRVRGELGLKVYITDEASLKSSAASNDHLDNLDPARAMKVEHRSDKRHVFYNLPNSAQEHQQQHPQGHNQSSSLAAEQDHHNEHHHHYVPKHQVNEMRPEPAPPSKLVHAHSIASAQPADFALKETSPHLGGGRVVGGRVIHKDQTATSTYDLVERMYFLYVRVVKARELPIMDITGSVDPFVEVKVGNYKGITRHFEKRQHPEWNQVFAFAKERMQASVLEVVVKDKDLLKDDYVGFVRFDINDVPLRVPPDSPLAPQWYRLEDKKGEKIKGELMLAVWIGTQADEAFSDAWHSDAAMPVDCSPAISAVLRSKVYHAPRLWYVRVNVIEAQDSIPTDKTRFPDVYVKAQLGNQVMKTRPCQARTLGAVWNEDFLFVVAEPFEDHLVLTVEDRVAPGKDEIVGRTYIPLNTVEKRADDHMIHARWYNLERPVIVDVDQLKREKFSMRIHLRVCLEGGYHVLDESTHYSSDLRPSARPLWRQPIGVLELGILNAVGLHPMKTREGRGTSDTFCVGKYGQKWVRTRTMVDNLCPKYNEQYTWEVFDPATVLTVGVFDNGQLGEKGNRDVKIGKIRIRLSTLETGRIYTHSYPLLVLHPTGVKKMGELHMAVRFTCISFANMLYQYSKPLLPKMHYVRPFSVMQQDMLRHQAVNIVAARLGRAEPPLRKEIIEFMSDTDSHLWSMRKSKANFFRMMTVFSGVIAVGKWFSDICSWRNPITTVLVHVLFLMLVCLPELILPTMFLYMFLIGLWNYRFRPRYPPHMNTKISQAEAVHPDELDEEFDTFPTTRNPDMVRLRYDRLRSVAGRIQTVIGDLATQGERFQALLSWRDPRATAIFVIFCFLAAIVFFITPIQIVVALAGFFTMRHPRFRHRLPSVPVNFFRRLPARTDSML >fgenesh2_kg.6__3118__AT4G11640.1 pep chromosome:v.1.0:6:21326442:21328274:1 gene:fgenesh2_kg.6__3118__AT4G11640.1 transcript:fgenesh2_kg.6__3118__AT4G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7LZN3] MEANREKYAADISSIKEAHERIKPFIHRTPVLTSESLNLISGRSLFFKCECFQKGGAFKFRGACNAVLSLDAEQAAKGVVTHSSGNHAAALSLAAKLQGIPAYIVVPKGAPKCKVDNVIRYGGKVIWSESTMSSRETVAAKVLQETGSVLIHPYNNGRIISGQGTIALELLEQIQEIDAIVVPISGGGLISGVALAAKSIKPSIRIIAAEPKGADDAAKSKVAGKIITLPVTNTIADGLRASLGDLTWPVVRDLVDDVITLEDCEIIEAMRMCYEILKVSVEPSGAIGLAAVLSNSFRNNQSWRDCKNIGIVLSGGNVDLGSLWDSFKCSK >fgenesh2_kg.6__3119__AT4G11650.1 pep chromosome:v.1.0:6:21364183:21365239:-1 gene:fgenesh2_kg.6__3119__AT4G11650.1 transcript:fgenesh2_kg.6__3119__AT4G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOSM34 [Source:UniProtKB/TrEMBL;Acc:D7LZN8] MANLPVFTFIFSALFLISTATAATFEILNQCSYTVWAAASPGGGRRLDAGQSWRLDVAAGTKMARIWGRTNCNFDSSGRGRCQTGDCSGGLQCTGWGQPPNTLAEYALNQFNNLDFYDISLVDGFNIPMEFSPTSSNCHRILCTADINGQCPNVLKAPGGCNNPCTVFQTNQYCCTNGQGSCSDTEYSRFFKQRCPDAYSYPQDDPTSTFTCTNTNYRVVFCPRARVAATGSNQLPIKMVTEEN >fgenesh2_kg.6__3120__AT4G11655.1 pep chromosome:v.1.0:6:21371345:21373025:1 gene:fgenesh2_kg.6__3120__AT4G11655.1 transcript:fgenesh2_kg.6__3120__AT4G11655.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:D7LZP0] MKELKDHVVVMAYGPSEGSVTASPVSQQTPSLFAYSITPSTSRFSSRRASVHVIGLVLRFLTLVLCFVSALSLAVNVHRPSRRYLTQNSSSFASYPELLYCFVVAVIGFVYTCLQTFKGVCDITHRGILISEPLSDYISFIFDQAICYLLVSSSSVAIAWIQHINEDAINTLRNNSIISVSMSFSAFFVLTLSSLLSGYKLCKRFMW >fgenesh2_kg.6__3124__AT4G11740.1 pep chromosome:v.1.0:6:21428258:21431906:1 gene:fgenesh2_kg.6__3124__AT4G11740.1 transcript:fgenesh2_kg.6__3124__AT4G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNQEAIDTFISITGASEAVALQKLEEHRGDLNQAVNAYFGEGDRNVVSEAPVNISRDDEMDIDDVIPAPQSPLSMFNAARTMGRPFSLLDSDFARSIFDNDPLMPRPPFVSHPREVRQIPIEVKDSSGPSGRSSDAPTIEDVTETTHVQAPATQGTVIIDEESDDDIPFAPMGRSRQDRPAGSEANNNQDYNDIEEEMIRAAIEASKKEAEGSNNPLLEERPPHVEDDDDIAKAVTMSLKSAEEEVLRNQGLMPSTSEIGTSEMAVAQGPQDTQALNGRLAAPSSPFDDDSDDVDEQPLVRHRPRRAASGSLAPPNADRSRSESPEEEGASINPAERGSGFPSEWGGISSEEHDEAVMLEAAMFGGIPETGYNHRPYIPPQPWAQPRPPSPSLTAQRLIREQQDDEYLASLQADRDKEMKSIRDAEARQLDEETARKAFLEEEKKKEEEVQRKLEEEQELERQLDAKEASLPKEPQADEKNAITLLIRMPDGTRRGRRFLKSDKLQSLFNFIDIARVVKPNTYRLVRPYPRKAFGDGESESTLNDLGLTSKQEALFLELI >fgenesh2_kg.6__3128__AT4G11780.1 pep chromosome:v.1.0:6:21524629:21526902:1 gene:fgenesh2_kg.6__3128__AT4G11780.1 transcript:fgenesh2_kg.6__3128__AT4G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAISSSDHRLSTSKRRLKPLMLRDYLLDDLSSCSSNGFKSFPRRQTPSSSSTVRRLLDAEIKRSGLIHHHHHHNKQQRLTRRSSRTTCGTAISHAVHKASTAFLKLLPFPSPAVKKQGVFSRSFSKRLLSRSFWRKPVVSLSRRDVTGDGDGEIQWWRSVAYEESLDQRSDLISQLSTTDDKITFSISAAAITTVEELISGESSSSGSEFFTNSSSEVVQSSSSSFSSSSSGESEEVSKKNDAVEDGNESGERLNARDCDGSSVNGNNSLCNRKECVNEEKEQLSPVSILECPFKDNGSDDEDDENTDQNGIHEKIARKSRRLNGLVRLEPLDLDKRIKEYVERQEEYSFHKLETEEDESENQANRLFALVKLRIGETNDLLASKVADNLLLDYLQEDNIGPKEETLMVKKAEDWVMGRQDEMFMSWEVKEKREVYVKEMKWGCIKGDERENVVEELANGFFTSFVDEFIFDLVL >fgenesh2_kg.6__3129__AT4G11790.1 pep chromosome:v.1.0:6:21529513:21532573:1 gene:fgenesh2_kg.6__3129__AT4G11790.1 transcript:fgenesh2_kg.6__3129__AT4G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVKRAAVSESNESSFKNAKPVEGILFGNQKNLALIQQSLLTPASLDKQRAELARKHVRALNNQFVSWVQLQLKNHPDELWEDGMNDYIAHASNILEKFKDVVNWLKENKGKGENVSPESRGAEKKLVAEVKNSDVKSVSNNSLFGSNSQPGHFSNNQSSNFSSSHSGFFSSQPGAFSSSPSGLTSNSQTGSFSSGQFGTKSSQPGAFSNNPSGLTSNSQTGSFSSGQFGLKSSQPILSSGSQAGAISNSQPSYQFSNNQPPFTSGVTPVSIPAKRDSTDDADGEDEQPQPSSPSVKKAEEKGVTVVHEVKCKLYVKSNDPTDIGWKDKGTGNLYIKCKEGVDKGTKESKPTILVRNDVGKLLLNALLYTGIKTSPQKNALVAIFHSSEDSNENVTPRTFLIRTKTADARDKLATAIQEYAPSS >fgenesh2_kg.6__312__AT5G03980.1 pep chromosome:v.1.0:6:1268439:1269525:-1 gene:fgenesh2_kg.6__312__AT5G03980.1 transcript:fgenesh2_kg.6__312__AT5G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LXD9] MSTTKALSLLVFLLFVSLVHASDQCPINSIYQFGDSIADTGNLIRNGPASSPTLKPLPQRKHNVFVNFAVSGSTALNSSFFAERNLHVPATNTPLSTQLAWFKSHLRSTCHGSSSDCLKQSLFMVGEIGGNDYNYGFFQGKPMEEIRSYIPHVVGAITAAAREVIRAGAVNVVVPGNFPVGCFPIYLTSFPVKDPKAYDDKGCLKHLNEFAMDHNNQLQGAIASLRKEFPGVAIVYGDYYNAFQYVLRSERFDKSVALKSCCGIGGAYNYDGKRPCGAAGVPVCQNPNKFISWDGVHLTQKAYRFMSNFLNYQILSQIKC >fgenesh2_kg.6__3131__AT4G11810.1 pep chromosome:v.1.0:6:21550696:21553802:1 gene:fgenesh2_kg.6__3131__AT4G11810.1 transcript:fgenesh2_kg.6__3131__AT4G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M0A7] MVAFGKKLKERSIEEWQEYYINYKLMKKKVKQYGPQIEVGSLDRRHVLKDFSRMLDHQIEKIALFMLEQQGLLSSRLQKLREWHDALQDEPDLSEISKLREAYRSVGQDLLKLLFFIDMNAIGIRKILKKFDKRFGYRFTNYYVKTRADHPYSQLQQVFRHVVSLGAVVGAISRNLHELQNNEGSYLSIYDQPVLPLQDPVVDSIKNAVDRLTHSTNFLNFMAQHALIMQDEDLLMIPADEQAEQEEGRYHFMSLLLNLANTFLYMVNTYIIVPTADDYSMSLGAAATVCGVVIGAMAVAQLFSSVYFSAWSNKSYFKPLIFSSIVLFFGNLLYALAYDFNSLALLLIGRLFCGFGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQTDFKIKNVTFNQDTLPGWVMAVAWLLYLVWLAISFREPAREPEEIHTSQESTSEQIFCDEADQDGNMEKGLKKPLLLASEETEHDEEDDGDGSEESSDDSRKPANSFVAAYKLLTPSVKVQLLIYFMLKYAMEILLSESSVVTTYYFGWSMSSVSIFLFCLGLTVLPVNLVVGSYISNMFEDRQILLASEIMVCIGILLSFHVVIPYTVPQYVFSGFIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGFLGQSMLLNVTLLPSLVICVGSIVATCYTYNSLY >fgenesh2_kg.6__3133__AT4G11840.1 pep chromosome:v.1.0:6:21565775:21569773:-1 gene:fgenesh2_kg.6__3133__AT4G11840.1 transcript:fgenesh2_kg.6__3133__AT4G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:D7M0A9] MAYHPVYTETMSMGGGSSHGGGQQYVPFATSSGSLRVELLHGNLDIWVKEAKHLPNMDGFHHRLGGMLSGLGRRNSIKVDGEKSSKITSDPYVTVSISGAVIGRTFVISNSENPVWMQHFDVPVAHSAAEVHFVVKDSDIIGSQIMGAVGIPTEQLCSGNRIEGLFPILNSSGKPCKAGAVLSLSIQYIPMERMRLYQMGVGFGNDCVGVPGTYFPLRKGGRVTLYQDAHVDDGTLPSVYLDGGIQYQHGKCWEDMADAIRQARRLIYITGWSVFHPVRLVRRSNDPTEGTLGDLLKVKSQEGVRVLVLVWDDPTSRSLLGFKTQGVMNTSDEETRRFFKHSSVQVLLCPRSGGKGHSFIKKSEVGTIYTHHQKTVILDAEAAQNRRKIVAFVGGLDLCNGRFDTPKHPLFRTLKTLHKDDFHNPNFVTTADDGPREPWHDLHSKIDGPAAYDVLANFEERWMKASKPRGIGKLKSSDDDSLLRIDRIPDIMGLSEASSANDNDPESWHVQVFRSIDSSSVKGFPKDPKEATGRNLLCGKNILIDMSIHAAYVKAIRSAQHFIYIENQYFLGSSFNWDSNKDLGANNLIPIEIALKIANKIRAREKFAAYIVIPMWPEGAPTSNPIQRILYWQHKTMQMMYQTIYKALVEVGLDGQFEPQDFLNFFCLGTREVPDGTVSVYNSPRKPPQTNANANAAQVQALKSRRFMIYVHSKGMVVDDEFVLIGSANINQRSLEGTRDTEIAMGGYQPHHSWAMKGSRPRGQIFGYRMSLWAEHLGFLEQGFEEPENMECVRRVRQLSELNWRQYAAEEVTEMPGHLLKYPVQVDRTGKVSSLPGCETFPDLGGKIIGSFLVLQENLTI >fgenesh2_kg.6__3137__AT4G11860.1 pep chromosome:v.1.0:6:21592483:21596831:-1 gene:fgenesh2_kg.6__3137__AT4G11860.1 transcript:fgenesh2_kg.6__3137__AT4G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSSESPNEETHTNQEAMQQQDLLPKETTNTTEEEEILYKTKSIQFLGRTTPIILQNENGPCPLLAICNVLLLRNNLKLNPDCYEVSQERLMSLVVDRLIDSNSKVNNKDEGYIENQQQNIADAIDLLPRLTTGIDVNIKFRRIDDFEFTPECAIFDLLDIPLYHGWIVDPQDVEAANAIGSKSYNALMGELVALETQNVEAQGDQNPGEDSVDFAAATTAALGVPSPCLSKTRSFDDSPPAAAELRRMRKGDLEEETELLQALQLSQGQGNDSTPNTHGDSTNQDSAFTFSDASPTSTHGTNISQLDQFKSDDDKASENDGNVIKVGEFQTPITIKSEDLNHDQLSSKQTGVETACDVENASSSKKAIVDVTSSEALFVDKANLESAKIESSSESLLKSDAASVDPDFSCRSQHDNVPNAFTSPVPTDEPMYEGEECVNTVAPVCADKEPVYEGESLLGKRVEKDVGDCSSEGRATDGLTAEEGELIRNFMKNSASQLTFCGLFRLQEGLKERELCVFFRNNHFCTMFKYEGELYLLATDQGYLNQPDLVWEKLNEVNGDTAFMTATFKDFKIDSSTGGASGTWDERNAVTNTADYLASINNVADTDIDVNSDLQLAIALQQQEFEDQSPRSNPTPQPTSVATSRLVTGPQVPRSSHRPSSAAASSRHDGKSPKDKDSKCRIM >fgenesh2_kg.6__3138__AT4G11880.1 pep chromosome:v.1.0:6:21616042:21620381:1 gene:fgenesh2_kg.6__3138__AT4G11880.1 transcript:fgenesh2_kg.6__3138__AT4G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFSSSSSIPKTVERYQKRIQDLGSNHKRDDNSQQSKDETYALARKIEHLEISTRKMLGEGLDASSIEELQQLENQLDRSLMKIRAKKYQLLREEIEKLKEKERNLIAQNKMLMEKYEMQGRGIIARTSSELDIDNNDDMEVVTDLFIGPPETRHSKKFSPPN >fgenesh2_kg.6__313__AT5G03990.1 pep chromosome:v.1.0:6:1270298:1272106:1 gene:fgenesh2_kg.6__313__AT5G03990.1 transcript:fgenesh2_kg.6__313__AT5G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNWRRQKPRNNNNHNYNHQRGTTTMTQSASKPPLANCKLSVPAWEKDFCAVIGSVPWWKVVEAKRFMHIYDRVVQWDDSAGEVAFKNAKSRFWAEINGLTCDLSLPDPDVYIDDVDWDAEVDTELILDLERGPDPLAEEQEHVVILDALVLSGQYSGLGWGTGWGDAEGINEENVGTGKPENSWDNQKCDGWNEDSWGIKEKTEWWDHNNNNFNAESWDQKKCNNNSFNHKKVENWNGREQGRESRGWRKRGEVQHGGDRVEDCRWRNGRGRSRGGFQQHSSNAWGWTESF >fgenesh2_kg.6__3147__AT4G11950.1 pep chromosome:v.1.0:6:21667957:21668921:-1 gene:fgenesh2_kg.6__3147__AT4G11950.1 transcript:fgenesh2_kg.6__3147__AT4G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M0C7] MGSIPFIFIILLFHVHKSKSQTIESAHFLDLMIRDYTIRNFNIHFKTGAIQKIRLPSNFSSIDIATAKFRCGSLRRHGARIGEFHLGPGLTVEPCVERVILVRQNLGFNWSSYIYSTGYNLTGYNYLLVSPVLGLLAYNSNPDGVAVNPYEINVMGTEQNPILIKFLSGSPKPNTKKNSSLLCACFTSNGNITFREQFSAYVCLGTTKGHYALVIRADDSSGGGSTVVTPSSSPAVNDGGGGKLSRWKVAVGSVIGSMIGAFLLGLLVVAMVVKGKKKAMREELERRAYEEEALQVSMVGHVRANPNASRTRTVPRFDNTR >fgenesh2_kg.6__3148__AT4G11960.1 pep chromosome:v.1.0:6:21669973:21672323:-1 gene:fgenesh2_kg.6__3148__AT4G11960.1 transcript:fgenesh2_kg.6__3148__AT4G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLTIPRFSAISRKPITCSSSKTAHFTHGRSISLRRRLLLLPLKASTDQSGQVGGEEVDSKILPYCSINKSEKRTIGEMEQEFLQAMQSFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQRFLEASMAYVSGNPILSDEEYDKLKMKLKMDGSEIVCEGPRCSLRSKKVYSDLAIDYFKMFLLNVPATVVALGLFFFLDDITGFEITHLLELPEPFSFIFTWFAAVPAIVYLALSLTKLILKDFLILKGPCPNCGTENVSFFGTILSIPNDSNTNNVKCSGCGTEMIYDSGSRLITLPEGGKA >fgenesh2_kg.6__314__AT5G03995.1 pep chromosome:v.1.0:6:1272138:1272818:-1 gene:fgenesh2_kg.6__314__AT5G03995.1 transcript:fgenesh2_kg.6__314__AT5G03995.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LXE1] MLEDIFKRFPKNVLHASQTHIFKMVIKKNFFGEICTCFLFFPKVQEDDEQWNSRARGMKETRTFSRSSPSKRFVYYTRIELLSLTS >fgenesh2_kg.6__3150__AT4G11970.2 pep chromosome:v.1.0:6:21675891:21678327:1 gene:fgenesh2_kg.6__3150__AT4G11970.2 transcript:fgenesh2_kg.6__3150__AT4G11970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTAKENASVVDSSLTDWKQDIGNSDDPESSSHRSKEDHKLSKVEVERRNFSDQLESSNPNKNSKPGYQTRYFIIKSLNYDNIQVSVEKGIWATQVMNEPILEGAFHKSGRVILIFSVNMSGFFQGYAEMLSPVGWRRDHIWSQGGGKNNPWGRSFKVKWLRLSELPFQKTLHLKNPLNDYKPVKISRDCQELPEDIGEALCELLDANSCDDGLLNSSSRDDYSTKKSRAEPPSSSGDEEYNNNLWGHTPMPYPPAVYANQDDLSRFHLAHQIGYGVSSEYLHTSSGASNSRTEQEKSLRFNSWCLPLESPLANSLTDDDFLDMSYEEYVETHSRCMKQLGLPVSDSTITSHTRAIK >fgenesh2_kg.6__3151__AT4G11980.1 pep chromosome:v.1.0:6:21678301:21680086:-1 gene:fgenesh2_kg.6__3151__AT4G11980.1 transcript:fgenesh2_kg.6__3151__AT4G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFRFTLLPSRLLACYSRAFPHRLHHHAELILRCKMSSSSPLTHSITLPSQPNEPVLVSATSGISSSDFRDAIDSSLFRNWLRNLESETGILADGSMTLKQVLIQGVDMFGKRIGFLKFKADIFDKETGQKVPGIVFARGPAVAVLILLESNGETYAVLTEQVRVPTGKIVLELPAGMLDDDKGDFVGTAVREVEEEIGIKLKKEDMVDLTAFLDPSTGYRIFPSPGGCDEEMSVFLYRGQVEKETIRQLQGKETGLREHGEFIKVRLIPYRELWRKTADAKVLMSIGLYEMAQREGLVSSH >fgenesh2_kg.6__3152__AT4G11990.1 pep chromosome:v.1.0:6:21683302:21687237:-1 gene:fgenesh2_kg.6__3152__AT4G11990.1 transcript:fgenesh2_kg.6__3152__AT4G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMETETETESDEDMEMETMVFEVTEIDLEYEFDASRWFDFTREELSLESRAAELWFETAQSYPPSPFAMKLLMKEESFSDEKTESLSKSEDGEVIVDVQENDREISQQPDVNETGNGMRSGVFTFIQGGGTLNKVPNQSLLKGPTFSNRIHSDKLKCRPKSSIRPIPRSSTLMKPTASLLAKQDNARMQVDEIKCPSSEIQSAKRQKLDGGLLRKVAEATQETNLVHKTLKKDLDRNSLHARMKITVPQEPDFATSHRANRIRHKGTDKLDQDSTSVYRFKARPLNRKIFDGPSLPIRKNSTPKLPEFQEFRLKTSERAMQHSSAVSTPSYQGTSYRKESDKPNRTAFLDGVNREPRRPRAMDIPKDDDRKHLFKARPLNNKILSSGRDIGIFGKSKRETTAPLGFSFHSERRAQPDLPTDLFSKLSLSSELRPNNGSRLRFPQPEQVKVSKENRLNSFQAGNERTSKFTGKPMVQHGVVPETSRQWTSRSGKLEATVQLN >fgenesh2_kg.6__3153__AT4G12000.1 pep chromosome:v.1.0:6:21693190:21695077:-1 gene:fgenesh2_kg.6__3153__AT4G12000.1 transcript:fgenesh2_kg.6__3153__AT4G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYHEEDDTVSEFRVRVEEDGVEKLGHYVKLTEEDDPSPSSSSSSSSSSSSSSSCGQKRSVWFWIKLGLFLTFLTALGLAGYKWLAPLIMDKELIPLIKWEMETFTHPVCGILVFASVSLFPVILIPTTPSMWVAGITFGYFYGLLLTLPAVAIGVSLPYFISYLFLNKIQGWLERYPDQAAMLRAAGGGSWFHQFRAVTLIRISPFPFPLYNYCAVATRVKFGPYMAGSLVGMAPEIFVAIYTGILIRALVDASTAEQEGLSILQIVLNILGFVATVVTTVLITKYAKRQLEVMKKEKEALLLQ >fgenesh2_kg.6__3154__AT4G12030.2 pep chromosome:v.1.0:6:21708930:21711052:1 gene:fgenesh2_kg.6__3154__AT4G12030.2 transcript:fgenesh2_kg.6__3154__AT4G12030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bile acid:sodium symporter family protein [Source:UniProtKB/TrEMBL;Acc:D7M0D6] MGVISPIETLFLKSQHRLLQPRNYSYPLVFHNTRRVATFPCNSFSFSSQGSCSVDFPIQSNLISQNGKSSYPWRRYVSESDSNEMYHKKVSSIMETLKQANSFIPHAILLSTILALLYPPSFTWFKPRYFVPGLGFMMFAVGINSNERDFLEALKRPDAIFAGYIGQYLIKPLLGYIFGVIAVSLFNLPTSIGAGIMLVSCVSGAQLSNYTTFLTDPSLAPLSIVMTSVSTATAVLVTPMLSLLLIGKKLPVDVIGMISSILQVVVTPIAAGLLLNRLFPRLSNAIKPFLPALTVIDMGCCIGAPLALNIDSILSPFGATILFIVITFHLLAFVAGYFFTGFFFSKVPDVKALQRTISYETGMQSSLLALALATKFFQDPLVGVPPAISTVVMSLMGVSLVTIWKNRKE >fgenesh2_kg.6__3157__AT4G12040.2 pep chromosome:v.1.0:6:21712412:21713793:1 gene:fgenesh2_kg.6__3157__AT4G12040.2 transcript:fgenesh2_kg.6__3157__AT4G12040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQNNSTSFPPTEPKLCDNGCGFFGSPSNMNLCSKCYRSLRAEEDQTAVAKAAVKKSLKLPSCSIIAPGQKHPLEIKPAHLETVVVTAEPSSVPVATEQDEAEPSRPVRPNRCFSCNKKVGVMGFKCKCGSTFCGNHRYPEKHECSFDFKEVGRDAIAKANPLVKADKVQRI >fgenesh2_kg.6__3158__AT4G12050.1 pep chromosome:v.1.0:6:21737727:21739221:-1 gene:fgenesh2_kg.6__3158__AT4G12050.1 transcript:fgenesh2_kg.6__3158__AT4G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:D7M0E1] MDPVQSHGSQSSLPPPFHARDFQLHLQQQQQQQQHQQQQQFFLHHHQQPQRNPDQDHEQQGGSIMNRSIKMDREETSDNMDNIANNNSGSEGKEMSLHGGEGGSGEQMTRRPRGRPAGSKNKPKAPIIITRDSANALRTHVMEIGDGCDIVDCMATFARRRQRGVCVMSGTGNVTNVTIRQPGSPPGSVVSLHGRFEILSLSGSFLPPPAPPAATGLSVYLAGGQGQVVGGSVVGPLLCSGPVVVMAASFSNAAYERLPLEEDEMQTPVHGGGGGGGEGGGMGSPPMMGQQQAMAAMAAAQGLPPNLLGSVQLPPPQQNDQQYWSTGRPPY >fgenesh2_kg.6__315__AT5G04010.1 pep chromosome:v.1.0:6:1277986:1278862:-1 gene:fgenesh2_kg.6__315__AT5G04010.1 transcript:fgenesh2_kg.6__315__AT5G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNPLKRKRNDEINEETLVLMTMHKEDDEHEHTKPSPPSWEILCLVGPYMDPESLAVASCVSATWSKCFSSEDLWKLLPATRHSIFYKAITKEETTKPAWLSYKRLISEAESAAKRRRNNQPAEPKISLSDLVFIVHVSAGSKEAVVVKQGKDLMFGSYERFQIEADVSDSGFTADMKDVSMSWNVVLRNYERMFLISESVKKSLDSKIGWFTDELPATKNRYCDGSNLVGEVKPSFNEEVLDKVVFAIADSRNWKSLFVDDVLRYLQCFLIE >fgenesh2_kg.6__3160__AT4G12070.1 pep chromosome:v.1.0:6:21764905:21767687:1 gene:fgenesh2_kg.6__3160__AT4G12070.1 transcript:fgenesh2_kg.6__3160__AT4G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTHQPESPTRPGNTVVLSIDCLKGSSKSEEWSGDMLQTGDIVEEIRIGSGPGSAIFKAPFKGGKAWLQKVLHNSFRNKETSIVVRVRRGSDDFSDLSACIVPNESAGKRQYMLRSIDDPNYTVGFSDRTESDCLGLQESRGSRMVEALVRAKLQDGYVSYPWEKRMQEALPISGSSNFLSILFLPKASAYGRTGSRYNDLEDTLARANAWLSCSQANGVPIVFMNIQTESLLTKISGETASATVNTTSLSDLSNLANASLYGFEDYHGVDIGVVRAVRLWFAPLGVEFPLEIKLKDDDTKLGFSISRTEEGFIYVSSVTDHEDESAPAARSGLSSLYREAAKASRRLVVSRVGNQKVLPWMVSSTGAIRCYDTVSLSQKLSLHRHAKVHIGLHVFLWDSSATADFSSPPRSSSGGTHLLFSNETLDSTEFPRYGERLPPRQVGDRQVMPLPDEDVFRFERENAGNASFKFQEIPFTNESL >fgenesh2_kg.6__3164__AT4G12130.1 pep chromosome:v.1.0:6:21856571:21858612:1 gene:fgenesh2_kg.6__3164__AT4G12130.1 transcript:fgenesh2_kg.6__3164__AT4G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminomethyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M0F8] MFRFNFRREISKSTGIYHRKIHSGLEDAGPMASRLKSRSVVRFSGPDTVKFLQGLLTNDVRRFGESSGEKNSAVPTPNMASVSTPPMYAALLTPQGRFLYDFFLYSPSKSEEKLNRTGSGPGSDSGHDGSVELFADVDVDVLDELLETLKKYRLRSKVDIENVGEEFSCWQRYGRNLSGSSSVGWGGGVDRAGESTASGNKYGWQWYKDPRLECLGYRSIFPADATPPLVEADKETDESNYLLWRLEHGVAEGSAEIPKGEAIPLEYNFVGLNAISFDKGCYVGQELIARTHHRGVIRKRLIPLRFIDSNGKEVNQKIAAGAEVVESGTGKKMGTVSTALGSRGMGVMRVEEAFKPSAELTVKDLEDVKVEAIRPTWWPAEWFQQNQSGVAAA >fgenesh2_kg.6__3165__AT4G12230.1 pep chromosome:v.1.0:6:21868796:21871641:1 gene:fgenesh2_kg.6__3165__AT4G12230.1 transcript:fgenesh2_kg.6__3165__AT4G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7M0G1] MKGVSSAPGDYVYFKSQVPLHKIPIGTKQWRYYDFGPKTVPPLICIPGIAGTADVYYKQIMALSMKGYRIISVDIPRVWNYHEWIQAFEKFLDTIDVHHVHLYGTSLGGFLAQLFAHHRPRRVKSLVLSNTYLDTRTFAASMPWAPFVSWTPSFLLKRYVLTGIRDGPHEPFIADSVDFAVSQVETLSKDDLASRLTLTVDAASVGSLLLSDSSITIMDTNDYCAIPQHLKDELTERYPEARRAYLKTGGDFPFLSRPDEVNLHLQLHLRRVGVEPRPEVVKSISKGGADGTDGSSQSKKKTDEEKDDRNNNMPQGSGSSSSDQSPTFPESSGSSNDPPLPTDTIQLHSSSMNKLIFVQLAGEVYQSCVVLTLCYCTLVLVHGGGFISRQLV >fgenesh2_kg.6__3166__AT4G12240.1 pep chromosome:v.1.0:6:21876957:21878292:1 gene:fgenesh2_kg.6__3166__AT4G12240.1 transcript:fgenesh2_kg.6__3166__AT4G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M0Z2] MFSRFVLRIWKPKTQFTSLNSIKGFSFSSINPKPKIRVGVWWDLDNKPPASFPPYDAAVKLRTAASSFGSVKLMMAYANRHAFSYVPLEVREQRKDRKLLNQLENKGLVKPPEPYFCGVCDRRFYTNEKLINHFKQIHETENQKRMRQIESSKGHQRVRLVAKYSMKIEKYKRAARNILTPKEGYGLADELKRAGFWVKMVSDKPEAADKALKEHLVDMMDKREVECVVLVSDDSDYAGILWEAKERCLRTVVIGDSNEGALKRVADVAYSWKEVVMGKAKKEVEKVVGKWNDRDVLKKLEWSYDPALEKERGGSCGVWDYEFDCDDDDDEIANGREVESVEIGDGGDWWKIDNEDSVGSSRPCR >fgenesh2_kg.6__3167__AT4G12250.1 pep chromosome:v.1.0:6:21878408:21880108:-1 gene:fgenesh2_kg.6__3167__AT4G12250.1 transcript:fgenesh2_kg.6__3167__AT4G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-glucuronate 4-epimerase 5 [Source:UniProtKB/TrEMBL;Acc:D7M0Z3] MSHLDDLPSTPGKYKTDKVPPYGILHHHRYLRLSKLTLWASLFLALFLFYLVLSPPPSPSRRNLNDSSSISAAKYGGSHWEKQVRKSARPRSRGGLTVLVTGASGFVGTHVSIALRRRGDGVLGLDNFNRYYDPKLKRARQGLLERSGVFVVEGDINDAVLLRKLFDVVLFTHVMHLAAQAGVRYAMQNPGSYVNSNIAGFVNLLEVSKSANPQPAIVWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEGIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILKGKTITVFESPDKGSVARDFTYIDDIVKGCLGALDTAEKSTGSGGKKKGPAMFRIYNLGNTSPVPVTKLVTILEKLLKMKAKKKIMPLPRNGDVEFTHANITLAQAELGYKPAVDLETGLKKFVKWYMGFYTGSKKKSSW >fgenesh2_kg.6__316__AT5G04020.1 pep chromosome:v.1.0:6:1279335:1283975:-1 gene:fgenesh2_kg.6__316__AT5G04020.1 transcript:fgenesh2_kg.6__316__AT5G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMFPEKWESSTSKSSRRVSKRRERKMWKKPIKISRFPSFGFSGSDFTVDQIPAIFSGYTAESEDSSSSEMSDDSRTYSKSSDENEEIDQASLTSERSRSVKRRAKSKSISRISSMKVLRRQSTRTLYGGGQRLKKMRSMKRLTSNSRHILRKKNLDREDFGLLQPHYLRPTSSSASKNVENIQKNLGVARLKRIASLRYNGLLKATCSSAMKGSSSSKKSNDVCTYRYCSLHGRRHSQAADNSVPSLKRFVSMRRKFLNRQKSSNRRLVLLKRTLSRKRGPLGGRVVTDQEAKEVDDNVDGDSDQEVFEEEVSSSENGGNDKESIGRSSETVMVDVDDNVDRGMDSMETVASEVQESKPETLDDSNGTNDKDMKKSDTLWRAICEQTVMGLGHDDGKVMLDGTKSEETVGDNEEVCKEGSSGEMREEDGKKTENVWNETVMLVKQAFDEILAEITDDDSSEDISITKDEPLEGGLEKEDIGADWSDSNSSDMQPIIGRDTHLSVIASTFHMREESDHQRGPKKWSYLKRVILLKRFLKSLDRKERRKLSDGKESETIMRLRRELVGEKKNAEEWMLDHALRQVISTLAPSQKKKVKHLVKAFESLIPMDGGSRGHDDLGSPTRKENETVNSQTQTILIDNKDTTDILEASPPKYFEETNLTCEASSSLSIGMKPDEALESIADASLCNHLAVEEEVDGLASGSFIEEEEKKGDSEKQKLSTWRNLIQKHMVMRNNSEGIRDETDQEHKWSYGTDQMTGIDDANAAAVKSIQLAFETILSEIPDSSSDEEIVSESSNSLKEEKEDHGETKKSWNGLRKVILLKRFVKSLEKIHVYNPRKMRSLPVESAFEAENILLRHRSLMEGRRIDGEELMLDYALRQAISRLAPIQRKKVDLLVQAFDIVLDGHDTPKQTKTSDTPRKNDETSEEGKPRVEEDYEVNKDEQKIKNVFARFQVHQKDLKGEEEVVSTPKESRKLPPIRNVKQRIVVEKEKDSRMWKLIYKHMVTEKEGNDSANGDSVASLEGECDDEAGGLQIDARRSGTVTLVREALEKILSEIPDNSSDDQSMDSDITTDQELFERNSQVSEEPVSSAREITFKPKSNEKRVKGWNNVKKVILLKRFVSDLGSLTRLSPKTPRVLPWEPDPETEKIRLRHQEIGGKRNSEEWMLDYALRQAISTLAPSQKRKVSLLAQAFDTISLQDMGSGSTPGSATSSRNISRQSSISSMAVHSENEANAEIIRGKLRNLQEDLKESTKLDNVANDWEEKQQCSSLWRILCKQMEDNEKNQTLPEETRKEEQEEEELKEDTNVDGEKMELYQTEAVELLGEVIDGISLEESQDQNLIQGETRQKSKTLQVSKLRIDRWSNLKRAVLLRRFVKALENVRKFNPREPRFLPPNPEIEAEKVNLRHQETQNKKNGDEWMVDNALQGVVSKLTPARKLKVQLLVQAFETLSATGY >fgenesh2_kg.6__3170__AT4G12310.1 pep chromosome:v.1.0:6:21906936:21908984:-1 gene:fgenesh2_kg.6__3170__AT4G12310.1 transcript:fgenesh2_kg.6__3170__AT4G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g12320 [Source:UniProtKB/TrEMBL;Acc:D7M0Z8] MSLISNLFTDNTNIVQPYAILVLIAIFSVLWYLFKRSPQPPLPPGPRGLPIVGNLPFLDPDLHTYFTKLAKSHGPIFKLNLGSKLTVVVNSPSLAREIFKDQDINFSNHDVPLTARAVTYGGVDLVWLPYGAEWRMLRKVCVLKLLSRRTLDSFYELRRKEIRERTRYLYQQGQESPVNVGEQVFLTMMNLIMNMLWGGSVKAEEMESVGTEFKTVISEIARLLGEPNVSDFFPWLARFDLQGLVKKMHMYARELDAILDRAIEQMHRLRSRDGDDGECKDFLQHLMKFKDQEAESEIPITVNHVKAVLVDMVVGGTDTTTNTIEFAMAQLIRNPELMKRAQQELDEVVGKDNIVEESHITRLPFLSAIMKETLRLYPTTPLLVPHRPSETALVGGYTIPKNTKIFINVWGIQRDPNVWENPTEFLPERFLDKKSCDFTGTDHSFLPFGSGRRICVGVALAERMVLYTLATLLYSFDWKIPEGHVLNLEEKFGIVLKLKTPLVALPIPRLSNSNLYL >fgenesh2_kg.6__3172__AT2G27190.1 pep chromosome:v.1.0:6:21922281:21924900:-1 gene:fgenesh2_kg.6__3172__AT2G27190.1 transcript:fgenesh2_kg.6__3172__AT2G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7M101] MSSRSDLTSKRVSFIIFLLCVLVELCHGGITSEYVRASDLPDDMPLDSDVFALPPGPNSPQQVHVTQGNHEGNGVIISWVTPVKPGSNTVHYWFENEKSKKQEEGTVNTYRFFNYTSGYIHHCLIDDLEFDTKYYYEIGSGKWSRRFWFFTPPEPGPDVPYTFGLIGDLGQTYDSNSTLSHYEMNPGKGQAVLFVGDLSYADRYPNHDNNRWDTWGRFVERSVAYQPWIWTAGNHEIDFVPDIGETEPFKPFKNRYHTPYKASGSISPLWYSIKRASAYIIVMSCYSSYGKYTPQYKWLEKELQGVNRTETPWLIVLVHCPFYHSYVHHYMEGETLRVMYEQWFVKYKVDVVFAGHVHAYERSERVSNIAYNIVNGLCEPIPDESAPVYITIGDGGNSEGLVTDMMQPQPKYSAFREASFGHGLLEIKNRTHAYFSWNRNQDGNSTAADSVWLLNRFWKAQKKTWLDAF >fgenesh2_kg.6__3173__AT4G12340.1 pep chromosome:v.1.0:6:21932037:21933734:-1 gene:fgenesh2_kg.6__3173__AT4G12340.1 transcript:fgenesh2_kg.6__3173__AT4G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFSIQISNKLINQLAEGNEQPKRRAKKTKPKVSPQSKADQAKTRLDAEKPNPAAELPMQPPFFFPIPPQAAASTELESIKSVVKESEKVLEKLELQEKNIVHEVTERAKDLREKEFKIPEPKPMPCSSYHEAWMKCYKENIGDPLKCSGFVKSFQDCARRSRQQVNPEEK >fgenesh2_kg.6__3174__AT4G12360.1 pep chromosome:v.1.0:6:21946695:21947716:-1 gene:fgenesh2_kg.6__3174__AT4G12360.1 transcript:fgenesh2_kg.6__3174__AT4G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7M108] MAQTTTVIFLLATLLMAAMTVSGHGPHLPLAPSPSVNEVMNCAAGLAVCLPAITQGGPPTPECCTALETAVKTQLPCLCGLIKSPTLLIPFNVTAFNALLSQTCGLTADPNMCSEIVAQAPLPQTAAPVPGPPKSDKNAASKLAGTGLVGVVLITIAAMFY >fgenesh2_kg.6__3175__AT4G12370.1 pep chromosome:v.1.0:6:21968236:21969137:1 gene:fgenesh2_kg.6__3175__AT4G12370.1 transcript:fgenesh2_kg.6__3175__AT4G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M109] MYLPKRGNLFELYDPLQQKLYTLNLPELAKSTVCYSRDGWLLMRKTISSEMFFFNPFTRKLINLPKCALSYDAIAFSCAPTSGTCVLLAFKHVAYGITATSTCHPEATEWVTEDLHFHLHFGSDTLKHSNVVYAKRHFYCLDGQGRLYYFDPSSREWHFIYEYNQPCPYISGRLSYRYERKKKRILLAVRKGVFFKIYTCGGEKPIVYKLEDDKWEEINSTMLDGLTIFTGLHFSEMRVNLPWMRNSVYFPRLRFNVKRCVSYSFDEERYYPRKQWQEQEDLCPLENLWIRPPKKALDYM >fgenesh2_kg.6__3176__AT4G12390.1 pep chromosome:v.1.0:6:21974851:21975580:1 gene:fgenesh2_kg.6__3176__AT4G12390.1 transcript:fgenesh2_kg.6__3176__AT4G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7M111] MEPKLTHLCYCLLLFLPLLSQSTIAKPSSSPNPSNNSINFIVSSCRVTRYQTLCVKCLATFANKIRRNENRLTQTALAVTLVRVQSTTVYVAKLTKARRIKRREYLAVKDCVENLGDGLEMLAQSMRELRQVGGSGRDRDEFLWRLSNVETWVSAALTDETTCLDGFDGKVMDGVVKSAIRRRVVHVARVTSNALALVNRFAARHKS >fgenesh2_kg.6__3182__AT4G12432.1 pep chromosome:v.1.0:6:22045311:22048745:-1 gene:fgenesh2_kg.6__3182__AT4G12432.1 transcript:fgenesh2_kg.6__3182__AT4G12432.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:D7M116] MEFNSNHESSVLKSSSKLTPRFMMTQWKKPAKLDDVRSNGWLDAMISSSPPRKKFVKDFNVEVAPEDDFAQRAWMVKYPSAISSFAHIAAQAKKKKIAVFLDYDGTLSPIVDDPDRAIMSDAMRSAVKDVASYFPTAIISGRSRDKVYQLVGLTELYYAGSHGMDIMTSSDDTNCFKSTDQQGKEVNLFQPAREFIPVIDEVFRTLVENMKDIKGAKVENHKFCASVHYRNVDEKDWPIIAQRVHDHLKQYPRLRLTHGRKVLEVRPVIDWNKGRAVEFLLESLGLSNKDDLLPIYIGDDTTDEDAFKVLRDGNRGFGILVSSIPKESNAFYSLRDPSEVKKFLKTLVKWAKLEKNSTGF >fgenesh2_kg.6__3183__AT4G12450.1 pep chromosome:v.1.0:6:22060450:22061409:-1 gene:fgenesh2_kg.6__3183__AT4G12450.1 transcript:fgenesh2_kg.6__3183__AT4G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGWVKSLHCKSRAFDDVYHHHSNNGKLLLPSYSCRKNVRDVVDNRPGSIKKSPKPDPALRRLRSSSRPESESNSHHQTRRSVSARASSESTLPVLTDLPDGHPSRNVVEIIFQSSWSSDEFPGRVEMIFKVENGSKAVTRFEEYREDVKSRWRTKFDSDEVNGGACDEDARCSADGNEMMRFFPLGPIPGGINGGAWGFPGGKGAAVCTFSGSGEAHASTGGGGGRRAMLICRVIAGRVAKKGEFGSDSVAGRAGELIVFDARAVLPCFLIFFRL >fgenesh2_kg.6__3184__AT4G12460.1 pep chromosome:v.1.0:6:22078909:22084008:1 gene:fgenesh2_kg.6__3184__AT4G12460.1 transcript:fgenesh2_kg.6__3184__AT4G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTRSKSLPATENGGSDSGRSVAGILYKWTNYGKGWRSRWFLLRDGILSYSKIRRPENVNLLSPSDDVKLIGDISTDRFSRMKSCSGRSRRKHHKTIGIVHLKVSSYRESKSDHRKFYIFTATKTLHLRTDSRSDRAACSSPVNQWGVSFVSPKDLFISTERLKKRLLEEGMNESLVTECEQIVDSEFSEVQEQIKLLHEERTKLLDVLRQLEMANLEAETSGIHDNVYHLRNHKYSSLGRGKYSECSTSASSDDKQEFEDISEEDEASFHDTKESFSEPDVGSVYTHFKRRTKLPDPAEKERGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDQAYEYGKSGNSLLRALNVAAFAVSGYASTEGRHCKPFNPLLGETYEADFPEKGIRFFSEKVSHHPTVIACHCEGKGWKFWGDTNLRSKFWGRSIQLEPVGILTLEFDDGEIFQWSKVTTTIYNILLGKLYCDHHGIMQIRGNCQYSCTLKFKEQSILDRNPHQVNGFVEDVTGKKAATVFGKWNDSLYYVAGDGLNKASASLLWKATKPPPNVTRYNLTSFAMSLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANEEKQRLERRQRMSRQIQESGWRPRWFEPQGESESYKYTGGYWEARDDKSWDDCPNIFGEFTEEVVDCA >fgenesh2_kg.6__3187__AT4G12480.1 pep chromosome:v.1.0:6:22089558:22110711:-1 gene:fgenesh2_kg.6__3187__AT4G12480.1 transcript:fgenesh2_kg.6__3187__AT4G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNSASIALFFALNILFFTLTAATDCGCNQSPKHKPVPSPKPKPVPSPKPKPVPSPSVPTPSVPSPNPRPVTPPSTPGSSGNCPIDALKLGVCANVLSSLLNIQLGQPSAQPCCSLIQGLVDLDAAICLCTALRANVLGINLNVPISLSVLLNVCNRKVPSGFQCA >fgenesh2_kg.6__3188__AT4G12530.1 pep chromosome:v.1.0:6:22143610:22144042:-1 gene:fgenesh2_kg.6__3188__AT4G12530.1 transcript:fgenesh2_kg.6__3188__AT4G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTSATLALFLVINILFLNLIIPVFAENTCPRDALKLSTCANVLNLINLNLGARAMRPCCSILFGLIDLDVVVCLCTALKLSLLGITIDTPIHLNLALNACGGTLPDGFRCPT >fgenesh2_kg.6__318__AT5G04040.1 pep chromosome:v.1.0:6:1286784:1290447:1 gene:fgenesh2_kg.6__318__AT5G04040.1 transcript:fgenesh2_kg.6__318__AT5G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar-dependent1 [Source:UniProtKB/TrEMBL;Acc:D7LXE6] MDISNEASVDPFSIGPSSIMGRTIAFRVLFCRSMSQLRRDLFRFLLHWFLRFKLTVSPFVSWFHPRNPQGILAVVTIIAFMLKRYTNVKMKAEMAYRRKFWRNMMRTALTYEEWAHAAKMLEKETLKMNESDLYDEELVKNKLQELRHRRQEASLRDIMFCMRADLVRNLGNMCNSELHKGRLQVPRHIKEYIDEVSTQLRMVCNSDSEELALEEKLSFMHETRHAFGRTALLLSGGASLGAFHVGVVRTLVEHKLLPRIIAGSSVGSIICAVVASRSWPELQSFFENSLHSLQFFDQLGGVFSIVKRVMTQGALHDIRQLQCMLRNLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFNLDPEVGTNSSGRRWRDGSLEVDLPMMQLKELFNVNHFIVSQANPHIAPLLRLKDLVRAYGGRFAAKLAHLVEMEVKHRCNQVLELGFPLGGLAKLFAQEWEGDVTVVMPATLAQYSKIIQNPTHVELQKAANQGRRCTWEKLSAIKSNCGIELALDDSVAILNHMRRLKKSAERAATATSSSHHGLASTTRFNASRRIPSWNIIARENSTGSLDDLVADNNLHASSGRNLSDSETESVELSSWTRTGGPLMRTASANKFIDFVQSLDIDIALVRGFSSSPNSPAVPPGGSFTPSPRSIAAHSESESNSNSNNLGTSTSSITVTEGDLLQPERTSNGFVLNVVKRENLGMSSIGNQNTELPESVQLDIPEKEMDNSSVSEHEDDDKEEEEEHNGSSLVTVSSEDSGLQEPVTGSVIDA >fgenesh2_kg.6__3191__AT4G12560.2 pep chromosome:v.1.0:6:22159060:22160976:1 gene:fgenesh2_kg.6__3191__AT4G12560.2 transcript:fgenesh2_kg.6__3191__AT4G12560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M128] MATIPMDIVNDIFLRLPAKTLVRCRTLSKPCYHLINDPDFIESHLHRVLQSGDHLMILLRGALRLYTVDLDSLDSVSDVEHPMKRGGPTEVFGSSNGLIGLSNSPTDLAVFNPSTRQIHRLPPSSIDLPDGSSTRGYVFYGFGYDSVSDDYKVVRMVQFKIDSDDELGCSFPYEVKVFSLKKNSWKRVESVSTSSIRLLFYFYYHLLYRRGYGVLAGNSLHWVLPRRPGLIAFNLIVRFDLALEEFGIVRFPETVANGNVDIQMDISVLDGCLCLMCNYDQEYVDVWMMKEYNVRSSWTKVFTVQKPKSVKSFAYMRPLVYSKDKDKVLLELNNTKLVWFDVVSKKMSTLRIKDCPSSYSAEVVVSSLVLGCKGDLENIKYRKEQEAKEAREAKMMQNTKRRDDFLSKGFKLVL >fgenesh2_kg.6__3192__AT4G12570.1 pep chromosome:v.1.0:6:22176997:22180600:1 gene:fgenesh2_kg.6__3192__AT4G12570.1 transcript:fgenesh2_kg.6__3192__AT4G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSRSSAADSTNNSNRSYSAVAGTDHKRKLDDYDASSSPDYVGVVDFLQKMKKHEIDADHMAASAQQTLISWRSGENSGFNRSLSSSGECSSSNRPESTRLQIFVRMMSGGKTIVIHADKNDTVEKLHERIEWKTKIPVSEQRVIYKGKQLQYEHSLAYYSIEQDASLQLVGRMQSTEHPVAWQTIDDIMYTISRMYKGENLQSNINEKIVTFFAMIPVENDESIAKYLKIFSNSSVPAALVMLYASSLERNKSCAKSSVKLFLSSCVALPKNQQNYCLPIVLEFCKILRKVCPDQKLYVTCRNTLGSMLETFDNPRGVFNDGYSTFGVEIFPFFTELTGLLVNELVQNSGPSFCDFHKFSSFWQQLRKAIEFKDADSIPNVLPRRNTPLEAEIRHLHQLFGSLLTTMDLCMCRVESDKEGGNSETVSSSWSQYLSILKIINSMSNIYQGAKGQLTVMLNKNKVSFCFLLVKFAKRGDDHQWVFEYKEATNFEARRHLAMLLFPDVKEDFEEMHEMLIDRSNLLAESFEYIVGASPEALHGGLFMEFKNEEATGPGVLREWFYLVCQEIFNPKNTLFLRSADDFRRFSPNPASKVDPLHPDFFEFTGRVIALALMHKVQVGVLFDRVFFLQLAGLKISLEDIKDTDRIMYNSCKQILEMDPVFFDSNAGLGLTFVLETEELGKRDTIELCPGGKFKAVNSENRKQYVDLLIERRFATPIFEQVKQFSRGFTDMLSDSIQPRSFFKRLYLEDLDGMLRGGENPISIDDWKAHTEYNGFKETDRQIDWFWKILKKMTEEEQRSILFFWTSNKFVPVEGFRGLSSKLYIYRLYEANDRLPLSHTCFYRLCIPRYPTMTLMEQRLRLIAQDHVSSSFGKW >fgenesh2_kg.6__3193__AT4G12580.1 pep chromosome:v.1.0:6:22180890:22181336:-1 gene:fgenesh2_kg.6__3193__AT4G12580.1 transcript:fgenesh2_kg.6__3193__AT4G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M130] IDFLLQVEKIVSNTEEKTSTETPKEIVYADDSATAVEVEIKGEEVLKVENETEETEIAPVKEEKPVEIPAAMEEKDAKPI >fgenesh2_kg.6__3195__AT4G12600.1 pep chromosome:v.1.0:6:22189340:22190604:1 gene:fgenesh2_kg.6__3195__AT4G12600.1 transcript:fgenesh2_kg.6__3195__AT4G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEGVNPKAYPLADSQLAITILDLVQQATNYKQLKKGANEATKTLNRGISEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEASQLKSQIQHLKDAIEKLLI >fgenesh2_kg.6__3199__AT4G12620.1 pep chromosome:v.1.0:6:22220283:22222755:-1 gene:fgenesh2_kg.6__3199__AT4G12620.1 transcript:fgenesh2_kg.6__3199__AT4G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATORC1B/ORC1B/UNE13 [Source:UniProtKB/TrEMBL;Acc:D7M142] MASTPRAKTFKSPTKTPTNIYRKSYLSPSSTSLTPQTPETLTPLRRSARHVSRKIDLGNDPIDVPGRESIEEMNLIRKRERAPRKPTTDVVPEKSTKSETPKKKKKIDSEVSFSPVSPIRSETIKKTIKKRVYYNKVEFDETEFEIGDDVYLKRREDANSDEEEDPEIEDCQICFKSDTNIMIECDDCLGGFHLKCLKPPLKEVPEGDWICQFCEVKKSGQTTLVVPKPPEGKKLARTMREKLLSGDLWAARIEKLWKEVDDDGCVYWIRARWYMIPEETVSGRQPHNLKRELYLTNDFADVEMECILRHCFVKCPKEFSKASNDGDDVFLCEYEYDVHWRSFKRLAELADGDSDSDQEWNGRKEEEIDDSDEEMEFDDEVSKSKRGCLTSARGGANSRKGRFFGLEKVGAKRIPEHVRCHKQTELEKAKATLLLATRPKSLPCRSKEMEEITAFIKGSISDDQCLGRCMYIHGVPGTGKTISVLSVMKNLKAEVEEGSVSPYCFVEINGLKLASPENIYSVIYEALSGHRVSWKKALQSLNERFAEGKRIGKEDEKPCILLIDELDLLVTRNQSVLYNILDWPTKPNSKLVVLGIANTMDLPEKLLPRISSRMGIQRLCFGPYNHTQLQEIISTRLKGINAFEKTAIEFASRKVAAISGDARRALEICRRAAEVADYRLKTNKTAKNQLVIMADVETAIQEMFQAPHIQVMKSVSKLSKIFLTAMVHELYKTGMAETTFDRVATTVSSICLTNGEAFPGWDILLKIGCDLGECRIILCEPGEKHRLQKLQLNFPSDDVAFALKDNKDLPWLANYL >fgenesh2_kg.6__319__AT5G04060.1 pep chromosome:v.1.0:6:1300141:1302949:1 gene:fgenesh2_kg.6__319__AT5G04060.1 transcript:fgenesh2_kg.6__319__AT5G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGYVLGSARSGQTIMVALVLMVGSFYAGSIFGNNSPIYISQPSSSSNSSSSSPSQSGPSNFANKIELTYRRTSVSIPASGVNVCPLKFNEYNPCHNVTYVQQLLPSLNLSRREELERHCPPLEQRLFCLVPPPKDYKIPIRWPTSRDYVWRSNVNHTHLAEVKGGQNWVHEQGQLWWFPGGGTHFKHGAPEYIQRLGNMTTNETGDLRSAGVEQVLDVGCGVASFAAYLLPLGIKTMSFAPKDGHENQIQFALERGISAMISAIATKQMPYPAASFDMVHCSRCRVDWHENDGILIKEVNRLLRPNGYFVYSAPPAYRKDKDFPMIWDKLVNLTTAMCWKLISRKVQTAIWVKEDDEACLRKNSELELITICDVEDVSKTSWKVPLRDCVDIIENIQKKPSSLTERLSSYPTSLTEKGISEDEFTLDTNFWTEQVNQYWELMNVNKTEVRNVMDTNAFIGGFAAAMNSYPVWVMNVVPATMNDTLSGIYQRGLTGAYHDWSEPFSTYPRTYDLLHADHLFAHYKIHSKGCLLEDIMLEMDRIIRPQGFIIIRDEESIISRVRDLAPKFLWEVETHELQDKYKKTETVLFCRKIFWAIV >fgenesh2_kg.6__3200__AT4G05590.2 pep chromosome:v.1.0:6:22225787:22227266:-1 gene:fgenesh2_kg.6__3200__AT4G05590.2 transcript:fgenesh2_kg.6__3200__AT4G05590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M144] MATSKLQALWNHPAGPKTIHFWAPTFKWGISIANIADFQKPPETLSYPQQIGILSYLFSRCVNFYVYMMPRIFAIVSVITGTGLVWSRYSTVITPKNWNLFSVSLGMAVTGIYQLTRKIKHDYSSESNPI >fgenesh2_kg.6__3202__AT4G05530.1 pep chromosome:v.1.0:6:22239275:22240863:-1 gene:fgenesh2_kg.6__3202__AT4G05530.1 transcript:fgenesh2_kg.6__3202__AT4G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7M147] MEKKLPRRLEGKVAIVTASTQGIGFGIIERFGLEGASVVVSSRKQANVDEAVAKLKSKGIDAYGIVCHVSNAQHRRNLVEKTVQKYGKIDIVVCNAAANPSTDPILSSKEAVLDKLWEINVKSSILLLQDMAPHLEKGSSVIFITSIAGFQPQGAMAMYGVTKTALLGLTKALAAEMAPDTRVNAVAPGFVPTHFASFITGSSEVREGIEEKTLLNRLGTTGDMAAAAAFLASDDSSYITGETLVVAGGMPSRL >fgenesh2_kg.6__3207__AT4G03960.1 pep chromosome:v.1.0:6:22269374:22270863:1 gene:fgenesh2_kg.6__3207__AT4G03960.1 transcript:fgenesh2_kg.6__3207__AT4G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine specific protein phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7M153] MTLESYAGDVHTVPQSENSMEERGGGELFVPPLNFAMVDNGIFRSGFPEPVSFSFLQSLRLRSIIYLCPEAYPEVNREFAKSNGIQVFQFGIERCKEPFVNIPDEVIREALQVLLDTKNHPVLIHCKSGKHRTGCLVGCVRKIQRWCLSSIFDEYQRFAAAKARISDQRFMELFDISNLKHSPLNFSCSKRYNNTIDY >fgenesh2_kg.6__3208__AT4G03965.1 pep chromosome:v.1.0:6:22271213:22272110:1 gene:fgenesh2_kg.6__3208__AT4G03965.1 transcript:fgenesh2_kg.6__3208__AT4G03965.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7M154] MSQLAVETSQAQPETPQSTTFLDLLRLQMDGGVDRTRRRKRTLKERLGFKRIGCCGPTWGLRLTSSNTREGDEPYETRFVSGSDHVTGPLNQGMNLATALAAERNYRTEETEASGSLTPLRVSLMRLLEETAERVVVEGKETERLTASSSNDSVCCVCMGRKKGAAFIPCGHTFCRVCSREVWLNRGSCPLCNRPIIEILDIY >fgenesh2_kg.6__3209__AT4G04040.1 pep chromosome:v.1.0:6:22283823:22287368:1 gene:fgenesh2_kg.6__3209__AT4G04040.1 transcript:fgenesh2_kg.6__3209__AT4G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit beta [Source:UniProtKB/TrEMBL;Acc:D7M157] MAAQLDLIGEEYIAGISSNPPANTRVTSVYSEVQASRIDHALPLPSVFKTPFKIIDGPPSSAAGHPEEIEKLFPKLFGQPSAILVPNQSDEVSSSQKLKIGVVLSGGQAPGGHNVICGIFDYLQEYAKGSSLFGFRGGPAGIMKGKYIELTSEFVYPYRNQGGFDMICSGRDKIETPEQFQQAEETVTKMDLDGLVVIGGDDSNTNACLLAEHFRAKNMKTRVIGCPKTIDGDLKSKEVPASFGFDTACKIYSEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVFEKKLTLKNVTDYIVDVICKRGENGYNYGVILVPEGLIDFIPEVQELISELNEVLAEGNVDEEGQWKKNLKKETLKIFEFLPQTIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKKKEEGAYKREFMGKSHFFGYEGRCGLPTNFDATYCYALGYGAGSLLQSEKTGLISSVGNLAAPVEEWTVGGTALTALMDVERRHGKFKPVIKKAMVELEGAPFKKFASQREEWALKNRYISPGPIQFKGPGSDARNHTLMLELGAQA >fgenesh2_kg.6__320__AT5G04070.1 pep chromosome:v.1.0:6:1310349:1312515:1 gene:fgenesh2_kg.6__320__AT5G04070.1 transcript:fgenesh2_kg.6__320__AT5G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LXF4] MKEALRFICSSNFWRMALFWNIALLFSYFQLLKKSIFGSKSSSSSCSKSNHSHTPICVITGATSGLGKATAFALSRKGFYVVLVGRSSHLLSKTLSDIKRQNENAQLKAFEVDISSFQSVFKFRNSLEQWLFESDLHSSVQLLVNNAGILATSCRPTVEGFDRMMATNYVGAFTLTKLLLPLLRNSPVPSRVVNVTSFTHRSAFSGRFDMDSVTGVNFSRSKQYPCARIYEYSKLCLLLFSYQLHRQLRLTDDSHHVSVVAVDPGAVKTNIMHELPSYIQVIAFYGLKILGLMQSPEDAAESVIDAALAPPEISGKYFFGGQGRTIESSALSGDPKMAKELWDTSCLIFNELQQTHT >fgenesh2_kg.6__3211__AT4G04190.1 pep chromosome:v.1.0:6:22303573:22305365:1 gene:fgenesh2_kg.6__3211__AT4G04190.1 transcript:fgenesh2_kg.6__3211__AT4G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSQPMMEETILVGDDLMTGPPSPVIPPEIASHVLEGVELCDGILRNLFLCLQINDIEPFCQDELALYRQCAEKRDKILRVRLQESEHKLGLSMPIELAKERITQLEAEATSLERHLILASGAEGIEGFRRRWSLHGRMTDTKKRLESLKLGMEKRNKEEHEHNHNHNHNHDQSPKPSTPKRWFFW >fgenesh2_kg.6__3213__AT4G04200.1 pep chromosome:v.1.0:6:22305581:22307334:1 gene:fgenesh2_kg.6__3213__AT4G04200.1 transcript:fgenesh2_kg.6__3213__AT4G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase [Source:UniProtKB/TrEMBL;Acc:D7M162] MEEKKTESAIKNVKKVNLLDHNAIKHLLDESVSDIITSRGYKEDVRLSNVKFLLGAIIIVVALVAQFYNKKCGICLILDSGKYVVLTAVMQLILYIKEKNAILFTYPLEGSFTSTGLVVSSKLPRFSDQYTLTIDSADPKSISTGKSVQFTKSVTQWLTKDGVLVEGLFWKDVDALIKEYNEAEEPKKKR >fgenesh2_kg.6__3214__AT4G04210.1 pep chromosome:v.1.0:6:22314486:22316027:1 gene:fgenesh2_kg.6__3214__AT4G04210.1 transcript:fgenesh2_kg.6__3214__AT4G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 4 [Source:UniProtKB/TrEMBL;Acc:D7M163] MSSKDKKPARPSTSRGGIRTLSDLNRRSGPDSDSDSDGPQEYYTGGEKSGMLVQDPSKKDDVDEIFNQARQLGAVEGPLEPPSSSRSFTGTGRSLSGENMSTGLQQPEPVVHNIVFWSNGFTIDDGPLRKLDDPENASFLESIRKSECPKELEPADKRAPVHVNLMRREEKCPERQKRRVSFQGVGRTLGGSNDGSGSSSPVAPDSAAIPIQTNQTVPAPPPSLVIDETIPITSIQLRLADGTRLVGKFNHHHTVNDIRGFIDSSRPGAPVNYQLQTMGFPPKPLTDLTQTIEEAGLANSVVLQKF >fgenesh2_kg.6__3215__AT4G04220.1 pep chromosome:v.1.0:6:22317599:22320854:1 gene:fgenesh2_kg.6__3215__AT4G04220.1 transcript:fgenesh2_kg.6__3215__AT4G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPCFLSCFLFFFFFFFFIPKLSFSCPQDQRQSLLEFKNMLIHNIKENSTAVGGLGTWRPNSDCCKWLRVRCNASSPSKEVIDLNLSYLILSGTVSSSILRPVLRINSLVSLDVSYNSIQGEIPGDAFVNLTSLISLDMSSNRFNGSIPHELFSLKNLQRLDLSRNVIGGTLSGDIKELKNLQELILDENLIGGEIPPEIGSLVELRTLTLRQNMFNGSIPSSVSRLTKLKTIDLQNNSLSSDIPDDIGNLVNLSTLSLSMNKLWGGIPTSIQNLKNLETIQLENNNGLSGEIPTAWLFGLEKLKVLRLGGNKLQWNNNGYVFPQFKLTDLSLRSCGLKGNIPDWLKNQTTLVYLDLSINRLEGSFPKWLADLTIQFIILSDNRLSGSLPPNLFQSPSLSYLVLSRNNFSGQIPEKIVISLVMVLMLSENNFSGSVPKSITKIFLLELLDLSKNRLSGEFPRFHPESNLVWLDISSNEFSGDVPAYFGGSISMLLMSQNNFSGEFPQNFRNLSRLIRLDLHDNKISGEFASLTSRLSSSLEVLSLRNNSLKGSIPEGISNLTSLQVLDLSQNNLDGYLPSSLGNLTSMIKSPESSSSAKRPFYSFNTDLETLIKIKSQDIFSLVVNWKNSKQVLFDRNFYLYTLLDLSKNKLHGEIPTSLGNLKRLKVLNVSNNEFSGLIPQSFGDLEKVESLDLSHNNLTGEIPKTLSKLSELNTLDLSNNKLTGRIPVSPQLDRLNNPNIYANNSGICGMQIQVPCSPTQTKQPAEEKEEEEEEDKEEETMFSWKAAVIGCSCGFLIAVVFMSYNGIWK >fgenesh2_kg.6__3218__AT4G04320.2 pep chromosome:v.1.0:6:22324646:22327815:1 gene:fgenesh2_kg.6__3218__AT4G04320.2 transcript:fgenesh2_kg.6__3218__AT4G04320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malonyl-CoA decarboxylase family protein [Source:UniProtKB/TrEMBL;Acc:D7M166] MSKKNLAILLRARMKSNNPTKFSLSQFPEIQSNPQENQSEDHIVQNSSEGIGNSSDFGNTGRIYDVVRETMHSAISASKTGVLDITLSDFQEGYFSLSLEDREKLLLVLAKEYDVNREQVRELVKQYLGLENPASDDKDVLSSVFYRTERNLRHTLRPTYEVLFERLNTHPGGLRFLSILRADLLSVLTKENSPSLRTLDSFLKEKLGMWLSPASLELHQITWDDPASLLEKIVAYEAVHPISNLLDLKRRLGIGRRCFGYFHPSIPGEPLIFIEVALMETVAQTIQEVLWDNPPIPENQATCALFYSISSTQPGLAGINLGKFLIKRVITLVKKDMPHVSTFATLSPIPGFMQWLLSKLSSQSRFAEDERSTQSNSPSSTFSEKVLLPEEEQALMSLSDDSPSGSNGMEVLLNLLSVKNCDWATSPRLLPVLEPILMRLCARYLLQEKKRGKALDSVANFHLQNGAMVERINWMADRSEKGIHQSGGIMVNYVYRLENIEDYAQSYFGSGKIHASPGIHSRL >fgenesh2_kg.6__321__AT5G04090.2 pep chromosome:v.1.0:6:1313526:1316488:-1 gene:fgenesh2_kg.6__321__AT5G04090.2 transcript:fgenesh2_kg.6__321__AT5G04090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTVRRVSREDIQLVQNLIERCLQLYMNQKEVVDTLLEQAKIEPGFTELVWQKLEEENREFFKAYYLRLMVKHQIMEYNKLLEQQINHMRLMHPTGGASVQNRNGSHVPSMNQQQLCYERKDSDQSSPNLSSPYLNGGSAINTNIPSSVDFSTHSRRVDPPPNSLSVQATNMPLMQGMIKSETAYSNCAPYMYGGEAQSTVGDAPIASFSNDSSNQSLNDPFGLLGQIPRNFSLSDLTADFSQNSEILESYDRSPFLLADAENFLDSSERVEPQGDRERLGTISEGFSYENLRSI >fgenesh2_kg.6__3223__AT4G04350.1 pep chromosome:v.1.0:6:22344278:22349449:1 gene:fgenesh2_kg.6__3223__AT4G04350.1 transcript:fgenesh2_kg.6__3223__AT4G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2369 [Source:UniProtKB/TrEMBL;Acc:D7M170] MSSHQILQFRSDPFVLSHCCRHTHLTSSLTLQSPLKQPFSGLRFRWRRSNHGGVRSSTTEAQSNKEEALVSETATTTIELKRVYPFHEIEPKWQRYWEDNRTFRTPDDVDTSKPKFYVLDMFPYPSGAGLHVGHPLGYTATDILARLRRMQGYNVLHPMGWDAFGLPAEQYAIETGTHPKTTTLKNIDRFRLQLKSLGFSYDWDRELSTTEPDYYKWTQWIFLQLYKRGLAYQAEVPVNWCPALGTVLANEEVVDGVSERGGHPVIRKPMRQWMLKITAYADRLLEDLDELEWPESIKEMQRNWIGRSEGAELNFSILDGEGRETDKKITVYTTRPDTLFGATYMVVAPEHHLLSYFVTAEQKQQVEEYKDFASRKSDLERTELQKDKTGVFTGCYAKNPANGDAIPIWVADYVLASYGTGAIMAVPAHDTRDNEFALKYNIPVKWVVKNEANLSDDAKQVYPGLGIIENSSSSETGLDINQLSSKEAGLKVIEWSERTGNGKKKVNYKLRDWLFARQRYWGEPIPILILDESGETIAVSESELPLTLPELNDFTPTGTGEPPLSKAVSWVNTVDPSTGKPAKRETSTMPQWAGSCWYYLRFMDPKNPEALVDKEKEKYWSPVDVYVGGAEHAVLHLLYSRFWHKVLYDIGVVSTKEPFKCVINQGIILGEVQYTAWKDQEGIYVSADTEERLNEHQQVTIPEEKVMKSGDHFVLKEDPSIRLIPRVYKMSKSRGNVVNPDDVVLEHGADSLRLYEMFMGPFRDSKTWNTSGIEGVHRFLARTWRLVIGLPQSDGSFKDGTIVTDDEPTLEQLRTLHKCIAKVTEEIESTRFNTGISGMMELVNAAYKWNNQPRRIIEPFVLLLSPYAPHMAEELWSRLGHPNSLAYESFPKANPDYLKNTTIVLPVQINGKTRGTIEVEEGCSEDDAFVLASQDEKLRKYLDGQSIKKRIYVPGKILNVILDRTNVKVATK >fgenesh2_kg.6__3227__AT4G04460.1 pep chromosome:v.1.0:6:22382992:22386276:1 gene:fgenesh2_kg.6__3227__AT4G04460.1 transcript:fgenesh2_kg.6__3227__AT4G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7M176] MGTRFQSFLLVFLLSCLILISTALCERKGDGTIRIGLKKRKLDRSNRLASQLFLKNRGSWSPKDYFRLNDANADMVPLKNYLDAQYYGDITIGTPPQKFTVIFDTGSSNLWIPSTKCYLSVACYFHSKYKASQSSSYRKNGKPASIRYGTGAISGYFSNDDVKVGDIVVKEQEFIEATTEPGITFLLAKFDGILGLGFKEISVGNSTPVWYNMVEKGLVKDPVFSFWLNRNPQDQEGGEIVFGGVDPKHFKGEHTYVPVTHKGYWQFDMGDLQIAGKPTGYCAKGCSAIADSGTSLLTGPSTVITMINHAIGAQGIVSRECKAVVDQYGKTMLNSLLAQEDPKKVCSQIGVCAYDGTHSVSMDIQSVVDDGTSGLLNQAMCSACEMAAVWMESELTQNQTQERILAYAAELCNHIPTKNQQSAVDCERVSSMPIVSFSIGGRTFDLSPQDYIFKIGDGVESQCTSGFTAMDIPPPRGPLWILGDIFMGPYHTVFDYGKARVGFAKAA >fgenesh2_kg.6__3229__AT4G04480.1 pep chromosome:v.1.0:6:22388243:22389445:1 gene:fgenesh2_kg.6__3229__AT4G04480.1 transcript:fgenesh2_kg.6__3229__AT4G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQVSRLVLSSSSSSSKAAVSIPKLPKFHVSVPKIPTLSVKEPSFEFVESLPLSLKQRRGSESIQRERLNAVLEEVMDRIEMHKNIGDQRNNWNSLLLNSVNMITLTAALMAGIASVNGHGVDSVTAVKIASTVLLTSATGFAALMSKIQPSQLAEEQRNATRLFKKLRVEIEKFLRENEEIEEEDVKEAIKKVLSLDKAYPLPLIGTMLEKFPEEFKPATWWPENKRENPKSVLANGWSQELQTEMREVARVVKSRDAEEYEKLGNVALKLNRFLAISGPVLTGVSAVSSVFIGQDSGLAGIVAMTCASLAAVVNTLEHGGQVGMVFEMYRNSAGFFSLLEETMKSTEKRENGQVFETRVAVKLGRSLSELRDLAKRSNLSQVEANEFASKLF >fgenesh2_kg.6__3232__AT4G04510.1 pep chromosome:v.1.0:6:22397592:22400227:1 gene:fgenesh2_kg.6__3232__AT4G04510.1 transcript:fgenesh2_kg.6__3232__AT4G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M1R1] MKNSAAIFLTSSIILLLQTLHGVKAGFVCVGSSFPTNSSYHENRDSLFSTLSDKVTTNGGFYNASLDGVHVLGLCRRDYERQGCINCVEKSIRQIKRSCSNRVESYHWNSDDGERVSCLVRTTNRSTYRILELGPATNDPNPVVIDTSAKNMTLFRQEWEAMVDRTLEAVTIDTSTTVLKHYGAVKAEFTEFPNVYMMMQCTPDITSGACKRCLQESVTYFRDQSWGRQGGGICRPSCVFRWDLYAFYGAFANVTRIPAPPRALIPRAEAISITRLKGGIIAIFVVPIVINLLVFIGLIRAYSRIRKSNKQFNEQQYNSGGQSTLRFDFSMILIATDDFSFENKIGQGGFGSVYKGKLPGGQEIAVKRLTRGSGQGEIEFRNEVLLLTRLQHRNLVKLLGFCNEGDEEVLVYEFVPNSSLDHFIFDEEKRLLLTWDMRSRIIEGVARGLVYLHEDSQLRIIHRDLKASNILLDAYMNPKVADFGMARLFNMDQTRAVTRKVVGTFGYMAPEYVRNRTFSVKTDVYSFGVVLLEMITGRSNKNYFEALGLPAYAWKCWVAGEAASIIDHVLSRSRRNEIMRFIHIGLLCVQENVAKRPTMSLVIQWLGSETITIPLPTAAGFTDASNQAEDEAGTLSLNELSITELSPR >fgenesh2_kg.6__3241__AT4G04670.1 pep chromosome:v.1.0:6:22469588:22474275:1 gene:fgenesh2_kg.6__3241__AT4G04670.1 transcript:fgenesh2_kg.6__3241__AT4G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEKRKAATLASIRSSVTDKSPKGFLDEPIIPLLETINHHPSYFTTSSCSGRISILSQPKPKSNDSTKKKARGGSWLYITHDPADSDLVISLLFPSKSNQIDPIDQPSELVFRFEPLIIAVECKDLGSAQFLVALAISAGFRESGITSCGDGKRVIIAIRCSIRMEVPLGDTEKLMVSPEYVKFLVDIGNEKMDANRKRTDGFSVALTSNGFKNPDANDVDEDDNYENLAGDHDSSINNGNLHPGLQQDLIPLSKLSIVGEPVEKLHLWGHSACTIDKPDRKEVIVFGGFGGFGRHARRNESLLLDPSCGNLKLIAVNESPSARLGHTASMVGDFMFVIGGRADPLNILNDVWRLDISKGEWSSQRCIGSEFPPRHRHAAASVGTKVYIFGGLYNDKIVSSLHILDTKDLQWKEVEQQGQWPCARHSHAMVAYGSQLFMFGGYNGENVLNDLYSFDVQSCSWKLEVISGKWPPARFSHSMFVYKHNTGIIGGCPVSQNCQELTLLDLKHRLWRSVRLEFMNKELFVRSTASVLGDDLIVIGGGAACYAFGTKFSEPVKTNLVQSVTMSENNVPLQPEDVSIEWNKNDADLKTEMSLSQPWVIQLERKYAKFGKDLLKSFGWLDLERKVYSNEKGLCICFPVTEKFSELFHEKQLLGKDLEGSEDDSLEKGLSLKDISSSAALNLLKEHGAKKLINVAFEAKKVAKSPLQRMREYITSILKQKGLPEELLDELPQKWERLGDIVVLPATSFKDPTWNSISDEVWCAVSKSLSANRLARQGRVEPNGTRDSTLEILVGDNGWVDHCENGILYSFDATKCMFSLGNLSEKLRMGNMACENEVVVDLFAGIGYFVLPFLVRAKARLVYACEWNPHAIEALRRNVEANSVSDRCIILEGDNRITAPKGVADRVNLGLIPSSEGSWVTAIQALRPEGGILHVHGNVKDSDESSWGEHVTKTLSDIARAEGRSWEVTVEHIEKVKWYAPRIRHLVADVRCRC >fgenesh2_kg.6__3243__AT4G04710.1 pep chromosome:v.1.0:6:22487864:22490155:-1 gene:fgenesh2_kg.6__3243__AT4G04710.1 transcript:fgenesh2_kg.6__3243__AT4G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSKPLTASDIDGKQETILGKPLEDIKKLYSFEGELGKGNFGTTYLCKENSTGKSYACKSILKRTLSSEEEKEAVKTEIQIMDHVSGEPNIVQIKGSYEDKNSIHIVMEFCGGGELFDKIDALVKSHSYYSEKDAAGIFRSIVNAVKICHSLDVVHRDLKPENFLFSSKDENAMLKAIDFGCSVYIKEGQTLERIVGSKYYIAPEVLEESYGKEIDIWSAGVILYILLSGLKLNTLRCVLLCNCVYSCIVDAEIKEGRLDFESQPWPLITFKAKHLIGKMLTKNPKERISAADVLEHPWMKSEAPDQPIDNVVLSRMKQFRAMNKLKKLALKVIAEGLSEEEIKGLKTMFENMDTDKNGSITYEELKTGLNRHGSKLSETEVRQLMEAADVDGNGTIDYIEFISATMQRHRLERDEHLHKAFQHFDKDNSGYITKDELEIAMKEHGMGDEANAKEIISEVDKNNDGKIDYEEFCTMMRNGNLQPQGKLLGVH >fgenesh2_kg.6__3244__AT4G04720.1 pep chromosome:v.1.0:6:22491373:22494638:-1 gene:fgenesh2_kg.6__3244__AT4G04720.1 transcript:fgenesh2_kg.6__3244__AT4G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 21 [Source:UniProtKB/TrEMBL;Acc:D7M1T2] MGCFSSKHRKTQNDGGERTIPINPVQTHVVNQVPEHRKPQTPTPKPMNQPILQQISTPSSNPISVRDPETILGKPFEDIRKFYSLGKELGRGQFGITYMCKEIGTGNTYACKSILKRKLISKQDKEDVKREIQIMQYLSGQPNIVEIKGAYEDRQSVHLVMELCAGGELFDRIIAQGHYSERAAAGIIRSIVNVVQICHFMGVVHRDLKPENFLLSSKEENAMLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRSYGKEIDIWSAGVILYILLSGVPPFWAENEKGIFDEVIKGEIDFETQPWPSISESAKDLVRKMLTKDPKRRITAAQVLEHPWIKGGEAPDKPIDSAVLSRMKQFRAMNKLKKLALKVIAESLSEEEIKGLKTMFANIDTDKSGTITYEELKTGLTRLGSRLSETEVKQLMEAADVDGNGTIDYYEFISATMHRYKLDRDEHVYKAFQHFDKDNSGHITRDELESAMKEYGMGDEASIKEVISEVDTDNDGRINFEEFCAMMRSGTTQPQGKLLPFH >fgenesh2_kg.6__3245__AT4G04745.1 pep chromosome:v.1.0:6:22512879:22513360:-1 gene:fgenesh2_kg.6__3245__AT4G04745.1 transcript:fgenesh2_kg.6__3245__AT4G04745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMVKNSPYEYTKMEKEDPQELIHSRAQFLIQKVLERADNKTRQQQQRRRLSGPLIMIRVVGIRMRIGKKLRKLRKSNSCVCNNLISRFLKSFKRFLCSSSSSSSSRTISDLPPLFSLQV >fgenesh2_kg.6__3247__AT4G04760.1 pep chromosome:v.1.0:6:22526495:22529914:1 gene:fgenesh2_kg.6__3247__AT4G04760.1 transcript:fgenesh2_kg.6__3247__AT4G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7M1T7] MAEEGFLLPASPNESSSSSFSSLVSEISNACTRPFVLAFTVGSCGAFAFGCIIGYSAPTQTSIMKDLNLSIADAIFTIWVDIDGGVNPWSINLWETIWITNILFLIGWFAIAFAKGVWLLDLGRLLQGISIGISVYLGPVYITEIAPRNLRGAASSFSQLFAGVGISVFYALGTVVTWRNLAILGSIPSLMVMPLLFFIPESPRWLAKVGREKEVEAVLLSLRGAKSDVTDETAEILEYTEHVKQQQDVDGGFFTLFQRKYAFSLTIGVVLIALPQLGGLNGYSFYTDSIFTSTGVSSDFGFISTSVVQMFGGILGTVLVDVSGRRFPSWNVLGLSCHSHFILLEGMLTIPSTPLNRNLILIFLNWTENHCWETGTPVLALISVMVYFGSYGSGMGSIPWIIASEIYPVDVKGAAGTMCNLVSSISSWLVAYSFSFLLQWSSTGTFLMFATVAGLGFVFIAKLVPETKGKSLEEIQSLFTDSPQDSTIL >fgenesh2_kg.6__3249__AT4G04790.1 pep chromosome:v.1.0:6:22535010:22539470:-1 gene:fgenesh2_kg.6__3249__AT4G04790.1 transcript:fgenesh2_kg.6__3249__AT4G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSKANKSLLSSVFKSRIRNTGESSIASGNKDIAGTSQAVKDLLCSKYSSSDLEEAPLQDRVSNLLHVTTSEKSSLEKNLVLKIPSFTPKIPYDISLRSKELSPERKERRVYKKNGLSRRFAKIFRDSAQKLGTEAMFGAFDRVAKEMSVTEYNAMIGVYLEHAEKSNDLDYALGHIEKAFELLKSMRDRGFLIEERVYGPILGYLIDMDMVDEFQSFKDVIREASPGSVERLGYYEMLLWIQLGDGEKIEELCDTIDGDNRESLSILQENYLLALCKKDQTYHLERLLGIVDITNVQSSDLWTNIFEYLGRFSLDSVAGKFLWELRESDEGVTNVSELISIYSTCTPNPTVEDTILKFNKMHEELDVMPSSTSYEKLVKYSCDSNEVVTALEIVEKMGEAGLIISADILHSLLHAIDEVLEFDLVRRIHSIMCTKSVKPNTENFRSIIRLCTRIKDFEGAYNMLGNLKNFNLEPNSSMFNCILAGYFREKNVCRALMVVKQMKEAGVKPDSITFGYLINNCNREDAITKYYDEMKQAGVQATKRIYMSLIDAYAASGKFEKAKQVLVDPDVPAINQNELKSVLISALASRGKWADALHIYEEMRKAECHVDPKSIISLIEYSDSNGELSTLVQLADDLQDDTSWIDGFFRMILFAVRNRKSRNIVDLLKQNKIRLLKKDLPVETHFDEVFWAIAETEPTKVQLGMDLLRFMKDELGFVPSRKCLDFLLHACVNAKDLEHGLLVWKEYQSAALPCNVLSFLRMYQVLLAAGDSEGAKALVSKIPKDDKDVQHIIEESQSAFSQAPNKKKPKKKTIVSSRK >fgenesh2_kg.6__3252__AT4G04830.1 pep chromosome:v.1.0:6:22543209:22544347:1 gene:fgenesh2_kg.6__3252__AT4G04830.1 transcript:fgenesh2_kg.6__3252__AT4G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine sulfoxide reductase domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M1U2] MAPASALVVQKTEEEWRAVLSPEQFRILRQKGTEKPGTGEYDKFFEEGIFSCVGCKTPLYKSVTKFDSGCGWPAFFEGLPGAINRTPDPDGRRTEITCAACDGHLGHVFKGEGYGTPTDERHCVNSVSISFNPAKPSSVI >fgenesh2_kg.6__3253__AT4G04860.1 pep chromosome:v.1.0:6:22564491:22566614:1 gene:fgenesh2_kg.6__3253__AT4G04860.1 transcript:fgenesh2_kg.6__3253__AT4G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin [Source:UniProtKB/TrEMBL;Acc:D7M1U6] MAQAVEEWYKQMPIITRSYLTAAVITTVGCSLDIISPYNLYLNPTLVVKQYQYWRLVTNFLYFRKMDLDFMFHMFFLARYCKLLEENSFRGKTADFLYMLLFGASVLTGIVLLGGMIPYLSASFAKIIFLSNSLTFMMVYVWSKQNPYIHMSFLGLFTFTAAYLPWVLLGFSILVGASAWVDLLGMIAGHAYYFLAEVYPRMTNRHPLKTPSFLKALFADEPVVVARPENVRFAAAPFDEIHQD >fgenesh2_kg.6__3256__AT4G04885.1 pep chromosome:v.1.0:6:22589859:22594049:1 gene:fgenesh2_kg.6__3256__AT4G04885.1 transcript:fgenesh2_kg.6__3256__AT4G04885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEKILNPRLLSINSSTSSRKGMSVELPQKPPPPPSLLDRFKALLNQREDEFGGSEEVLPPSMDEIVQLYEVVLGELTFNSKPIITDLTIIAGEQREHGEGIANAICTRILEAPVEQKLPSLYLLDSIVKNIGRDYVRYFSSRLPEVFCLAYRQAHPSLHPSMRHLFGTWSSVFPPPVLRKIEMQLQLSSAAHQSSLGASEPSQPTRGIHVNPKYLRRLEPSAAENNLRGINSTARVYGQNSGGYDDIEDRLESPSSLSSTAEGFPRRFNDGANPSNQAFNYGMGRATSRDDEHMEWRRKENLGQGNDHDRPRALIDAYGVDTSKHVTINKPIRDMNGIHSKMVTPWQNTEEEEFDWEDMSPTLDRSRAGEFLRSSVPALGSVRARPRVGNTSDFHLDSDIKNGVSHQLRESWNLSQNFPHSSNHVNTRAGKDLKVMASSVGLVSSNSDFGPPPFDSIQDVNSRFGRALPDGTWSHLNVRGPNSLPVPSAHLHHLANPGNAMSNRLHGKPLYRPENQVSQSHHNDLTQQNQMLVNYLPSSSAMAPRPIQSLLNHVSHGYPPHGSTIRPSLSIQGGEAMHPLSSGVLSQIGSSNQPPGGAFSGLIGSLMAQGLISLNNQPAGQGPLGLEFDADMLKIRNESAISALYGDLPRQCTTCGLRFKCQEEHSKHMDWHVTKNRMSKNHKQNPSRKWFVSASMWLSGAEALGAEAVPGFLPAEPTTEKKDEEEMAVPADEDQTSCALCGEPFEDFYSDETEEWMYKGAVYMNAPDESTTDMDKSQLGPIVHAKCRPESNGGDMEEGSQRKKMRS >fgenesh2_kg.6__325__AT5G04130.1 pep chromosome:v.1.0:6:1330447:1336795:-1 gene:fgenesh2_kg.6__325__AT5G04130.1 transcript:fgenesh2_kg.6__325__AT5G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA gyrase subunit B [Source:UniProtKB/TrEMBL;Acc:D7LXF8] MALLQRASYLRLRLMASRPRLFSSSLSPAFHRHSSFLSSPPFSSPSPSFRLKFQFTNVLNQRLIQRNAVSSRFLSTEASQETTTSKGYSSEQIQVLEGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNAIDEAQAGFASKVDVVLHADGSVSVVDNGRGIPTDLHPATKKSSLETVLTVLHAGGKFGGTSSGYSVSGGLHGVGLSVVNALSEALEVTVWRDGMEHKQNYSRGKPITTLTCRVLTLESERTKGTSIRFWPDKEVFTTAIEFDHNTIAGRIRELAFLNPKVTISLKKEDDDPEKNQYSEYYFAGGLTEYVSWLNTDKNPIHDVLGFRREINGATVDVALQWCSDAYSDTMLGYANSIRTIDGGTHIEGVKASLTRTLNALAKKSKTVKEKDISLSGEHVREGLTCIVSVKIPNPEFEGQTKTRLGNPEVRKIVDQSVQEYLTEFLELHPDVLESIVSKSLNAYKAALAAKRARELVRSKSVLKSSSLPGKLADCSSTDPEASEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERKDEAAMYKNEEIQNLILGLGLGVKGEDFKKENLRYHKIIILTDADVDGAHIRTLLLTFFFRYQRALFDAGCIYVGVPPLFKVERGKHAHYCYDDADLKKITSNFPANASYNIQRFKGLGEMMPEQLWETTMNPETRILKQLVVDDIAEANMTFSSLMGARVDVRKELIKNAATRINLQRLDI >fgenesh2_kg.6__3260__AT4G04920.1 pep chromosome:v.1.0:6:22615236:22622080:1 gene:fgenesh2_kg.6__3260__AT4G04920.1 transcript:fgenesh2_kg.6__3260__AT4G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQHPEEVSLGGGNIEAPATVEEEKEQVQHKQEETESTDPIVVVAEEKSADGEKEDDNTSTSNMEIDPVSPATVFCVKLKQPNSDLLHKMSVPELCRNFSAVAWCGKLNAIACASETCARIPSSKANTPFWIPIHILIPERPTECAVFNVVADSPRDSVQFIEWSPISCPRALLIANFHGRITIWTQPTQGSANLVHDATSWQCEHEWRQDIAVVTKWLAGASPYRWLSSKPSSGTNAKSTFEEKFLSQSSESSARWPNFLCVCSVFSSGSVQLHWSQWPSNQGCTAPKWFSTKKGLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTIVVWEVTPGPGNGLQATPKISTGSRVPPSLSSSSWTGFAPLAAYLFSWQEYLISEIKQGKKPTDQDSSDAISLSCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTRGGSVIAVVIVEGQYMSPYDPDEGPSITGWRVQRWESSVQPVVLHQIFGNPTSNFGGQVPTQTVWVSRVDMSIPPTKDFKNHQVAAAGPSVDAPKEPDSGDEKANKVVFDPFDLPSDIRTLARIVYSAHGGEIAIAFLRGGVHIFSGPTFSPVENYQINVGSAIAAPAFSPTSCCSASVWHDAAKDCAMLKIIRVLPPALPRNQSKVDQSTWERAIVERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVMDADFHSLPSTQHRQQYGPNLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALVNPSALVFEPWRVDGETITGINPEAMAVDPALVSSIQAYVDAVLDLASHFITRLRRYASFCRTLASHAASAGTGSNRNIGTSPTQNASSPATPQVFPDKSLYHAAGQPTTTTTNFSGSTQAWMQGAIAKISSSNDGVSNSTANPISGSPTFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFLQRSSRFPQRNADVSSQKLQTGATSKLEEVNSAKPTPALNRIEEAQGFRGAQLGAGVKGIDENSARTTKMGSGNAGQGYTYEEVRVLFHILMDLCKRTSGLAHPLPGSQVGSGNIQVRLHYIDGNYTVLPEVVEAALGPHMQNMPRPRGADAAGLLLRELELHPPSEEWHRRNLFGGPGSEPEDMVLTDDASKLSNSVDLPDTSFSGICDGYNRVHRIWPRKRRMSERDAAFGSNTSVGLGAYLGIMGSRRDVVTATWKTGLDGVWYKVTLIHFP >fgenesh2_kg.6__3261__AT4G04940.1 pep chromosome:v.1.0:6:22627305:22633423:-1 gene:fgenesh2_kg.6__3261__AT4G04940.1 transcript:fgenesh2_kg.6__3261__AT4G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7M1V5] MGIFEPFRAIGYITSTVPFSVQRLGTETFVTVSVGKAFQIYNCAKLNLVIISPQLPKKIRALASYRDYTFAAFGNEIAVFKRAHQVATWSKHVAKVDLLLLFGEHVLSLDVEGNMFIWAFKGIEEHLSPIGNLQLTGKFTPSSIVHPDTYLNKVLVGSQEGPLQLWNINTKKMIYEFKGWGSSVSSCVSSPALDVVAIGCADGKIHVHNIKLDEEIVTFEHASRGAVTALSFSTDGRPLLASGGSFGVISIWNLNKKRLQSVIRDAHDSSIISLNFLANEPVLMSASADNSLKMWIFDTNDGDPRLLRFRSGHSAPPLCIRFYSNGRHILSAGQDRAFRLFSVIQEQQSRELSQRHISRRAKKLRLKDEELKLKPVVSFDCAEIRERDWCNVVTCHMDTAEAYVWRLQNFVLGEHILKPCPENPTPIKACAISACGNFAVVGTAGGWIERFNLQSGISRGSYFDMSEKRRYAHDGEVIGVACDSTNTLMISAGYHGDIKVWDFKKRELKSQWDIGCSLVKIVYHRVNGLLATVADDFVIRLYDVVALKMVREFRGHTDRVTDLCFSEDGKWLLSSSMDGSLRIWDVILAKQIDGVHVDVPITALSLSPNMDVLATAHSDQNGVYLWVNQSMFSGLPSVESYASGKDVVNVKLPSVSALTSSEADDDDMERQVLENSGALQASSFSISHKQIPELVTLSLLPKSQWQSLINLDIIKARNKPIEPPKKPEKAPFFLPSIPSLSGDILFKSNDSEADGENEEKNKKDQNSMKNFDALESPFSKLLKSSWDSKHFLDFTNYIKTLSPSALDMELRMLEIIDEDIEEELIKRPEFISIGQLLDYFINEVSCKNDFEFMQAVVRLFLKIHGETIRCHPSLQEKAKKLLETQSLVWQKMEKLFQSTRCIVTFLSNSQF >fgenesh2_kg.6__3274__AT4G04955.1 pep chromosome:v.1.0:6:22678047:22680999:-1 gene:fgenesh2_kg.6__3274__AT4G04955.1 transcript:fgenesh2_kg.6__3274__AT4G04955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLLQWRLLPLLALIAALFSIFFASPRSLQGNNRCSLLPHDHYWISSKRIVTPDGLISGSVEVKGGIIVSVVKEVDWHKSQRSRVKVIDYGEAVIMPGLIDVHVHLDDPGRSEWEGFSSGTKAAAAGGITTLVDMPLNSYPSTVSPETLKLKIEAAENRIHVDVGFWGGLVPDNALNASALESLLDAGVLGLKSFMCPSGINDFPMTNITHIKEGLSVLAKYRRPLLVHAEVEKDLEIEDGNDNDPRSYLTYLKTRPTSWEEGAIRNLLSVTENTRIGGSAEGAHVHIVHLSDASSSLDLIKEAKGKGDSVTVETCPHYLAFSAEEIPKGDTRFKCSPPIRDATNREKLWEAMMEGDIDMLSSDHSPTKPELKLLSDGNFLKAWGGISSLQFVLPITWSYGKKYGVTLEQVASWWSDRPSKLAGLHSKGAITVGKHADLVVWEPEAEFDLDEDHPIHFKHPSISAYLGRRLSGKVVSTFVRGNLVFGEGKHASDACGSLQLAT >fgenesh2_kg.6__3275__AT4G04960.1 pep chromosome:v.1.0:6:22696933:22699130:1 gene:fgenesh2_kg.6__3275__AT4G04960.1 transcript:fgenesh2_kg.6__3275__AT4G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLFLLTLFLILPNPISAIDFVFNGFNDSSSNVSLFGIATIESKILTLTNQTSFATGRALYSKIIRTKDPITSSVLPFSTSFIFTMAPFKNTLPGHGIVFLFAPTTGINGSSSAQHLGLFNLTNNGNPSNHIFGVEFDVFANQEFSDIDANHVGIDVNSLHSVYSNTSGYWSDNGEFKPLKLNDGRNYQVWIDYRDFIVNVTMQVAGKIRPKTPLLTTSLNLSGVVEDEMFVGFTAATGRLVQSHKILAWSFSNSNFSLSDSLITTGLPSFVLPKDSILKAKWFVFVLALICFLVVAVVGLVLFAVVRKRLEKARKRALMEDWEMEYWPHRIPYEEIESGTKGFDEKNVIGIGGNGKVYKGLLQGGGVEVAVKRISQESSDGMREFVAEISSLGRLKHRNLVSLRGWCKKEIGTFMLIYDYMENGSLDRWIFENDQKKSTLSCEERIRILKGVASGILYLHEGWESKVLHRDIKASNVLLDRDMIPRLSDFGLARVHGHEQAVRTTRVVGTAGYLAPEVVKTGRASTQTDVFAYGILVLEVMCGRRPIEEGKKPLMDWVWGLMERGEILNGLDPQMMMTQAIDEAERVLQLGLLCAHPDPAKRPSMRQVVQVFEGDKAEIFEADSSEDVESWMLKKMGSGGNSLEFWYGSSSHPTIEQIRLQSLSVSLSSWNSSILEGR >fgenesh2_kg.6__3276__AT4G04970.1 pep chromosome:v.1.0:6:22701948:22707917:1 gene:fgenesh2_kg.6__3276__AT4G04970.1 transcript:fgenesh2_kg.6__3276__AT4G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQRPSVATARDAPSLEVYNIIPIHDFLTEHPSLRYPEVRAAAAALRIVGDLPKPPFADFTPRMDLMDWLGLLFGFQIDNVRNQRENLVLHLANSQMRLQPPPRHPDGLDPTVLRRFRKKLLRNYTNWCSFLGVRCHVTSPTQSRHQTNAVLNLRRELLYVALYLLIWGESANLRFMPECLCYIFHHMAMELNKVLGGEFDDMTGMPYWPSFSGDCAFLKSVVMPIYKTIKTEVESSNNGTKPHSAWRNYDDINEYFWSKRALKSLKWPLDYTSNFFDTTPKSSRVGKTGFVEQRSFWNVYRSFDRLWILLLLYLQAAIIVATSDVKFPWQDRDVEVALLTVFISWAGLRLLQSVLDASTQYSLVSRETYWLFIRLILKFVVAVAWTVLFSVFYARIWSQKNKDGVWSRAANERIVTFLKVVFVYIIPELLALVLFIVPWIRNWVEELNLGVVYFLTWWFYSKTFVGRGMREGLVDNVKYTIFWIIVLATKFIFSYYLQIRPLIAPTRALLNLKNATYNWHEFFGSTHRIAVGMLWLPVILIYLMDLQIWYSIYSSFVGATIGLFSHLGEIRNIDQLRLRFQFFSSAMQFNLKPEEHLLSPKATMLKKARDAIHRLKLRYGIGQPFNKIESSQVEATWFALIWNEIILTFREEDLISDREVELLELPPNCWNIRVIRWPCFLLCNELLLALSQANELCDAPDHWLWSKICSSEYRRCAVMEAFDSIKFVILRIVKNGTEEESILNRLFMEIDENVENEKITEVYKLTVVLRIHDKLIALLERLMDPEKKVFRIVNLLQALYELCAWEFPKTRRSTAQLRQLGLAPISLDADTELLFVNAINLPPLDDVVFYRQIRRVHTILTSRDPMHNVPKNIEARERLAFFSNSLFMNMPQAPSVEKMMAFSVLTPYYDEEVMYRQEMLRAENEDGISTLFYLQKIYEDEWVNFVERMRREGAENENDIWSKKVRDLRLWASYRGQTLSRTVRGMMYYYSALKKLAFLDSASEMDIRMGTQIAPEPRRSYYTNDGGDNTLQPTPSQEISRMASGITHLLKGSEYGSAMMKFTYVVACQVYGQHKARGDHRAEEILFLMKNHEALRIAYVDEVDLGREVEYYSVLVKFDQHLQREVEIYRIRLPGPLKLGEGKPENQNHALIFTRGDAIQTIDMNQDNHFEEALKMRNLLESFKTYYGIRKPTILGVREKVFTGSVSSLAWKRVLANPLKVRMHYGHPDVFDRFWFVPRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQALSRDVYRLGHRLDFFRMLSFFYTTVGYYFNTMLIVFTVYAFLWGRLYLALSGVEKIAKDRSSSNEALGAILNQQFIIQLGLFTALPMILENSLERGFLPAIWDFITMQLQLASFFYTFSLGTRTHYFGRTILHGGAKYRATGRGFVVEHKKFAENYRLYARTHFIKAIELAIILLVYAAYSPLAKSSIVYILMTISSWFLITSWIISPFLFNPSGFDWLKTVNDFDDFIAWLWSRGGLFTKADQSWFTWWNEEQEHLKTTGVWGKLLEIILDLRFFFFQYSIVYHLRIAENRTSIGVYLVSWGCIIGITAIYITTIYAQKRYSVKEHIKYRFIQFLVIVLTVLVVVMMLQFTKLTVVDLLISLLAFVPTGWGLISIAQVLKPFLLSTVVWDTVISVARFYDLFFGLIVMAPVALLSWLPGFQNMQTRILFNEAFSRGLQISIILAGKKST >fgenesh2_kg.6__3277__AT4G04980.1 pep chromosome:v.1.0:6:22711948:22714487:-1 gene:fgenesh2_kg.6__3277__AT4G04980.1 transcript:fgenesh2_kg.6__3277__AT4G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLQKLSPEIVTINQSFEMEGADMDKMLIFFYEDLRAIGDSWIMDSDWIYRSKYKNSGVGKNKSDRLVEHVLAALDGLIKTTRERFGMMDLESEGRKSFTPKGVSSEARRSFTRSASYSESNNSFYPSPLTPRSVLPGTMLLSSNSTSPSLWNLRAQALDRLSPVDLKRFAMQILSQRDSESVNETKIGIEEENEESEILAEEKEEEDNDFSVLETEHHREGSGTEHEIEGSETEHEIEAKDSSEGCETEHHIEGFETEHEIETEDHKYSQKEDLPPPPPLPSPQTPSPTSEPEHKAPAPPPPPPMSKASESGEFCQCPKLQSTNGDNAPSMPAPPAPPGSGRSLKKATSKLRRSAQIANLYWVLKGKLEGRGVEGKTTKASKGKKNVAEKSPVKGARSGMADALAEMTKRSSYFQQIEEDVQKYAKSIEELKSTIHSFQTKDMKELLEFHSKVESILEKLTDETQVLARFEGFPEKKLEVIRTAGALYKKLDGILVELKNWKIEPPLNDLLDKIERYFNKFKGEIETVERTKDEDAKMFQRHNINIDFQVLVQVKETMVDVSSNCMELALKERREANEEAKNSEESKMSNMKEERAKRLWRAFQFAFKVYTFAGGHDERADCLTRQLAHEIQTEPDQTESSIMS >fgenesh2_kg.6__3278__AT4G04990.1 pep chromosome:v.1.0:6:22718462:22719890:1 gene:fgenesh2_kg.6__3278__AT4G04990.1 transcript:fgenesh2_kg.6__3278__AT4G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSRTWIISSGLVGAISLMLRSVLNFSFTGARFFLPTNLHPIFIISGIIFALAASSSLFGNGSDSPATNYHHDDDTYHYEQDHHHDQDHDRGRDQDRERYSNNSSNSSFDQYNNKVHEKEKFSAVRSSERGGSYGVSSPEIRFPSTAPEKPVGLRRPPTAPVKTFRQDNTSGDDSETMEEMWERVKAEKQPKKPANSLQNHVISRGDTKMSTSSWPLPSLSPSRTRRPTPSLSSLSPSSSRARKPPSSPARPGKKLMERIPSWVKLKKELSMGREELNSRVEAFITKFKDEMKLQRLESVRRYKSFRGDNDEK >fgenesh2_kg.6__3279__AT4G05000.1 pep chromosome:v.1.0:6:22728970:22730342:1 gene:fgenesh2_kg.6__3279__AT4G05000.1 transcript:fgenesh2_kg.6__3279__AT4G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 28 homolog [Source:UniProtKB/TrEMBL;Acc:D7M1W9] MEVKLWNDKRERDMYENFAELFAIIKATEKLEKAYIRDLINPKEYESECQKLIVHFKTLSATLKDTVPNIERFADTYKMDCPAALYRLVTSGVPATVEHRATVTASTSNSASIVAECVQNFITSMDSLKLNMVAVDQVYPLLSDLSASLNKLSILPPDFEGKTKMKEWLSRLSKMGAADELTEQQSRQLHFDLESSYNSFMAALPKAGN >fgenesh2_kg.6__327__AT5G04140.1 pep chromosome:v.1.0:6:1338300:1346808:1 gene:fgenesh2_kg.6__327__AT5G04140.1 transcript:fgenesh2_kg.6__327__AT5G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-dependent glutamate synthase 1 [Source:UniProtKB/TrEMBL;Acc:D7LXF9] MAMKSLSPIPKLLSTTPSSVLSSDKNFFFVDFVGLYCKSKRTRRRLRGDSSSGSSSSSLSRLSSVRAVIDLERLNGVSHKDLSSTSLLKPQVANLEDILSERGACGVGFIANLDNIPSHGVVKDALIALGCMEHRGGCGADNDSGDGSGLMSSIPWDFFNVWAKEQGLSPFDKLHTGVGMIFLPQEDTFMQEAKQVIENIFEKEGLQVLGWREVPVNVPIVGKNARETMPNIQQVFVKIAKEDSTDDIERELYICRKLIERAVATESWGTELYFCSLSNQTIVYKGMLRSEALGLFYLDLQNELYESPFAIYHRRYSTNTSPRWPLAQPMRFLGHNGEINTIQGNLNWMQSREASLKSSVWNGRENEIRPFGNPRGSDSANLDSAAEILIRSGRTPEEALMILVPEAYKNHPTLSVKYPEVIDFYDYYKGQMEAWDGPALLLFSDGKTVGACLDRNGLRPARYWRTSDNVVYVASEVGVVPVDEAKVTMKGRLGPGMMIAVDLVNGQVYENTEVKKRISSFNPYGKWIKENSRFLKPVNFKSSTVMENEEILRSQQAFGYSSEDVQMVIESMASQGKEPTFCMGDDIPLAGLSQRPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILELGPENASQVILSNPVLNEGTLEELMKDTYLKPKVLSTYFDIRKGVEGSLQKALYSLCEAADDAVRSGSQLLVLSDRSDSLEPTRPAIPIMLAVGAVHQHLIQNVSFVCSEFSKFVPANAVCPYLALETCRQWRLSNKTVAFMRNGKIPTVTIEQAQKNYTKAVNAGLLKILSKMGISLLSSYCGAQIFEIYGLGKEVVDLAFTGSVSKISGLTFDELARETLSFWVKAFSEDTTKRLENFGFIQFRPGGEYHSNNPEMSKLLHKAVREKSETAYAVYQQHLSNRPVNVLRDLLEFKSDRAPIPVGKVEPAVSIVQRFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWKPLTDVVDGYSSTLPHLKGLQNGDIATSAIKQVASGRFGVTPTFLVNADQLEIKVAQGAKPGEGGQLPGKKVSAYIARLRSSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQINPNAKVSVKLVAEAGIGTVASGVAKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLTETHQTLIENGLRERVILRVDGGLKSGVDVLMAAAMGADEYGFGSLAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLYVAEEVRGILAQLGYSKLDDIIGRTELLKPRDISLVKTQHLDLSYLLSSVGTPSLSSTEIRKQEVHTNGPVLDDDILADPLVIDAIENEKVVDKTVKICNVDRAVCGRVAGVIAKKYGDTGFAGQVNLTFLGSAGQSFGCFLIPGMNIRLIGESNDYVGKGMAGGEIVVTPVDTIGFVPEEATIVGNTCLYGATGGQIFARGKAGERFAVRNSLAEAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYLLDEDDTLLPKINREIVKMQRVTAPAGELQLKSLIEAHVEKTGSSKGATILNEWDKYLPLFWQLVPPSEEDTPEASAAYVRTATGEVTFQSA >fgenesh2_kg.6__3282__AT2G07110.1 pep chromosome:v.1.0:6:22740410:22740997:1 gene:fgenesh2_kg.6__3282__AT2G07110.1 transcript:fgenesh2_kg.6__3282__AT2G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M1X1] FFLSFLNGIHWTLSFICSGDTKVLAAQYGLKASYGSHKPDRLVIVNAFSFSSGGVFCCLRKVEREYETILKRTLQNICVLTVVPNTTTSVIIQVVHDGGSVSFLCSLHLGKHLLMLSETHIIRYKQCLFEYL >fgenesh2_kg.6__3289__AT4G02890.3 pep chromosome:v.1.0:6:22758333:22759651:-1 gene:fgenesh2_kg.6__3289__AT4G02890.3 transcript:fgenesh2_kg.6__3289__AT4G02890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >fgenesh2_kg.6__3290__AT4G05060.1 pep chromosome:v.1.0:6:22760033:22761874:-1 gene:fgenesh2_kg.6__3290__AT4G05060.1 transcript:fgenesh2_kg.6__3290__AT4G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane family protein [Source:UniProtKB/TrEMBL;Acc:D7M1X8] MALTEEKSGSDGRRRGKFKLPFRNSNAQATSSSSASSMATSSATSSHLNQNYIHQSRHFQYHGPPVVEGLGQNHHQPPPRSLLCHLSRDHFARSLLPLDPSAKLYPSAKLYLPYEPGKQVRSAIKIKNTSKSHVAFKFQTTEPKSCFMRPAGAILSPGEEIIATVFKFVEPPENNEKPMEQKSGVKFKIMSLKMKVPTDYMPELFEEQKDHVSEEQVMRVVFLDPENPNSMMEKLKSQLAEADAADEARKKASEGIVGPKPIGEGLVIDEWKQRRERYLAQQQGGVDAA >fgenesh2_kg.6__3291__AT4G05070.1 pep chromosome:v.1.0:6:22763581:22764110:-1 gene:fgenesh2_kg.6__3291__AT4G05070.1 transcript:fgenesh2_kg.6__3291__AT4G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKSVTGSSGGDTTTTLRSAVKESVSSPQSVSSSSSVRRLKGDLESSRFGAAASERLRQAEESLRTVMFLSCWGSC >fgenesh2_kg.6__3292__AT4G05090.1 pep chromosome:v.1.0:6:22780455:22783124:1 gene:fgenesh2_kg.6__3292__AT4G05090.1 transcript:fgenesh2_kg.6__3292__AT4G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7M1Y2] MYILDTGARFSAVRFSPSPTPLSRRYFIVRTNLPFPKHQAKYHKELEVAIDAVDRACRLCVDVKRSLFSSKEKIVEKNDQTPVTIADFGVQALVSLELSKVFPSIPLVAEEDSHFVRANNLVSSVVSEVKSKASIGDNELSDADVLEAIDRGGKGAYAFCNKPATYWVLDPIDGTRGFLKGDDALYVVGLALVVDNEIVLGVMGCPNWPEEISDGSTGTLMLSHIGCGTWTKRLQNVSGKVTGDWTRCFVDACVLMNKARFCIQESQTWESLPLSGFFDASIVSEDLQHKEILLLPTCCGSLCKYLMVASGRASVFLLRAKTQRTIKSWDHAVGIICVHEAGGKVTDWEGDEINLEEDQSERRLIFPAGGVVVSNGSLHNQIIEMITSASPTF >fgenesh2_kg.6__3295__AT4G05110.1 pep chromosome:v.1.0:6:22804497:22806753:-1 gene:fgenesh2_kg.6__3295__AT4G05110.1 transcript:fgenesh2_kg.6__3295__AT4G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIYEHQAPEKLQGKYQAMVVYCILGIGSLVSWNSMLSIADYYYQVFPDYHPSRVLTLVYQPFALGTIVILAYHESKISTRKRNLIGYILYTISTFSLIVLDSATKGRGGIGPYIGLCAVVASFGLADATVQGGMIGDLSLMCPELVQSFMGGLAVAGALTSALRLITKAAFEKTNNGLRKGAMMFLAISTFIDLLCVMLYTYVLPKLPIVKYYRRKAASEGSKTVSADLAAAGIQNQSGLTDDDSKNQRLSKKELLIQNIDYAVNLFLIYVCTLSIFPGFLYENTGHHGLGAWYALVLVAMYNFWDLVGRYTPLVKWLKIENRKLITSAVLSRYLLIPAFYFTAKYGDQGWMIMLVSALGLTNGHLTVCIMTIAPKGYKGPEQNALGNLLVIFLLGGIFAGVALDWLWLIGKKNAF >fgenesh2_kg.6__3299__AT4G05150.1 pep chromosome:v.1.0:6:22832322:22834631:1 gene:fgenesh2_kg.6__3299__AT4G05150.1 transcript:fgenesh2_kg.6__3299__AT4G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILPPELDNDSLASSPRSEYDSQPRVRFMCTFGGRILPRPPDNQLCYVGGDNRMVAVHRHTTFASLLSKLAKLSGKSNISVKYQLPNEDLDALISVSTDEDVENMMDEYDRVAQNQNPRASRLRLFLFTKNVAGEEDNDSRASSISSLLDSSVNREQWFLDALNLGSSAAATAASNGGSGRVFERVRSEVSSIVSEVPDYLFGLDNFDETAPPHELRDRDPRAKIQREVSTLSDPGSPRRDVPSPYGSTSSAPVMRTSTPELPPPVFTKPESPEPVSTPKSDSQPEQVIQQSNLPVNSQWQYAPGPGPQVHYQGHTIHQSPVYYVPGSVPGNHMVQQGNHMVQPGNHMVQPVQMQGQYLQQYHHVPMGYHQPQTHQMAGPGQVYGGTVRPVMMAVDGMNRTGYYGMKTPGPVQMYHHHPGMVVPGVEEQQYRTETDSDPGRAS >fgenesh2_kg.6__32__AT5G01710.1 pep chromosome:v.1.0:6:145164:147379:1 gene:fgenesh2_kg.6__32__AT5G01710.1 transcript:fgenesh2_kg.6__32__AT5G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSMVKPSFLRNIMVRVLLFGVLIIVVRFAYVVTITGESCNRGDFCFFSLPENLNFVISGAGSGASAIDAIRSTSPGDDLYTSRDWIKAVQFYSSIFQDLIADGYLSPDSKTLCVETAIGQEVHSLREIGVKNSVGISKKAFRPLVVRGEGHAIPFEDNAFDFVFSGGGRLGKSLKQLEFADEITRTLKPQGFAVVHVGATDTYSFNSFLDLFNSCRLVKMRDIDGFDSSMPHIREFVIQKYSEIDGHHHRKNSGDDSGGKCWIPGYKRDLIRDAEPLIQEEPLKPWITLKRNIKNIKYVPSMVDIRFKSRYVYVDVGARSYGSSIGSWFKKEYPKQNKTFDVFAIEADKAFHEEYKIKKKVNLLPYAAWVRNETLSFEINHDPGKEAEAKAKGRGMGRIQPVKKSSSSDLAGEVNLIQGFDFADWLKKSVRERDFVVMKMDVEGTEFDLIPRLIKTGAICLIDELFLECHYNRWQRCCPGQRSQKYNKTYNQCLELFTSLRQRGVLVHQWW >fgenesh2_kg.6__3300__AT4G05160.1 pep chromosome:v.1.0:6:22836129:22838505:1 gene:fgenesh2_kg.6__3300__AT4G05160.1 transcript:fgenesh2_kg.6__3300__AT4G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGYGRDGIYRSLRPTLVLPKDPNTSLVSFLFRNSSSYPSKLAIADSDSGDSLSFSQLKSAVARLAHGFQRLGVRKNDVVLIFAPNSYQFPLCFLAVTAIGGVFTTANPLYTVNEVSKQIKDSNPKIIISVNQLFDKIKGFNLPVVLLGSKDSVQIPPGSNSKILSFNDVMELSEPVSDYPFVEIKQSDTAALLYSSGTTGTSKGVELTHGNFIAASLMVTMDQDLMGEYHGVFLCFLPMFHVFGLTVITYSQLQRGNALVSMAKFELELVLKNIEKYRVTHLWVVPPVFLALSKQSIVKKFDLSSLKYIGSGAAPLGKDLMEECGRNIPNVLLMQGYGMTETCGIVSVEDPRLGKRNSGSAGMLAPGVEAQIVSVETGNSQPPNQQGEIWVRGPNMMKGYLNNPQATKETIDKKGWVHTGDLGYFNEDGNLYVVDRLKELIKYKGFQVAPAELEGLLVSHPEILDAVVIPFPDEEAGEVPIAFVVRSPNSSITEQDIQKFIAKQVAPYKRLRRVSFINTVPKSAAGKILRRELVQQVRSKM >fgenesh2_kg.6__3301__AT4G05180.1 pep chromosome:v.1.0:6:22843304:22844847:-1 gene:fgenesh2_kg.6__3301__AT4G05180.1 transcript:fgenesh2_kg.6__3301__AT4G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II subunit Q-2 [Source:UniProtKB/TrEMBL;Acc:D7M1Z0] MAQAVASMAGLRGASQAVLEGSLQINGSNRLTISRVTVGSQRTGLVIRAQQNESVPESSRRSVIGLVAAGLAGSSFVKAVFAEAIPIKVGPPPLPSGGLPGTDNSDQARDFSLALKDRFYIQPLSPTEAAARAKESAKEIINVKSLIDKKAWPYVQNDLRLRASYLRYDLNTVISAKPKEEKKNLKELTGKLFETIDNLDYAARSKSSPDAEKYYSETVSSLNNVLAKLG >fgenesh2_kg.6__3302__AT4G05190.1 pep chromosome:v.1.0:6:22846627:22851760:1 gene:fgenesh2_kg.6__3302__AT4G05190.1 transcript:fgenesh2_kg.6__3302__AT4G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7M1Z1] MPLRNQNRAPLPSPNVKKEALSRRKAETQVTGRRQVFSTVNRQDVTANSDVGSTEECGKVEFTKDEVLALLNERAKAGKFDTKGKIEQMTDIIKKLKVCVRWFQQVDETHVQEKENLCSSLQSAEKRYCDKELDAKTKEEVLQATIAEMKEKIASLQEKLSKEELSKLEAIENYRREKECRDAAEKLQVSLRDELDKVTEEKMAAKQKVMSLEDMYKRLQEYNTSLQQYNTKLQTDLEAVREAHTRAEKEKSSILENLTTLRGHSKSLQDQLALSRVSQDEAVKQKESLLMEVNNLRSELQQVRDDRDRQVVQSQKLADEILMYKESVGKSSHELDILIAKSGSLEETCSLQKERIKMLEQELAFAKEKLKMVDASMSHTMTEFEEQKQHVHELQDRLADTERQLYEGELLRKKLHNTILELKGNIRVFCRVRPLLPDDGGRQEASVIAYPTSTESLGRGIDVVQSGNKHPFTFDKVFDHGASQEEVFFEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPETPEQKGLIPRSLEQIFKTSQSLSTQGWKYKMQVSMLEIYNESIRDLLSTNRTIAIESVRADSSTSGRQYTITHDVNGNTHVSDLTIVDVCSIGQISSLLQQAAQSRSVGKTHMNEQSSRSHFVFTLRISGVNESTEQQVQGVLNLIDLAGSERLSRSGATGDRLKETQAINKSLSALSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPSSTGESLCSLRFAARVNACEIGIPRRQTSAKLLDSRLSYG >fgenesh2_kg.6__3304__AT4G05220.1 pep chromosome:v.1.0:6:22856744:22857424:-1 gene:fgenesh2_kg.6__3304__AT4G05220.1 transcript:fgenesh2_kg.6__3304__AT4G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDRTTIPIHTSPVPRAQPMKRHHSASYYAHRVRESLSTRISKFICAMFLLVLFFVGVIAFILWLSLRPHRPRFHIQDFVVQGLDQPTGVENARIAFNVTILNPNQHMGVYFDSMDGFIYYKDQRVGSIPLLNPFFQKPTNTTIVTGTLTGASLTVNSNRWTEFSNDRAQGTVGFRLDIVSNIRFKLHRWISKRHRMHANCDIVVGRDGLILPKFNHKRCPVYFT >fgenesh2_kg.6__3305__AT4G05230.1 pep chromosome:v.1.0:6:22861516:22862131:1 gene:fgenesh2_kg.6__3305__AT4G05230.1 transcript:fgenesh2_kg.6__3305__AT4G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M1Z5] MDVFFETRSGFTFEIELGYWDTVLEIKQKIEKYQQIPVSKQTLFFQGNVLQDHLDIEQCVILNHSRIQLFISSPDQNRNNQVFKTEQSPQSNSKEQITNNGHQDSPVMMPMSNNNNNNPKKLRVMVLPKCGTRKIPVEVNAGDNVGELRKELAKIQQRLQLSLPQEGYFFIYKQNVMDENRSFRWHRVDHGDTIEIFNGSVSGGS >fgenesh2_kg.6__3306__AT4G05310.1 pep chromosome:v.1.0:6:22882656:22884502:1 gene:fgenesh2_kg.6__3306__AT4G05310.1 transcript:fgenesh2_kg.6__3306__AT4G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLVEILSGSLFEIELDRKDTLLVVKQKIEKSQRIPVSKQTLIVDGIFILREDLNLDQCQIVHDSQIQLEVSPDVNHNHNGNDQMPETEQSPAPWISVEEYFERKGWPLTAEEIRKIYSYRPETMLDIKQSPPSNSVKETINIQDSSVKFANKNNNDIVPPQSNSVKNITKSNKRGRKKRKMVVGVSPYSGMNEVPKNILLTVISTDEVKTLRDEMVHRERRGDIELPQEGYFFTHNNQVLNEDQSYEWNGVKPVDTIVMVPTHVIQETCKIQDSSVTVQVPQTEQPPASNSKKKVYVMPFSSECKGLKRFIEVEVSSTDQVKILRNELVESIRRGYIKLPHEGYFFADRMKERILNEDQSFEWNGVLGPAETVYMVPRRYESSFTRAS >fgenesh2_kg.6__330__AT5G04160.1 pep chromosome:v.1.0:6:1351560:1353679:-1 gene:fgenesh2_kg.6__330__AT5G04160.1 transcript:fgenesh2_kg.6__330__AT5G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSKKQTLFISTLIISWYSSNIGVLLLNKFLLSNYGFKFPIFLTMCHMSACAILSYISIVFLKLVPLQHLKSRSQFLKVATLSIVFCASVVGGNISLRYLPVSFNQAVGATTPFFTALFAYLMTLKREAWVTYGALVPVVAGVVIASGGEPGFHWFGFIMCISATAARAFKSVLQGILLSSEGEKLNSMNLMLYMSPIAVIALLPVTLFMEPDVISVTLTLAKQHQYMWILLLVNSVMAYSANLLNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVTVMGIGGYSITVLGVVAYGETKRRFR >fgenesh2_kg.6__3312__AT4G05060.1 pep chromosome:v.1.0:6:22897559:22899450:1 gene:fgenesh2_kg.6__3312__AT4G05060.1 transcript:fgenesh2_kg.6__3312__AT4G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane family protein [Source:UniProtKB/TrEMBL;Acc:D7M2I3] MALTEEKSGSDGRRRGKFKLPFRNSNAQATSSSSASSMATSSSSSSATSSHLNQNYIHQSRHFQYHGPPVVEGLGQNHHQSTATIPSMSSVARSLLPTKRRLKLDPSAKLYFPYEPGKQVRSAIKIKNTSKSHVAFKFQTTEPKSCFMRPAGAILSPGEEIIATVFKFVEPPENNEKPMEQKSGVKFKIMSLKMKVPTDYMPELFEEQKDHVSEEQVMRVVFLDPENPNSMMEKLKSQLAEADAADEARKKASEGIVGPKPIGEGLVIDEWKQRRERYLAQQQGGVDAA >fgenesh2_kg.6__3313__AT4G05320.4 pep chromosome:v.1.0:6:22900209:22901798:1 gene:fgenesh2_kg.6__3313__AT4G05320.4 transcript:fgenesh2_kg.6__3313__AT4G05320.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexameric polyubiquitin [Source:UniProtKB/TrEMBL;Acc:D7M2I4] MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >fgenesh2_kg.6__3314__AT4G05330.1 pep chromosome:v.1.0:6:22902466:22905054:-1 gene:fgenesh2_kg.6__3314__AT4G05330.1 transcript:fgenesh2_kg.6__3314__AT4G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 13 [Source:UniProtKB/TrEMBL;Acc:D7M2I5] MSNYAAGLGKPGSGKRRIRDLLNQPDNRVCADCGASDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWSDEEVDSMIEIGGNASANSIYEAFVPDTCSKPGPDVSHDQRMRFIRAKYELQEFLKPSLRITSGKCSTKSSAFLTSSLSRKIMDSFRTNSSSQKIFQEGMVEFIGLLKVTIKKGTNLAIRDMMSSDPYVVLNLGKQKLQTTVVNSNLNPVWNQELMLSVPESYGPVKLQVYDYDTFSADDIMGEAEIDIQPLITSAMAFGDPEMFGDMQIGKWLKSHDNPLIDDSIINIVDGKVKQEVQIKLQNVESGELELEMEWLPLDQ >fgenesh2_kg.6__3315__AT4G05370.1 pep chromosome:v.1.0:6:22913222:22913656:1 gene:fgenesh2_kg.6__3315__AT4G05370.1 transcript:fgenesh2_kg.6__3315__AT4G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M2I7] MASSFFTKIPSLSAIFSVYTSLSAFTILLKTILHEIIPDQIRDFIISKFTDYFSSYFNSNFTFIIEEQSDYVMNQTFRAAQVYLPTLLPRISTRSLLVSSNDLKNPFAKPKFGIPVKAKIIDEFEGIHLEWTLLSAKDNDNPYQS >fgenesh2_kg.6__3316__AT4G05380.1 pep chromosome:v.1.0:6:22914313:22917600:1 gene:fgenesh2_kg.6__3316__AT4G05380.1 transcript:fgenesh2_kg.6__3316__AT4G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M2I8] MEPELKNTLIRDLDAFSNGKDFFKTVGRAWKRGYLLYGPPGTGKTSLVAAIANHMNYSIYDLQIQSVKDDALFRQILTLTENRSILLIEDLDCSGADATCRNENKDETEYGEKQNKKKKKNDPKVTLSGLLNFVDELWSSCVEERIIVFTTNHKEKLDPALLRPGRMDVHILMDYCTPTVFKKLAALYLEIEEHDMFEPIEKMLLEVKTTPAEITEQLMVSKNPDVTLKGLVEFLETKKLTKESVDTE >fgenesh2_kg.6__3319__AT4G05400.1 pep chromosome:v.1.0:6:22923570:22925332:1 gene:fgenesh2_kg.6__3319__AT4G05400.1 transcript:fgenesh2_kg.6__3319__AT4G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVTRPKPIIESLSYHLLQRCSASGTPKGKAKLKTGQPLKRNKVSTKKGGGGGGGGAVGDGEEAVKGKGRISDEKQKLYEQCLNAPCPVRYLTPNEIEREAQREKLGLISKEKQRDMEIQKKGGAASMGITDEPMRIGTPGLDYISLGIFEAEELPKYKLTEEDGERLAKEYSKVLMREHRERRAAETALLNLKKAAIEALPENLKKAALEPDLTPFPANRGMATLTPPIEGYLEKVMDAAKKSSSKEKLR >fgenesh2_kg.6__3320__AT4G05410.1 pep chromosome:v.1.0:6:22925315:22927951:-1 gene:fgenesh2_kg.6__3320__AT4G05410.1 transcript:fgenesh2_kg.6__3320__AT4G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7M2J2] MKYNSEKKKSGGSKRGGKKGSNERDPFFEEEPKKRRKVSYDDDDIESIDSDAEENGFTGGDEDGRRVDVEVVEEDEFADETVGEKKKRLTEDMLNRLREAKRREREEAGDDDDDEDDDESIKKILMQKQQEESGRIRRVIASRVQEPLSTDGFSIIVKHRRSVVSVALSDDDSRGFSASKDGTVMHWDVSSGKSDKYIWPSDEILKSHGMKMREPRNKKHSRESLALAVSSDGRYLATGGVDRHVHIWDVRTREHVQAFPGHRNTVSCLCFRYGTSEVYSGSFDRNVKVWNVEDKAFVSDNFGHQGEVLAIDVLRKERALTVGRDRTMLYHKVPETSRMVYRAPASSLESCCFISDNEYLSGSDNGTVALWGMLKKKPVFIVKNAHRDKTDGITTNGILENGDHEPVNNDCSASYWVNSVATCRGSDLAASGAGNGFVHLWAVETNAIRKLYELPLTGFVNSLAFAKSGKFLIAGVGQETRFGRWGSSKSAENGVAIHPLRLA >fgenesh2_kg.6__3327__AT4G05450.1 pep chromosome:v.1.0:6:22939783:22942019:1 gene:fgenesh2_kg.6__3327__AT4G05450.1 transcript:fgenesh2_kg.6__3327__AT4G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHRISRLGSTIVKQLAREGYISTYRTRNLQRSYGHYLPSLPVVPRQARISQEAWFLKSHKFCTSSTTSSENGDEETEKITIIFVDKDGEEIPVKVPIGMSVLEAAHENDIDLEGACEASLACSTCHVIVMDTEYYNKLEEPTDEENDMLDLAFGLTETSRLGCQVIARPELDGVRLAIPSATRNFAVDGFVPKPH >fgenesh2_kg.6__332__AT5G04180.1 pep chromosome:v.1.0:6:1356538:1358326:-1 gene:fgenesh2_kg.6__332__AT5G04180.1 transcript:fgenesh2_kg.6__332__AT5G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase family protein [Source:UniProtKB/TrEMBL;Acc:D7LXG3] MNTIILFVTFLALSSSSLADETETEFHYKPGEIADPSKWSSIKAEWKICGTGKRQSPINLTPEIARIVHNSTEILQTYYKPVEAILKNRGFDMKVKWEDDAGKIVINDTDYKLVQSHWHAPSEHFLNGQRLAMELHMVHKSEEGHLAVIGVLFKEGAPNAFISRIMDKINKIADVQDGEISIGKIDPREFGWDLTKFYEYRGSLTTPPCTEDVMWTIINKVGTVSREQIDVLTDARRGGYEKNARPAQPLNGRLVYLNEQSSPSPRLQIPRVGPV >fgenesh2_kg.6__3330__AT4G05440.1 pep chromosome:v.1.0:6:22966185:22967194:-1 gene:fgenesh2_kg.6__3330__AT4G05440.1 transcript:fgenesh2_kg.6__3330__AT4G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEVDRCQIQNWYPKFKSLTIKTKFHKLPESFINYLIDDSDAMPNRVHNFEEEDDFQVPEEASDNEGEPPLNHPSFPELEIEIRESIETLGGTIIPKLNWSAPKDAAWISPSQNLSCTCFNEIALLFRSSDSLIHDLCNAYDSCTDKISSRPESFYLALRKWYPSLKPEMEFRCFVKSNDLVGICQREVTTFYPVLLNEKDLIKGLIEEFFDDKIRFEFESQNYTFDVYVTKERKVKLIDFNTWCGSTLPLMYTWEELEEIMENSRLSVLPGLKTAVPYDYLDVSSGSGWAQVLKIAEEEFQKENQSSVEVA >fgenesh2_kg.6__3332__AT4G05497.1 pep chromosome:v.1.0:6:22968043:22968894:-1 gene:fgenesh2_kg.6__3332__AT4G05497.1 transcript:fgenesh2_kg.6__3332__AT4G05497.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M2K6] MASSSSSPLPPAMKNGESTNWTELPPELTSSILHRLGAIEILLNAQRVCRSWRRICKDPSMWRKIDIKIPKKFEDLFHDLEAVCRRAVDLSKGGLIEINIEHLVNTSLLNYIADRSSNLRRLGVVDCGPVVSSGVVEAVMKLPLLEELEITYKSSIRGQVLKVVGQSCPNLRTLKLNCIGNFKCCDKVALAIGETMPGLRHLQLYRNGLSDTGLNAILEGCPHLENLDLHKCLNINLVGLRG >fgenesh2_kg.6__3335__AT4G03600.1 pep chromosome:v.1.0:6:22991417:22992084:1 gene:fgenesh2_kg.6__3335__AT4G03600.1 transcript:fgenesh2_kg.6__3335__AT4G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F21B7.33 [Source:UniProtKB/TrEMBL;Acc:D7M2L2] MADHTESPRSYLIFIKIMSKRRTWVCLFIAVYAILLSSSWNLLRSVLNWYKLQYTSSSSDSSPSPSPSRLPAVYASVVLGAMFGAMSMVAATTVAVPAVMVIWISVVVLLTFFGKSRRVLVVEGRKITREVVGFVFKVLLKEGNVVAVFCAVFAYFLLIRRDLDSN >fgenesh2_kg.6__3336__AT2G16530.2 pep chromosome:v.1.0:6:22998797:23000609:1 gene:fgenesh2_kg.6__3336__AT2G16530.2 transcript:fgenesh2_kg.6__3336__AT2G16530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein [Source:UniProtKB/TrEMBL;Acc:D7M2L5] MELEIVWLVRAAWITVWIVSILPLVIASIPSSKLNSFRELVLSFAGRGKILHPSSQKFTIPQKCFAHFYVIGVVWTTLLLAATWMYACKMAPLSSEEFQLSDIASRLAGGSHVFSFYKSHMTPVEHRLKVWRALFLLLLMEIHVLRRLIESFYVFKYSPSARMHILGYFAGLFFYVTAPLSLCSNIAPEVARFAGNHVAEFIANGKSHTSAPEFNLLSSISPFMKLGSLQWIGGAIFLWGWIHQRRCHAILGSLRENPSQAKEYIIPYGDWFGMVSCPHFLAEIVLYAGLLIASGGTDITIWLLFGFVAANLTYAAGETHRWYLRKFENYPANRHAIFPYVY >fgenesh2_kg.6__3339__AT4G03565.1 pep chromosome:v.1.0:6:23025339:23026322:-1 gene:fgenesh2_kg.6__3339__AT4G03565.1 transcript:fgenesh2_kg.6__3339__AT4G03565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSKTKAQTAQIASTAAEPIETTTDESTVSSSLNKDRSDSETKDDDYSAESDEEEEGEDYESNGEEEEDDDDKESDGEDDVDSIVDDGDSTSDQPEWGVDDFDDIYSDFYSPDEDCYTDEEDERKKRLYRRNLHFSHGFLVEKGIRPRSVWSGAILLEPLDSEHSPVKGRTQLQYAQDMAKLCLCKYNALNETNVTLDHVVRVTGSFTGSWVSYITFMAKESEDDDTLVEYQAKVVKKLKCKTYPMFCRPSPKLDPDM >fgenesh2_kg.6__3342__AT3G32340.1 pep chromosome:v.1.0:6:23028754:23031933:-1 gene:fgenesh2_kg.6__3342__AT3G32340.1 transcript:fgenesh2_kg.6__3342__AT3G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M2M0] MGPEILGTTPSSVMNFLIQTASLAASEAAIYSASVVESATVLCLELFQLTAPPLRQKTNP >fgenesh2_kg.6__3348__AT4G03550.1 pep chromosome:v.1.0:6:23049083:23055396:-1 gene:fgenesh2_kg.6__3348__AT4G03550.1 transcript:fgenesh2_kg.6__3348__AT4G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHRTVPPQTGRPLAADAAGIEEESYNIIPVNNLLADHPSLRFPEVRAAAAALKTVGDLRRPPYVQWRSQYDLLDWLALFFGFQKDNVRNQREHMVLHLANAQMRLSPPPDNIDSLDSAVVRRFRRKLLGNYSSWCSYLGKKSNIWISDRSPDSRRELLYVGLYLLIWGEAANLRFMPECICYIFHNMASELNKILEDCLDENTGQPYLPSLSGENAFLNGVVKPIYDTIQAEIDESKNGTVAHSKWRNYDDINEYFWTDRCFSKLKWPLDLGSNFFKSRGKTVGKTGFVERRTFFYLFRSFDRLWVMLALFLQAAIIVAWEEKPDNSSVTRQLWNALKARDVQVRLLTVFLTWSGMRLLQAVLDAASQYPLISRETKRHFFRMLMKVIAAAVWIVAFTVLYTNIWKQKRQDRQWSNTATTKIYQFLYAVVAFLVPEILALALFIIPWMRNFLEETNWKIFFALTWWFQGKSFVGRGLREGLVDNIKYSTFWIFVLATKFTFSYFLQVKPMIKPSKLLWNLNDVKYEWHQFYGDSNRFSVALLWLPVVLIYLMDIQIWYAIYSSIVGAVVGLFDHLGEIRDMGQLRLRFQFFASAIQFNLMPEEQLLNARGFGNKFKDGIHRLKLRYGFGRPFKKLESNQVEANKFALIWNEIILAFREEDIVSDREVELLELPKNSWDVTVIRWPCFLLCNELLLALSQARELIDAPDKWLWHKICKNEYRRCAVVEAYDSIKHLLLSIIKVDTEEHSIITVFFQMINQSIQSEQFTKTFRVDLLPKIYETLQKLVGLVNDEETDSGRVVNVLQSLYEIATRQFFIEKKTTEQLSNEGLTPRDPASKLLFQNAIRLPDASNEDFYRQVRRLHTILTSRDSMHSVPVNLEARRRIAFFSNSLFMNMPHAPQVEKMMAFSVLTPYYNEEVVYSKEQLRNETEDGISTLYYLQTIYADEWKNFKERMHREGIKTDSELWTTKLRDLRLWASYRGQTLARTVRGMMYYYRALKMLAFLDSASEMDIREGAQELGSVRSLQGKLGGQSDGFVSENDRSSLSRASSSVSTLYKGHEYGTALMKFTYVVASQIYGSQKAKKEPQAEEILYLMKQNEALRIAYVDEVPAGRGETDYYSVLVKYDHQLEKEVEIFRVKLPGPVKLGEGKPENQNHAMIFTRGDAVQTIDMNQDSYFEEALKMRNLLQEYKHYHGIRKPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLSRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTMMVILTVYAFLWGRVYLALSGVEKSALADSTDSNAALGVILNQQFIIQLGLFRGFLLAIWNFIRMQIQLSAVFYTFSMGTRAQYFGRTILHGGAKYRATGRGFVVEHKGFTENYRLYARSHFVKAIELGLILIVYASHSPIAKDSLIYIAMTITSWFLVISWIMAPFVFNPSGFDWLKTVYDFEDFMNWIWYQGRISTKSEQSWEKWWDEEQDHLRNTGRLGIIVEIILDLRFFFFQYGIVYQLKIANGSTSFFVYLFSWIYIFAIFVLFLVIQYARDKYSAKAHIRYRLVQFLLIVLAILVIVALLEFTHFSFIDIFTSLLAFIPTGWGILLIAQTQRHWLKKYSIFWNAVVSVARMYDILFGILIMVPVAFLSWMPGFQSMQTRILFNEAFSRGLRIMQIVTGKKSKGDV >fgenesh2_kg.6__3350__AT4G03520.1 pep chromosome:v.1.0:6:23076124:23077991:1 gene:fgenesh2_kg.6__3350__AT4G03520.1 transcript:fgenesh2_kg.6__3350__AT4G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTCTSRPPISLRSETKIVSSPSASSLSSRRMFAVLPESSGLRIRLSLSPASLTSIHHHPRVSRLRRAIVCEAQETTTDIPVVNDSTWDSLVLKADGPVLVDFWAPWCGPCKMIDPLVNDLAQQYTGKIKFYKLNTDESPSTPSQYGVRSIPTIMIFVNGEKKDTIIGAVPKTTLTSSIDKFLQ >fgenesh2_kg.6__3353__AT4G03430.1 pep chromosome:v.1.0:6:23135492:23138863:1 gene:fgenesh2_kg.6__3353__AT4G03430.1 transcript:fgenesh2_kg.6__3353__AT4G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSIPNGKTLSIDVNPKSTTVSAFEQLVHQRSHVPKPFLRYSLRMRNPSHVFVDSDSILLSDLGVSRFSTVIIHVPLLGGMQGMAPPKPRLDFLNSKPPSNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAAATAAAPGVGRGAGKPSEAEDDEEAEEKRYDENQTFDEFEGNDVGLFANAEYDEDDKEADAIWESIDQRMDSRRKDRREAKLKEEIEKYRASNPKITEQFADLKRKLHTLSADEWDSIPEIGDYSLRNKKKKFESFVPIPDTLLEKAKKEKELVMALDPKSRAAGGSETPWGQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGQTVVDPKGYLTDLKSMKRTTDEEIYDRNRARLLYKSLTQSNPKNPNGWIAAARVEEMDGKIKAARLQIQRGCEECPKNEDVWLEACRLANPEDAKGLWLEAAKLEHDEENKSRVLRKGLEHIPDSVRLWKAVVELANEDDARILLHRAVECCPLHLELWVALARLETYSESKKVLNKAREKLPKEPAIWITAAKLEEANGNTAMVGKIIDRGIKTLQREGVVIDRENWMNEAEASERAGSVATCQAIIKNTIGIGVEEEDRKRTWVADADECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGSRESLDALLRKAVTYVPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENKEPERARMLLAKARERGGTERVWMKSAIVERELGNVEEERRLLNEGLKQFPTFFKLWLMLGQLEERFKHLEQARKAYDTGLKHCPHCIPLWLSLADLEEKVNGLNKARAILTTARKKNPGGAELWLAAIRAELRHDNKREAEHLMSKALQECPSSGILWAADIEMAPRPRRKTKSMDAMKKCDRDPHVTVAVAKLFWQDKKVEKARSWFERAVTLGPDIGDFWALYYKFELQHGSDENRKEVVAKCVASEPKHGEKWQAISKAVENAHQPIEVILKRVVSALSKEENAA >fgenesh2_kg.6__3355__AT4G03415.1 pep chromosome:v.1.0:6:23175806:23177534:1 gene:fgenesh2_kg.6__3355__AT4G03415.1 transcript:fgenesh2_kg.6__3355__AT4G03415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTSSKSTCSSWSNGEKPVRRPYLGIGCCASKRAKRTFSDHIVSLQNLTSIPNRITTSSKSRSSCIFTQQGRKGINQDAMIVWEDFMSEDVIFCGVFDGHGPYGHLVARKVRDTLPVKLQSFFHTLQSKQSSSKGTRFRRNSSKSAVQEAVKEGSDEEKLKGLWGEAFLKSFKAMDKELRSHPNLDCFCSGSTGVTMLKQGSNLFMGNIGDSRAILGSKDSNDSMVATQLTVDLKPDLPREAERIKRCKGRVFALEDEPEVPRVWLPYDDAPGLAMARAFGDFCLKDYGVISVPEFTHRVLTDKDQFIVLASDGVWDVLNNEEVVDIVASATSRASAARTLVNSAAREWKLKYPTSKMDDCAVVCLFLDRKMDSESDYDEQGFSSATNAVESDDGQRSEPCLQRNFTVRSSSDQENETYGNVNTETDAEDEKTVGDQNWLGLEGVTRVNSLVQLPRFSEEKSKT >fgenesh2_kg.6__3356__AT4G03410.2 pep chromosome:v.1.0:6:23177776:23181205:-1 gene:fgenesh2_kg.6__3356__AT4G03410.2 transcript:fgenesh2_kg.6__3356__AT4G03410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALCCPTTIIVSGKLSSRIKTACQLPRINPFKNRKRLITERRNLIVKSIIEDREAVDVKNENFKPEEEQTEDTDQLMSRGINAAIVLAAGTVAVTKLLTIDHDYWQGWTLYEILRYAPEHNWVAYEQILKTNPVLAKMAISGIVYSLGDWIAQCYEGKPLFEFDRARVLRSGLVGFTLHGSLSHYYYQFCEALFPFQEWWVVPAKVAFDQTIWSAIWNSIYFTVLGLLRFQSPADIFSEIKTTFWPMLTAGWKLWPLAHLVTYGVIPVDQRLLWVDCIELIWVTILSTYSNEKAEAQASGETNSSSHSSEVCQLLERLNVLPVCSSCACKYLTGLGDVSM >fgenesh2_kg.6__3359__AT4G03390.1 pep chromosome:v.1.0:6:23190380:23195033:1 gene:fgenesh2_kg.6__3359__AT4G03390.1 transcript:fgenesh2_kg.6__3359__AT4G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRSIYCLLLLPLLLSLLIWIPSISLAATNPADVAAINGLFAALGAPVLPGWIASGGDPCGEAWQGIICNVSDIISITVNAANLQGELGDNLAKFTSIRGIDFSNNRIGGSIPSTLPVTLQHFFLSANQFTGSIPESLGTLSFLNDMSLNDNLLSGELPDVFQNLVGLINLDISSNNLSGTLPPSMENLSTLTTLRVQNNQLSGTLDVLQGLPLQDLNIENNLFSGPIPDKLLSIPKFLNEGNPFNATTINSTSTAPSLSPSMSPTKPAPTRPFSGVPPPPTERNRGKVADGPSDSEGSSSENSKGKNSSHTKRIILIAFAGVLVFIILVLAILLLLPKCARRREHANRVFKPHQVGADRGSRENALENGLPVPPPPARSEKVQREPFKKAGEEPKVLHDLERLRRPAPISRQESQDIDFSMLMPPPPPPPPPPPPPPLAEKVTVLPIISPERPVKKPSPKRLPLTSVKHYSIASLQQYTESFAQENLIGSGMLGSVYRARLPNGKLFAVKKLDKRASEQQQDHEFIELVNNIDRIRHSNIVELVGYCAEHDQRLLVYEYCSNGTLQDGLHSDDEFKKKLSWNTRVSIALGAARALEYLHEVCEPPIIHRNFKSANVLLDDDLSVLVSDCGLAPLISSGSVSQLSGQLLAAYGYGAPEFDSGIYTWQSDVYSFGVVMLELLTGRMSYDRDRSRGEQFLVRWAIPQLHDIDALGKMVDPSLNGQYPAKSLSHFADIISRCVQSEPEFRPLMSEVVQDLLDMIRRERHGSGDSTAD >fgenesh2_kg.6__3361__AT4G03330.1 pep chromosome:v.1.0:6:23216195:23217577:-1 gene:fgenesh2_kg.6__3361__AT4G03330.1 transcript:fgenesh2_kg.6__3361__AT4G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP123 [Source:UniProtKB/TrEMBL;Acc:D7M2Q1] MNDLISSSFKRYTDLNHQVQLDDIESQNVSLDSGNLDEFFGYVESVKEDMKAVDEIHKRLQDANEESKTVHDSKAVKKLRARMDSNVTEVLKRVKMIKSKLVALEKSNAAQRKVAGCGPGSSADRTRTSVVSGLGKKLKDMMDDFQRLRTKMATEYKETVERRYFTVTGQKADEETVEKLISSGESERFLQKAIQEQGRGQIMDTLSEIQERHDTVKEIERSLLELHQVFLDMAALVEAQGNMLNDIESNVSKASSFVMRGTDQLHGAKVLQRNSRKWTCIAIILAIVLVIVILFPILYTNVLKP >fgenesh2_kg.6__3362__AT4G03320.1 pep chromosome:v.1.0:6:23218137:23219463:-1 gene:fgenesh2_kg.6__3362__AT4G03320.1 transcript:fgenesh2_kg.6__3362__AT4G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the inner envelope membrane of chloroplasts 20-IV [Source:UniProtKB/TrEMBL;Acc:D7M2Q2] MQGLAATTTNRGSLTFLAPRNHSPISKKLVNPRVFFPNVDSSANLRLSASSISQRCPREIAPLTATASVDFAAGSSPNQLFPHGLPSLAPGLRRNRRPIEPARVAKDDFFKIKLPKIAERPEWWWRTLACVPYLISLQISDVGFYVQPFLEKHDAIGDMIYFIPGAINRWPTWFFMVYCYLGYMWVVKNKELPHYLRFHMMMGMLLETALQVIWCTSNFFPLIHFKGRFGMYYWMAIGFTYICLLLECIRCALAGVYAQIPFMTDAASIHTLFNLGGFQRPLR >fgenesh2_kg.6__3365__AT4G03280.1 pep chromosome:v.1.0:6:23228376:23230115:-1 gene:fgenesh2_kg.6__3365__AT4G03280.1 transcript:fgenesh2_kg.6__3365__AT4G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6-f complex iron-sulfur subunit [Source:UniProtKB/TrEMBL;Acc:D7M2Q5] MASSSLSPATQLGSSRSALMAMSRGLFVKPTKTNHQMVRKEKIGLRIACQASSIPADRVPDMEKRKLLNLLLVGALSLPTGYMLVPYATFFVPPGTGGGGGGTPAKDALGNDVVAEEWLKTHGPGDRTLTQGLKGDPTYLVVENDKTLATYGINAVCTHLGCVVPWNKAENKFLCPCHGSQYNAQGRVVRGPAPLSLALAHADIDDTGKVLFVPWVETDFRTGDAPWWS >fgenesh2_kg.6__3366__AT4G03270.1 pep chromosome:v.1.0:6:23234851:23236182:1 gene:fgenesh2_kg.6__3366__AT4G03270.1 transcript:fgenesh2_kg.6__3366__AT4G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCD6_1 [Source:UniProtKB/TrEMBL;Acc:D7M2Q6] MEFHLEHPLSHSSLHNNFNDDTDDDETLPHSLFLVEFQHMPSSHYFHSLKSSAFLLSNRNHAISSIIQYSRKFDDPSLTYLAVNYLDRFLSSEDMPQSKPWILRLISLSCVSLSAKMRKPEMSVSHLPVEGEFFDAQMIERMENVILGALKWRMRSVTPFSFLAFFISLFELKEDPLVLKHSLKSQAIDLTFNLQHDIRFLEFKPSVIAGAALLFASFELCPLKFPCFSNRIYQCTFVNKDELMKCYKAIQERDIVGENEASSETAVNVLDQQFSSCESDKSITITASSPKRRKTSTRRC >fgenesh2_kg.6__3368__AT4G03260.1 pep chromosome:v.1.0:6:23236952:23240390:-1 gene:fgenesh2_kg.6__3368__AT4G03260.1 transcript:fgenesh2_kg.6__3368__AT4G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7M2Q7] MVRFSCFNTHIHRHRPKKSVEGFSERVIKEDGSRSKGLSSIIFGRNIASISENSKPAGSVAIERVWKSEEIKPSGILEHDIGTQQVRHLKKSQSHGNELYLDGRDATENGTDDGSDRITSPNSLEQQSGTHEAGSSKRVDGSPNLYQQAPRASVSAYQGSNQALCGSIFSVGDLHHTDKDSRQLDDTSLYGEQMDNSNSQTPHDSPLMVRSNSMPNIADSSSEKSSPFKYSSHHSRSSDDLRTLDMRQTDKSVHETDEEVKQEQDQDRDYDMHNSGDNNKENLVEDGYDDAYDYSSLAKDWIVPPTDELKFTKFLEGETSNQQAEFSGKDSKFKRIEDWVNDLRHVNLSEEADEITGYDDDLPREPVVLNEPVTASAKVDAIKLTPGLEAAKKYISSLSASATTAQLVSHGLVVIPFLSAFVGLRVLNLSGNAIVRITAGALPRGLHALNLSKNSISVIEGLRELTRLRVLDLSYNRILRLGHGLASCSSLKELYLAGNKISEIEGLHRLLKLTVLDLRFNKFSTTKCLGQLAANYSSLQAISLEGNPAQKNVGDEQLRKYLLGLLPNLVYYNRQGTKDARLGASTHQLDRGLRSELKNSSRKSSHGASSSHKPGSSTARKAAALQKRSKERSSRLPPVGHKISPAAYENYHVATADRLTSLRSELSMRRSRSEGTLGPI >fgenesh2_kg.6__3370__AT4G03240.1 pep chromosome:v.1.0:6:23243834:23245165:1 gene:fgenesh2_kg.6__3370__AT4G03240.1 transcript:fgenesh2_kg.6__3370__AT4G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASRFLRTLPRFLKLSPTLLRSNGVRFSSNLIQDSIEPLDSFWRIGSRISHDSFTTRSFSSQGPASVDYSSVLQEDEFHKLANFTINHLLEKIEDYGDNVQIDGFDIDYGNEVLTLKLGSLGTYVLNKQTPNRQIWMSSPVSGPSRFDWDRDANAWIYRRTEAKLHKLLEEELEKLCGEPIQLS >fgenesh2_kg.6__3371__AT4G03230.1 pep chromosome:v.1.0:6:23245748:23249291:1 gene:fgenesh2_kg.6__3371__AT4G03230.1 transcript:fgenesh2_kg.6__3371__AT4G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M2R0] MNFSVFFYMFLLHIFRFDCFVAVQDSETLFKGSTLINDSHGDTLVSAGQRFELGFFTPNGSSDERRYLGIWFYNLHPLTVVWVANRESPVLDRSGIFTISKEGNLEVIDSKGKVYWDTGVGPSLVSAQRTVKLMDNGNLVLMRDGDEANVVWQSFQNPTDTFLPGMMMNENMTLSSWRSFNDPSPGNFTFQMDQEEDKQFIIWKRSMRYWKSGISGKFIGSDEMPYAISYFLSNFTETVTVHNASVPPLFTSLYTNTRFTMSSSGQAQYFRLDGERFWAQIWAEPRDECSVYNACGNFGSCNSKNEEMCKCLPGFRPNFLEKWVKGDFSGGCSRESRICGKDGVVVGDMFLNLTVVEVGSPDSQFDAHNEKDCRAECLNNCQCQAYSYEEVDTLQSNTKCWIWLEDLNNLKEGYLGSRNVFIRVAVPDIGSHAERARGRYREAKTPVVLIIVVTFTSAAILVVLSSTSSYVYLQRRKVNKELGSIPRGVNLCDSERHIKDLIESGRFKQDDSQGIDVPSFELETILYATSNFSNANKLGQGGFGPVYKGMFPGDQEIAVKRLSRCSGQGLEEFKNEVVLIAKLQHRNLVRLLGYCVAGEEKLLLYEYMPHKSLDFFIFDRKLCQRLDWKTRCNIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARIFGGSETSANTNRVVGTYGYMSPEYALEGLFSFKSDVFSFGVVVIETISGKRNTGFYEPEKSLSLLGYAWDLWKAERGIELLDQALKESCETEEFLKCLNVGLLCIQEDPNDRPTMSNVVFMLGSSEAATLPTPRQPAFVLRRCASSSKASSSTKPETCSENELTITLEDGR >fgenesh2_kg.6__3374__AT4G03210.1 pep chromosome:v.1.0:6:23251274:23252609:-1 gene:fgenesh2_kg.6__3374__AT4G03210.1 transcript:fgenesh2_kg.6__3374__AT4G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7M2R2] MIVVLVISCGEAVSGAKFDELYRSSWAMDHCVNEGEVTKLKLDNYSGAGFESRSKYLFGKVSIQIKLVEGDSAGTVTAFYMSSDGPNHNEFDFEFLGNKTGEPYIVQTNIYVNGVGNREQRLNLWFDPTTEFHTYSILWSKRSVVFMVDETPIRVQKNLEDKGIPFAKDQAMGVYSSIWNADDWATQGGLVKTDWSHAPFVASYKEFQIDACEIPTTSDLSKCNGDDKFWWDEPTVSELSLHQNHQLIWVRANHMIYDYCFDAARFPVTPLECQHHRHL >fgenesh2_kg.6__3378__AT4G03190.1 pep chromosome:v.1.0:6:23261387:23263530:1 gene:fgenesh2_kg.6__3378__AT4G03190.1 transcript:fgenesh2_kg.6__3378__AT4G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRLPSKVLEHILSFIDSNEDRNSVSLVCKSWFETERKTRKRVFVGNCYAVSPAAVAKRFPEMRSLTLKGKPHFADYNLVPDGWGGYAWPWIEAMAAKCPSLEEIRLKRMVVTDECLEKIAASFKDFEVLVLTSCEGFSTDGIAAIAATCRNLRVLELRECIVEDLGGDWLSYFPETSTSLVSLDFSCLDSEVKISDLERLVSRSPNLKSLKLNPAVTLDGLVSLLRRAPQLTELGTGSFAFQLKPEAFSKLSEAFSNCKQLQSLSGLWDVLPEYLPALYSVCPGLTSLNLSYATVRMPDLVELLRRCSKLQKLWVMDLIEDKGLEAVASYCKELRELRVFPSAPDLDEANIPLTEQGLVVVSKGCRKLESVLYFCVQFTNAALLTIARKRPNLKCFRLCVIEPFAPDYKTNEPLDKGFKAIAEGCKDLRRLSVSGLLSDKAFKYIGKHAKKVRMLSIAFAGDSDLMLHHLLSGCESLKKLEIRDCPFGDTALLEHAAKLETMRSLWMSSCFVSFGACKILSKKMPRLNVEVIDEHPPETRPESSPVERIYIYRTVAGPRMDTPEFVWTIHKNPEIGVSHLAIK >fgenesh2_kg.6__337__AT5G04230.1 pep chromosome:v.1.0:6:1370987:1374448:1 gene:fgenesh2_kg.6__337__AT5G04230.1 transcript:fgenesh2_kg.6__337__AT5G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:D7LXG7] MEFCQPNATAAALSDPLNWNVAAEALKGSHLEEVKKMVKDYRKGTVQLGGETLTIGQVAAVASGGTTVELSEEARAGVKASSEWVMESMNRGTDTYGITTGFGSSSRRRTDQGAALQKELIRYLNAGIFATGDGDDDTSNTLPRLATRAAMLIRVNTLLQGYSGIRFEILEAITKFLNRKITPLLPLRGTITASGDLVPLSYIVGFLIGRPNSRSLGPSGEILTASEAFKLAGVSSFFELQPKEGLALVNGTAVGSALASTLLYDANILAVFAEVASAMFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYVKEAQHLHKIDPLQKPKQDRYALRTSPQWLGPQIEVIRAATKMIEREINSVNDNPLIDVSRNKAIHGGNFQGTPIGVAMDNTRLALASVGKLMFAQFTELVNDYYNNGLPSNLSGGRNPSLDYGLKGAEVAMASYCSELQFLANPVTNHVESASQHNQDVNSLGLISSRKTAEAVVILKLMSTTYLVALCQAFDLRHLEEILKKAVKEAVSQTAKSVLAIEPFRKHDDILRVVNREYVFSYVDDPTSLTNPLMQKLRHVLFDKALAELEGETDTVFRKIGAFEAELKSLLPKEVERVRTEYENGTSNVANRIKKCRSYPLYRFVRDELETRLLTGENVRSPGEDFDKVFTAISQGKLIDPLFECLKEWNGAPIPLC >fgenesh2_kg.6__3380__AT4G03153.1 pep chromosome:v.1.0:6:23266500:23267119:1 gene:fgenesh2_kg.6__3380__AT4G03153.1 transcript:fgenesh2_kg.6__3380__AT4G03153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQNGGGLVQITTHQIPLLGLTLLSLFHPLAITKALSQQPENKPKLIAMVEDLYRSHRSLAQKHDLLIKTSSLNSDSTAITHQPATSSGRNCVKKQSLMVKLKTMKEELERLREENRVYKKMMGEKKQGLLLCSFCFQFNCEIFSYHLLRLCLLFLMILCEVGCFKYE >fgenesh2_kg.6__3383__AT4G03130.1 pep chromosome:v.1.0:6:23272090:23275191:1 gene:fgenesh2_kg.6__3383__AT4G03130.1 transcript:fgenesh2_kg.6__3383__AT4G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEVFASDSQSPSASSDHRYEVNGVLGCGEIEDTEPIDEEIVLDSSLLETPFQNLYDDTELVDIGNCGLDEVVVDDSEDEDGGNGSVAAGEPICLRELRPKAGDILLESDGSNDHECQIGKQESNCDTVTGFQGSSRITANSHGPGLELLDSQEPGESTQADALGFVDQFLMDKDLDLSPVTLPENSWRRKSPPVTGAKGCQSLAKKIKTRSPTRKMSVFDWGSDQCDVSDPKNSPVTRASITCSKRREDHVAGDDPMIDLGVKKGSMDLCEDRKVSTHRTQRFMQNSSAKHHKMEQASGLSQGIMFISQKDAQFQDKASKEHLEPEEDFIDIGINTQIAAEAMSALVYAPCTNEEACESDQIPGKLSEMRDQVSNFSRRNKDTIEGGPERDNLSSLLSAPHKERNSKKKRKFTKEETGTNVSVTTCLLNLCEWRHPRAKRSRLMQRHHVPPRRSWGVSSVKNRSETNTLSSRLRVSLSGTREASSCQSGVIDLNIANHASPRKIYDGSHESPCNKDFPRLFLQKELTTRLGEPGIVGDFVWKDLRRRRNLAHVRVLFSHNLDDETTKQQKKIMVRLGISPASSSADSTHFIADRFARTRNMLEAIALGKFVVTPLWLESCAQTRCLIDEKSYILRDSKKEKDGFCMLTSLARAKQHPLLKGLKVCITPNIKPSRGMITDLVKMTQGQVVEVREIIAAKDRNFPDDLMILSCEEDRDFCLPFINQGMDSSQFQRKETKETHKFTASCLLLSTHSFTGWYKR >fgenesh2_kg.6__3385__AT4G03110.1 pep chromosome:v.1.0:6:23284083:23287254:1 gene:fgenesh2_kg.6__3385__AT4G03110.1 transcript:fgenesh2_kg.6__3385__AT4G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKEENREKNEEEESVKLFVGQIPKHMSESQLLTLFQEFAVVDEVNIIKDKITRASRGCCFLLCPSREEADKLVNACHNKKTLPGASSLLQVKYADGELERLEHKLFVGMLPKNVSEAEVQSLFSKYGTIKDLQILRGAQQTSKGCAFLKYETKEQAVSAMESINGKHKMEGSTVPLVVKWADTERERHTRRLQKAQSHIARLSNADPTNPSLFGALPMGYVPPYNGYGYHQPPGTYGYMLPPIQNQAAFPNMIAQPNQGNNNALQGTSPDSVPPRLARRNFPMPPANYMGSGYPAVRGHPFPFAYPRGIVSPRPLSSSPGSISPGIANSGMSTTPLGIGLSSVVQTEGPEGANLFIYNIPREFGDQELAAAFQSFGIVLSAKVFVDKATGVSKCFGFVSYDSQAAAQNAIDVMNGRHLGGKKLKVQLKRDNNNGQQPSLIS >fgenesh2_kg.6__3387__AT4G03100.1 pep chromosome:v.1.0:6:23287343:23289339:-1 gene:fgenesh2_kg.6__3387__AT4G03100.1 transcript:fgenesh2_kg.6__3387__AT4G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLVMMTKGGGCGGGKGGRRKSTAEEEEEEEQNQQQLSLVEFLLTALRKSVVSCRVDNRQDDGGGISSAVHHMEIGWPTNVRHITHVTFDRFHGFLGLPHELQVEIPCRVPSASVSVFGVSAESMQCSYDEKGNSVPTILLLMQERLYSQEGLKAEGIFRINPENSQEEHVRDQLNRGIVPENIDVHCLAGLIKAWFRELPCGVLDGLSPEEVLNCNTEDESVELIKQLKPTESALLNWAVDLMADVVEEEESNKMNARNIAMVFAPNMTQMTDPLTALMHAVQVMNLLKTLITKTLAEREETATGSEGYSPSHSSNSQTDSDSDNAQDMEVSGESQGTDSECGEEEEEVEQQQEHLSRHSTHADEHDIGSLSSIEKCFLSQLNNNARVSNTSTTEDWSPKASPLVSFTENKNNTLSSSTSD >fgenesh2_kg.6__338__AT5G04240.1 pep chromosome:v.1.0:6:1375490:1380817:1 gene:fgenesh2_kg.6__338__AT5G04240.1 transcript:fgenesh2_kg.6__338__AT5G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVEIPNWLKALPLAPVFRPTDTEFADPIAYISKIEKEASAFGICKIIPPLPKPSKKYVFYNLNKSLLKCPELVSDVDISKVCKEDRAVFTTRQQELGQTVKKTKGEKSKSNSQRSGVKQVWQSGGVYTLEQFETKSRTFYKSQLGTIKEVSPVVVEALFWKTASEKPIYIEYANDVPGSAFGEPEGHFRHFRQRKRRGRGFYQRKTEINDPSGKNGENSSPEVEKAPLASTSLSSQDSSKQKNVDIVDEMEGTAGWKLSNSSWNLQTIARSPGSVTRFMPDDIPGVTSPMVYIGMLFSWFAWHVEDHELHSMNYLHTGSPKTWYAVPCDYALDFEEIIRKNSYGRNIDQLAALTQLGEKTTLVSPEMIVASGIPCCRLVQNPGEFVVTFPRSYHVGFSHGFNCGEAANFGTPQWLNVAKEAAVRRAAMNYLPMLSHQQLLYLLTMSFVSRVPRSLLPGGRSSRLRDRQREEREFLVKRAFVEDILNENKNLSVLLREPGSRLVTWDPDLLPRHNAVALAAAAASAVLPPAVATNELEEGHSELQNKEKTTLLEELSLFMEKLNDVYYDDDDGLLNDFQVDSGTLPCVACGVLGFPFMSVVQPSEKALKDLPERQGDTDAQEITTLSSEKSDCEWKTSSRYIRPHIFCLEHTIELQRLLQPRGGLKFLVICHKDFQKFKAHAAIVAEEVKVPFRYDDVLLESASQEELSLIDLAIEDEENYEHGVDWTSELGINLRYCVKVRKNSPTKKIQHALSLGGLFSDTSQMLDISTMRWLQRKSRSKAKPISTSSFTPREHLEVKADGKLRDNMDSQAGKKEEKIIQYSRKKKLNPKPSAEHGQELATLAKSKDFDKTCNKFTNRSHLDSAIRSEMNSEIGDSGRVIGVSFSINPCSSSFTVGHGQEHPEITVKFGSALDGNVTNSSSMGNTDSADLTLTSISREHQGHSLTSNNNGSNSGSHVVASQTILVSTDNNHGGPRKLSGDYVCSDVFVRGIQEAVEMSDQEFGEPRSTVTNIEDEQQSKLVQPTKREAVSGDHAQVEGAEAVCTIENLCSEIILHTEHSSAQVGMEIPEINTASENIVVDMTHDGEPLESSDILSSSNGDQASSNGLQVLDDELSMESEVSSSENTEVIEAPNSMEEAKKKRKIESECETNDNLERSIGFIRSPCEGLRSRGKRKETCETSLKPTETSDEERKPIAKRLKKTPKACSGSCHQEVPATTHPNRCYLEGCKMTFESKAKLQAHKRNRCTYEGCGKKFRAHKYLVLHQRVHNDERPFECSWKGCSMTFKWQWARTEHLRLHTGERPYKCKVDGCGLSFRFVSDYSRHRRKTLHYVT >fgenesh2_kg.6__3391__AT4G03060.1 pep chromosome:v.1.0:6:23308763:23311009:1 gene:fgenesh2_kg.6__3391__AT4G03060.1 transcript:fgenesh2_kg.6__3391__AT4G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M3A6] MRLMKYIAPPDGDANTTVNDNADLLAKLNIDGDDGVGPNVGVKVNADISDDVNANASVGANVNDDLNVDAEANVAIGGGVNANTDLGVGVNVNSNVDVNAITGATGGDGVEANDDNEEKKLGLPSHTDKNLFTVLFQHEIEGLEVLTEDDKWIRVKPSPNTFTVIAGDSLCVSLMNGRIRAPYHRVRVTEKKKTRYTAAIFTCPKPDYIIEAPIELVDEKHPRLFRPFDYRDLFTFYHSEAGRKVQSTLQAYCAVS >fgenesh2_kg.6__3392__AT4G03030.1 pep chromosome:v.1.0:6:23323988:23325534:1 gene:fgenesh2_kg.6__3392__AT4G03030.1 transcript:fgenesh2_kg.6__3392__AT4G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M3A7] MPLPIFSSRLQCSSSSSSSSRSSFLRPKPRIDPSLTLIPGLSNDVARLILSFVPYPHISRLKPTCKSWYAFLSSKTLISLRHSRDNSNINNLSHLLCIFPQDPSISPPFLFDPVTLSWRSLPLMPCNPHVYGLCNFVAVALGPYVYVLGGSAFDTRSYPLDVPLPTSSVFRYSFVKSVWERLSPMVSPRGSFACAAMPGSSDRIIVAGGGSRHTLFGAAGSRMSSVEIYDVEKDEWREMVELPRFRAGCLGFLVGNEKEKEEDREFWVMGGYGGSRTVSGVLPVDEYYKDAAVMDLRVDGGEKWRIVGDMWGEEVRPKLGKIVAVDCGKPVFFMLDKDWILRYEKGLNRWRKESSVPRKAHYDKPVGFVALNGELLVMILLDGYNLMDTRHTRQQRKAGSLMIHMYDPKKKTWRSVVSKPPFNHQLDFRTTVMCTIRL >fgenesh2_kg.6__3396__AT4G03000.1 pep chromosome:v.1.0:6:23333603:23337096:-1 gene:fgenesh2_kg.6__3396__AT4G03000.1 transcript:fgenesh2_kg.6__3396__AT4G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7M3B0] MNECGAVNGGKVGTSSPPQEKGRKNKRKLADPSPQNAASLTEFPRYELHSLKSQSPLSENDSNGQLKAEESDSVGWDDPFACQLEQLLSSNLLTLFRSAMNQIMDCGYSEDVVLKAISSSRLYCGGNDLVSNIVNDTLSILKSGKNVAGSRDYVFEDLQQLVAYTLVEKISLVREVRPSLSTVEAMWRLLMCDLNVLQAFEVEGDGLEGSSGSNASKSLESPVSECNPSKSSGSDNPKAPISNAQSNQSEPVKFGNFPNVNNSKNPHASGATPGKEVFSVSTASGEGTKSASLTSVSDEKLVSCRKGRTKKEMAMLRQKSCVEKIRTYSKGGGYKTAKFGGFLVEKRSKAASDLLSAQARNSSSKITTDVMKIPLAESSSTLSNNTKSDSPALDVKEHVTALPANNAPATVASEKKSGSEPEEKASVSTKPAPDYCAAIPYDASLGIYVPRNKGDELILKLVPRMKDLQKELQDWTDWANQKVKQATVRLLKDQPELKALRKEKEEAEEFRKEKQLLEENTMKRRSEMELALNNATNQLERTNNTIRRLELEQSLLKREREAANIRAAESAESCREAKERVQRLLKNAQSWEGQKVLLQEELKSQKDKVAELQQEVAKAKTRQNQIEATWKQEKAATGKLTTQAAALKKERGKLEELGKAEEERIKTKAENDVKYYIENIKRLDTEISKLKLKSDCLKIAALKKGIDGSNDKSGMNHTTTTKANPMAATKVWENNHRAESKIKRERECVMCLSEEMSVIFLPCAHQVLCSKCNQLHEKEAMEDCPSCRAKIQRRIQARFSHG >fgenesh2_kg.6__3397__AT4G02990.1 pep chromosome:v.1.0:6:23337291:23339419:-1 gene:fgenesh2_kg.6__3397__AT4G02990.1 transcript:fgenesh2_kg.6__3397__AT4G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:UniProtKB/TrEMBL;Acc:D7M3B1] MKIRFCSGFTKPGFLLVHFEPPSFFSVRSRSLSDSTYGNYKKRPGIGIGTVQCAIANRRFSSRSVDSSPKRERSSRPSSRRDRDKDKGRDSQSLYSRPSLLEMNKEKAANRAKVYEFLRGIGIVPDELDGLELPVTADVMKERVEFLHKLGLTIEDINNYPLVLGCSVKKNMVPVLDYLGKLGVRKSTFAEFLRRYPQVLHASVVIDLAPVVKYLQGLDIKPSDVPRVLERYPEVLGFKLEGTMSTSVAYLVGIGVARREIGGVLTRYPEILGMRVARIIKPLVEYLENLGIPRLAVARLIEKRPHILGFELDDTVKPNVQILQDFDVRETSLPSIIAQYPEIIGIDLKPKLETQKKLLCSAIDLNPEDLGSLIERMPQFVSLSESPMLKHIDFLTKCGFSIDQTREMVIGCPQVLALNLGIMKLSFEYFQKEMRRPLQDLVDFPAFFTYGLESTVKPRHKKIIKKGIKCSLAWMLNCSDEKFEQRMSYDTIDIEEVESDPSSFDMSTLMQPEREEESDSEYEEEENDDDEEFA >fgenesh2_kg.6__3398__AT4G02980.1 pep chromosome:v.1.0:6:23339850:23341554:1 gene:fgenesh2_kg.6__3398__AT4G02980.1 transcript:fgenesh2_kg.6__3398__AT4G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLSVGSASSSPIVAVFSVALLLFCFSETTLGAPCPINGLPIVRNISDLPQDNYGRPGLSHMTVAGSVLHGMKEVEIWLQTFAPGSETSIHRHSCEEVFVVLKGSGTLYLSETHGNFPGKPIEFPIFANSTLHIPINDAHQVKNTGHEDLQVLVIISRPPIKIFIYEDWFMPHTAARLKFPYYWDEQCVQESQKDEL >fgenesh2_kg.6__3399__AT4G02940.1 pep chromosome:v.1.0:6:23369542:23374479:-1 gene:fgenesh2_kg.6__3399__AT4G02940.1 transcript:fgenesh2_kg.6__3399__AT4G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7M3B5] MTIAAAQARQTDRSATGFTFATTAKAVAVPVQVPPGTVVSEGLGKDALISWFRGEFAAANAIIDAMCSHLRITEEAVSGSEYEAVFAAIHRRRLNWIPVLQMQKYHSIAEVAIELQKVAAKKAEDLKLKKTEEEEDLKEVAAEEEEVKKKDCFNGEKLTENDVNGDVEDVEDDSPTSDITDSGSHQDVHQTAAADTAHQILCQNHEDCDARSCEIKPIKGFQAKEQVKGHTVNVVKGLKLYEELLKEDEISKLIDFVAELREAGINGKLAGESFILFNKQIKGNKRELIQLGVPIFGHVKADENSNDTNNSVNIEPIPPLLESVIDHFVTWRLIPEYKRPNGCVINFFEEGEYSQPFLKPPHLEQPISTLVLSESTMAYGRILSSDNEGNFRGPLTLSLKQGSLLVMRGNSADMARHVMCPSQNKRVSITFFRIRPDTYHNHSQPNSPRNDGVMTMWQPYQMTPTPFLNGYDHSIDMMPKLGVLRPPMVMMAPPPVQPMILPSPNVMGTGGGTGVFLPWASVNSSRKHVKHLPPRAQKKRLLPLPPAASSSPAGGSTSEPVISVG >fgenesh2_kg.6__3400__AT4G02930.1 pep chromosome:v.1.0:6:23388985:23391648:1 gene:fgenesh2_kg.6__3400__AT4G02930.1 transcript:fgenesh2_kg.6__3400__AT4G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Tu [Source:UniProtKB/TrEMBL;Acc:D7M3B6] MASVVLRNPSSKRLVPFSSQIYSRCGASITSSYSISHSIGGDDLSSSTLGTSSLWRSMATFTRNKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAIAFDEIDKAPEEKKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSGPDGPMPQTKEHILLARQVGVPSLVCFLNKVDVVDDPELLELVEMELRELLSFYKFPGDDIPIIRGSALSALQGTNDEIGRQAILKLMDAVDEYIPDPVRVLDKAFLMPIEDVFSIQGRGTVATGRIEQGTIKVGEEVEILGLREGGLPLKSTVTGVEMFKKILDNGQAGDNVGLLLRGLKREDIQRGMVIAKPGSCKTYKKFEAEIYVLTKDEGGRHTAFFSNYRPQFYLRTADITGKVELPENVKMVMPGDNVTAVFELIMPVPLETGQRFALREGGRTVGAGVVSKVMS >fgenesh2_kg.6__3404__AT4G05320.5 pep chromosome:v.1.0:6:23403328:23404890:1 gene:fgenesh2_kg.6__3404__AT4G05320.5 transcript:fgenesh2_kg.6__3404__AT4G05320.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M3C0] MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKKVSLRTSSVSSSLESSLRMVVLWPTTTSRRSRPFTWSFVSVVAFKTCL >fgenesh2_kg.6__3406__AT4G02880.1 pep chromosome:v.1.0:6:23405646:23409071:-1 gene:fgenesh2_kg.6__3406__AT4G02880.1 transcript:fgenesh2_kg.6__3406__AT4G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKAVYRSLTELFPQIDARLLKAVAIEHPKDANEAAAVVVSEIVPFFYPNLADNSTQPENRTPGNVPNKVERAMQNGVLSGSETGSSSSSGSIPLAVDCDHESRAPITESISSRNQLTHVMPNVDLDIQSNAKIGLSGSEESGVVSSENPVSFQAGAKSTSHGCQGVGFHITGSNQAEASTSSESEDAVHKLVYPADNSAMTQKSPPLQIRFGSIDIVNETSSGSLAVENSDAELSGSNLVDVTSKGSLAVENGDPELVGAFSSVVSRSTQGCNIVHLEQIIEDAKSNKKTLFTVMESIMNLMREVELQEKDAEKAKEDASRGGFDTLDKVEELKKMLEHAKEANDMDAGEVYGERSILTTEVNELENRLLNLSEERDKSLSVLDEMREVLEIRLAAALEIKNAAEQEKQEKEGSARMAFAEQEAIMEKVVQESKLLQQEAEENSKLREFLMDHGRIVDSLQGEISVICQDIRHLKEKFDNRVPLSQSITSSQTSCKLASSASSMKSLLLEKPLEASYETAEASSNNTSPKALVNEGKDDRKELLEEGWDFFDKETEL >fgenesh2_kg.6__3407__AT4G02860.1 pep chromosome:v.1.0:6:23411509:23414050:1 gene:fgenesh2_kg.6__3407__AT4G02860.1 transcript:fgenesh2_kg.6__3407__AT4G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKTVKYFVVDAFTDSAFKGNPAAVCFLNDDDNSERDDTWLQSLAAEFNISDTCFLTPITGFDARFSLRWFTPLAEVDLCGHATLASVHCLFSNGLVDSDMVEFVTRSGILSAKRVSDTSELNDGDVKGGSFLIELNFPVVTTCDINLSDVSSSMITKALNGATIVDIKATATNNILVVLPSMESVTELQPRMDDILKCPCDGIIVTAAGSAGSAYDFYSRYFAPKFGVDEDPVCGSAHCALAHYWSLKMNKCDFLAYQASRRSGTIRIHLDKEKQRVLLRGKSVTVMEGHVLV >fgenesh2_kg.6__3408__AT4G02840.1 pep chromosome:v.1.0:6:23417252:23419178:-1 gene:fgenesh2_kg.6__3408__AT4G02840.1 transcript:fgenesh2_kg.6__3408__AT4G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVSIELKNGTIVHGTITGVDVSMNTHLKAVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRIKPKKPTAGNIPPGRGRGRGRGRGRGRGGR >fgenesh2_kg.6__3409__AT4G02830.1 pep chromosome:v.1.0:6:23419559:23421080:-1 gene:fgenesh2_kg.6__3409__AT4G02830.1 transcript:fgenesh2_kg.6__3409__AT4G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIIGHKDRQIWSPSHVLQDPLFDHSNKHQLQKYVKNHHHHGSGEFRRPGAPPLRQTFPLNYNTRKKHQSNWQRSKGDQDRMQAFFLVSPGRTTSGTGVFLPATASHPPTKKPACSPVLLPTRVVQALNLNIHNNGIHISPRPEIRENDSKKKNEMLATPMNIEVENLIDSPEKLLPEEWIY >fgenesh2_kg.6__3410__AT4G02820.1 pep chromosome:v.1.0:6:23424178:23426403:-1 gene:fgenesh2_kg.6__3410__AT4G02820.1 transcript:fgenesh2_kg.6__3410__AT4G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M3C7] MLLRSARPTLASICRLFSAAAGTVDTTAEAAVKTRSGGGGAATNRKETVVGGRDTLGGRLLSLVYTKRSAVVTIRKWKEEGHSVRKYELNRIVRELRKIKRYKHALEICEWMVLQEDIKLQAGDYAVHLDLISKIRGLNSAEKFFEDLPDQMRGHAACTSLLHSYVQNKLSDKAEALFEKMGECGFLKSCLPYNHMLSMFISKGQFEKVSVLIKELKMKTSPDIVTYNLWLTAFASGNDVEGAEKVYLKAKESKLNPDWVTYSVLTNLYAKTDNLEKAKLALKEMEKLVSKKNRVAYASLISLHANLGDKDGVNLTWKKVRSSFKKMNDAEYLSMISAVVKLGEFEQAKGLYDEWESVSGTGDARIPNLILAEYMNRDEILLGEKFYERIVEKGINPSYSTWEILTWAYLKRKDLEKVLDCFEKAIDSVKKWTVNVRLVKAVCKELEEQGNVKGAEKLMTLLQKAGHVNTQLYNSLLRTYAKAGEMALIVEERMAKDNVELDEETKELIRLTSRMRVTEISSTIS >fgenesh2_kg.6__3412__AT4G02790.1 pep chromosome:v.1.0:6:23436824:23439030:-1 gene:fgenesh2_kg.6__3412__AT4G02790.1 transcript:fgenesh2_kg.6__3412__AT4G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7M3D0] MFAQLAPSPSPSPANLSHFVHRRTFRQCTYAVSASLPTANSSRPPPIQIVGGKDLNLDVTRKDDSIGFKLEANEDEIDWMNLESDIRLWTRALRPVQWYPGHIMKTEKELREQLKLMDVVIEVRDARIPLSTTHPKMDAWLGNRKRILVLNREDMISNDDRNDWARYFAKQGIKVIFTNGKLGMGAMKLGRLAKSLAGDVNGKRREKGLLPRSVRAGIIGYPNVGKSSLINRLLKRKICAAAPRPGVTREMKWVKLGKDLDLLDSPGMLPMRIDDQAAAIKLAICDDIGEKAYDFTDVAGILVQMLARIPEVGANALYNRYKIQLEGGCGKKFVTTLGLNLFGGDSHQAAFRILTDFRKGKFGYVSLERPPL >fgenesh2_kg.6__3414__AT4G02770.1 pep chromosome:v.1.0:6:23456107:23456888:1 gene:fgenesh2_kg.6__3414__AT4G02770.1 transcript:fgenesh2_kg.6__3414__AT4G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAAGIFNSAITTAATSGVKKLHFFSTTHRPKSLSFTKTSIRAEKTDSKAAAAPAVKEAPVGFTPPQLDPNTPSPIFAGSTGGLLRKAQVEEFYVITWNSPKEQIFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKITYQFYRVFPNGEVQYLHPKDGVYPEKANPGREGVGLNMRSIGKNVSPIEVKFTGKQSYDL >fgenesh2_kg.6__3416__AT4G02740.1 pep chromosome:v.1.0:6:23490892:23494364:1 gene:fgenesh2_kg.6__3416__AT4G02740.1 transcript:fgenesh2_kg.6__3416__AT4G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPNPPTQSPNSVRTLPGSNHSHTDLIISSLLSFPDSSTISISCSFDRVLDRALASASADESVQDRLVDRTLELASLLLDSTKRCFRKRASVHNSNSWFLPPELTIKVFSMLDTKSLMQAAVCCTMFNKCAMDRLCYSHIDLTTSARYADKGVVSTMINRAGKELRSLKLGRVVRTAGSDSTAPLLSGSCLSPLAYNHGFLGSRLRSLRLYNLRPMKYRSLCDALSVCPNITDLRIVGLYNLTEELFNSLTKKCRLIENLFLETYGYPRTLETKTGSSLVEFVTNCPNLTSLTLIRFGLTDDWARNLADSCRKVKYLNLSRSPTIKGRFLREVGPSCKESLLKTLILRNCPKLQEKEMLEFCNSLLTGSFKSIRHIDISSNRGLASSDRGKRCNKPNFPLERLKEERSDVTFVADFPPLPSSEKPYGVCDEEELRLIEMMEAEDDEVDDEDDSDEESDDASDEDESEDEDMGFNVDYLL >fgenesh2_kg.6__3418__AT4G02725.1 pep chromosome:v.1.0:6:23514352:23515810:-1 gene:fgenesh2_kg.6__3418__AT4G02725.1 transcript:fgenesh2_kg.6__3418__AT4G02725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSISAVSLSPFRPQTQTRLTKLSPRTNLHSFYLKPIRINTKIRSLGGNRREPKDSRFSDENGVVDDMEGFLDNLSLEYDSVWDTKPSWCQPWTIMLTGLSIVACSWVILHSVIVSSLAVGVIGAWWYIFLYSYPKSYSEMIAERRKRVADGFEDIYGKNKTS >fgenesh2_kg.6__3419__AT4G02720.1 pep chromosome:v.1.0:6:23515971:23517452:1 gene:fgenesh2_kg.6__3419__AT4G02720.1 transcript:fgenesh2_kg.6__3419__AT4G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNSLPRRFGKNHGYLDRDYRNGRRSGSDSDEELKGLSHEEYRRQKRLKMRKSAKFCFWENTPSPPRDQNEDSDENVDEILDKNGGEKDNNSKGKEKKGTSDSGKSDSESESDGLRSRKRKSKSSRSKRRRRRSYDSDSESEESDSEDEDRRRRRKSSKKKKSRSRKKRSHYRKTRYSDSDESSDEDSKAEESEISASSSGEEDTKSKSKRRKKSSGSSSKRSKVKKTKSETESDGIEEDDSKMQLEETVKNTELELDEEELKKFKEMIESKKKSSAVDDEEEEADVGPMPLPKAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLNAEEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREAKVMSDLQRLVQRHMGEEVGPNHDPFGATKTDEADD >fgenesh2_kg.6__341__AT5G04260.1 pep chromosome:v.1.0:6:1385321:1386820:-1 gene:fgenesh2_kg.6__341__AT5G04260.1 transcript:fgenesh2_kg.6__341__AT5G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7LXH0] MSEVVNLSSSLRSLNPKISALVPPYLSRQTSSLFSQPRYFKFHSFTDKICLAAERFREVDALKQDGGLQELDDSPVSVELGPICGESHFDQVMEEAQKLGESVVIVWMAAWCRKCIYLKPKLEKLAAEFYPRLRFYHVDVNAVPYRLVSRAGVTVSPTLQLWRDGQKQAEVIGGHKAHFVVNEVREMIENDSIS >fgenesh2_kg.6__3421__AT4G02710.1 pep chromosome:v.1.0:6:23547917:23551857:1 gene:fgenesh2_kg.6__3421__AT4G02710.1 transcript:fgenesh2_kg.6__3421__AT4G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase interacting family protein [Source:UniProtKB/TrEMBL;Acc:D7M3E8] MEIAAKSNSKRMYSWWWDSHNTPKNSKWLQENLADMDNNVKQMIKVLEEDADSFARRAEMYYRKRPELMKLVEEFYRAYRALAERYNHATGVIHKAHETIAEAFPNQVPLIFGDESHVGALTNDVDPQTPDMLPPFRARGNPDELQKDGFGFSLSHVHDVKKNIDFSEEPPFVSNGKARRGLNFNDGDGKGRNDFKAHILSGSERASKAEAEVVALKDSLSKMQVEKEASLAQFEKNLERLSNLESEVSRAQEDSRGLNDRAASAEAEIQTLRETLYKLESEKESSLLRYEKCLQKVANLEDGLSVAHKEAGKINERASKAEAEALALKQSLAKAETDKEAALVQYRQCLNTISNLEERLRKAEEDARLINERAEKAGIEVENLKQTVSKLIKDKEASELQFQQCLNIIADLKVKLHHAQEETQSLSLEIEDGVAKLKFSEEKCLVLERSNQNLHSELDSLLEKLGNQSQKFTEKQTELVKLWSCVQEEHLRFQEAETAFQTLQQLHSQSQEELNNLAVELQTRSQIMKDMEIRNSELHEEIEKTKIENKGLNELNFTSLVEKNLMLEKSISDLNSELESIRKKLKTFEEACRSLSEEKSCLISENQHSAIENIVLIEWLRQLKLEAVGIATEKNDLEGKAKKIGAKLTDAETENLQLKRNLLSIRSEKHHLEDEITNVKNQLREKEKEFEEIKEEKEKLNQEVFKERSKAELWESQAATFFCDKQISAVHETLIEATTRELAEACKNLESKSASKDVNIEKSKRSQAIVLLNESIKALEDYVFVSRESADEISKGDDSMDKFPKLEGMCLRIKAVAEAIMEKEKLLMLENTNAYSMLEASLKQIKELKTGGGRSMRKQDGGSGKMRKQSHEIEMVIKDIVLDQTSDGSSYEIVSKKGNLELDHHGFVELKPVKTHKTETVVKAAKGKSLSEESLIVDKLEIFDGFMDPNREVNKRKVLEKLDSDLQKLENLQITVEDLKSKVETVEKEKTKVGENEYETIKGQLEEAEEAIEKLFTVNRKLTTKAESEKDIDRRRRIYEHARRGSEKIGRLQLEIQRIQFLLMKLEGEREHRARSKISDTKSKVLLRDYIYGGSRSVSMKKRTKKRSAFCGCVQQPLSP >fgenesh2_kg.6__3423__AT4G02690.1 pep chromosome:v.1.0:6:23558522:23560608:-1 gene:fgenesh2_kg.6__3423__AT4G02690.1 transcript:fgenesh2_kg.6__3423__AT4G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate binding protein [Source:UniProtKB/TrEMBL;Acc:D7M3F0] MYQWNLPYRKDDVETGFSSRRPLYPTMLENPELRWGFIRKVYSIIAFQLLATVAVAATVVTVHPIALFFATTGLGLALYIVIIITPLIVLCPLYYYHQKHPVNYLLLGIFTLALAFVVGLTCAFTNGKVILESAILTSVVVLSLTLYTFWAARKGYDFNFLGPFLFGALTVLIFFALIQILFPLGRISVMIYGCLVSIIFCGYIVYDTDNLIKRHTYDEYIWAAVSLYLDIINLFLYLLTVLRALQR >fgenesh2_kg.6__3424__AT4G02680.1 pep chromosome:v.1.0:6:23561901:23566024:1 gene:fgenesh2_kg.6__3424__AT4G02680.1 transcript:fgenesh2_kg.6__3424__AT4G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFYPSDSCKESQLDSLNPQSWLQVERGKLSSSASSSAPLCRESFIKVPQPQILPHYKPVNYVEVLAQIHEELETCPLQERSILYLLQYQVFRGLGETKLRQRSLQSAWQEATTVHEKVVFGSWLRYEKQGEEVITDLLSSCGKFSEEFVPLDIASYFPAITAFSPEAASVKTKRSVSKNVVFKIGEDKIACQRQKIASLSAPFHAMLYGNFTESLLDEIDMSENHVSSSAMRVVRDFSVVGVLIGVSKNLLLEVLVFANKFCCERLKDACDRELASLISSMECAIELMDFALEENSPILATSCLQVFLYEMPDSLTDERVVEVLTRVNRSQVSTMAGNASFSLYSCLSEVSMRIDPRSDRTLGFLEKLVDFAENDRQQVLGFHRLGCMRLLRKEYREAEEAFESAFNLGHVYSATGLARLGYIQGHRLWAYEKLSSVISSVSPPLGWMYQERSFYCEGDKKLEDLEKATELDPTLTYPYMYRAVTLMSKQNAKAALEEINRILGFKLALECLEIRFCLYLGMDDYEAALRDIQAALTLCPDYRMFDGKVAGRQLRTLVYEHVENWTTADCWMQLYEKWSNVDDIGSLSVIYQMLESDACKGVLYFRQSLLLLRLNCPEAAMRSLQLAREHASSDHERLVYEGWILYDTGHCEEGLQKAKESIRIKRSFEAYFLQAYALAESSLDPSSSSTVVSLLEDALKCPSDRLRKGQALNNLGSVYVDCEKLDLAADCYINALKVRHTRAHQGLARVHFLRNDKAAAYEEMTRLIEKAQNNASAYEKRSEYCDRELAKSDLEMVTRLDPLRVYPYRYRAAVLMDSRKEREAIAELSRAIAFKADLHLLHLRAAFHEHIGDVTSALRDCRAALSVDPNHQEMLELHSRVNSHEP >fgenesh2_kg.6__3426__AT4G02660.1 pep chromosome:v.1.0:6:23575961:23590371:1 gene:fgenesh2_kg.6__3426__AT4G02660.1 transcript:fgenesh2_kg.6__3426__AT4G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKWGTLLKDLKDKVGAAELIAVSDPTTPPSSSSAASPSSSYAASAQHDFNLLSPTSRDKLKLELDFKRFWEEFRSSSSEQEKEAALNLSVNTFCRLVKQHANVDQLVTMLVEPHIFSFVIGRAFVADVEKLKICSKTRSLDVDKLIKFFSEVTEEGLSHGANLLTAIEVLASGPFDKQSLLDSGILCCLIHTLNAFLTYSVATEGEKTEKVEGSVVHIMKALASHPSAAQSLIEDDSLQLLFNMVANGSLMAFSRYKEGLVSSHNIQLHIHAMQILRLLLVNDNGSTASYIRKHHLIKALLMVVKEFDPDCGDSAYTMGIVDLLLECVELSYRPETGGVRLKEDIRNAHGYHFLVQFALILSSMPKDIVFAFNHSSPPKNSGSDDSEKQPPLSLNSRQNDEFASKNFSPSLSRLLDVLVTLAQTGPIESSGTSTSLLSHAKPTGYRRSQTPSGNNQYDDAWEQGSGKVKDLEAVQMLQDIFLKAENKDLQAEVLNRMFKIFTSHLENYRMCQELRTVPLLVLNMGGFPSSLQELILKILEYAVTVVNCVPEQELLSLCFLLQQPINSELKHTILSFFVKLTSFDQQYKKVLGEVGVLEVLQDDLKQHKLLRGPDQYSGVSNHLDRVPSSPSFKQHLDNKDAIISSPKLMESGSGNLPIFEVERTITVGWDCMISLLKKSEANQEAFRSANGVTIILPFLMSDEHRTSVLRILSLLITEDIKQVHHEELEAVIDVLKSGMVTRVSGHQYKLHYEARCDIMGALWRIIGVNGSAQRVFGEATGFSLLVTTLHTFQGEEECRDESHLMVYIKLFKHLLRLMTTAVCENAINRMKLHSVIISQTFYDLLVESGLLCVDLERQVIQLLLELALEILLPPFLTSESMASAETAESEKASFLVNTPSGQFNPDKQKIYNAGAVRVVIRSLLLFTPKMQLEFLNLLERLARASPFNQENLTSAGCVELLLEIIHPFLPGSSPFLSHALKIVEVLGAYRLSPSELKMLCRYVMQMRVMNSGPSLVGMMEKLILMEDTGLEHVSLAPFVEMDMSKTGHASVQVSLGERSWPPAAGYSFVCWFQFRNFLTTQGKESEVYKAGGSSKTPILSGQQSDQNVFRIFSVNAISNESPSYAELYFQEDGILTLATSNSNSLSFSGLETEEGKWHHLAVVHSKPSALAGLFQASVAYVYIDGKLRHMGKLGYSPSPVGKSLQVIVGTPATCARACGGGSMAILDLLDTDMSSSSSIQKFDDSNRQGDFKAHGSGIVWDLERLGNLSIKLPGKKLIFAFDGTCSEFMRATGSFSLLNLVDPLSAAASLIGGIPRFGCLVGNVSICRQNVIGNSIRPVGGMAVVLALVEAAESRDMLHMALSLLACALHQNSQNVKDMETYRGYHLLALFLRPKMTLFDMQCLEIFFQISACEAFFSEPKKLESGQSTISMSPTEIIPENNYEDPSLSKFQYETSSVGSHGDMDDFSGPKDSFSHLSELEMGDSVETSNCIVLSDADMVEHVLLDWTLWVTAPVSIQIALLGFLENLISMLWYRSHNLTILRQINLVKHLLVTLQRGDVEVLVLEKLVILLGCILEDMFLTSELEDVVRFVIMTFNPPEIKSRNSSMRESMGKHVIVRNLVLEMLIDLQVTIKAEELLEQWHKIVSSKLITYFLDGAVHPSSMRWIMTLLGVCLTSSPNFSLKFFASGGYQGLIRVLQSFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMPDDGSHVELKFVDLLDSVVAMAKSTFDRLIMQSMLAHQSGNLSQVSASLVEGNTDMTGELQGEALMHKTYAARLMGGEASAPATATSVIRFMVDLAKMCPQFSAACRSTEFLQKCADLYFSCVRFASYAFCINMAKQLSMKAEEQNISGGDDSSAEGTFCRLRHQDQSTKTSISAGSFPQEQASVSSEDMSFPSDYVSVDKVENILTTPPGESNKSFQGREYVMKEDGDHVGPVSVSSERKSLDLTGSSSQVQPIDSQSSESFSMLESPLLSEKSSLEVPFIPSPSPAVSWLGSNYIESKSSTISTPHPSHISVSEFDASSDQSSGSQGSSAAHTLFKISPKVLLETDESGYGGGPCSAGASAVLDFMAEVCADIMTDQIKAVQALESILEMLPLYVDPECVLVFQGLCLSRVMNYLERRFLRDDEEDDKKLDKRKWSANLDAFCWMIVDRVYMGAFPQPTGVLRTLEFLLSILQLANKDGRVEEVTSSGKGLLSIGRATRQLDAYVHSILKNTNRTILYCFLPSFLITIGEEDLPSRLGLLAESTKKQTSKLSVEESGIDISAVLQLLVANKNIILCPSNLDTDLNCCLCVNLISLLHDQRKNVQNMASNIIKYLLVHRKSALEDLLVKKPHRGQKFDVLHGGFDRLLTGNLPEFSKWLKSSEQIISKVLEQGAAVMWIQYMAGSAKFPDVRMKGMDGRRTREMGRKSRDTSKLDLKHWEQVNEQRYALEVVRDAMSAELRVVRQNKYGLILHAESVWQSHLQHLVHERGIFPLRISRGTEDHKWQLCPIEGPYRMRKKLERCKLKIDSIHNLLEGKLELREIELLKSENEDVLVISDMDSEPAFLLSELYSESFSEEADDLKDVRSARNGWNSDRSSSKNEASLHNALSFGGKSSTTAASVPISDNTDEKSETGSPIKSSSSGKMDEIRAVEEESEKELNDNGAYLIRPYLEHLEKIRFRYNCERVVGLDKHDGIFLIGELCLYVIENFYIDDHGCICEKECEDELSVIDQALGVKKQFTGSLESQSKSSTLWSTTIKIGAVGGRAWAYGGGAWGKEKVRVTGNLPHPWRMWKLDSVHEILKRDYELRPVAVEIFSMDGCNDLLVFHKKEREEVFRNLLAMNLPRNSMLDTTISGSAKQESKEGSRIFKLMAKSFTKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWILADYDSESLDLSDPNNFRKLDKPMGCQTPEGEEEFSKRYESWDDPEVPKFHYGSHYSSAGIVLFYLIRLAPFSAENQKLQGGQFDHADRLFNSIRETWLSAAGKGNTSDVKELIPEFFYMPEFLENRFNLDLGEKQSGEKVSDVVLPPWARGSVREFIRKHREALESDYVSENLHHWIDLIFGHKQRGKAAENAVNVFYHYTYEGNVDVDAVTDPAMKASILAQINHFGQTPKQLFQKPHVKRRTDRKFPPHPLKHSMHLVPRDIRKCSSSVNQIITFNDKLLVAGSNCFLKPRGYKKYIRWGFPDRTLRFMSYDQDKLLSTHENLHEGNQIQCAGVSHDGRIVVTGADDGLVSVWRVSKDGPRGSRRLQLEKSLCAHTAKVTCLRVSQPYMMIASSSDDCTVIIWDLSSLNFVRQLPNFPVPVSAVYINDLTGEIVTAAGSVLAVWSINGDCLAVVNTSQLLSDLIVSVAGSTFSDWLETTWYVTGHQSGAVKVWRMVHLTEQKD >fgenesh2_kg.6__3429__AT4G02640.2 pep chromosome:v.1.0:6:23594509:23596806:-1 gene:fgenesh2_kg.6__3429__AT4G02640.2 transcript:fgenesh2_kg.6__3429__AT4G02640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIFSIDDFSDPFWETPPIPLNPDSSKPVTADEVSHSQPEWTFEMFLEEISSSAVSSEPLANNAIVGVSSAQSLPSVSGQNDFEDVSRLRARDSGNWDCAAAPTTTVIVDSDDYHHRVLKDKLETECAAVRAGSVKPEDSTSSPETLFQPVQSSPLTHQGSLMTPGELGVTSSLPAEVKKSGVPMKQVTSGSSREYSDDDDLDEENETTGSLKPEDVKKSRRMLSNRESARRSRRRKQEQTSDLETQVNELKGEHSSLLKQLSNMNHKYDEAAVGNRILKADIETLRAKVKMAEETVKRVTGMNPMLLGRSSGHNNNRMPLTGNSRMDSCSTIPAFQPHSNLNHMSNPNIGIPTILPPRLGNNFVPPPSLNSQTSSPLQRIRDGQNHHVAPSANPYGWTTEPQNELAWPKKCGD >fgenesh2_kg.6__3430__AT4G02630.1 pep chromosome:v.1.0:6:23598233:23599954:-1 gene:fgenesh2_kg.6__3430__AT4G02630.1 transcript:fgenesh2_kg.6__3430__AT4G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M3F8] MADSHSVNNQLSRHTSIFGLRLWVVLGVCVGAAIVLLLVLISLWFIYRRSNKNKALESSSKSNHTIVPVVSKEIQVDPSRPPIQPDPTPETHQNQEREDDSKIHIEIGKDHRISYPERGGWTGSGSGDQGLLMSSGPEVSHLGWGHWYTLRELEVSTNGFADENVIGQGGYGIVYRGVLEDKSMVAIKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAHRMLVYEYVDNGNLEQWIHGGGLGFKSPLTWEIRMNIVLGTAKGLMYLHEGLEPKVVHRDIKSSNILLDKQWNSKVSDFGLAKLLGSEMSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLVMEIISGRSPVDYSRAPGEVNLVEWLKRMVTNRDAEGVLDPRMVDKPSLRSLKRTLLVALRCVDPNAQKRPKMGHIIHMLEAEDLISKDDRRNSSGGGGGGIEQGRSPRRKTNVNESEDESGDSVLINNDQLALEKKESQ >fgenesh2_kg.6__3435__AT4G02590.2 pep chromosome:v.1.0:6:23609455:23612535:1 gene:fgenesh2_kg.6__3435__AT4G02590.2 transcript:fgenesh2_kg.6__3435__AT4G02590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNPHDNLSDQTPSDDFFEQILGLPNFSASSAAGLSGVDGGLGGGAPPMMLQLGSGDEGSQMGGLGGSGPTGFHNQMFPLGLSLDQGKGPGFLRPEGGHGSGKRFSDDVVDNRCSSMKPVFHGQPMQQPAPSAPHQPTSIRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPTVNKTDRAAMIDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDMPLSSSVEDETGDGGRTPQPAWEKWSNDGTERQVAKLMEENVGAAMQLLQSKALCMMPISLAMAIYHSQPPDTSSVVKPETNPPQ >fgenesh2_kg.6__3438__AT4G02580.1 pep chromosome:v.1.0:6:23614295:23617250:-1 gene:fgenesh2_kg.6__3438__AT4G02580.1 transcript:fgenesh2_kg.6__3438__AT4G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARLAAKRLLEIRQVFRQPTSQVSRSLSTALNYHLDSPDNKPDLPWEFSEANQSKVKEILSYYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVIEVAPIRVYEVATFYSMFNRAKVGKYHLLVCGTTPCMIRGSRDIESALLDHLGVKRGEVTKDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYFEDVTPEKVVEIVEKLRKGEKPPHGTQNPKRIKCGPEGGNTTLLGEPKPPQFRDLDAC >fgenesh2_kg.6__343__AT5G04280.1 pep chromosome:v.1.0:6:1405768:1409076:1 gene:fgenesh2_kg.6__343__AT5G04280.1 transcript:fgenesh2_kg.6__343__AT5G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEGSRIFVGGLSPEVTERDLERAFSRFGDILDCQIMLERDTGRSRGFGFITFADRRAMDESIREMHGRDFGDRVISVNRAEPKLGRDDGESHGSRGGRDSGYSVTGKGSFGGGGGGRVAEDECFKCGRVGHWARDCPSAAGGRGGPVGGFSSRAGAFGGSDVRVDRYADRDRYVDRERYIDDRYDGAARFGARDRFDSREAYIPRDRYASDRYAAQADRFAGGDRYSRGSDRYPPGSYDKARSFERDAVPSAGSDRYGGGRAGGPIRGGEEGRGFRSRAGAPYERPSRSGGGGAYPSSSTFDRY >fgenesh2_kg.6__3447__AT4G02520.1 pep chromosome:v.1.0:6:23640706:23641883:1 gene:fgenesh2_kg.6__3447__AT4G02520.1 transcript:fgenesh2_kg.6__3447__AT4G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIKVFGHPASIATRRVLIALHEKNLDFELVHIELKDGEHKKEPFLSRNPFGQVPAFEDGDLKLFESRAITQYIAHQYENQGTNLLQADPKNISQYAIMAIGMQVEAHQFDPVASKLAWEQLFKSIYGLTTDQAVVAEEEAKLAKVLDVYEARLKEFKYLAGDTFTLTDLHHIPAIQYLLGTPTKKLFTERPRVNEWVAEITKRPASEKVL >fgenesh2_kg.6__344__AT5G04290.1 pep chromosome:v.1.0:6:1409492:1415953:1 gene:fgenesh2_kg.6__344__AT5G04290.1 transcript:fgenesh2_kg.6__344__AT5G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGKGKQVAGDESSSGGKKRKNTVEFRDEGLRIKKRNNPGVLQFFEESAEVGYYGGSSDEDDDGLGFLNDMEDEPEVEESAKPGKGEKGKESFVFPKEEDINEEEFDRMMEERYKPGSGFLRYADDDVKDAIEMDALAPTSKDPPIWKVKCAIGRERRSAFCLMHKFVELKKIGTKLQIISVFSLDHVKGFIFIEADKEHDVLEACKSLTGIYATRMVLLPKAETPNLLTVQRKTKKVSEGTWARVKNGKYKGDLAQIVAVSDTKSKALIKLIPRIDIQALTQKYGGGVTVQKGQSPAPRLISSSELEEFRPLIQVRRDRDTGITFEHLDSMMLKDGYLYKKVSLDSISSWGVIPSKEEILKFTPVDRKETGDVEWISEIYGEERKKKILPTGRGGGKGEGSGGGKGEGSSEFKSESSYELYNLVCFSRKDFGLIVGVDDKGDGYKVLKEGLDGPVVVTVGKKEMQNGPFDSKFTALDLNNKQISINDVGKQGVVRQVYRGIIFIYDESEEENGGYFCCKSHSCEKVKLFTEDSNEKTGGFDASAFGDSVSSPKSPLSPEKEWQPRERYNNSNQGDRGSTYSIGQKLRIRVGPLKGYLCRVIALRYSDVTVKLDSQHKVFTVKSEHLVEVRDRNTALSTSGDAGIGSFQPFDMLGTESSTGDWAKGAGTSGEGGNWNIGGPSTDSGDKLSSTDLCVASFCGLVLFFFAGRRVSMLNVSWFNCTVRTEKNPWGSKPTSDVWPTVADDNTSTWANAAAENKPASACDQPGGWDSWGKTPASEAGTVSGWGDTSASNVEASSWGKQGASTSNVADSGSWGMHGGSSGGNKQDEDSVWGKLCEASESSQKKEESSWGKKGGSESGLGTGDGESSWANKDGNSSASNKDGVSWGQQEKGSDGSKGGSAWGNQGGGFGSGEKKDGSSGWNKSAEDSNANSKGVPGWGKPDGGSSWGKQDKQEGVASWGKKDDGGSWGNKDDGVSSWGKKDDGQKDDGGSSWGKKDDGGSSWGKKDDGGYSWGKKDDGGSLWGKKDDGGSSWGKKDDGGSSWGKKDDGGYSEQTFDMGGRGFGGRRGGGRRGGRDQFGRGSSFSNSEDLAPWNKPSGGSSWGQQDSDGGGSSWGKENDTGGGSGWGKQDSGGGGSSWGKQNDASGSGSSWGKQNNAGGGSSWGKQDTGGDGSSWGKQDGGGSSGSGWGKQNNASGGSSWGKQSDAGGGSSWDKQDGGGGGSSWGKQDGGGGSGSAWGKQNDTSGGSSSWGKQNDSGGGSSWGKQDGGGGGSSWGKPDNDGGGGGSSWGKQGDGGSKPWNEQSGGGRGFGGSRGGGGFRGGFRGGRNQSARGGRSFDGDQSSSWKTDNQENTWKSDQSGGSDWKKGWGENSNNSKPSGSSSGGGAGNWPSWDTNSKRETNDKPGDESKSACWGTSNDQANTDNNNDTWNKKPNNDVGTSGEADNAWGGNTNAEVPSPSGSAAWGTGDKKTGW >fgenesh2_kg.6__3450__AT4G02500.1 pep chromosome:v.1.0:6:23649783:23651890:-1 gene:fgenesh2_kg.6__3450__AT4G02500.1 transcript:fgenesh2_kg.6__3450__AT4G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERCLGAYRCRRIQRALRQLKVTILCLVLTVVVLRSTIGAGKFGTPEQDLDEIRQHFHARKRGEPHRVLEEIQTGGDSSSSSGDGGGNSGGSNNYETFDINKIFVDEGEEEKPDPNKPYTLGPKISDWDEQRSDWLAKNPSFPNFIGPNKPRVLLVTGSAPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEIEFLWWMDSDAMFTDMAFELPWERYKDYNLVMHGWNEMVYDQKNWIGLNTGSFLLRNNQWALDLLDTWAPMGPKGKIREEAGKVLTRELKDRPVFEADDQSAMVYLLATQRDAWGSKVYLESGYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQIYGFTHKSLASRKVKRVRNETSNPLEMKDELGLLHPAFKAVKVQTNQV >fgenesh2_kg.6__3451__AT4G02485.1 pep chromosome:v.1.0:6:23671190:23672898:-1 gene:fgenesh2_kg.6__3451__AT4G02485.1 transcript:fgenesh2_kg.6__3451__AT4G02485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAENLRAAFGDSSDDEDRPGKETIGIGESTVWERVEEINGLWLCRNFLSIAHQSDLLSAILNEGWFVEESINQAMRFGDLPSWATELSDLIRETVESVDLPVLSADLLWREPLFDQLIVNLYQPGEGICAHVDLLRFEDGIAIVSLESPCVMRFSPAEKEEDEYVDILLTPGSLILMSGEARYRWKHEINRKQNGFQVWEGEEIDQKRRISITLRKLCQA >fgenesh2_kg.6__3452__AT4G02480.1 pep chromosome:v.1.0:6:23678979:23686020:1 gene:fgenesh2_kg.6__3452__AT4G02480.1 transcript:fgenesh2_kg.6__3452__AT4G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:UniProtKB/TrEMBL;Acc:D7M3H3] MVETRRSSSASKRFCASSSSPEASSSQRPNKRSKVKIDAAASALEPAAAAEPAGSSSASEVPIENQGPASDPGSESGEPELGSSDPQAMDAEKPVVITDVPVMENSPETDANPEVEVLATPTVAAEVMADAEKSKAAKKRALKAPWAKLLSQYSQTPHRIMRGPVFTVGRKGCDLSIRDQTMPSTLCELKQSENGGPSVASLEIIGNGVIVQVNGKCYQKSTCVHLRGGDEVIFSIAAKHSYIFQPLKDENLAAPDRASSLSICEARGAPLKGVHVETRAGDSSAVDGASILASLSKYRNLHLLPPIAKAAKRQQNPAVPVVPSSFNDYYISDTDMNDADSNNDHAAVASVEKTAAASTSCTANENLNVDGSGLDPFQEADGGNVPGPGYEIRPIVHLLGESSSFDIRGSISKLLDERREVREFLREFDLSSTISTRRQAFKDSLRGGVLNAQNIEISFENFPYYLSATTKGVLMTSMFVHMNGGSKYANFATDLTTACPRVLLSGPSGSEIYQEMLVKALAKNFGAKLMIVDSLLLPGGSPAREAESSKEGSRRERLSMLAKRAVQAAQVLQHKKPTSSVDADITGGSTLSSQALPKQEVSTATSKSDRVKFVGPSASAISSLQGPLRGPAPGFQGKVLLAFEDNCASKIGIRFDRSVQDGNDLGGLCEEDHGFFCAASSLRLEGSSSDDADKLAINEIFEVAYSESEGGSLILFLKDIEKSLVGNSDVYATLKSKLETLPENIVVMASQTQLDSRKEKSHPGGFLFTKFGGNQTALLDLAFPDNFGKLHDRSKETPKSMKQITRLFPNKVPIQLPQDEALLSDWKEKLDRDTEILKVQANITSILAVLAKNRLDCPDLGTLCIKDQTLPSESVEKVVGWAFGHHLMICKEPIVKDNKLVISAESITYGLQMLHDLQNENKSLKKSLKDVVTENEFEKKLLSDVIPPSDIGVSFDDIGALENVKETLKELVMLPLQRPELFGKGQLTKPTKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMINWDGLRTKDRERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDATNRSKILSVILAKEEIAPDVDLEAIANMTDGYSGSDLKNLCVTAAHLPIREILEKEKKEKTVAQAENRPTPPLYSCTDVRPLTMNDFKAAHDQVCASVSSDSSNMNELQQWNELYGEGGSRKKTSLSYFM >fgenesh2_kg.6__3453__AT4G02460.1 pep chromosome:v.1.0:6:23688738:23693292:1 gene:fgenesh2_kg.6__3453__AT4G02460.1 transcript:fgenesh2_kg.6__3453__AT4G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDLSPSPTTTSSPLIRPINRNVIHRICSGQVILDLSSAVKELVENSLDAGATSIEINLRDYGEDYFQVIDNGCGISPTNFKVLALKHHTSKLEDFTDLLNLTTYGFRGEALSSLCALGNLTVETRTKNEPVATLLTFDHSGLLTAEKKIARQIGTTVTVRKLFSNLPVRSKEFKRNIRKEYGKLVSLLNAYALIAKGVRFVCSNTSGKNPKSIVLNTQGRGSLKDNIITVFGMNTFTSLQPVSICISEDCRVEGFLSKPGQGTGRNLADRQYFFINGRPVEMPKVSKLVNELYKDTSSRKYPVAILDFVVPGGACDLNVTPDKRKVFFSDENSVIGSLREGLNEIYSSSNASYIVNRFEENSEQPDKAGVSSFQEKSNLMSKEIVLDVGSKTRQGEAIAGENQSSREAEIDNSSPMEKFKFDIKARGTKKGEGSLSPHDMSLTVTHLDKTTSKGLPHLNVMEKVTNASKDLGSRSTFAQSTLNTFVTMGKRKHENISTILSEVPVLRNQTSSYRVEKSKFEVRALASRCLMEGDQVDGMDISKEDMTPNEMDSELGNQIAPGTQTDNTERHEREHEKPICFEEPTSDNTLTKGDVERISEDNPGCSQPLRSVATVLDSPAQSTGPKMFSTLEFSFQNLRERRLERLSRLQSTGYVSKCMNTPRPKKCFAAATLELSQPDDEERKARALAAATSELERLFRKEDFRRMQVLGQFNLGFIIAKLERDLFIVDQHAADEKFNFEHLARSTVLNQQPLLQPLNLELSPEEEVTVLMHMDIIRENGFLLEENPSAPAGKHFRLRAVPYSKNITFGVEDLKDLISTLGDNHGECSGVSSYKTSKTDSICPSRVRAMLASRACRSSVMIGDPLRKNEMQKIVEHLADLESPWNCPHGRPTMRHLVDLTTLLTLPDDDNDDDDATISLA >fgenesh2_kg.6__3455__AT4G02440.1 pep chromosome:v.1.0:6:23695753:23698991:-1 gene:fgenesh2_kg.6__3455__AT4G02440.1 transcript:fgenesh2_kg.6__3455__AT4G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M3H7] MAESTFSCVPEDVVFNIFFKLQDDPRNWARLACVCTRFSSIVRNVCCKTQCYSAIPTVISDLLPPSSSTAADSSLPPPGGWASLFKLAVCCPGLFHAGILLENSDFGLERELGPDQNLDPKPSTTDLASDDGEVSKPLGSGLETTSFWSLYDDLYTDTIPAPQEEIETSDIRPGRDLPVRKRRKICRSLGSHLASGGWNLSREQGNKLLASRFRGDCLYICNWPGCIHVEEKRNYMLFRGVFKDFKRSRVWRTINDGNHRSKISGLKCAFCLCDETWDLHSSFCLRRVFGFHDDGEPVVRAYVCENGHVSGAWTA >fgenesh2_kg.6__3458__AT4G02425.1 pep chromosome:v.1.0:6:23702788:23704000:1 gene:fgenesh2_kg.6__3458__AT4G02425.1 transcript:fgenesh2_kg.6__3458__AT4G02425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKHRESSRSSIESCTSQLLSWRPFHRSKTLDSSDQPPQSNGFHSTPKRPCFSDRSTSFSIEAMSRLSLADDDNGGKTLSASNYSNRGSLRLVARKRRRCNSRSVSGRSSDRSGTRKCCSIGAHGTCSDLPFAVGTDSSGELFGEANWASDVSEAARNSRRDSGGEKEASGGFGFAIGVDPMGNESGYGSEPGYRGDAEFGYGDEFDDEEEDAKPLFWGDTDSTMGMSRETKFSDAKPQFRCRRRRQHIDYKTVDSMR >fgenesh2_kg.6__3459__AT4G02420.1 pep chromosome:v.1.0:6:23723074:23725080:1 gene:fgenesh2_kg.6__3459__AT4G02420.1 transcript:fgenesh2_kg.6__3459__AT4G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKLFTIFFLSFFWQSIKSSSKILDFAYNGFHPPLTNVSVQGIATITPNGLLKLTNTTMQSTGHAFFTKAIRFKDSPNGTVSSFSTTFVFAIHTQIPIAHGMAFVVAPNPSLPFASPLQYLGLFNVTNNGNDRNHVFAVELDTIMNIEFNDTNNNHVGIDINSLNSVESSPAGYWDEKDHFNNLTLISHKRMQVWVDYDGHSHRIDVTMAPFGENKPRKPLVSTVRDLSSVLLQEMFVGFSSATGNIVSEIFVLGWSFRVNGEAQPLALSKLPRLPVWDPKPTRVYRFYKNWVPLISLLLIPLLLVIFLVRFILRRRRKFAEELEEWETEFGKNRLRFKELYYATKGFKDKNLLGSGGFGRVYKGFMPKMKKEIAVKRVSNESRQGLKEFVAEIVSIGQMSHRNLVPLVGYCRRRDELLLVYDYMPNGSLDKYLYNRPEVTLNWKQRFKVINGVASALFYLHEEWEQVVIHRDVKASNVLLDAELNGRLGDFGLAQLCDHGSDPQTTHIVGTWGYVAPDHIRTGRATTGTDVFAFGVLLLEVACGRRPIEIDNETGERVVLVDRVLRFWMEGNILDAKDPNLGYEYDQKEVEMVLKLGLLCSHPDPQARPSIRQVLHYLRGEAMLPNLSPLDLRGNGMMLGIHHGSSESGMFTSGSSVAYSLLSGGR >fgenesh2_kg.6__345__AT5G04310.1 pep chromosome:v.1.0:6:1416543:1420950:-1 gene:fgenesh2_kg.6__345__AT5G04310.1 transcript:fgenesh2_kg.6__345__AT5G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7LXH4] MVAHERIHNLQKPTCICIIWFCLLVSLSHHGRASSSSLSSSSSIFNLSLPHQHPFPEHVVLNVQRKLNDSLSRRQLLTYQQDDGTTASSPIPSCITGNPIDDCWRCDPNWSENRQRLADCSIGFGQGTLGGKGGRFYLVTDSSDNDAAYPIPGTLRHAVIQPEPLWIVFSSDMGIKLKHELIIGSYKTIDGRGTNIQITGHGCLTIQQVSHVIIHNVHIHHCKPSGNTLVASSPTHVGFRGVSDGDGISVSASHHIWVDHCSLGYCADGLIDVILASTAVTISNNYFSHHDEVMLLGHDDRYTADKGMQVTIAFNHFGEGLVQRMPRCRHGYIHVVNNDFTAWEMYAIGGSASPTINSQGNRYTAPIDPNAKEVTKRVDSNEKHWSGWNWRTEGDVMVNGAFFVPSGDGVSPAYARATSVQPKAAAIIDQLTVNAGVFGDPSGRNGQGGSFPGITDGGGTITRGYSKSGPGGSSGDSDDGLFTVIFGNNSGAVALRPGQVWSILLIIIILFWYIPHHTR >fgenesh2_kg.6__3460__AT4G02410.1 pep chromosome:v.1.0:6:23734348:23736561:1 gene:fgenesh2_kg.6__3460__AT4G02410.1 transcript:fgenesh2_kg.6__3460__AT4G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M413] MFFKLFTIFFFFVLLSQPLKSSSQILDFTYNSFHRPPTNISIQGIATVTPNGILKLTDKTVISTGHAFYTEPIRFKDSPNDTVSSFSTTFVIGIYSGIPTISGHGMAFFIAPKPVLSSAMASQYLGLFNSTNNGNDTNHILAVEFDTIMNPEFNDTNDNHVGININSLTSVRSSPAGYWDENGRFNNLTLISRKRMQVWVDYDDRTNQIDVIMAPFGEVKPRKPLVSVVRDLSSVFLQDMYLGFSSATGYVLSEHFVFGWSFMVKGKTAPPLTLSKIPKFPRVGPTRLQRFYKNRMPLFSLLLIPLLFVVLLIFLVRFIVRRRRKFAEELEDWETEFGKNRLRFKDLYYATKGFKDKDLLGSGGFGSVYRGVMPTTKKEVAVKRVSNESRQGLKEFVAEIVSIGRMSHRNLVPLLGYCRRRDELLLVYDYMPNGSLDKYLYDCPEVTLDWKQRFNVIVGVASGMFYLHEEWEQVVIHRDIKASNVLLDAEYNGRLGDFGLARLCDHGSDPQTTRVVGTWGYLAPDHVRTGRATTATDVFAFGVLLLEVACGRRPIEIEIESDESVLLVDLVFGFWIEGNILDATDPKLRSDYDQREVEMVLKLGLLCSHSDPQVRPTMRQVLQYLRGDAILPDLSPLDFRGSGKMLGIHQGFSESSTCTSGSSIAYSILSGGR >fgenesh2_kg.6__3463__AT4G02400.1 pep chromosome:v.1.0:6:23738523:23742215:-1 gene:fgenesh2_kg.6__3463__AT4G02400.1 transcript:fgenesh2_kg.6__3463__AT4G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRRSSSKTLAKNKKRKGPHLPNSILKTIANEKRPLNSDEEDDEIHSDDENVDMYEYEEAEPEEESKKNNRYDRVDNYEYELPEDFESDDDDDGGNSDNEDGAGDDDRHTRMLQDLTGMPSAAFQGESKRRPVLFTEAYPESEFNPTRDVLEGKGLISVEDLLAPLEGKPGFNDLKKRINRMQKDTQSVVHAPLPKPERERLERKAVKGLVEKEFNKWVHLVKRNREAPTVYFNQTVSVGYSTVGAIASEFQPRTEFEKKMASVLNDNELGEAHKDDGAKLLELNEATYLTYNIIWHVAALKIVSMEDHIKYRDHIAKMRSLLFRHELKSKRIKKIKSKTYHRLKGKDLKKSAMGALMDLEMAKEEAIKQETRRVEERMTLKHKNTGKWAKRMLSRGLNERYDGTRAAISEQLQINATLSRKMNSTNDGSSSDESDDEEELSCGSDLDTPSKLIAKAREKTLKTVEDDEVPNSGLLSLPFMARAMKKKNEEVNEEAKRAFEEYEELENSGGAENPRKSADVSGRRVFGATSKVEAPKESKKDSDNFYDNSDSDNDMEGIENNDLGGIGDTASPARNTGAITEAEKLDDDVENPVSKTTFDVALFASGSWKKMKGCQNAESKKPPKTHKPVSKGQDKKESRDEESEDSESEAEQMVDGILTSASKETYEIPSQAELIQRAFAGDDVVDEFEKDKQEVLNQEVPEPEKPVLVPGWGQWTNIQRKRGLPSWMVREHEDAKKKRKLDLKTRKDYRLRNVIISEKADKKADKLHTTTLPFPYTSKEVFEHSMRMPIGPEFNPATIVGALNRPEVVKKAGVIIKPVKFEEVNPNEKVDEENPRSHQKQRPKKGSKTSKGQSKIKSKLKTKA >fgenesh2_kg.6__3468__AT4G02370.1 pep chromosome:v.1.0:6:23756113:23756887:-1 gene:fgenesh2_kg.6__3468__AT4G02370.1 transcript:fgenesh2_kg.6__3468__AT4G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLPILIASLLFLSSLAAVVAAESDTPTAYSLLQSYNFPVGILPKGVVAYDLDTTTGKFHAYFNDSCSFSLVGSYQLNYKSTISGYISENKLKKLTGIKVKVLFLWLNIVEVIRNGDEMEFSVGITSANFAIQEFLESPQCGCGFECKESKSRNPFVSSS >fgenesh2_kg.6__3469__AT4G02360.1 pep chromosome:v.1.0:6:23757925:23758487:-1 gene:fgenesh2_kg.6__3469__AT4G02360.1 transcript:fgenesh2_kg.6__3469__AT4G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYANTCTIIFFLLFCTVSFAVPDQKPTAYDAVKRYNLPPGILPNGVIDYELNPKTGDFKVYFNDTCEFTIQSYQLKYKSTISGVISPGHVKNLKGVSVKVLFFWVNIAEVSLDGADLDFSVGIASASFPAADFEESPQCGCGFDCNNGLLFSS >fgenesh2_kg.6__3470__AT4G02350.1 pep chromosome:v.1.0:6:23759183:23761782:-1 gene:fgenesh2_kg.6__3470__AT4G02350.1 transcript:fgenesh2_kg.6__3470__AT4G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:UniProtKB/TrEMBL;Acc:D7M420] MQSAKGRRKVGSTTAGTGIDSAEKLDELLISSAICNGEDLGPFVRKTFGTGKPETLLHHLKFFARSKESEIEEVCKAHYQDFIHAVDDLKSLLSDVESLKSALSDSNSKLQSVAAPLLSSLDSLVEAQTVSKNVDLAIGAVTHCVRVMELVSRANQHLQSGNFYMALKCVDSIESDLMEKTPSSTLKRMLENRIPAIRSYVERKVNKEFGDWLVEIRVVSRNLGQLAIGEASAARQREEELRIKQRQAEEQSRLSLRDCVYALNEEEDDEFGSGHEGSDGGSSGGGLLGFDLTPLYRAYHIHQTLSLGDSFKQYYYNNRDLQLTSDFQIAGFFIVEDRVLRTGGGLISKLEVETLWDTAVTKMCAVLEDQFSRMQTANHLLLIKDYVSLLGVSLRRDKYHELLLSDCRKQITEALSADKFEQMLMKKEYEYSMNVLSFQLQTTDIVPAFPVIAPFSTTIPDCCRIVRSFIEDSVSFMSHGGQLDFYDVVKKYLDRLLGEVLDEALLKLINTSVHGVPQAMQVAANMAVFERACDFFFRHAAHLSGVPLRMAERGRRHFPLTKSQNAAEDTLSGMLKKKVDGFMTLLENVNWTSDDIPQGGNEYMNEVLMYLETLVSTAQQILPPKVLKRVLRDVIAHISEKIVGTLCGDLVKRLSMAAIKGLDVDIQLLDSFTENLTPFLTDKEAREMKKAFIEIRQMINLLLSSHPENFVNPVIRERSYNALDYRKVATVSEKFRDPSDSIFGTFGTRGSRQNPKNKSLDALIKRLKDVS >fgenesh2_kg.6__3471__AT4G02340.1 pep chromosome:v.1.0:6:23762554:23764337:-1 gene:fgenesh2_kg.6__3471__AT4G02340.1 transcript:fgenesh2_kg.6__3471__AT4G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEHTTVSTNGINMHVASIGSGPVILFLHGFPDLWYSWRHQLLSFAALGYRAIAPDLRGYGDSDAPPSRESYTILHIVGDLVGLLNSLGVDRVFLVGHDWGAIVAWWLCMIRPDRVNALVNTSVVFNPRNPSVKPVDAFRALFGDDYYICRFQEPGEIEEDFAQVDTKKLITRFFISRNPRPPCIPKSVGFRGLPDPPSLPAWLTEEDVSFYGDKFSQKGFTGGLNYYRALNLSWELTAPWAGLQIKVPVKFIVGDLDITYNIPGTKEYIHEGGLKKHVPFLQEVVVLEGVGHFLHQEKPDEITDHIYGFFKKFRTRETASL >fgenesh2_kg.6__3472__AT4G02330.1 pep chromosome:v.1.0:6:23764515:23767187:-1 gene:fgenesh2_kg.6__3472__AT4G02330.1 transcript:fgenesh2_kg.6__3472__AT4G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7M422] MLSPKLFLVTLFLSLQTLFIASQNLLPSSSNSSSTICKTTPDPKFCKSVFPQTSQGDVREYGRFSLRKSLTQSRKFTRTIDKYLKRNNALLSQSAVGALQDCRYLASLTTDYLITSFDTVNITTSSKTLSFSKADEIQTLLSAALTNEQTCLDGINTAASTSWTIRNGVALPLINDTKLFSVSLALFTKGWVPKKKKQVAGYSWAHPKNTHSHTKPFRQFRNGALPLKMTEHTRAVYESLSRRKLADDDNDVNTVLVSDIVTVNQNGTGNFTTITEAVTAAPNKTDGTAGYFVIYVTSGVYEENVVIAKNKRYLMMIGDGINRTVVTGNRNVVDGWTTFNSATFAVTSLNFVAVNMTFRNTAGPEKHQAVAMRSSADLSIFYSCSFEAYQDTLYTHSLRQFYRECDIYGTVDFIFGNAAVVFQNCNLYPRQPMQNQFNAITAQGRTDPNQNTGISIHNCTIKPADDLVSSNYTVKTYLGRPWKEYSRTVFMQSYIDEVVEPVGWREWNGDFALSTLYYAEYNNTGSGSNTTDRVVWPGYHVINSTDANNFTVENFLLGDGWMVQSGVPYISGLLS >fgenesh2_kg.6__3475__AT4G02290.1 pep chromosome:v.1.0:6:23807219:23810097:1 gene:fgenesh2_kg.6__3475__AT4G02290.1 transcript:fgenesh2_kg.6__3475__AT4G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7M426] MALLLFSSSFRVTIFLSFFFFLCNGFTYPTSSLFNTHHHRHHVAKHNYKDALTKSILFFEGQRSGKLPSNQRMSWRKDSGLSDGSALHVDLVGGYYDAGDNIKFGFPMAFTTTMLSWSVIEFGGLMKSELQNAKIAIRWATDYLLKATSSPDTIYVQVGDANKDHSCWERPEDMDTVRSVFKVDKNTPGSDVAAETAAALAAAAIVFRKSDPSYSKVLLKRAIRVFAFADKYRGTYSAGLKPDVCPFYCSYSGYQDELLWGAAWLQKATKNLKYLNYIKINGQILGAAEYDNTFGWDNKHAGARILLTKAFLVQNVKTLHEYKGHADNFICSVIPGAPFSSTQYTPGGLLFKMADANMQYVTSTSFLLLTYAKYLTSAKTVVHCGGSVYTPGRLRSIAKRQVDYLLGDNPLRMSYMVGYGPKFPRRIHHRGSSLPCVASHPAKIQCHQGFAIMNSQSPNPNFLVGAVVGGPDQHDRFPDERSDYEQSEPATYINAPLVGALAYFAHAYGQL >fgenesh2_kg.6__3476__AT4G02280.1 pep chromosome:v.1.0:6:23811624:23815771:-1 gene:fgenesh2_kg.6__3476__AT4G02280.1 transcript:fgenesh2_kg.6__3476__AT4G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:D7M427] MANPKLTRIISTRDRVQDTLSAHRNELVALLSRYVDQGKGILQPHNLIDELESVIGDDATKQSLSDGPFGEILKSAMEAIVVPPFVALAVRPRPGVWEYVRVNVFELSVEQLTVSEYLRFKEELVDGPNSDPFPLELDFEPFNANVPRPSRSSSIGNGVQFLNRHLSSVMFRNKDCLEPLLDFLRVHKYKGHPLMLNDRIQSISRLESQLNKAEDHISKLSQETPFSEFEYALQGMGFEKGWGDTAGRVLEMMHLLSDILQAPDPSTLEKFLGMVPMVFDVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALESEMLLRIKRQGLDITPRILIVTRLIPDAKGTTCNQRLERVSGTEHTHILRVPFRSDKGILHKWISRFDVWPYLENYAQDAASEIVGELQGVPDFIIGNYSDGNLVASLMAHRMGVTQCTIAHALEKTKYPDSDIYWKDFDNKYHFSCQFTADLIAMNNADFIITSTYQEIAGTKNTVGQYESHGAFTLPGLYRVVHGIDVFDPKFNIVSPGVDMAIYFPFSEETKRLTALHSSIEEMLYSPEQTDEHVGTLSDRSKPILFSMARLDKVKNISGLVEMYSKNTKLRELVNLVVISGNIDVNKSNDREEIAEIEKMDNLVKSYKLDGQFRWITAQTNRARNGELYRYIADTRGAFAQSIRLLAMKTCILRGFWAYGSGSDDLRASDFRHLSGGPAEIIEHGLSGFHIDPYHPEQAGNIMADFFERGREDPNHWKKVSDAGLQRIYERYTWNIYSERLMTLAGVYGFWKYASKLERRETRRYLEMFYILKFRDLVKTVPLTADD >fgenesh2_kg.6__3477__AT4G02270.1 pep chromosome:v.1.0:6:23817901:23818887:1 gene:fgenesh2_kg.6__3477__AT4G02270.1 transcript:fgenesh2_kg.6__3477__AT4G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen ole e 1 allergen and extensin family protein [Source:UniProtKB/TrEMBL;Acc:D7M428] MAFSRLSFAASLVVFSSLIISSVAYYGNEADPETGKLIPIAVEGVIMCKSGGKTYPIQGATARIACVKVDAYGKEIVPISIMSSKTDAKGYFFATIFPSQLRAGRTVTKCKAYLYKSPIADCDFPTDVNKGVRGQTLSKYRVLEDKSFKLYWAGPFFYTSEPTYY >fgenesh2_kg.6__347__AT5G04320.1 pep chromosome:v.1.0:6:1422614:1425730:1 gene:fgenesh2_kg.6__347__AT5G04320.1 transcript:fgenesh2_kg.6__347__AT5G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMTLMKALAHRNKLVELSGIEIQKLRINLRSVQEKNLQLAQANSQMLAELNTNRDRLKEFQHELGCKNALLKVKKQLEEQALLCTHHESKDKVSANASDGDCKSFQVHDMKHKDTKRKRTPRIKPSVSADVKPIHVTESNKKANSKRRVSGVIDTTGIPEETCQTKDDIEKGVDNVVNKKFVPDAANPLKDSVHRKRQCTRRQSTRFDVQETEQTEKLLVMDDAKESKETQRLSLKRRSARLRPEEVEPCKSFREGDEVRETIKRRRVSSRLSARFDIQEPHATETSNADVAGSIVIEESAGSRSEAVEPSESRHDTKETNRKHSVSTRRQSTKGKSQTDEAIEEIATDPSLGNNIVQECGHEAESKDKPKADENEGMTRRSSLGRPSRHAAEKVQSYREVSLKVKMPRKC >fgenesh2_kg.6__3480__AT4G02260.1 pep chromosome:v.1.0:6:23819048:23825324:-1 gene:fgenesh2_kg.6__3480__AT4G02260.1 transcript:fgenesh2_kg.6__3480__AT4G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASSMSVSVECVNICNLTKGDGNARSDCNALSCAWKAPRALTGFLATTAHPPVCSLYSCGRNGRKNRMKACAWRRYEYEVGFSEAPDVVNVRNILKSKLSCGGHKRWELYCVPVESSGASDDVTVETLWEDLFPSISYLPCKELEFVQKGLKLAFEAHHGQKRRSGEPFIIHPVAVARILGELELDWESIVAGLLHDTVEDTNFITFEKIEEEFGATVRHIVEGETKVSKLGKLKCKTESESIQDVKADDLRQMFLAMTDEVRVIIVKLADRLHNMRTLCHMPPHKQSSIAGETLQVFAPLAKLLGMYSIKSELENLSFMYVSAEDYDRVTSRIANLYKEHEKELTEANRILVKKIEDDQFLDLVTVNTDVRSVCKETYSIYKAALKSKGSINDYNQIAQQLRIVVKPKPSVGVGPLCSPQQICYHVLGLVHEIWKPIPRTVKDYIATPKPNGYQSLHTTVIPFLYESMFRLEVQIRTEEMDLIAERGIAVYYNGKALSAGLVANAVPLGRNSRGKTGCLNNADFALRVGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVVDYAYLIHTEIGNKMVAAKVNGNLVSPTHVLENAEVVEIVTYNALSSKSAFQRHKQWLQHAKTRSARHKIMRFLREQAAQCAAEITQDQVNDFVADSDSDLEDLTEDSRKSLQWWEKILVNVKQFQSQDKSRDTTPTPQNGSVWVPKVNGKHNKAIKNSSLDEPEFLLPGDGIAKILPANIPAYKEVLPGLDSWRDSKITTWHHLEGHSIEWLCVVSMDRKGIIAEVTTVLAAEGIAVCSCVAEIDRGRGLAVMLFQIEANFESLVSVCAKVDLILGVLGWSSGCSWPKSTDNAQVLEC >fgenesh2_kg.6__3482__AT4G02230.1 pep chromosome:v.1.0:6:23835315:23836711:1 gene:fgenesh2_kg.6__3482__AT4G02230.1 transcript:fgenesh2_kg.6__3482__AT4G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 [Source:UniProtKB/TrEMBL;Acc:D7M432] MVSLKLQKRLASSVLKCGKRKVWLDPNEGSDISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARQLNIAKRKGRHSGYGKRKGTREARLPTKVLWMRRMRVLRRLLKKYRETKKIDRHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKHARREERLAKGPGGDIPAAALPAQTAEVPAKKSKK >fgenesh2_kg.6__3485__AT4G02200.1 pep chromosome:v.1.0:6:23841282:23843361:-1 gene:fgenesh2_kg.6__3485__AT4G02200.1 transcript:fgenesh2_kg.6__3485__AT4G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7M434] MEEDLLGICGFDSSKKYRLEELAKYQSGSCIEFEDVEGDDEMAVNYPCPFCSDDYDLVELCHHIDEEHQLDANNGICPVCSRRVKMHMVDHITTQHRDVFKGLYKDESYSAFSPRTKKYLQSLIDEPLSTNRISKSVPDPLLSFIYNPPSPNQSKLVLPDSSSEASMEFKSSIRDSTKRDWKSLSPLSDMELLEKAKKREFVQGLISSAIFDHISNF >fgenesh2_kg.6__3487__AT4G02195.1 pep chromosome:v.1.0:6:23843795:23845907:1 gene:fgenesh2_kg.6__3487__AT4G02195.1 transcript:fgenesh2_kg.6__3487__AT4G02195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTTVYRKHRDACKSARAPLSLSASDSFGGPVIEMVSGSFSRSNHSSYAPLNSNDPGPSSSDAFTIGMPPAWVDDSEEITYNIQKVRDKMSELAKAHSKALMPTFGDNKGIYREVEMLTHEITDLLRKSEKRLQKLSTRGPSEESNLRKNVQRSLATDLQNLSMELRRKQSTYLKRLQQQKEGQDEVDLEFNVNGKMSRLDEEDELGGMGFDEHQTIKLKEGQHVSAEREREIQQVLGSVNDLAQIMKDLSALVIDQGTIVDRIDYNVQNVSTSVEEGYKQLQKAERTQREGAMVKCATILLALCFIMIVLLILKNILF >fgenesh2_kg.6__3489__AT4G02170.1 pep chromosome:v.1.0:6:23861037:23861596:-1 gene:fgenesh2_kg.6__3489__AT4G02170.1 transcript:fgenesh2_kg.6__3489__AT4G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSQKKIRRNAITHKAWSLVRMALLWGRKGGVFKRWPLFELRSLFSKHLKALARHHSNGDRYYGERQLSFDETPLFNVKKEMHRPTTSMRFLLLPCIAPPLDLDYDFEMDGQDYSDEVTSYGYDESYSEEEKGVDVRAEEFIAKFYEQIKLQRQVSYLEYKQHNDAVSL >fgenesh2_kg.6__348__AT5G04330.1 pep chromosome:v.1.0:6:1425861:1427627:-1 gene:fgenesh2_kg.6__348__AT5G04330.1 transcript:fgenesh2_kg.6__348__AT5G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILLVPLLLFLFRHLFSWRRRLRKPYPPGPKGLPVIGNILIMNHFNHRGLAKLSRIYGGLLHLRLGFSHIFVVSSPDIARQVLQVQDHVFSNRPTTIAIRYLTYGGSDLAFCNYGPFWRRMRKLYVMMLFSRKRAESWVSVDEEVHKSVRFVASKVGKPLNICKLAFSLSRGITFRAAFGSSSSTSDESRLDEFLEIIQEFSKLFGEFNVADYVPSWLSWIDPQGINGRVEKARKSLDGFIESVIDDHYLHKKKREHNNLVEETDMVDQLLTFYEEEVKVNTSDTKINLDNIKGIIMDVMFGGTETVALAIEWVLTELLRSPENMKRVQDEIASVVGLDRRRVEDTHLEKLTFLKCILKETLRLHPPFPLLLHETVEDTEVSGYFIPKGSRVMVNTYALGRDPDSWSDPEIFNPGRFLDPSAPDLKGNNFEFVPFGSGRRSCPGMQLGLYAFELAVAQLLHCFTWSLPDGMKPGDVDTVEGPGLTVPKATPLVAVPTTRLLCPIVY >fgenesh2_kg.6__3490__AT4G02160.1 pep chromosome:v.1.0:6:23869468:23870013:1 gene:fgenesh2_kg.6__3490__AT4G02160.1 transcript:fgenesh2_kg.6__3490__AT4G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQNQFNGGQEEIKNKMKKKRSRGFHVIGVVLYMLRSQRRSKPLNNGFWRRVVESIRQLKNENVTVLPSSNITILPPSSAPVTEEVLASSDDQVSEMVEVFTATSSSCSSGISGYGSAKSLRDMDCLDEDDDDYDDDDEYYGNDDGGDEMIDAKAEEFIVRFYEQMRMQNQAYTERNKAK >fgenesh2_kg.6__3491__AT4G02150.1 pep chromosome:v.1.0:6:23873715:23876607:1 gene:fgenesh2_kg.6__3491__AT4G02150.1 transcript:fgenesh2_kg.6__3491__AT4G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:D7M442] MSLRPSAKTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNKREENLQKKRREGLTSSMAFGSAAGQTEQDLSSAKQLKDNLPSMVAGIWSEDSNSQLEATNLLRKLLSIEQNPPINEVVQSGVVPRVVKFLSRDDFPKLQFEAAWALTNIASGTSENTNVIIESGAVPIFIQLLSSASEDVREQAVWALGNVAGDSPKCRDLVLSYGAMMPLLTQFNDNTKLSMLRNATWTLSNFCRGKPPPSFEQTQPALPVLERLVQSMDEEVLTDACWALSYLSDNSNDKIQAVIDAGVVPRLIQLLAHSSPSVLIPALRTIGNIVTGDDLQTQMVLDHQALPFLLNLLKNTYKKSIKKEACWTISNITAGNANQIQAVIEAGIIQSLVWVLQSAEFEVKKEAAWGISNATSGGTHDQIKFLVSQGCIKPICDLLTCPDLKVITVCLEALENILVVGEAEKNLGHTGDDNLYAQMIDEADGLEKIENLQSHDNIDIYEKAVKILETFWTEDNEEEGNDENHAPQSGFQFGSTNVPPGQFNFI >fgenesh2_kg.6__3494__AT4G02130.1 pep chromosome:v.1.0:6:23881219:23883832:1 gene:fgenesh2_kg.6__3494__AT4G02130.1 transcript:fgenesh2_kg.6__3494__AT4G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M444] MLWITRFSGFFSAAMAVIVLSPSLQSFPPAAAIRSSPSPIFRKAPVVFNNGDECLSSGGVCNPSLVHVAITLDVEYLRGSIAAVNSILQHSICPESVFFHFIVVSEETNLLESLVRSIFPGLKFNIYDFAPETVRGLISSSVRQALEQPLNYARNYLADLLEPCVSRVIYLDSDLVVVDDIAKLWKTSLGSRIIGAPEYCHANFTKYFTGGFWSEERFSGAFRGRKPCYFNTGVMVIDLKKWRRGGYTKRIEKWMEIQRTERIYDLGSLPPFLLVFAGHVAPISHRWNQHGLGGDNVRGSCRDLHPGPVSLLHWSGSGKPWIRLDSKRPCPLDALWTPYDLYRHSH >fgenesh2_kg.6__3497__AT1G16560.3 pep chromosome:v.1.0:6:23902519:23904436:1 gene:fgenesh2_kg.6__3497__AT1G16560.3 transcript:fgenesh2_kg.6__3497__AT1G16560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHYWTSLFLLLSCLFSISNASAGDADPDYRTCISECEISGCVGQLCFPQCNSSSDGGPWYIQEPLYLQWKKWGCQGDCRYQCMVNRERERETLGQAPVKYHGKWPFKRVLGIQEPASVAFSVLNLAMHFHGWLSFFITLYYKLPLKQDKSADVDLTERLDYSSAVAVLGFSLILAILRTFDIRVEATRVMVSAPILAFVTTHILYINFYKLDYGWNMIVCMAMGVTQLFLWARWAAVSSHPSNWKLWVVVIAGGLAMLLEIYDFPPYEGYFDAHSIWHAATIPLTILWWSFIRDDAEFRTSSLLKKTKTKAK >fgenesh2_kg.6__3502__AT1G16570.1 pep chromosome:v.1.0:6:23904387:23907136:-1 gene:fgenesh2_kg.6__3502__AT1G16570.1 transcript:fgenesh2_kg.6__3502__AT1G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7M450] MGKRGRACVVVLGDLGRSPRMQYHALSLARQASFQVDIVAYGECIVIICSKSPIDPHPYHGAATIPSALYPVTLLLKAFIQLTMLLWFLFVKVPAPDTFLVQNPPSVPTLIAVKWASSWRRAAFVVDWHNFGYTLLALSLGRNNMFVSLYRWGKMATGSLKAMQHELDQNWGVRAQVLYDQPPEFFHPALLEERHELFCRVKNDLCHPISRELENQELNETLFTTKIYTDISLKQNRPALVLSSTSWTPDENFGILLEAAVMYDRRVAARSKHLYPNLLFIITGKGPEKEMYEENIKCLNLRHVAFRTMWLPAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCSVSYSCIQELVKDGKNGLLFSSSSELADQLLILFKGFPGNCDALMSLKVGAMETGSSGRWATEWEDCAKPLITQENPSALSVVSQIADS >fgenesh2_kg.6__3504__AT4G02100.1 pep chromosome:v.1.0:6:23933267:23935513:-1 gene:fgenesh2_kg.6__3504__AT4G02100.1 transcript:fgenesh2_kg.6__3504__AT4G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M452] MAVYGEKKHWWLRNKKIVDKYMKEAKNLIASQDPNDVKSALNLLESALSVSPRYELALELKARSLLYLRRFKDVADMLQDYIPSLKLAGEDSGIGSSELSVTHSSRESVKLLNDLPSHHHDSSFKCFSVSDLKKKVMAGLSKNCDEQGQWRYLVLGQACCHLGLMEDAMVLLQTGKRLATAAFRRQSICWSDDSFILFSSEDGGSSPPTSVVVTSGSQPRSESIAHVLSHIKLLLRRRAAALAALDAGLYSESIRHFSKILDSRRGAPQAFLAQCFMHRASAYRSAGRIAESIADCNKTLALDPSCLQALETRAALLESVRCFPDSLHDLEHLKLLYNSILRDRKLPGPVWKRHNVRYREIPGKLCVLTSKIQQLKTKIANGEIGNVDYYALMGIRRDCSRSELDRAYLLLNLKHKPERSMSFIDRFELTDDEEDLDSVKDRARMSTLLLYRLIQKGYSVVTSNIATEQAAEKQRKAVAAETHRSNNIETPVRAVAVAVNSNSTNNNTNVVKGVFCRDLTVVGSLIARTGFNQPIPVKYEALSC >fgenesh2_kg.6__3505__AT4G02090.1 pep chromosome:v.1.0:6:23942538:23943352:-1 gene:fgenesh2_kg.6__3505__AT4G02090.1 transcript:fgenesh2_kg.6__3505__AT4G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVAGSRKLNAASMAARKVVLSDGRVQNLEEETTVAEIMLENPQHVVVEFDPSSISFNNDAKTVKKKLAPLPADKTLEPGKIYLVLPAKRSSGSAAKSSSASAVLTSEEMRKMLFSATAMVRSSFSYYEGILPWFTTRSYKNNIPATDAVVAATSVGRLEAEMEEEERPEFLSRQLSGRGWKPSLDPIKEKKAKKKIHQRFLL >fgenesh2_kg.6__3507__AT4G02075.1 pep chromosome:v.1.0:6:23960892:23963798:1 gene:fgenesh2_kg.6__3507__AT4G02075.1 transcript:fgenesh2_kg.6__3507__AT4G02075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVILFINDTNSKVRISRCRICHEEEEESFFEVPCACSGTVKFAHRNCIQRWCDEKGNTTCEICLQVYRDGYTAVSKQSKFIEEEVTIRVNGRRRSRRLVTIAESDLSQCNSVANRGASFCRSLTFILSVFLLMKHTFDVTYGTEEYPFSVFTVLTLKAIGILLPMFIIIRTISTIQKTLRRLQYLESEEDTLSSDDDDDLEEEEEEQQQHLA >fgenesh2_kg.6__3509__AT4G02060.1 pep chromosome:v.1.0:6:23972026:23976166:-1 gene:fgenesh2_kg.6__3509__AT4G02060.1 transcript:fgenesh2_kg.6__3509__AT4G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase [Source:UniProtKB/TrEMBL;Acc:D7M457] MKDYDFDGDKVLAKEFLENFADANGRSKYMEILQEVSNRKIRAIQVDLDDLFNYKGDIEDFLGRLTENTRRYVSIFSAAVDELLPEPTEAFPDDDHDILMTQRAEDGTDNADVSDPHQQIPSEIKRFYEVYFKAASKGRPSTIREVKASHIGQLVRISGIVTRCSDVKPLMAVAVYTCEECGHEIYQEVTSRVFMPLFKCPSSRCRLNSKAGNPILQLRASKFLKFQEAKMQELAEHVPKGHIPRSMTVHLRGELTRKVSPGDVVEFSGIFLPIPYTGFKALRAGLVADTYLEATSVTHFKKKYEEYEFQKDEEEQIARLAEDGDIYNKLSRSLAPEIYGHEDIKKALLLLLVGAPHRQLKDGMKIRGDVHICLMGDPGVAKSQLLKHIINVAPRGVYTTGKGSSGVGLTAAVMRDQVTNEMVLEGGALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDRADMDSDLELAKHVLHVHQTEESPALGFEPLEPNILRAYISAARRLSPYVPAELEEYIATAYSSIRQEEAKSNTPHSYTTVRTLLSILRISAALARLRFSESVAQSDVDEALRLMQMSKISLYADDRQKAGLDAISDTYSIIRDEAARSNKTHVSYANALNWISRKGYSEAQLKECLEEYAALNVWQIDPNTFDIRFI >fgenesh2_kg.6__350__AT5G04360.1 pep chromosome:v.1.0:6:1441456:1448673:1 gene:fgenesh2_kg.6__350__AT5G04360.1 transcript:fgenesh2_kg.6__350__AT5G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLTPTGSVHLLNSTSVSRPQIFAADFNLRSRWRRRPVSSISNFRLRIPSRTSLHCLCSSSSASSPMSLEVSSPKSQFLDCLIYARAYWVTQGVIAWNVDVGEGSCYLYASKSAGLSFSQDGIDGYDLRIKLEAESGSLPENVIEKFPHIRNYKSFKVPKDLDIRDLVKSQLAVVCFDADKRLIQGTGLQLPGVLDELFPYDGPLGAHFTPEGVSLHLWAPTAQAVSVCIYKNPLDKSPMEICPLKEATDVWSTEGACSWEGCYYVYKVSVYHPSTMKLETCYANDPYARGLSADGSKTFLVNLDSDDLKPEGWDNLAEKKPCLRSFSDISIYELHVRDFSANDETVEPENRGGYLAFTSKDSAGVKHLQKLVDAGLTHLHLLPTFQFGDVDDEKENWKSVDTSLLEGLPPDSTEAQARVTEIQNDDGYNWGYNPVLWGVPKGSYASDPTGPCRIIEFRKMVQALNCTGLNVVLDVVYNHLHASGPHDNESVLDKIVPGYYLRRNIDGFIENSTCVNNTASEHYMVDRLIRDDLLNWVVNYKVDGFRFDLMGHIMKATMVNAKSAIGSLRKETDGVDGSRIYLYGEGWNFGEVAENGRGINASQFNLGGTGIGSFNDRIRDATLGGSPFGHPLQQGFITGLLLQPNGHDHGSEATQELMLSTAKNHIQTGMAANLKDYMLTNHEGKEVKGSEVLMHDAKPVAYASLPTETINYVSAHDNETLFDIISLKTPMEISVDERCRINHLASSMIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNRLDFSYSSNNWGVGLPPKGKNEHNWPLIKPRLQDPSFKPKSSHIVATLHNFLDLLRIRYSSPLFRLDTARAIQERVRFHNTGPTSIPGAIVMSIEDGHKGIPSVSQIDPVYSLIVVIFNARPSEFSYLSPALKDRKLELHPVQVMSGDEIVKKSVYDSFSGGFTVPARTTTVFVESRNG >fgenesh2_kg.6__3510__AT4G02040.1 pep chromosome:v.1.0:6:23979366:23980125:-1 gene:fgenesh2_kg.6__3510__AT4G02040.1 transcript:fgenesh2_kg.6__3510__AT4G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMVLSSQNHRFDHETLIHRNPNSRLCRHSSAASTAPRRRKRSPTVVKSPAANTNLVMEQVKILKRGETLSAFKNKENISSDDTRRPVLKMIKDVDLIVSSTNRIGPEPEILMKQIGASKGLQIFSGAICSISPLPSCVPIPCFLGKNNLLI >fgenesh2_kg.6__3513__AT4G01990.1 pep chromosome:v.1.0:6:24006821:24008547:1 gene:fgenesh2_kg.6__3513__AT4G01990.1 transcript:fgenesh2_kg.6__3513__AT4G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVSRLARRFCATLPASPAAISGEAAASVPTKAKKNPSVYKKLSSLGTRGGKMEETLNQLVMEGVPVKKHELIRYAKDLRKFRQPQRALEIFEWMERKEIVFTGSDHAIRLDLIAKTKGLEAAETYFNSLNDSIKNQSTYGSLLNCYCVEKEEDKAKAHFENMVDLNHVSNSLPFNNLMAMYLRIGQSEKVPALVVAMKQKNITPCDITYSMWIQSCGSLKDLDGVEKVLDEMKAEGEGISSWDTFANLAAIYIKVGLYDKAEEALKSLENKMNPHIRDCYHFLISLYAGIANASEVYRVWDLLKKRHPNVNNSSYLTMLQALSKLNDIDGIKKIFTEWESTCWTYDMRMANVAISSYLKQNMYEEAEAVFNGAMTKCKGQFSKARQLLMMHLLKNDQADLALKHFEAAVLDLDKNWTWSSELISSFFLHFEEAKDVDGAEEFCKTLTKWSPLGSETYTLLMKTYLSAEKACPDMKKRLEEQGIQVDEEQDCLLSKICA >fgenesh2_kg.6__3514__AT4G01970.1 pep chromosome:v.1.0:6:24040470:24043920:1 gene:fgenesh2_kg.6__3514__AT4G01970.1 transcript:fgenesh2_kg.6__3514__AT4G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLNDSLSSIIDVIESKPLCVPQTKPILQPNSFNLSQGTLRAKDSSPILFDVPQNVTFTPFSSHSISTDAPLPILLRVQANAHRGGFLGFTKESPSDLATNSLGRFEDRDFLSLFRFKMWWSTAWIGKSGSDLQAETQWVMLKIPEIDSYVAIIPTIEGSFRASLNPGEKGNVLISAESGSTKVKESSFNSIAYIHICDNPYNLMREAFSALRVHMNTFKLLEEKKLPKIVDKFGWCTWDACYLTVDPATIWTGVKEFEDGGVCPKFIIIDDGWQSINFDGDELDKDAENLVLGGEQMTARLTSFKECKKFRNYKGGSFLSSDASHFDPHKPKMIIYKATERIQAIILRRKLVKESGDQDLHELDEKIKTLSEELNAMFDVEKEESSGSEDVSGSGMAAFTRDLRLRFKALDDIYVWHALCGAWNGVRPETMTDLEAKVVPFDLSPGLDATMTDLAVDKIVEAGIGLVHPSKAHEFYDSMHSYLASVGVTGAKIDVFQTLESVAEEHGGRVELAKGYYDGLTESMIKNFNGTEVIASMQQCNEFFFLATKQISIGRVGDDFWWQDPYGDPQGVYWLQGVHMIHCSYNSFWMGQMIQPDWDMFQSDHVCAEYHAASRAICGGPVYLSDHLGKASHNFDLIKKLAFFDGTIPRCVHYALPTRDSLFKNPLFDKESILKIFNFNKFGGVIGAFNCQGAGWSPKEHRFKGYKECYTTVSGTVHVSDVEWDQNPEAESSQVSHTGDYLVYKQQSEEILFMNSKSDAIKITLEPSAFDLFSFVLVTELGSSGVRFAPLGLINMFNCVGTVQEMELTGDNSIRVDLKGEGRFMAYSSLAPVMCYLNNKEAEFKWEEETGKLSFFVPWVEESGGISHLSFTF >fgenesh2_kg.6__3515__AT4G01960.1 pep chromosome:v.1.0:6:24044857:24046842:1 gene:fgenesh2_kg.6__3515__AT4G01960.1 transcript:fgenesh2_kg.6__3515__AT4G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIVSGEEEDLDVDIEDGRFSETQDITTNLVSAEGDSENGLNHVWSGRLSFDGSEKSADDLVMGDEKRRERSSQSLDLSDMKFDNVKFKKTRKPSKPPRPPKGPLLSANDQKLMREITELAMRKRARIERMKTLRRLKATKSSSPCSSIFAMIVTVIFFVFLIFQGFFTSNASLNSDNSPAPNNSANNRMVSVQFYNEFAPRERIDPSPTTYFRYKRVSGADNEENTREVTR >fgenesh2_kg.6__3517__AT4G01935.1 pep chromosome:v.1.0:6:24057896:24059180:-1 gene:fgenesh2_kg.6__3517__AT4G01935.1 transcript:fgenesh2_kg.6__3517__AT4G01935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLTISSPSTPLIRPLKRNGPNRSPVRKILCLSQRRQSKTGTGKSWIVPVSLSLFGSGFVLGPLLDGLHSRVDLVVYQNGAFQIGPLHTNIWVPFLLGLFYCTVGLLQLLLDEATSIKPPRGNLDKTIISLLALVVFLELSAEMYKAGVSDNIEAYILFALAEFIWFSLDRTWLGFTIATLLGVACPLAEIPIMQFFHLWYYPEANIEIFGQGLVTWTTTCYFVYTPFLINLARWLRTVMERTTTDVDISSSKEQ >fgenesh2_kg.6__3518__AT4G01900.1 pep chromosome:v.1.0:6:24061065:24062735:-1 gene:fgenesh2_kg.6__3518__AT4G01900.1 transcript:fgenesh2_kg.6__3518__AT4G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMTKPISINSLGFCSDRKNIAFSDCISICSGFRHSRPSCLDLVTKSPSHNTRVLPVVRAQSSSDYIPDSKFYKVEAIVRPWSLQKVSSALLKIGIRGVTVSDVRGFGAQGGSTERHGGSEFSEDKFIAKVKMEIVVKKDQVESVINTIIDGARTGEIGDGKIFVIPVSDVIRVRTGERGEKAEKMTGDMLSPS >fgenesh2_kg.6__351__AT5G04370.2 pep chromosome:v.1.0:6:1449994:1452224:1 gene:fgenesh2_kg.6__351__AT5G04370.2 transcript:fgenesh2_kg.6__351__AT5G04370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine:carboxyl methyltransferase family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LXI2] MIECATVYCNNSACFTFKICPTSINKTTERCNGKSENEMKNGDEERISKYSYVSPLCMSGGDGYNSYSTNSLLQRRVLSKAKPVLVKNTKELMINLNFPTYIKVADLGCSSGQNTFLAMSEIINTVNVLCQQRNQNPPEIDCCLNDLPNNDFNTTFKFIQFFNEKNITSKESYFVSGVPGSFYSRLFPRRSLHFVHSSYGLHWLSKVPEGLKKSKMSVYITNSSPLSTYKAYLNQFQTDFATFLKLRSEEMVSNGRMVLTFIGRNTIDNPLHRDCCHFWTLLSRSLRDLVVEGLVSPSKVDSFYIPFYDPNEKEVKEVVQKEGSFEIKDLETHGYDLGHCNQDESKRSKSGKNEANYIRAVSEPLLVAHFGDAITNILFNKFACHVSQHASCRNKTTVSIVVSLTKKKLSSCP >fgenesh2_kg.6__3520__AT4G01895.1 pep chromosome:v.1.0:6:24064344:24064769:-1 gene:fgenesh2_kg.6__3520__AT4G01895.1 transcript:fgenesh2_kg.6__3520__AT4G01895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEEENTEKKRINEIDEDEEEELENKKMEMFFNLIKNYQDAKKRRRRDLTQDSGDTASVPTKRSDYGIVPVFRAEDFSHCMDLNLKPSNSVIPTKNQQEEKQEEEEEEDDDDEGEEDDDEEEEVEKVTIKDNGLDLNLAL >fgenesh2_kg.6__3523__AT4G01880.1 pep chromosome:v.1.0:6:24071745:24073967:1 gene:fgenesh2_kg.6__3523__AT4G01880.1 transcript:fgenesh2_kg.6__3523__AT4G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSSNRCSFWLPKKKRSCANTRIKSSKFCGNHSQRLEGQWIPCPIDPSHSVLQENLEWHVKRCPLLKQTVALSGQRFYQKGINAGNEEEEEEKLGSCYSDSLVTSEMKRKLLYSMSVSQFHQLIKKIEAVHGGICKEIEDSYLSPEACNIWFNKEIDRKLPFQEKHVLQQGSILGNLEEIGALKRCNINVECCESDLKEKDDHSVSAVVEFGAGRGYLTQMLADCYGVKKVYLVERKSYKLKADRSLRQKENLVLERMRIDIEDLNLNVVESLHGVPYVAIGKHLCGPATDLSLRCCLSRQDGESLVLRGLAIATCCHHLCQWKSYINKEYILSLGISKDEFHIMTSFTSWAVDDDHGSNLPSVDDNDLLASNAKEDEEGEVRYDSLSSVEEVVKKMKPMERAVLGFKCKRIIDAGRMKWVNKKHGLDSKLVKYIPASISPENTLLIAGKPNPSVA >fgenesh2_kg.6__3524__AT4G01870.1 pep chromosome:v.1.0:6:24074238:24076283:1 gene:fgenesh2_kg.6__3524__AT4G01870.1 transcript:fgenesh2_kg.6__3524__AT4G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPKGTIIFTTVGRTHYGFDVFSLHIATAVERRLTDGVSVNFNAQFVNDKSDDVVFVSERNGSARIYKTRPGISEPEQLPGAPESYFHDRPIITQSNRLYFISAHEQPDRHFKNWSALYTVELNGEEREVTRVTPLDTADFSPAVSQSGDFLAVASYGSRSWGGEFHEINTDITVFKASEPETRVVICERGGWPTWSGDSTVFFHHQADDGWWSIFRVDIPENFKKYSDFPITPIRVTPSGLHCFTPAAFHDGKRIAVATRRRGVNHRHIEIYDLDHKTFQPVTEPLNPSFHHYNPFVSSNSEFLGYHRFRGESTQGESIVPNIESIVSPIKTLRLLRINGSFPSSSPNGDLIALNSDFDINGGIKVAKSDGSKRWTLIKDRTAFYNSWSPTERHVIYTSLGPIFRPAGIAVQIARIKFDPSDLTADKEELPCDVKILTLENTGNNAFPSCSPDGKSIVFRSGRSGHKNLYIVDAVNGESNGGGIRRLTEGPWIDTMPCWSPKGDLIGFSSNRHNPANIDAFGAYVVRPDGSGLRRILISGPEGSEEAARERVNHVSFNKDGDWLVFTANLCGITAEPVAMPNQFQPYGDLYVVKLDGTGLRRLTWNGYEDGTPTWHTADELDLSRMSLNGQDGDKLDGQFEEPLWISCDI >fgenesh2_kg.6__3526__AT4G01860.2 pep chromosome:v.1.0:6:24076605:24083598:1 gene:fgenesh2_kg.6__3526__AT4G01860.2 transcript:fgenesh2_kg.6__3526__AT4G01860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7M482] MSEENSRRKWNPHAGPYLGEVSSLAFLNLPQHVSSIPYLLAGSGSEILLYDLSSGELIRSFQVFEGVRVHGTVCSSSFIRSTDRYTYKLVIFGEKKVKIFSLIVELASGSGEISVNLEIFDSLPRLSNWVFDVCFLQDSTGSLGDEDKLLAIGCSDNSLSIWDVKESRMAFEIQSPERCLLYTMRLWGDSISTLRIASGTIFNEIIVWRTVGFDGDNADHGHYSASPMLRLTGHEGSIFRIVWSLDGSKLVSVSDDRSARIWEIDSQEVVGPVLFGHSVRVWDCCISDSFIVTAGEDCTCRVWGVDGTQLEVIKEHIGRGIWRCLYDPNSSLLVTAGFDSAIKVHQLHNRGSETLLDAVGVLNSPDKVEYFSTCLPNLTEHTGLTDSKSEYVRCMQLTQEDTIYVATNHGCLYHARLLSSGNVRWTELVRIPEEGPIITMDVMSGGKVRESCALDDWVALGDGKGNMTIVRVIGDIYNPHAGLNQSWKASPERQLLGAFWCKSLGYRFVFSCNPRGLLKLWKLSGPSESAASSAAETYHVSLLAEFSSCFGKRIMCVDASVEDEVILCGDLRGNITLFPLSKDMLNGVSVSSELKIPSLKYFKGAHGISSVSSLSVARLTSNKAEICSTGADGCICYFEYDRERQTLEFMGLKQLKELNLVQSVCQGVQFSKDHPNNDYAAGFASTDFILWNLTAEAKVTQITCGGWRRPHSFYLGEIPEWQNCFAYLKDDVIHIHRHWVVGKKTKVFPLNLHTQFHGRELHSLCFISADTKAGFDESKLSDRSSWIATGCEDGSVRLTRYVSEFGNWSTSELLGEHVGGSAVRSVCCVSNMHMISSDMPNVPDVCEQDSAVDDSESPCLLISVGAKRVVSSWLLRNGRQNKKGESCISDNGHNRASSEVSSVTFQWLATDMPTKSRPCGKTEKSPKLDGVDEDTTANITKLGSNTYHERENYEDDWRYMAATAFLVKCVGSRLTICFIAVACSDASLTLRALVLPHRLWFDVASLVPLKSPVLSLQHAVVHLHPPHEGNTSSSDVYLLISGATDGSIAFWDVTKCVEAFVKQVSSIHIEKFIDCQLRPRTGRGSQGGKKWKLLGSKISKKPQENSNSVGEAAATSLELTNGVPQENHEYEGADSPPETSEIKPSHVVKNAHQSGVNCLYVSRSSSSPSNGNSLMFNVISGGDDQALHCLSFNILSSSNSPARKSEIMDLNQTPSYRIRLTDRGGIASAHSSAIKGVWMDVNWVFSTGLDQRVRCWFLDKDGKLIEHAHLVISVPEPEALDAKAIDENRYQIAVAGRGIQMVEFSGY >fgenesh2_kg.6__3529__AT4G01840.1 pep chromosome:v.1.0:6:24088822:24090455:-1 gene:fgenesh2_kg.6__3529__AT4G01840.1 transcript:fgenesh2_kg.6__3529__AT4G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHQPLISPQSRFRLQPIPENPDSSSSAAITIPRSISNTSFFHEISQERLLHHQDLEESVQDDNDEDSDSDETNRFLSNTRPLHRCRTAPAMVIIKDLRPKNQETKKPSPISKSIIKQAIFLLVIYLTLGVSIYSFNRDHYSGIETHPVVDALYFCIVTMCTIGYGDIAPLTPWTKIFAVVFVLFGFGFLDILLSGVVNYVLDLQESMILTGIQTKQHHHHHHRFSAKDYIIDFEKGRMRIRMKVGLALCVVVLCIGVGALVLHFVEELGFVDSVYLSVMSVTTVGYGDRAFKTLQGRLFAAVWLLVSTLAVARAFLYLAEARIDRRHRKAVKLALNRDITVDDLLKADTYHHGFISKSEYIVFKLKEMGKITEKDINQVGMQFEKLDPNNLGKITLPDLLGGPL >fgenesh2_kg.6__3530__AT4G01830.1 pep chromosome:v.1.0:6:24093554:24098188:1 gene:fgenesh2_kg.6__3530__AT4G01830.1 transcript:fgenesh2_kg.6__3530__AT4G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:p-glycoprotein 5 [Source:UniProtKB/TrEMBL;Acc:D7M485] MKMGILEENTKTVPFYKLFSFSDSTDVLLMIVGSIGAIVNGVCSPLMTLLFGDLIDALGQNQNNEEIVEIVSKVCLKFVYLGLVALGAAFLQVACWMITGERQAARIRSLYLKTILRQDIGFFDVETSTGEVVGRMSGDTVLILDAMGEKVGKFIQLIATFFGGFAIAFVKGWLLTLVMLTSIPLLAMSGATMAIIVSKASSREQAAYAKASTVVEQTLGSIRTVASFTGEKQAMSSYRELINLAYKSNVKQGFVTGLGLGVLFLVFFCTYALGIWFGGEMILRKGYTGGAVINVMVTVVTSSMSLGQATPCLTAFAAGKAAAYKMFETIERKPSIDTFDLNGKVLEDIRGVIELRDVCFSYPARPKEEIFGGFSLLIPSGATVALVGESGSGKSTVISLIERFYDPNSGQVLIDGINLKEFQLKWIRGKIGLVSQEPVLFSSSIMENIRYGKESATVEEIQTAAKLANAAKFINKLPRGLETLVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQEALDRVMVNRTTVIVAHRLSTVRNADTIAVIHRGKIVEEGSHSELLKNHEGAYSQLIQLQEINKESKRLEISDGSISSGSSRGNNSRRQDDDSVSVLGLLAGQENTKRPQELSQNVSITRIAALNKPEIPILILGTLVGAVNGTIFPIFGILFAKVIGAFFKAPQELKRDSRFWSMIFLLLGVASLIVYPTNNYLFAIAGGRLIRRIRSVCFEKVIHMEVGWFDKPENSRGAMGARLSADAALIRTLVGDSLCLSVKNVASLVSGLIIAFTASWELAVIVVVIIPLIGINGYVQIKFMKGFSADAKRKYEEASQVANDAVGSIRTVASFCAEEKVMEIYNKRCEDTFKSGIKQGLISGLGFGLSFFILYSVYATCFYVGARLVKAGKTNFNNVFEVFLALALTAIGISQASSFAPDSSKAKGAAVSIFRIIDGKSKIDSRDESGMVLENVKGDIELCHISFTYQTRPDVQVFRDLCLTIRAGQTVALVGESGSGKSTVISLLQRFYDPDSGHITLDGVELKKLQLKWLRQQMGLVGQEPVLFNDTVRANIAYGKGGQETTETEIVAASELANAHTFISSIQQGYDTVVGERGIQLSGGQKQRVAIARAIVKEPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGTHETLINIEGGVYSSLVQLHISASN >fgenesh2_kg.6__3532__AT4G01810.1 pep chromosome:v.1.0:6:24098548:24102509:1 gene:fgenesh2_kg.6__3532__AT4G01810.1 transcript:fgenesh2_kg.6__3532__AT4G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPQRTSVNYPGTLTPIEPNRPSPQPDRTPVPHSPPVVASPIPPRFPQPSFRPDQMSSPSMKSPNLLSPANGIRTGSPIPRLSTPPGPPVFNTPVKPAAVPFRTSPATPQPMAYSSATASSLPVSTPSFYSNGSSVGTQRDLPDVVRMEEPIAADSPYVLFSANKVLKQKKLANVPSLGFGAIVSAGREISPGPQIIQRDPHRCLNCGAYSNPYSSILIGSGQWQCVICENMNGSKGEYVASSKNELQNFPELSLPLVDYVQTGNKRPGFVPASDSRTSAPVVLVIDECLDEPHLQHLQSSLHAFVDSLPQTTRLGIILYGRTVSIYDFSEESVASADVISGSKSPSAESMKSLIYGTGVYLSPMHASLKVAHEIFSSLRPYTLNVPEASRDRCLGTAVEAALAIIQGPSAEMSRGVVRRAGGNSRIIVCAGGPITYGPGSVPHSMSHPNYPYMEKTAIKWMENLGREAHRHNTVVDILCAGTCLLRVPVLQPLAKASGGVLVLHDDFGEAFGVDLQRAATRAAGSHGLLEVRCSDDILITQVIGPGEEAHSETHETFKSDAALCIQMLSVEETQSFSLSMENKRDIKSDHVFFQFAFHYSDVYQADVSRVITFKLPTVDSISAYLQSVEDEASAVLISKRTLLLAKNQKDAVDMRATVDERIKDIALKFGSQVPKSKLYSFPKELSSLPELLFHLRRGPLLGNIIGHEDERSVLRNLFLNASFDLSLRMVAPRCLMHQEGGTFEELPAYDLSMQSDKAVILDHGTDVFIWLGAELSADEVKSAAVLAACRTLAEELTEFRFPAPRILAFKEGSSQARYFVCRLIPAHKDPPYEQEARFPQIRTLTTEQRIKLKSSFIEFDEASFCEWMRSLKVVPPEPR >fgenesh2_kg.6__3533__AT4G01800.1 pep chromosome:v.1.0:6:24102986:24108431:1 gene:fgenesh2_kg.6__3533__AT4G01800.1 transcript:fgenesh2_kg.6__3533__AT4G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA [Source:UniProtKB/TrEMBL;Acc:D7M487] MVSPLCDSQLLNHRPSISPTASQFVIADGIFLRRNRLLGSSSFWGTKFGNTVKLGISGCSSCSWRRTTSVNASLGGLLSGFFKGSDNGESTRQQYASIVASVNRLETEISALSDSELRERTDALKQRAQKGESMDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLKVGLIQQNMTPEQRKENYLCDITYVTNSELGFDYLRDNLATSVEELVLRDFNYCVIDEVDSILIDEARTPLIISGPAEKPSDQYYKAAKIASAFERDIHYTVDEKQKTVLLTEQGYEDAEEILDVKDLYDPREQWASYVLNAIKAKELFLRDVNYIIRAKEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNESITLASISYQNFFLQFPKLCGMTGTASTESAEFESIYKLKVTIVPTNKPMIRKDESDVVFKAVNGKWRAVVVEISRMHKTGRAVLVGTTSVEQSDELSQLLREAGITHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREILMPRVVKPSDGVFVSVKKAPPKRTWKVNEKLFPCKLSNEKAKLAEEAVHSAVEAWGQKSLTELEAEERLSYSCEKGPVQDEVIAKLRTAFLAIAKEYKGYTDEERKKVVEAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGMMRAFRVEDLPIESKMLTKALDEAQRKVENYFFDIRKQLFEFDDVLNSQRDRVYTERRRALMSDSLEPLIIEYAELTMDDILEANIGPDTPKESWDFEKLIAKVQQYCYLLNDLTPDLLKSQGSSYEGLQDYLRARGRDAYLQKREIVEKQSPGLMKDAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLEMMAQIRRNVIYSIYQFQPVRVKKDEEKKSQNGKPSKQMDNVSEKPNQVGVTDEPSSIASA >fgenesh2_kg.6__3535__AT4G01750.1 pep chromosome:v.1.0:6:24122221:24135682:-1 gene:fgenesh2_kg.6__3535__AT4G01750.1 transcript:fgenesh2_kg.6__3535__AT4G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M492] MAQKQQILHQQRPFSSSPRSYSSISNRPIFLLSRNGLLLLLLALFLLLGVFLPWPGSPLLLLPNKVSSPSNATSLSSQAKSEWRDYTLAQAAKFVAKNGTVIVCAVSSPFLPFLNNWLISVSRQKHQEKVLVIAEDYITLYKVNEKWPGHAVLIPPALDSRTAYSFGSQGFFNFTARRPQHLLQILELGYNVMYNDVDMVWLQDPFQYLEGSHDAYFTDDMPQIKPLNHSHDLPDPDQNGETYICSCMIYLRPTDGAKLLMKKWSEELQSQAWSESIRFKANDQPAFNLALNKTAHQVDLYLLSQVAFPTGGLYFSNEAWVKETKGKHVIIHNNYIIGYDNKMRRFHDYGLWLVDDHALESPLGKLQ >fgenesh2_kg.6__3536__AT4G01735.1 pep chromosome:v.1.0:6:24151443:24152111:1 gene:fgenesh2_kg.6__3536__AT4G01735.1 transcript:fgenesh2_kg.6__3536__AT4G01735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQNQFCRKETDPSLSAQAMGEHQRIETSGLAEVTDPSQLPMELQEEYILGHQHQHFSMEQQQNQITGQATHLSSQLPVMMNQAVDEPHYCHQPYHQNNDLSVVPMETQQQDNVVGNLASQLQLEEQDNVPVVTMNQTTEQQQPCEQATTHNELIEEMDPEIASLLLGQNTTEEDANFLATLGNF >fgenesh2_kg.6__3538__AT4G01720.1 pep chromosome:v.1.0:6:24156253:24160169:-1 gene:fgenesh2_kg.6__3538__AT4G01720.1 transcript:fgenesh2_kg.6__3538__AT4G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 47 [Source:UniProtKB/TrEMBL;Acc:D7M496] MEEHIQDRREIAFLHSGEFLQGDSDSKDHQTNESPDEEHHQEQSIKEVDFFAAKSHRSDLGHVRTTSIIGPSGFNDGLGLVNSCHGTSSDDGDDTTKTQISRLKLELERLNEENHKLKHLLDEVSESYNDLQRRVLLARQTQVEGLHKQHEVLVPQAGSSQALEDRRPLDMNNETPATTLKRRSPDDVDDHDMHRGSPKTPRLDQTKSTNHEEQQNPHDQLPFRKARVSVRARSDATTVNDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDTTILTTTYEGNHNHPLPPSATAMAATTSAAAAMLLSGSTTSNLHQTLSNPSATSSSSFYHNFPYTSTIATLSASAPFPTITLDLTNPPRPLQPPQQFLSQYGPAAFIPNANQIRSMNNNNQQLLIPNLFGPQAPPREMVDSVRAAIAMDPNFTAALAAAISNIIGGGNNDNNNNDINNNKVDAKSGGSSNGDSPQLPQSCTTFSTN >fgenesh2_kg.6__3543__AT4G01680.1 pep chromosome:v.1.0:6:24189259:24190828:1 gene:fgenesh2_kg.6__3543__AT4G01680.1 transcript:fgenesh2_kg.6__3543__AT4G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLRYITKYGHGCWSSVPKQAGMLQRCGKSCRLRWINYLRPDLKRGAFSQDEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLRLRGIDPVTHKLLTEIETGTDDKPKPVEKSQQTYLVETDGSSSTTTCSTNQNNNTDHLYTGNFGFQRLSLENGSRIATGSDLGIWIPQTGRNHHHHVDETIPSAVVLPGSMFSSSLTGYRSSNLGLIELENSFSTGPMMTEHQQLQESNYNNSTFFGNGNPNWGITMEENQNPFTISNHSNSSLYSDIKSETNFFGTEATNVGMWPCNQLQPQQHAYGHI >fgenesh2_kg.6__3545__AT4G01660.1 pep chromosome:v.1.0:6:24198399:24201164:-1 gene:fgenesh2_kg.6__3545__AT4G01660.1 transcript:fgenesh2_kg.6__3545__AT4G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 protein [Source:UniProtKB/TrEMBL;Acc:D7M4T1] MSSWSKSFGRLFNGVSLVAKEIYNQSPELQRARNGDLEGLITSSGKKALVAATDLVGLTSGKLRELSIRRSKEPSVVYFDEGDNKDTVVVTPEVRSDSTEPSEKIVDSRDLRSEFSDEAKISNREEEIKVSGNVDSEIIGVRAAESGAVASSSAEVAAPVKRRRPRERKVPSTPIARAYGFFNLGAALAWGAVKESTYRIVNGTPMTEGNQPALSSLMSKENAERLALGLCEMRGAALKVGQMLSIQDESLVPAPILNALEYVRQGADVMPRSQLNPVLDAELGPNWQSKLTSFDYEPLAAASIGQVHRAVTKDGLEVAMKIQYPGVANSIESDIENVRRLLNYTNLIPKGLFLDRAIKVAKEELAQECDYEIEAVSQKRFRDLLSDTPGFYVPLVVDEISSKKILTTELISGIPIDKVALLDQKTRDYVGRKMLELTLKELFVFRFMQTDPNWGNFLYNEATKTINLIDFGAARDYPKKFVDDYLRMVMACAEKDSEGVIEMSRRLGFLTGDESDVMLDAHVQAGFIVGLPFAEPGGYAFRTNNIASSISNLGATMLKHRLTPPPDEAYSLHRKLSGAFLACIKLGATVPCRDLLLQVYNKYQFDDEPQGPIVATSSVSST >fgenesh2_kg.6__3546__AT4G01650.1 pep chromosome:v.1.0:6:24203755:24204898:1 gene:fgenesh2_kg.6__3546__AT4G01650.1 transcript:fgenesh2_kg.6__3546__AT4G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSPILLDFSSAFHHPRAAALATTSAVTISQSPTKFFHSLSSFSPSSTLLASSSSSRRCFTCRFGDGSSRFDSSEDETEIEIEIDDEDDYCLTDGKTEELIGDDGVLIEVKKLEKSSRRIRSKIGMEASLDSVWSVLTDYEKLSDFIPGLVVSELVEKEGNRVRLFQMGQQNLALGLKFNAKAVLDCFEKELEILPHGRRREIDFKMVEGDFQLFEGKWSIEQLDKGIHGESLDLQFKDFRTTLAYTVDVKPKMWLPVRLVEGRLCKEIKTNLTSIRDAAQKVIEGVIHDL >fgenesh2_kg.6__3549__AT4G01610.1 pep chromosome:v.1.0:6:24225601:24227962:-1 gene:fgenesh2_kg.6__3549__AT4G01610.1 transcript:fgenesh2_kg.6__3549__AT4G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYNTKLCLASVFLLLGLLLAFDLKGISAENLTKQKLNSKILQDEIVKKVNQNPNAGWKAAINDRFSNATVAEFKRLLGVKPTPKKHFLGVPVVSHDPSLKLPKAFDARTAWPQCTSIGKILDQGHCGSCWAFGAVESLSDRFCIQFGMNISLSVNDLLACCGFRCGDGCDGGYPIAAWQYFSYSGVVTEECDPYFDNTGCSHPGCEPAYPTPRCLRKCVSDNKLWSESKHYSVSTYTVNSSPQDIMAEVYKNGPVEVSFTVYEDFAHYKSGVYKHITGSNIGGHAVKLIGWGTSNEGEDYWLMANQWNRGWGDDGYFMIRRGTNECGIEDEPVAGLPSSRNVFKVDTGSNDLPVASV >fgenesh2_kg.6__3552__AT4G01600.1 pep chromosome:v.1.0:6:24229799:24230921:-1 gene:fgenesh2_kg.6__3552__AT4G01600.1 transcript:fgenesh2_kg.6__3552__AT4G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQYKDVNDKLGSTAKHKTNSHGGRYNNPYVHITTPTSASDKRSKDKVFEVLNRCGKKVEDVTRKAEALAGGLKDHLKFSPSIGDAAMARLSQGTKMIVEGGPERVFQREFGVLAAEKLLDSFVCYISTTSGPVTGVIYISNRRIAFCSDYAIRLPSSVGGNGVAAYYKVVMEWEKIRSISSSTNVLKPSERYVHMVTQDGFEFWFMGFVSYIDAFNCLSKALLNSRCDRAM >fgenesh2_kg.6__3553__AT4G01575.1 pep chromosome:v.1.0:6:24244072:24244787:1 gene:fgenesh2_kg.6__3553__AT4G01575.1 transcript:fgenesh2_kg.6__3553__AT4G01575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISPSLAVISFLFLTLLNLSLVIADPSTEIVKGGEIRLPSEKIDGEKNRGEFCEGIAKPASCPVQCFRPDPVCGEDSVTYWCGCADALCHGVRVVKQGACDVGNGVGLSVPGQALLLIHIVWMMLLGFSILFGLF >fgenesh2_kg.6__3554__AT4G01570.1 pep chromosome:v.1.0:6:24244755:24247190:-1 gene:fgenesh2_kg.6__3554__AT4G01570.1 transcript:fgenesh2_kg.6__3554__AT4G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M4T9] MRHGRGSAVSAAISGLSPATNSPFPQLCNVLLVASLSKTLSQSGTRGLDANSIPISEPVVLQILRRNSIDPSKKLDFFRWCYSLRTGYKHSVSAYSQIFRTVCRTGLLGEVPDLLCSMKEDGVNLDQTMAKILLDSLIRSGKFESALGVLDYMEELGDCLNPSLYDSVLIALAKKNELRLALSIFFKLLEASDNHGDDTSGVTVSYLPGRVAVNELLVGLRRADMRSEFKTVFEKLKGMNRFKFDTWSYNICIHGFGCWGDLDAALSLFKEMKERSSVSGSSFAPDICTYNSLIHVLCLFGKAKDALIVWDELKVSGHEPDNSTYRILIQGCCKSYRMDDAMRIFGEMQYNGFVPDTVVYNCLLDGTLKARKVTEACQLFEKMVQEGVRASCWTYNILIDGLFRNGRAEAGFTLFCDLKKKGQFVDAITFSIVVLQLCREGKLEEAVKLVEEMETRGFTVDLVTISSLLIGFHKQGRWDWKEKLMKHVREGNLVPNVLRWNAGVEASLKRPQRKDKDYTPMFPSKGSFLDIMSMVGLEDDGARAEEVPPMEDDPWSSSPYMDQLAHQSNRPKPLFGLARGQRVEAKPDSFDVDMMNTFLSIYLSKGDLSLACKLFEIFNGMGVTDLTSYTYNSMMSSFVKKGYFKTVRGVLDQMGENFCAADIATYNVIIQGLGKMGRADLAGAVLDRLTKQGGYLDIVMYNTLINAIGKANRLDAATQLFDHMKSNGINPDVVSYNTMIEVNSKAGKLKEAYKYLKAMLDAGCLPNHVTDTILDYLGKEMEKARFKKASFVRNKTNNDTSS >fgenesh2_kg.6__3559__AT4G01510.1 pep chromosome:v.1.0:6:24268976:24270594:-1 gene:fgenesh2_kg.6__3559__AT4G01510.1 transcript:fgenesh2_kg.6__3559__AT4G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKKTCVECGHKVKSLFIQYSPGNFRLMKCENCNEVADEYVECELLIIFIDLILHKTKAYRHLLYNVFNQESVNIQHLLWKLVLAYLLLDTYRSLLLRRTNDESNVSMSFVLESLEVLVNVLSANFAFVLSFALAAKMMLVMSRGKEILLGVLISSYIKIFLFAMPVWEFPVSVIFIVDMLVLTSNAVALKVMTESATSRCLAVCFIAHSIRFLVDQISGHLGSVL >fgenesh2_kg.6__3560__AT4G01480.1 pep chromosome:v.1.0:6:24299395:24301380:-1 gene:fgenesh2_kg.6__3560__AT4G01480.1 transcript:fgenesh2_kg.6__3560__AT4G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQEEVKTNQSQKKLQNPTPRLNERILSSLSKRSVAAHPWHDLEIGPGAPVIFNVVIEISKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFVPRTLCEDNDPIDVLVIMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCVDDPEYKHITNINELPPHRLSEIRRFFEDYKKNENKEVAVNDFLQPGPAIEAIQYSMDLYAEYILHTLRR >fgenesh2_kg.6__3565__AT4G01450.1 pep chromosome:v.1.0:6:24335583:24337838:-1 gene:fgenesh2_kg.6__3565__AT4G01450.1 transcript:fgenesh2_kg.6__3565__AT4G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7M4V6] MGFIDGKWAPMIVIIVTNMIAGMVNALIKKVLDGGINHMVIATYRLGISTLFLLPIAYFWERKTRPKLTLSISCQLFVSALFGASLMQYFYLLGLSYTSATLGSAFWAIMPSLTFVMALIFGFEKLTLKTKIGYGVVLGTLISLVGGLLLTMYQGIPLTNSQEQAENPNNHTGHENWIKGCFFLLTGVILFSSWMLIQAKINVNYPCPYSSTVILSVFGTLQCALLSLIKTRHLEDWILRDELTIITVIIAVVVAQGMCTVGISWCIKQQGPVVSSSFSPVVLMSATLFDFLILHRVIYLGRSKQIKECKIMKLPTNTVEEEKEEEGRTNVNMGQLLVIPMTP >fgenesh2_kg.6__3566__AT4G01440.1 pep chromosome:v.1.0:6:24352902:24354985:-1 gene:fgenesh2_kg.6__3566__AT4G01440.1 transcript:fgenesh2_kg.6__3566__AT4G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7M4V8] MGYCDGKWTPVIIMVMINSALGLGNALVKIVLDGGVNHMVIATYRLAISTVFLAPIAYFWERKTRPKLTLNILVQLFFSALVGASLTQYFFLLGLSYTSATLACAFISMTPAVTFVMALIFRVEKLNMKSKAGMGMVMGALICIGGALLFTMYKGLPLTKLHKLETHQLIYNKTAMKPENWIIGCALLFAGSSCFGSWMLIQAKVNEKYPCQYSSTVVLSFFGTIQCALLSLIKSRDITAWILTDKLDIITIIYAGAVAQGICTVGTSWCIRKRGPIFTSIFTPVALIFATLFDFLILDRQIFLGSVIGSGVVIFGLYIFLLGKVRLMKEECEKKLPCRFNEEEGEDDEQYKKGHLMVVPMTP >fgenesh2_kg.6__3568__AT4G01430.1 pep chromosome:v.1.0:6:24360290:24363010:-1 gene:fgenesh2_kg.6__3568__AT4G01430.1 transcript:fgenesh2_kg.6__3568__AT4G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7M4V9] MVKEEQWAPVIVMLISSVAMGSVNALVKKALDVGVNHMIFGAYRMAISALILVPFSFIWERKTRPQLTLMLLCEHFISGLLGASLMQFFFLLGLSYTSATVSMALVSMLPAITFALALIFRIENAQNLKSKAGVLKVMGTLICIMGAMLLTFYKGPELSNPHSHPRALHNNNTLHNNGHDQTKKWLLGCLYLIIGTVLLSLWMLFQGKLSFKYPGNKYSSTCLMSVFASFQCAILSLYKSRDVKDWIIEDKFVIFITLYAGIVGQAMSTVVTSWSIKMTGAVFVSTFSPVSLVAATLFDFLILHSPLYLGSILGSLVTITGLYVFLWGRKIETDQSVSTTLNSSQFCQNNENEDHIITNHKDTNLPV >fgenesh2_kg.6__3570__AT4G01400.1 pep chromosome:v.1.0:6:24383273:24387459:1 gene:fgenesh2_kg.6__3570__AT4G01400.1 transcript:fgenesh2_kg.6__3570__AT4G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M4W3] MIRRPIYDCSAAARHLISPLSTFSRFLSEHKAGEPKASALVSNPSPKSPIGSPTRVQKLIASQSDPLLAKEIFDYASQQPNFRHSQSSYLILILKLGRARYFNLIDDVLAKHRSSGYPLTGEIFTYLIKVYAEAKIPEKVLRTFYKMLEFNFTPQPKHLNRILEVLVSHRGYLQKAFELFKSSRLHGVMPNTRSYNILMQAFCLNDDLSIAYQLFGKMLERDVVPDVESYKILIKGFCRKGQVNGAMELLEDMLNKGFVPDRLSCNPDLVHYNTIILGFCREDRAMDARKVLDDMLTLIGGLCDQGMFDEGKKYLQEMISKGFSPHFSVSNCLVKGFCSFGKVEEACDVVEVVMKNGEILHSDTWEMIIPLICKEDESEKIKRFLEDAVKEEITGDTRIVDVGIGLGSYLSSKLQMKRKNKIIKMPELEQDVAAAETVDSSTVKFGTPEALEYVRSLTDVGAMTRLLHECIAYQRSLDSDLDTLLSQRTELDRNLVQLQRSAEILDIVKADADHMLGNVRSTCDLADQVSGKVRELDLAQSRVNVTLSRIDAIVERGNCIEGVKTALESEDYESAAKFVQRFLQIDSQYKDSGSDQREQLRASKKQLEGIAKKKLLAAIDQRDHPTILRFVRLYSPLGMEEEGLQLYVGYLKKVIAMRGRMEYENVVELMEQGLGQANFVGCLANLFKDIVMAIVENDEILRGLCGEDGVVYAICELQEECDSRGSLILKKYMEFRKLARLASDINNSPNLNLLAGGASEGPDPREVELYVEEILSLMQIGEDYTEFVVSKIKSLTSVDPELLPRATKAFRNGSFCKVIQDVTGFYVILEGFFMVENVRKAIRIDEHVPDSLTTSMVDDVFYVLQSCLRRAISTSNISSVIAVLSNAGSLLGNDYHEALQQKIREPNLGARLFLGGIGVENTGTEIATALNNMDVSCEYIIKLKIEIEEQCTEVFPAPADRERIKSCLSELGELSNTFKQLLNSGMEQLVATVTPRIRPVLDTVATISYELTETEYAENEVNDPWVQRLLHSVETNAAWLQPLMTSNNYDSFLHLIIDFIVKRLEVIMMQKRFSQLGGLQLDRDTRALVSHFSGMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLGLRVEFKPESISALKL >fgenesh2_kg.6__3575__AT4G01310.1 pep chromosome:v.1.0:6:24414106:24415563:1 gene:fgenesh2_kg.6__3575__AT4G01310.1 transcript:fgenesh2_kg.6__3575__AT4G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L5 family protein [Source:UniProtKB/TrEMBL;Acc:D7M4X0] MASPSLLQSSASSFHGRFSPLAAPSSARMRSPPLRNLVKVSASGTVLVEKSEAEKTHRLKTAYLERIIPALKDEFKYVNIHQVPKVQKIVVNCGIGDAAQNDKGLEAAMKDIALITGQKPIKTRARASIATFKIREDQPLGIAVTLRGDVMYSFLDRLINLALPRTRDFQGVSPSSFDGNGNYSIGVKDQGVFPEIRFDAVGKTRGMDVCISTTAKTDQEGQKLLALMGMPFREGGGGNTGAIVRKKKLKSHHFDAKGKGKTKR >fgenesh2_kg.6__3577__AT4G01290.2 pep chromosome:v.1.0:6:24415847:24422049:1 gene:fgenesh2_kg.6__3577__AT4G01290.2 transcript:fgenesh2_kg.6__3577__AT4G01290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVETNDDSEKKPRISYTRKFLLSLSEKDVCKKLPNLPSEFDEALLLDFEDPSPERVRISGDFSSHGFRRNDYSSSPPTRGELGSNSRGIHGRWEGRSGGWNDKDSDSQSDRDSEPGRRSGMPSRRPWQAPEHDGLLGKGSFPKPSGFGAGTSAPRPQSNDPHQLSRTNEPYHPPRPYKAPPFTRRDTRDSFNDETFGSSDSTSEDRAEEERKRRASFELLRKEHQKAFQERQKSNPDLRKNDFDFTELLGESKDEKGRPSRSDEVNHTPTIPGSSNTSFPSQSNAPRPLVPPGFASTILEKKQGEKPQAESSQYERSPLNSKGINVVNGTSVNNGGKPLGIKIGSSEMLIEGEDVRVSSTDANERAVNISSFLGISTDTVNKDKSFEKLSSISTPTETQGYPRKSEQATMTLDKKKSLEISDGASILDKIFNTAINLNSGDSSNMNKKNVEKVEEIRSSQTINKSSKFAHLFLEEDNKPVEDFPSSGPPRGLLSLLQGGDKLQTFDTKANTELPTDFPFHGHATKSTDQLSSTSTSKSVTAVPPVLTCEDLEQSILSEVSDSYHPPPPPVDQDTSVSSVKKTKQRKTSVDDQASQHLLSLLQRGADPKIQDSQLLSVTERRPPPPSVKSNTAGEADPGKSLTLENLFGSAFMNELQSIGEPVSGRAMVSDAPGVPLRSERSIGELSQRNQIRPDGLPGGILALPEDGNLLAVGGHANPPKYMSFPGSHNQEPEVAFNISDKLAALNSGPRNERPTMGGQDGPFLHRHPQQYATDPSSHLNGSGPIFYPFDSRHAHVKPQLDFIGPGSIMAQHHDPPPNHRFPPNMIHRPPFHTPTSGHPEFDRLPPHMMEKMHMQDNLQHHHLMQGFPSGGPQHHHSPHVNNQMPGLIPELNPSQGFPFAHHQPNYGMPPPGSQVNRGEHTASLQTLLGIQQRMDPAKQIPALGQAGGPNRQGSMGHELDLGFGYR >fgenesh2_kg.6__357__AT5G04420.3 pep chromosome:v.1.0:6:1464247:1467546:-1 gene:fgenesh2_kg.6__357__AT5G04420.3 transcript:fgenesh2_kg.6__357__AT5G04420.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LXI5] MEEIRKEIDVGDWHSNLAHDEWTPLPVSGSRASARYKHAAVVVDEKLYIVGGSRNGRYLSDVQVFDLTSLTWSSLKLITESSSAENIQEDDGSSLREAFPAISDHRMIKWGNMLLLIGGHSKKSSDNISVRFIDLETHLCGVFDVSGNVPASRGGHSITLVGSRVLVFGGEDKNRRLLNDLHVLDLETMTWDIVETKQTRPVPRFDHTAATHSDRYLLIFGGCSHSIFYSDLHILDLQTMEWSQPHVQGDVVTPRAGHAGITIDENWYIVGGGDNSTGCLETLVLNMSKLVWSTSTHVEARHPLASEGLSVCSASVFGENILVAFGGYNGKYNNDIYVMILKPGESSHPKIFKSPAAAAAAASVTAAYAIAKSDKSDYPPPANPTLNGIGSSLPERDIRNRIDTIKEEKRSLESSVAETQVENAKLREKIDEVNSSHTELSQELQSVEGQLISERSRCFKLEAQIAELQKALESGQSIEAEVEMLRRQRSVSDEGEDGTVQRQGSGGVWGLFGR >fgenesh2_kg.6__3580__AT4G01270.1 pep chromosome:v.1.0:6:24430184:24432791:-1 gene:fgenesh2_kg.6__3580__AT4G01270.1 transcript:fgenesh2_kg.6__3580__AT4G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M4X3] MAMAEENAAGNAICSICYEDLKPVVENLQSISACGHVFHELCLQQWFEYCPSTNKRNCPICKQKCSLKDPCRLYFQSSGNQITSEKVVEIEEDPVLLRGEVKRLQGKIQNLTSALEAKKKENVQVSDKLHQCNEQLKEDKVKRWEALQEISTTQHLLKLKSEECFQLSSQCAKLQERTMALAKELAALKLVSDLSLEEDDVLKLALLGNNAKTKDTIDTLVKSLVIRNRSYKELLAKCNQLGRGEARSSEKLEKALEKIDKLKKQMRELELITEARENRALRDINVSKNCSYRELSEPATESMASFRMLPSDNKMEKISTPPGKLEENDGFTNQGSCLRGREGSFVSRTDSVIDVDDDVPETTISGIRHSDTNIEEKCDNPLVKNIKFNIRENPTSSVSPHSNGAGNIWQSNRNLGRWSKHGERNETTPSLGGSGPSKDDLIAIGPDGKGGRIKVLRSKPQISNANTSSGSSGKRFKLGTKTSGSSSQGCLQIEHYFGKTNR >fgenesh2_kg.6__3581__AT4G01250.1 pep chromosome:v.1.0:6:24436095:24437666:1 gene:fgenesh2_kg.6__3581__AT4G01250.1 transcript:fgenesh2_kg.6__3581__AT4G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor 22 [Source:UniProtKB/TrEMBL;Acc:D7M4X4] MADDWDLHAVVRGCSAVSSSATNTTTTVYSGGVSSHTKPIFAVGRQSNAVSFGEFRDLYTPFTQESVVSSFSCLNYPEEPKKPQNQKRPLSLSASSGSVTSKPTGSNTSRSKRRKIQHKKVCHVAAEALNSDVWAWRKYGQKPIKGSPYPRGYYRCSTSKGCLARKQVERNRSDPKMFIVTYTAEHNHPAPTHRNSLAGSTRQKPSDQPTTKSPTTTIGTYSSSPVTSADEFVLPVEDLAVGDLDGDEDLLSLSDTVVSDDFFDGLEEFAAGDSFSGNSAPASFDLSWVVNSAATATGGI >fgenesh2_kg.6__3582__AT4G01230.1 pep chromosome:v.1.0:6:24446116:24447286:1 gene:fgenesh2_kg.6__3582__AT4G01230.1 transcript:fgenesh2_kg.6__3582__AT4G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:D7M4X8] MEEEKLEIVGPLEEPLMGNIVPEKINGLDSLSSSDTDSEKPDSPVPINAPIYRMFGRERPIHMVLGGAADVILWRDKKVTLGLLSVVTVIWLLFGFCGCRLLTSICRGSILFLLLSFLWSNALNKSPENIMEIYIPEKPLLQAASAMTFELNCAFATLRSIALERDIKNFVMALIGLWLVSIIGNWFSFLSLLYICFVLIHTVPMLYEKYEDEIDPIAEKAMIEMKKQYQVFEAKVFK >fgenesh2_kg.6__3587__AT4G01160.1 pep chromosome:v.1.0:6:24469665:24471788:1 gene:fgenesh2_kg.6__3587__AT4G01160.1 transcript:fgenesh2_kg.6__3587__AT4G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A_IG002N01.11 protein [Source:UniProtKB/TrEMBL;Acc:D7M4Y3] MDLSLSGGDFRFAFNNVKFSDRLLRIEITRSSGAGDEVSCSSVVDWARDRKRRREEDNSNNKKHEEALVMAEQEPKSGGEDHEIERVTNNTSVLKVKELHISSAILAAKSQFFFKLFSNGMLESEQKQLTLKIDASEEAAVMELLNFMYSNSLSVTAPSALLDVLMAADKFEVASCMNYCSQLLLKMPMTLDSALLLLDLPSSLLMADSVKPLTNAARQFIASRYKNMSKITMEELMALPLVGIEAMLASDGLEIQSEDILYEVVLKWVKSNYSVVEERQEILGSHLARYIRFPHMTIGRLKHILSSNDFTPSVASKLVIEALFFKTESLAHQRFLLAHEQPASTSRWFAKRAYVQRPIKIVEFAAPRPQCIIYLDLKRKECESIYPASRISSQPFTLGGQGFFLSAQCNMDHLCIIHCFGLFIGMQENGSASATVTVDYEFSVRSKPTMEFVGKFKGNYTFSRGKAVGCRNLLAIPWDIFTAKNCPYFINDVLHLRADLSIRL >fgenesh2_kg.6__3590__AT4G01140.1 pep chromosome:v.1.0:6:24475400:24476616:1 gene:fgenesh2_kg.6__3590__AT4G01140.1 transcript:fgenesh2_kg.6__3590__AT4G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNLGLIIIVFVFCVISNLQSMVHCINNIQDLDDLIRSYAAHATTRRHTGSLYEISLPSNLSDIKASVVTVRNSMFWRKGTNFSGVLIPPMVKTTPYAKRIAFVYESFGDHSSSVYFRLADNYSFVSPVIGFTGYDATNMNDLKKLNLSIKRDKPILIKFDPYASRNRSRIKCIVFGDNGLLLNISNTIRNYECATTHSHGHYALVVLNQQKPKPEQEPELVRRNWWWIVLTGIGVSVIVVMVIIVVVKVVKKKRLRDMERESEKNETIGDVWIGRSRMPAATMVRTQPCLEYHEDLPSSSNIP >fgenesh2_kg.6__3593__AT4G01110.1 pep chromosome:v.1.0:6:24492111:24493157:1 gene:fgenesh2_kg.6__3593__AT4G01110.1 transcript:fgenesh2_kg.6__3593__AT4G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETLLKPVLQKPPGYRELHSQPQTPLGSSSSSTLRRPPKHAIPASFYPTKKKQWSRCRVFCCCVCITVAIVILLLILTVSVFFLYYSPRLPVVRLSSFRVSNFNFSGGKPGDGLSHLTAEATARLDFRNPNGKLRYYYGNVDVAVSVGEGDFETSLGSTKVKGFVEKPGNRTVVIVPIKVKKQQVDDPTVKRLRAEMKSKKLVVKVTAKTKVGLGVGRRKIVTVGVTISCGGVTLQTLDSKMTKCTIKMLKWIKLHS >fgenesh2_kg.6__3594__AT4G01100.1 pep chromosome:v.1.0:6:24493408:24496220:-1 gene:fgenesh2_kg.6__3594__AT4G01100.1 transcript:fgenesh2_kg.6__3594__AT4G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7M4Y9] MASEDVKRTESAAVSTIVNLAEEAREGVKAPSYAFKSICKSLFAGGVAGGVSRTAVAPLERMKILLQVQNPHSIKYSGTVQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILYMYRQRTGNENAQLTPLLRLGAGATAGIIAMSATYPMDMVRGRLTVQTANSPYQYRGIAHALSTVLREEGPRALYRGWLPSVIGVVPYVGLNFAVYETLKDWLLKDNPFGLVQNNDLTIVTRLTCGAIAGTVGQSIAYPLDVIRRRMQMVGWKDASAVVTGEGRSKALLEYTGMMDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEMVKEVLGVEFRISD >fgenesh2_kg.6__3595__AT4G01090.1 pep chromosome:v.1.0:6:24503751:24506985:1 gene:fgenesh2_kg.6__3595__AT4G01090.1 transcript:fgenesh2_kg.6__3595__AT4G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGDVSEAEGTRSDAGSSRSEEETTLKEKHSNSRAGSQRSGKSLRNEELGEPNYGSDSSSKNTSSTNPIEYTEQERAELLRRLDSIKDHLLRGGGNNVVDKPKEPDHNQPFLRPIHLHGPPNHNPGPSYYHPYPEPVPYPGPVHGMYPQAYQDPYGFQIHRRPPPVPNPNWYPPCHYPNQMARQFPGGQYVEIGPDIVDPHSYFPATPGRYGDMPPYSPVSSHHHGEKLATQYSDMPPYSPVSSHHRGEKLTTPYSSRVDNSSSFPSSMGSPGPRGGYARWPSDHDSEMGGAFARGYVKKAVSDTGARRCHPLAGGAPFIACHNCFELLYLPKKKLLAQERQHKLQCGACSEVISFTIVDRKLVFSSGNEETKPVSLKVEDRSTTNTVVVEELSSVDFNNSGSDIPPKDEEEPVQEFRSHQDTMQSIRSESQNSDDEERSSISSEQQQKEVKSVRRRGKGSKASEPAAPDNASLLELFEYSNVNRAALAYGMAQLGYDKPDKQKSFMTQDSLQPESVATETEVSYNGYSNTEISEESRYSNRKGSEYGSTEITTSSSTDRNEDQMKSLEVWVNGHLIPEDLVSSAEKLAGPIQAGKYWYDYRAGFWGVMGKPCLGIVPPFIEEFSHPMPDNCAAGNTEVFVNGRELHKRDFELLVGRGLPRDKNRSYIVDISGRILDGDSGEELHSLGKLAPTIEKVKHGFGMRVPRSLAS >fgenesh2_kg.6__3596__AT4G01080.1 pep chromosome:v.1.0:6:24508715:24510460:1 gene:fgenesh2_kg.6__3596__AT4G01080.1 transcript:fgenesh2_kg.6__3596__AT4G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLTFVLLDSPEGAKYVEETLEVASSSSSSSSSVPSSTDHRHRTIVKFFVYFSLVALAYYFIISSFAVSPISPTLPQQSSSGNVSSAKCDLFTGDWVPDPTGPLYTNVSCHHIQDFQNCLLNGRPDVNYLFWRWKPRDCDLPRFSPSQFLDSVKNKWWAFIGDSIARNHVQSLICILSQVEEVEEIYHDKEFRSKIWRFPSHNFTLSVIWSPFLLKSETSSDSDIQLYLDQLDHKWTVQYSKFDYVVISGGKWFLKTTIFHENNSVTGCHYCQGRNNLTDLGYDYSYRKSLNLLRDFVLNSNHKPLVLFRTTTPDHFENGEWNTGGYCNRTMPFKEGQAKMKTVDDVMRDVELEVFQKFGKGFGLGSNIRLLDTTGMSLLRPDGHPGPYRHPNPFAGVKNKSHVQNDCLHWCLPGPIDSWNDVMVETTLNRERELYDLTG >fgenesh2_kg.6__3597__AT4G01070.1 pep chromosome:v.1.0:6:24512248:24514057:1 gene:fgenesh2_kg.6__3597__AT4G01070.1 transcript:fgenesh2_kg.6__3597__AT4G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M4Z3] MEESKASHVAIIPSPGMGHLIPLVEFAKRLVQRHGFTVTFVIAGEGPPSKAQRTVLDSLPSSISSVYLPPVDLSDLSSSTRIESRISLTVTRSNPELRKVFDSFAEGGRLPTALIVDLFGTDAFDVAVEFHVSPYIFYPTTANVLSFFLHLPKLDETVSCEFRELTEPLKLPGCVPVAGKDFLDPAQDRKDDAYKWLLHNTKRYKEAEGILVNTFFELEPNAIKALQEPGLDKPPVYPVGPLVNIGKQEAKQTEESECLKWLDNQPLGSVLYVSFGSGGTLTCEQLNELALGLADSEQRFLWVIRSPSGIANSSYFDSHSQTDPLTFLPPGFLERTKNRGFVIPFWAPQAQVLAHPSTGGFLTHCGWNSTLESVVSGIPLIAWPLYAEQKMNAVLLSEDIRAALRPHAADDGLVSREEVARVVKGLMEGEEGKGARNKMKELKEAACRVLKDDGSSTKALSLVALKWKAHKKELEQNGSH >fgenesh2_kg.6__359__AT5G04430.2 pep chromosome:v.1.0:6:1473160:1476806:-1 gene:fgenesh2_kg.6__359__AT5G04430.2 transcript:fgenesh2_kg.6__359__AT5G04430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTESYAAASPEELAKRSPEPHDSSEADSAEKPTHIRFLVSNAAAGSVIGKGGSTITEFQAKSGARIQLSRNQEFFPGTTDRIIMISGSIKEVINGLELILDKLHSELHAEDGNEVEPRRRIRLVVPNSSCGGIIGKGGATIKSFIEESKAGIKISPLDNTFYGLSDRLVTLSGTFEEQMRAIDLILAKLTEDDHYSQNVHSPYSYAGLFYSGFHGPPYAYALPSVATAGYNSVNYAPNGSGGKYQNHKDEASTTVTIGVADEHIGLVLGRGGRNIMEITQITGARIKISDRGDFMSGTTDRKVSITGPQRAIQQAETMIKQKVDSATERATD >fgenesh2_kg.6__35__AT5G01700.2 pep chromosome:v.1.0:6:148427:150253:1 gene:fgenesh2_kg.6__35__AT5G01700.2 transcript:fgenesh2_kg.6__35__AT5G01700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase type 2C [Source:UniProtKB/TrEMBL;Acc:D7M6G3] MGVCCSKGTGIIVEHGTDDSNEYGNGEAEVRDTNDGAVVRTRGSSKHVSMSIKQGKKGINQDAMTVWENFGGEEDMIFCGVFDGHGPMGHKISRHVCENLPSRVHSKIRSSKSAGNENVENNSSQSQEELFREFEDILVTFFKQIDSELGLDSPYDSFCSGTTAVTVFKQADCLVIANLGDSRAVLGTRSKNSFKAVQLTVDLKPCVQREAERIVSCKGRVFAMEEEPDVYRVWMPDDDCPGLAMSRAFGDFCLKDYGLVCIPDIFCRKVSREDEFVVLATDGIWDVLSNEEVVKVVGSCKDRTIAAETLVQRAARTWRTKFPASKADDCAVVVLYLNHRPYPREGNVSRAISTISWRSNKSNNECYGVAPLSPLGLPQRVS >fgenesh2_kg.6__3601__AT4G01023.1 pep chromosome:v.1.0:6:24537246:24538118:-1 gene:fgenesh2_kg.6__3601__AT4G01023.1 transcript:fgenesh2_kg.6__3601__AT4G01023.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M501] MSDSGEAKTSEEESQLAEQETETREDEMTKNLKKRGLDVDKDEDSKNESSRLEKLEKKVKKATSRKLETDFDDALPLACSICKKPFMDPVVTKCNHYFCDKCALKHQTENDNCFVCNEPTLGVFNTAVEIKERIDEEREKARAMVKEVRVMLEKASTMADGARGISEKAVKMVEEIERMVEKVAAMATKAAETATMAADMVQEAEETMETAKANMAKAFAVMKTVMWNV >fgenesh2_kg.6__3603__AT4G01000.1 pep chromosome:v.1.0:6:24542474:24544286:1 gene:fgenesh2_kg.6__3603__AT4G01000.1 transcript:fgenesh2_kg.6__3603__AT4G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein [Source:UniProtKB/TrEMBL;Acc:D7M503] MADQSSKPLQFFVRLLDGKSLTLSFSSPLAYGEQIKQRIFEQTKIPTHLQRLISGGYQISDGSAISQPDTTVNLVLSLRGGKGGFGSLLRGAGTKAGQKKTNNFDACRDMSGRRLRHVNAENRLQEWKEGEEERKLEKSALEFLKKQANKVKQGVGNGATQKYVNKYKEESDKCILAVDLALNESFKNGKRKGKIGADSEKKKRLKIWKGKRAVEDSDSDDSSDEEDEKSVVINNGGHDGDSSGKSLCNSGSEEENDFVVHHRRLDVVITGVQGINEEKMDDLPVAVAVADAMDQPEKVEKSSGDAGKNLVDVACETLITSAAVKRGGTAKETVSVDAVCCKPVGPLNFDDFNSATDMEVLGMERLKTELQSRGLKCGGTLQERAARLFLLKSTPLDKLPKKLLAKK >fgenesh2_kg.6__3604__AT4G00990.1 pep chromosome:v.1.0:6:24544990:24550502:-1 gene:fgenesh2_kg.6__3604__AT4G00990.1 transcript:fgenesh2_kg.6__3604__AT4G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M504] MEKRKGKRIRRGDSDELFEDGRSQNARKAREKENDVVSRGRIGRGRGRRKFDGGEVSKRSIEIDMSNPEKDIKSEGSRKYVGLTCHHCKNLTSESDLIFCSKCNKKYCNECIKRWYSERTIEEVRAACPFCMKNCNCRSCLRLPLVVKPRSEKETNVMFKQLQYLLVKVLPILKDIYMEQNRELEIEATIRGLPVTEADINRCKLDPSERIYCDLCRTSIANFYRSCPNPDCSVDICLSCCKELSEGFHQERDGNKNAEGKGYEWRIQAGQGKDSEAYVPLHFSTWKLNSDSSIPCPPKECGGCGTSTLELRRLWKKDWVEKLITNAEECTLHFRPSDVDIAHECSSCTTSSDSIRRQAAFRKNAHDNFLYSPNAVDLAEDDIAHFQSHWMRAEPVIVRNVLEKTSGLSWEPMVMWRACREMDPKLKCNEEETKKVKALDCLDWCEVEINIHQFFDGYLEGRMHKNGWPEMLKLKDWPPSTLFEKRLPRHNAEFIAALPFFDYTDPKSGILNLATRLPEKSLKPDLGPKTYIAYGFHEELSRGDSVTKLHCDISDAVNVLTHTAKVEIPPAKYQNIKVHQKNNAEAMLQKQQYSGQVTEASELENKSLKEVDEDKQDLKDKTANEEQSNNSSRPGSQEVEKVISSKEDNPTQPAVSIIVESIQEQKLDVQKKTDGNANERSKAVHGGAVWDIFRREDVPKLIQFLKRHKHEFRHINNEPVKSVIHPIHDQTMFLSESQKKQLKEEFDIEPWTFEQHLGEAVFIPAGCPHQVRNRQSCIKVALDFVAPESVEECLRLTQEFRRLPKDHRSSEDKLELKKIALYAASSAIRELNELMQNSTTQ >fgenesh2_kg.6__3608__AT4G00905.1 pep chromosome:v.1.0:6:24572791:24574013:-1 gene:fgenesh2_kg.6__3608__AT4G00905.1 transcript:fgenesh2_kg.6__3608__AT4G00905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTNKVGRDELKPGDHIYTYRAVFAYSHHGVFVGGCKVVHFKPEHSLISPTLASSSSSSSSSVSEVNDSSEAPCPTFPDCGYKQPKSGVVLSCLDCFLKKGSLYRFEYGVSSSIFLTRFRGGTCTTAPSDPLQTVIHRAMHLLQNGFGNYDVFQNNCEDFALYCKTGLLILDKNGVGRSGQASSILGAPLAALLSSPLTLLIPNPVGVATVTAGMYCMSRYATDIGVRNDVIKVPVEDLALNLGFKTLKRNRKAFGISISR >fgenesh2_kg.6__3609__AT4G00900.1 pep chromosome:v.1.0:6:24578569:24582165:1 gene:fgenesh2_kg.6__3609__AT4G00900.1 transcript:fgenesh2_kg.6__3609__AT4G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 2, endoplasmic reticulum-type [Source:UniProtKB/TrEMBL;Acc:D7M510] MEEEKSFSAWSWSVEQCLKEYKTRLDKGLTSEDIQFRRQKYGFNELAKEKGKPLWHLVLEQFDDTLVKILLGAAFISFVLAFLGESEEHGSGSGFEAFVEPFVIVLILILNAVVGVWQESNAEKALEALKEMQCESAKVLRDGTVLPNLPARELVPGDIVELNVGDKVPADMRVSGLKTSTLRVEQSSLTGEAMPVLKGANPVVTDDCELQGKENMVFAGTTVVNGSCVCIVTSIGMDTEIGKIQRQIHEASLEESETPLKKKLDEFGSRLTTAICIVCVLVWIINYKNFVSWDVVDGYKPVNIRFSFEKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSATEFFTLGGKTTTTRVFSVNGTTYDPKDGGIVDWGSNNMDANLQAVAEICSICNDAGVFYEGKLFRATGLPTEAALKVLVEKMGIPEKKNGENIEEVVNFSNNGSSVKLACCDWWNKRSKKVATLEFDRVRKSMSVIVRKPNGQNRLLVKGAAESILERSSFAQLADGSLVPLDDSSREVILKKHSEMTSKGLRCLGLAYKDELGEFSDYSTEEHPSHKKLLDPSSYSNIETNLIFVGVVGLRDPPREEVGRAIEDCRDAGIRVMVITGDNKSTAEAICCEIRLFSENEDLSQSSFTGKEFMSFPASRRSEILSKSGGKVFSRAEPRHKQEIVRMLKEMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRSIYNNMKAFIRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADIDIMKKPPRKSDDCLIDSWVLIRYLVIGSYVGVATVGIFVLWYTQASFLGISLISDGHTLVSFNQLQNWSECSSWGTNFTATPYTIAGGLKTIAFDNNSCDYFTLGKVKPMTLSLSVLVAIEMFNSLNALSEDNSLLTMPPWRNPWLLVAMTVSFGLHCVILYVPFLANVFGIVPLSFREWFVVILVSFPVILIDEALKFIGRCRRTRIKKKIKTM >fgenesh2_kg.6__360__AT5G04440.1 pep chromosome:v.1.0:6:1477800:1479791:1 gene:fgenesh2_kg.6__360__AT5G04440.1 transcript:fgenesh2_kg.6__360__AT5G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSATLRLTSLSFSAKKTNRNPRNPSLSFTISSSSSFDEPPKPSLASSTPPIRVSSSSTPKARFIARQKQSISVRQLQRPLIEYMSLPASQYSVLDAERIVRVDDNTFRCYVYTFKFFNFEVCPVLLVRVEEQPNGCCIKLLSCKLEGSPVVVAQNDKFDASMVNRVSCDSTQEGTSEQQITSDAVIEVNIEIPFAFRVFPVGAIEATGTQVLDQILKLMLPRFLSQLSKDYQAWASGDTSRQPLGTGEI >fgenesh2_kg.6__3610__AT4G00895.1 pep chromosome:v.1.0:6:24582211:24583034:-1 gene:fgenesh2_kg.6__3610__AT4G00895.1 transcript:fgenesh2_kg.6__3610__AT4G00895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLSASVSSSLNLPSLPPPPQPPLRSISRRFKSSANATTSAASTNLSKPTSSSPSSSYSHNKNLNFSRALSFPVPHTKPSRVTPPPPPVHDKAASGFAAALVSVCQSKNCLGRTQEDVRRLMEFLVGEEKKRNKVLVNDVVERGKFGKHLKGLVKMLITRGKSGILVDVLMEFQRICNELVTTKLVWVS >fgenesh2_kg.6__3616__AT4G00830.1 pep chromosome:v.1.0:6:24631250:24634460:-1 gene:fgenesh2_kg.6__3616__AT4G00830.1 transcript:fgenesh2_kg.6__3616__AT4G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M5K0] MSDARDNDDRVDFEEGSYSEMEDEVEEEQVEEYEEEEEEDEDDDDRGNQNAEERDVEDYGDTKGGDMEAQEEIAEDDDNHIDIETADDDEKPASPIDEEEREKYSHLLSLPPHGSEVFIGGLPRDVGEEDLRDLCEEIGEIFEVRLMKDRDSGDSKGYAFVAFKTKDVAQKAIEDLHSKEFKGKTIRCSLSETKNRLFIGNIPKNWTEDEFRKVIENVGPGVENIELIKDPANTTRNRGFAFVLYYNNACADYSRQKMIDSNFKLEGNAPTVTWADPKSSPEHSAAAAQVKALYVKNIPENTSTEQLKELFQRHGEVTKIVTPPGKGGKRDFGFVHYAERSSALKAVKDTERYEVNGQPLEVVLAKPQAERKHDPSSYSYGAAPNPAPFVHPTFGGFAAAPYGAMGAGLGIAGSFSQPMIYGRGAMPTGMQMVPMLLPDGRVGYVLQQPGMQMAAAPPARPRRVDRNNGSSGGSGRDNSHEHDGNRGGRRYRPY >fgenesh2_kg.6__3618__AT4G00810.1 pep chromosome:v.1.0:6:24653519:24654726:1 gene:fgenesh2_kg.6__3618__AT4G00810.1 transcript:fgenesh2_kg.6__3618__AT4G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVGELACSYAVMILEDEGIAITSDKIATLVKAAGIEVESFWPMLFAKMAEKRNVTDLIMNVGAGGGGGGAPVSAAAPAAAGGATAAAPAKEEKKDEPAEESDGDLGFGLFD >fgenesh2_kg.6__361__AT5G04460.1 pep chromosome:v.1.0:6:1483684:1487486:1 gene:fgenesh2_kg.6__361__AT5G04460.1 transcript:fgenesh2_kg.6__361__AT5G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7LXJ1] MTSIQPLQQKSESRDDVRTEFERGLEEFMRGHLDECISFGSCSSVHNPEDEDEEGDQLVRRRRRSELEGDNLAESSAARRRQSQILSRWAARQAQEMITTIERRNRESELIALAGLQTVSMLDSSFLRESQSPSSRRQGAASERPNTQASGILQMWRELEDEHVLNRARERVRERLRQQRSAESNTNLSSSIASESQVSENNGSLRDSSESENDYGSWSHDRNEHGDNNNTSSREQSPDLGDGERERVRHIARGWMDSRGNDHSSNVRQRDDNRRPEWLGDTERERVRIIREWMQMTSQQRGVARATPREDPRSTSEVDRNHDTAQVDRVRVGLAVTHEEGQPHHVRRDLRRVRGRQALLDLLMRAERERQRELQGLLEHRAVSDFAHRNRIQTLLRGRFLRNERPTVPERTPSMASRELLQLRERQTVSGLREGFHNGRESIVHEISSNTDSDNSNNSTNALAIAITAENPQLVTDESSTSSRQGNNSPVLPDDSESNLANADRDWEEDTNQRRVWQEDGPVDERLNLQQTTLTQFDGYDNTDINRDETSVSDMHREASGFADDEYRTQETHGVWHENSSRQSDGNWPETRSEALTSRRAVQLRRLNRFHPPEDDNVYSMELRELLSRRSVSNLLHSGFRESLDQLIQSYAERRGHTHVEWDLHGNLQTAIPDSPERDTDHQVFVRNDNQLNGINGPQLLPTPPAPPPQPIWHQDLHHTSWSRHSMHRSEIEWEVMNDLRGDVARLQQGMSQMQRMLEACMDMQLELQRSVRQEVSAALNRSAGDQGMSPETSEDGSRWSHVSKGTCCVCCDSHIDALLYRCGHMCTCSKCANELVRNGGKCPLCRAPIIEVIRAYSIL >fgenesh2_kg.6__3622__AT4G00780.1 pep chromosome:v.1.0:6:24664557:24666263:-1 gene:fgenesh2_kg.6__3622__AT4G00780.1 transcript:fgenesh2_kg.6__3622__AT4G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPIPIEEMVRLFKSRHTTSHLFKIDNFSLLKKHGVEKVESSVFDLAGHKWTLSVYPNGHKSAKGTHVSIFLMNQVSVNVLLTYELFVVSQLERKWHTHGRDEFDTNPEPATEGFLRFISFADLERKGFLIGDCCMFGVKFYGIEPANPGTAECFSLIEKPLNHKVTWMMSKFSSFNPGKAHQSNEFVVGTRKWRIKVHPRGYNEEKDKSFSVYLSAEGFVKNAPNTKTYARFKLRVLDQVSWNHAERAGTEWFDAEPEQSGFADFMPLEKLDEPYLVKDKLYVGVEFEVISTTNYC >fgenesh2_kg.6__3623__AT4G00770.1 pep chromosome:v.1.0:6:24668564:24670782:-1 gene:fgenesh2_kg.6__3623__AT4G00770.1 transcript:fgenesh2_kg.6__3623__AT4G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRPRMLKDCLLEDSNSCSSNGFKSIPRRPPLNHFPMIPKRKQSNALQAVINAVKNLSSNAVKSAPSKILPRSLSRRLTPKNKAKNQASITIVRVKDIVRWSSSKDLHEDISHFEPSPPHQYTTKTATTTTGSSTTSGTSCSSWCDSDFTSEFLPSSWGSIVEECGEKESVKSNLHCVGEDSCTAVIVADTEVGPEEDLQCEKEQNSPVSVFEIQHEEYDEASDSSFSQCLDNVERTKEKLMQTIQRFESLANISPFNLDEWGSMDDESCMEGGQETCIKYDDDDDDENCDTVDGETEDEDADEVEEKAAQLWNRVKERHAIWIHEEHLIMDYFRDELMQRTNSFHETQHFKDQLVAEAKGWLHGYKESELERGTVGQRRQACVKEIERRDWNEKQIKEEGDVVVTQIEEGLFSLLMEETLTALYQN >fgenesh2_kg.6__3628__AT4G00750.1 pep chromosome:v.1.0:6:24683842:24687401:-1 gene:fgenesh2_kg.6__3628__AT4G00750.1 transcript:fgenesh2_kg.6__3628__AT4G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7M5L0] MANYRWPSKLSKLSLRAKHTNLYRVILIAILCAASYFVGVWQHSGGGISRSSLSNHELTSVPCTFPHQTTPILNFAASHTAPDPPPTATAARVAQIPSCGVEFSEYTPCEFVNRSLNFPRERLIYRERHCPKKHEILRCRIPAPYGYTVSFRWPESRDVAWFANVPHTELTVEKKNQNWVRYEKDRFLFPGGGTMFPRGADAYIDEIGRLINLRDGSIRTAIDTGCGVASFGAYLMSRNIVTMSFAPRDTHEAQVQFALERGVPAIIGVLASIRLPFPARAFDIAHCSRCLIPWGQYNGTYLIEVDRVLRPGGYWILSGPPINWQRHWKGWERTRDDLNSEQSQIERVARSLCWKKLVQREDLAVWQKPTNHIHCKRNRIALRRPPFCHQTLPDQAWYTKLETCLTPLPEVTGSEIKEVAGGQLARWPERLNAVPPRIKSGSLEGITEDDLVSNTETWQRRVSYYKKYDQQLAETGRYRNLLDMNAHLGGFASALVDDPVWVMNVVPVEASVNTLGVIYERGLIGTYQNWCESMSTYPRTYDFIHADSVFSLYKDRCDMEDILLEMDRILRPKGSVIIRDDIDVLTKAKKITDAMQWEGRIGDHENGPLEREKILFLVKEYWTAPAPDQSSDP >fgenesh2_kg.6__3629__AT4G00740.1 pep chromosome:v.1.0:6:24689483:24692561:1 gene:fgenesh2_kg.6__3629__AT4G00740.1 transcript:fgenesh2_kg.6__3629__AT4G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLNLPASKRSSNPRQWCLLDIVTAAFFGIVLLFFILLFTPLGDSMAASGRQTLLLSTASDPRQRQRLVTLVEAGQHLQPIEYCPAEAVAHMPCEDPRRNSQLSREMNFYRERHCPLPEETPLCLIPPPSGYKIPVPWPESLHKVLWHANMPYNKIADRKGHQGWMKREGEYFTFPGGGTMFPGGAGQYIEKLAQYIPLNGGTLRTALDMGCGVASFGGTLLSQGILALSFAPRDSHKSQIQFALERGVPAFVAMLGTRRLPFPAYSFDLMHCSRCLIPFTAYNATYFIEVDRLLRPGGYLVISGPPVQWPKQDKEWADLQAVARALCYELIAVDGNTVIWKKPVGDSCLPSQNEFGLELCDESVPPSDAWYFKLKRCVTRPSSVKGEQALGTISKWPERLTKVPSRAIVMKNGLDVFEADARRWARRVAYYRDSLNLKLKSPTVRNVMDMNAFFGGFAAALASDPVWVMNVIPARKPLTLDVIYDRGLIGVYHDWCEPFSTYPRTYDFIHVSGIESLIKRQDSSKSRCSLVDLMVEMDRILRPEGKVVIRDSPEVLDKVARMAHAVRWSSSIHEKEPESHGREKILIATKSLWKLPSNSH >fgenesh2_kg.6__362__AT5G04470.1 pep chromosome:v.1.0:6:1490207:1491007:-1 gene:fgenesh2_kg.6__362__AT5G04470.1 transcript:fgenesh2_kg.6__362__AT5G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLIQDLPILNFPPAIKIRTNTNRDDDGGGCTTPTSSDHKIPPTTATTPPPPPQKPRPPSTPSSLGIRSCKRKLMTSLSKSYEIIVNKDEIERFFSSVYNQTTTSSTTTTTTAITVAKRRRSFRSCSRR >fgenesh2_kg.6__3632__AT4G00720.1 pep chromosome:v.1.0:6:24702789:24706398:1 gene:fgenesh2_kg.6__3632__AT4G00720.1 transcript:fgenesh2_kg.6__3632__AT4G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMRRLKSIASGRTSISSDPGGDYALKRAKLDQENDNLCADPMQVDQNSSCFEMKADILSHESVAGTSNVPAASEKTVDDQLPEVMIDMRIRDERNANREEKDMETTVVNGSGTETGQVITTTVGGRDGKPKQTISYMAQRVVGTGSFGVVFQAKCLETGEQVAIKKVLQDKRYKNRELQIMRLQDHPNVVRLRHSFFSTTDKDELYLNLVLEYVPETVYRASKHYTKMNQHMPIIFVQLYTYQICRALNYLHRVVGVCHRDIKPQNLLVNPQTHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATEYTNAIDMWSGGCVMAELLLGQPLFPGESGIDQLVEIIKILGTPTREEIRCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEACAHPFFDDLRDPNVSLPNGRALPPLFNFTAQELAGASTELRQRLIPAHCQGTGSSS >fgenesh2_kg.6__3633__AT4G00710.1 pep chromosome:v.1.0:6:24706658:24710054:-1 gene:fgenesh2_kg.6__3633__AT4G00710.1 transcript:fgenesh2_kg.6__3633__AT4G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M5L3] MGGQCSSLSQCCRNSSHKTAVLEAPYVDNGESSEITDVPNFREYTLEQLKAATSGFAVEYIVSEHGEKAPNVVYKGKLENQKKIAVKRFTRMAWPDARQFLEEARSVGQLRSERMANLLGCCCEGDERLLVAEFMPNETLAKHLFHWETQPMKWTMRLRVVLYLAQALEYCTSKGRTLYHDLNAYRVLFDEECNPRLSTFGLMKNSRDGKSYSTNLAFTPPEYLRTGRITPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQTLTDSCLDGQFSDSDGTELVRLASRCLQYEARERPNTKSLVTALTPLQKDTEVPSHVLMGLPHSGSVSPLSPLGEACSRRDLTAMLEILDKLGYKDDEGVTNELSFQMWTDQMQESLNSKKKGDVAFRQKDFREAIECYTQFIDGGMISPTVCARRSLCYLMSDMPKEALDDAIQAQVISPVWHVASYLQSASLAFLGMEKESQIALKEGSNLEAKRNAGSRVK >fgenesh2_kg.6__3634__AT4G00700.1 pep chromosome:v.1.0:6:24711154:24714502:-1 gene:fgenesh2_kg.6__3634__AT4G00700.1 transcript:fgenesh2_kg.6__3634__AT4G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M5L4] MSNIKLGVEVIGAQGLFQRDKHNSCSPFVELKFDNQIFRTTTKHNDPNPVWQECFYFVVSDPSVLSNRTLEAHVYSYQNEFDAKPFLGKVRVNGTSFVPRSEAAPFNYPLEKRSVFSRARGELGLRVFITDDPAITPSVPTPVPESPQAFSPSPRKEHVKSLITADASMAADERRELKPKTRTFHNAAPLVKQQPMMNYGIHEMRAAPMPPRVVQVNSPGPSLHQLPPDFSVKETSPLLGGGRIVGGRVVRGTERPTSGTYDLVEEMRFLYVRVVKARDLPNKDLTGSLDPYVEVKIGNFRGVTTHFDKNSDPEWNQVFAFARDNLQSNFLEVVVKDKDIVLDDFVGIVKFDLREVQSRVPPDSPLAPEWYRLENKRGEKKNYEIMLAVWSGTQADEAFGDATFSDSFVSSDSSNIISANLRSKVYHSPRLWYLRVQILEAQDVIIVSDKSRLPEAFVRIKVGNQMLMTRFSQRSNNPKWGDEFTFVVAEPFEESMVLSVEDHTAPNRDEPVGKAVISITDIEKRIDDKPFHDRWVHLEDSISDAMDADKAKKVKFATRLRYKAVLDGGYHVFDESMYNSSDLRPSSRKLWKSAIGVLELGILNANVSHSMKTREGKGTSDTYVVAKYGHKWVRSRTVVSNMNPKYNEQYTWEVFDPATVLTICVFDNAHFTAGDGGNKRDQPIGKVRIRLSTLQTGRVYTHAYPLLVLQPSGLKKRGELHLAVRFTCISVSNMLMKYTKPLLPKMHYILPLSTNKLESLKAQAFNIIVVRLGRSEPPLRREVIEYLTDVKSHLFSMRRSKANFNRFTTVFSGALSVWKWMEQVCTWKTPVTTALVHVLYTMLVTFPEMILPTVFLYMAVIGMWNYRFKPRFPPHMDAKLSYADNVNADELDEEFDTFPTVRAPDVVKMRYDRLRSVAGKVQSVAGDIAAQGERVQALLSWRDPRATAIFVTFCFIIAMALYITPFKLVALLSGYYFMRHPKLRHRIPSAPVNFFRRLPAMTDSML >fgenesh2_kg.6__3635__AT4G00680.1 pep chromosome:v.1.0:6:24715975:24717051:1 gene:fgenesh2_kg.6__3635__AT4G00680.1 transcript:fgenesh2_kg.6__3635__AT4G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGMHVNDECKLKFLELKAKRTYRFIVFKIDEKAQQVQIEKLGNPEETYDDFTSAIPDDECRYAVYDFDFTTEDNCQKSKIFFIAWSPDTSRVRSKMLYASSKDRFKREMDGIQVELQATDPSEMSLDIIKGRLNL >fgenesh2_kg.6__3638__AT4G00660.2 pep chromosome:v.1.0:6:24718998:24724828:-1 gene:fgenesh2_kg.6__3638__AT4G00660.2 transcript:fgenesh2_kg.6__3638__AT4G00660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRGRYPPGIGAGRGASNPNPNYHSRPGYQQQQPPPQYVQRGGYAQNHQQQFQQSPTQPHQYQQQQQQQQWLRRAQIPGGNSNSNGDAVVEVEKTVQSEVIDPNSEDWKARLKLPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGRDILARAKNGTGKTAAFCIPVLEKIDQDNNVIQAVIIVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCVLKDCSVLVMDEADKLLSQEFQPSVEHLISFLPENRQILMFSATFPVTVKDFKDRFLTNPYVINLMDELTLKGITQFYAFVEERQKIHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCQ >fgenesh2_kg.6__3639__AT4G00630.1 pep chromosome:v.1.0:6:24735944:24740844:1 gene:fgenesh2_kg.6__3639__AT4G00630.1 transcript:fgenesh2_kg.6__3639__AT4G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M5L7] MRKKLPFSMCCGYCLQASYSCLYFRKFLAVTPVLGYLAAGILIGPYGLSIIRNVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAAVVGLITHYVAGQAGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGIGFQAIAEALGLAAIKAAVAITAIIAGGRLLLRPIYKQIAENRNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLANFPVIMGTLGLLLVGKTILVVIIGKLFGISIISAVRVGLLLAPGGEFAFVAFGEAVNQGIMTPQLSSLLFLVVGISMALTPWLAAGGQLIASRFELQDVRSLLPVESETDDLQGHIIICGFGRIGQIIAQLLSERLIPFVALDVSSDRVAIGRSLDLPVYFGDAGSREVLHKIGADRACAAAIALDTPGANYRCVWALSKYFPNIKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPTSEIATTINEFRSRHLSELAELCEASGSSLGYGFSRSTSKPKPPSPSDTSDDNQIIEGTLA >fgenesh2_kg.6__363__AT5G04480.1 pep chromosome:v.1.0:6:1496037:1502515:-1 gene:fgenesh2_kg.6__363__AT5G04480.1 transcript:fgenesh2_kg.6__363__AT5G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNSLSLEIDDNGGAGRDGNHNNANNVAGNGDTSFHSIRDRLRLKRNSSDRRDRSHSGLDRPSLRNRPHHIARSLNRKGLISLLKPRGTCLLYFLVAFTVCAFVMSSLLLQNSITWQGNVKRGQVRSQIGLGSTLKYVPGGIARTLIEGEGLDPLRSTVRIGVRPPRLALVLGNMKKDPRTLMLVTVMKNLQKLGYVFKVFAVENGEARSLWEHLAGHVKVLVSEQLGHADWTIFEGVIADSLEAKEAISSLMQEPFRSVPLIWIVHEDILANQLPVYQRMGQNSLISHWRSAFARADVVVFPQFTLPMLHSILDDGNFVVIPESVVDIWAAESYSETHTKQKLREINEFGEEDVIILVLGSSFFYNEFSWDNAVAMHMLGPLLTRYGRRKDTSNSFKFVFLYGNSTKGQSDAVQEVAARLGLTEGTVRHFGLNEDVNKVLRMADILVYASSQEEQNFPPLIVRAMSFGIPIITPDFPVMKKYLADEVHGIFFRRNDPDALLKAFSPLISDGRLSEFAQTIASSGRLLTKNLMATECITGYARLLENILHFPSDTFLPGSISQLQGASWEWSFFRSELEQPKSFILDSAYASIGKSGIVFQVEEKYMGVIESTNPVDNSTLFVSDELPSKLDWDVLEEIEGAEEYENVESEELEDRMERDVEDWEEIYRNARKSEKLKFEVNERDEGELERTGQPVCIYEIYDGAGAWPFLHHGSLYRGLSLSSKDRRLSSDDVDAADRLPLLNDTYYRDILCEIGGMFSVANKVDSIHMRPWIGFQSWRAAGRKVSLSSKAEESLENIIKQETKGEIIYFWTRLDIDGDAYGRKNALTFWSMCDILNQGNCRTTFEDAFRHIYGLPEHIEALPPMPEDGHHWSSLHNWVMPTPSFLEFVMFSRMFSESLDALHNNLNDSKSCSLASSLLERKHCYCRVLELLVNVWAYHSGRKMVYINPRDGSLEEQHPLLQRKGLMWAKYFNFTLLKSMDEDLAEAADDKDHPRERWLWPLTGEVHWKGVYEREREERYRLKMDKKRKTKEKLYDRIKNGYKQKSLGG >fgenesh2_kg.6__3640__AT4G00620.1 pep chromosome:v.1.0:6:24742074:24744165:1 gene:fgenesh2_kg.6__3640__AT4G00620.1 transcript:fgenesh2_kg.6__3640__AT4G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMFTDCSSSTTSRLIHLNRRSGTFLLRQCVGQLRLQTTASGRGCCICSSSSPISAISADTKSSEGVAIVIDGKAVAKKIRDEITIEVSRMKESIGVIPGLAVILVGDRKDSATYVRNKKKACDSVGIKSFEVCLAEDSSEEEVLKSVSAFNGDPSVHGILVQLPLPSHMDEQNILNAVSIEKDVDGFHPLNIGRLAMRGREPLFVPCTPKGCIELLHRYNIEIKGKRAVVIGRSNIVGMPAALLLQREDATVSIIHSRTKNPEEITREADIIIAAVGQPNMVRGSWIKPGAVIIDVGINPVEDPSAARGYRLVGDICYEEACKVASAITPVPGGVGPMTIAMLLSNTLTSAKRIHNFQ >fgenesh2_kg.6__3641__AT4G00600.1 pep chromosome:v.1.0:6:24753576:24754882:1 gene:fgenesh2_kg.6__3641__AT4G00600.1 transcript:fgenesh2_kg.6__3641__AT4G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDCSSSTTSRLIHLNSLNGAFLPRPCFGQLSLRTTASTWRCTLSIRSSSSPSAIVINGKAEAKNIRDDIKIEVSRMKESIGVVPAEDSSEEEVLKSVSGFNDDPSVHGILVQLPLPSHMDEQSILNAVSIEKDVDGFHPLNIGGLAMRGREPLFLPCTPKGCIELLHRYKIDIIGKRAVVLGRSNIVGMPAALLLQREDATVTIIHSRTINPEEITRQADIIIAAVGQPNMVRGSWIKPGAVVIDVGIKRVEDPSAAHGYRWVGDVCYEEASKVASAITPVPGGVGPMTIAMLLSNTFTSAKRFHNFQ >fgenesh2_kg.6__3642__AT4G00590.1 pep chromosome:v.1.0:6:24755144:24757273:-1 gene:fgenesh2_kg.6__3642__AT4G00590.1 transcript:fgenesh2_kg.6__3642__AT4G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDGADQSRRFFVAVHVGAGYHAAANEKALRSVMRRACLAASTILRQDSGECIDAVSAAIQVLEDDPSTNAGRGSNLTEDGHVECDASLMDGDSGMFGGVGAVPGVRNAIKVAALLVKEQISGSTLLGRIPPMLLVGEGARRWGKSKGVLLPETVTEADQWLTTQRARNQWRKFKTMLSEVEAKSTLSAEEHPRGTENNDTCEEKPSPCVAVDEDKIMDTVGVICVDSQGHTVCGSSSGGIAMKISGRVGLAATYGSGCWASSKGPFGAPFRVGCCVSGAGEYLMRGFAARECCTSLSLSQAGPASAAMKVLRSVMHQESSKSGTADKTAGILVVQADASVAVPGVKPELNAVEIAAGYSSLSFGVGYYGNSIEKPKISILRTRRQMSEAGVDHFEARIDLRSTCC >fgenesh2_kg.6__3643__AT4G00585.1 pep chromosome:v.1.0:6:24757435:24759028:1 gene:fgenesh2_kg.6__3643__AT4G00585.1 transcript:fgenesh2_kg.6__3643__AT4G00585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEHGHGAEGGDFRAKVWSMSGGPYCRPKHWRRNTAIAMFGVFLVCIPIAKLSAKLEQRPHMPVRPIPSQIWCKNFGTKDDYEKEH >fgenesh2_kg.6__3644__AT4G00550.1 pep chromosome:v.1.0:6:24772089:24778172:1 gene:fgenesh2_kg.6__3644__AT4G00550.1 transcript:fgenesh2_kg.6__3644__AT4G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQQQQQHIAIFTTASIPWLTGTAVNPLFRAAYLANDGDRRVTLVIPWLTLNHQKLVYPNSITFSSPSEHEAYVRHWLEERVSFPLGFEIRFYPGKFATDKRSILPVGDISDAIPDEEADIAALEEPEHLTWFHHGKKWKTKFNYVIGIVHTNYLEYVKREKQGRVKAFLLKYLNSWVVGIYCHKVIRLSAATQEYPKSIVCNVHGVNPKFLEIGLRKLEQQKLQEQPFTKGAYYIGKMVWSKGYKELLKLLEKHQKELPELEVDLYGDGEDSEEIKAAARKLELTVNVYPGRDHADSLFHNYKVFLNPSTTDVVCTTTAEALAMGKIVVCANHISNEFFKQFPNCRTYDDGKGFVRATVKALGEQPLQLTEQQRHELSWEAATQRFIKACDLSRLSRAESNISKKSVFASSSISMGKNLEDMSAYIHFLASGFEASRTAFGAIPGSLQPDEELCRDLGLPLNTPSPNTRKQD >fgenesh2_kg.6__3646__AT4G00540.2 pep chromosome:v.1.0:6:24779668:24782131:1 gene:fgenesh2_kg.6__3646__AT4G00540.2 transcript:fgenesh2_kg.6__3646__AT4G00540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPFDLNRSESESDNNNNVIPTFAIDNSSQGYRRVSGPTRRSTKGGWTSEEDKILTKAVQMYEEKNWKKIAECLPKRKEKRRNDVQCQHRWLKVLHPDLNKGAWRKYEDDLLSELVKEYMEKDKPQWSKIAKQLPGRIGKQCRERWHNHLNPTIRKTPWTREEELILVQAQREHGNKWAEIAKLLSGRTENNIKNHWNCSVKKRLKQFPSNLFSGVINSCRHEYNFFNQSNTMAESCITFQIKEAEKSPQRDSLELTLGLMNWRNTPSSTSSLRGEESVSSSVESDWSRLNVSESVETPQSSNNDNVCVKEVKEMQERLRMAASTFNTPSIISKRSSPASGLKRLRQKDDTPFQIDARSHMSSEEKQSISSKYRRNTCSGSKPLERRLDFDFL >fgenesh2_kg.6__3648__AT4G00525.1 pep chromosome:v.1.0:6:24785848:24787027:-1 gene:fgenesh2_kg.6__3648__AT4G00525.1 transcript:fgenesh2_kg.6__3648__AT4G00525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMGLDPELEMDEGDLEKLESDVKQMAKKISEYRQTLPDHLRNTLDSALSSHIPVFPNIDSGSDPLPSPCLTIAEVPVVLGTEEQDSGEKWIQLKERMSRNAANIPKVVKRMRECIESIDKLDSLDITIHPAFRRRRIN >fgenesh2_kg.6__3651__AT4G00490.1 pep chromosome:v.1.0:6:24793680:24796403:-1 gene:fgenesh2_kg.6__3651__AT4G00490.1 transcript:fgenesh2_kg.6__3651__AT4G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:D7M5N4] MAIRLTHSVIPVSVKLGAPARVSARSSLPFSVGDWRGVSTFSGARPSLVSAKVKLRAESTEEDPVPIDDDDSTDQLVDEEIVHFEERDFSGTARVPVYVMLPLGVIDMNSQVVEPEELLDQLRTLKSVDVDGVMVDCWWGLVEAHTPQVYNWSGYKKLFQMIRELGLKIQVVMSFHECGGNVGDDVHIQLPEWVREIGQSNPDIYFTDRAGRRNTECLTWGIDKQRVLRGRTALEVYFDYMRSFRVEFDEFFEDKIIPEIEVGLGPCGELRYPSYPAQFGWRYPGIGEFQCYDKYLMKSLKEAAEVRGHSFWGRGPDNTETYNSTPHGTGFFRDGGDYDSYYGRFFLNWYSRVLIDHGDRVLAMANLAFEGTCIAAKLSGIHWWYKTASHAAELTAGFYNSSNRDGYGPIAAMFKKHDAALNFTCVELRTLDQHEDFPEALADPEGLVWQVLNAAWDASIPVASENALPCYDREGYNKILENAKPLTDPDGRHLSCFTYLRLNPTLMESQNFKEFERFVKRMHGEAVPDLGLAPGTQETKPE >fgenesh2_kg.6__3654__AT4G00460.2 pep chromosome:v.1.0:6:24812642:24815186:1 gene:fgenesh2_kg.6__3654__AT4G00460.2 transcript:fgenesh2_kg.6__3654__AT4G00460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g00460 [Source:UniProtKB/TrEMBL;Acc:D7M5N7] MENLSNPDENDDVGYHQSPRSIDQNDQSAAETPVYSTMSIDSFVYPRTCSESTSGFSDQIDETNSFCSEASPCNWPVLTESKSSKCLSGLEMQSNESLAVQEISEPELETMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQNLRLEPLETEKRAMWKREMNCLLSVCDYIVEFIPRCQNLSNGATVEVMESRPRADIYINLPALRKLDSMLMEALDSFQNTEFWYAEEGSLSMKSARSSTGSFRKVIVQRKEEKWWLPVPLVPPEGLSDKARKQLKNKRESTNQIHKAAMAINSSILSEMEIPESYMTTLPKCGKSSVGDSIYRYMSGSGRFFPEQLLDCLNIASEHEAVQLADRVEASMYTWRRKACLSNSKSSWNMVKDLMSNTERTDKNYVMAERAETLLFCLKQRYPELSQTSLDICKIQYNKDVGKAVLESYSRVLEGLAFNIVAWIDDVLYVDKTMRGSE >fgenesh2_kg.6__3659__AT4G00440.1 pep chromosome:v.1.0:6:24831451:24836125:-1 gene:fgenesh2_kg.6__3659__AT4G00440.1 transcript:fgenesh2_kg.6__3659__AT4G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTQRRAAARQEREQLGCMWGFMNMFNFRHGPLAHKLLLDQKHASGNPKNNELNKSKFRETDAQEPNVGEERNITITIIKPSVKKLIAEELSIDKEIKKQRESAEAGQLSDSELEGRRRKNQRRKNKTRKKSCDNFSHINLVDSEEPQVQRRNHRSARSVDIDNMIEEFYSEIHRRSTSRAKNDEDYKEKLRELVKFLISQKLLHGNRPRGNSEILTSKDLMEVFQILGSDEELFLKLLQDPEILVPREKGAESLSLFESEQSSLADKKWSSFFRRKDAPQEECEASDRIFILKPRSASFSSPDIGNSRGSSPDSHLMGNKLQNERNSSHFFLSEIKRKLKHAIKKEQPAGGFGEGFPKTKDHFFLERMARPSTSQKKSHNEDDKKQRVSNIYIEAKKHLSEMLNNGDLDSKSTSRQVQRSLGRILSFPEYLSPLNSPGRRWEKISTAHKKSASADFINLVNIKKETHASQPEENADIQVCNLSEKPDDSIQPTATEPTEKSVDIEDETANEDKMSSAGSADDVMIPNEIDVVPEEASSTLIGDLCKVEAHDEQRDESQPPLSSSVDSPSHCLAKTEECKSAITDFPEWSSPISVLEPLFVEDDISPAKMRSQSGEAEVQPWCIHFDEKDPVTKNRENSVTSDKELVFKYVKAVLDAVDSDFEELYLKAQFSDQLLEPALISNIPFCPNQLCPDHELLFDCINEALMELCCCPPWASFVTPRTRVFCTVKSIIHEVQEAVYWHLLPLPLPHALDQIVRKDMARAGNWLDIRCDIDCIGFETSELILDELLEELTLNSLVPPELKTDGSILIL >fgenesh2_kg.6__3661__AT4G00400.1 pep chromosome:v.1.0:6:24857551:24860559:1 gene:fgenesh2_kg.6__3661__AT4G00400.1 transcript:fgenesh2_kg.6__3661__AT4G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7M5P3] MSPAKKSGNFPPITECRDGEYDSIAADLDGTLLLSRSSFPYFMLVAVEAGSLFRGLILLLSLPIVIISYLFVSESLGIQILIFISFAGLKIRDIELVSRAVLPRFYAADVRKDSFDVFDKCKRKVVVTANPIVMVEAFVKDYLGGDKVLGTEIEVNPKSNRATGFVKKPGVLVGDLKRLAILKEFGDESPDLGLGDRTSDHDFMSLCKKGYMVHACKSATTIPKERLKNRIVFHDGRLAQRPTPLNAIVTYLWLPFGFILSIIRVYFNLPLPERFVRYTYEILGIHLTIRGHRPPPPSPGTLGNLYVLNHRTALDPIIVAIALGRKICCVTYSVSRLSLMLSPIPAVALTRDRVTDAANMRKLLEKGDLVICPEGTTCREEYLLRFSALFSELSDRIVPVAMNCKQGMFNGTTVRGVKFWDPYFFFMNPRPSYEATFLDRLPEEMTVNGGGKTPIEVANYVQKVIGAVLGFECTELTRKDKYLLLGGNDGKVESIMLG >fgenesh2_kg.6__3664__AT4G00355.1 pep chromosome:v.1.0:6:24891631:24893408:-1 gene:fgenesh2_kg.6__3664__AT4G00355.1 transcript:fgenesh2_kg.6__3664__AT4G00355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKDEAATRGNDWEVVSLTASAYAASPGPKPLVDLNDDHHKELVTPCYEAETSHPLYMSRHFVFPPSGQLEPPPTSELTEASKKITGTHCKDEGDLTLKGLDLSDDFGGLEFFDEKGNKDENIYTTAMTTSLDEERATGGSHVYELNEPVQEPTAPVAPSDVTPDLNPTKDDDKHEVANVPPCEEAWWKRSAASLIAQAKETNTVWSICIAAAVMGIVILGQHWQQERWQILQQRWESSIGNEKAGRLMGPISRLKQAFVGGQRRDSFIRGSSQNDR >fgenesh2_kg.6__3666__AT4G00350.1 pep chromosome:v.1.0:6:24923281:24925478:-1 gene:fgenesh2_kg.6__3666__AT4G00350.1 transcript:fgenesh2_kg.6__3666__AT4G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7M5Q2] MEIPVREERRSSSSSAGPLPQTIALAADDAIDSGPSSPLLVKVSVFETEHETTKLHHAPSTLLGETTGDADFPPIQSFRDAKLVCVIETSKLWEIAAPIAFNILCNYGVNSFTSIFVGHIGDLELSAVAIALSVVSNFSFGFLLGMASALETLCGQAFGAGQMDMLGVYMQRSWLILLGTSVCLLPLYIYATPLLILLGQEPEIAEISGRFTTQIIPQMFALAINFPTQKFLQSQSKVGIMAWIGFFALTLHIFILYLFINVFKWGLNGAAAAFDVSAWGIAIAQVVYVVGWCKDGWRGLSWLAFKDVWPFLKLSFASAVMLCLEIWYFMTIIVLTGHLEDPVIAVGSLSICMNINGWEGMLFIGINAAISVRVSNELGSGHPRAAKYSVIVTVIESLIIGVVCAIVILITRDEFAVIFTESQEMRKAVADLAYLLGITMILNSLQPVISGVAVGGGWQAPVAYINLFCYYAFGLPLGFLLGYKTRLGVQGIWIGMICGTSLQTLILLYMIYITNWNKEVEQASERMKQWGAGYEELEKIAT >fgenesh2_kg.6__366__AT5G04510.1 pep chromosome:v.1.0:6:1516343:1519291:1 gene:fgenesh2_kg.6__366__AT5G04510.1 transcript:fgenesh2_kg.6__366__AT5G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoinositide-dependent protein kinase-1 PDK1 [Source:UniProtKB/TrEMBL;Acc:D7LXJ8] MEKDFDSKLVLQGNGANVSRSKSFSFKAPQENFTSHDFEFGKIYGVGSYSKVVRAKKKESGTVYALKIMDKRFITKENKTSYVKLERIVLDQLEHPGIIKLYFTFQDTSSLYMALESCDGGELFDQITRKGRLSEDEARFYTAEVVDALEYIHSMGLIHRDIKPENLLLTSDGHIKIADFGSVKPMQDSQITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATVGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIKFPNHFSEEARDLIDRLLDTDPSRRPGAGSEGYAALKKHPFFNGVDWKNLRSQTPPKLAPDPASQTASPERDDAHGSPWNLSHIGDSLPTQNEGHSAPSTSSESSGSITRLASIDSFDSRWQQFLEPGESVLMISAVKKLQKITSKKVQLILTNKPKLIYVDPSKLVVKGNIIWSDNSNDLNVLVTSPSHFKICTPKKVLSFEDAKQRASVWKKAIETLQNR >fgenesh2_kg.6__3671__AT4G00310.2 pep chromosome:v.1.0:6:24942687:24944307:-1 gene:fgenesh2_kg.6__3671__AT4G00310.2 transcript:fgenesh2_kg.6__3671__AT4G00310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDA8/MEE46 [Source:UniProtKB/TrEMBL;Acc:D7M5Q6] MRIRKNTKLSSVLLATAGCGGERPDTYVCHLNQSPWDVIPVSSSGDCELTNLLDSSWFLPLSSSSSSSPPLIRKFNGDEDSFNGTVSLGDCNGAAQRFNSSMRSNHTLVSVEKLNLNAAEDKYDISPEVEDPMDQSASYDKKSEAREPNSPVKTSGDDGKVAVTIPAPPKRGRPRGSGKKASAPALKNPYEFYYYSGFGPRWGRKRGGSDDEKMVTSDNKKSRSSTTSSSTSDEEGNNTAAFGGGSVSFDEFDFVEEDYDVMEQDQESDHGRKKNKEKMIMMKKTMKRGRKPVKERSLKSLM >fgenesh2_kg.6__3673__AT4G00305.1 pep chromosome:v.1.0:6:24945751:24946027:-1 gene:fgenesh2_kg.6__3673__AT4G00305.1 transcript:fgenesh2_kg.6__3673__AT4G00305.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M5Q7] SASSGSTIAGINKIPMDDMLPATKFEEMSRVNPPESCRICQDEFDGGDEVRCLRNCVHVYHKTCIDRWIQDDMMTCPLCRTPIVPDFYFFRL >fgenesh2_kg.6__3674__AT4G00300.1 pep chromosome:v.1.0:6:24950488:24955632:-1 gene:fgenesh2_kg.6__3674__AT4G00300.1 transcript:fgenesh2_kg.6__3674__AT4G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENNHKDQKQLSYDKSNKPFFPSSSSPCSFTAIVVLLIFVSYLLYSFSFISFLNPYSPSKIPSSLLVPVIRLGSGQKPEEQTELKHIVFGIAASSDLWKHRREYVKTWWKPNGVMNGAVWLDKPVNDSVSSSSALPQIRISSDTSSFKYRYRNGHRSAIRITRIVSETVRMLNGTEAERNVRWVVMGDDDTVFFTENLVRVLRKYDHKQFYYIGAPSESHLQNLHQFSYGMAYGGGGFAISYPLAKVLEKMQDRCIERYSDLYGSDDRIHACMAELGVPLTKEVGFHQFDVYGNLLGLLSVHPQAPIVSIHHLDVVEPIFPKTNRVNALKKLMIPAKLDSASLLQQSVCYDKSRQWTMSISWGYTVQITRTYMPARFMEMPTRTFNDWHKRRDFTNLAFNTRPITWTDCQRPRVFYLSHAFSNSSDTTTITGYLRHNEWYPKCDWGIADPSDINQIFVYKKPTPDRWNKVVIRFVPSKDSNFAFVNAIEVISAPKDLIGDVATSVSHDGTEKFNGLATQAMEVVYRINVGGRKVTPFNDTLWRTWVTDDEFFKTGDGSSEKTYFTGRIKYRPGGASREVGPDNVYNTARVGKRSNGLVDMSWDFKVSSGYKYLIRMHFCDIASKSLGRLYFNVYINGNLAYQDFDISYAADDVLASPYYIDFVVDATADTNNPLGSTITVSVGPSNKTNVDEHGVDAILNGVEIMKMNNSMGSLDGYVSTEMILSSCPNRRNLSIFIAMLAFMCIFMSFYIVTQRKKVKDQYGWTKLSMDILEDNPKSGNQFAARKA >fgenesh2_kg.6__3676__AT4G00240.1 pep chromosome:v.1.0:6:24962858:24967456:1 gene:fgenesh2_kg.6__3676__AT4G00240.1 transcript:fgenesh2_kg.6__3676__AT4G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLDBETA2 [Source:UniProtKB/TrEMBL;Acc:D7M5R2] MENYGSNPSYYPYRPPRPYPYPPQPHHHGSMSHSGPLDYTHHPPLKPLQYPPQHSAASVGMSPENVSSNASSSPSLYPPLDELLTGLTVSVNQPTVPPPPSAPNSFSSHSDLSYSGRLDSSTHGYTSTASPHSPGMHIVPFGKASLKVLLLHGNLDIWVSCANNLPNMDLFHKTLGVVFGGMSNMIEGQLSKKITSDPYVSISVAGAVIGRTYVISNSENPVWQQHFYVPVAHHAAEVHFVVKDSDAVGSQLIGIVTIPVEQIYSGARIEGTYSIRDSNGKPCKPGATLSLSIQYTSMDKLSVYHSGVGAGPYYQGVPGTYFPLREGGSVTLYQDAHVPEGMLPGIKLGNGMCYEHGKCWHDMFHAICQARRLIYITGWSVWHNARLVRDKEDPASECTLGELLRSKSQEGVRVLLLVWDDPTSQNILGYMTDGVMGTHDEEIRRFFKDSSVQVLLCPRNAGKRHSWVKQREVGTIYTHHQKTLIVDADAGGNRRKIVAFVGGLDLCDGRYDTPQHPLFRTLQTDHKGDYHNPTFTGNVSGCPREPWHDLHSKIDGPAAYDVLTNFEERWLKAAKPHRINKLKTSYDDALLRIDRIPDILRVLDAPTVSANDPEAWHVQIFRSIDSNSVKGFPKDPKYATSKNLVCGKNVLIDMSIHTAYVKAIRAAQNFIYIENQYFIGSSYDWNAHKDIEKTQILSIFLNLRQWLFILCCGDVGANNLIPMEIALKIADKIRARERFAAYIVIPMWPEGVPTGAATQRILYWQHKTMQMMYGTIYNALVEAGLEGEYSPQDYLNFFCLGNREMMNGTNESGTGSASNENTPQASCRKSRRFMIYVHSKGMVVDDEYVVIGSANINQRSMEGTRDTEIAMGAYQPQHTWARRQSGPRGQIYGYRMSLWAEHMALLDDCFVEPQSLECVRKVRTMAEDNWKQFRSEEVSDMSGHLMKYPVEVDRKGKVRPLPGSQEFPDVGGNIVGSFLAIQENLTI >fgenesh2_kg.6__3677__AT4G00231.1 pep chromosome:v.1.0:6:24967698:24969294:-1 gene:fgenesh2_kg.6__3677__AT4G00231.1 transcript:fgenesh2_kg.6__3677__AT4G00231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLPEEVLQPLLHASDLSYSLEGCLKFLLESSKTDSGRSDLASKCILPSILRLLQLLPYPSSRHYLNLSLKVLRNLCAGEVSNQNSFVDHDGSVIVSELLDSAIADFETVRFGLQVLANVVLFGEKRQRDVWLRFFPERFLSIAKIRRRETCDPLCMILYTCFDGSSEIASELCSSEGLTIIAETLRTSSSVGSVEDYWLKLLVSRICVEDDYFPKLFSKLYKVAENEKFTSEQAFLLRIVSDIANERIGKVAIPKDTASSILGLFKQSVDVFDFVSGERSELPTGSTIVDVMGYSLVIIRDACAGGSLEELNKDNKDSGDTVELLLSSGLIELLLDLLRKLDPPTTIKKALNQSPTSSSSFKPCPYRGFRRDIVSVIGNCAYRRKEVQDEIRERDGLVLMLQQCVTDDENPFLREWGLWCVRNLLEGNPENQEVVAELEIKGSVDVPQLREIGLRVEIDPKTARPKLVNDT >fgenesh2_kg.6__3678__AT4G00230.1 pep chromosome:v.1.0:6:24969409:24972916:-1 gene:fgenesh2_kg.6__3678__AT4G00230.1 transcript:fgenesh2_kg.6__3678__AT4G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSKCSRHHHLLVLFIVLLDVLSISPGYASAEDEHAKDFYIIYLGDRLDDTEEAIKRHINLLSSLNMSQEEAKERKVYSYTKAFNAFAAKLSPHEAKKMMEMEEVVGVSRNQYRKLHTTKSWDFVGLPLTAKRHLKAERDVIIGVLDTGITPESESFHDHGLGPPPAKWKGSCGPYKNFTGCNNKIIGAKYFKHDGNVPTGEIRSPIDIDGHGTHTSSTVAGVLVANASLYGIANGTARGAVPSARLAMYKVCWERSGCADMDILAGFEAAIHDGVDIISISIGGPIADYSSDSISVGSFHAMRKGILTVASAGNDGPSSGTVTNHEPWILTVAASGIDRTFKSKIDLGNGKSFSGMGISMFNPKAKSYPLVSGVDAAKTTDDKYLARYCFSDSLDRKKVKGKVMVCRMGGGGVESTVKSYGGAGAIIVSDQYQDNAQIFMAPATSVNSSVGDIIYRYINSTRSPSAVIQKTRQVTIPAPFVASFSSRGPNPGSTRLLKPDIAAPGIDILAAFTLKRSLTGLDGDTQFSKFTILSGTSMACPHVAGVAAYVKSFHPDWTPAAIKSAIITSAKPISRRVNKDAEFAYGGGQINPRRAASPGLVYDMDDISYVQFLCGEGYNATTLAPLVGSRSVSCSSIVPGLGHDSLNYPTIQLTLRSAKTSTLAVFRRRVTNVGAPSSVYNVTVRAPKGVEITVEPRSLSFSKASQKRSFKVVVKAKQMIPGKIVSGLLVWKSPRHSVRSPIVIYSPTSD >fgenesh2_kg.6__3680__AT4G00220.1 pep chromosome:v.1.0:6:24978411:24980661:-1 gene:fgenesh2_kg.6__3680__AT4G00220.1 transcript:fgenesh2_kg.6__3680__AT4G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain protein 30 [Source:UniProtKB/TrEMBL;Acc:D7M5R6] MSSSGNPSSSGGGGPCGACKFLRRKCVAGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLQHVPEHKRPDAVVSICFEAQARLRDPIYGCVSHIVSLQQQVVSLQTELSYLQAHLATLELPQPQPPQVPVSSSGSLQALSITDLPSISPSVYDLSSIFEPVMSSTWAMQQQPRPSDHLFGVPSSSNIGGGGELQALAREFIHGGQMPAQPSPGTSGSGSTVIKRE >fgenesh2_kg.6__3682__AT4G00200.1 pep chromosome:v.1.0:6:24993577:24995612:1 gene:fgenesh2_kg.6__3682__AT4G00200.1 transcript:fgenesh2_kg.6__3682__AT4G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSDRISSGGVIGAEAPNQFMPSSGKKRRGRPRKYGEANGTPLPSSSTPLLKKRAKGKLNGFAIKMHKTINSSATGERFGVGGGAGSNFTPHIITVHTGEDITMRIISFSQQGPRAICILSANGVISNVTLRHPESCGGTLTYEGRFEILSLSGSFMETENQGSRGRSGGMSVSLAGPDGRVVGGGVAGLLIAATPIQVVVGSFITSDQQDHQIPRKQRVEHTPPTVTSLPPPPASVFSSTNPEREQPPSSFGISSWTNGQDMPRNSATDINISLPAD >fgenesh2_kg.6__3684__AT4G00180.1 pep chromosome:v.1.0:6:25007381:25010270:1 gene:fgenesh2_kg.6__3684__AT4G00180.1 transcript:fgenesh2_kg.6__3684__AT4G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSMSSSSAPAFPPDHFSSSEQLCYVHCSFCDTVLAVSVPPSSLFKTVTVRCGHCSNLLSVTVSMRALLLPSVSNLGHSFLPPPPPPSPPNLLEEMRSGGQNINMNMMMSHHGAAHHPDESLVMPTRNGRVDHLQEMPRPPANRPPEKRQRVPSAYNRFIKEEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMADHPPTKKANVRQQEGEDSMMGRDREGFYGSTANVGVTHN >fgenesh2_kg.6__3689__AT4G00150.1 pep chromosome:v.1.0:6:25024374:25026452:1 gene:fgenesh2_kg.6__3689__AT4G00150.1 transcript:fgenesh2_kg.6__3689__AT4G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPFEAFQGKGISCFSSFSSSFPQPLSPLLSRRKVRGGEEEEEEEVPAAEPTSVLDVLISPTSSSTVSSSHGGNSAVGGGGDATTDEQCGTIGLGDWEQVPHDHEQSILRLIMGESTDPSLELNSILQTSPTFHDSDYSSPGFGVVDTGFGLDHHSVPPSHVPGLLISQSQTHYTQNPAAIFYGHHHHTPPPAKRLNPGPVGIAEQLVKAAEVIESDTCLAQGILARLNQQLSSPVGKPLERAAFYFKEALNNLLHNVSQTLNPYSLIFKIAAYKSFSEISPVLQFANFTSNQALLESFHGFHRLHIVDFDIGYGGQWASLMQELVLRDNAAPLSLKITVLASPANHDQLELGFTQDNLKHFASEINISLDIQVLSLDLLGSISWPNSSEKEAVAVNISAASFSHLPLVLRFVKHLSPTIIVCSDRGCERTDLPFPQQVAHSLHSHAALLESLDAVNANLDAMQKIERFLIQPEIEKLVLDRSRSIERPMMTWQTMFLQMGFLPVTHSNFTESQAECLVQRTPVRGFHVEKKHNSLILCWQRTELVGVSAWRCRSS >fgenesh2_kg.6__368__AT5G04520.1 pep chromosome:v.1.0:6:1519450:1520476:-1 gene:fgenesh2_kg.6__368__AT5G04520.1 transcript:fgenesh2_kg.6__368__AT5G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase/ transition metal ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7LXJ9] MDCETLIESAIRILNTSDPHEKARLGDSIAVKWLQGAIAEPYDPTVDLSVPDRPARLPVKLVSPSLMPKLGRAGSLQSRQAIVHSLAHTESWAIDLSWDIIARFGKQEKMPRDFFTDFVRVAQDEGGHFTLLAARLEEIGSTYGALPAHDGLWDSAAATSHDLLARLAIEHCVHEARGLDVLPTTISRFRNGGDNETADLLEKVVYPEEITHCAAGVKWFKYLCERSKDPEFTISSKESDDSNEEIINKFHSVVREHFRGPLKPPFNVEARKAAGFGPQWYEPLAVK >fgenesh2_kg.6__3690__AT4G00120.1 pep chromosome:v.1.0:6:25039521:25040965:1 gene:fgenesh2_kg.6__3690__AT4G00120.1 transcript:fgenesh2_kg.6__3690__AT4G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDA33 [Source:UniProtKB/TrEMBL;Acc:D7M5S5] MENGKYKKKGLCDSCVLSKSRSNHSPKRSMMEPQPHQLLMDWNKANDLITQEHAAFLHDPHLMLDPPPETLIHLEEDEEYDEDMDAMKEMQYMIAVMQPVDIDPATVPKPNRRNVKISDDPQTVVARRRRERISEKIRILKRIVPGGAKMDTASMLDEAIRYTKFLKRQVRILQPHSQIGAPMADPSYLCYYHNSQP >fgenesh2_kg.6__3693__AT4G00100.1 pep chromosome:v.1.0:6:25047708:25048836:-1 gene:fgenesh2_kg.6__3693__AT4G00100.1 transcript:fgenesh2_kg.6__3693__AT4G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRPS13A [Source:UniProtKB/TrEMBL;Acc:D7M5S8] MGRMHSRGKGISASALPYKRPPPSWLKTTSQDVDESICKFAKKGLTPSQIGVILRDSHGIPQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >fgenesh2_kg.6__3695__AT4G00080.1 pep chromosome:v.1.0:6:25052111:25052906:-1 gene:fgenesh2_kg.6__3695__AT4G00080.1 transcript:fgenesh2_kg.6__3695__AT4G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNKLTLVLLSLLPLIIFSATATSSKDYDTKAYVHSWCRTTLYPKLCVRSMSRYVRSRAVQNPRDLARFALKASLYRAKYTKAFLLKEVKNLETTLRPQYYALVHDCLNQIRDSVNQLSLAIAELDRVSRRQGKSQGDLHWHINNLQTWTSTALTDAETCVSQFPGRRMSKLKATIKGKVKNVEETTSNALAFIEYYAAARYRARRP >fgenesh2_kg.6__3697__AT4G00040.1 pep chromosome:v.1.0:6:25070693:25072177:-1 gene:fgenesh2_kg.6__3697__AT4G00040.1 transcript:fgenesh2_kg.6__3697__AT4G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASARVEKQKRVAYQGKATLLALGKAFPSNVVSQENLVEDYLREIKCDDLSIKDKLQHLCKSTTVKTRYTVMSRETLQKYPELATEGSPTIKQRLEIANEAVVQMAYEASLVCIKEWGRTVEDITHLVYVSSSEFRLPGGDLYLSTQLGLRNEVQRVMLYFLGCYGGLSGLRVAKDIAENNPGSRVLLTTSETTVLGFRPPNKARPYDLVGAALFGDGAAALIIGADPRESESPFMELHCALQQFLPQTQGVIDGRLSEEGISFKLGRDLPQKIEDNVEEFCKKLVAKAGSGSLELNDLFWAVHPGGPAILSGLETKLKLKPEKLECSRRALMDYGNVSSNTIFYIMDKVRDELEKKGTEGEEWGLGLAFGPGITFEGFLMRSL >fgenesh2_kg.6__3698__AT4G00030.1 pep chromosome:v.1.0:6:25072448:25073253:-1 gene:fgenesh2_kg.6__3698__AT4G00030.1 transcript:fgenesh2_kg.6__3698__AT4G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein pap [Source:UniProtKB/TrEMBL;Acc:D7M6B6] MALALSSACPPPPLRSSRRGGFRTSCSIFANPAQRAKRKLLELISEEDRGVRTQKDATKRDAIVDAIESMAVIGRSSITTGESLSSTWRLLWTTEKEQLFIIEKAGLFGTTAGDVLQVIDVNKRILNNVITFPPDGVFFVRSDIEIASPQRVNFRFNSAVLRAKNWEIPLPPFGKGWFENVYMDAEIRVAKDIRGDYLIVDRAPYNWTE >fgenesh2_kg.6__3699__AT4G01710.1 pep chromosome:v.1.0:6:25075492:25076430:-1 gene:fgenesh2_kg.6__3699__AT4G01710.1 transcript:fgenesh2_kg.6__3699__AT4G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 5 [Source:UniProtKB/TrEMBL;Acc:D7M6B8] MAEFVEADNAEAIIARIETKSRKIESLLKQYKHVEALKTALEGSPPKTRDERCKSANWIVVHRAIMAIKDIDGMLNALDAEYYDILMKYLYRGLSTGDRPTCDQCLKIHEKLTERAGLGCILRCLTDTINTV >fgenesh2_kg.6__369__AT5G04530.1 pep chromosome:v.1.0:6:1523868:1525743:-1 gene:fgenesh2_kg.6__369__AT5G04530.1 transcript:fgenesh2_kg.6__369__AT5G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7LXK1] MELFSLSSLLLLSTLFVFYISKFVLKRRNQRKCYMLHYECYKGMDERKLDTETCAKVVQRNKNLGLEEYRFLLRTMASSGIGEETYGPRNVLDGREDSPTLLDAHSEMDEIMFDTLDKLFHKTKGLISPSDIDILVVNVSLFAPSPSLTSRVINRYKMREDIKSFNLSGLGCSASVISIDIVQRIFETTENAIALVVSTETMGPHWYCGKDRSMMLSNCLFRAGGSSVLLTNAARFKNRALMKLVTVVRAHVGSDDEAYSCCMQMEDRDGHPGFLLTKYLKKAAARALTKNLQVLLPRVLPVKELIRYAIVRALKRRTTAKREPASSGIGLNLKTGLQHFCIHPGGRAIIEGVGKSLGLTEFDIEPARMALHRFGNTSSGGLWYVLGYMEAKNRLKKGEKILMMSMGAGFESNNCVWEVLKDLDDKNVWDDSVDRYPELSRIPNPFVEKYDWINDDTMSFVRVD >fgenesh2_kg.6__3700__AT1G05940.1 pep chromosome:v.1.0:6:25082151:25084932:-1 gene:fgenesh2_kg.6__3700__AT1G05940.1 transcript:fgenesh2_kg.6__3700__AT1G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHEGFSYQRLSSATWFSHFRASALRSKSLPPPSSQTAVRSTSGDSLVRRLGLFDLILLGVGASIGAGVFVVTGTVARDAGPGVTISFLLAGASCVLNALCYAELASRFPAVVGGAYMYSYSAFNEITAFLVFVQLMLDYHIGAASISRSLASYAVALLELFPALKGSIPLWMGSGEELLGGLLSLNILAPILLALLTLVLCQGVRESSAVNSVMTATKVVIVLVVICAGAFEIDVANWSPFAPNGFKAVLTGATVVFFSYVGFDAVANSAEESKNPQRDLPIGIMGSLLVCILLYIGVCLVLTGMVPFSLLSEDAPLAEAFSSKGMKFVSVLISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSIFSRIHPTLHTPLHSQIWCGIVAAVLAGIFNVHSLSHILSVGTLTGYSVVAACVVALRLNDKKERESANRWTSSWQEGVICLVIIACSGFGAGIFYRFSASVIFILLSVGVVVVASAVLHYRQAYAQSLGSGFSCPGVPIVPCVCIFFNMFLFAQLHYEAWIRFVVVSVLATAVYALYGQYHADTSTLVYQRAPETESDS >fgenesh2_kg.6__370__AT5G04540.1 pep chromosome:v.1.0:6:1528354:1534448:-1 gene:fgenesh2_kg.6__370__AT5G04540.1 transcript:fgenesh2_kg.6__370__AT5G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol or phosphatidylinositol phosphatase/ phosphoric monoester hydrolase [Source:UniProtKB/TrEMBL;Acc:D7LXK2] MTALRPVSGRSRSLRCSSEKMEGTGSWDVLEWTKLDSASWSGSYSNLDCLLESERISFEACGVILINTDEAGTLLLSNFRILFLSEGTRKLIPLGTIPFVAIEKFNKLALKVQANKYHNNENAPTRLLQVTGKDMRIVVYGFRPGTKQRRSVVDALLRCNKPERVWDLYAFTCGPSKFGNTNPKERLLNEYFRLLGKSSQRASMNMIEDGTFTLSNDLWRITNLNSNYGLCQSYPFALMVPKSISDEELIQTSTFRARCRLPVISWCHPGSGAVIARSSQPLVGLMMNMRSTSDEKLVTSFCTQLAGHKGSRRKLYIVDARPRKNALANGAKGGGSESSSNYLQSEIVFLGIDNIHAMRESFSRLRDYLDMHGTTSSDGTSSFLRHGGWTWGGGNLSSMSASVSLLGDSGWLSHIQSILAGVAWIAARVAMESASVLVHCSDGWDRTTQLVSLACLLLDPYYRTFSGFQALVEKDWLAFGHPFSDRVGMPNVSESGNFELPIQSSSARSFPSSPVRQSPGSAAAQSSSSSYGLNNYSPIFLQWLDCVSQLMRMYPSAFEFSPTFLVDFTDCLLSGRFGNFLCNSEKERQQCGISETCGCLWAYLADLRSSGGTSHVHCNPFYDPSRYDGPLLPPAAALAPTLWPQFHLRWACPVEPNATETEDQCRAMTAKYSEMKKEKEEAERKVDELSSAVESLSEELLNERDISHAARESAKRATKERAVISRAVQSLGCKVKFTKNGDCTVEVEDGPRKCSHSIPQKPSEDNTTDVSESISSVSEQNVCEALCPLRTREGMCRRPDAGCAQIGSQFLGLKTNFEAFDNLCVYDSYFTAE >fgenesh2_kg.6__373__AT5G04560.2 pep chromosome:v.1.0:6:1541603:1551156:1 gene:fgenesh2_kg.6__373__AT5G04560.2 transcript:fgenesh2_kg.6__373__AT5G04560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRGDPGDGYFRLPLENQTQHEFQFMGSCIPFTPKKPLQGGGRSSLIVDERVTHQDLNVVPGVEFVERAFCNSGVDHNGVYDHGAHQGVTNLNMMINSLAGSHAQARSNSERDLLGRSDVTSPLPPVIRNTTSNIEPVNGKFSSNVGMVNGPFTQSGSSQAGYTEFELDDLLNPDHMPFSFTSLLSGGDSLFQVRQYGTPACSKPLYNLNSPIRREAVGSVCESSFQYVPSTPSLCRTGEKNGFLEQIVTTIGHEITEPKSDKSMQSIMDASAVNATEVTEQNDGSRQDVLEFDLNKTPQQKPSKRKKKFMPKVVVEGKPKRKPRKPATQEKVNSKETGSGKRKKAQKTNLKESATKKPAHVGDMSNKSPEVTLKSCRKALNFDLEKSGDARQGDSEFEIVQNNNGANSFSEIRDAIGGTNGSFLDSVTQIGQTNGLVATYQPHEVSMGNQPDKLSTEAKLARDQQPDLLTRNQQCQFPVGTQNTQFPMGNQQAWLQMKNQLIGFPFGNQQPRMTIRNQQPCLAMGNQQPMYLIGTPRPALVSGNQQLGGLQGNKQPIFLNQQTCLPTGNQQYGSPTDMHQLVMSTGGQQHGLRMKNQQPGFLLRNQQPGSSMRGQQPCVRLMNQQPGTPKGFTHLNQMVGSCMSSPGLQPHSQSQVPSTNLYVESVSRSLNGTTGACHRSSAPEYGSLQQDIHQGNEYILSHERSNGEFFDVCKKVVSQNSSLPTPIMANLEEARGSKRQYHPAMGQMENHDLNLADVERHMAQSEDVERHNSRPCAKYLGAAKKMKIQKVVQENLHGMPPEVIEIEDDPTDGARKDKSIIKTASKRISCSVQKSADNEKCIVPRTPAKRGRAGRKKSVPPSAHASEIQLWQPTTPKTPSSRSKAKEKGRKSIQASGKARGPSGKLLCLDSIAEIIYRMQNLNLGDKNREQEQNALVLYRGDGAVVPYESKKQKPRPKVDLDDETTRIWNLLMGKGEKEGDEEMDKKKEKWWEEERRVFRGRADSFIARMHLVQGDRRFSPWKGSVVDSVIGVFLTQNVTDHLSSSAFMSLAARFPPKSNSSREDERNVRSVVVEDPEGCILNLNEIPSWQENVQNPSDMEVSGVDSGSKEQQRDCSNSGIERFNFLEKSSQNLEEEVLSSQDSFDPAIFQSCGRVGSCLCSKSDAEFSTTRCETKTVSGSSQSVQTGSPNLSDEICLQGNERPLLYDGSGDVQKQETTNVAQEKPDLDKIMNWKDSLSFGQPSNDTNWQKKGPTNPSSSYEQSTIQQPHVIDIEDFGMQGEGLGYPWLSISPRVDSGKNKNVPRRFFRQGGSVPREFTGQIISSTPHEMLGMGLFASSSALQVHQDDTQHNQQDEMNKASHLQKAFMDLLNSSEECLTRQSSTKQNITDGCLPRDRTAENGVDPLSKNTSLQNILVESNSSNKEQTAVEYNETNATIVREMKGTLADGRKPTSQWDSLRKDVEVNEGRKERSKDSMDSIDYEAIRRASISEISDAIKERGMNNMLAVRIKDFLERTVKDHGGIDLEWLRDVPPDKAKDYLLSIRGLGLKSVECVRLLTLHNLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKFLWPRLCKLDQPTLYELHYQLITFGKVFCTKSRPNCNACPMRGECRHFASAYASARLALPAPAPDERSLTSATIPVPPKSFPPVAIPMIELPLPLEKALARGAPSNRENCEPIIEEPASPEQECTEITESDIEDAYYNEDPDEIPTIKLNIEQFGMTLREHMERNMELQEGDMSKALVALNPTATSIPTPKLKNISRLRTEHQVYQLPDSHPLLDGMDKREPDDPSPYLLAIWTPGETANSAQPPEQKCGGKASGKMCFDETCSECNNVREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNELFADHESSLNPIDVPRDWIWDLPRRTVYFGTSVTSIFRGLSTEQIQFCFWKGFVCVRGFEQKTRAPRPLMARLHFPASKLKNNKT >fgenesh2_kg.6__376__AT5G04610.1 pep chromosome:v.1.0:6:1557553:1558870:-1 gene:fgenesh2_kg.6__376__AT5G04610.1 transcript:fgenesh2_kg.6__376__AT5G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDVRIFETISPSRFISFTIPNPISPIHLLRVAVLDTPVHCTESSPPRVAAILVPKHRESDWIFSTESGHLQLLLNLPDISRLILIGDDHDGGSDLPAVYHRPTGEDDESESLEMRLKPLVVALSPKTLIREEIDDVPFLIYDDNVVSSVVLEKSVGPFVGEMLIEDVEIEIEDGVREFRRRLRFKRMPNLVQSDIKIIPSSCSNSSLPLTGTEFKLHLTELVHPYLAPMVASLSLIGSDIYELKSRPKALCIGVGGGGLLSFLRLQLGFEVTGVEIDPEVLRIARQYFGLEESLARVHVEDGIEFLKRLSTSCDDDAKFDVLMVDLDSTDPIHGMTAPPVEFVAKDVLQAARKVLVPSGVFIINVIPPNKTFYHELQDQFRHVFDELHEIDVGNGENFVLIATVTPRDSKSGFNRANLTPAVSDNYLDAIQKI >fgenesh2_kg.6__378__AT5G04620.2 pep chromosome:v.1.0:6:1559205:1561884:1 gene:fgenesh2_kg.6__378__AT5G04620.2 transcript:fgenesh2_kg.6__378__AT5G04620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:biotin F [Source:Projected from Arabidopsis thaliana (AT5G04620) TAIR;Acc:AT5G04620] MADHSWDKCVEEAVNVLESRQILRSLRPICMSRQNEEEIVKIIGNGGDEYEVFDGLCQWDRTSVEVSVSIPTFQKWLHDGPSNGEEIFSGDALAESRKGRFKKLLLFSGNDYLGLSSHPTISNAAANAAKEYGMGPKGSALICGYTTYHRLLETSLAELKKKEDCLVCPTGFAANMAAMVAIGSVASLLAASGKPLKNEKVAIFSDALNHASIIDGVRLAERQGNVEVFVYRHCDMYHLNSLLSSCKMKRKVVVTDSLFSMDGDFAPMEELSQLRKKYGFLLVIDDAHGTFVCGETGGGVAEEFNCEADVDLCVGTLSKAAGCHGGFIACSKKWKQLIQSRGRSFIFSTAIPVPMAAAAYAAVVVARKERWRRKAIWERVKEFKALSGVDISSPIISLVVGNQEKALKASRYLLKSGFHVMAIRPPTVPPNSCRLRVTLSAAHTTEDVKKLITALSSCLDFDNTATDIPSFLFPKL >fgenesh2_kg.6__380__AT5G04640.1 pep chromosome:v.1.0:6:1564335:1565460:1 gene:fgenesh2_kg.6__380__AT5G04640.1 transcript:fgenesh2_kg.6__380__AT5G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LXL1] PLATPVSSNSNVSFYTFGHSSVDNVVAAFLANQQRPREGLGLGFWWEDEKLAKSEDPEELRDAMDSMSKMLKDLKELRFNALENRRDCVDVKKKGVLHGTHQKQTLSPQSFSASLCILDDVSVNFNGFKKNTEEQTLAVSNNSSNNNDFDLDEIFDYVTTSEALSMNLEMDDVSTVTTIESKSVLINLDEDNIHLSDLDDDMLMISDNNNVLPENLSEFDQELDLDQLIDFETNCESLLKSFEMDYATMVTTKQNLSSNSEAVEDGGLIMIQKDLNGDNLCFSDYFNEL >fgenesh2_kg.6__381__AT5G04660.1 pep chromosome:v.1.0:6:1567001:1568652:1 gene:fgenesh2_kg.6__381__AT5G04660.1 transcript:fgenesh2_kg.6__381__AT5G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP77A4 [Source:UniProtKB/TrEMBL;Acc:D7LXL2] MSLISFSPTSLDFTIFAIIISGFFFILTRWNSKSKKRLNLPPGPPGWPVVGNLFQFARSGKPFFEYAEELKKTYGPIFTLRMGTRTMIILSDASLVHEALIQRGALFASRPAENPTRTIFSCNKFTVNAAKYGPVWRSLRRNMVQNMLSSTRLKEFGSLRQSAMDKLIERIKSEARDNDGLIWVLKNARFAAFCILLEMCFGIEMDEESIEKMDEILKTVLMTVDPRTDDYLPILAPFFSKERKRALEVRREQVDYVVGVIERRRRAIQNPGSDKTASSFSYLDTLFDLKIEGRKTTPSNEELVTLCSEFLNGGTDTTGTAIEWGIAQLIANPEIQSRLYDEIESTVGDDRRVNEKDVDKMVFLQAFVKELLRKHPPTYFSLTHAVMETTTLAGYDIPAGVNVEIYLPGISEDPRIWNNPKKFDPDRFMLGKEDADITGISGVKMIPFGVGRRICPGLAMATVHVHLMLARMVQEFEWCAYPRGSEIDFAGKLEFTVVMKNPLRAMVKPRI >fgenesh2_kg.6__384__AT5G04710.1 pep chromosome:v.1.0:6:1611930:1615109:-1 gene:fgenesh2_kg.6__384__AT5G04710.1 transcript:fgenesh2_kg.6__384__AT5G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIARLPLTHSLPSKFNPSLFLSQSISFPTYLLRSPFRSFSPILCSSSSLGDSRSLGSDSNASIVGDLLDYLNESWTQFHATAEAKRQLLAAGFDLLSENEDWKLKPGGRYFFTRNMSCLVAFAVGEKYVPGNGFHAIAAHTDSPCLKLKPKSASSKFGYLMVNVQTYGGGLWHTWFDRDLSVAGRAIVRASDGSFVHRLVKVKRPLLRVPTLAIHLDSTVNSDGFKPNLETQLVPLLATKPDESSAESKDKNVSSKDAHHPLLMQILSDDLDCKVEDIVSLELNICDTQPSCLGGANNEFIFSGRLDNLASSFCALRALIDSCESSESLSTEHDIRMIALFDNEEVGSDSCQGAGAPTMFQAMRRIVSSLGNKQVTECTFDRAIRKSFLVSADMAHGVHPNFADKHEENHRPQLHKGLVIKHNANQRYATSGITSFLFKEVAKLHDLPIQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHSVREICGTDDIDIAYRHFKAFYRSFSSVDKKLVVDD >fgenesh2_kg.6__385__AT5G04720.1 pep chromosome:v.1.0:6:1616366:1619526:1 gene:fgenesh2_kg.6__385__AT5G04720.1 transcript:fgenesh2_kg.6__385__AT5G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIIGGEVVTELVKQLYAVSQKALRCRGIAKNLATMIEGLQPTIKEIQYSGVELSPHRQAQLRMFSETLDKCRKLTEKVLKSSRWNMVRQVIHVRKMESLQSKVSSFLNGQLLVHVLADVHHVRVDTEVGFDRIDRKFDCLSEKLGSMKIRGSESMREALKMEEATMEMVMTDGADLGGNLDLGKSKVKEMLFKSNDDERLIGISGMSGSGKTTLAKELARDEEVRGHFGNKVLFLTVSQSPNLEELRAHIWGSLTSYEAGAGATLPESRKLVILDDVWTRESLDQLMFDNIPGTTTLVVSRSKLADSRATYDVELLNEHEATSLFCVSAFNQKSVPSGFSKSLVKQVVGECKGLPLALKVIGASLKERPEKYWEGAVERLSRGEPADETHESRVFAQIEATLENLDPKTRECFLILGAFPEDRKIPLDVLINVLVELHDLEDATSFAVIVDLASRNLLTLVKDPRFGHMYTSYYDIFVTQHDVLRDVALRLSNRGKVNNRERLLMPKRESILPREWERNNDEAYKARVVSIHTGEMTEMDWFDMELPKAEVLILHFSSEKYVLPPFIAKMGKLRALVIINNGMSPARLHDFSIFTNLAKLKSLWLERVHVPELSSSTVPLQNLHKLSLIFCKINTSLDQTEVDIAQIFPKLSDLTIDHCDDLVELPSTICGITSLNSISITNCPRIKELPKYLSKLKFLQLLRLYACPELQSLPVELCELPRLKYLDISQCASLSSLPEKIGKVKTLEKIDMRECSLSSIPSSAVSLTSLRHVICDREALWMWEKVEKAVTGLRVEAAEKSFSVDWLDE >fgenesh2_kg.6__386__AT5G04740.1 pep chromosome:v.1.0:6:1624721:1627717:-1 gene:fgenesh2_kg.6__386__AT5G04740.1 transcript:fgenesh2_kg.6__386__AT5G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LXM3] MAFSSSIFSVTQFSSSITSRPSSAISRQTRFPAQIASPDLSPALFDDRRKFVGGVMSLLTKSIKNRVYASINSIDSAATPSYPKSEDDDDVVPMPMVMIDQDADPEATIVQLSFGNRLGALIDTMRSLKDLGLDVIKGTVSTEGDVKQTKFSITKRDTGRKVEDPDLLEQIRLTIINNLLKYHPECSEQLAMGETFGIKAPENKIDVDIATHILVKEDGPKRSLLVIETADRPGLVVEMIKVMADINIDVESAEIDTEGLVAKDKFHVSYQGQALNRSLSQVLVNCLRYFLRRPETDIDSY >fgenesh2_kg.6__387__AT5G04750.1 pep chromosome:v.1.0:6:1628466:1629826:1 gene:fgenesh2_kg.6__387__AT5G04750.1 transcript:fgenesh2_kg.6__387__AT5G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLARSAITKLRLARSLWETQIGASRSVESTRGSAIRCFSDDKGRVLSEEERAKESMYIKKMEKEILEKKKKLEKHKPDNEKGSADKKPDASKP >fgenesh2_kg.6__38__AT5G01670.2 pep chromosome:v.1.0:6:157795:159849:-1 gene:fgenesh2_kg.6__38__AT5G01670.2 transcript:fgenesh2_kg.6__38__AT5G01670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATFTSEGQNMESFRLLSGHKIPAVGLGTWRSGSQATHAVVTALVEGGYRHIDTAWEYGDQREVGQGIKRAMHTGLERRDLFVTSKLWCTELSPERVRPALQNTLKELQLEYLDLYLIHWPFRLREGASKPPKAGDVLDFDMEGVWREMENLAKDNLVRNIGVCNFTVTKLNKLLEFAELIPSVCQMEMHPGWRNDTMLEFCKKNEIHVTAYSPLGSQEGGRDLIHDQTVDRIAKKLNKTPGQVLVKWGLQRGTSVIPKSLNPERIKENIKVFDWVIPEKDFQALNSITDQKRVMDGEDIFVNKTEGPFRSVADLWDHED >fgenesh2_kg.6__390__AT5G04770.1 pep chromosome:v.1.0:6:1635612:1638994:1 gene:fgenesh2_kg.6__390__AT5G04770.1 transcript:fgenesh2_kg.6__390__AT5G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCAT6/CAT6 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LXM6] MEVQSSSNNGGHSSFSSLRVYLNSLSATPSRLSRRAISVSTSSDEMSHVRAVSGEQMRRTLRWYDLIGLGIGGMVGAGVFVTTGRASRLDAGPSIVVSYAIAGLCALLSAFCYTEFAVHLPVAGGAFSYIRITFGEFPAFFTGANLIMDYVMSNAAVSRSFTAYLGTAFGISTSKWRFVVSGLPKGFNEIDPVAVLVVLVITVIICCSTRESSKVNMIMTAFHIAFIFFVIVMGFIKGDSKNLSSPANPEHPSGFFPFGAAGVFNGAAMVYLSYIGYDAVSTMAEEVENPVKDIPVGVSGSVAIVTVLYCLMAVSMSMLLPYDMIDPEAPFSAAFRGSNGWEWVTKVVGIGASFGILTSLLVAMLGQARYMCVIGRSRVVPFWFAKIHPKTSTPVNASTFLGIFTAALALFTDLNVLLNLVSIGTLFVFYMVANALIFRRYVPVGPTKPWPTLCFLTLFSITSLVFTLIWKLVPEGKPKAFMLGASAVVAIAIVLIFQCVVPQARKPELWGVPFMPWTPCVSIFLNIFLLGSLDAPSYVRFGFFSGLIVLVYLLYGVHASSDAEANGSFGVKDGQVMKEL >fgenesh2_kg.6__397__AT2G04390.1 pep chromosome:v.1.0:6:1643991:1644606:1 gene:fgenesh2_kg.6__397__AT2G04390.1 transcript:fgenesh2_kg.6__397__AT2G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S17 [Source:UniProtKB/TrEMBL;Acc:D7LY58] MGRVRTKTVKKSSRQVIEKYYSRMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIRTDEIKVDKETLEMLASLGMSDTPGISAVEPQTMAPIPAFGRAPRRY >fgenesh2_kg.6__398__AT5G04810.1 pep chromosome:v.1.0:6:1644846:1648631:1 gene:fgenesh2_kg.6__398__AT5G04810.1 transcript:fgenesh2_kg.6__398__AT5G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LY59] MDNGGSVLSLSAPHFPYSATILRRHSPMASISFSLKPPPQPPQPPPEPPESPPDLRRPEKSLGSSSSSSSPSPIPSPKTPLKINPLKDLTNRSSVSPLVQSEVSSKVSSFGSSLASKLRLSSKLSPPPPPPPPLVEETQFRDDMRNDTKPPEEETPKPQPEFRQEGKIFVGNLPTWIKKPEFEEFFRQFGPIENVILIKGHHEVEKNAGFGFIIYAAEKSAMKAVEFDGVEFHGRILTVKLDDGKRLKTKAEQRVRWVQEGEEDAKMSNKSSWHQEREGSRKSLQRILDTNGDNWQAVISAFEKINKPSRTEFGLMVKFYGRRGDMHRARETFERMRARGITPTSRIYTSLIHAYAVGRDMEEALSCVRKMKEEGIEMSLVTYSVIVGGFSKAGNAEAADHWFDEAKRIHKTLNASIYGKIIYAHCQTCNMERAEALVREMEEEGIDAPIAIYHTMMDGYTMVADEKKGLIVFKRLKECGFTPTVVTYGCLINLYTKVGKISKALEVSRVMKEEGVKHNLKTYSMMINGFVKLKDWANAFAVFEDMVKEGMKPDVILYNNIIAAFCGMGNMDRAIQTVKEMQKLRHRPTTRTFMPIINGFAKSGDMRRSLEVFDMMRRCGCVPTVHTFNALINGLVEKRQMEKAVEILDEMTLAGVSANEHTYTKIMQGYASVGDTGKAFEYFTRLQNEGLEVDIFTYEALLKACCKSGRMQSALAVTKEMSARNIPRNSFVYNILIDGWARRGDVWEAADLIQQMKKEGVKPDIHTYTSFISACSKAGDMNRATQTIEEMEALGVKPNIKTYTTLIKGWARASLPEKALSCYEEMKAVGLKPDKAVYHCLLTSLLSRASIAEAYIYSGVMTICKEMVEAGLIVDMGTAVHWSRCLCKIEGSGGELTETLQKTFPPDWSSHHHHHGFLDQVSDVDSDEDDVDGEDDDDDAYK >fgenesh2_kg.6__401__AT5G04830.2 pep chromosome:v.1.0:6:1658451:1660360:-1 gene:fgenesh2_kg.6__401__AT5G04830.2 transcript:fgenesh2_kg.6__401__AT5G04830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRECEGKGACELKSNQRFADKIMPHLLNLYGSCAKASDFDMYAPNASFEDPLTHAQGVKQIKSAFYSLAKVFSESKIVEYHIQESEISPGKKEILIDNKQHYKIMGRNIDMISLIKLYVENGKIVRHEDWWDKKPLRNRDTVSFPLVGRVMEMGRRGLMLATHAMMGFGKDPDSQ >fgenesh2_kg.6__402__AT5G04840.1 pep chromosome:v.1.0:6:1662450:1664797:1 gene:fgenesh2_kg.6__402__AT5G04840.1 transcript:fgenesh2_kg.6__402__AT5G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP protein [Source:UniProtKB/TrEMBL;Acc:D7LY63] MSRPAQLPPRCPIPKKLSLSPVADTFYSSSSPIESYIGQYKSSTQDSRLEDQPAWLDELLCEKTDGLLTGGGPLRRSASDSVVLLGDISAHFSGFNQSEDEESLSSEACGDLESACVYGPNSPRAKNNSSFSNNPIASAFSDYGSQNLDDTVKGINCTPVAENACGSMGIPNAKRNPGQRSRVRKLQYIAELERTVGMLQTVEEDLSVRVASLLQTRATLSLENSQLKQQMAILKQDKLIREGEYQLLKKEAQRLKSGLGYLGSNNNNNRLVRSYSAGSNVAPRTASSHLDWNLLDLTKLNLN >fgenesh2_kg.6__404__AT5G04860.1 pep chromosome:v.1.0:6:1671877:1674964:-1 gene:fgenesh2_kg.6__404__AT5G04860.1 transcript:fgenesh2_kg.6__404__AT5G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIMRWPPWPPLFAVKFDVIVVVHKMDGLLDSDCDGDDSTDQSQRGGTIRKRPVVEIKWKGPKSVTLKRSVVRNFTEEGGFRGDGVVEWNEEFKRVCEFSVYKEGSFLPWIVSLTVLSGLNQGSKEKVRSFGKASLNIAEHFSLMKEDDVQVKVPLTNCGSSSVRSPCLHISLQFSPKESLPERQRSALPVLWSPLSAEAEKAESVVKVGLRKMKTFNSCMSNTQASEKETEKDGSSGSGSEGKSPERNLDSDSTYPFDTDSLDEGDAADELEENKENESSLADPVNYKTLRSANWARGSFHTDTNPEYEDLIYYSHRSPLTETGHYGDEVSNDVVSLEQAKGQMSKKRMLSWKKRKLSFRSPKQKGEPLLKKDCLEEGGDDIDFDRRQLSSSDESNSDWYRSDDAIMKPLSQFGDDDFVVGSWETKEIISRDGLMKLTARVFLASIDQRSERAAGESACTALVAVMAHWLGSNRDIIPTRSEFDSLIREGSSEWRNMCENEEYRERFPDKHFDLETVLQAKVRPICVVPEKSFIGFFHPEKSEEEDASLDFLKGVMSFDSIWEEIMKQEPEESACEPVIYIVSWNDHFFVLLVNHDAYYIIDTLGERLYECCNQAYVLKFDKDAEIQRLPSVVKDNKADMGNQKQGGKTKSEQPERSKESDEQEVVVCRGKESCREYIKSFLAAIPIQQVKADMKKGLVSSLHHRLQIELHYTKHLDDHQANLLESCATKETVSEAAVSVTVAWSLASQFSNSGGFGCEMAELLGVKV >fgenesh2_kg.6__408__AT5G04885.1 pep chromosome:v.1.0:6:1685501:1689698:1 gene:fgenesh2_kg.6__408__AT5G04885.1 transcript:fgenesh2_kg.6__408__AT5G04885.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 3 protein [Source:UniProtKB/TrEMBL;Acc:D7LY69] MSRDSVRIVGLLLWMCVWVSCYGDGEYVLYKDPKQAVSDRVADLFGRMTLEEKIGQMVQIDRSVATVNIMRDYFIGSVLSGGGSAPLPEATAQNWVDMINEYQKGALVSRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRDPDLVKRIGAATAVEVRATGIPYTFAPCIAVCRDPRWGRCYESYSEDHKVVEDMTDVILGLQGEPPSNYKHGVPFVGGRDKVAACAKHYVGDGGTTRGVNENNTVTDLHGLLSVHMPAYADAIYKGVSTVMVSYSSWNGEKMHANTELITGYLKGTLKFKGFVISDWQGVDKISSPPHTHYTASVRAAIQAGIDMVMVPFNFTEFVNDLTSLVKNNSIPVTRIDDAVRRILLVKFTMGLFENPLADYSFSNELGSQAHRDLAREAVRKSLVLLKNGNKTNPMLPLPRKTSKILVAGTHADNLGYQCGGWTITWQGFSGNKNTRGTTLLGAVKSAVDQSTEVVFRENPDAEFIKSNNFSYAIIAVGEPPYAETAGDSDKLTMLDPGPAIISSTCQAVKCVVVVISGRPIVMEPYVASIEALVAAWLPGTEGQGITDALFGDHGFSGKLPVTWFRNTEQLPMSYGDSHYDPLFAYGSGLETESVASIVARSTSASATSTKPCLFTVLVSATLCLFIFPSLSRLLRR >fgenesh2_kg.6__409__AT5G04890.1 pep chromosome:v.1.0:6:1690120:1691354:1 gene:fgenesh2_kg.6__409__AT5G04890.1 transcript:fgenesh2_kg.6__409__AT5G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQQQKGTGLGVQYEDFVPKSEWKDQPEATILNIDLTGFAKEQMKVTYVHSSKMIKITGERPLANRKWSRFNEVFTVPQNCLVDKIHGSFKNNVLTITMPKETITKVAYLPEASKTEAAALEKATKLEEKRLLEESRRKEMEEEEAKQKKRQLLEEKEALIRKLQEEAKAKEEADMRKLREGAKAKEMAEAKLLEEAKESREKLEEWKLKERKLEERKLEDMKLSEEAKLKKIQERKSVGESGGKEKILKPEVVYTKSGPVATPKPESGSGLKSGFGVGEVVKSAEEKIGNLVEKEKKMGKGIIEKIRRKEITSEEKKLMMNVGVAALVIFALGAYVSYTFCSSSPSSSSSSPSSSSSSSTKPE >fgenesh2_kg.6__410__AT5G04900.1 pep chromosome:v.1.0:6:1697800:1700267:1 gene:fgenesh2_kg.6__410__AT5G04900.1 transcript:fgenesh2_kg.6__410__AT5G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LY72] MATSSGFSVSSSPLLRLRSSSVTNVTQLPFLSPLCRRRLLTERFGLATIVVTRQNLSVTPPSAAMEARISGKREPMTPPYNVLITGSTKGFIGYALAREFLKAGDNVVICSRSAERVESAVQSLKEEFGEHVWGTKCDVREGKDVRELVAYSQKNLKYIDIWINNAGSNAYSFKPLAEASDEDLIEVVKTNTLGLMLCCREAMNMMLTQSRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMQDVKNVVVHNLSPGMVTTDLLMSGATTKQAKFFINVLAEPAEVVAEYLVPNIRAIPASGSMKPTYIRFLTGIKAYTKIFSRVALGARKNRYVTEE >fgenesh2_kg.6__411__AT5G04910.1 pep chromosome:v.1.0:6:1700547:1701710:1 gene:fgenesh2_kg.6__411__AT5G04910.1 transcript:fgenesh2_kg.6__411__AT5G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEDFQKKVSIRDSLSGEIEIECGVSGGGSTSSTVGSGVASQTLVLLRSLLEIQQRRAQAYAKLKSGFSEYVESGAEALYQTLCSEITTEFSECSKQVREMESQFLNPEVGRADLAQLLSDIQTQEKQKLHLTVTIQVLKKAGRPSERMLTHENCKFKKPMQHECVHLHEITEAAGTEEAEADAEFDNALKEAIRGVQDAVTSINEYLEDIRYEIEALEAH >fgenesh2_kg.6__412__AT5G04920.1 pep chromosome:v.1.0:6:1703396:1705956:-1 gene:fgenesh2_kg.6__412__AT5G04920.1 transcript:fgenesh2_kg.6__412__AT5G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 36 family protein [Source:UniProtKB/TrEMBL;Acc:D7LY74] MASGSSSIGIDGFFENAEVTTSGRPVLRRNEVECFLLSSVDIDSEDDPPRFTALRSGNLILTTHRLIWIPSQSNGAVPSSVPLAAVTHIFSHKKSIKSMFHSPRIRFQANPGSIVVTIVFRGKGDFDEFLTKLWECWRGRAWEEEEKSESETSRSGSGTVAQGLYGNDGTVRMVGLAGILRKEQEQWESTDKSLQDAFQDLNALMSKAKEMVSLAEKMRQKLLSAPSSQNGSTDDEEMGSKEEMQQWMLSVGIISPVTKESAGALYHQELSRQLADFVRIPLEQAGGMISLTDMYYHFNRARGTELISPDDLWQACTLWEKFDVPVMLRKFDSGVMVIQNKSHSDEEVMSRIKMLVTKTETLRVGVTASDAALTLKIAPAMAKEHLLTAETKGLLCRDMSPDGLRFYFNLFPEIDPTNIHIVKDFGTYGEWIKATS >fgenesh2_kg.6__415__AT5G04940.2 pep chromosome:v.1.0:6:1715028:1717779:-1 gene:fgenesh2_kg.6__415__AT5G04940.2 transcript:fgenesh2_kg.6__415__AT5G04940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGGNYTDKTRVLDIKPLRTLRPVFPSGNQAPPFVCAPPFGPFPPGFSSFYPFSSSQASQHTPDLNQAQYPQQHQQPQNASEPSLVTPLRSFRSPDASNGNTELEGSTVKRKIPKRRPIARPENMNFESGINVAERENGNRELVVSVLMRFDALRRRFAQLEDAKEAVSGIIKRPDLKAGSTCMGRGVRTNTKKRPGIVAGVEIGDVFFFRFEMCLVGLHSPSMAGIDYLVVKGETEEEPIATSIVSSGYYDNDEGNPDVLIYTGQGGNADKDKQSSDQKLERGNLALEKSLRRNSAVRVIRGLKEASHNAKIYIYDGLYEIKESWVEKGKSGHNTFKYKLVRAPGQPPAFATWTAIQKWKTGLPSRQGLILPDMTSGVESIPVSLVNEVDTDNGPAYFTYSTTVKYSESFKLTQPSFGCDCANSCKPGNLDCHCIRKNGGDFPFTGNGVLVSRKPMIYECSPSCPCSTCKNKVTQMGVKVRLEVFKTANRGWGLRSWDAIRAGSFICIYAGEATDKSKVQQTMADDDYTFDTTHVYNPFKWNYEPGLADEDASEEMSEESEIPLPLIISAKNVGNIARFMNHSCSPNVFWQPVTYENNSQLFVHVAFFAIAHIPPMTELTYDYGVSRPSRTENDNPLYGKKKCFCGSAYCRGSFG >fgenesh2_kg.6__416__AT5G04950.1 pep chromosome:v.1.0:6:1718472:1719724:-1 gene:fgenesh2_kg.6__416__AT5G04950.1 transcript:fgenesh2_kg.6__416__AT5G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQNNLVVKQIVDLYDQISKLVSLKPSKNVDTLFGQLVSTCLPTDTNIDVTNMCEEVKYMRSNLIKLCGEAEGYLEQHFSTILGSLQEDQNPLDHLHIFPYYTNYLKLGKLEFDLLSQHSSHVPTKIAFVGSGPMPLTSIVLAKFHLPNTTFHNFDIDSHANTLASNLVSRDPDLSKRMIFHTTDVLNATEGLDQYDVVFLAALVGMDKESKVKAIEHLEKHMAPGAVLMLRSAHALRAFLYPIVDSSDLKGFQLLTIYHPTDDVVNSVVIARKLGGSTTTGVNGTRGCMFMPCNCSKIHAIMNNRGKKNIIEEFSAIE >fgenesh2_kg.6__417__AT5G04960.1 pep chromosome:v.1.0:6:1729646:1731710:1 gene:fgenesh2_kg.6__417__AT5G04960.1 transcript:fgenesh2_kg.6__417__AT5G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7LY79] MSSYGRLDEHEQSKLEASRKTKKRIAIIAISSIVLVCIVVGAIVGTTAYDNGKKSPTESNGEPISVSVKAVCDVTLHKDKCFETIGTAPNASQLNPEELFKYAVKITITELSKVLDGFSNGEHMDNATSAAMGACVELIGLAVDQLNETMTSMKDKTTSPLKSVDDLRTWLSSVETYQETCMDALVEANKPGLTTFGENHLKNSTEMTSNALAIITWLGKIADTVKFRRRRLMATGDAKVVVADLPMMEGRRLLESGDLRKKATIVVAKDGSGKYRTIGEALAEVEEKNEKPTIIYVKKGVYLENVRVEKKKWNVVMVGDGQSKTIVSAGLNFIDGTPTFETATFAVFGKGFMARDMGFINTAGPTKHQAVALMVSADLSVFYKCTMDAFQDTMYAHAQRQFYRDCVILGTVDFIFGNAAVVFQNCEILPRRPMEGQQNTITAQGRKDPNQNTGISIHNCTIKPLDNLTDIQTFLGRPWKDFSTTVIMKSYMDKFINPKGWLPWTGYAAPYTIFYAEYLNSGPGASTKNRVKWKGLKTSLTNKEANKFTVKPFIDGNNWLPATKVPFKSDF >fgenesh2_kg.6__418__AT5G04970.1 pep chromosome:v.1.0:6:1731902:1734681:-1 gene:fgenesh2_kg.6__418__AT5G04970.1 transcript:fgenesh2_kg.6__418__AT5G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7LY80] MQSQHFSSSLLFLALLSLSWALLVSPTQPPPAWPAPTQPPSEPPTSPPSQSPSLPPSQPPTPPPSQSPSQPSPLPPNIACKSTPYPKLCRTILSAVKSSPSDPYHYGKFTMKQCLKQARRLSKVINRFAHRVEDDPGASTVEEVSAVADCGELAQLSVDYLETVTEELKAAELMTAALVDRVTSLLGGVVTNQQTCLDGLVDAKSGFATAIGTPLGNLTRLYSVSLGLVSHALNRNLKRYKGSKGKIFGGGNKPVREPLETLIKVLRKTCDKSKDCRKADRNLGELGETSGGSILVREAVTVGPYETDNFSTITEAVAAAPNNTFPEQGYFVIYARAGLYEEYVVISNKKRNIMLIGDGINKTIISGNHSFIDGWTTYNSSTFAVVGDRFVAVDVTFRNTAGPEKHQAVAVRNNADGSTFYRCSFEGYQDTLYVHSLRQFYRECDIYGTIDFIFGNAAAIFQNCNIYARKPMANQKNAVTAHGRTDPNQKTGISIINCTIGAAPDLAADPNSTMTFLGRPWKPYSRTVYIQSYISDVVQPVGWLEWNGTTGLDTISYGEYDNFGPGADTSKRVQWSGYSLLNLAEAMNFTVYNFTLGDTWLPQTDIPFYGGLLHTE >fgenesh2_kg.6__41__AT5G01650.1 pep chromosome:v.1.0:6:168659:170079:1 gene:fgenesh2_kg.6__41__AT5G01650.1 transcript:fgenesh2_kg.6__41__AT5G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Macrophage migration inhibitory factor family protein [Source:UniProtKB/TrEMBL;Acc:D7M6G9] MPCLNLSTNVNLDGVDTSSILSEASATVAKIIGKPENYVMIVLKGSLPMSFGGTEDPAAYGELVSIGGLNADVNKKLSAAVSAILETKLSVPKSRFFLKFYDTKGSFFGWNGATF >fgenesh2_kg.6__423__AT5G05000.1 pep chromosome:v.1.0:6:1741856:1744168:-1 gene:fgenesh2_kg.6__423__AT5G05000.1 transcript:fgenesh2_kg.6__423__AT5G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast [Source:UniProtKB/TrEMBL;Acc:D7LY83] MAALQTPREWIGIQQFPPATQSKLLEILGKFKEEDVSSLTVLVMGKGGVGKSSTVNSVIGEKAAAVSTFQSEGLRPTLVSRSRSGFTLNIIDTPGLIEGGYVNDQAINIIKRFLLNMTIDVLLYVDRLDVYRVDDLDRQVVSAITDAFGKEIWKKSALVLTHAQFSPPDGLNYNSFVSRRSDALLKVIRTGAQLKKQDLQGSSTPIILVENSGRCHKNDSDEKILPDGTSWIPNLLNTITEISFNGNKAIHVDKKLVEGPNPNQRGKRLIPLIFAFQYLLVMKPLVRAIKSDVTRESKPAWELRDSGLASRRS >fgenesh2_kg.6__426__AT5G05030.1 pep chromosome:v.1.0:6:1748241:1750125:-1 gene:fgenesh2_kg.6__426__AT5G05030.1 transcript:fgenesh2_kg.6__426__AT5G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVFKLVGFIFIVSIVQSKGNVRDLISVKLNENTIYDCVDIYKQPSLSHPLLKNHKIQLEPSFSISKPKNQVKRESERENIIECPNGTVPILRNTKRYVANAQYWTEKHLNPLTADSHGTHIAGVRTTDQGPYRGVIASLSVHDLNISRDQASYAHIYIGSGIYNKVNFIQTGWMINPSLFGDGRAWSYGFWKGANGAGCYNTICRGFVQVSKTDHLSGPLPQLPAGGERAISVSIQQDKKTGNWWTTDIRSNEPDVHIGYWPKELFDLISKSANIVGVTGSVQASPSGQSPPMGNGHFPTDDDAGSARVGEVKFIDNDFVVKGSDQYKLEKLLDSNKCYGLKDGKKLILFKYGGPGGNSCGI >fgenesh2_kg.6__427__AT5G05430.1 pep chromosome:v.1.0:6:1792397:1793221:-1 gene:fgenesh2_kg.6__427__AT5G05430.1 transcript:fgenesh2_kg.6__427__AT5G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LY94] DVGRIKVTGYDTGLPLDDVYTALAEHFSSCGEIWEIYIPLKFDETKTSLNRFWWLLPPPFLSNSSAQSCNVGGWNVSVEAYPYPANANDSVTAIVHGKDVADTVTQLNGSYMRGRKLAVWVTAKPEIPIRLIRRRVNF >fgenesh2_kg.6__430__AT5G05040.1 pep chromosome:v.1.0:6:1798030:1801181:-1 gene:fgenesh2_kg.6__430__AT5G05040.1 transcript:fgenesh2_kg.6__430__AT5G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPIENERSELPSPKKLKLEEPANDEPRFPNYDSDDLETLSDDFRLLDEEWGKTGVSVNLDDSASLMKPTEICSTGCQTWLSPSTTKRRVMDSELATMEEITNGVNNMNLAVDSQKKSRIQISNTKKRLFFYVNLAKDSSLELVKVLRANFHPSAAITLYITFEANDPKDGNQTKRYQAVVLYLSFDIQVCSCKPKPSS >fgenesh2_kg.6__433__AT5G05080.1 pep chromosome:v.1.0:6:1816150:1818343:-1 gene:fgenesh2_kg.6__433__AT5G05080.1 transcript:fgenesh2_kg.6__433__AT5G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 18 [Source:UniProtKB/TrEMBL;Acc:D7LYA0] MASNENLPPNVIKQLAKELKSLDESPPDGIKVVVNDEDFSQICADIEGPVGTPYENGVFRMKLALSHDFPHSPPKGYFMTKIFHPNVASNGEICVNTLKKDWNPSLGLRHVLSVVRCLLIEPFPESALNEQAGKMLLENYDEYARHARLYTGIHAKPKPKFKTGAISESTTALNVGQTNNETPSAATAIPSSVTDIKRVTTSAQDQQHMANVVVAATAGSASVVTTTQKREAGLAKVQADKKKVDARKKSLKRL >fgenesh2_kg.6__434__AT5G05100.1 pep chromosome:v.1.0:6:1837036:1839104:-1 gene:fgenesh2_kg.6__434__AT5G05100.1 transcript:fgenesh2_kg.6__434__AT5G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7LYA5] MAAMSDKEAMVDPFLVEALQNPRHRLTILRMELDIQKFFQSPEQQQYEFQPLPTSYLRLIAHRVAQHYGLFTTALDGGGVDGSGNRILATKTAESRYPYVCLSEIPVKQPEIHGKPEGFKIAIKPRPNRGSGGSGSGSGVEKNLLRSVEERKEEYDRARARIFNSPSSSDSEDSSTRAPPPPPPRLDSKHNSTCPSRNESEVVINHNSNPVDVERNGVFRDSGRTSRVAIIRDREKDRYDPDYDRNRYVRVAPPVQNFNPIPIPLQFHDAIFPQTPRTQASINYGHPLNPAMTAPSYTEWPSATMTYAQTLNASDTHHFRHPNP >fgenesh2_kg.6__436__AT5G05130.1 pep chromosome:v.1.0:6:1850285:1853235:1 gene:fgenesh2_kg.6__436__AT5G05130.1 transcript:fgenesh2_kg.6__436__AT5G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LYB0] MAQFLRHFSSPPMANEDEFQSPVEPSQQSQDCVSESYLIGFVIANIVGLKYYSGRINGREMVGLVREPLNPYDNNAIRVLNTRSEQVGHIERTVAAVLAPLIDSHTIIVEGIVPNTRSNSNRFKIPCQIHVFAKLEASSTVKSTISRGGLVLISDSDTAFGLSEAVVVKEQMGNGDKKSVDKIFKLVDRNVKLKGKLVAVEPPREVIKSELFAHQKEGLGWLLYREKSGELPPFWEEKDGEFLNTLTNYRSDKRPEPLRGGVFADDMGLGKTLTLLSLIAFDRYGNASTSTPTKEPLDVEGDKIEKKGKKRGRGKSSESRTRKKLKPDDVVGMNVSQKTTLIVCPPSVISAWITQLEEHTVQGSLKVYMYHGGERTDDVNELMKYDLVLTTYSTLAVEESWEDSPVKKMEWLRIILDEAHTIKNANAQQSRVVSKLKASRRWAVTGTPIQNGSFDLYSLMAFLRFEPFSIKSYWQSLIQRPLGQGNKKGLSRLQVLMATISLRRTKEKSLIGLPPKTVETCYVELSPEERQLYDHMEGEAKGVVQNLINNGSLMRNYSTVLSIILRLRQLCDDISLCPPELRSFTTSTSVEDVIDKPELLQKLIAVLQDGEDFDCPICISPPTNIIITRCAHIFCRACILQTLQRSKPLCPLCRGSLTQSDLYNAPPPPPDDSNTDGEDTKSSTKSSKVSALLSLLIASRQESPNTKSVVFSQFRKMLLLLETPLKAAGFTILRLDGAMTLKKRTQVIGEFGNPELTGPVVLLASLKASGAGINLTAASRVYLLDPWWNPAVEEQAMDRIHRIGQKQEVKMIRMIARDSIEERVLELQQKKKNLANEAFKRRQKKDEREVNVEDVIALMSL >fgenesh2_kg.6__437__AT5G05140.1 pep chromosome:v.1.0:6:1857117:1859883:1 gene:fgenesh2_kg.6__437__AT5G05140.1 transcript:fgenesh2_kg.6__437__AT5G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASGSLDSWREYFRRGDSDIFGIIDHAIMVAATDCPNKFKSRRDKIAELLFSCRVSRCIGCDHLELSVPGDDEGNRGRGATGDGGGGTAVDEDYEVGGSKESKANSSRGDSNQIVSNYTFDEAEALSDEIEEFSVVSKEVARIKEILLNKEDEPNSVLLDSLRHLKLMSLNVDILKSTEIGKAVNGLRKHGSDKIRQLAKTLIAEWKELVDQWVNTTKEITGAEGTPESANPSVVDEEEAFPSLPYDVDIFTPEPNGFEISHFFDSLDFDGNPRNSKEYNTSREHERRPQNIAKRKPEGTQMRIQDAPFRSIKPSSDADFDGTRRPLKQNTEQRMKNETVSVHKSEKPMIQRKPVVTEQKRKAPGPQQEKLKGLDADAKFEFAKRKLQESYQHHENAKKQRTIQVLEMIPKQGSAQKPQLKRPGMSNRNWANGRK >fgenesh2_kg.6__43__AT4G00020.1 pep chromosome:v.1.0:6:171500:177220:1 gene:fgenesh2_kg.6__43__AT4G00020.1 transcript:fgenesh2_kg.6__43__AT4G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWQLFSDSSGDGFRWEVAGRILQSDSDSTPTKALESTAPLPSMADLLLQGCSKLIEREEALPGEIPMFRTGLGKSVPLKESSMAKAKSLLADSGTFLDLQNTNCSNPQMRQVDSAETLPMFRTALGKSVPLKESSIAKALSILASDKIIDSDYVLPRESGFGVPNTLFQTASNKKVNVSSAGLARAKALLGLEEDDLNGFNHVNQSSSSLQQHGLSVLKTHEEFDATVVKHHSGTPGQYEDYVSGKRPEILNPSLKVPPTKFQTAGGKSLSVSAEALKRARNLLGDPELGSFFDDVAGGDQFVTPQKDERLGDIAINNGSANTGYIAHEEKSSNKHTSNSFVSPLRSSSKQFRSVNLENLASGGNLIKKFDAAVDDTDCALNATHGLSNNKPIASNMAVTNSKENGFIPRARQFGRPADQPLVDITNCSDTAYANIKQDSTQKKRLGKTVSVSPFKRPRISSFKTPLKKNAQQASSGLSVVSCDTLTSKKVLSTRYPEKSPRVYIKDFFGMHPTATTKMDYVPDHVRRIKSSNADKYVFCDESSSNKVGAETFLLMLAESGASLQHASRKWVTNHYRWIVWKLACYDIYYPAKCRGNFLTITNVLEELKYRYEREVNHGHCSAIKRILSGDAPASSMMVLCISAINPKTDNDSQEAHCSDICSNVKVELTDGWYSMNAALDVVLTKQLNAGKLFVGQKLRILGAGLSGWATPTSPLEAVISSTICLLLNINGTYRAHWADQLGFCKEIGVPLAFNCIKCNGGPVPKTLAGITRIYPILYKERLGEKKSIVRSERMESRIIQLHNQRRSALVEGIMCEYQRGINGVHSQNDTDSEEGAKVFKLLETAAEPELLMAEMSPEQLTSFTIYKAKFEAAKQMQMEKSVAKALEDAGLGERNVTRFMRIRLVGLTSLSYEGEHNPKEGIVTIWDPTERQRTELTEGKVYIMKGLVPMNSDSETLYLHARGSSSKWQPLSQKDSANFQPFFNPRKPISLSNLGEIPVSSEFDIAAYVVYVGNAYTDVQQKKQWVFVTDGSTQHSGENSNSLLAISFSTPSMEDSSISHISHNLVGSVVGFCNLIKRAKDAKNKMWVGEATENSVYFINAEAAYSSHLKTSSAHIQAWAKLSSSNTVIHELRQTVLSIIGACKSPSC >fgenesh2_kg.6__440__AT5G05170.1 pep chromosome:v.1.0:6:1868851:1874134:-1 gene:fgenesh2_kg.6__440__AT5G05170.1 transcript:fgenesh2_kg.6__440__AT5G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:D7LYB5] MESEGETAGKPMKNVVPQICQICSDNVGKTVDGEPFVACDFCSFPVCRPCYEYERKDGNQSCPQCKTRYKRLKGSPAIPGDKDEDGLAEEGTVEFNYPQKEKISERMLGWHLTRGKGEDMREPQYDKEVSHNHLPRLTSRQDTSGEFSAASPERLSVSSTIAGGKRLPYSSDVNQSPNRRIVDPVGLGNVAWKERVDGWKMKQEKNTGPVSTQAASERGGGDIDASTDILADEALLNDEARQPLSRKVSIPSSRINPYRMVIMLRLVILCLFLHYRITNPVPNAFALWLVSVICEIWFALSWILDQFPKWFPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLSFEALAETSEFARKWVPFCKKYCIEPRAPEWYFAAKIDYLKDKVQTSFVKDRRAMKREYEEFKIRINALVSKALKCPEEGWVMQDGTPWPGNNTRDHPGMIQVFLGQNGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNAQVRVSAVLTNGPFILNLDCDHYINNSKALREAMCFLMDPNLGKQVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKVKHKKPSLLSKLCGGSRKKNSKAKKESDKKKSGRHTDSTVPVFNLDDIEEGVEGAGFDDEKALLMSQMSLEKRFGQSAVFVASTLMENGGVPPSATPENLLKEAIHVISCGYEDKSDWGMEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKLPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYNGRLKFLERFAYVNTTIYPITSIPLLMYCTLPAVCLFTNQFIIPQISNIASIWFLSLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGILKVLAGIDTNFTVTSKASDEDGDFAELYLFKWTTLLIPPTTLLIVNLVGVVAGVSYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSVLLASIFSLLWVRIDPFTSRVTGPDILECGINC >fgenesh2_kg.6__444__AT5G05200.1 pep chromosome:v.1.0:6:1885221:1888471:-1 gene:fgenesh2_kg.6__444__AT5G05200.1 transcript:fgenesh2_kg.6__444__AT5G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 family protein [Source:UniProtKB/TrEMBL;Acc:D7LYB8] MAVSAFRGTRLPLFYHSQFPVARTVSRTSKKMIGSRNLKGFVISAQYSQTQDLFTSRLQSQIEKLPKLVEDIIQTSINTGPRGVTRLVQGVQAFVGVGGEWLNDLSKSTSASGGLPSELQLGLLSPLYLRRLFERMGATYIKLGQFIASAPTLFPPEYVKEFQNCFDKAPPVPFEEIRKILQEDLGRPIESVYEYVDPTPIASASIAQVHGARLRGSQEDVVIKVLKPGIEDFLVADLNFIYVVARIFEFLSPEFSRTSLVGIVKDIRESMLEEVDFNKEAQNIEAFKRYLETMGLTGQATAPRVYKFCSSRRVLTMERLYGVPLTDLDSIRSLVSSPENSLITALNVWFGSLLACESFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWDAMEVFLASISTEEYDSMASALIQMGATNRDVDAKAFAKDLEKMFSSIQELDTEIVVATARGTNSDTTTVAANVVMDERQMNALFLDLVRVSESYGLKFPREFALLLKQLLYFDRYTRLLAPNLNMLQDQRISIASNKRTNRYKHSFK >fgenesh2_kg.6__446__AT5G05210.1 pep chromosome:v.1.0:6:1888722:1890997:1 gene:fgenesh2_kg.6__446__AT5G05210.1 transcript:fgenesh2_kg.6__446__AT5G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LYB9] LDFKTHQHTEFFDKLIELIPARFYLPDETERKWFPGLSKARKAKAKKITNENLKKAKRDKLDPEKSALTTLDLLKEKIEKERLASLKQKQKKKHADLRKQKFDRQNSGTELPDDDSEEETDNNRQKDHQSVTYEELRQRLHRKIDELKGGRGGSDRPRSNERRKKILPNKRKRDTVSEEKTVEENKSADKGKGKLDVEEAAKDLTFGYVKIDDDEEHGKEKKKRRLSKAKELERAIKLEDAKKDPEKGDVIAKKHSWKAATSRAAGIKVHDDPKLLKQSIHKDKKRHEKNAEKWKERVEGQQKFKVEKQQKRSGNIADRIEQNKMRKIAKREKKLLRPGFEGRKEGFINEGGN >fgenesh2_kg.6__448__AT5G05230.1 pep chromosome:v.1.0:6:1892977:1895332:1 gene:fgenesh2_kg.6__448__AT5G05230.1 transcript:fgenesh2_kg.6__448__AT5G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGLSSAPFNAPPMIVQDADPLRFRVGEQDPKTREFAAFIGDHHRYFAAAAAAAAAAANPHPHLEFRQNFYSEKPIIGNPNDSGGSDGEDDVDVEEEDEDDDIDGNEGDMGMNKDAGEDSVSAGAVIVVGQDNAAYYSQHFKTMETTFASRNEESSIAVDNGCDFSGRRDPSSSSSNSIESLRTILSDPTTGSLMADAMILPCGHTFGAGGIEQVKQMKACCTCSQPVSEDSITPNLTLRVAVQAFCREENSQSNHSSKRKREGFDQERRAFGVTNHSGRSRNKSNHFPFAVADRVIIKGNKRTPPRFVGREAVVTTQCLNGWYVVKTLDNAESVKLQYRSLAKAPEDPSAKATPNKMVSNWL >fgenesh2_kg.6__453__AT5G05270.1 pep chromosome:v.1.0:6:1904738:1906331:1 gene:fgenesh2_kg.6__453__AT5G05270.1 transcript:fgenesh2_kg.6__453__AT5G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:D7LYC5] MGTEMVMVHDVPFPPQIITSKPLSLLGQGITDIEIHFLQVKFTAIGVYLDPSDVKTHLDNWKGKTGQELAGDDDFFDALASAEMEKVIRVVVIKEIKGAQYGVQLENTVRDRLAEEDKYEEEEETELEKVVGFFQSKYFKANSIITYHFSAKDGICEIGFETEGKEDEKLKVENANVVGMMQRWYLSGSRGVSPSTIVSIADSISAVLT >fgenesh2_kg.6__455__AT5G05282.1 pep chromosome:v.1.0:6:1908739:1909666:-1 gene:fgenesh2_kg.6__455__AT5G05282.1 transcript:fgenesh2_kg.6__455__AT5G05282.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LYC6] MARFLLETQATPTISATDANPRTLGDSVSNKKNIASMDTHMVIVLAALLCALICALGINSVLRCVLRCTRRFTPDEDPVDTNANVNVAKGIKKRALKLIPVDSYSLELKMKATECLICLGDFVEGETVRVLPKCNHGFHVKCIDTWLLSHSSCPTCRQSLLEHQTPANGSRRGDDVAT >fgenesh2_kg.6__458__AT5G05310.2 pep chromosome:v.1.0:6:1914985:1922051:1 gene:fgenesh2_kg.6__458__AT5G05310.2 transcript:fgenesh2_kg.6__458__AT5G05310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:D7LYC9] MAGRIRLDAVISTVVTVHPHEIPALLHSSSCFFFVLSAYFVVLPLRDEGAISLGLSKLPGLFVGSLFLTLIAAPLSTFIFSLPNLSKSKALVIIHRFFSLSLVLCFLLWMASPTESTSKDAVEAASSLTKVGIDGANGWDNHSWFYISVRVGFFLWVALLNLVAISSTWARIIDVMDSESGARLFGFVGAGATLGQLFGSVFAAATAWMGPYLLLFAALLMELAAQASKGITNDISQSSEELSPLRGTDNDHQRERKQEATSPKVGSPKVASPKSPISTSRPQLWAIFDGMRLILASPYLLLVSLFLWLGAVISSFFYFQKVNIIATTIKSSIGRRRLFAQINSFVAVFILIGQLTLTVCHMRILTVAGVTVAISASPFVALGNLVAIAIWPTWVTVAVSETLRKVTTYVVTRPGRELLFTVVSQDEKYKAKVCIDVIVQRLGDAAAAGLFEVLTIALGGQTSTASLYALPVCLIWIVTGFFLGRRQEQLAKLQVGSSS >fgenesh2_kg.6__462__AT5G05350.1 pep chromosome:v.1.0:6:1943964:1945956:1 gene:fgenesh2_kg.6__462__AT5G05350.1 transcript:fgenesh2_kg.6__462__AT5G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDEVEKSKTRVSCPPALSTSHKILISEEKPRRWSESSLPDVSNRIKLLKFGSASARFKRMAEERDEVSRSVNSSSSHNFRERISVVFSRKIEWACLMKMGKQWLQNPLNMVLFLWILVVAVSGAILFMVMTGMLNHALPKKSQRDVWFEVNNQILNAMFTLMCLYQHPKRFYHLVLLCRWRQDDVTTLRKIYCENGTYKPNEWIHMMVVVLLLHLNCFAQYALCGLNLGYRRSERPAIGVAICISIAIAAPASAGLYTILSPLGKDYDPQGDEENQVEPVEEGSVTNHKLSLERRYSFASADVSNPEWRGGVLDIWEDISLAYLSLFCTFCVFGWNMERIGFGNMYVHIATFILFCLAPFFIFNLAAINIDNEMVREALGYTGIVLCLFGLLYGGFWRIQMRKRFKLPGYNFCCGRPAIADCTLWLFCCWCSLAQEVRTANSYEIVEDKFCKRSEENSKIDDEVVVSSLPRDDGVFDPSCSPKKMTTAIASSSLSPSRQKYETCLGDKSDEALSPPSPPFIHRS >fgenesh2_kg.6__466__AT5G05370.1 pep chromosome:v.1.0:6:1958210:1959258:-1 gene:fgenesh2_kg.6__466__AT5G05370.1 transcript:fgenesh2_kg.6__466__AT5G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQPVKLKAVVYALSPFQQKIMTGLWKDLPEKIHHKVSENWISTILLVAPVVGTYSYAQYFKEQEKLEHRF >fgenesh2_kg.6__468__AT5G05390.1 pep chromosome:v.1.0:6:1964327:1966597:1 gene:fgenesh2_kg.6__468__AT5G05390.1 transcript:fgenesh2_kg.6__468__AT5G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:D7LYE0] MTTVHTFSILLFLCSLFSASLIIAKVQHHDFVIQETPVKRLCKTRNAITVNGMFPGPTLEVNNGDTLEVKVHNRARYNITIHWHGVRQIRTGWADGPEFVTQCPIRPGKSYTYRFTIQGQEGTLWWHAHSSWLRATVYGALIIHPTPGSSFPFPKPDRQTALMLGEWWNANPVDIINQATRTGAAPNISDAYTINGQPGDLYKCSTKETVVVPINSGETNLVRVINAALNQPLFFTVANHKLTVVGADASYLKPFATKVLMLGPGQTTDVLLTADQPPKRYYIAARAYQSAQNAPFDNTTTTAILQYKKTRATSKPIVPVLPAFNDTNTVTSFSRKFKSLRNVVVPKTIDDNLFFTIGLGLDNCPKNFPKNRCQGLNGTRFTASMNNVSFVLPSNFSLLQAHSNGIPGVFTTDFPSKPPVKFDYTGNNISRALFQPVKGTKLYKLKYGSRVQVVLQDTNIVTSENHPIHLHGHDFYIVGEGFGNFNPKKDTSKFNLVNPPLRNTVAVPVNGWAVIRFVADNPGVWLMHCHLDVHIKWGLAMAFLVDNGVGELETLEAPPHDLPIC >fgenesh2_kg.6__469__AT5G05410.1 pep chromosome:v.1.0:6:1967838:1969568:1 gene:fgenesh2_kg.6__469__AT5G05410.1 transcript:fgenesh2_kg.6__469__AT5G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DRE-binding protein 2A [Source:UniProtKB/TrEMBL;Acc:D7LYE1] MAVYDQSGDINRTQLDTSRKRKSRSRADGTTVAERLKRWKEYNENVEEISTKKRKVPAKGSKKGCMKGKGGPENGRCSFRGVRQRIWGKWVAEIREPNRGSRLWLGTFPTAEEAASAYDEAAKAMYGPLARLNFPRSDGSEVTSTSSQSEVCTVETPGCVHVKTEDADCESKPMFCEAKPMYRLENGAEEMKRAVKADKDWLSEFEHNYWRDVLKEKEKQKEQEIVETCQQQEQQDSLSVTDYGWPNDLDQSHLDSSDMFDVDELLRDINGDDVFTGLNQDQYPGNNVTNGSYRLESQQGGFDPLQSLNYGYGLPPFQFEAKDGNGVFDDLSYLDLEN >fgenesh2_kg.6__46__AT5G01620.1 pep chromosome:v.1.0:6:177420:179537:-1 gene:fgenesh2_kg.6__46__AT5G01620.1 transcript:fgenesh2_kg.6__46__AT5G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRCSRKKSRLPLAGLLFMLVVTFMILFNERSIQQIHHHAASHSQNLREASTSNLVKPNVPGNYYLGALEVLDRFSKCNSTKEYSGKKIGWVDPFEDPGLTAKDDQKCDVFSGKWVFDNSSSYPLHKESQCPYMSDQLACQKHGRKDLEYQHWRWQPHACNLKRWNVTEMWEKLRGKRLMFVGDSLNRGQWISMVCLLQSVIPREKQSMSPNAHLTIFRAEDYNATVEFLWAPLLVESNSDDPVNHRLGERIIRPDSVLKHASKWQYADILIFNTYLWWRQDSVKLRWSSEERGSCEEVKGAEGMEMAMNTWGDWIANNVDPNTKRVFFVTMSPTHQWSREWNPGSEGNCYGEKKPIEEESYWGSGSDIPTMKMVKRVLDRLGPKVSVINITQLSEYRKDGHPSVYRKFWEPLNEDRLKNPALYSDCTHWCVPGVPDVWNQLLFQFL >fgenesh2_kg.6__472__AT5G05460.1 pep chromosome:v.1.0:6:1992468:1995809:1 gene:fgenesh2_kg.6__472__AT5G05460.1 transcript:fgenesh2_kg.6__472__AT5G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, acting on glycosyl bonds [Source:UniProtKB/TrEMBL;Acc:D7LYE6] MLVAPPLPPPFDPTKPSTPISFPIKTLQDLKSRSYFDSFHYPFNRSSVPLRRNIDALPDRSRLLVCHDMKGGYVDDKWVQGCGNNAGYAIWDWYLMDVFVYFSHSLVTLPPPCWTNTAHRHGVKVLGTFITEWDEGKATCKELSATKESAQMYAERLAELAAALGFDGWLINIENVIDEVQIPNLMVFVSHLTKVMHSSVPGGLVIWYDSVTVDGQLAWQDQLTEKNKPFFDICDGIFMNYTWKENYPKASAEISGDRKYDVYMGIDVFGRGTYGGGQWTANVALDLLKSSNVSAAIFAPGWVYETEQPPDFYTAQNKWWSLVEKSWGIVQTYPQVLPFYSDFNPGLGSHISLGGRKLSEAPWYNISCQSLQPLLEFNEGKNSDIMQVTVDGREASYNGGGNVSFRGKLKRNAHFTARLFKPQLQLSASPISISFSVKSDKRSELSILLHFSSPSHETKSLLMVPNESINRFGDMFLPCVLTSKQTTSGWTVHETNLVLDGHTLTEISAFCSRPDDLTEETNTLEYFALLGHISIKSQQKTKLFPLASSWVIEAHHVKFVLGGSGSKTLSCKLEWRLKHPEEDSVFTKYNVYAENLSSSDYRPRKVMEEPRSEKVFLGTAHVDAYYVSDLVVGSDAKGVRFVVQTCGEDGSWQELDASPNLVVEVERLSSKLCCCGLI >fgenesh2_kg.6__474__AT5G05480.1 pep chromosome:v.1.0:6:1998884:2000991:-1 gene:fgenesh2_kg.6__474__AT5G05480.1 transcript:fgenesh2_kg.6__474__AT5G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEINQNGAVKFLFTLAFLTATAVSLPSSPDRFLRSASTYLRLPDEPQEYEELRQPLPSDQLTPSCSHVLLRHSFANTINRPPFTTPYSPPSSCTSPPWSFVVLDLRAASSGDQYDRISGLWLGGVELLRTSTAEPSPSGIFWNVRKDVSRYSSLFMRSDLNVTMMLENIVNDVYTGIYHINVTLIFYEFNHIASNLKINNRLGFVESQRRDQTPADLIIPIGAGGNRGFWFMIENPTETYSKRIQIPSNTRQIVLELYVSFHGNDEFWYSNPPNSYIKTNNLATGRGNGAYREVFVKIDGRYVGSEVPFPVIFTGGINPLFWEPVVAIGAFNLPSYDMDLTPFLGLLLDGKSHEFAFGVNDGISYWLVDANLHLWLDHGSSNVEAGSRLYDSPSRHMERQEKFEQLDGSFRVEAEVISEYDGWVRSCKGNLTTMVKSMFKVDSLVRFEKNGTYKRVEQRVKTERIVEVTSESGKPVNRFVHQRTYPLTVITSTFRGLTKDTYMLVTNVSHALNETHSDGEASTQVYNRQDSEGWMEVEDHNVLAGEASTRQSLSYIDEFSCYSHTIDAANGEITQDSSSDSCTSSSSSELNTLNETLVLNK >fgenesh2_kg.6__477__AT5G05500.1 pep chromosome:v.1.0:6:2006482:2007202:1 gene:fgenesh2_kg.6__477__AT5G05500.1 transcript:fgenesh2_kg.6__477__AT5G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen ole e 1 allergen and extensin family protein [Source:UniProtKB/TrEMBL;Acc:D7LYX8] MDSRALPFSVLVTLIAMAVFANGYSTPPPPPPTTAYPAVKTVEAAVEGMVYCQSCDKFGSWSLAGAEALAGAKISIICKNHRQQVSFYKVFKTDSYGHFYGELKGFKMTPHYLDHPLHSCRAKLVSSPREDCNLFSNINNALDGASLRYEEKRLKWTNYEAVIYAAGPLAFRPDHCPATAPPTY >fgenesh2_kg.6__479__AT5G05520.1 pep chromosome:v.1.0:6:2009910:2012311:1 gene:fgenesh2_kg.6__479__AT5G05520.1 transcript:fgenesh2_kg.6__479__AT5G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer membrane OMP85 family protein [Source:UniProtKB/TrEMBL;Acc:D7LYY0] MANPTEKPEPIPSTPKLEPKDEREELEDINGGEEEEEEYEDEDDGKPRTREDAIADRIKAESLFRRMRETPVAVRVHDVIVKGNEKTKDHVIEAEVDVLRQATTLQELLKASKVANFNLQALDIFDAVKITLDSGPPELPGTTNVVIDVVESKSPFTGQVGTFTKAEARSSSLEGSLKYKNIFGYGDIWDGSLAYGCDHSTEVGLGMCLPRFRGRPTPFTSRVYLSTQDWLKFSSYKERALGLSLGLIASKYHELAYNIAWRNVIDPSQMASRSIRRQLGHNLVSALKYTFKFDQRNSSLRPTRGYSFISTSQIGGLAPDSRTLRFLRQEIDLRYAVPLGFYRAALNFGVAGGITFPWGSEYKSKASCIPERFFLGGNISPVCSLGGPSALWGFKTRGLGPNESRREVQDNESGDTYERDFVGGDVAVTAFADLSFDFPVKWFRDRGIHGHVFACAGNMAELSENKYRNFTATKFLETFRSSVGAGIVVPTSLFRMEINYCHILKKQEHDRAKSGFFMTFSTPS >fgenesh2_kg.6__47__AT5G01610.1 pep chromosome:v.1.0:6:185027:186068:-1 gene:fgenesh2_kg.6__47__AT5G01610.1 transcript:fgenesh2_kg.6__47__AT5G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIFNKVGSYWLGQKANKQFDSVGNDLNSVSTSIEGGTKWLVNKIKGKMQKPLPELLKEYDLPVGIFPGDATNYEFDEETKKLTVLIPSICEVGYKDSSVLKFTTTVTGHVEKGKLTDVEGIKTKVMIWVKVTSISADASKVYFTAGMKKSRSRDAYEVQRNGLRVDKF >fgenesh2_kg.6__480__AT5G05530.1 pep chromosome:v.1.0:6:2012453:2013072:1 gene:fgenesh2_kg.6__480__AT5G05530.1 transcript:fgenesh2_kg.6__480__AT5G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LYY1] MQKQNNRRVFFYPISFSPRFHSNSASHFSITLETFRRDIYRPIAGKERLFGQTSLGRPLQLKFEIPSEFLATSDVETCRRHVSFVLADVEPRIREILVLYGIQLIGESRGRAFELKADAEDVEYHLMEEIKGWTTCIPAKSITPVNECTICLEELCDDEESIETHDCCHVFHKVCLWRWIRTKSSCPLCRHPIYSRPKF >fgenesh2_kg.6__481__AT5G05540.1 pep chromosome:v.1.0:6:2013251:2015745:1 gene:fgenesh2_kg.6__481__AT5G05540.1 transcript:fgenesh2_kg.6__481__AT5G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease family protein [Source:UniProtKB/TrEMBL;Acc:D7LYY2] MEDVLATAEGEVLVKLVKLAQKLGLKGKHGSWKEFLDFYDKQLGSSSLSDPSKRRKDDLVAFLTTLKKKEDLQLLARVLKLDNDVFEKCRKKSPDETTEQRLVRMTLTHDEYPLDYLFPSNAEDWVITGLGKKKMEPTKIEMIAIDCEMVLCEDGSEALVRVAAVDRDLKVILDEFVKPNQPVVDYRTFITGLTAQDLEKATLSVVDIQEKLLMFLSEDTILVGQSLNHDLKVLKMDHARVIDTSLVFKYNYDGTRRPLRLKRPSLNHLCKCILGYEVQKEGVPHNCVHDAEAAMKLVLAILENGVETSVPLSKEMLEAEKSKLYLHKIPCNVPYEELNGVVSRDIPHEVKPSKKQDRHYYSAIVVFRSPEEANQAFENIAGDLGKDSTGLSQKQVFLELSSSGPRYVLIRKMVEDDLVGEVSAEEKNASSKKRKREKDSKETRERRRCKPLRRKKQRSYVNRR >fgenesh2_kg.6__483__AT5G05550.1 pep chromosome:v.1.0:6:2016127:2017487:-1 gene:fgenesh2_kg.6__483__AT5G05550.1 transcript:fgenesh2_kg.6__483__AT5G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LYY3] METTPQSKPSVSHRPPVGREDWWSEEATATLVEAWGNRYVKLNHGNLRQNDLKDVADAVNSRHGDNGRKKTDIQCKNRIDTLKKKYKTEKAKLSPSTWRFYNRLDVLIGPVVKKSAGGVVKSAPFINPSHLNPTGSKSNGSSLDDDDDDDDEVGDWEFVARKHPRVEEVDLSEGSTCRELATAILKFGEVYERIEGKKQQMMLELEKQRMEVTKEVELQRINMLMEMQLEIEKSKHRKRGGGSGKQL >fgenesh2_kg.6__485__AT5G05560.1 pep chromosome:v.1.0:6:2021942:2035089:1 gene:fgenesh2_kg.6__485__AT5G05560.1 transcript:fgenesh2_kg.6__485__AT5G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGVRQLTVLGKFKPFGLIAEATDGKSPDDSYQYFLFDPELTERARALHPRQLVRSVEANFIGYCIIWTSGSRVLKRFTLSSAVIKVMCFSACWSHLGRGTEAFLCVLQIGCLTIYNTSGEVVSVPLPRTVKSIWSLPYGLLLQQATEVNPASHVPFSSVSPILSSREMLRQKKEVGNSSPQNFHSPGTHDLNKRDMPYMSSHLILRDPLEEPGPTYVEERGKLNIMKDYDERTIWTSDLLPLMTSYNKGKMQHSVWAAEFIESNLEASASCASGIVPDAVLPKRISFRRIWQAKGAKKAASKVFLATDDAVPVICFLILEQKKLLSVGLQTVEINNEILFDVKADVSWSVSAIAAAPVVVTRSQVKIGLLPHLDIIVLSPENDLFLYSGKQCLCRYVLPSWLGESIGFGDGVSAKTDSGFRDLKITGLSDAVLGCINLSVNHSQIFRCALTGKPSSSLANDCIAAIAEGLRSDLYSLFLSLLWGDGHSDQKSSSIHFEWEALCNIFLEICQKPTVVHLKQPKTSESSWEFLLSSKFHKTYSRFHNGITSINRLDLEGIVPFDSKICSEETLGSSCELMVQSLDCLHAVYESLKMDNLRKQDLHHLAVLLCNIAKFLDEKCYLDYYIRDFPRLSTTIGACTTLSSSRKPPNLFRWLENCLRRGCLSTNFDDLPDLIRRDGCSIVSWARKVVSFYSVLFGDKPEGRTLSSGVPCNIAPGSYSSNEELTILAMAGERFGLHQLDLLPSGVSLPLRHALDSCRESPPADWPAIAYVLLGREDMALSVFRNLSSSKELEMQSNKSLISMSIPYMLHLHPVIVPSSLSESIGLENTKIEDTNSVDGSVIDGMEHIFNSYTQLRYGRDLRLNEVRRLLCSARPVVVQTSANPTISDQEQQQAFTVPKLVLAGRLPAQQNAIVNLDPNIRNIQELKTWPEFHNAVAAGLRLAPLQGKVSRTWIKYNKPGDPNAVHAGLLFGLGLQGYLHVLNLSDIYQYFTQDHEITTVGLMLGLAASYRRTMQPDIAKALFFHVPARYQASYAEFEIPTLLQSAALVSVGMLFEGSAHLQTMQLLLGEIGRRSAGDNVLEREGYAVSAGFSLGLVALGRGGDALGSMDSLVNRLLQYLGAKEEKSILAPSLENHRSAAQTTDGSTSNVDITAPGAIIALTLMYLKTESEVIFSKLSIPQTHYDLECIRPDFIMLRVIARNLIMWSRICPTCDWIQSQVPEVVRNGISHLRDDMDDMYEVDVEALVQAYVNIVAGACISLGLRFAGTRDGNARDLLNNYALYLLNEIKPLSATPGNAFPRGISKYVDRGTLEMCLYLIIISLSVVMAGSGDLQVFRLLRFLRSRNSADGHANYGTQMAVSLATGFLFLGGGMRTFSTNDGSLAMLLITLYPRLPSGPNDNRCHLQASKSFFSIENVTSRPITLWIAFRHLYVLATEARWLQTIDVDSGLPVYAPLEVTVKETKLYSETRFCEITPCILPERAILKRICVCGPRYWPQQIELVFGLRTLGESNMIANSHRELDSESVDHLVSTFSSDPSLIAFAQLCCDKSWNNRSLWPLYPKVITEFDRSPQKGEFSQIHLCRSDSEFKEFCLQVLFDCISKDRPALLQVALAYNEAVSSGRLASSGGFVQSIFLSSLRKRCEEILNCSTELKINLRNYLTSEAWPDDKSSKLQKDIIILSWYLKWFSVPSPSIIKAAVEKIKSKSKNSTWAIPLLRLLLPSTHISAISEIDSVFFSSDETTVS >fgenesh2_kg.6__486__AT5G05570.1 pep chromosome:v.1.0:6:2036812:2044168:1 gene:fgenesh2_kg.6__486__AT5G05570.1 transcript:fgenesh2_kg.6__486__AT5G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7LYY6] MFARKFLQKSSGGQNPPPPRGCLIAEDLDPHLVTHCGIPSTASLLAFDPIQCLLAVGTLDGRIKVIGGDNIEAILASPKQLPFKNLEFMQNQGFLVSISNENEIQVWDLDLRQPASSLKWESNITAFAILHGTGYMYVGDEYGMVSVINYSADEGKLLQLPYYVPTDALAEAAGLSSPIDYPVVGLLSQPCSKGTRLLIAFSNGLLFLWDALEDHVVLVRGNKDLPVEGSTVADTLEASHDELSDLELDGKEISSLCWASTDGSVLAAGYVDGDILFWDFSDGQKGKPSNHVVKLQLSSAEKRLPVIVMHWCLDVSRKSSGGKLFIYGGDIIGSDEVLTMLGLDWSSGMGGLKCVGRADLTLSGSFADMVLSPIASSRQSGMFLFLLTNPGQLQAYDDASLASLMSQKENKISVSPLPYPMVVPTMDPHMTVATFAALNVNDKTSLALSEIVLAAKARTPRTPSVESAQWPLTGGVPSHLDDYKLERLYMAGYQDGSMRIWDATYPCLSLIYILEPKASVIDITGVDASVTAFCFCSKTSCLAVGNECGMVRLFKLVGHTSGGTLEVICYALSHAVGSLLVAHHLYQEDGPQWLAAFSFLSSPVCTLQFVQSTRRLAVGFKCGKVAMLDIGVPSVLFVTDSLSDSGSPIKSLYVQSSSAPTGSKSDPTDHNSINSEDILILCAMTKDGQTILLDGNTGKILASCLRPVKNPTAICMHIIENCYENSETPNGKPAGNPSGKEKHENKSHIINASESHSPSEQNAVTETKLADQMFANSVFLMCSEDALRLYSLKSLSQGSLECIMEVNLPRPCCWMGILKKNGRECAVLLLYRTGHIEIRSFPNLEAVGESSLLSLLRWNFKPNMEKTVCSDDLGHVVLVNGCEVAILSFLAHANGFRLPESLPLLHDKVLAAAADATFSHISAHKKNHDGAPKFLSNIIKGFRSSTEQKMDQVQDFSHLGNIFSNPPYLKPFDTGGDDEKIVELNIDDIEIDEPVIILPLTEKDKKEKKDKRTDKEKLFDGVSSDAQPKTRTVEEIKSKYRKAGETSAIASQAKDKLLERGEKLERISQRTAELQDNAENFASMAHELAKQMEKRKWWNI >fgenesh2_kg.6__487__AT5G05580.1 pep chromosome:v.1.0:6:2044415:2046778:1 gene:fgenesh2_kg.6__487__AT5G05580.1 transcript:fgenesh2_kg.6__487__AT5G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSECGIRPLPRFYPKPTTSFASNPKPTFKFNPPVKPASSSLNSRDGFYSKTMHWALNVATPLTTLQSPSEEDTDRFDPGAPPPFNLADIRAAIPKHCWIKNPWMSMSYVVRDVAVVFGLAALAAYFNNWLLWPLYWFAQGTMFWALFVLGHDCGHGSFSNDPRLNSVAGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLPESIYKNLEKTTQMFRFTLPFPMLAYPFYLWNRSPGKQGSHYHPDSDLFLPKEKKDVVTSTACWTAMAALLVCLNFVIGPIQMLKLYGIPYWIFVMWLDFVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVIGKYYREPKNSGPLPLHLLGSLIKSMKQDHFISNTGDVVYYEADQKLNGQRT >fgenesh2_kg.6__493__AT1G07780.3 pep chromosome:v.1.0:6:2046809:2048607:-1 gene:fgenesh2_kg.6__493__AT1G07780.3 transcript:fgenesh2_kg.6__493__AT1G07780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGISTDLLAHSRALNFSRTYKSGLSYRKVSVSRVGYAHNRKLTCSVSSTENVAPKDDERGKDRPLVKMCGITSARDAAMAVEAGADFIGMIIWPNSKRSISLSVAKDISKVARDGGAKSVGVFVDDDENTILRAADASDLELVQLHGNGSRAAFSRLVRERRVIYVLNANEDGKLLTEVPEEDCHLADWILVDSATGGSGEGFNWAQFKLPSIRSRNGWLLAGGINPTNVSEALSILQPDGIDVSSGICGTDGIQKDKAKISSFITAVHSVHY >fgenesh2_kg.6__495__AT5G05600.1 pep chromosome:v.1.0:6:2059268:2061956:1 gene:fgenesh2_kg.6__495__AT5G05600.1 transcript:fgenesh2_kg.6__495__AT5G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7LYY9] MNKNNKMDVKIETKKGSMDEWPEPIVRVQSLAESNLSSLPDRYIKPASLRPTTTEDAPAATNIPIIDLEGLFSEEGLSDDVIMARISEACRGWGFFQVVNHGVKPELMDAARENWREFFHLPVNAKETYSNSPRTYEGYGSRLGVEKGASLDWSDYYFLHLLPHHLKDFNKWPSFPPTIREVIDEYGEEIVKLSGRIMRVLSTNLGLKEDKFQEAFGGENIGACLRVNYYPKCPRPELALGLSPHSDPGGMTILLPDDQVFGLQVRKDDTWITVKPNPHAFIVNIGDQIQILSNSAYKSVEHRVIVNSDKERVSLAFFYNPKSDIPIQPLQELVSTHNPPLYPPMTFDQYRLFIRTQGPQGKSHVESHISPR >fgenesh2_kg.6__497__AT5G05610.2 pep chromosome:v.1.0:6:2066127:2068442:-1 gene:fgenesh2_kg.6__497__AT5G05610.2 transcript:fgenesh2_kg.6__497__AT5G05610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LYZ0] MAAESSNPRTVEEIFKDFSGRRSGFLRALSVDVDKFYSLCDPEMENLCLYGHPNGTWEVNLPAEEVPPELPEPALGINFARDGMQRKDWLSLVAVHSDCWLLSVSSYFGARLNRNERKRLFSLINDLPTLFEVVTGRKPIKDNKPSMDLGSKSRNGVKRSIEGQTKSTPKLMEESYEDEDDEHGDTLCGSCGGNYTNDEFWICCDVCERWYHGKCVKITPAKAESIKQYKCPSCCTKKGRQ >fgenesh2_kg.6__499__AT3G61650.1 pep chromosome:v.1.0:6:2068695:2071024:1 gene:fgenesh2_kg.6__499__AT3G61650.1 transcript:fgenesh2_kg.6__499__AT3G61650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin gamma chain [Source:UniProtKB/TrEMBL;Acc:D7LYZ1] MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKDGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNGEYRNLYNHENIFLSDHGGGAGNNWASGYHQGKGVEEEIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLTNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARNKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIDWGPASIQVALSKKSPYVQTAHRVSGLMLASHTSIRHLFSRCLSQYDKLRKKQAFLDNYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPGQLMTGEGNASGVVDPKLAF >fgenesh2_kg.6__4__AT5G01990.1 pep chromosome:v.1.0:6:16218:18867:1 gene:fgenesh2_kg.6__4__AT5G01990.1 transcript:fgenesh2_kg.6__4__AT5G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7M6D2] MIARILAALADSMDIPVAAGGSVLGTIKIAVMPIAKVFTMCFLGLLMASKYVNILPPSGRKLLNGLVFSLLLPCLIFSQLGQAVTLQKMLQWWFIPVNVVLGTISGSIIGFIVASIIRPPYPFFKFTIIQIGVGNIGNVPLVLLAALCRDTSNPFGDSEKCSIDGTAYISFGQWVGAIILYTYVYQMFAPPPEGFDAEEENLALKNLPVDTTPEQVPLLTQNFPKDFSPTQDLLPVQSTEPRGRVVSRKGKITQIFVFLYEKLKLKQIVQPAIVASILAMILGAIPFTKKFIFTNGAPLFFFTDSCMILGDAMIPCILLALGGNLINGPGSSKLGFKTTAAIIFGRLVLVPPVGLGIVTLADKLGFLPADDKMFRFVLLLQHTMPTSVLSGAVANLRGCGRESAAVLFWVHIFAIFSMAGWMVLYINILF >fgenesh2_kg.6__500__AT5G05660.1 pep chromosome:v.1.0:6:2077933:2082548:-1 gene:fgenesh2_kg.6__500__AT5G05660.1 transcript:fgenesh2_kg.6__500__AT5G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LYZ5] MAGTATTEFRWKSPQQPPPPQQLISDSDSDSGSDSDNHQHRHNDLSNSIFKAYLDCHSSSSPSSIDLAKIQSFLASSSSGAVSCLICLERIKRTDPTWSCTSLCFAVFHLFCIQSWARQCLDLQAARAVTRPSSNPTEPEAVWNCPKCRSSYQKSKIPRRYLCYCGKEEDPPADNPWILPHSCGEVCERPLSNNCGHYCLLLCHPGPCASCPKLVKAKCFCGGVEDVRRCGHKQFSCGDVCERVLDCDIHNCREICHDGECPPCRERAVYKCCCGKVKEEKDCCERVFRCEASCENMLNCGKHVCERGCHSGECGLCPYQGKRSCPCGKRFYQGLSCDVVAPLCGGTCDKVLGCGYHRCPERCHRGPCLETCRIVVTKSCRCGGTKKQVPCHQELACERKCQRVRDCARHACRRRCCDGECPPCSEICGKKLRCRNHKCQSPCHQGPCAPCPIMVTISCACGETHFEVPCGTETNQKPPRCRKLCHVTPLCRHGQNQKPHKCHYGACPPCRLLCDEEYPCGHKCKLRCHGPRPPPNREFILKPTKKMLNIQAESTPGSPCPRCPELVWRPCVGHHLAAERKMVCSDRTQFACDNLCGNPLPCGNHYCSFICHALDIRSSSLDKRSESCEKCDLRCQKERTPRCQHPCPRRCHPGDCPPCKTLVKRSCHCGAMVHAFECIYYNTMSEKDQMKVRSCRGPCHRKLPNCTHLCPEICHPGQCPLPEKCGKKVVVRCKCLTLKKEWVCQDVQAAHMATGSDPKEVSKNQFGVGLLPCDSNCKSKLQMAESVLKQRNVKETEEKEEPSGKNASKRRKRRERGQDIQETTRLQKLAVITKRIVMVVMLMTMLAAVSYYGYKGLLWLSDWMNEVEEQRQKSRRYPRI >fgenesh2_kg.6__501__AT5G05670.1 pep chromosome:v.1.0:6:2082822:2085473:-1 gene:fgenesh2_kg.6__501__AT5G05670.1 transcript:fgenesh2_kg.6__501__AT5G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle binding protein [Source:UniProtKB/TrEMBL;Acc:D7LYZ6] MENLEDLKILAEQWSHQGIEYLQKIPPTQLYAAIGVLLFTTILLFLSIRLVRRTKSNTVLLSGLSGSGKTVLFYQLRDGSSHQGTVTSMEPNEGTFVLHSENTKKGKIKPVHLVDVPGHSRLRPKLEEFLPQAAAIVFVVDALEFLPNCRAASEYLYDILTNANVVKKKIPVLLCCNKTDKLTAHTKEFIRKQMEKEIEKLRASRSAVSTADIANDFTIGIEGEVFSFSHCSNKVTVAEASGLTGETVQIEDFIREYIKP >fgenesh2_kg.6__502__AT5G05680.1 pep chromosome:v.1.0:6:2085632:2090296:1 gene:fgenesh2_kg.6__502__AT5G05680.1 transcript:fgenesh2_kg.6__502__AT5G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNFQEPEDTPDSRRSPTPKEPVRWVPLQSHPVFASLPSSQDEPTPSQRFPRNFMAWDGDSRLYYWDSRRYLLHRFSLRLGEPEPSSVLAAVPSKVMQPDLQMTISVSKISINKSGSAVLLAGSDGICVMYLFGRASVVEDNVICRVVSIGSEIYTSGDSAINLLQASWHPDSDTHLGILSSDAVFRLFDLSYDAELPEQEYYLQPGEPGSSRTASSIYPADFSFGGEHLWDRFTVFILFTDGSIYILCPVVPFGSVYKWESIMEIYHDANMYGVKSSNSIAVSNSSLAIEWLEATFPDLTEQGTRGENILVVKAHPYALLDASLALQGPLYKASNGDGDEDFAVREAECKGRAVSLLYNLVSKDSILVTAWSAGQLQVDALVDEIQPVWISGNSSRLRMNSHNKIQGVAMICESNIGELTVATSNLPLDHTVWLGHPPPLLRLAMVDLALPTRREGGSLVTLFADSLLPERIYSLHDGGIDSTVLHSLPFTSQATGKDEALKTPSVHTVLSTCQEESAVSPLLGFVPLSDSFGYAWIIAVLSSGECIVAEMKTWDLLLPIHVGTDKTVSSSEIEKKEQDNSCIISKELLAGPKIRIVPHALPTQRSTPANSVEGRSILLDYVKLFHENYIEYAHKVYFELQHHAPNLKRIIDDQHQRLAEANEKISKVDKNQSFLEKRIDKAIQRHDSLEQRLQRLRSLPGTHKKPLTRAELDFKSELDQYAGVEVDALQSSIETLRARVKKSAQKSPKGTVVAATQKKQYSRKNLIQDTQMSQLQSTLAKLSLMNSDNSKKVKIVESALKSQESSFM >fgenesh2_kg.6__506__AT5G05700.1 pep chromosome:v.1.0:6:2103703:2107013:-1 gene:fgenesh2_kg.6__506__AT5G05700.1 transcript:fgenesh2_kg.6__506__AT5G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl-tRNA--protein transferase [Source:UniProtKB/TrEMBL;Acc:D7LZ00] MSLKNDASSSHDGRSNGASIIDDHGRRRSTCGYCKSPARSSTSHGLSAETLTVYDYQALIDRGWRRSGSYLYKHEMDKTCCPPYTIRLKASDFVPTKEQQRVSRRLERFLDGKLDVQPREQTGASSSREVSDARRKTFGAAKSEEKNKVEPIMDDLSKKIDQAVQLYIQSGEFPSDMQIPKASVKKVLCARRKKLAEGTEKLLYTSNIAFPIAAAIKRTQKSEKGGINSAEGNRLSPETISEMLLSAMHKVGETHDMSIKVCKGHINFFSAAKDSFSDRDVVPNGDISRGANSTGGSETLHAKKDSENHQARKRKLEIHLKRSSFDPEEHELYKRYQLKVHNDKPEHVAESSYRRFLVDSPLIDVQPSGDEKLPPCGFGSFHQQYRVDGLLIAVGVVDILPKCLSSVYLFWDPDYAFLSLGKYSAMQEINWVIENQAHCPSLQYYYLGYYIHSCRKMRYKAAYCPSELLCPLRFQWVPFEIARPMLDKKPYVILSDIAISQNQCSLLAGASETLAEPAASEHEDMEQGETNDNFMGCSDDEDEDDDEMDETESEDSHIESDPGSKDNDINNILIGLYGSQYRYKDMRQIITPVGRKQLEPMLQSYRKVVGDELSERMVYEIN >fgenesh2_kg.6__507__AT5G05710.1 pep chromosome:v.1.0:6:2107694:2108256:1 gene:fgenesh2_kg.6__507__AT5G05710.1 transcript:fgenesh2_kg.6__507__AT5G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWRAVIGGQSNNSDDYGGVEFWSNPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDSDVTRVSRPRGVVPVASCLTAKGAEDVLNKQNAFELSTRDETMYFIADSEKEKEDWINSIGRSIVQNSRSVTDSEIVDYDNKH >fgenesh2_kg.6__508__AT5G05730.1 pep chromosome:v.1.0:6:2112321:2115622:-1 gene:fgenesh2_kg.6__508__AT5G05730.1 transcript:fgenesh2_kg.6__508__AT5G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMNVATMQPLTFSRRLVPSVASRYLSSSSSVTVTGYSGRSSAYAPSFPSIKCVSVSPEASIVSDTKKLADASKSTNLIPIYRCIFSDQLTPVLAYRCLVKEDDREAPSFLFESVEPGSQMSSVGRYSVVGAQPAMEIVAKENKVIVMDHSNETMTEEYVEDPMEIPRQISEKWNPDPQLVQDLPDAFCGGWVGFFSYDTVRYVEKRKLPFSKAPEDDRNLPDMHLGLYDDVVVFDHVEKKAYVIHWIRLDGSLPYEKAYSNGMQHLENLVAKLHDIEPPKLSAGNVNLQTRQFGPSLDNSNVTCEEYKEAVVKAKEHILAGDIFQIVLSQRFERRTFADPFEVYRALRVVNPSPYMGYLQARGCILVASSPEILTKVKQNKIVNRPLAGTSKRGKNEVEDKRFEKELLENEKQCAEHIMLVDLGRNDVGKVAKYGSVKVEKLMNIERYSHVMHISSTVTGELQDDLTCWDTLRAALPVGTVSGAPKVKAMELIDELEPTRRGPYSGGFGGVSFTGDMDIALSLRTIVFPTACQYNTMYSYKDANKRREWVAYLQAGAGVVADSDPQDEHCECQNKAAGLARAIDLAESAFVKK >fgenesh2_kg.6__509__AT5G05740.1 pep chromosome:v.1.0:6:2116725:2119684:-1 gene:fgenesh2_kg.6__509__AT5G05740.1 transcript:fgenesh2_kg.6__509__AT5G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M50 family protein [Source:UniProtKB/TrEMBL;Acc:D7LZ04] MNLAVASFRGNFGVLSQCSSYCSLQFQPFVAATSSLNFGQTRTSRRKKNLKLERVFRKRETLVRVTETQTEPEGNNDEDNNGEEGKETSADDPPTQIPTELNSQSTIVNEAPGNEEENKAQLSSQDGDKLEVSSGSPLPGVNVSITNNVKYKGDSLMLSIYLSFIKSCCEQPLQLDDSMRLPKETIDILRGQVFGFDTFFVTSQEPYEGGVLFKGNLRGEPAKSYEKIKTRMENNFGDQYKLFLLSNPEDDKPVAVVVPRRSLEPETTAVPEWFAAGSFGLVALFTLFLRNVPALQSDLLSAFDNLELLKDGLPGALVTTLVLGVHELGHILVANSLGIKLGVPFFVPSWQIGSFGAITRIKNIVAKREDLLKVAAAGPLAGFSLGLILFLLGLFVPPSDGIGVVVDASVFHESFLAGGIAKLLLGDALKEGTSISLNPLVIWAWAGLLINGINSIPAGELDGGRIAFSIWGRKTATRLTGASIALLGLSALFSDVAFYWVVLIFFLQRGPIAPLAEEITAPDDKYVSLGILVLFLSLLVCLPYPFAFTGNEAMMIGL >fgenesh2_kg.6__511__AT5G05760.1 pep chromosome:v.1.0:6:2120665:2122520:-1 gene:fgenesh2_kg.6__511__AT5G05760.1 transcript:fgenesh2_kg.6__511__AT5G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-snare sed 5 [Source:UniProtKB/TrEMBL;Acc:D7LZ05] MGSTFRDRTVEFHSLSQTLKKIGAIPSVHQDEDDPASSKRSSPGSEFNKKASRIGLGIHETSQKIARLAKLAKQSSIFNDRTVEIQELTVLIRNDITGLNMALSDLQTLQNMEIADGNYSQDKVGHYTAVCDDLKTRLMGATKQLQDVLTTRSENMKAHENRKQLFSTKNAVDSPPQNNAKSVPEPPPWSSSSNPYGNLQQPLLPPVNTGAPPGSQLRRRSAIENAPSQQMEMSMLQQTVPRQENYSQSRAVALHSVESTITELSGIFTHLATMVTQQGELAIRIDDNMDESLVNVEGARSALLQHLTRISSNRWLMMKIFAVIILFLIVFLFFVA >fgenesh2_kg.6__517__AT5G05820.1 pep chromosome:v.1.0:6:2147456:2150016:-1 gene:fgenesh2_kg.6__517__AT5G05820.1 transcript:fgenesh2_kg.6__517__AT5G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGRFFTIGLVTSWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIAWLKMVPMQTIRSRVQFFKISALSLVFCVSVVFGNISLRFLPVSFNQAIGATTPFFTAVFAYLMTLKKEAWLTYFTLVPVVTGVVIASGGEPSFHLFGFLMCIAATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLIMEKNVVGITIALARDDFRIVWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFKNPVSVTGMLGYSLTVCGVILYSEAKKRSK >fgenesh2_kg.6__518__AT5G05840.1 pep chromosome:v.1.0:6:2153711:2157246:-1 gene:fgenesh2_kg.6__518__AT5G05840.1 transcript:fgenesh2_kg.6__518__AT5G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNYNLEDGLETVLEVPMPEELFAASKTKPGWNQMKSYWSKPTATATGTATATNMTRLFGGRNAEIQLLLGVVGAPLIPLPVQPEQQNDYENPIHKDIKDQPLEMSMAQYIVKQYIAAVGGDRALNAVESMYAMGKVRMTASEFCTGEGSLNSKMVKARSIKSGGGEVGGFVLWQKGIELWCLELVVSGCKISAGSDAKVAWRQTPWHPSHASRGPPRPLRRFLQGLDPKSTANLFARSVCMGEKKINDEDCFILKLDAEPSALKARSSSNVEIIRHTVWGCFSQRTGLLIQLEDSHLLRIKAQDDNSIFWETTMESLIQDYRTVDGIQVAHAGKSSVSLFRFGENSDNHSRTRMEETWEIEEMDFNIKGLSMDCFLPPSDLKKDDDEEEEIECGLAANNEKLPMKIRSASLRISSSKILAIMEEEDE >fgenesh2_kg.6__519__AT5G05850.1 pep chromosome:v.1.0:6:2161239:2163366:-1 gene:fgenesh2_kg.6__519__AT5G05850.1 transcript:fgenesh2_kg.6__519__AT5G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LZ14] MATELNPKNFPVLSYVLDRLPSFTAKSSSSSDVDPPPSKSDPSSSSNHSIEIVTQMPHLAHPDVLASMTNAIADVAQTRSVLRTLGPRPDHETVDKARARLREIDASLSESFEEIALSPNDIDVAEKEQKRREAVDQEKTWYNSILKLNELHESYEKLLKEAEERLVRIYESAEKNAAAVAEEEAAVVEVNEEVVSILQQAAENPLDRVDLSGRKLKLLPEAFGKIQGLLVLNLYNNQLEAIPDSIAGLQNLLELDVSTNFLETLPDSIGLLSKLKILNVSCNKLTTLPDSICHCGSLVVLDASYNNLTYLPTNIGFELVKVEKLLIHLNKIRSLPTSIGEMRSLRYLDAHFNELNGLPNSFGLLTNLEYLNLSSNFSDLQDLPASFGDLISLQELDLSNNQIHSLPDAFGTLVNLTKLNLDQNPLVVPPEEVVKQGVGAVKMYMGKRWVSMLEEEEKMANMKDEMDQTNTDWLTRTTSKLKTYVTEVSEYLGSNSPRDPYLDQQL >fgenesh2_kg.6__520__AT5G05860.1 pep chromosome:v.1.0:6:2164550:2168621:1 gene:fgenesh2_kg.6__520__AT5G05860.1 transcript:fgenesh2_kg.6__520__AT5G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 76C2 [Source:UniProtKB/TrEMBL;Acc:D7LZ15] MEEKRNGLRVILFPLPLQGCINPMIQLANILHSRGFSITVIHTRFNAPKASSHPLFTFLQISDGLSETQTKDDVMSLLAQININAESPFRDCLRELLLESKESERVSCLIDDCGWLFTQTVAESLNLPRLVLCTFKATFFNAYPSLPLIRTKGYLPVSDSEAEDSVPEFPPLQKRDLSKVFGEFGEKLDPFLHAVVETTMSSSGLIFMSCEELEKDSLTIANEIFEVPIFAIGPFHSYFSASSSSLFTQDETCIPWLGNQKDKSVIYVSLGSVVNITETEFLEIACGLSNSKQSFLWVVRPGSVLGAKWIEPLSEGLVRSLEEKGKIVKWAPQQEVLAHRAIGGFLTHNGWNSTLESICEGVPMICLPGGWDQMLNSRFVSDIWKVGIHLEGRIEQKEIEKAVRMLMEENEGEKIRERMKVLKDEVEKSVKLGGSSFQSIETLANHILLL >fgenesh2_kg.6__521__AT5G05890.1 pep chromosome:v.1.0:6:2173563:2177422:1 gene:fgenesh2_kg.6__521__AT5G05890.1 transcript:fgenesh2_kg.6__521__AT5G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LZ18] MEKSNGLRVILFPLPLQGCINPMIQLAKILHSRGFSITVIHTSFNAPKASNHPLFTFLEIPDGLSETEKRTNNTKLLLTLLNRNCESPFRDCLTKLLQSADSETGEEKQRISCLINDSGWMFTQPIAQSLKLPRLVLSGFTVSFYRSQFVLPKLRREVYLPLQDSEQEDLVQEFPPLRKKDILRILDVETEILDPFLDKVLKMTKASSGLIFMSCEELDQDSVSQARNDFKIPIFGIGPSHSHFPASSSSLSTPDETCIPWLDKQADRSVIYVSYGSIVTISESDLMEIAWGLRNSDQPFLLVVRVGSVRGTEWIETIPEEIIAKLNEKGKIVKWAPQQDVLKHRAIGGFLTHNGWSSTVESVCEAVPMICLPFRWDQMLNARFVSDVWMVGINLEDRVERNEIERAIRRLLLETEGEAIRERIQLLKEKVERSFGQNGSAYQSLQNLIDYISSF >fgenesh2_kg.6__523__AT5G05900.1 pep chromosome:v.1.0:6:2177893:2179716:1 gene:fgenesh2_kg.6__523__AT5G05900.1 transcript:fgenesh2_kg.6__523__AT5G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LZ19] MDKSNGLRVILFPLPLQGCINPMIQLAKILHSRGFSITVIHTRFNAPKASSHPLFTFLQIQDALSETETSTHDVTLLLTLLNRSCESPFRECLTKLLQSADSKTGEEKQRNCSLIHDSGWIFTQPIAKSLNLPRLVLNTYKVSSFRDHFVLPQLRREMYLPLQDSEQDDDPVQEFPPLLKKDLIQILDKETEILDSYTKMILETTKASSGLIFVSSCEELDQDSLSQAREDFQVPIFTIGPSHSYFPGSSSSLFTVDDTCIPWLDKQEDKSVIYVSFGSITTISEAEFMEIAWGLRNSNQPFLWVVRVDSVVHGTERIDEQLHEKGKIVNWAPQQEVLKHRAIGGFLTHNGWNSTVESVFEGVPMICLPFEWDQLLNARFVTDVWMVGLHLEGRIERNVIEGVIRRLFSEAEGKAIRERMELLKEKVRRSVKPKGSSYRSLQHLIDYISSF >fgenesh2_kg.6__526__AT5G05920.1 pep chromosome:v.1.0:6:2181155:2183263:-1 gene:fgenesh2_kg.6__526__AT5G05920.1 transcript:fgenesh2_kg.6__526__AT5G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRVFSSVHSTVFKESESLEGKCDKIQGYDFNQGVNYPKLMRSMLTTGFQASNLGEAIDVVNQMLDWRLADETIVSEDCSAEEKDPSYRESVKCKIFLGFTSNLVSSGVRDTIRYLVQHHMVDVIVTTTGGVEEDLIKCLAPTFKGDFSLPGAYLRSKGLNRIGNLLVPNDNYCKFEDWIIPIFDEMLKEQKEENVLWTPSKLLARLGKEINNESSYLYWAYKMNIPVFCPGLTDGSLGDMLYFHSFRTSGLIIDVVQDIRAMNGEAVHATPRKTGMIILGGGLPKHHICNANMMRNGADYAVFINTGQEFDGSDSGARPDEAVSWGKIRGSAKTVKVYCDATIAFPLLVAETFATKRDQTCESKT >fgenesh2_kg.6__527__AT5G05930.1 pep chromosome:v.1.0:6:2183435:2186946:-1 gene:fgenesh2_kg.6__527__AT5G05930.1 transcript:fgenesh2_kg.6__527__AT5G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLCFLLNKLLRVEERNQGRLEGHGDLTSPKYCQFDDPLVSDGKYRDAGLPSSSRIDVPHVHQLASWDCGLACVLMVLRAIGIASCTLEDLAEICSTNSIWTVDLAYLLQKFCVEFSYYTITFGANPNYSIEEFYKEQLPEDLVRVDLLFRKAHESGIIIQCRTVSIHEISCLLLSGNYIAIALVDQDKLSKSWLEEVIVAGLHSSNSCYTGHYIVICGYDAVRDEFEIRDPASSKIHERISSKCLENARKSFGTDEDLLLINLENIRNQKGY >fgenesh2_kg.6__529__AT5G05950.1 pep chromosome:v.1.0:6:2198699:2199358:1 gene:fgenesh2_kg.6__529__AT5G05950.1 transcript:fgenesh2_kg.6__529__AT5G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSIHITALDGIVNVNSLFTLAVFIGLAWNPTDPDNSLVTDPNCVPTARMAENLVAFHVYSFASFLFSSLIALGLKQAMRLNIASSFHISTRIDPVVYYVNKTALRFGMVISGLGSVCGCGFLMLALINVVQIKLGTLGCGASGHTYAAVVPLVILVPSALFIYVSLMLYAFTR >fgenesh2_kg.6__52__AT5G01570.1 pep chromosome:v.1.0:6:195052:195907:-1 gene:fgenesh2_kg.6__52__AT5G01570.1 transcript:fgenesh2_kg.6__52__AT5G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQLRNFEMAISWGDELIHVLDDRKGFGVLVQTLEHLRAIQFSCDDDFSETHGSLQDLQKKLHVCKEKTDEANSEIADEEEIERLQKELDEELELENKQEQLRTEKKLSMYASVTRVIPNIDDSLKTSGCILLLLTKLLFTL >fgenesh2_kg.6__530__AT5G05960.1 pep chromosome:v.1.0:6:2200200:2200800:1 gene:fgenesh2_kg.6__530__AT5G05960.1 transcript:fgenesh2_kg.6__530__AT5G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7LZ25] METHVLSRIFLLVLCIYSLKTMVDGAGECGRNPPDREAIKLAPCAMAAQDTSARVSPTCCARVKQMGQNPKCLCAVMLSSTARSSGAKPEISMTIPKRCNIANRPIGYKCGAYTLP >fgenesh2_kg.6__533__AT5G05970.1 pep chromosome:v.1.0:6:2205618:2209915:1 gene:fgenesh2_kg.6__533__AT5G05970.1 transcript:fgenesh2_kg.6__533__AT5G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7LZ27] MSNLVEPSWRLLAASGGDTVKLFDVSADSGDPCVLSYTPTPGCAVNSVKWNHTNLVVASTGEDKKISLWRKNGQSLGTVPVTGKDGGDSAEECLSAISFSKKGSRYICSGGTGQIVKIWDLQRKLCIKKLKGHTSTITGVMYNCKDEHLASVSVGGDLIVHNLASGARATELKDPNGQVLRLLDYSRSSRHLLLTAGDDGTVHLWDTTGRSPKMSWLKQHSAPTAGVCFSPSNEKIIASVGMDKKLYTYDSGSRRSSSCIAYEAPFSSLAFGDNGYILAAGTSNGRVVFYDVRGKPQPVTVLHAFSNSEDVTSLSWQTSKPVIVNEKNYTSEMALLGSTVEDSVVIPDPLPSTTPSASQSALAPGSRGVSASTVNASSVEQTPNRTHLWPGGPLGRLHALRASDSFNDDMGVFSPIIDVSSVEKWADSEGFNNKEHFVVDKKPSSLLFPSSSKGYSFGDDGNKEHPIFDWKPSSTSKQDDTRVAFSSFGSTTPTASSKSEDTALTPPEAWGGDKFSEKFNQLANEKFSDKFSHLHAPSRLAVSSTCASTSGSMFSSSRDFPLSLGQTNLANASSEFPRIRDFSSTFETSSTQTDNNLPSSPLFTKGITAPGNIDSLRLSPNFTRRFSTYAERISTTSSFSDGASLTLGGSPKIKKTGAETREEVLNHLLPRPEMVAATEAGAMPLMNGGLKQPQTDQQQMMGSSNFTLQLFQRTLEGTLDSFQNSIHDDVRNLHIEILRQFHMHEMEMSKVLSSILENQAEQMKELKLLRKENQELKERL >fgenesh2_kg.6__535__AT5G05980.1 pep chromosome:v.1.0:6:2209879:2214966:-1 gene:fgenesh2_kg.6__535__AT5G05980.1 transcript:fgenesh2_kg.6__535__AT5G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthase [Source:UniProtKB/TrEMBL;Acc:D7LZ28] MYAVSIVPRTTSCRLSSAFFCHLSIPLTLGLHHHYQHQQPHLTSLSFQIRSLRKQIDMAAQGGDSYEEALAALSSLITKRSRADKSNKGDRFELVFDYLKLLDLEEDILKMKVIHVAGTKGKGSTCTFTESIIRNYGFRTGLFTSPHLIDVRERFRLDGVDISEEKFLGYFWWCYNRLKERTNEEIPMPTYFRFLALLAFKIFAAEEVDAAILEVGLGGKFDATNAVQKPMVCGISSLGYDHMEILGDTLGKIAGEKAGIFKLGVPAFTVPQPDEAMRVLEEKASESEVNLEVVQPLTTRLLSGQKLGLDGEHQYLNAGLAVSLASTWLQKIGKLEVPSRTQMSILPEQFIKGLATASLQGRAQVVPDQYTESRTSGDLVFYLDGAHSPESMEACAKWFSVAVKGDNQSGSSGHLVNGSAGSSHDKWSNETCQQILLFNCMSVRDPNLLLPHLRNTCAKYGVHFKKALFVPNMSVYHKVGTAADLPENDPQVDLSWQFTLQKVWESLVQSERDGKNDGESNGNSEVFTSLPMAIKWLRETVHESSSATRFQVLVTGSLHLVGDVLRLIRK >fgenesh2_kg.6__536__AT5G05987.1 pep chromosome:v.1.0:6:2215242:2217229:1 gene:fgenesh2_kg.6__536__AT5G05987.1 transcript:fgenesh2_kg.6__536__AT5G05987.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7LZ29] MDWDNVSAEDVIEALREVEWSTPPRSFGEFFSRFAFPRSFSKWKSRLKCNLYYYRTNYFILVIFVLGIALVTRPLAIVGTALTALSIAFLNDSFAASFNEKFIRTIRHFSPHLAAKMRPPHMPVIRGRSAARKTVYICGKPRWVFVVTFLTASIVMWFSSCGLLWVLYALLTSLAVTIVHASMRTPNLKARLNTFREEFRAVWRNYSEL >fgenesh2_kg.6__538__AT5G06000.1 pep chromosome:v.1.0:6:2218735:2220411:1 gene:fgenesh2_kg.6__538__AT5G06000.1 transcript:fgenesh2_kg.6__538__AT5G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit G [Source:UniProtKB/TrEMBL;Acc:D7LZ31] MAIDSMQKTNKLRWGEIDEEEDNFDFLLPPKQMIGPDQNGVKKVIEYKFNDEGKKVKITTTTRVQKRALTKQAVERRSWNKFGDSANEEAGSYLTMRSTEDIILERIRAPGSNAEQSTISGDSMSQLGKPGAVLMVCRLCHKKGDHWTSRCPQKDLLSLMDEPLTAETSTSSIAGTGTGKAAYVPPSMRDGADRKAAGSDMRSRNEGNSVRVTNLSEDTRGPDLMELFRPFGAVNRCHVAIHQKASMSRGFGFVSFVRREDAQRAINTLNGYGYDNLILRVEWATPKPN >fgenesh2_kg.6__53__AT5G01560.1 pep chromosome:v.1.0:6:196652:199023:1 gene:fgenesh2_kg.6__53__AT5G01560.1 transcript:fgenesh2_kg.6__53__AT5G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSNSMVSLLLMVFLEILVRAQIATTEMTTEFIFHGFKGNQSEIQMQGDSTITPNGLLRLTDRNSDVVGTAFYHKPVRLLDSNSTNTTVRSFSTSFVFVIPSSSTSNGGFGFTFTISPTPNRTDADPKQFLGLLNERNDGNLSNHVFAVEFDTVQGFKDGTNRIGNHIGLNFNSLSSDVQEPVAYYNNNDSQKEEFQLVSGEPIQVFLDYHGPTKMLNLTVYPTRLGYKPRIPLISRVVPKLSDIVVDEMFVGFTAATGRHGQASAHYVMGWSFASGGEHPLAAMLNISELPPPPPNKEKKRGYNGKVIALIVALSTVISIMLVLLFLFMVYKKRMQQEEILEDWEIDHPHRFRYRDLYAATDGFKENRIVGTGGFGVVYRGNISSSSSEQIAVKKITPNSMQGVREFVAEIESLGRLRHKNLVNLQGWCKHRNDLLLIYDYIPNGSLDSLLYSKPRRSGAVLAWNARFQIAKGIASGLLYLHEEWEKIVIHRDVKPSNVLIDDDMNPRLGDFGLARLYERGSQSRTTVVVGTIGYMAPELARNGNSSSASDVFAFGVLLLEIVSGRKPTDSGTFFIADWHDRSETRIWLRRRRGKACSCRRFALCHHKPESRPPMRMVLRYLNRDEDVPVIEDNWGYSDSSRTDLGSKIVGYISSDRASSSHSHTSSSLTRISSTSFISGR >fgenesh2_kg.6__540__AT5G06050.1 pep chromosome:v.1.0:6:2224478:2234375:1 gene:fgenesh2_kg.6__540__AT5G06050.1 transcript:fgenesh2_kg.6__540__AT5G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFVSSNLLRNSVFFKISAFVLISVACFFLGKHWSEDGFRRLIFFSAEPSRSPIVALSPDFGKTYNISDLIYESHPILPPSLSPPPPPDSVELKVFGIVNENGKMSDEFQIGDYDAESVETLGNQTEFESSDGDIKSTTARVSVRKFEMCSENMTEYIPCLDNVEAIKRLNSTARGERFERNCPKEGMGLNCTVPVPNGYRPPIPWPGSRDEVWFNNVPHTKLVEDKGGQNWIYKENDKFKFPGGGTQFIHGADQYLDQISQMIPDISFGNHTRVVLDIGCGVASFGAYLISRNVLTMSIAPKDVHENQIQFALERGVPAMVAAFTTRRLLYPSQAFDLVHCSRCRINWTRDDGILLLEVNRMLRAGGYFVWAAQPVYKHEKALEEQWEEMLNLTTRLCWVLVKKEGYIAIWQKPVNNTRYLSRGAGLTPPLCNSEDDPDNVWYVDLKACITRIEENGYGANLAPWPARLQTPPDRLQTIQIDSYVARKELFVAESKYWKEIISNYVNALHWKQIGLRNVLDMRAGFGGFAAALAELKVDCWVLNVIPVSGPNTLPVIYDRGLLGVMHDWCEPFDTYPRSYDLLHAAGLFSIERKRCNMTTMMLEMDRILRPGGRVYIRDTINVMSELQEIGNAMRWHTSLRETAEGPHASYRVLVCEKRLESSEKRRTKKKRKTKGKRA >fgenesh2_kg.6__541__AT5G06060.1 pep chromosome:v.1.0:6:2234660:2236415:-1 gene:fgenesh2_kg.6__541__AT5G06060.1 transcript:fgenesh2_kg.6__541__AT5G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADKRWSLAGKTALVTGGTRGIGRAVVEELAKFGAKVHTCSRNQEELNACLNDWKSNGLVVSGSVCDASVRDQREKLIQEASSAFSGKLNILVNNVGTNVRKPTVEYSSEEYAKIMTTNLESAFHLSQIAHPLLKASGVGSIVFISSVAGLVHLQSGSIYGATKGALNQLTRNLACEWARDNIRTNCVAPWYIKTSLVETLLEKKDFVEAVVSRTPLGRVGEPEEVASLVAFLCLPAASYITGQVISVDGGFTVNGFSYTM >fgenesh2_kg.6__542__AT5G06070.1 pep chromosome:v.1.0:6:2238765:2239717:-1 gene:fgenesh2_kg.6__542__AT5G06070.1 transcript:fgenesh2_kg.6__542__AT5G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERGECLMSMKLRPMVTRPSSDGTLFWPFREERAFASAEEYGGGGACMWPPRSYSCSFCGREFKSAQALGGHMNVHRRDRARLKQQSLSPSSTDQATHPEYDRQQQVLDVGSKVLVQEETRKPNGTKREISDVCNNNVLESSMQRYEHDNDEVKTDLSVGLLSSEFDPRKKQLIKGSSSSWKRAKTDVARFPMMLGLVIGVSKINGHHEELDLELRLGADPPKVN >fgenesh2_kg.6__546__AT5G06100.3 pep chromosome:v.1.0:6:2252030:2254545:1 gene:fgenesh2_kg.6__546__AT5G06100.3 transcript:fgenesh2_kg.6__546__AT5G06100.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMYB33/MYB33 [Source:UniProtKB/TrEMBL;Acc:D7LZ38] MSYTSTDSDHNESPVADDNGSDCRSRWEGHALKKGPWSSAEDDILIDYVNKHGEGNWNAVQRHTGLFRCGKSCRLRWANHLRPNLKKGAFSQEEEQLILELHAKMGNRWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEMHVEALDWSQEYAKSRVMGEDGRHQDFLQLGSCESNFFFDSLNFTDMVPGAFDLADMTAYKNLGNGASSPRYENFMTPIMPSSKRLWESELLYPGCSSTVKQEFLSPEQFQNTSPQKISKTCSFSVPCDVEHPLYGNRHSPIMISDSHTPTDGIVPSSKPSYGAVKLELPSFQYSETTFDQWKKSSSPPHSHLLDPFDTYIQSPPPPTGREESDLYSSFDTGLLDMLLLEAKIRNNTTKNNLYKSCASTIPSADLGKVTVSQTKSEDFDNSLKSLVHSDMSTQNADGIPPRQREKKRKPLLDITRPDVLLASSWLDHGLGIVKETGSMSDALTVLLGDDIGNDYMNMSVGASSGVGSCSWSNMPPVCQMTELP >fgenesh2_kg.6__548__AT5G06120.1 pep chromosome:v.1.0:6:2258522:2267364:1 gene:fgenesh2_kg.6__548__AT5G06120.1 transcript:fgenesh2_kg.6__548__AT5G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLEAMCERLYNSQDSAERAHAENSLRCFSVNTDYISQCQYILDNSSKPYSLMLASSSLLKQVTDHTLPLNLRLDIRAYIVNYLATRGPKMQSFVIASLIQLLCRLTKFGWLDDDRFRDVVKESTNFLEQGSSDHYAIGLRILDQLVQEMNQPNPGLPSTHHRRVACNFRDQSLFQIFRIALTSLSYLKNDASGRLQELALSLALRCVSFDFVGTSIDESTEEFGTVQIPTSWRSVLEDSSTLQIFFDYYGSTESPLSKEALECLVRLASVRRSLFTNDATRSNFLAHLMTGTKEILQTGKGLADHDNYHVFCRLLGRFRLNYQLSELVKMEGYGEWIQLVAEFTLKSLQSWQWASSSVYYLLGMWSRLVASVPYLKGDSPSLLDEFVPKITEGFIISRFNSVQASVPDDPTDHPLDKVEVLQDELDCFPYLCRFQYERTGTYIINTMEPLLQSYTERGQLQFADNSELALIEAKLSWIVHIVAAIVKIKQCSGCSVETQEMLDAELSARVLRLVNVMDSGLHRQRYGEISRQRLDRAILTFFQNFRKSYVGDQAMHSSKLYARLKELLGLHDHLVLLNVIVGKIATNLKCYTESEEVIGHTLSLFLELASGYMTGKLLLKLDTVTFIISNHTREQFPFLEEYRCSRSRTTFYYTIGWLIFMEDSLIKFKTSMEPLLQVFRTLESAPDSMFRTDAVKFALIGLMRDLRGIAMATSSRRSYGFLFDWLYPAHMPLLLRGISHWFDTPEVTTPLLKFMAEFVHNKTQRLTFDSSSPNGILLFREVSKLIVAYGSRILSLPNVADIYAFKYKGIWVSLTILSRALSGNYCNFGVFELYGDRALADALDIALKMTLAIPLADILAYRKLTKAYFGFVEVLCASHITFILKLDTATFMHLVGSLESGLKGLDTSISSQCAIAVDNLASYYFNNITMGEAPTSPAAIRFAQHIADCPSLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQIFSDLKAKILSSQPVDQHQRLSACFDSLMTDISRGLDSKNRDKFTQNLTLFRHEFRVK >fgenesh2_kg.6__550__AT5G06130.2 pep chromosome:v.1.0:6:2269728:2271977:-1 gene:fgenesh2_kg.6__550__AT5G06130.2 transcript:fgenesh2_kg.6__550__AT5G06130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFSSATPHRHHLPLPPSTSKSLLRFPSSYLKPSPSLLFHGSSRSLLSCSDGSNNGPPPSGDTVPNNFCIIEGSETVQDFVQMQLQEIQDSIRSRRNKIFLLMEEVRRLRVQQRIKSVKAINEDSELEATEMPEITSSIPFLPNVTPKTLKQLYSTSVALISGIIFFGGLIAPNLELKVGLGGTSYEDFIRSLHLPLQLSQVDPIVASFSGGAVGVISTLMLIEVNNVKQQEKKRCKYCLGTGYLPCARCSASGVCLSIDPITKPRASNRLMQVATTKRCLNCSGAGKVMCPTCLCTGMVTASEHDPRFDPFD >fgenesh2_kg.6__552__AT5G06150.1 pep chromosome:v.1.0:6:2275738:2278044:-1 gene:fgenesh2_kg.6__552__AT5G06150.1 transcript:fgenesh2_kg.6__552__AT5G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYC1BAT [Source:UniProtKB/TrEMBL;Acc:D7LZ44] MATRANVPEQVRGAPLVDGLKIQNKNGAVKNRRALGDIGNLVSVPGVQGGKPQPPINRPITRSFRAQLLANAQLERKPINGDNKVPALGPKRQPLAARNPEAQKAVQKRNLVVKQQTKPVEVIETKKEVTKKELAMSPKDKKVTYSSVLSARSKAACGIVNKPKILDIDESDKDNHLAAVEYVDDMYSFYKEVEKESQPKMYMHIQTEMNEKMRAILIDWLLEVHIKFELNLETLYLTVNIIDRFLSVKAVPKRELQLVGISALLIASKYEEIWPPQVNDLVYVTDNAYNSRQILVMEKTILGNLEWYLTVPTQYVFLVRFIKASMSDPEMENMVHFLAELGMMHYDTLMFCPSMLAASAVYTARCSLNKSPAWTNTLQFHTGYTESEIMDCSKLLAFLHSRCGESRLRAVYKKYSKAENGGVALVSPAKSLLSAAADWKKPVSS >fgenesh2_kg.6__553__AT5G06160.1 pep chromosome:v.1.0:6:2278862:2282611:-1 gene:fgenesh2_kg.6__553__AT5G06160.1 transcript:fgenesh2_kg.6__553__AT5G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLLEQTRSNHEEVERLERLVVQDLQKEPPSSKDRLVQGHRVRHMIESIMLTTEKLVETYEDKDGARDDEIAALGGQTATGTNVYSAFYDRLKEIREYHKRHPSGRLVDANEDYEARLKEEPVIAFSGEEGNGRYLDLHDMYNQYINSKFGERVEYSAYLEVFSQPEKIPRKLKLSRQYRKYMEALLEYLVYFFQRTEPLQDLDRILSKVWSDFEEQYADGKVEGWENGQDNELIPSQHTVIDLDYYSTVEELVDIGPEKLKEALGALGLKVGGTPQQRAERLFLTKHTPLEKLDKKHFAKPPHNGKQNGDAKSTHESENAKEIALTEAKVKKLCNLLDETIERTKQNVVKKQSLTYEEMEGEREGEEANAESESDDEDGEIYNPLKLPIGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAKELWKRIQERQGVNKWRPELEEEYEDREGNIYNKKTYSDLQRQGLI >fgenesh2_kg.6__554__AT1G66570.1 pep chromosome:v.1.0:6:2284819:2286869:1 gene:fgenesh2_kg.6__554__AT1G66570.1 transcript:fgenesh2_kg.6__554__AT1G66570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-proton symporter 6 [Source:UniProtKB/TrEMBL;Acc:D7LZ46] MSDLQAKKDAAALDRQSSSSSAVLDGPSPLRKMISVASIAAGIQFGWALQLSLLTPYVQLLGVPHKWSSFIWLCGPISGLLVQPSVGYFSDRCKSRFGRRRPFIATGALLVALAVILIGFAADFGHTMGDKLDEAVKMRAVGFFVIGFWILDVANNTLQGPCRAFLGDLAAGDAKKTRTANAIFSFFMAVGNVLGYAAGSYTNLHKIFPFTVTKACDIYCANLKSCFIISITLLLVVTIIALWYVEDKQWSPKADSGKDKTPFFGEIFGAFKVMKRPMWMLLIVTALNWIAWFPFLLYDTDWVGREVYGGDSKGDDKMKKLYNQGIQVGSLGLMLNSIVLGFMSLGIEGISRKMGGAKRLWGAVNIILAVCLAMTVLVTKKAEEHRKIAGPMALPTDGIRAGALTLFALLGIPLAITFSIPFALASIISSSSGAGQGLSLGVLNMAIVIPQMVVSFAVGPIDALFGGGNLPGFVVGAIAAAISSVVAFTVLP >fgenesh2_kg.6__55__AT5G01540.1 pep chromosome:v.1.0:6:204381:207068:1 gene:fgenesh2_kg.6__55__AT5G01540.1 transcript:fgenesh2_kg.6__55__AT5G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTARSIVLVSLLFKLFLFLSVHVGAQRTTTDFTFRGFLGNQSKIRIEGAAMIKPDGLLRLTDRKSNVTGTAFYHKPVRLLETSRNSTNVRVRSFSTSFVFVIIPSSSSNKGFGFTFTLSPTPYRLNAGSAQYLGVFNKENNGDPRNHVFAVEFDTVQGSRDDNTDRIGNDIGLNYNSRTSDLQEPVVYYNNDDPNKKEDFQLESGNPIQALLEYDGPTQMLNVTVYPARLGFKPTKPLISQHVPKLLEIVQQEMYVGFTASTGKGQSSAHYVMGWSFSSGGERPIADELDLSELPPPPPNKAKKEGLNSQVIVMIVSLSAVMLVMLVLLFFFVMYKKRLGQEETLEDWEIDHPRRLRYRDLYVATDGFKKTGIIGTGGFGTVFKGKLPNSDPIAVKKIIPSSRQGVREFVAEIESLGKLRHKNLVNLQGWCKHKNDLLLIYDYIPNGSLDSLLYTVPRRSGAVLSWNARFQIAKGIASGLLYLHEEWEKIVIHRDVKPSNVLIDSKMNPRLGDFGLARLYERGTLSQTTALVGTIGYMAPELSRNGNPSSASDVFAFGVLLLEIVSGRKPTDSCSFFLVDWVMELQASGEILSAIDPRIGSGYDEGEARLALAVGLLCCHQKPTSRPPMRMVLRYLNRDENVPDIDDEWGYSKSSKSEFGSNFVGYDSLSAIARVSSTSRISQ >fgenesh2_kg.6__562__AT5G06210.1 pep chromosome:v.1.0:6:2311376:2312473:1 gene:fgenesh2_kg.6__562__AT5G06210.1 transcript:fgenesh2_kg.6__562__AT5G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALARIGGRHLKFVGLINSSASCFFTQRRGVASKLFVGGLSFCTTEQGLSEAFSKCGQVVEAQIVMDRVSDRSKGFGFVTFASVDEAQKALMEFNGQQFNGRVIFVDYAKAKQSFGGGRYPIARGPPDPVEVAATTTETSKND >fgenesh2_kg.6__563__AT5G06220.1 pep chromosome:v.1.0:6:2313543:2319161:1 gene:fgenesh2_kg.6__563__AT5G06220.1 transcript:fgenesh2_kg.6__563__AT5G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGTFISCRRVLQLDYISSCCENSRSQLFLTYHVLDNKNYFLQRKLFGNKKMSWYKSRRTMQSFLLASAEDGVAVNGGSQSTPSDDVEEMRAILSGSLQDEYSCGELIQSLHDAARTFELALKEKISSSRLPWFSAAWLGVDRNAWVKTFSYQASVYCLLQAANEVSSRGNNRDDDLNVFVQRSLSRQAAPLDCMMRDKLSSSHPEANEWFWSEQVPSAVTSFVNCFEGDQRFVSATSVYVKGKSSAASNEIEVSLLMLVLNCIAAVTKLGPTKISCPPFFSVIPDTTGRLMDKFVDFVPLPQAYHSMKSLGLRKEFLVHFGPRAAACRVKSDCGTDEVVFWVDLIQNQLLRAIDREKIWSRLTTSESIEVLERDLAIFGFFIALGRSTQSFLAANGFSSLENPVEDLVRHFIGGSLLQYPQLSAISSYQLYVESFIKYSKWPENPSNVKAAKFLSKGHNKLIQCQEELGISSLAVTEAGFIDMNSSSTDGESSSFDKALESVDEALARLESLLQKLHASSSASGKEQIKAACSDLEKIRKLKKEAEFLEASFRAKAASLQEGGGDSDSQEYSKEQSQYLKGKDTKNSINSVDQGTNRDRGFWGFFVRTPRRKPGPESLTDEYFEKSRENVDSVDSNPNEIYRFELLRNELIELEKRVQGSTDESGRTSEDLPKLSSSMKGVQLVQSSKKESVIEKTLDQLKETTTDVWQGTQLLAFDSAAAMELLRRSVVGDELTEKEKKALRRTMTDLASVVPIGVLMLLPVTAVGHAAMLAAIQRYVPGLIPSTYGAERLNLLRQLEKVKQMQTNETEPEEGIDETES >fgenesh2_kg.6__565__AT5G06240.1 pep chromosome:v.1.0:6:2321430:2322869:1 gene:fgenesh2_kg.6__565__AT5G06240.1 transcript:fgenesh2_kg.6__565__AT5G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2735 [Source:UniProtKB/TrEMBL;Acc:D7LZ53] MKLKVVYRKVSDYIRYDLKEIVLPSSLPDPPHVVKRRKLTWHERFLVLKEASRLYAASWVRDIGPELRPNDYKKQGDAEPKKQAKETENEPSVLEDLAVAARGGMETLRPALHRLYMTRASHYKDALASFIKGYHEGLQQVMQSKAESEAPADESDKSKKTT >fgenesh2_kg.6__566__AT5G06250.2 pep chromosome:v.1.0:6:2327345:2328775:-1 gene:fgenesh2_kg.6__566__AT5G06250.2 transcript:fgenesh2_kg.6__566__AT5G06250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNHYSTDHHHTLLWQQQHRHTTDTTETTTTATWLHDDLKESLFEKSLTPSDVGKLNRLVIPKQHAEKYFPLNAVVVSSAATDTSSSEKGMLLSFEDESGKSWRFRYSYWNSSQSYVLTKGWSRFVKDKQLDPGDVVFFQRHRSDSRRLFIGWRRRGQGSSSAAATNSAVNMSSMGALSYHQIHATSNYSNPPSHSEYSHYGAAVATAAETHSTPSSSVVGSSRTVRLFGVDLECQMDENDGDDSVTAAATTVDLPMVTTAKTCTIITLILIIW >fgenesh2_kg.6__568__AT5G06260.1 pep chromosome:v.1.0:6:2341102:2343273:-1 gene:fgenesh2_kg.6__568__AT5G06260.1 transcript:fgenesh2_kg.6__568__AT5G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSNSSSSVDHRFTSASRAFTQKKLDDLKSLSVSLASKSQSNDQYVSYPVFQEYFGLSGSLGERIFEMVTQHRKDDKLTFEDLVIAKATYEKGTDDEIAEFIYQTLDVNGNGVLTRPDLESVLVVILKSVFSTESSDAESSDYKEMVDALLNAATFSKSDGGSEKGMSFEDFRSWCSLVPTIRKFLGSLLMPPSTVRPGYQVPHLLYEDSVSSDRLLLKKEYAWHIGGALPHHELVEWKLLYHSSLHGQSFNTFLGHTSNTGMSSSVLIIKDAEGCVYGGYASQPWERYSDFYGDMKSFLFQVNPKAAIYRPTGANNNIQWCATNFTSENIPNGIGFGGKINHFGLFISASFDQGQTFECTTFGSPSLSKTSRIQPEVIECWGVVQASNEQDTKHNATKGTVLERFKEDRNMLKLVGMAGNSND >fgenesh2_kg.6__569__AT5G06270.1 pep chromosome:v.1.0:6:2351679:2352730:1 gene:fgenesh2_kg.6__569__AT5G06270.1 transcript:fgenesh2_kg.6__569__AT5G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSPKLELKLNLSPPTSSQRRMVRSPSRSATTSPTSPPSSCVSSEMNQDEPSVRYSTSPETTSMVLVGCPRCLMYVMLSEDDPKCPKCKSTVLLDFLHENASNANAAAASSGRKTRRN >fgenesh2_kg.6__56__AT5G01530.1 pep chromosome:v.1.0:6:209006:210415:-1 gene:fgenesh2_kg.6__56__AT5G01530.1 transcript:fgenesh2_kg.6__56__AT5G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7M6I1] MAATSAAAAAASSIMGTRVAPGIHPGSSRFTALFSFGKKKAAPKKSASKKTVTTDRPLWYPGAISPEWLDGSLVGDYGFDPFGLGKPAEYLQFDIDSLDQNLAKNLAGDVIGTRTEAADAKSTPFQPYSEVFGIQRFRECELIHGRWAMLATLGALSVEWLTGVTWQDAGKVELVDGSSYLGQPLPFSISTLIWIEVLVVGYIEFQRNAELDSEKRLYPGGKYFDPLGLAADPEKRAQLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIIDTFSSS >fgenesh2_kg.6__571__AT5G06280.1 pep chromosome:v.1.0:6:2358345:2359616:-1 gene:fgenesh2_kg.6__571__AT5G06280.1 transcript:fgenesh2_kg.6__571__AT5G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPSQSPATPLRRTKSISTMTTLTPPEIPFDMASTPPSSFDYELISIKPSSFVAYTSLRDIISSPSNSSLNLPTINGSFSPVTSTAGDISIRNRLVKKAALSYLQPTVLTSSEDSAGSQFLRRVWIHLSAGIRFLRRVFDWIFQSIRVPLIVK >fgenesh2_kg.6__574__AT5G06300.1 pep chromosome:v.1.0:6:2362468:2366026:-1 gene:fgenesh2_kg.6__574__AT5G06300.1 transcript:fgenesh2_kg.6__574__AT5G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:D7LZ61] MEETKSRFKRICVFCGSSSGKKPSYQEAAIQLGNELVERRIDLVYGGGSVGLMGLVSQAVHHGGRHVLGVIPKTLMPREITGETIGEVKAVADMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGYYNSLLTFIDKAVDEGFISPMARRIIVSAPNAKELVRQLEEYEPEFDEITSKLVWDEVDRLSYVPGSEVATAT >fgenesh2_kg.6__575__AT5G06310.1 pep chromosome:v.1.0:6:2369120:2375085:1 gene:fgenesh2_kg.6__575__AT5G06310.1 transcript:fgenesh2_kg.6__575__AT5G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPOT1 [Source:UniProtKB/TrEMBL;Acc:D7LZ62] MEETKDDYKFLRIQDAFKALHLHVNLIGVIVELGFSNGSDCSRTLKIVDPWHSGSGLPVKFVARTIGALPRVESVGDIIFLSRVKIVLINRKITALCNETSSSSFALFNGKHGVDFIPYQASPKFLMREHDKNFLSNLREWMITYKFEDGSCCFTSLKDIKEGECSNLSCLIVHISKVYKDRWYIFVWDGTEMPPCNILVKSERLPLCVEPEMLPTYMLRKFPTFGSVLRILVDRVSEKQAIHCLQPGQHVKLLNLFFQVNMGLWNATFTPSTKMQYTMSRELQAFSPQRMYGEKLSSRWNPIARCISPSHSGITGVAHEDAPFVSLMDILTYHNVTAKFRCVVRFIQVYPPDVRKLRDSHGNIKLLAILEDATARIHASLYAAEGEKFFGCEDESDEESLVKKLNRLLGGEEMEQVPRNPPWVQCCLFSFYKHKMDQWGSRRFRIFDTWINAS >fgenesh2_kg.6__577__AT5G06340.1 pep chromosome:v.1.0:6:2385751:2387519:1 gene:fgenesh2_kg.6__577__AT5G06340.1 transcript:fgenesh2_kg.6__577__AT5G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKASGFIGKSAISVHLDFSSFPVKFSCFKQFSVSTPKPLVVLSVALSPPARTVESPPVGYRKNVGICLVGPCRKIFTASKIHIPDTWQMPQGGADEGEDLRNAAFRELREETGVTSAEFIAEIPNWLTYDFPREVKDKLNRKWRTSYKGQAQKWFLFKFTGKEEEINLLGDGTAKPEFKVWSWMLPEQVIEHAVYFKRPVYEHVIKQFNPYFVDEENDSMNSSKD >fgenesh2_kg.6__578__AT5G06350.1 pep chromosome:v.1.0:6:2390939:2396460:1 gene:fgenesh2_kg.6__578__AT5G06350.1 transcript:fgenesh2_kg.6__578__AT5G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LZP6] MVRSKAPAKKQQKKGIDFKKIKRKLGRKLPPPNNATNTEIKSKAIILPEQSVAAEKSGLATSKKGLTLKELLQQTRHHNAKVRKDALYGIKDLFKNHPEELQSHKYAIIQKLRERICDDDKLVRDAFYQLFKIDIFPACKEDNQGPMVSLLMPYIFSAMASSVIDVRLMAFKFFHLVVENYPPTFSLDAEKILENYKDIIQKNHFYVQDKSKLKVALSGLAHCLSLLPCDESDTESQKKGPLQNETLLAYEQDAAKDCVIYSILTKFLRVLTGFAHVCGRLKEIVGVLINCFQDFIPLIHAPRGFDADSFDCIHHLFRSIGIAIKFSIRRHMQRQTAWQPASEEVTLMILDQDIASILLKKLLGSFPLNPENNLYGKGDERYFILNSVLTEIFLEVSEWSHLPTDLSNRFLEFIENTLLGKITRSIRLSKDIHEKTLLALFPFVPKLILRVDRDWRDNLLQAFTIAFSDCKPESELKLACISTVRDMIIPNGDILFPNASDQTVNNYQVAWVNKLPSLLNQLGDKHPVSTQVVLQLLLDLRRVGCLNTSPTCEDDIRNFFSLCQGEGDVPGGPFASLPREAQELALCFLYYFTTDKFSSPMMKAIVSCCLCPQLEPAVLYRIVEIRHTAYRAGYIQITDHFSFLITLIARFKVVPEKLQSPIECNERETYCGTFKEVTKLVCSCLSEMGDSSLVLQIVEKVLLEQIILKPALDNGCAILRMICVLDSTPTRLSERSVTTLSEFLPGYMIDIVNCIPEDKENSYLYIQTCLYYLVPCYFLFNRSSKLTEQVLIRMRSMISENTNALELVQDRESGRNSLNLIQCIISVILLMHNDVKVRKIISSFKSEIDLILQNVITLQSSRSTSLTVEGKHMMKIAGERLRIASTSLLA >fgenesh2_kg.6__580__AT5G06370.1 pep chromosome:v.1.0:6:2400303:2401643:-1 gene:fgenesh2_kg.6__580__AT5G06370.1 transcript:fgenesh2_kg.6__580__AT5G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NC domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LZP9] MGLLSNRIDRSGLKPGDHIYSWRTAYIYAHHGIFVGDDRVVHFTRRGQEVGTGTVLDLILVSSGPSRNHTHCPTCVPPNEGHGVVSSCLNCFLAGGVLYRFEYSVNAAHFLAKARGGTCTLAVADPNEIVVHRAKHLLQNGFGCYDVFKNNCEDFAIYCKTGLLVLEGRTMGQSGQAVSIIGGPIAAVLSTPMRLVTTNVYGMAATAIGVYCASRYATDIGMRADVAKVEAEDLTRRLSSGLFQVLEPPLAVIALPSTS >fgenesh2_kg.6__582__AT5G06390.1 pep chromosome:v.1.0:6:2407133:2410048:1 gene:fgenesh2_kg.6__582__AT5G06390.1 transcript:fgenesh2_kg.6__582__AT5G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-Ig-H3 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LZQ1] MGRRIYGGSAVIHLFLFFFVLIFSAASALSKNPNPSSGSGQINSNSVLVALLDSRYTELAELVEKALLLQTLEDAVGRHNITIFAPRNEALERDLDPEFKRFLLEPGNLKSLQTLLMFHIIPNRVGLDQWPPEELGRVKHHTLGNDQVHLSNGEGKKMVDLAEIIRPDDLTRPDGLIHGIERLLIPRSVQEDFNRRRSLQSITAVLPEGAPEVDPRTNRLKKPAAPVPAGSPPALPIQSAMAPGPSLAPAPAPGPGGKQHHFDGEAQVKDFIHTLLHYGGYNEMADILVNLTSLAMEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIVYYHIIPEYQTEESMYNSVRRFGKVKFDTLRFPHKVAAKEADGSVKFGDGERSAYLFDPDIYTDGRISVQGIDGVLFPQEEEIVESVKKPVKKVVQPRRGKLLEITCSMLGAFGKDTYLSKCR >fgenesh2_kg.6__584__AT5G06410.1 pep chromosome:v.1.0:6:2413489:2415027:-1 gene:fgenesh2_kg.6__584__AT5G06410.1 transcript:fgenesh2_kg.6__584__AT5G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMTTLIRRTYPLTSRCNSLATIAAIQSQTQLPRESLQHHSSAEGRLRFSGRVFCSESGGEERRSSGCWNCGEKAAFLFCNSCRSIQPVDDSVDYFQIFGLEKKYEIDPGSLEGKYKDWQKKLHPDLVHNKSKKERDYAAEQSAKVTEACRTLTKRLSRAMYIMKLNGVNVNEEETITDPTLLMEIMELRETISEADDSTALNQIRSQVQEKLKHWSDSFVEAFESQRFDDAVKCIQRMTYYERACEEIVKKL >fgenesh2_kg.6__585__AT5G06440.3 pep chromosome:v.1.0:6:2423028:2425465:-1 gene:fgenesh2_kg.6__585__AT5G06440.3 transcript:fgenesh2_kg.6__585__AT5G06440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKREICDYREKLDKTLSSPELTNHETLKSLLRNQLQECDENILDKRTDDVSKLLSKLRSVSMTDHQVSKLTNDGDWKLKHDLEDCRVMYREGLDGSPFHTLLVEGYMDGPIHECLCVSWESTLYKKWWPQYAFPPFRILKSTCLQKVGVGEQICLARMKVPWPLTEREMILHYFFFEYFKDGLVVILLNTISDLDSIGVSSKDVVIPESPDAVRMDLVGGFVLQKVTPQRSYFRTIGDMDIKLDLIPPSLMNFISRQLIGNGFRLYKKSVASVAKFDEDYSRALTDPLYTKIRQALYSTDEAIEEVPKLETNEVNGDYLPKNEHENNGDACENKLVHCRRTVPEIEEEEVEDSEESVFSEDGNEIAKTHVDIRRRFCVSPEVEQALGTLDRVIYMVRNITPVPETEELSPNRAEDQAKQVSVLESIESVPQKKESIDFSQEEKREEDTGQKQGMLNKGKNLSLQRKRKAGCFAFRSWL >fgenesh2_kg.6__588__AT5G06450.1 pep chromosome:v.1.0:6:2426551:2427340:-1 gene:fgenesh2_kg.6__588__AT5G06450.1 transcript:fgenesh2_kg.6__588__AT5G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDGPKFKMTDGSYVQTKTIDVGSSTDISPYLSLIREDSILNGNRAVIFDVYWDVGFPETETKTKTSGWSLSSVKLSTRNLCLFLRLPKPFHDNLKDLYRFFASKFVTFVGVQIEEDLNLLCENHGLVIRNAINIGKLAVKARGTLVLEFLGTRELAHRVLWSDLGQLDSIEAKGEKAGSEEQLEAAAIEGWLIFNVWDQLSEPEE >fgenesh2_kg.6__589__AT5G06460.1 pep chromosome:v.1.0:6:2428909:2433416:1 gene:fgenesh2_kg.6__589__AT5G06460.1 transcript:fgenesh2_kg.6__589__AT5G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATUBA2 [Source:UniProtKB/TrEMBL;Acc:D7LZQ7] MEPFVAKENIIASASSPMKKRRIDHTESTKADGSAINASNSGSIGLNNSIGGNDTVMNMAEFGNDNSNNQEIDEDLHSRQLAVYGRETMRKLFASNVLISGMQGLGVEIAKNIILAGVKSVTLHDENVVELWDLSSNFVFTEEDIGKNRALASVHKLQELNNAVAVSTLTGKLTKEQLSDFQVVVFVDISFDKATEFDDYCHSHQPPIAFIKADVRGLFGSLFCDFGPHFTVLDVDGEEPHSGIIASVSNENPAFVSCVDDERLEFEDGNLVIFSEVEGMTELNDGKPRKIKNVKPFSFTLEEDTSSYGQYMKGGIVTQVKQPKVLNFKPLREALKDPGDFLLSDFAKFDRPPLLHLAFQALDRFSSQAGRFPVAGSEEDAQKLVEIAVEINESLGDARLEDVNSKLLRHVAFGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPIFQFFYFDSVESLPKEPLDASEFRPQNSRYDAQISVFGSTLQKKLEDARVFVVGAGALGCEFLKNLALMGVSCGTQGKLTVTDDDVIEKSNLSRQFLFRDWNIGQAKSTVAATAAAGINSCLNIDALQNRVGPETENVFDDSFWENLTVVVNALDNVTARLYVDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSDPVEYMKAMSTAGDAQARDTLGRVVECLEKEKCNSFQDCITWARLRFEDYFANRVKQLCYTFPEDAATSTGAPFWSAPKRFPRPLQFSSTDLGHINFVMAASILRAETFGIPTPEWAKDPTGLAEAVERVIVPDFEPRKDANIVTDEKATTLSTASVDDAAIIDELNTKLEWCRRSLQPEFRMKPIQFEKDDDTNYHMDMIAGLANMRARNYSVPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGSHKVEDYRNTFANLALPLFSMAEPVPPKVVKHQDQSWTVWDRWVIRGNPTLRELLGWLKGKGLNAYSISCGSSLLYNSMFSRHKDRMDTRVVDLARDVAGVELPAYRRHVDVVVACEDDNGDDVDIPLVSVYYA >fgenesh2_kg.6__58__AT5G01520.1 pep chromosome:v.1.0:6:210689:212895:-1 gene:fgenesh2_kg.6__58__AT5G01520.1 transcript:fgenesh2_kg.6__58__AT5G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M6I2] MRKSFKDSLKALEADIQFANTLASEYPEEYDGGYVQMRLSYSPAAHLFLFLLQWTDCHFAGTLGLLRILIYKAYVDGKTTMSLHERKASIRDFYDVLFPSLLQLHGGITDLEERKQKEICDKRYRKKDRTEKGKMSEIDLEREEECGICLEIQNKVVLPTCNHSMCINCYRNWRARSQSCPFCRGSLKRVNSGDLWIYTSSAEIADLPAIYKENLKRLLIYIDKLPLVTSDSNLAPYAPLPR >fgenesh2_kg.6__590__AT5G06470.1 pep chromosome:v.1.0:6:2433566:2434285:-1 gene:fgenesh2_kg.6__590__AT5G06470.1 transcript:fgenesh2_kg.6__590__AT5G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7LZQ8] MKGMKERLVKKIKLITTVKTLKQGLALHKSTIDRTIHHLRDEIVAESSQIKGCVTPTLLELEDAEEQNLEEERGILLEFKENCPPGGEDSVVFYTTGLRGVRKTFEACRRVRFLLENHQVMYRERDVSMDSEFREEMWRLLGGKATSPRLFIRGRYIGGAEEVVALNENGKLKKLLEGISQVDSPCESCENERFLICSSCNGSSKLLVDHHDEETSNDNMWTRCRECNENGLVKCPLCT >fgenesh2_kg.6__597__AT5G06530.1 pep chromosome:v.1.0:6:2455815:2461032:-1 gene:fgenesh2_kg.6__597__AT5G06530.1 transcript:fgenesh2_kg.6__597__AT5G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7LZR4] MEKPPLASGLARTRSEQLYETVAAAIRSPHGSMDANGVPATAPAAVGGGGTLSRKSSRRLMMGASPGRSGGAGTHIRKSRSAQLKLELEEVSSGAALSRASSASLGLSFSFTGFAMPPEEISDSKPFSDEEMIPEDIEAGKKKPKFQAEPTLPIFLKFRDVTYKVVIKKLTSSAEKEILTGISGSVSPGEVLALMGPSGSGKTTLLSLLAGRISQSSTGGSITYNDKPYSKYLKSKIGFVTQDDVLFPHLTVKETLTYAARLRLPKTLTREQKKQRALDVIQELGLERCQDTMIGGAFVRGVSGGERKRVSIGNEIIINPSLLLLDEPTSGLDSTTALRTILMLHDIAEAGKTVITTIHQPSSRLFHRFDKLILLGRGSLLYFGKSSEALDYFSSIGCSPLIAMNPAEFLLDLANGNINDISVPSELDDRVQVGNSGRETQTGKPSPTIVHEYLVEAYETRVAEQEKKKLLDPVPLDEEAKAKSTRLKRQWGAGWWEQYCILFCRGLKERRHEYFSWLRVTQVLSTAVILGLLWWQSDIRTPVGLQDQAGLLFFIAVFWGFFPVFTAIFAFPQERAMLNKERAADMYRLSAYFLARTTSDLPLDFILPSLFLLVVYFMTGLRISPYPFFLSILIVFLCIIAAQGLGLAIGAVLMDLKKATTLASVTVMTFMLAGGFFVKKVPVFISWIRYLSFNYHTYKLLLKVQYQDFAESINGMRIDNGLTEVAALVVMIFGYRLLAYLSLRQMKIAT >fgenesh2_kg.6__599__AT5G06550.1 pep chromosome:v.1.0:6:2469642:2471609:-1 gene:fgenesh2_kg.6__599__AT5G06550.1 transcript:fgenesh2_kg.6__599__AT5G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYKNLLLTSKRRKSKFKKQKLHQHEPESLFPEKEVEEEDEDEGGFKLKIAAPSQEHGVQPLGNLYFNPGSVNVRNTGLGNLQILSDELVLDILGLLGATHLGILATVTKSFYIFANHEPLWRNLVLEVLKGEFLFNGSWKSTYVAAYHPKFQFSGDSESNLKIIDFYSDYLFQSWLCANLEMKPKWLRRDNITRVRGISVEEFITKFEEPNKPVLLEGCLDGWPAIEKWSRDYLIKVVGDVEFAVGPVEMKLEKYFRYSDGAREERPLYLFDPKFAEKVPVLDSEYDVPVYFREDLFGVLGNERPDYRWIIIGPAGSGSSFHIDPNSTSAWNAVITGSKKWVLFPPDVVPPGVHPSPDGAEVACPVSIIEWFMNFYDDTKNWEKKPIECICKAGEVMFVPNGWWHLVINLEESIAITQNYASRSNLLNVLEFLKKPNAKELVSGTTDRENLHDKFKKAIEGAYPGTIQELEKKAEEAKRAEEQRVSFWDSAKADAFKFSF >fgenesh2_kg.6__5__AT5G01980.1 pep chromosome:v.1.0:6:18953:20909:-1 gene:fgenesh2_kg.6__5__AT5G01980.1 transcript:fgenesh2_kg.6__5__AT5G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M6D3] MESQPPGPSNGDSSNSIPSSACNLCGRVVQSASDDLEIFSLCVDCKFLLLEDFGTPAPPLARRQTIRRRRMRRRTRRSRHDSSESVGDLSTQQFTHLISMARQSLSTVHASEDQRDDQQRTSSHTTPSGSTRWRIFSESESDDFDNFGETESNASFSLYRFPHTDNDAISFSAYGGESDASTDRHAFLDEEIFVQPDDRSDIDFDTDIDPMHAGLNQWNSDEEDREWEEGAGPSGVAGTRYRNYLASPSESYSSMTRFDSPELERGFRQRILERRQGLSRNIFTGLEDLEFSPYAANVADYLDERGFEELLEQLAESDNSRRGAPPASVSCVRTLPRVIIGEEHVMKGLVCAICKELFTLSNETTQLPCLHLYHAHCIVPWLSARNSCPLCRYELPTDDKDYEDGKHNVLDVSEDSSSDDDGTESGEEEYVERGESDSGVNRVSRGRWLFLAAAPVVSLVGVVLAMWLSNQQRRDIAISHSQRGNRTRRWLPFF >fgenesh2_kg.6__600__AT5G06560.1 pep chromosome:v.1.0:6:2471922:2474675:-1 gene:fgenesh2_kg.6__600__AT5G06560.1 transcript:fgenesh2_kg.6__600__AT5G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAIVSSPRDVVRCCDCGCSCSLNDASPGSLLRSVKRKYEEFENEKPFHIPELELDLSSNAKVQIENELELLRETVSSQQQSIQDLYEELDEERNAASTAASEAMSMILRLQREKAELQMELRQFKRFAEEKIEHDQQELLDLEDLIYKREQTIRALTYEAQAYKHRMMSFGLTEDEVEMEKNMLSRNPSMIDNDYQYDLPTSDYPPIKCNVNENPGPLEADIDVDDVEKYPLADSPHGRDNLKTLERRISQMERNPSFTQPTGDVSGGRYYTEKMVVGQSPRHQRHFRRVSTGSSSSLLGTTREQRPDFSNDSPRSNNGSFKKMEDPSYAAGNSFAKDKGDSSEIGDNDMNDRVYTIDSVHQGVSHSGAAEQKFKNDTADGYVMSPREISNQPDLGDPDISKLYMRLQALEADRESMRQAIMSMRTEKAQMVLLKEIAQHLSKDVVPERRLPLRKASIVGAFNFISVFKWITSFVFWRKKARRSKYMNGVQGNNMGLQMLLEKTPRIRQWRCLSSTQV >fgenesh2_kg.6__601__AT5G06570.1 pep chromosome:v.1.0:6:2476564:2480118:-1 gene:fgenesh2_kg.6__601__AT5G06570.1 transcript:fgenesh2_kg.6__601__AT5G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGEEPQVTEDCMGLLQLLSNGTVLRSESIDLITQQIPFKNHQTVLFKDSIYHKPNNLHLRLYKPISASNRTALPVVVFFHGGGFCFGSRSWPHFHNFSVTLASSLNALVVAPDYRLAPEHRLPAAFEDAEAALTWLRDQAVSGGVDHWFEGGTDVDFDRVFVVGDSSGGNMAHQLAVRFGSGSIELTPVRVRGYVLMGPFFGGEERTNSENGPSEALLNLDLLDKFWRLSLPKGAIRDHPMANPFGPMSPTLELISIEPMLVIVGGSELLRDRAKEYAYKLKKMGGKKVDYIEFENEEHGFYSNNPSSEAAEQVLRTIGDFMNNLF >fgenesh2_kg.6__602__AT5G06580.1 pep chromosome:v.1.0:6:2480377:2485653:-1 gene:fgenesh2_kg.6__602__AT5G06580.1 transcript:fgenesh2_kg.6__602__AT5G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD linked oxidase family protein [Source:UniProtKB/TrEMBL;Acc:D7LZS0] MAFSSRFARSKAVLSFFRPCRQLHSTPKSTADVTVISPVKGRRRLPTWWSSSLLPLAIAASATSFAYLNLSHPSISESSALDSRDITVGGKGSTEAVVKGEYKEVPKELISQLKTILEDNLTTDHDERYFHGKPQNSFHKAVNIPDVVVFPRSEEEVSKILKSCNEYKVPIVPYGGATSIEGHTLAPKGGVCIDMSLMKRVKALHVEDMDVVVEPGIGWLELNEYLEEYGLFFPLDPGPGASIGGMCATRCSGSLAVRYGTMRDNVISLKVVLPNGDVVKTASRARKSAAGYDLTRLMIGSEGTLGVITEITLRLQKIPQHSVVAVCNFPTVKDAADVAIATMMSGIQVSRVELLDEVQIRAINMANGKNLTEAPTLMFEFIGTEAYTREQTQIVQQIASKHNGSDFMFAEEPEAKKELWKIRKEALWACYAMAPGHEAMITDVCVPLSHLAELISRSKKELDASSLLCTVIAHAGDGNFHTCIMFDPSNEDQRREAERLNHFMVHSALSMDGTCTGEHGVGTGKMKYLEKELGIEALQTMKRIKKTLDPNDIMNPGKLIPPHVCF >fgenesh2_kg.6__603__AT5G06590.1 pep chromosome:v.1.0:6:2485916:2488039:1 gene:fgenesh2_kg.6__603__AT5G06590.1 transcript:fgenesh2_kg.6__603__AT5G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELKSLSKSLGGFCNHLQSSCDAFDHSLQRRPIPLDSASSTFIKGLNRRISTAASELNFLESMSFGTVSFEELLGHCSQIYKNNQDDLLHLQDRLTDFGYVPEIEIDEGRGDKESGFGAFGHEDSKHSNDDLNSYSLQCSIKKGLDEDNLLDNSLNLKNLGLSDACLAYLATEVNDNVKDPDTSLKESVKSKPFDTSALPAPKASELSNEDEYATLEMDRTSGPTLTLIKEEYDSLPSFMKSLASWEDLLSAVKKFNSVLDSKKEINGSYYFRADEIPTLGLGHKEKAYTLLLTRMKRLVVETMDGVISYRVA >fgenesh2_kg.6__604__AT5G06600.1 pep chromosome:v.1.0:6:2488336:2497232:-1 gene:fgenesh2_kg.6__604__AT5G06600.1 transcript:fgenesh2_kg.6__604__AT5G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 12 [Source:UniProtKB/TrEMBL;Acc:D7LZS2] MTMMTPPPVDQPEDEEMLVPNSDLVDGPAQPMEVIQPETAASTVENQPAEDPPTLKFTWTIPNFSRQNTRKHYSDVFVVGGYKWRILIFPKGNNVDHLSMYLDVSDAASLPYGWSRYAQFSLAVVNQIHSRYTIRKETQHQFNARESDWGFTSFMPLSELYDPSRGYLVNDTVLVEAEVAVRKVLDYWSYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDLPTASIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDFKSTRKESFYDLQLDVKGCKDVYASFDKYVEVERLEGDNKYHAEGHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLELDLDRENGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDLKRALEEQYGGEEELPQTNPGFNNPPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRVRLKKEQEEKEDKRRYKAQAHLYTIIKVARDEDLKEQIGKDIYFDLVDHDKVRSFRIQKQTPFQQFKEEVAKEFGVPVQLQRFWIWAKRQNHTYRPNRPLTPQEELQQVGQIREASNKANTAELKLFLEVEHLDLRPIPPPEKSKEDILLFFKLYDPEKAVLSYAGRLMVKSSSKPMDITGKLNEMVGFAPDEEIELFEEIKFEPCVMCEHLDKKTSFRLCQIEDGDIICFQKPLVNKEIECRYPAVPSFLEYVQNRQLVRFRALEKPKEDEFVLELSKLHTYDDVVEKVAEKLGLDDPSKLRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKEEVVIHNIRLPKQSTVGDVINELKTKVELSHPDAELRLLEVFYHKIYKIFPSTERIENINDQYWTLRAEEIPEEEKNIGPNDRLILVYHFAKETGQNQQVQNFGEPFFLVIHEGETLEEIKNRIQKKLHVSDEDFAKWKFAFMSMGRPEYLQDTDVVYNRFQRRDVYGAFEQYLGLEHADTTPKRAYAANQNRHAYEKPVKIYN >fgenesh2_kg.6__608__AT5G06620.1 pep chromosome:v.1.0:6:2503032:2505741:1 gene:fgenesh2_kg.6__608__AT5G06620.1 transcript:fgenesh2_kg.6__608__AT5G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFALNRYSRYLSRLKPLKTPPFFSSSSASNRDGDYQTGPPPIRVGLTESAGRAVFATRRIGAGDLIHTAKPVVACPSLLKLDSVCYLCLKKLMGSAKFEDRGVSYCSQECQENSKGFLDVERRADWSSFVDYCRTHNFKYPLMVKRLCCMIISGVLPADCLDILQPAALSSEMISKIEDGYGLLWNAFRKANLKDDDVSFLTKQWYTAILARIRINAFRIDLVGGSCGEDLLSLAAASVEGEGAVGHAVYMLPSFYNHDCDPNAHIIWLQNADARLNTLRDVEEGEELRICYIDASMGYEARQTILSQGFGFLCNCLRCQSAD >fgenesh2_kg.6__609__AT5G06650.1 pep chromosome:v.1.0:6:2513329:2513901:1 gene:fgenesh2_kg.6__609__AT5G06650.1 transcript:fgenesh2_kg.6__609__AT5G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LZS6] MKTHDFMSVNSFSPKERPIRLFGFEFGASHEESESKDSYNENNESIKDDNKEKRFKCHYCFRNFPTSQALGGHQNAHKRERQQTKRFNLHSNAAAFFHRHQNHIATSRFYEDRFSLDAARINEARLGLCSRYNSSASFNRDRSSYNRYMPWFIGDRQTRPTYVGGGSSSHGLFCESKKNVPDHVSLDLRL >fgenesh2_kg.6__60__AT5G01500.1 pep chromosome:v.1.0:6:217907:220363:-1 gene:fgenesh2_kg.6__60__AT5G01500.1 transcript:fgenesh2_kg.6__60__AT5G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKSLLQFRSIPSLRTSDFALTEEPSWRLENNVSSNRRRGNKRSGGVFTNFASLSVAIRRDRRASTVNGGNGGGGGAFASVSVVIPKEEDEFAPTSAQLLKNPIALLSFVPKDAALFFAGAFAGAAAKSVTAPLDRIKLLMQTHGVRAGQQSAKKAIGFIEAITLIGKEEGLKGYWKGNLPQVIRIVPYSAVQLFAYETYKKLFRGKDGQLSVLGRLGAGACAGMTSTLITYPLDVLRLRLAVEPGYRTMSQVALNMLREEGLASFYNGLGPSLLSIAPYIAINFCVFDLVKKSLPEKYQQKTQSSLLTAVVAAAIATGTCYPLDTIRRQMQLKGTPYKSVLDAFSGIIAREGVIGLYRGFVPNALKSMPNSSIKLTTFDIVKKLIAASEKEFQRIADDNRKRASPNITDEQT >fgenesh2_kg.6__611__AT5G06670.1 pep chromosome:v.1.0:6:2517934:2524640:-1 gene:fgenesh2_kg.6__611__AT5G06670.1 transcript:fgenesh2_kg.6__611__AT5G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7LZS8] MGSKQVSKSRNAGLSKFKDAESPVSSTTSSSKLYPETSVDSHSPPTSSSARSKPHLQYTGKVPPKPLQSKENVTVTVRFRPLSPREIRKGEEIAWYADGETIVRNEHNQSIAYAYDRVFGPTTTTRHVYDVAAQHVVNGAMAGVNVSVNPTTGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPRREFLLRVSYFEIYNEVVNDLLNPAGQNLRIREDEQGTFIEGIKEEVVLSPAHALSLIAAGEEHRHIGSTSFNLLSSRSHTMFTLTIESSPLGDNNEGGAVHLSQLNLIDLAGSESSKAETSGLRRKEGSYINKSLLTLGTVISKLTDRKASHVPYRDSKLTRLLQSSLSGHGRVSLICTVTPASSSSEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQYEIRQLKEELEQLKEGIKPVSQLKDISEDDVVLLKQKLEEEEDAKAALLSRIQRLTKLILVSTKTPQTSRLSYRVDPWRRHSFGEEELIMHGQLAYLPYKRRDLTDDENLEFYVSREGTPEITDDAFREEKKTRKHGLLNWLKLKKKENSLGGSSISDKSNSTPSTPQGEGSNCRTGSRLSEGSALADQLLETRDNEEAHEDSFHEIETPETRIKMINQMETLREKQKILSEEMVQQSRSLKLLSEEAAKAPQNEEIKVEIKNLNGDIKAKNNQIATLGKQILDFVIASQDELVKSDIVQAVSEMRAQLNEKCFELEVKAADNRIIQEQLNQKTCLCEELQEEVANLKQQLSDALELVDISSVTSHMQKSSESPNKNKEKVIEAQAFEIEELKLKATELSDLNEQLELRNKKLADESSYAKELASAAAIELKALSEEIARLMNHNERLAADLAAVQKSSVTPRGKTGNLRNGRRESVTKRKEQDNSLMELKRELSISKEREVSFEAALVEKIQREAELQRTVEESKQREAYLENELANMWVLVAKLRSQGAANSGLSDSVSETQQIDHFRT >fgenesh2_kg.6__612__AT5G06680.1 pep chromosome:v.1.0:6:2526276:2529420:1 gene:fgenesh2_kg.6__612__AT5G06680.1 transcript:fgenesh2_kg.6__612__AT5G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:D7LZS9] MEDDDQQKAADLVQELVLRLVSQNPQTPNLDPNSPAFLKTLRYAFRILSSRLTPSVLPDATAIAESLKRRLATQGKSSDALAFADLYTKFASKTGPGSVNNKWALVYLLKIVSDDRKSAINGLDSSVLLPNLGLGDAGNVFSRRGEAKKKDWSNGVLLVSKDPENLRDIAFREYAVLVKEENEVTEEVLVRDVLYASQGIDGKYVKFNSEIDGYAVQDSVKVPRATRIMVRMLSELGWLFRKVKTFITESMDRFPAEDVGTVGQAFCAALQDELSDYYKLLAVLEAQAMNPIPLVSESASSSNYLSLRRLSVWFAEPMVKMRLMAVLVDKCKVLRGGAMAGAIHLHAQHGDPLVHDFMMNLLRCVCSPLFEMVRSWVLEGELEDTFGEFFIVGQPVKVDLLWREGYKLHPAMLPSFISPSLAQRILRTGKSINFLRVCCDDHGWADAASEAAAASGTTTRRGGLGYGETDALEHLVTEAAKRIDKHLLDVLYKRYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPKLSEPANNISSFELAGFLEAAIRASNAQYDDRDMLDRLRVKMMPHGSGDRGWDVFSLEYEARVPLDTVFTESVLSKYLRVFNFLWKLKRVEHALIGIWKTMKPNCITSNSFVKLQTSVKLQLLSALRRCQVLWNEMNHFVTNFQYYIMFEVLEVSWSNFSKEMEAAKDLDDLVAAHEKYLNAIVGKSLLGEQSQTIRESLFVLFELILRFRSHADRLYEGIYELQIRSKESGREKNKSLEPGSWISEGRKGLTQRAGEFLQSMSQDMDSIAKEYTSSLDGFLSLLPLQQSVDLKFLFFRLDFTEFYSRLHSKG >fgenesh2_kg.6__613__AT5G06690.1 pep chromosome:v.1.0:6:2529547:2530933:-1 gene:fgenesh2_kg.6__613__AT5G06690.1 transcript:fgenesh2_kg.6__613__AT5G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASNPQMLTRQAHGNKKEQFWRKESLNLEKKCGFCVSVYNNEKLGRSHMEKERRLKAFWSNISQPTTLEMEPINNVEELDAVLSHARQLSQPIIIEWMASWCRKCIYLKPKLEKLAAEYNNRAKFYYVDVNKVPQTLVKRGNISKMPTIQLWKEDEMKEEVIGGHKGWLVIEEVRELINKYV >fgenesh2_kg.6__615__AT5G06700.1 pep chromosome:v.1.0:6:2532498:2535024:1 gene:fgenesh2_kg.6__615__AT5G06700.1 transcript:fgenesh2_kg.6__615__AT5G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAVKYMPIHGGGTTTTTTAADIKSFFSALKPKKPSTFAYAFVITFVSFTLFFAFSPSPNSSSPWFSNIFTSSSTTTTSVSDNTSGSQFSSIFSYILPNVTSTKPTNRSSDATDPISVNATSPSLNSNSKNVTLQAPAPETHTPIAKNTTFEPPIVNGTNPVAKNNTLSHPLLSDKSSATGSNNQSRTTSDTETVKRNQTTVPAPSKAPVSVDLKTNSSSNSSTASSTPEKQTKNVDLVSSMKQEIEKWRDSLKNCEFFDGEWIKDDSYPLYKPGSCKLIDEQFNCISNGRPDKDFQKLKWKPKKCSLPRLNGAILLEMLRGRRLVFVGDSLNRNMWESLVCILKGSVKDETKVYEARGRHHFRGEAEYSFVFQDYNCTVEFFVSPFLVQEWEIVDKKGTKKETLRLDLVGKSSEQYKGADIIVFNTGHWWTHEKTSKGEDYYQEGSNVYHELAVLEAFRKALTTWGRWVEKNVNPAKSLVFFRGYSASHFSGGQWNSGGACDSETEPIKNDTYLTPYPSKMKVLEKVLRGMKTPVTYLNITRLTDYRKDGHPSVYRKHSLSEKEKKTPLLYQDCSHWCLPGVPDSWNEILYAELLVKLNQLSQTQRKT >fgenesh2_kg.6__618__AT5G06720.1 pep chromosome:v.1.0:6:2546337:2547890:-1 gene:fgenesh2_kg.6__618__AT5G06720.1 transcript:fgenesh2_kg.6__618__AT5G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LZT4] MAVTNLPTCDGLFIIGLIVIVSSMFRTSSAQLNATFYSGTCPNASAIVRSTIQQALQSDARIGASLIRLHFHDCFVNGCDASILLDDSGSIQSEKNAGPNANSARGFNVVDNIKTALENACPGVVSCSDVLALASEASVSLAGGPSWTVLLGRRDGLTANLAGANSSIPSPVESLSNITSKFSAVGLNMNDLVALSGAHTFGRARCGVFNNRLFNFSGTGNPDPTLNSTLLSTLQQLCPQNGSVSTITNLDLSTPDAFDNNYFTNLQSNNGLLQSDQELFSTTGSSTIAIVTSFASNQTLFFQAFAQSMINMGNINCKKVNGS >fgenesh2_kg.6__61__AT5G01490.1 pep chromosome:v.1.0:6:220888:230435:-1 gene:fgenesh2_kg.6__61__AT5G01490.1 transcript:fgenesh2_kg.6__61__AT5G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIITESSPNLSLLENGGGGSDKPTAEMSRRVRRTVSTSSLIRQRSDLKLIARVRWEFLRRILTNLQEVVLGTKLFILFPAVPLAVVAHRYDCPRAWVFALSLLGLTPLAERISFLTEQIAFHTGPTVGGLMNATCGNATEMIIAILAVGQRKMRIVKLSLLGSILSNLLFVLGTSLFLGGISNLRKHQSFDSRQGDMNCMLLYLALLCQTLPMIMRFTMEAEEYDGSAVVMLSRASSFVMLIAYLAFLIFHLFSSHLSPPPPLTQEEEDVYNDDVSDKEEEGAVIGMWSAIFWLIIMTLLVALLSEYIVSTIQDAADSWGLSVGFIGIILLPIVGNAAEHAGAVIFAFRNKLDITLGIALGSATQIALFVVPVTVLVAWIMGIDMDLNFNLLETTCLALSIIVTSLILHDGTSNYMKGLVLLLCYVVIAACFFVSNSPSTDTAKANHTITKR >fgenesh2_kg.6__621__AT5G06750.1 pep chromosome:v.1.0:6:2561928:2564162:-1 gene:fgenesh2_kg.6__621__AT5G06750.1 transcript:fgenesh2_kg.6__621__AT5G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LZT6] RYGRMNRDDDDDDHDGDSSSGDSLLWSRELERHSFGDFSMAVVQANEVIEDHSQVETGNGAVFVGVYDGHGGPEASRYISDHLFSHLMRVSRERSSISEETLRAAFSATEEGFLTLVRRTCGLKPLIAAVGSCCLVGVIWKGTLLIANVGDSRAVLGSMGSNNNRSNKIVAEQLTSDHNAALEEVRQEVRSLHPDDPHIVVLKHGVWRIKGIIQVSRSIGDAYLKRPEFSLDPSFPRFHLAEQLQRPVLSPEPSVYTRVLQTSDKFVIFASDGLWEQMTNQQAVEIVNKHPRPGIARRLVRRAMTIAAKKREMNYDDLKKVERGVRRFFHDDITVVVIFIDNELLMVEKATVPELSIKGFSHTVGPSKFSIFFS >fgenesh2_kg.6__625__AT5G06780.1 pep chromosome:v.1.0:6:2572017:2575316:-1 gene:fgenesh2_kg.6__625__AT5G06780.1 transcript:fgenesh2_kg.6__625__AT5G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQIHILEQEAYSAVLRAFQAQADEFSWDKQDVMTNLRKELRISDDENRELLNNLHNGDLIKRIRDSRPRGGNQVVRHQSLDVLPSPTFSASRKKQKTFQSYPSIGSTRNKSFNNRVVTANEPAEALIGRKVWTKWPDDNSFYEAVVTQYNAYEGRHALVYDINTANETWEWVDLKEIPPEDIRWDGEENGVTLNVGHGGGTTRGNRRTLSHGGRGRGPRTQPRREHLAMENGGGRKFFDEIELFNTDSLVKEVERVFDSNLPDPHELDKAKKLLKEHEQALIAAIARLADASDYETDGEEPYSHELPMLLG >fgenesh2_kg.6__626__AT5G06790.1 pep chromosome:v.1.0:6:2575987:2576961:-1 gene:fgenesh2_kg.6__626__AT5G06790.1 transcript:fgenesh2_kg.6__626__AT5G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LZU0] MVMPPLKSTSSMSSSQCSSSSSPTSSSIKLKSLIQTLIISQVCRLIREISRATSIIVRVLRKKQYNFLSVSSLLYPKRVSKKQKNNILFGSFRLHYNFCSSHVVPVSAPVRLPEELYLAHLGYDSTWESMYSTDSMDNRDDDDGDIQEPSQLSSYLRQLEDKVKDGQEEDKEMMMNEIDKLADMFIANCHEKFMLEKVDSYRRSQETLN >fgenesh2_kg.6__627__AT5G06800.1 pep chromosome:v.1.0:6:2585436:2588080:1 gene:fgenesh2_kg.6__627__AT5G06800.1 transcript:fgenesh2_kg.6__627__AT5G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNINFEFSNASQESRLQSQPFNLQDLNMIQYNQPPSPWTTETFSGLSPYDCTANQSFPVQCSSSKPYPSSFHPYHHQSSDPPSLDQSVSMLPMQPLPDQYLKPFYQRSCANDFAATNASSASYSLSFEANHDPQELCRRTYSSSNVTHLNFSSLQPKQNHPRFSSPPSFSTHGGSVAPNCVNKTRIRWTQDLHEKFVECVNRIGGADKATPKAILKLMDSDGLTIFHVKSHLQKYRIAKYMPESQEGKFEKRACAKELSQLDTRTGVQIKEALQLQLDVQRHLHEQLEIQRNLQLRIEEQGKQLKMMMEQQQKTKKSLLKPPDAEASLCLLASDDSPPSPFLVQDTEALMLTSYEDTQLQSKIS >fgenesh2_kg.6__62__AT5G01470.1 pep chromosome:v.1.0:6:233570:235157:-1 gene:fgenesh2_kg.6__62__AT5G01470.1 transcript:fgenesh2_kg.6__62__AT5G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIALFSPSSLFAADGDSSDGETTETRQSFVERNHQFPGIELQIREFGFHQLNANLLWPGTFAFADWLVQHRHLIQRRRCLEIGSGTGALAIFLKKEFDLDITTSDYNDQEIEDNIVHNCIANKIIPSLPHIKHTWGDEFPISEPDWDLIIASDILLYVKQYPNLIKSLTFLLKTYKPTNVVSPAECKLNGADTELPHPVFLMSWRRRIGKDDESLFFTGCEEAGLEVKHLGNRVYCIKLRDSNL >fgenesh2_kg.6__630__AT5G06830.1 pep chromosome:v.1.0:6:2596657:2599537:-1 gene:fgenesh2_kg.6__630__AT5G06830.1 transcript:fgenesh2_kg.6__630__AT5G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQDDVQNLPIDITFSRLGEWLVDRKRVPADWRKRVAAIRVKILKEFSSLPKEIDPFFQTLDPEVIGYLEVKKIYEILLKTTPESRNIFGRLSGASGVWEAIVRAFEKDHIYLGEAAQIIIQNVNYEIPYLKKQVQKVQQQMAELDRKEADIKRSVALSATKYEDACRELGLQGNNVRRELLETASSLPNIFSKILEVINSDSVTGAMEYYSAYVKAVHTEKDKPLRIVLQNLKDIRENPPSLSVLGGSDALDADNTQSSENANGTDATADSIDWDITLDTAEIDWDVSMVEEVDSVNDLGSYEIVNASDIPENSPFKVEESQGHEVDVSEISWDVSVETPQVEEIGDSALLESNQTQLTNTTTQVLGSGGERSQLLETEYRNKILDDLYEVKAFLNQRLIELRNEDTLSLQHHVQAVAPMVLQQYSPETIEPMVVDISMAISLLTNKKSRDLIMILNSKRFLDRLVSELEEKKHREVKLRESLKDVSRRRMELQNSLSSIWPKQEAALSKTRELKELCETSLSSMFDGRPVNIRGEINTLLNAGVSA >fgenesh2_kg.6__632__AT5G06839.2 pep chromosome:v.1.0:6:2600487:2606929:1 gene:fgenesh2_kg.6__632__AT5G06839.2 transcript:fgenesh2_kg.6__632__AT5G06839.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LZU5] MQGHHQNHHQQHLSSSSATSSHGNFMNKDGYDIGEIDPSLFLYLDGQGHHDPPSTAPPLPHHHHTTQNLAMRPPSTLNIFPSQPMHIEPPPSSTHNKEGNRKGLASSDHDIPKSSDPKTLRRLAQNREAARKSRLRKKAYVQQLESCRIKLTQLEQEIQRARSQGVFFGGSLIGGDQQQGGLPIGPGNISSAEAAVFDMEYARWLEEQQRLLNELRVATQEHLAENELRMFVDTCLAHYDHLINLKAMVAKTDVFHLISGAWKTPAERCFLWMGGFRPSEIIKVIVNQIEPLTEQQIVGICGLQQSTQEAEEALSQGLEALNQSLSDSIVSDSLPPASAPLPPHLSNFMSHMSLALNKLSALEGFVLQADNLRHQTIHRLNQLLTTRQEARCLLAVAEYFHRLQALSSLWLARPRQDG >fgenesh2_kg.6__635__AT5G06860.1 pep chromosome:v.1.0:6:2613739:2614957:1 gene:fgenesh2_kg.6__635__AT5G06860.1 transcript:fgenesh2_kg.6__635__AT5G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase inhibiting protein 1 [Source:UniProtKB/TrEMBL;Acc:D7LZU7] MDKKMTVLSSLLFLFTFLTISLSKDLCNQNDKNTLLKIKKSLNNPYHLASWHPDTDCCSWYCLECGDATVNHRVTALTIFSGQISGQIPPEVGDLPYLETLVFRKLSNLTGQIQPTIAKLKNLKYLRLSWTNLTGPIPDFLSQLKNLEFLELSFNDLSGSIPSSLSTLPNIMALELSRNKLTGSIPESFGSFPGTVPDLRLSHNQLSGPIPKSLGNLDFNRIDFSRNKLEGDASMLFGANKTTWSIDLSRNMFNFDISKVEIPKTLGILDLNHNGITGNIPVQWTETPLQFFNVSYNKLCGHIPTGGKLQTFDSYSYFHNKCLCGAPLEICK >fgenesh2_kg.6__636__AT5G06870.1 pep chromosome:v.1.0:6:2616362:2617512:1 gene:fgenesh2_kg.6__636__AT5G06870.1 transcript:fgenesh2_kg.6__636__AT5G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTMTLFLLLSTLLLTTCLAKDLCHRDDKKTLLKIKKSLNNPYHLASWDPKTDCCSWYCLECGDATVNHRVTSLIIQDGEISGQIPPEVGDLPYLTSLIFRKLTNLTGHIQPTIAKLKNLTFLRLSWTNLTGPVPEFLSQLKNLDYIDLSFNDLYGSIPSSLSSLPKLEYLELSRNKLTGPIPESFGTFSGKVPSLFLSHNQLSGTIPKSLGNPDFYRIDLSRNKLQGDASILFGAKKTTWIVDISRNKFQFDLSKVKLAKTLNNLDMNHNEITGNIPAEWSKAYFQLLNVSYNRLCGRIPKGEFIQRFDSYSFFHNKCLCGAPLPSCK >fgenesh2_kg.6__638__AT5G06890.1 pep chromosome:v.1.0:6:2627080:2627277:1 gene:fgenesh2_kg.6__638__AT5G06890.1 transcript:fgenesh2_kg.6__638__AT5G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7LZV3] VSIPSLDDEQVIEITVQSLSENVASLKEKVANKLKFSGKAGLLKDDDKSLAHYNVRTGDTLTLSL >fgenesh2_kg.6__642__AT5G06930.1 pep chromosome:v.1.0:6:2635951:2639049:1 gene:fgenesh2_kg.6__642__AT5G06930.1 transcript:fgenesh2_kg.6__642__AT5G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFERPRTSTHSQPRTSTNSAKKDQKPRSSSKGNGLKPLNAAQLVSRVLDATASEPSSIAVTDSTTGSESSEVYDNVNVHYMDDANDKSRNDGNLVDCQEEGNGDESDTETNNDSVFSSQGDLFSHEDKKSERPSMVSKSKSSQDTPFTSKGRTNIGDSVRSRSNTFHGSARKTVRSSKSQAKALSEFSSYRSSENHRALSPASPVDSTSFEEAKEDDEFEDALNSVNNTESDNETLVYKENKRSEVEKVLAQKIETMETRIEKLEEELREVAALEMSLYSVFPEHESSSHKLHKPARDLSRLYALARKNQSENKLISVTKNIVSGLSLLLKSSGSDVSRLTYWLSNTVMLREIISQEFGGTNLKGLNSLEEDWTNVRTLIVALRRVESCLFTQAVESIWSQVMMVHMRPQGVDSTMGEMIGNFSEPATCDRLQESFSVNLWKKAFEEALQLLCPVQATRRQCGCLHVLIRMVMEQCIVRLDVAMFNAILREWAHQIPTDSASDPIADSRVLPIPAGVLSFESGVKLKNTIGYWSRLLTDIFELDVDHSSEKEQQMQRGDETFKPFHLLNELSDLLMLPKEMLVDSSTRDEVCPSIGLSLIKRMLCNFTPDEFCPYPVPGTVLEELNAQSILESRSSSGDATRCFPRQVNPVSYYLPSCSHLTDIVAEFSVTLKLSMTQKKGYSRNEEVETSRSPPYYNILKGAEEKDTINFSQTNERYRLLGA >fgenesh2_kg.6__643__AT5G06940.1 pep chromosome:v.1.0:6:2639090:2641799:-1 gene:fgenesh2_kg.6__643__AT5G06940.1 transcript:fgenesh2_kg.6__643__AT5G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LZV7] MATRFKHQFSISLAFTFFFFTKTFSFTETEELGNLLRFKASIDDPKGSLSGWLNTSSSHHCNWTGITCTRAPSLYVSSINLQSLNLSGEISDSICDLPYLTHLDLSLNFFNQPIPLHLSRCLTLETLNLSSNLIWGTIPDQISEFSSLKVLDFSSNHVEGKIPEDLGLLFNLQVLNLGSNLLTGIVPSAIGKLSELVVLDLSANSYLVSEIPSFIGKLDKLEQLLLHRSGFHGEIPSSFVGLTSLKTLDLCLNNLSGEIPRSVGPSLKNLVSLDVSHNKLSGSFPSGICSGKRLINLSLHSNFFEGSLPNSIGECLSLEMFQVQNNGFSGEFPVVLWKLPKIKIIRADNNRFTGQVPDSVSLASALEQVEIDNNSFSGEIPHGLGLVKSLYKFSASENGFGGELPPNFCDSPVLSIVNISHNRLLGKIPELKNCKKLVSLSLAGNAFTGEVPPSLADLHVLTYLDLSDNSLTGLIPPDLQNLKLALFNVSFNRLSGEVPHSLVSGLPASFLQGNPELCGPGLPNFCSSDRSSFHKKGGKALVLALICLALAIATLLAVLYRYSRKKVQFKSTWRSEFYYPLKLTEHELMKVVNECPSGSEVYVLSLSSGELIAVKKLVNSKNISSKALKAQVRTIAKIRHKNITRILGFCFTDELIFLIYEFTQNGSLHDILSRPGDRLPWSIRLKIALGVAQALAYISKDYVPHLLHRNLKSANILLDKDFEPKLSDFALDHIVGETAFQSLVHANSNSCYTAPENNYSKKATEDMDVYKEVEEGASRESLDIVKQVRRKINLTDGAGQVLDQKILSDSCQSEMLKTLDVALDCTAVAAEKRPSLVQVIKALEGISSSVSASAEKLPVSA >fgenesh2_kg.6__644__AT5G06950.1 pep chromosome:v.1.0:6:2642038:2645537:1 gene:fgenesh2_kg.6__644__AT5G06950.1 transcript:fgenesh2_kg.6__644__AT5G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor TGA2 [Source:UniProtKB/TrEMBL;Acc:D7LZV8] MADTSPRTDVSTDEDTDHPDLGSEGALVNNAASDSSDRSKGKMDQKSLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGVFISSTGDQAHATGGNGALAFDAEHSRWLEEKNKQMNELRSALNAHAGDSELRIIVDGVMAHYEELFRIKSNAAKNDVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPMTERQLMGINNLQQTSQQAEDALSQGMESLQQSLADTLSSGTLGSSSSGNVASYMGQMAMAMGKLGTLEGFIRQADNLRLQTLQQMIRVLTTRQSARALLAIHDYFSRLRALSSLWLARPRE >fgenesh2_kg.6__648__AT5G06960.1 pep chromosome:v.1.0:6:2645869:2648601:1 gene:fgenesh2_kg.6__648__AT5G06960.1 transcript:fgenesh2_kg.6__648__AT5G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ocs-element binding factor 5 [Source:UniProtKB/TrEMBL;Acc:D7LZV9] MGDTSPRTSVSTDGETDHNNLMFDEGHLGIGASDSSDRSKSKMDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGVFISSSGDQAHSTTGDGAMAFDAEYRRWQEDKNRQMKELSSALDSHATDSELRIIVDGVIAHYEELYRIKGNAAKNDVFHLLSGMWKTPAERCFLWLGGFRSSELLKLIANQLEPLTEQQSLDINNLQQSSQQAEDALSQGMDNLQQSLADTLSSGTLGSSSSGNVASYMGQMAMAMGKLGTLEGFIRQADNLRLQTYQQMVRILTTRQSARALLAVHNYSLRLRALSSLWLARPRE >fgenesh2_kg.6__650__AT5G06970.1 pep chromosome:v.1.0:6:2653860:2662099:-1 gene:fgenesh2_kg.6__650__AT5G06970.1 transcript:fgenesh2_kg.6__650__AT5G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENAVEILQRYRRDRRKLLDFMLAGSLIKKVIMPPGAVTLDDVDLDQVSVDYVINCAKKGGMLELAEAIRDYHDHIGLPYMNSVGTADEFFLATNPESSGSPPKRAPPPIPVLISSSSPMVTNPEWCESPTVPSLMRSESIDSPKAQELTVDDIEDFEDDDDLDEVGNFRISRRTANDAADFVPKLPSFATGITDDDLRETAFEILLACAGASGGLIVPSKEKKKEKSRSRLIKKLGRKSESVSQSQSSSGLVALLEMMRGQMEISEAMDIRTRQGLLNALAGKVGKRMDSLLVPLELLCCVSRTEFSDKKAYLRWQKRQLNMLAEGLINNPVVGFGESGRKATDLKSLLLRIEESESLPSSAGEVQRAECLKSLREVAISLAERPARGDLTGEVCHWADGYHLNVRLYEKLLLCVFDILNDGKLTEEVEEILELLKSTWRVLGITETIHYTCYAWVLFRQYVITSERGLLRHAIQQLKKIPLKEQRGPQERIHLKTLQCRVENEEISFLESFLSPIRSWADKQLGDYHLHFAEGSLVMEDTVTVAMITWRLLLEESDRAMHSNSSDREQIESYILSSIKNTFTRMSLAIDRSDRNNEHPLALLAEETKKLMKKDSTIFMPILSQRHPQAIAFSGSLVHKLYGNKLKPFLDGAEHLTEDAVSVFPAADSLEQYLLELMTSVCGEDTSGPYFRKLIPYEVESLSGTLVLRWINSQLGRILSWVERAFKQEHWDPISPQQRHGSSIVEVFRIVEETVDQFFALKVPMRSIELSALFRGIDNAFQVYTNHVMEKLASKDDLVPPVPVLTRYKKETAIKVFVKKELFESKHPDERRSININVPATAMLCVQLNTLHYAVSQLSKLEDSMWERWIAKKPREKIVIRKSMVEKSKSFNQKESFEGSRKDINAALDRICEFTGTKIIFCDLREPFIENLYKPSVSQSRLEGLIEALDTELGQLCSVIMEPLRDRIVTSLLQASLDGLLRVLLDGGPSRVFHPSESKLLEEDVEVLKEFFISGGDGLPRGVVENQVARVRLVVKLHGYETRELIDDLRSRSSLEMQQGGKGKLGADTQTLVRVLCHRNDSEASQFLKKQYKIPKSHG >fgenesh2_kg.6__652__AT5G06980.1 pep chromosome:v.1.0:6:2664489:2666336:1 gene:fgenesh2_kg.6__652__AT5G06980.1 transcript:fgenesh2_kg.6__652__AT5G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYSRRNLEDLVVPNYQETSDSYPSPDMWGTGWSMNSSEAAEKCFDYDVIHNGFSGGLYSQMQMEMGTSEQVEEETKRLKASGCFDRSLHDFDEIQQMDDMFLSSILEDVPGDENFLSFKESDTNNSSGSSSAYLDTTDGREVPMFHYNWETCQDMQLMEEDAPMNLCEENIEEASAEEVVLQDLQRATEMLTDDTRKCFRDTFYRLAKNSQQKSDSNPDEFLEDRTSRESELETNSIDRAVANLTFNKMESNMRNMPPPKRLSSVQA >fgenesh2_kg.6__653__AT5G06990.1 pep chromosome:v.1.0:6:2666626:2667541:1 gene:fgenesh2_kg.6__653__AT5G06990.1 transcript:fgenesh2_kg.6__653__AT5G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLTPRMLTPTTMSPLGSPKSKKSTATVRPEITLEQPSGRNKTAGSKSTKLFRRVRSVFRSLPIMSPMCKFPVGGGRLHENHVHGGTRVTGTLFGYRKTRVNLAVQENPRSLPILLLELAIPTGKLLQDLGVGLVRIALECEKKPSEKTKIIDEPIWALYCNGKKSGYGVKRQPTEEDLVVMQMLHAVSMGAGVLPVSSGATEQSGGGGGGQQEGDLTYMRAHFERVIGSRDSETYYMMNPDGNSGPELSIFFVRV >fgenesh2_kg.6__655__AT5G07010.1 pep chromosome:v.1.0:6:2669732:2671045:-1 gene:fgenesh2_kg.6__655__AT5G07010.1 transcript:fgenesh2_kg.6__655__AT5G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:D7LZW5] MATSSMKSIPMAIPSFSMCHKLELLKEGKSKGQEDHEGLSYEFQEMLDSLPKERGWRTRYLYLFQGFWCQAKEIQAIMCFQKHFQSLPNDVVLATIPKSGTTWLKALTFTILNRHRFDPVSLSSDHPLLTSNPHDLVPFFEYKLYANGDVPKLSSLASPRTFATHIPFGSLMDSIEKPGVKVVYLCRNPFDTFISSWHYINNIKSESVSPVLLDEAFDLYCRGVIGFGPFWEHMLGYWRESLKRPEKVLFLKYEDLKEDTETNLKKLASFLGLPFTEEEERKGVVKAIADLCSFENLKKLEVNKSNKSIKNFENRFLFRKGEVSDWVNYLSPSHVERLSALVDDKLGGSGLTFRLS >fgenesh2_kg.6__656__AT5G07020.1 pep chromosome:v.1.0:6:2680123:2681947:-1 gene:fgenesh2_kg.6__656__AT5G07020.1 transcript:fgenesh2_kg.6__656__AT5G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVLLSPNGCVFASPKPLGRFLNSKSGGRKLLFSVVRASSDDADCNAEECAPEKEVGTVSMEWLAGEKTKVVGTFPPRKPRGWTGYVEKDTAGQTNVYSIEPAVYVAESAISSGTAGSSSDGAENTAAIVAGIALIALAAASSILLQVGKDAPTRPKAVDYSGPSLSYYINKFKPSETVQASTPSITEAPPVAEQETSVPETPPVAQQETSLPETMASEAQPEASSVPTTSSTS >fgenesh2_kg.6__657__AT5G07030.1 pep chromosome:v.1.0:6:2684299:2686828:-1 gene:fgenesh2_kg.6__657__AT5G07030.1 transcript:fgenesh2_kg.6__657__AT5G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVLFLQLFSIVPLALGLNHPNCDLTKNQDQGSTLRIFHIDSPCSPFKSPSPLSWEARVLQTLAQDQARLQYLSSLVAGRSVVPIASGRQMLQSTTYIVKVLIGTPAQPLLLAMDTSSDVAWIPCSGCVGCPSNTAFSPAKSTSFKNVSCSAPQCKQVPNPACGARACSFNLTYGSSSIAANLSQDTIRLAADPIKAFTFGCVNKVAGGGTIPPPQGLLGLGRGPLSLMSQAQSVYKSTFSYCLPSFRSLTFSGSLRLGPTSQPQRVKYTQLLRNPRRSSLYYVNLVAIRVGRKVVDLPPAAIAFNPSTGAGTIFDSGTVYTRLAKPVYEAVRNEFRKRVKPPTAVVTSLGGFDTCYSGQVKVPTITFMFKGVNMTMPADNLMLHSTAGSTSCLAMASAPENVNSVVNVIASMQQQNHRVLIDVPNGRLGLARERCS >fgenesh2_kg.6__659__AT5G07050.1 pep chromosome:v.1.0:6:2694426:2696426:-1 gene:fgenesh2_kg.6__659__AT5G07050.1 transcript:fgenesh2_kg.6__659__AT5G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7LZW9] MSMEELSSCESFLTSSKPYFAMISLQFGYAGMNIITKISLNTGMSHYVLVVYRHAIATAVIAPFAFFFERKAQPKITFSIFMQLFILGLLGPVIDQNFYYMGLKYTSPTFSCAMSNMLPAMTFILAVLFRMEMLDVKKLWCQAKIGGTVVTVGGAMVMTIYKGPIVELFWTKYMHLQDSSHANHTTSSKNSSSDKEFLKGSILLIFATLAWASLFVLQAKILKTYAKHQLSLTTLICFIGTLQAVAVTFVMEHNPSVWRIGWDMNLLAAAYSGIVASSISYYVQGIVMKKRGPVFATAFSPLMMVIVAVTGSFVLAEKIFLGGVIGAVLIVIGLYAVLWGKQKENQVTICDDQSKIESNSKVTKDVEANGTEMKISEGDNSMLSTIVISVPLSETPLKKTIQEP >fgenesh2_kg.6__660__AT5G07060.1 pep chromosome:v.1.0:6:2697467:2699061:-1 gene:fgenesh2_kg.6__660__AT5G07060.1 transcript:fgenesh2_kg.6__660__AT5G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRDHGADFPITCESCFGDNPYVRMTRADYDKECKICRRPFTAFRWRPGRNARFKKTEICQTCCRLKNVCQVCLLDLEFGLPVQVRDTALQINSTHYSIPESHVNREYFAEDHDRKTGAGLDYESSFGKMLPNVLFQIFKEQHHTMIGTGHEFVVSTPLMPETGELSQQNIKDRYYGVNDPVAKKLHGKAGEMGTLEPPEDESIKTLYVRGLNSSILEQDIHDKLYAYGAIRVFAEKGYASPNLIKVVQTNSNRAVWLIVQQYYTHPPPPNQYRPYDPSMDPQRMGAVISTQEGGGSSTSENNGASSSYPMPPHQPNLPPPYGYMT >fgenesh2_kg.6__662__AT5G07080.1 pep chromosome:v.1.0:6:2707776:2709338:1 gene:fgenesh2_kg.6__662__AT5G07080.1 transcript:fgenesh2_kg.6__662__AT5G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LZX3] MGSQGITSPSPLVVKKSQVVIVKPSKATPDVSLSLSTFDNDPYLETLAKTIYVYAPPPNDHVHDPASLFQQALSDALVYYYPLAGKLHRGSHDHRLELRCSPAQGVPFVRATADCTLSSLNYLKDMDADLYQLVPCDVAVASGGYNPLALQITLFACGGLTLATALSHSLCDGFGASQFFKALTELAAGNIQPSIIPVWERHRLTSNNFSLNGQVEEGQAPKLVDFGEACSSVATSPYTPTNDMVCEILNVTSQEITQLKEKVAGEVTTLEILAAHVWRSRCRALKLSPNGTSLFGMAVGIRRIVEPPLPEGYYGNAFVKANVAMKAGELSNSPLSHVVKLIKEAKKAALEKRYVLEQLRETEKTLKMKVACEGGNGAFMLLTDWRQLGLLDEIDFGYGGSVNIIPLVPKYLPDICIFLPRKHGGVRVLVTLPKPVMDNFKEHMNPLSL >fgenesh2_kg.6__663__AT5G07090.1 pep chromosome:v.1.0:6:2712039:2713736:1 gene:fgenesh2_kg.6__663__AT5G07090.1 transcript:fgenesh2_kg.6__663__AT5G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:D7LZX5] MARGLKKHLKRINAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLVLIIRNRLKYALTYREVISILMQRHIQVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIKDEEAKFKLCKVRSIQFGQKGIPFLNTYDGRTIRYPDPLIKPNDTVKLDLEENKIVEFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHIQDSTGHEFATRLGNVFTIGKGTKPWMSLPKGKGIKLTIIEEARKRLASQQAA >fgenesh2_kg.6__668__AT5G07120.1 pep chromosome:v.1.0:6:2717266:2719730:-1 gene:fgenesh2_kg.6__668__AT5G07120.1 transcript:fgenesh2_kg.6__668__AT5G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LZX8] MMGSENDDESHLHASKEEMENLFLREDGDPLTRSHVNGDKSNSNYRSAVSTLSDSRHPSIVVTPADSDPLFAPPSYYRESRSPRSKPNGGDRGSSYLEPPSYADVIFSPFDDISEINGSEDGGSRHSQSSDSLSRSPSSLSSDYIKITVSNPQKEQEATNSMIPGGSTYITYQITTRTNLPDYGGSEFSVRRRFRDIVTLADRLAESYRGFCIPPRPDKSVVESQVMQKHEFVEQRRVALEKYLRRLVAHPVIRNSDELKVFLQAQGKLPLATSTDVASRMLDGAVKLPKQLFGEGGGASSVEVFQPARGGRDFLRLFKELRQSVSNDWGGSKPPVVEEDKEFLEKKEKMYDLEQQIINASQQAESLVKAQQDMGETMGEMGLAFIKLTKFENEEVFLNSQRARANDMKNFATSAVKASRFYRELNSQTVKHLDTLHDYLGLMMAVQGAFADRSSALLTVQTLLSELSSLGARAEKLEAASSKVFGGDKSRIKKIEELKETIKVTEDSKNVAIREYEQIKENNWCEVERLDRERRADFLYMMKGFVVNQVGYAEKIANVWTKVAEETSQYGRESS >fgenesh2_kg.6__66__AT5G01450.1 pep chromosome:v.1.0:6:239669:242959:1 gene:fgenesh2_kg.6__66__AT5G01450.1 transcript:fgenesh2_kg.6__66__AT5G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7M718] MSLPDSLASSSSSPPVAREETGYNRFEHHGHDSGFDHRDRPPWNRSDYDYRHGSIVASENVRNNSTSEDPWSCVVVVATFCIFVSMTLILGLYGTTNVWLGPNSSFLIKPTSVFVQNVIVEELGNKGSGLILYGLNQAPQLDVLTKWSEVHYLAVPNDSYKYWIQYLNKGSRVKVSYNVESVGSSLYLVVAQGVDGLSEWVQDPTRPDTTLSWHIISGNGYIELDITKSSSYYVAVGNVYLNEVKATIDIQVEGVLYDTTNAYYNCSFPNDKCTLSVPLFGTNAAVLTSPGPKLNNSKNEFCAKLSYEPRWIAYIVCMGVVTALLLIVSSLFNKRQPVTEEETVDENDDVAPLIPGKDDDNSSWCSSYSSILTSTEELEGGHGEGHSSTRYLCAICYDAPRDCFFLSCGHCVACFQCGTRIAETSGFCPVCRKKIRKVKKIFNV >fgenesh2_kg.6__670__AT5G07140.1 pep chromosome:v.1.0:6:2723122:2725811:1 gene:fgenesh2_kg.6__670__AT5G07140.1 transcript:fgenesh2_kg.6__670__AT5G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LZY0] MASALECWTTRNADGGCADDDFVDQVLMCSDDRSESLTAAPPSDQTSSAMQKRFQRLGRNVSDAIASLKNSLNLDSGRDNQNAATGGGRKLVWATVVRNLAKMYPGSQLPDNLVSNLRKHYDSLPLSYSQTGFDMKDVFVHIKLIEQASGDDNPVFVIQEVCDEEADEQGSVFKLTFASTSSLPWSTISGSLDCASICCKKVQIFEKKGLTLGVALLLVESGQEKLFKIKVENALRSAVRKPKSTSVKLPFGLCGCQEQNAGVGEFGDVDVESIDQCYRHELDDLNTRIQLQMPPPSSSFSVSVDEWQTIQSGGDDIGKWLLNSDDLEFGGQLGPNSFKGVYRGIKVAIEKLKGCEKGNSYEFEIRKDFLELMTCGHKSILQFYGVCIDENHGLCVVTKLMQGGSLRELVLKKKKLQTKVIFQIAVDIAEAMKFINDHGVAYRDLNTQRILLDKQCNACLGDLGVVTACKSVSEAMEYETDGYRWLAPEIIAGDPEKTRESWMSNAYSFGMVLWEMVTGEEAYGSCSPVQAAVGIAACGLRPEIPKECPQVLRYLMTKCWNTCPSTRLNFSQIHCILLRAISR >fgenesh2_kg.6__671__AT5G07150.1 pep chromosome:v.1.0:6:2726116:2728263:1 gene:fgenesh2_kg.6__671__AT5G07150.1 transcript:fgenesh2_kg.6__671__AT5G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LZY1] MSSDQRWRLLRPAFSILFFLFFLPHNLTFGLCFSTDALALMKFKERIERDPFGALMNWGELSHCSWSGVVCSNDGRVVILNLRDLSLQGTLAPELGNLTHLKSLILRNNSFSGKVPEEVTELQELEILDLCDNNFGQPFPFSSNGRRLLQVTSPPQPPPVPPPPPQLSDVPDDANKKTSQKTKTYIIVGVLVGVFAVMAVLVAFFFLWNQKVKMIKPWGATGSSGELQDVVTTGVPKLKLAELETACEDFSNIIGSTSSDATIYKGTLSTGSEIAVLAVASGSLQDWSVDHETQFQEKRLSQVNHKNFLNVIGYCHEDEPFNRMLVFEYAPNGSLFEHLHDQDAEHLDWPMRLRIVMGIAYCIEHMHNLNPKPISHTNLNSSSVYLATDYAAKISDFTFLSSTPLDPMTNVSSFGALLQEIITGKIPDPDSLLHDETKPVADPSLKSFQEDVMERLWEVVKECLNQKLEMKEVVVKLREITGITPEAALPSRSPAWWAELEIISTEM >fgenesh2_kg.6__672__AT5G07160.1 pep chromosome:v.1.0:6:2729998:2730743:-1 gene:fgenesh2_kg.6__672__AT5G07160.1 transcript:fgenesh2_kg.6__672__AT5G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7LZY2] MSFPVVATSFGVSQSGSQAGEKKGGYVHYEVEPGFTIRMRHDIDPTTDPKKLKRIISNRVAAQKSRWKKVQYIDALVKRSMELQGQVSMLRSELAIASEHKRRLENEQRQLKECISARVQHCIDSDGVIEECKAEIERLKKNLAPLSNLS >fgenesh2_kg.6__676__AT5G07190.1 pep chromosome:v.1.0:6:2750123:2751300:1 gene:fgenesh2_kg.6__676__AT5G07190.1 transcript:fgenesh2_kg.6__676__AT5G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo-specific protein 3 [Source:UniProtKB/TrEMBL;Acc:D7M0G5] MTFPSLSASFLFLAFVFVTHAFDLSIIQMQQGTCPYTVVVMTSCLSPESTRDQISIVFGDADGNKVYAPKLGGSVRGAGGLGKCSTNTFQVRGQCLNDPICSLYINRNGPDGWVPESIEIYSEGSKSVKFDFSKSVPQINTWYGHNNCNTTGRPSSPDLPPPQFPPEFPPETPAIPPPPPPRPSAAARLGDGESVFLAFVIATAIAAMVRWTY >fgenesh2_kg.6__677__AT5G07200.1 pep chromosome:v.1.0:6:2763208:2767028:-1 gene:fgenesh2_kg.6__677__AT5G07200.1 transcript:fgenesh2_kg.6__677__AT5G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YAP169 [Source:UniProtKB/TrEMBL;Acc:D7M0G6] MAMECIATVPQRFSENKTKEDSSIFDAKLLNQHSNHIPQQFVWPDHEKPSTDVQPLQVPLIDLSGFLSGDSFLVSEATRLVSEAATKHGFFLVTNHGVDESLLSRAYLHMDSFFKAPACEKQKAQRNWGESSGYASSFVGRFSSKLPWKETLSFKFSPEEKIHTETVKEYVSKKMGDGYEDFGKVYQEYAEAMNTLSLKIMELLGMSLGLERRYFREFFEENESIFRLNYYPQCKQPELALGTGPHCDPTSLTILHQDQVSGLQVFVDNKWQSIPPNPHSFVVNIGDTFMALTNGRYKSCLHRAVVNSERERKTFAFFLCPKGEKVVKPPEELVGGVMSGQREYPDFTWSMFLEFTQKHYRADMNTLEEFSIWLKNRRSF >fgenesh2_kg.6__67__AT5G01430.1 pep chromosome:v.1.0:6:247428:249567:1 gene:fgenesh2_kg.6__67__AT5G01430.1 transcript:fgenesh2_kg.6__67__AT5G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFEMNDRKKIGLGLTGFGVFFSFLGIVFVFDKGLLAMGNILFISGVSLTIGFKSTMQFFMKRQNYKGTISFGVGFFFVIIGWPILGMMLETYGFFVLFSGFWPTLAVFAQKIPILGWIIQQPYIRSFFDKYRGKRVPV >fgenesh2_kg.6__681__AT5G07225.1 pep chromosome:v.1.0:6:2790887:2792526:-1 gene:fgenesh2_kg.6__681__AT5G07225.1 transcript:fgenesh2_kg.6__681__AT5G07225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQNSGGYNYFFGEADEITRKIEEYQRERGRVDDSPVHVLDNSPMINYHHQNQPTMSIHYYNPNSSEHYTSQDISRSGYHHRQFQNQPNTRHRLCLKCLISWLMLMLRRLFVPTPIVPRPIPTPMPYNDSPHSYSGLSYERFEDQPNQDSLDEIIERIQERERVNIGVGEGLTDRQISQLPTIKFKPSLEDKKCMICQSDYARGDKMTILPCTHKYHKDCISHWLQNSKLCCVCQREVIVQH >fgenesh2_kg.6__682__AT5G07230.1 pep chromosome:v.1.0:6:2793308:2793966:1 gene:fgenesh2_kg.6__682__AT5G07230.1 transcript:fgenesh2_kg.6__682__AT5G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7M0H0] MVSLNSLAAILVVMFLATGPMVRAQHCRDELSNVQVCAPLLLPGAVNPAPNSNCCAALQSTNKDCLCNALRAATTLTSLCNLPSFDCGISA >fgenesh2_kg.6__685__AT5G07250.1 pep chromosome:v.1.0:6:2800994:2802747:-1 gene:fgenesh2_kg.6__685__AT5G07250.1 transcript:fgenesh2_kg.6__685__AT5G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:D7M0H4] MAVGDDDLENRMSAKDRGIGSRGGDRNRIGPPPLPVALSSSTEFGDNALSSRWTSWLVPMFVVANVAIFVVAMFVNNCPKHFESHRLRGNCVARFLGRLSFEPLRTNPLFGPSSHTLEKLGALEWSKVVEKKEGWRLLTCIWLHAGVIHLGANMLSLIFIGIRLEQQFGFVRIGVIYLLSGIGGSVLSSLFIRNSISVGASGALFGLLGSMLSELFTNWTIYSNKIAALLTLLFVILINLAIGILPHVDNFAHVGGFVTGFLLGFILLARPQFKWLARVHMPQGTPLRYKYKPYQYLLWLLSLVLLIAGFVVALLMLFRGENGNDHCRWCRYLRCVPTSSWRCDDI >fgenesh2_kg.6__687__AT5G07280.1 pep chromosome:v.1.0:6:2812293:2815951:1 gene:fgenesh2_kg.6__687__AT5G07280.1 transcript:fgenesh2_kg.6__687__AT5G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extra sporogenous cells [Source:UniProtKB/TrEMBL;Acc:D7M0H5] MTFLPALFLWFLFFSVSDTAIVDLSSETSSLLSFKLSLENPSRLSSWNVSSSSSSHCDWVGVTCLFGRIPKEISTLKNLKELRLAGNQFSGKIPSEIWKLKQLQTLDLSGNSLTGLLPSQLSELHQLLYLDLSDNHFSGSLPPSFFLSFPALSSLDVSNNSLSGEIPPEIGKLSNLSDLYMGLNSFSGQIPPEVGNISLLKNFGAPSCFFKGPLPKEISKLKHLAKLDLSYNPLKCSIPKSFGELQNLSILNLVSAELIGLIPPELGKCKSLKTLMLSFNSLSGSLPLELSEIPLLTFSAERNQLSGSLPSWIGKWKVLDSLLLANNRFSGEIPREIEDCPMLKHLSLASNLLTGSIPRELCGSGSLEEIDLSGNLLSGTIEEVFNGCSSLVELVLTNNQINGSIPEDLSKLPLMAVDLDSNNFTGEIPKSLWKSTNLMEFSASYNRLEGYLPAEIGNAASLTRLVLSDNQLKGEIPREIGKLTSLSVLNLNSNKLQGKIPKELGDCTCLTTLDLGNNNLQGQIPDRITGLSQLQCLVLSYNNLSGSIPSKPSAYFHQIDMPDLSFLQHHGIFDLSYNRLSGSIPEELGNCVVLVEILLSNNHLSGEIPASLSRLTNLTILDLSGNALTGSIPKEMGHSLKLQGLNLANNQLNGYIPESFGLLDSLVKLNLTKNKLDGSVPASLGNLKELTHMDLSFNNLSGELSSELSTMVKLVGLYIEQNKFTGEIPSELGNLTQLEYLDVSENLLSGEIPTKICGLPNLEFLNLAKNNLRGEVPSDGVCQDPSKALLSGNKELCGRVIGSDCKIDGTKLTHAWGIAGLMLGFTIIVFVFVFSLRRWVITKRVKQRDDPERMEESRLKGFVDQNLYFLSGSRSREPLSINIAMFEQPLLKVRLGDIVEATDHFSKKNIIGDGGFGTVYKACLPGGKTVAVKKLSEAKTQGNREFMAEMETLGKVKHPNLVSLLGYCSFSDEKLLVYEYMVNGSLDHWLRNQTGMLEVLDWSKRLKIAVGAARGLAFLHHGFIPHIIHRDIKASNILLDGDFEPKVADFGLARLISACESHVSTVIAGTFGYIPPEYGQSARATTKGDVYSFGVILLELVTGKEPTGPDFKESEGGNLVGWVTQKINQGKAVDVLDPLLVSVALKNSLLRLLQIAMVCLAETPANRPNMLDVLKALKDI >fgenesh2_kg.6__689__AT5G07300.1 pep chromosome:v.1.0:6:2826604:2829884:1 gene:fgenesh2_kg.6__689__AT5G07300.1 transcript:fgenesh2_kg.6__689__AT5G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCWSDGSYGGGGMVGVGGGTTSSAATPNDAVDYYLKSRGYNGLFSQIELSFSASNLRDRDVISKSDAMVVVYTKGRDGTLAELFRSEVVLNSLNPKWIKNFTIGYQFEIVQTLLFRVYDIDTQFQNSKEELLKLDEQQFLGEATCTLSEIVTKSNRTIALELMRKEGVAAPTQPQNSGKLIVHAEESLASKTNTEIVFRGLNLESKDTFSKSDPFLVISKIVEHGTPIPVSKTEVLKNDPNPLWKPVSLSVQQVGSKDSPLVIECLDFNGNGNHDLIGKVQKSLSDLEKLHLAGQGINLVLPTGVGHKHEDRVLKSQLFVDKFTETVQHTFLEYLASGFELNFMVAIDFTASNGNPRLPDSLHYIDPTGRLNAYQRAIVEVGEVLQFYDSDKRFPAWGFGARPIDIPVSHCFNLNGSSTYCEVDGIQGIMNAYNGALFNVSFAGPTLFGPVINAAATIASDSLAQSAKKYYVLLIITDGVITDLQETRDAIVSASDLPLSILIVGVGGADYKEMEVLDGDKGEKLESSSGRIASRDIVQFVALRDIQYGEVSVVEALLAELPTQFLTYMRNRNITPTTTTTSSSS >fgenesh2_kg.6__68__AT5G01420.1 pep chromosome:v.1.0:6:252131:253523:1 gene:fgenesh2_kg.6__68__AT5G01420.1 transcript:fgenesh2_kg.6__68__AT5G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSRMKFAKKLKSIRAGEYLNQDRILQVLSAADGISEFFPKISNPIPQITAASIWRVPQKLDDQIANVTELVENEKGGGEEASSGNVVGDEENVRPPVNQIPRVPCDRKESKPAVSCGSDTGNGRKSFGSGFRRPDLNSTTLFDPKLLEAFELAALCFRKIDDFSREARVNDDDDIVFPEEEEIGKDENTLPPVIGLEEEDRRKDENVFVLHKDDGNVLQIANATAEEVIGEDDNGEDGSTLIDPLLEFEERCPPGGEESVVFYTTTLRGIRKTFDDCNMIRFLLDSFKVKYYERDVSMHREYREELRRISAAETDVLPPVLFIKGRCIGGAQRVLGLHEQGKFRVLFDGVPITGDERCRRCDGFRFLMCDGCRGSRRIISGDGSRIQCLICNENGLIVCVDCS >fgenesh2_kg.6__690__AT5G07310.1 pep chromosome:v.1.0:6:2838913:2839933:1 gene:fgenesh2_kg.6__690__AT5G07310.1 transcript:fgenesh2_kg.6__690__AT5G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M0H8] LANSRNYGKRPFRADESDEKKEGDDDENIFPFFSARSQYDTRAMVSALTQVIGNQSSSHDNTQHQPVVYNQQNPNQPAPPTQDQGLVRKRHYRGVRQRPWGKWAAEIRDPQKAARVWLGTFETAEAAALAYDEAALKFKGSKAKLNFPERAQLASNTNSITGPPNYYSSNNQIYYSNPQTNPQTIPYYNQYYYNQYLLQGGNSNDAVSYSLAGGETGGSMYNHQTLSTTNSSSTVGSSRQQDEQDYAKYMRFGDSSSPNSGF >fgenesh2_kg.6__691__AT5G07320.1 pep chromosome:v.1.0:6:2843739:2866545:1 gene:fgenesh2_kg.6__691__AT5G07320.1 transcript:fgenesh2_kg.6__691__AT5G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7M0H9] MESSKPKNRNPMKKPVSVTMEHVLLALRETRDEREIRIRSLFDFFDNSNLGFLDYAQIEKGLASLQIPPEYKYARDLFRVCDANRDGRVDYQEFRRYIDAKELELYRIFQAIDVEHNGCILPEELWEALVKAGIEIDDEELARFVEHVDKDNNGTITFEEWRDFLLLYPHEATIENIYHHWERVCLIDIGEQAVIPDGISKHVKRSRLLLAGGLAGAVSRTATAPLDRLKVVLQVQRAHAGVLPTIKKIWREDKLMGFFRGNGLNVMKVAPESAIKFCAYEMLKPMIGGEDGDIGTSGRLLAGGMAGALAQTAIYPMDLVKTRLQTCVSEGGKAPKLWKLTKDIWVREGPRAFYKGLFPSLLGIIPYAGIDLAAYETLKDLSRTYILQDTEPGPLIQLSCGMTSGALGASCVYPLQVVRTRMQADSSDTTMKQEFMKTMKGEGLRGFYRGLLPNLLKVVPAASITYIVYEAMKKNMALD >fgenesh2_kg.6__696__AT5G07370.2 pep chromosome:v.1.0:6:2884147:2885860:1 gene:fgenesh2_kg.6__696__AT5G07370.2 transcript:fgenesh2_kg.6__696__AT5G07370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate multikinase [Source:UniProtKB/TrEMBL;Acc:D7M0I2] MQLKAPEHQVAGHIAKDGKPGPLVDDKGRFFKPLQGDSRGEIEVKFYESFSSNTEVPEHIRRYFPVYHGTQAVEGSDGAAMIVLENLLADFSKPSVMDVKMGTRTWYPEASEEYIQKCLKKDTGTTTVSAGFRISGFEVFDHKESSFWKPERKLLRGLNVDGARLTLRKFVSSNSLSDIGSKPDSAFASSVYGSSHGILTQLLELKNWFENQTLYHFNSCSILMVYENESILKGNDDDDARPQVKLVDFAHVLDGNGVIDHNFLGGLCSFINFIRDILQSPNESAES >fgenesh2_kg.6__6__AT5G01970.1 pep chromosome:v.1.0:6:21263:23329:1 gene:fgenesh2_kg.6__6__AT5G01970.1 transcript:fgenesh2_kg.6__6__AT5G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQGIGKFATFKEEIDRLPPESITAVKDRSPPARSLTSPSSFRESPAFDQPRSKNFTTEPKGLWGVIAQKAKSVIEDDKSSDSSTASQSRFSYLSDEGFKKMDNPKLRRGLDKLTSSLNQIGDTFEKAFEDGRTLVGNKTADIIQETRKLQTRRRGTGGEDENQNQSYGVSSSWKKSPEQPMQLNHMEHETQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKERCAQLEEENKHLRENHREKGSNPADEDLIRLQLESLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVVYIDEGSEEVTQVYPLVSTLMTSSPTERPQSPSQEMIREILVVAEESSVCANVVSAS >fgenesh2_kg.6__707__AT5G07420.1 pep chromosome:v.1.0:6:2902722:2904782:1 gene:fgenesh2_kg.6__707__AT5G07420.1 transcript:fgenesh2_kg.6__707__AT5G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7M0I7] MGYISLSLVALLVFFASPVVLADDITPIPADRALISQWFKANVKPFSQRRGTLDPDLEAAEASRRVITVNQNGGGDFKTINAAIKSIPLANKNRVIIKLAPGIYHEKVTIDIGRPFVTLLGKPGAETNLTYDGTAAKYGTVESATLIVWATNFLAANLNIINTSPMPKPGTQGQALAMRINGDKAAFYNCRFYGFQDTLCDDRGNHFFKNCYIEGTYDFIFGRGASLYLTTQLHAVGDGLRVIAAHNRQSTNEQNGYSFVHCKVTGVGTGIYLGRAWMSHPKVVYSYTEMSSVVNPSGWQENRVRAHDKTVFYGEYMCTGPGSHKAKRVAHTQDIDNKEANQFLTLGYIKGSKWLLPPPAY >fgenesh2_kg.6__708__AT5G07430.1 pep chromosome:v.1.0:6:2905582:2907316:1 gene:fgenesh2_kg.6__708__AT5G07430.1 transcript:fgenesh2_kg.6__708__AT5G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7M0I8] MGYISMSVVAFLVVFASPLVLATDTDPIPETRAQIPQWFKANVKPYSQRKGTLDPALEAAEAARQIITVNQKGGANFKTINEAIKSIPTGNKNRVIIKLAPGVYNEKVTIDIARPFVTLLGQPGAETVLTYHGTAAKYGTVESATLIVWAEYFLAAHLTIKNTAPMPKPGSQGQALAMRINADKAAFYSCRFHGFQDTLCDDKGNHFFKDCYIEGTYDFIFGRGASLYLNTQLHAVGDGLRVITAQGRQSANEQNGYTFVHCKVTGTGTGIYLGRSWMSHPKVVYAFTEMTSVVNPSGWRENLNRGYDKTVFYGEYKCFGPGSHLEKRVPYTQDIDQNEVRPFLSLGYIKGSTWLLPPPKY >fgenesh2_kg.6__709__AT5G07440.1 pep chromosome:v.1.0:6:2909118:2911350:1 gene:fgenesh2_kg.6__709__AT5G07440.1 transcript:fgenesh2_kg.6__709__AT5G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7M0I9] MNALAATNRNFRHASRILGLDSKIERSLMIPFREIKVECTIPKDDGTLVSYIGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCSPRDLSLSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVFATEALLAEYGKSIQGLTFVIQGFGNVGTWAAKLIHEKGGKVVAVSDITGAIRNPEGIDINALIKHKDATGSLNDFNGGDAMNSDELLIHECDVLIPCALGGVLNKENAGDVKAKFIVEAANHPTDPDADEILSKKGVIILPDIYANAGGVTVSYFEWVQNIQGFMWEEEKVNLELQKYMTRAFHNIKTMCHTHSCNLRMGAFTLGVNRVARATQLRGWEA >fgenesh2_kg.6__70__AT5G01400.1 pep chromosome:v.1.0:6:259358:268140:1 gene:fgenesh2_kg.6__70__AT5G01400.1 transcript:fgenesh2_kg.6__70__AT5G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSRERLEGLASSAKSATELPPKLQRLRYLRRDLRKDESVFPTELLPHLFDLLSDQFGAVRKFVAEILGEVGLKYVELLPEIVPLLIKSLEDETPAVARQVIACGVDLFRSTLERVAVQGLHSSELNDLLESSWTWVIKFKDEICSLAFKQGNSGVKLCAMKFVEALILLYTPHEGIEADFNISILRGGHPVLKIGDLSIEASQKLGLLLDQLRHPAAKSLNSSTIIVLINSLSSVAKKRPAYCGRILPVLLSLDPLSFLKGVHAAAANLALKTVFLSCLKCTHPAAAPDRLISALKEIEGGGRAAKAKDLFYKTNGSIQDKDSVEDTKVSMEENPLCASSDVAESNLSRKRSGSEYNIDLNGDASDGKRARITPSVSEESIDGLNGNDGGSLPRVASTLTGPSDSRGVSDTGPTQQLVGLFGTLVSQGEKAIGSLEILISSISADLLTDVVMANMHNIPPNGSSYADGTDELVMNMCIVGSDAQIKYPPSFVAGVLSLSTAFPPIAALINPHNEDEEVYSVHVDQQMFPAEDARTPPGLLASSFPENEESNTVSLQNVHYIRKRESGIPGLESSAQHDVSGALVTNVLSSTNMEAASKNQNASFSGKLLVDVIPSMSVDKSEEFSPKAVGTGSTSLVLSTATSVASAPQFVLPKISAPVVDLSDEEKDSLQKLVFLRIVEAYKQISMSGGSQLRFSLLAHLGVEFPSELDPWKILQEHVLSDYLNHEGHELTVRVLYRLYGEAEAEQDFFSSTTAASAYESFLLTVAEALRDSFPPSDKSLSKLLGDSPHLPKSVLKLLESFCCPGSSEEVEKDLQYGDRVTQGLSAVWSLILMRPGIRNDCLNIALQSAVHHLEEIRMKAIRLVANKLYSLSFITQQIEEFAKDRLFSVVSCISSERGDAETRIDDCNKKDLDLKSPPNKPQHVISGTGMETPSEATSSTSVTEAQRCLSLYFALCTKVLGIFTILRLMINLAFIIYKNASDPVKQAIHLQIPILVRTMGSSSELLKIIADPPTGSENLLMQVLQTLTEGPTPSSELILTIRKLFDTRIKDVEILFPILPFLPRDNVLRIFPHMVNLPMEKFQVALSRVLQGSSQSGPVLSPSEVLIAIHSIDPARDGIPLKQVTDACNTCFAQRQTFTQQVLAGVLNQLVQQIPLPMLFMRTVLQAIGAFPALSDFILKILSRLVSKQIWKNPKLWVGFLKCTQTTQPQSYKVLLQLPPPQLGNALTKIPALRAPLTAHASQPEIQSSLPRSTLAVLGLVPDSQGTQTSQVQANETQTSQEQEQQQASESQQTSQSQQVYVPLSDSQVDHQEPSQVVASQSQSNPIGPGLPEMSQSQNSPMDTGRSEMSQPQNSPIDTGRPEMSQPQNSPIDMGRSEMSESQSSPIGAGRSEMGQSQSSPIGTGQSEMSQTPQVSDSSVPAPTSHTQTSDPQASSQTQGDGDDDDDEKIDDTATSGNEVTEIEKSKESSEEDEEEE >fgenesh2_kg.6__713__AT5G07460.1 pep chromosome:v.1.0:6:2915117:2916444:-1 gene:fgenesh2_kg.6__713__AT5G07460.1 transcript:fgenesh2_kg.6__713__AT5G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase [Source:UniProtKB/TrEMBL;Acc:D7M0J1] MDSSLKTQEPQVVDTPETTPSPIAQEPPQVAVKPVVVPSPIAQEPDNDVPAPRNEFAEFAAGCFWGVELAFQRIPGVTVTEVGYTQGISHNPSYEDVCTNTTNHAEVVRVQFDPKECTYETLLDLFWSRHDPTTLNRQGKLLGAQYRSGIYFYTPEQEKLARESLEKEQTKLEDKIVTEILPAKKFYKAEEYHQQYLVKGGMHGNAQSPAKSCKDPIRCYG >fgenesh2_kg.6__715__AT5G07475.1 pep chromosome:v.1.0:6:2919150:2919988:-1 gene:fgenesh2_kg.6__715__AT5G07475.1 transcript:fgenesh2_kg.6__715__AT5G07475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTPTMQFLYNLCIIFGIVVIRRCNATTYFVGDSSGWDISSDLDTWTSGKRFSPGDVLLFQYSSTHSVYEVAKDNYQKCNTTDAIRTFTNGNTTVALSKPGDRFFVCGSRLHCFAGMRLLVHVEGNGPSQAPVGSPQAATVGILQPSSKKNNPATGVASSAARFVGDSGWRGNMATFVYFMVFAFPFIWSC >fgenesh2_kg.6__717__AT5G07500.1 pep chromosome:v.1.0:6:2926020:2927007:1 gene:fgenesh2_kg.6__717__AT5G07500.1 transcript:fgenesh2_kg.6__717__AT5G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zing finger transcription factor PEI1 [Source:UniProtKB/TrEMBL;Acc:Q6WER2] MLKSVSPIAFYDIGEHQQYSTFGYIVSKPGNAGAYEIDPPIPNIDDAIYGSDEFRMYAYKIKRCPRTRSHDWTECPYAHRGEKATRRDPRRYTYCAVACPAFRNGACHRGDSCEFAHGVFEYWLHPARYRTRACNAGNLCQRKVCFFAHAPEQLRQSEGKHRCRYAYRPVRARGGGNGDGVAMRMDGEDYDTSRSPVRSGKDDLDSNEEKVLLKCWSRMSIVDDHYEPSDLDLDLSHFDWISELVD >fgenesh2_kg.6__71__AT5G01390.1 pep chromosome:v.1.0:6:268363:270333:1 gene:fgenesh2_kg.6__71__AT5G01390.1 transcript:fgenesh2_kg.6__71__AT5G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDFYKVLEVDRSANDDELKKAYRKLAMKWHPDKNPNNKKQAEAKFKQISEAYDVLSDPQKRAIYEQYGEEGLNQAAPPPGAGGYPGGSDAGASFRFNPRSADDIFSEFFGFTRPSFGTGSDSRAGPSFRYGDDIFASFRAATTGGEASIPARKSAPIERQLPCSLEDLYKGVSKKMKISRDVLDSTGRPTPVEEILTIEIKPGWKKGTKITFLEKGNEHRGVIPSDLVFIVDEKPHPVFKRDGNDLVVMQKISLVEALTGYTAQVTTLDGRTITVPVNNVISPSYEEVVKGEGMPIPKDPSRKGNLRIRFSIKFPSKLTTEQKSGIKRMLSP >fgenesh2_kg.6__721__AT5G07520.1 pep chromosome:v.1.0:6:2931978:2933285:-1 gene:fgenesh2_kg.6__721__AT5G07520.1 transcript:fgenesh2_kg.6__721__AT5G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFLIFLLEVFQVVIAAVVSIVFLVFAGLTLVGSATALTITTPLFIIFSPILVPATIATAVITTGFTTGGALGAMAVALIRRRMGVKPTAEGTSSTQPLLKLPVYGGYGGFWGGKKFSGTFGNKPGGGNPFGDISKWFGPGAAGGGAPGGLGGGGNPFANISKWFGPGAAGGGAPAAEAAPAAGAAPAAGAAPAAGAAPAAGAAPAAGAAPAAGAAPAAGGSTPPTW >fgenesh2_kg.6__726__AT5G07550.1 pep chromosome:v.1.0:6:2939904:2940881:-1 gene:fgenesh2_kg.6__726__AT5G07550.1 transcript:fgenesh2_kg.6__726__AT5G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:Q6WEQ7] MFEIIQAVFAAGAALALLTFSAITLGGSVVAFAISTPLFVVFSPVLVPATIATTLLASGFTASGSFGATAFSILAWIYKRRTGRDLPKIPGLTPPAAPASNPAGSGV >fgenesh2_kg.6__727__AT5G07560.1 pep chromosome:v.1.0:6:2942070:2942894:-1 gene:fgenesh2_kg.6__727__AT5G07560.1 transcript:fgenesh2_kg.6__727__AT5G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen specific glycine-rich protein GRP20 [Source:UniProtKB/TrEMBL;Acc:Q6WEQ6] MAPFPLSLIFGKNKRRDDIRYRQKPTLKGVMTAFFATQAAIFLLLLAGLSLTGTAVALIASMPVFLVFSPVLVPAGIATTILAGGLMAGGTSGVSGLTILMWLYKQFTGRDLPKIPGLNPGGGAAAGGAAPAAPAAPAAKPAAKPAAKPGG >fgenesh2_kg.6__728__AT5G07571.1 pep chromosome:v.1.0:6:2947927:2948894:1 gene:fgenesh2_kg.6__728__AT5G07571.1 transcript:fgenesh2_kg.6__728__AT5G07571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVTPEVPPAIKPEARMVAVIPAGTRTGLNTRNSGMEAANAMAVLTRDRPASNKRNTAAVVAKNAVKTPLNVGFGDRTLLRFFLPNNIFSGQIILLVLSDGYVVGFHEL >fgenesh2_kg.6__731__AT5G07600.1 pep chromosome:v.1.0:6:2956707:2957623:-1 gene:fgenesh2_kg.6__731__AT5G07600.1 transcript:fgenesh2_kg.6__731__AT5G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFLILLLEVYKVVIAVVASIVFFVFSGLTLAGSAVALTVTTPLFIIFSPILVPATIATALLTTGFTAGGALGATAIALIRRSMGVKSKNNIPATGAPPTMFAQTPFNLTPKINYEGTFKGSWGGTSSPQAAPNFSYGGTWTATWGGRSFTGKFGDQSGGGGSTPEAAGAGAGAGAAGAGAGAGAGAGAPGGAGTGTPAPPGKAGSKKK >fgenesh2_kg.6__733__AT5G07620.1 pep chromosome:v.1.0:6:2960307:2961967:-1 gene:fgenesh2_kg.6__733__AT5G07620.1 transcript:fgenesh2_kg.6__733__AT5G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase [Source:UniProtKB/TrEMBL;Acc:D7M0K9] MRKTQLITMLLGVSLSAFLIVIFFIVFFICFRRKESSSIDSDQYDVESLDHNKQGFSSETEELVSFQGGEDLTICDILDAPGEVIGKSSYGTLYKASLQRSGKIRVLRFLRPVCTVRSDSKEFNGIIETLGFVRHENLVPLLGFYAGNRGEKLMVHPFFGSGNLSDFIRSGDDELRKWINILRITIGISTALDHLHTGMQKPIVHGNLKSKNVLLSSIFEPRISDFGLHLLLNLAAGQEILDVSAAEGYKAPELIKMKEVSKESDVYSLGVIMLELVSGKEPINENPTGDDEFYLPDFMRNAVLDHRLSDLYRPEIIRSDDNLSEECVLMYFQLAMSCCSPSPSLRPNVKQVLRKLEEIGKF >fgenesh2_kg.6__735__AT5G07660.1 pep chromosome:v.1.0:6:2977450:2984669:1 gene:fgenesh2_kg.6__735__AT5G07660.1 transcript:fgenesh2_kg.6__735__AT5G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes family protein [Source:UniProtKB/TrEMBL;Acc:D7M0L2] MDEHGNQQSNPFNDQRPSSGTIVRIRLENFMCHSNLEIEFGDWVNFITGQNGSGKSAILTALCVAFGCRARGTQRAATLKDFIKNGCSYALVHVELKNQGEDAFKPEIYGDTLIIERRISDSTSLTVLKDHQGRKISSRREELRQLVEHYNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFYKATLLQQVDDLLQSIGTKLKSANALMDEMEKTIKPIQKEISELLEKIKNMEHVEEITQQVLHLKNKLAWSWVYDVNRQLKEQNEKIVKLRERVPTCQNKIDRKLGEVESLRVSLTEKKAQVACLIDESTAMKRELECLRQSMKKAAREKIALEEEYHHKCNNIQKIKDRVRRLERQIGDINEMTIRSTQVEQSEIEEKLKKLMLEVEKAESLLSSLKEEENMVIEKASAGGKEKEHIEHMIRDHEKKQRNINAHINDLKKHQTNKVTAFGGDRVINLLRAIERHHRRFKMPPIGPIGPIGAHVTLINGNRWASAVEQALGNLLNAFIVNDHKDLVTLRDCGKEANYNNLKIIIYDFSRPRLTIPRHMIPQTEHPTILSVLHSENTTVLNVLVDVSGVERRVLAENYEVGKTIAFERRLSHLNDVFTIDGYRMFSRGPVQTTLPPRSRRPTRLCASFDDQIKDLEIEASKEQSEIQECRGQKREAEMNLEGLESTMRRLKKQRTQLEKDLTRKEIEMQDLKNSVASETKVSPTSGVNELHLDIMKFQEEIEEKESLLEKLQDSLKEAELKANELKASYEKLYGEIEALEKAEDELKEKEEELQSAETEKNHYEDIMKDKVLPEIKQAEAKYEELKTTRQESNEKASIICPESTIRALGPWDGATPLQLSAQINKINHRLKRENEKSLSFCALYSESIDDLRIMHEEKEQKIGKKRKTYKSFREKLKACKDAVDLRWNKLQRNKDLLKPQLTWQFNSHLGKKGISGNIRVSYEDKTLSIEVKMPQDATNSAVRDTRGLSGGERSFSTLCFTLALHNMTEAPIRAMDEFDVFMDAVSRKISLDTLVDFALEQGSQWMFITPHDISMVKSHEKIKKQQMAAPRS >fgenesh2_kg.6__736__AT5G07680.1 pep chromosome:v.1.0:6:2990681:2992272:1 gene:fgenesh2_kg.6__736__AT5G07680.1 transcript:fgenesh2_kg.6__736__AT5G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC079/ANAC080/ATNAC4 [Source:UniProtKB/TrEMBL;Acc:D7M0L4] METFGVFHKEDDEQMDLPPGFRFHPTDEELITHYLHKKVLDISFAAKAIGEVDLNKAEPWELPYKAKMGEKEWYFFCVRDRKYPTGLRTNRATQAGYWKATGKDKEIFRGKSLVGMKKTLVFYRGRAPKGQKTNWVMHEYRLDGKLSAHNLPKTAKNEWVICRVFHKTAGGKKIPISTLIRIGSYGTGSSLPPLTDSSPYNDKTKTEPVYVPCFSNHAETRGTILNCFSNPSLSSVQPDFLQMIPLYQPQSLNILENLQSSNPVLSQDQSVLQAMVENNRRQNFKTLSISQETEVSNTDNSSVFEFGRKRFDHQEVPSSSSGPVDLEPFWNY >fgenesh2_kg.6__738__AT5G07690.1 pep chromosome:v.1.0:6:3008001:3009747:1 gene:fgenesh2_kg.6__738__AT5G07690.1 transcript:fgenesh2_kg.6__738__AT5G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKPCCVGEGLKKGAWTAEEDKKLISYIHEHGEGGWRDIPQKAGLKRCGKSCRLRWANYLKPDIKRGGFSYEEEQIIIMLHASRGNKWSVIARHLPKRTDNEIKNYWNTHLKKRLTDKGIDPVTHKPLAYDSNPEEQSQSAGSISPKSLLPPSSYNVQEISSSDETPKNDVSLSSKKRCFKRSSSTSKLLNKVAARAASIGNILAASIEGTLISSTPLSSCLNHDSSETSQFQMEEFDQFCQSSEHIIDHMKEDISINNSEYDFSQFLEQFSKNEGEEANNIGGGYNQDLLMSDVSSTSVGEDDMMKNITSWSNYLLDHSDFNYDKNQDYDDKNFI >fgenesh2_kg.6__73__AT5G01380.1 pep chromosome:v.1.0:6:273027:275068:1 gene:fgenesh2_kg.6__73__AT5G01380.1 transcript:fgenesh2_kg.6__73__AT5G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M729] MDRRNPFQHHHHHHQLHHHLIQQQQLPPPQSTTAAMDPGGGGDQRIPQWSIEETKELLAIREELDQTFMETKRNKLLWEVVAAKMADKGFVRSAEQCKSKWKNLVTRYKACETSEPDAIRQQFPFYNEIQSIFAGRMQRMLWSEATEPSTSSKRKHHQFSSDEEEEEEVDEPNEDINEELLSLVETQKKETEVITTSTSTNPRKRAKKGKGVASGMKAETAGNTLKDILEDFMRQTVKMEKEWRDAWEMKEIEREKREKEWRRRMAELEEERAAAERRWMEREEERRLREEARAQKRDSLIDALLNRLNRDHND >fgenesh2_kg.6__740__AT5G07710.1 pep chromosome:v.1.0:6:3015115:3017567:1 gene:fgenesh2_kg.6__740__AT5G07710.1 transcript:fgenesh2_kg.6__740__AT5G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease family protein [Source:UniProtKB/TrEMBL;Acc:D7M0L8] MDPEDRSEIAFFDVETTVPNRGQRFAILEFGSILVCPKKLTELRSYTTLVQPADLSLISSLSVRCNGIKRDDVVLAPLFADIADTVYDILHGRIWAGHNILRFDCARIREAFAEIGRQPPEPKGAIDSLGLLTQQFGRRAGDMKMATLARYFGLGNQTHRSLDDVRMNLEVLKYCATVLFLESSLPYAHVDHSVSPGTTSSRRRIDASHEGNTVTTSVRLPSISENSAAQPDPFNMSILRNEMASDNHLQSDILMEEEQIQPSDIVVPENTSDHEGFLAPDAVSLPNIKAILVPFYPGSEMMKLKLLHGDSPLQLYCSCLKVRFGVSGKFLDNTGRRKLNFVVDLNLSLCSILEACDSSAQKLSVDSGSTSGWNPVVNPMKGFVNYPNARIHIATEINGDAARYATEIHQRESSGASQKLIFSNPSGEELESLLTMGSVVDAFLSLEPYDYQQKAGIRLVAKKLVIHS >fgenesh2_kg.6__741__AT5G07720.1 pep chromosome:v.1.0:6:3017738:3019341:1 gene:fgenesh2_kg.6__741__AT5G07720.1 transcript:fgenesh2_kg.6__741__AT5G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyl transferase GMA12/MNN10 family protein [Source:UniProtKB/TrEMBL;Acc:D7M0L9] MAKEDGFRTQKRVSSASSAAAGVLPTTMASGAGRRPPPRGRQIQKTFNNVKMTILCGFVTILVLRGTIGVNFGTSDADVVNQNIIEETNRLLAEIRSDSDLSDSNEPPDSDLDLNMTYTLGPKITNWDQQRKLWLTQNPDFPSFVNGKAKVLLLTGSPPKPCDNPIGDHYLLKSVKNKIDYCRIHGIEIVYNMAHLDKELAGYWAKLPMIRRLMLSHPEIEWIWWMDSDALFTDMVFEIPLSRYENHNLVIHGYPDLLFDQKSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGPIREEAGKILTANLKGRPAFEADDQSALIYLLLSQKETWMEKVFVENQYYLHGFWEGLVDRYEEMIEKYHPGLGDERWPFITHFVGCKPCGSYADYAVERCLKSMERAFNFADNQVLKLYGFGHRGLLSPKIKRIRNETTFPLKFVNRFDIRRTTPLKIEARS >fgenesh2_kg.6__742__AT5G07730.1 pep chromosome:v.1.0:6:3019372:3020474:-1 gene:fgenesh2_kg.6__742__AT5G07730.1 transcript:fgenesh2_kg.6__742__AT5G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEDLYCEQQLIGPLDPTSSMVVIRESPIFAKDDNLVFPPIYHENLHVASSVYGVDRYLESPSESSSPSSSSRRSGSSSSFSLFPSDSDGQTSPTAFEFDRKSPSETEEKSPPLLLSGESPPSDSDEQWPFEQISSPRLLPSEQGDKPPPCDSDGKLQRKPLNREVDVLHDWWELLLVRLYSKLKNLLTWFSKTIRSFYPVLAIAIWWWMRVRARRKRVKGDTMDHLRDVIKQRDERIVQLLHQIAQMNELLLKHHKDVVSRS >fgenesh2_kg.6__744__AT5G07790.1 pep chromosome:v.1.0:6:3034511:3037478:1 gene:fgenesh2_kg.6__744__AT5G07790.1 transcript:fgenesh2_kg.6__744__AT5G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNSFFLGSNRDTTDARTGWSLCPIQDIDTNRYGVNVNLNDTFSFLYSDLYLRQVKEALRQTMLLHESVFESQIYELHRLYRKQKELMIEMEETRHHKALYLNSGLHIPRTHWMSSSISAYQTRNLPHEEEDIPRLVVDNKVDKFEKKVLDLEVPVFEYNDMLGEVHEAQNFLEEQSLKRMSLDSGKQLSKLLLDLNEPAKIEEHSDYVFNQFLSTVTSNEIEEESDTKNEGESLVEGSNGMDEAQESVKCREEYGIDLNMSPLSFVEEITIVKKFETEKPRGSSSVSLHGKHGSEQPRVVVQALPCLTSTLLLDKRYKSLMRGSRLRKKVKRCPSKITFKGSDHDPQSSAQATSESQSNQTSMEKGSSSSLSEAKSAKKGTNLGKKRHCKPQMKSSKGQKVVAKRSGRVKRKKSRRISLVTEGNYQEVSAAEAIVDMSRKCGRETADCITSLSRNLLWFAEISSSVAEDYKTEFLELTEKKLEEQETDLRLNSLDNIAAVSSIVLKKQRRSRARQGKRKCKDDQHHDNPSLGTFSECEANEDLQVIEKLIEASELKWNCGFPKNKRKSSPPKPIDAFTSLGEAKTGVDWGAIKKRRRGSRIPAADFKHMIINQVV >fgenesh2_kg.6__747__AT5G07820.1 pep chromosome:v.1.0:6:3050384:3052788:-1 gene:fgenesh2_kg.6__747__AT5G07820.1 transcript:fgenesh2_kg.6__747__AT5G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEENISQVDDKCCLDDANPMEISVETIPVVDEEVQGDDVILPAAETNHANLRRYSTDKKTGKALVQTRYRGNQTSSTHDLCKHGKRREEDLVIKPWKLVKKKNVESGDLGKGETLRKSFGNVSKPDKSLLLDAKREAAGEVVKSCDGLRVKRSETKSTCPSVSAVVRMAKKTDLDVKVSRISQNKSPKENLFSKNLKNKEKTKIDELVRCTDDVLETTNLDVKKVSRISENKSSNKDILRNKEKTKIDEPVRCDDSLEKTSLDAKKVPRISEKKNLKEERLKNLKNKEKTNIDEPVISDDALEKTLYVVESSIEMKKKMSIKSVKSEIQQSSEKKILRSSGKKSLSLLPSLSPSSEVVTRSDPRPIRQTISRSKTGLSEKKQSRSANLVTNPKPESKIRPKRIGLKVTPPPPPTKQQMNFKKGKVLEPKPEDSTTTSIKFKKRVVQEPKLRSDVNKKKKNLKDKREGVGKINGEGKREKVVLRHRKVEVKKKLQTLFNNVIEETVNKLEEVRKSKVKALVGAFETVISLQDNNRTSQKKKIKSKSTSSQVIEGG >fgenesh2_kg.6__749__AT5G07840.1 pep chromosome:v.1.0:6:3058735:3059680:-1 gene:fgenesh2_kg.6__749__AT5G07840.1 transcript:fgenesh2_kg.6__749__AT5G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M0M8] MLQEQPVALSFRRNSFRRRSMKTGVDRDDRGWTQLHIKACKGDLKAVKELLDQGADVNALACGPKSKGMTPLHLAAKGGHIEVMDLLLERGANIEARTSGACGWTPLHAAAKERKREAVKFLVENGAFLPDDITDCRFNPPVQYCLGLEWAYEERKKLSGETS >fgenesh2_kg.6__750__AT5G07850.1 pep chromosome:v.1.0:6:3060877:3062416:1 gene:fgenesh2_kg.6__750__AT5G07850.1 transcript:fgenesh2_kg.6__750__AT5G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M0M9] MDSPSSEVKIVSQCFVKPKTIPEKWKEPYHLSPLDLVMLSMHYLQNGLLFLKSDDAIKTKDFMETLLQKLRDSLAETLVHFYPLAGRLSTLKTDNPRSYSVFVDCNDSPGAGFIRAKSDLSVRDIVESKYVPLVVQSFFDHHKAVSHDGHTMSLFSVKVTELVDGVFIGLSLNHAVGDGGTLWHFFNSLSEIFNAHETDNLLLKNPPVLSRWFPKGYGPVYSLPFTHSDEFISRFESPVLKERIFHFSSETITSLKSKANQECRTTTISSFQALTAFIWRCITRARKLPYDHEIRCSLAANNGTKLDPPLPLSYFGNCISAIKSKTVTSSELLENDLGWAALKMHKAVIGNTSEEVSATIENWMKSSYVFHLEKLLGAMVVHIGSSPRFKMYECEFGMGKAVAVRSGYGGKFDGKISAYAGREGGGSIDLEVCLLPEFMEALESDQEFMSRASSSS >fgenesh2_kg.6__751__AT5G07870.1 pep chromosome:v.1.0:6:3062933:3064499:1 gene:fgenesh2_kg.6__751__AT5G07870.1 transcript:fgenesh2_kg.6__751__AT5G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7M0N0] MDSSSSEVKIISKCFVKPKTLPEKWKEPYHFSPLDHVMLSIHYIQKGLLFLKPSFCESDNVVNPKEFIETLLEKLKDSLAIALVHFYPLAGRLSTLKSNDSRSHSVLVDCNNSPGAGFIHAESDLSVSDILGSKYVPLVVQSFFDHHKVLNRDGDTMSLLSVKVTELVDGVFIGLSMNHSLGDGSSFWQFFNSLSEIFNSQEETIGNALLCLKNPPIFREVSGPIYSLPFSEPDESLSQSEPPVLKERMFHFSSETVRSLKSKANQECGTTKISLLQSLTAFIWRSITRARKLPNDQETTCRLAAGNRSRMNPPLPKNHFGVYVSLVKATTIIGNLLENEFEWAALKLHQAVTEHTGEKISYEVDQWLKSPLPLQVYRLSNLNIVHMGSSPRFNKYGCEFGMGKAVAVRSGYGGKYDGKVSAYPGREGGASIDLEVCLLPESMEALESDQEFISLVSSST >fgenesh2_kg.6__753__AT5G07880.1 pep chromosome:v.1.0:6:3064717:3065852:-1 gene:fgenesh2_kg.6__753__AT5G07880.1 transcript:fgenesh2_kg.6__753__AT5G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNFSLNPFDDTDDEKEEAAKNFSLNPFDDDDDDDKEVEKTFTSSLKFSDAKENHQTVQELESYAVYESEETTKTVKGCLKVAEEIRCDATKTLVMLNDQGDQITRTHHKAVDIDHHLSRGEKLLGRLGGIFSRTWKPKKTRSITGPVRTRGDSPKRRVINLKTRENLGLNHSLKPKSRTLAESVDAYQKTQMEIAKQDEVLSNLSDLLGELKNMAVDMGTAIESENHGLDHLQDDVDELNYRVKQSNQRAGRLLRK >fgenesh2_kg.6__755__AT5G07890.1 pep chromosome:v.1.0:6:3066791:3069335:-1 gene:fgenesh2_kg.6__755__AT5G07890.1 transcript:fgenesh2_kg.6__755__AT5G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGSFSGSSSSACSSRSDCENSFDVEELLQIGTTRRELRKQKDMLRESQPHSIELVRRLELHTKSLSESRLEDTARIQMMEKELLNCYKEIDYLRDQLIFRSKEVNYLNEHLHDLEFKLAESRNLEEEVNSLRDELCMSKSEHLLLLQELESKEIELQCSSLSLEKLEETISSLTLESLCEIESMKIDITALEQALFDAMKIQEESIQEKHQLKGIIEESQFQSQRAQENVKYIEKQNEELREKFNASEKSIKEFFQSTKERLESEDEEPLTVGCFFAELSHVLPMSNEVRNCFDAIMKKLELSQNVNLTDKVEGMAKQIHQHEDVVKQLKEELKQEKLKAKEEAEDLTQEMAELRYKMTCLLDEERNRRVCIEQASLQRIAELEAQIKREIKKPSSTEMLPLTGL >fgenesh2_kg.6__757__AT5G07900.1 pep chromosome:v.1.0:6:3069503:3070858:1 gene:fgenesh2_kg.6__757__AT5G07900.1 transcript:fgenesh2_kg.6__757__AT5G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:UniProtKB/TrEMBL;Acc:D7M0N3] MAVAVPQATSLTRLFFLTKPIPGGGFTSLLPKNHRKPIFVFSVQTQFSSPVTTLTSKHPKDEQQQKLSFTINYLIDSCGLSPDSATVAARKLLLDSPERPNTVLNLLRDHGFTTAQISTLVKKRPVLLLANAESVLLPKLLFFLSIGVSKSLLARTLASDPTILTRSLVNQLIPSYKFLKSVLDSDEKIVAALRRTTWVFLEDHTKNLVPNINYMSETGVPEKCIKLLLTHFPEAVMQKSHEFQAIAKQAQEMGFNPQKSTFVLAIHALSGKGNKSIWDKCFEVYQRWGWSEDDIMCAFKKHPHCMMLSERKINRTMEFFVNEMNLAPRSIAECPVVLFFSLEKRIIPRCSVTKVLVSNGLVKEDWSLTSLLVPVEKVFLEKLVIKYEEELPELMDVYLGCTKL >fgenesh2_kg.6__758__AT5G07910.1 pep chromosome:v.1.0:6:3071041:3073517:-1 gene:fgenesh2_kg.6__758__AT5G07910.1 transcript:fgenesh2_kg.6__758__AT5G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7M0N4] MGCCASNTAGGPKANRISRWRSTGIVGLRDSKLKTFPDEVIEMERAVRTLDLTHNKIADVPGEISKLINMQRLLIADNLVERLPGNLGKLQSLKVLMLDGNRISCLPDELGQLVRLEQLSISRNMLIYLPDTIGSLRNLVLLNVSNNRLKSLPESVGSCASLEEIQANDNVVEELPASLCNLIQLKSLCLDNNQVNQIPDGLLIHCKSLQNLSLHNNPISMDQFQLMEGYQEFEERRKKKFDKQIDSNVMMGSKGLDVGVDK >fgenesh2_kg.6__759__AT5G07920.1 pep chromosome:v.1.0:6:3074210:3078742:-1 gene:fgenesh2_kg.6__759__AT5G07920.1 transcript:fgenesh2_kg.6__759__AT5G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:D7M0N5] MDDDGELGMFFPSWTSKYPIDTVESRGLMFSCFVAALVGILTIAYTAFQWRRNINLSWTKAIARSKKNPKARHKVPVAPHSWELDPIARAKNLNCCVCLKSMSPSQAIVASESFFHRCTICGAAAHFNCSSSAPKDCKCVSMVGYEHVVHQWAVRWTEGADQPDDSSFCSYCDESCSSSFLGGSPIWCCLWCQRLVHVDCHSNMSNETGDICDLGPLRRLILCPLYVKELTRNPSGGFLSSITHGANELASTALASIRSQSKKYKQANETSADTGNSGSNCDESTESTADTGPTVNGSHAGLENSISVMNGDSSHGDSDSNGKLEKKSSVKRSGSFGQKEYHALRSKLKYELADLPSDARPLLVFINKKSGAQRGDSLRQRLHLLLNPVQVCELSSVQGPEVGLFLFRKVPHFRVLVCGGDGTAGWVLDAIEKQNFVSPPAVAILPAGTGNDLSRVLNWGGGLGSVERQGGLSTVLQNIEHAAVTVLDRWKVSILNQQGKQLQPPKYMNNYIGVGCDAKVALEIHNLREENPERFYSQFMNKVLYAREGARSIMDRTFEDFPWQVRVEVDGVDIEVPEDAEGILVANIGSYMGGVDLWQNEDETYENFDPQSMHDKIVEVVSISGTWHLGKLQVGLSRARRLAQGSAVKIQLCAPLPVQIDGEPWNQQPCTLTISHHGQAFMLKRAAEEPLGHAAAIITDVLENAETNQVINASQKRALLQEMALRLT >fgenesh2_kg.6__761__AT5G07940.1 pep chromosome:v.1.0:6:3092353:3099128:1 gene:fgenesh2_kg.6__761__AT5G07940.1 transcript:fgenesh2_kg.6__761__AT5G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M0N8] MPGNEFGEKIHNFFGQEGLSQDQNQSQVVDGSWSNYNNGLLGNQSQIDPSLIANLKSYNTQQSVDHERGHQSSKSQHGLNYTQQPIRSEFSRSLLQEHQQLSNGYTHGNLGLQTMPNGANFLGVNVESSRDSLSARGFTPELHKIPMSLEMGESPVNYDFFGGQQQSNTQLPGMLQPLPRQQMTFNDMQLLKQQVMVKQMHEYQMQQQLHRQQLGARQLNSLNINAVNGSRSSDNQSHMINGIPLQDASSNWLQPDLMTGNTNWMHRGISPVVQGSSSGLMITPEHGQSNLMAQQFGPSLYGMPVSGTNVAQNAFSSVQMNRLAAPHGSANSSYSLTNQPTSFLNQGGIQDSQMLPRSTYQEKALFSQASVPDSNNRPSFENFQQDDSRERNISAQEKFCQMKDSGPSEKIFMKVPENINALQKSSTLDPTEEKILFGSDDNLWDAFGSSTDMSLQGNLMSSSSDPFDTCPSLQSGSWSALMQSAVAETSSEDAVVHGWVNNNTVPHANSHTDSRAQSDSKASNALSERFHSDSTRAAVQHLPDKGNKFSDHGLLEKPMAQLSQMAGNIFHSSSIDEQNNLCSMRQNEGIEDRFGIWKAASNPNVAALIEQKNHFTQNPQRASYGFGIASAENDSSASRDVQASSQQHLDNNSVEKAIPQLKSRDGSQILESYASNNAGTNEMVNTRDFSMLPGGKDTQSGHVGSRRSISRKFQYHPMGNIDVTNESCQEKVSHLPPTLEQVSVGNQGYFGQSKFLGQSAMNMPIDRGHVSQNDLNCTNEAFNGIGSKNSPSTSASADRSVDRCNQVKSASSRQTMLELLHKVDQSPENSSETNISGIPEANTSADYGGQFRHNQSYASQGFNLQLAPPSQLAPSPDNVQFSQNSLQPLNSFHTGPEKGGTSQSRFAPWASNQSFQQSTHQGPFPGILGGSNMTSGFPYSRGYHQNQQIPVATRQSAANNSVNSSSELSTPQVKERKESSDFDQRMLSASQPLVASSSTQQSSSFGMMSDSPAGISAPQHRFWNQSSKPQPDILRPHPLPSNNMAASFSRQEKTNQLSSQNGDMSLSGRDMVNMHGLQSKDMGAKQTSNVASMFSKMVQSSHQSFDRSLPPSNVPKESLHHDEQMVGSGEGDTSKMTVDDSAFDPQEVAHKGEQQSPSRSDGLVRGGLNNKESANHMPHLGQPVSQSFSSKNHAASAGADHQQISPQMAPSWYSQYGTFKNGLVQPMNDTGIFTPLKIGEQSSNVESSVDGTHTVQSSKQCNMQQMSGFAPGVETPSSESLLHGATDKLLKVDKPKKRKTATSELQSWNKEVTQDSQRLKTLSEAEINWARATNRFAEKVEFETLLEDGPPIRSKRRLIHTSQLMQQLFSPPPAGVISLAASSNYEFVAYSAARGALGDACSSSCIDRSERFLPPNNSNPLSETTKTEKISDQYISKAAEDFISRTQKLETDFAGLENGTTIPDLRVEVQDLEKFAVINRFAKFHPPSSSMDRTVNSLRLNSQRYVTVAPMPQNIPDRVQCLS >fgenesh2_kg.6__763__AT5G07960.1 pep chromosome:v.1.0:6:3101021:3102180:1 gene:fgenesh2_kg.6__763__AT5G07960.1 transcript:fgenesh2_kg.6__763__AT5G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSHGNASSANDPRLPSAAKPYTPRPVAPEDLPVDYSGFIAVILGVSGVMFRYKICSWLAIIFCAQSLANMRNLENDLKQISMAMMFAIMGLVTNYLGPNRPATKK >fgenesh2_kg.6__764__AT5G07970.1 pep chromosome:v.1.0:6:3102667:3106509:-1 gene:fgenesh2_kg.6__764__AT5G07970.1 transcript:fgenesh2_kg.6__764__AT5G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M0P1] RLIDPSLNANLKTYSTQQSVDPERGQSSNSQHGLNFTQQPMRSDYSRSVLREHQQSINGYMHGNLMLQASPDRHKTPMRFDMGESPVNYDFFGGQQQLNNQLPGMLQPFPRQQMTFNDMQLLKQHAMVKQMHEYQMQQQLQKQQLEARHLNSFNSNAVNGSRSSDSQSHPSISGVPLQDASNNWLQPDLMTGNTNWMHRGISPIVQSSSSGLVITPEHGHANLMAQQFETSLYGMPVGGTDAPQNAFSSVQMNMLAAQHGSANMSSSLTNQPASFLNQDDVQDSHMLPRSTYQENLFSHLSSVPGSNNVGSFQQDNSGQQNISGQEEFGQMEGSGLSEKSFMKVPENINTLQKSTTLDPTEEKILFGSDDNLWEAFGNSTDMSLTGNLMSSSSDLFDACPSLQSGSWSALMQSAVAETSSDDAGVHEWGSKQQSVWANNITAPHADSRIGNRAQESGLNSDSTRSTVQHLQDKGNMVSDHGLLEKTMTQQSQMAGNMFHSLSSGIDQNNSCSIGKNEDIDDRLGDWKAASNPNSAALMEQKNHLTQNLQWQREIYGTGNDSSASRDIQKNIQQHLDNNSVSPESLTQVNAHDFSVLPGGKETQSPSRSDSLVRVGFNHKESANYMLHFGQTVSQSFFNKNHGASAGADHLQISPQIAPSMYSQYEAFKNGLVQPMNDTGRFTLMKIGERYSNLGNSDDGLHSVQLSKQSNTADPGYVVHIQQMSGSTPGVETLSSTSLPHGATDQLLKVDKPKKRKTASSGLLSWNKEVMQGPQRLKTLGEAEVDWARATNRFAEKVEFATLLEDGPPTRSKRRLIYTTQLMQQLFRPLPDRVKVLVASSNYEFVTYTAARAALGDACSSTSTDRVEGFSPPNNSNPLSERTETEKMSDQYISKAAEDFISRTKKLETDFAGLEKGTTITDLRVEVQDLERFAVINRFASFHQSSSSMDRSVSSLRLNPQRYVTVAPMPRHIPDRVQCLSF >fgenesh2_kg.6__765__AT5G07980.1 pep chromosome:v.1.0:6:3107937:3113409:-1 gene:fgenesh2_kg.6__765__AT5G07980.1 transcript:fgenesh2_kg.6__765__AT5G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEFGERIHNFFGLEGLSQDQHQSQVVDGSWSSFSDGLVGNQRQIDPSLIANLKSYSTQQSVDPERGQSSTSQHGLNFTQQPMRPEYSRTVLQEPQQPTNGYMRGNIGLQTMPNEANFLGMDVESSRDRLSARGFTPDLHEFPTRFEMGESPVNYDFFGGQQQSNTQLPLPRQQMTFNDMQLMKQQVMVKQIHEYQMQQQLQKQQLEARQLNSLNSNAVNGSRSSDNQSHMINGIPLQDASSNWLQPDLMTGNTNWMHRGISPVVQGSSTGLMITSEHGQANLMAQQFEPSLYGMPVSGTNAPHNAFSSSQMNRLAAQHGSANRISSVTNQPTSYLNQGDVQDSHMLPRSTYQEKLLFSQTSGPSSNSMPNFDSLQQDNSRERNISVQAKFGQMEGSGPSEKSFMKVPENINAVQKSTTLDPTEEKILFGSDDNLWEAFGNSTDMSLTGNLMSSSSDLFDACPSLQSGSWSALMQSAVAETSSDDAGVHEWANKSTGPHANSHLGNRGQDLGEKTSNTLSGRVHSDSTQTAVQHLQNRGNRVSDNGLLENSMAQRIDGQNNSCSIRKNEGVEDRLGIWKAASNPNLVALKEQTPKMQRISYGFGSAGAGNDSRHVQGNIQQHLDNNSVEKAIPHLNSRDGSQILESYSSNNARSNEMVNARDFSMLPGGKDTQSGLVGSRPSIPRKFQYHPMGNIDVTDEPCRGKFSHFGQSNSLGQPAMNILIDKVNYILWFLLWFRNLNPSEHSFGFHFGRDMVQKIHLVLLRQPTGVIKLELLHKVDQSPENSPETNVSGIPEANTSADYGGQFRHNQSYASQGFNLQLAPPSQLAPSPDNVQFSQNSLQPLNSFHTGPEKGGTSQSRFAPWASNQSFQQSTHQGPFPGILGGSSMTSGFPYSRGYHQNQQMPVATRQSAANNSGNSSSELSTPQVKERDERSDFDQRGHSAHNKKGDSAEGFRMLSASQPLVASSSPQQSSSSGMMSDSPADISAPQHRFWNQPFKPQPDLLRPHPLPSNNMAGSFSRQEKTNQLSSQNGDVSLSGRDMVNMHGLQSKDMVVKQTSNVASMFSKMVQSNHQSFDRSLPSNNLPKDSLHHDEQMAGSGEGDAPKMTVKRVENSAIDPQKVAPKGEQQSPSRSDGLVRDGLNHRESANQIPYFGQNVSQSFSTKNHSASAGADHQQISPQMAPSWYSQYGTFKNGLVQPVNDTGRFTPLKIGEQSSNVGSSVDGTYSVQSPQHFNMQQISGSTLGADIPSSESLPHGATEQLLKVNKPKKRKTATSELLPWNKEFMQGHQRLKTLGEAEVDWARATNRFAEKVEFETLLEDGPPIKSKRRLIYTTHLMQQLFNPPPARVISLVASSNYEFVAYTTARGALGDACSSSCTDRSEGYSPPNNSNPLSERTKTEKISDQYISKAAEDFISRTRKLETDFARLENGTTIPDLRVEVQDLEKFAVINRFAKFHPPSSSMDRTVNSLRLNPQRYVTIAPMPQNIPDRVQCLSL >fgenesh2_kg.6__766__AT5G07990.1 pep chromosome:v.1.0:6:3119428:3122628:1 gene:fgenesh2_kg.6__766__AT5G07990.1 transcript:fgenesh2_kg.6__766__AT5G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLFLTILLATVIFLFLLRVFSLRRNRSHNIRLPPGPNPWPIIGNLPHMGPKPHRTLAAMVSTYGPILHLRLGFVDVVVAASKSVAEQFLKIHDANFASRPPNSGAKHMAYNYQDLVFAPYGQRWRLLRKISSVHLFSAKALEDFKHVRQEEVGTLTRELARVGTKPVNLGQLVNMCVVNALGREMIGRRLFGADADHKADEFRSMVTEMMALAGVFNIGDFVPSLDWLDLQGVAGKMKRLHKRFDAFLSSILEEHEMNGQDQKHTDMLSTLISLKGTDLDGDGGSLTDTEIKALLLNMFTAGTDTSASTVDWAIAELIRHPDIMNKAREELDSVVGRDRPVNESDISQLPYLQAVIKENFRLHPPTPLSLPHIASESCEINGYHIPKGSTLLTNIWAIARDPDQWSDPLTFKPDRFLPGGEKSGVDVKGSDFELIPFGAGRRICAGLSLGLRTIQFLTATLVQGFDWELAGGITPEKLNMEESYGLTLQRAVPLMVHPKPRLAPNVYGIGSG >fgenesh2_kg.6__768__AT5G08010.1 pep chromosome:v.1.0:6:3129533:3131660:1 gene:fgenesh2_kg.6__768__AT5G08010.1 transcript:fgenesh2_kg.6__768__AT5G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWLIAATAATGYITKHLQNVSKGKSSSEDLTNVKLESPRYLASNVVRVKKPKEENFEDCFNGETLDLYECGNAYKVEVDSSNEENLGYHDEIRSGSFGNRAFLRRNQRLIKPFSLEKSVMSRLHREKVSMEEYMRSLFPSPCGSVSRPLLVTDGTKVISKKTGDSVSQQVPECGIPQLRKLESSLLYAKRGVGNAKSASRGSDNGIGSNDAVLLLCVGISIGIMSSFVANQTELNKVRAESKQTENLVKEFEDELKRKDSLTVKDDLHNGEKRVENSESVSKIEAELEAELERLEINMTSSNIETKLSDVFELEPEFEVEFAQGVLIDDQVERQRFDETGSNQEWSSNSTPESGNYIVSPRELSLRLLGVINSRYEKRIKELDKALQESQMKVEQLVIESEEKKKPLSRIWETNEVMKYKRDSNLPVSVADTEKNHNPPAEIQPLVMNIEGEALDAFNESYEELMDINDYSEEDYLQCEMQENERQEELSLTSKSSPWSHKDYIKDSSRTSEDVNFSILQDLLGLSDEEEDEMEKHLIKQIVEKTKQGSSAVFNAQKMLFLMEETEQNL >fgenesh2_kg.6__771__AT5G08050.1 pep chromosome:v.1.0:6:3137974:3138764:1 gene:fgenesh2_kg.6__771__AT5G08050.1 transcript:fgenesh2_kg.6__771__AT5G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLICSSLTVHSMANKKPSPSAATRTITSKKSTATPQVKLLTRVEQLKLLTKAEKAGLLSLAEKSGFSLSTIERLGLLTKAEEFGVLSAATNPETPGTLFTLSLGLLLLGPVCAYVVPEDYTWEVVVQVLVALLSVLGGSAAFAASGFVSNLQKSD >fgenesh2_kg.6__772__AT5G08060.1 pep chromosome:v.1.0:6:3143934:3145944:1 gene:fgenesh2_kg.6__772__AT5G08060.1 transcript:fgenesh2_kg.6__772__AT5G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVSTAASSLVQNLRRYIKKPWQITGPCAHPEYLEAVPKATEYRLRCPATIDEEAIVPCSDPDTVYNIVYHGRDQRRNRPPIRRYILKKDNVVQMMNEKKTFDVSDFPKVYLTTTVEEDLDTRGGGYQK >fgenesh2_kg.6__773__AT5G08070.1 pep chromosome:v.1.0:6:3148339:3149064:1 gene:fgenesh2_kg.6__773__AT5G08070.1 transcript:fgenesh2_kg.6__773__AT5G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKQEDQKSSLSLLKQRWNNPRIVRVSRAFGGKDRHSKVCTVRGLRDRRIRLSVMTAIQLYDLQERLGLSQPSKVIDWLLEVAKNDVDLLPPLQFPPGFPQLNPNLTGLGESFPGVFDLGRTQRETLDLEKSKWVNLDHGFDHSDDLHFSNSIQSNKLSFPSNTSSSSSYHYNLGHLQQSLLDQSGNVTIAFSNNYNNNNLNPPEAETMSSLFPTRYPSFLGGGQLQLFSSTSSQPDHIE >fgenesh2_kg.6__775__AT5G08080.1 pep chromosome:v.1.0:6:3153498:3156390:1 gene:fgenesh2_kg.6__775__AT5G08080.1 transcript:fgenesh2_kg.6__775__AT5G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP132 [Source:UniProtKB/TrEMBL;Acc:D7M183] MNDLLKGSFELPRGQSSREGDVELGEQQGGDQGLEDFFKKVQVIDKQYDKLDKLLKKLQASHEESKAVTKAPAMKAIKKTMEKDVDEVGSIARFIKGKLEELDRENLANRQKPGCAKGSGVDRSRTATTLSLKKKLKDKMAEFQVLRENIQQEYRDVVDRRIYTVTGERADEDTIDELIETGNSEQIFRKAIQEQGRGQVMDTLAEIQERHDAVRDLEKKLLDLQQIFLDMAVLVDAQGEMLDNIESQVSSAVDHVQSGNTALQRAKSLQKNSRKWMCIAIIILLIVVAVIVVGVLKPWKNKSA >fgenesh2_kg.6__779__AT5G08120.1 pep chromosome:v.1.0:6:3164542:3166889:-1 gene:fgenesh2_kg.6__779__AT5G08120.1 transcript:fgenesh2_kg.6__779__AT5G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEQQQHFMDLQSDSGFGDDSSWLAGDDDLRLSPHQSAAGTNSGNENLDRRLLKDLVEMVPLIEHYMEHKERSSFKRRGSMIYTKMPSKESLSRRGRNASQTAPGRKKRDQEGNDDVMNNSREDGENATALSGAEKEELSRLREQVNDLQTKLFEKEEVLKSMEMSKNQVNDIQEKLEATNRLVAEKEMLIKSMQLQLSDTKIKLADKQAALEKTQWEAKTTGTRAIKLQEQLDAVQGDISTFTRVFETLAKTDSKKPDRDYDATPYEFDHLPYLDDVDETDLRKIEEARLAYVAAVTTAKERENEESLAMAAQARAYLQSLAFT >fgenesh2_kg.6__782__AT5G08141.1 pep chromosome:v.1.0:6:3205905:3206220:1 gene:fgenesh2_kg.6__782__AT5G08141.1 transcript:fgenesh2_kg.6__782__AT5G08141.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M190] HYRHQQPFVVTDQEKRLRRLATNRESARRSRMRERMMKEVLQMQVKQLMALLEYNHQILQENSQLKETVSSFYNQYTISYGNHEDILGNIND >fgenesh2_kg.6__785__AT5G08160.1 pep chromosome:v.1.0:6:3211848:3214397:-1 gene:fgenesh2_kg.6__785__AT5G08160.1 transcript:fgenesh2_kg.6__785__AT5G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSGLNALYDAVNGGGDVWINENRFRIVRQLGEGGFAFVFLVKEIVADASSAASGGGLAKKVKNPAHLSADGTYAMKKVLIQNKEQLELVREEIRVSSLFNHPNLLPLLDHAIISVKDGQEGAWKHEAFLLFPVHLDGTLLDNFTLMKAKKETFSTTDVLHIFRQLCDGLKHMHSLEPPYAHNDVKPGNVLLTRRKGQPPLAILMDFGSARPSRKQIRSRQEALQLQEWTSEHCSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIVNAQIKWPNTGPKASYPEALHQFVTWMLQPQAAVRPRIDDIIIHVDKLIAKFTK >fgenesh2_kg.6__786__AT5G08170.1 pep chromosome:v.1.0:6:3214959:3217484:-1 gene:fgenesh2_kg.6__786__AT5G08170.1 transcript:fgenesh2_kg.6__786__AT5G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1873 [Source:UniProtKB/TrEMBL;Acc:D7M193] MEESRESPVEHGYYMPAEWEPHAQTWIGWPERQDNWRHNALPAQRVFADVAKAISKFEPVTVCASPAQWENARKQLPEDIRVVEMSMNDSWFRDSGPTFIVRKRPLKLSSLNQNIAGIDWNFNAWGGANDGCYNDWSHDLLVSRKILALERIPIFQHTMILEGGSIHVDGEGTCLVTEECLLNKNRNPHMSKEQIEEELKKYLGVKTFIWLPRGLYGDEDTNGHIDNMCCFARPGVVLLSWTDDESDPHYERSVEALSVLSNSIDACGRKIQVIKLHIPGPLYMTEEESSGITQDGEAIPRLAGTRLAASYVNFYIANGGIIAPQFGDPIRDKEAIRVLSETFPHHSVVGIENAREIVLAGGNIHCITQQQPAEPSSVAENGH >fgenesh2_kg.6__788__AT5G08190.1 pep chromosome:v.1.0:6:3222578:3224047:1 gene:fgenesh2_kg.6__788__AT5G08190.1 transcript:fgenesh2_kg.6__788__AT5G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMDIVGKSKEDASLPKATMTKIIKEMLPADVRVARDAQDLLIECCVEFINLISSEANEVCNKEDKRTIAPEHVLKALQVLGFGEYVEEVYAAYEQHKYETMQDSQRSVKMNSGAEMTEEEAAAEQQRMFAEARARMNGGVSVPQPEQLEETQ >fgenesh2_kg.6__790__AT5G08200.1 pep chromosome:v.1.0:6:3224739:3227278:1 gene:fgenesh2_kg.6__790__AT5G08200.1 transcript:fgenesh2_kg.6__790__AT5G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRERRSSGRNGTPEYSNGKFRDDNGYGFIDRLENCREKSPSRSKILRIPSPTSSPPPSSSSPPFHGSNSPDRGYIEHRISKFDTLAGIAIKYGVEVADVKRMNNLVTDLQMFALKSLQIPLPGRHPPSPCLSNGSLNHGEGCSCHEPESPNHCDQEVFDSFQSLRLKSSEKKVSPAMYSLQGYYGLKPADRTVSDGGCLEMGNYKTESSHHLFNNGDNGYLRPFPSTNTPLNHHRKSRSLVNALIEEVNQSPDNNTQEPNSDKFMRRRQKSEADFSSRTPELVLKEENSSSNGGFLSIAGKGLALRSKASSRTNLAAESETGNFNPVPMNLMDAPVADSFSSVRKSSSASSLQDPDGNSNNGSLSLWPTSKWSLKPDLLTPAAITSSIFDGLPKPLTGRRNKTALD >fgenesh2_kg.6__791__AT5G08230.1 pep chromosome:v.1.0:6:3229995:3236081:-1 gene:fgenesh2_kg.6__791__AT5G08230.1 transcript:fgenesh2_kg.6__791__AT5G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PWWP domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M197] MAPGRKRGANKAMAIGALRLGDLVLAKVKGFPAWPAKIGQPEDWNQAPDPKKHFVQFFGTQEIGFVAPPDIQPFTSEAKNKLLARCQGKTVKYFSQAVEEISVAFEEAQNHKSDIVGNEALLNAVEPSVTKPKILNQASSDGKSDKFDSRADPCLGKLVENNGAEKKPDIGDQNLSKSNNRTTSPSSEPLEHGSPDPILMVAVVDKIDGVTCTDHSDGTGKNSVNDQRIIRKITGDSNKDEFRAKSVPDSRAATDNHLLGPNQKLKGSKKGQDHSSRNNIASSKKPKELLKEKPVKKKRFESELGKSASGADESKRAAKRPRSEDAKDQKQCESNRLLPVGEGKAEGSDSTGVVSILKREIVLGISALGGKNQFDKDMVAYTKRRKQTVEHTSVSSFSGSLVKERTNHQQKISSSSDSDVKVQAAQLPKRRRSVCIYDDDDDDEDPKTPVHGGHTDIPKANLASTDGPKSTNASHNTSIKAKLLAGSAESAKTGKVPLYKHSKDASLALPDRVEGYNSPMGKPVKALLPKNIKRILRSPKNSYQLDSFMKQVTGQNKTAKVSGAGMPDSVEGPSNSSSMGKPVIKLPPQNVKQILRSPKKSPQLFSTKELVAGQNKIAKVSGAGMPKKYQGDSSKDAAAGSDRVSSSHSQTANQRSKPAFGEKPTGTPKVATRSRLDDVDVSRDTSVNLSADVIDVNQENGSAPLISFGMPDSSSSMKDLIAAAQAKRKQAHSHTSPFVNLDHNSLTIDSMQPSQSPFMVQNVSSSAGDAMLIVALEHQEDSTLSNHGRQSSSSSQAGTEENEERRFSSGHRSGGGSLSGATEAAITRDTFEGMIETLSRTKESIRRATRLAIDCAKYGIASEVVELLIRKLEIEPHFPRKVDLFFLLDSIIQCSHSQKGRAGSSYIPTVQAALPRLLGAAAPPGTGARENRHQCRKVLRLWLKRKIFPDSLLRRYIGDLGASGDDKTVGFSLRRPSRSERAVDDPLRDMEGMFVDEYGSNASFQLPGYLSSHTFGDDEEDEDLPSTSQEVKHTHMEEPVHALGKLEAHGSSSDKPHCVVDVNGGLEMKDASCQLNDDVCGIEAKEDSPAATCATELPSFPAGSPPLPHESPPSPPPLPPSSPPPPSSPPQLPPVPPPSEHCLPPPEAALAPAQSIALPPSSITRPSMPSHPSLPLQPGFAPPAYPLLQHEYQISMQRDHFSIATSNQIVQVPVNSAHGRHADGGVKSEYLIPQSSCFAPVLFKHEASSQNQQLRPINTSFLQRPMIRNLAPAPSSHFPLQCLIVQSEPQRSSFPHPYPFPSQPVEGRRHMNEEAWRMPSNGCNADTQYGAWISGRNPFPGSLTVTDGFFQQPPERPPSGTMSYQLAANNLQGGPTISGNIAPQMLPSRPDLPSAARWRPS >fgenesh2_kg.6__793__AT5G08270.1 pep chromosome:v.1.0:6:3248549:3250505:1 gene:fgenesh2_kg.6__793__AT5G08270.1 transcript:fgenesh2_kg.6__793__AT5G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKMMFLFSKFQQGVGILAKSTTFAKSPRQLQFEADINKLFMFTSYNRLGRNAEEADAEEIIEIAGKATLFEQQKQVQENIHYQVQNFCSSMDEILLTDIDKTKEELNKPGSESRRDNNVSAADKPIVPETKPLKLAEVSHRLKDRIGYTLQIKPSLIPHKDAGQGCFIEGEADVGAVLAFYPGVIYSPAFYRYIPGYPNVDAQNSYLITGYDGTVINAQPWGRGGESREVWNGSFTTPEIRTDTTTAENGSSKVWKMLSRPLGDLGSVEAVLEMRNPLAFGHFINHPGKDMEPNVMMCPYDFPLPETEMRAYIPNVAFGNTGDIKMGRFGSFLSRTGNNSSLDARVLKTLVLVATKALCNEELMLNDRLSNSEKRLEWYIPLDEQEDRREWS >fgenesh2_kg.6__796__AT5G08300.1 pep chromosome:v.1.0:6:3254364:3257083:1 gene:fgenesh2_kg.6__796__AT5G08300.1 transcript:fgenesh2_kg.6__796__AT5G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate--CoA ligase [ADP-forming] subunit alpha, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7M1A4] MSRQVARLIGSLSSKARRCSTGGSEVFPSCQSLTSLTQSRSFASDPHPPAAVFVDKNTRVLCQGITGKNGTFHTEQAIEYGTKMVAGVTPKKGGTEHLGLPVFNSVAEAKADTKANASVIYVPAPFAAAAIMEGIEAELDLIVCITEGIPQHDMVRVKHALNSQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGKIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFFVDPQTEGIVLIGEIGGTAEEDAAALIKASGTEKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKSLNDAGVKVVESPAKIGAAMYELFQERGLLKQ >fgenesh2_kg.6__79__AT5G01320.1 pep chromosome:v.1.0:6:309606:311936:1 gene:fgenesh2_kg.6__79__AT5G01320.1 transcript:fgenesh2_kg.6__79__AT5G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIGSIDTCKPTTGDVGSPPSNAVATIQDSAPTTATSEATLGRHLARRLVQAGVTDIFSVPGDFNLTLLDHLIAEPELNNIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDFGTNRILHHTIGLPDFSQELRCFQTVTCYQAVVNNLEDAHEQIDKAISTALKESKPVYISISCNLAATPHPTFARDPVPFALTPRMSNKMGLEAAVEATLEFLNKAVKPVMVGGPKLRVAKASDAFVELADASGYPLAVMPSAKGFVPENHPHFIGTYWGAVSTPFCSEIVESADAYIFAGPIFNDYSSVGYSLLLKKEKAIIVHPDRVVVANGPTFGCVLMSDFFRELAKRVKRNETAYENYHRIFVPEGKPLKCKPREPLRVNAMFQHIQKMLSSETAVIAETGDSWFNCQKLKLPKGCGYEFQMQYGSIGWSVGATLGYAQASPEKRVLSFIGDGSFQVTAQDISTMIRNGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTTKVRYEEELVEAIKTATLEKKDSLCFIEVIVHKDDTSKELLEWGSRVAAANGRPPNPQ >fgenesh2_kg.6__800__AT5G08335.1 pep chromosome:v.1.0:6:3273697:3275158:1 gene:fgenesh2_kg.6__800__AT5G08335.1 transcript:fgenesh2_kg.6__800__AT5G08335.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-S-isoprenylcysteine O-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M1B0] MTEIFSDTGFRQLTQMFLAIIFFHTSEYILAIAIHGASKVTLSSLLISKHYALAMLISVLEYITEIVFFPRLKQHWWISNFGLIMIILGEIIRKIAIITAGRSFTHLIKIRREEHHRLVTEGVYQIMRHPSYSGFLVWSVGTQVMLCNPISAVAFAVVVWRFFAERIPYEEHYLKQFFGRQYVEYAQRVPSGVPFVN >fgenesh2_kg.6__801__AT5G08340.1 pep chromosome:v.1.0:6:3275309:3277236:1 gene:fgenesh2_kg.6__801__AT5G08340.1 transcript:fgenesh2_kg.6__801__AT5G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGGSRVLQHLSDHNHHTSIGLGLGFCGAKIVQLSSFFLRPSQGMANSHHFSHKWHQQMISSFGSHSRTPGEIPILHNCFSQREDDTELPVEGLSPVSGGIVALGKFDALHIGHRELTIQASRIGTPYLLSFVGMAEVLGWEPRAPIVAKCDRKRVLTSWASYCGDRAPEEHEIEFASVRHLTPQQFVEKLSKELRVCGVVAGENYRFGYKASGDAFELVRLCEEYGITAYIINSVMDKKQGSGKRDSGDSKDRGQVSSTRVRQALAAGDMKYVSELLGRAHRLILRVRTQDMPSERMISVPRSSILNLPPGNGIYKACLLLVGDESSSPCTVVVDTSNIHVETEEVRLCNLDSSQEFRLLSVEFG >fgenesh2_kg.6__803__AT5G08350.1 pep chromosome:v.1.0:6:3277851:3278839:-1 gene:fgenesh2_kg.6__803__AT5G08350.1 transcript:fgenesh2_kg.6__803__AT5G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSRVDQQVIRFPAGKTTPFGYLPDPASINKFRVSASSKKSEQSNGKSILKRKKTDGFTNGARDQSKLRPKLTETVKRKLSLGARILQVGGLEKIFKRLFRVSEGEKLFKMYQCYLSTTAGPIAGLLFISSKKMAFCSERSIKVDSPQGDMIRVHYKVSLPLCKIDRVNQSQNTKKPSQKYLEVVTVDGFDFWFMGFMSYQKAFNCLEKALSLSFEDNKEQ >fgenesh2_kg.6__804__AT5G08370.1 pep chromosome:v.1.0:6:3283882:3286517:-1 gene:fgenesh2_kg.6__804__AT5G08370.1 transcript:fgenesh2_kg.6__804__AT5G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase [Source:UniProtKB/TrEMBL;Acc:D7M1B4] MVLIGFFLRFITFTLTLTQIVDGFQSRMLMHNGLALSPQMGWNSWNHFQCNINETLIKQTADAMVSSGLSAIGYKYINIDDCWGELKRDSQGSLVAKASTFPSGIKALSDYVHSKGLKLGIYSDAGTLTCSQTMPGSLGHEEQDAKTFASWGIDYLKYDNCENTGTSPRERYPKMSKALLNSGRSIFFSLCEWGQEDPATWAGDIGNSWRTTGDIQDNWKSMTLIADQNDRWASYARPGSWNDPDMLEVGNGGMTKEEYRSHFSIWALAKAPLLIGCDLRSMDNVTFELLSNKEVIAVNQDKLGIQGKKIKKDGDLEVWAGPLSKKRVAVILWNRGSVSAKITARWADIGLSSSDIVNARDLWEHSTHSRVKNQLSALVEPHACKMYTLTRRKA >fgenesh2_kg.6__806__AT5G08380.1 pep chromosome:v.1.0:6:3287981:3290952:-1 gene:fgenesh2_kg.6__806__AT5G08380.1 transcript:fgenesh2_kg.6__806__AT5G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase [Source:UniProtKB/TrEMBL;Acc:D7M1B5] MARTRAMVIKMSTLMILISLMVMNMVESSRSVNKVNNGDDSEILRRHLLTNGLGVTPPMGWNSWNHFSCNIDEKMIKETADALVTTGLSKLGYKYVNIDDCWAEISRDSKGSLVPKKSTFPSGIKALADYVHSKGLKLGIYSDAGYFTCSKTMPGSLGYEEHDAKTFAEWGIDYLKYDNCNNDGSKPTVRYPVMTRALMKSGRPIFHSLCEWGDMHPALWGSPVGNSWRTTNDIKDTWLSMISIADMNEVYAEHARPGGWNDPDMLEVGNGGMTKEEYIVHFSIWAISKAPLLLGCDIRNMTKETVEIVANKEVIAINQEKESSCRSTRCQAKKVRMEGDLEVWAGPLSGYRVALLLLNRGPSRTLITALWDDIEIPANSIVEARDLWQHKTLKQKFVGNLTATVDSHACKLYILKQSNLLPEIHKERVN >fgenesh2_kg.6__807__AT5G08391.1 pep chromosome:v.1.0:6:3297531:3297915:1 gene:fgenesh2_kg.6__807__AT5G08391.1 transcript:fgenesh2_kg.6__807__AT5G08391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVLVTVILFVMLTPGLLFQLPGRQRYVEFGNFQTSAVSVIVHSLLYFSLVCVFLLALKIHIYIG >fgenesh2_kg.6__808__AT5G08390.1 pep chromosome:v.1.0:6:3299283:3305447:1 gene:fgenesh2_kg.6__808__AT5G08390.1 transcript:fgenesh2_kg.6__808__AT5G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 WD40 repeat-containing subunit B1 homolog [Source:UniProtKB/TrEMBL;Acc:D7M1B9] MNTKRAYKLQEFVAHSAAVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLYGHSSGIDSVTFDASEGLVAAGAASGTIKLWDLEEAKVVRTLTGHRSNCVSVNFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNVLRFTPDGRWIVSGGEDNVVKVWDLTAGKLLHEFKSHEGQIQSLDFHPHEFLLATGSADKTVKFWDLETFELIGSGGTETTGVRCLTFNPDGKSVLCGLQESLKIFSWEPIRRHDGVDVGWSNLSDMNVHEGKLLGCSYNQNCVGVWVVDLSRPEPMSGGATQSNSHPEKTSGSGRDQAGLNDNSSKVILGKLPGSQKVDPLLKETKSLGKLSVSQNSDPLPKETKSTGRSSSSQSSDPLVKEPKPLGRLAVTHHSSDAVKESRTLSSTGSVSDSPHRVTLTSAPKSASGISTVVPTAAASKRNFGKANPKANPPVVNKEDYFPVIVPRTEPIIEQASEFRAELDIIGRTMPYSLQSKAADSRRLSSSKNEPDLPTSSLLERSQSQPIEPITLQDGNTYPSDEGGSWDTAERTDKDSRYRVFGRFNSRSLVRSPPRNHNENSDLIRYNANRDSSPTESRKGGRLHSVVLNRERRGRFSNFEGPVSSSSGGNMTAPNSRPSNVVKQRGNYVPVDQGITSASEEDIVADIMGQHDQFVSSMQSRLAKLQVVRRYWERNDIKNSISSIEKMADNAVVADVLLIVNERPEILTLDTCTSLLPLLTTLLGSNIDSHLSVCLELLLKLVRMYGSQIYSSLSAPSSVGVDIEAEQRMERYSCCFVELEKIKACLPSLARRGNLVAKTLHELNLTFQEVSS >fgenesh2_kg.6__80__AT5G01310.1 pep chromosome:v.1.0:6:313989:323679:-1 gene:fgenesh2_kg.6__80__AT5G01310.1 transcript:fgenesh2_kg.6__80__AT5G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7M738] MDDFNLRGQNPNSSSATSSSSSSLHGHKSETGNTKRSRSTSTLSTDPQSVAARERRHRISERFKILQSMVPGGAKMDTVSMLEEAIRYVKFLKAQIWFHQNMLLFLNNHETTSSCYSPGAGEFGPKLFGYDDDYAPIVETNSQGMPLTVMDSENTPWFGSAHDEQERIRHVFQTHACHTRNWRNRETGSPINDSDHREKMEVKIEESEKAKQIVVLLIGPPGSCKSTFCDTVMRSSHRPWSRICQDIINNGKAGTKAQCLKMATESLREGKSVFIDRCNLDREQRSEFIKLGGPGIEVHAVVLELSAQVCISRSVKRTGHEGNLQGGRAAAVVNKMLQSKELPKVNEGFSRIMFCYNDADVENASNTYNKLGPMDNLPSGCFGEKKSDTKSQPGIMKFFKKVNALPGSSSNEAANATQNDNEKTRNVRVSPAKLGSADIVPTLAFPSISTADFQFDLEKASDIIVEKAEEFLPKLGTARLVLVDLSQGSKILSLVKAKAAQKNIDSARFFTFVGDITKLRSEGGLHCNVIANATNWRLKPGGGGVNAAIFKAAGPDLEAATRVRANTLLPGKAAVVPLPSTCPLHNAEGITHVIHVLGPNMNPNRPDNLNNDYTKGCKTLREAYTSLFEGFLSVVQDQSKLPKRSNQTALSDSGEDIKEDSERNKKYKGSQDKAVTNNLESGSLEDTRDSGKKMSKGWSTWALALHSIAMHPERHENVVLEFSDNIVVINDQYPKARKHVLVLARQESLDGLEDVRKENLQLLQEMHNVGLKWVDRFQNEDASLIFRLGYHSVPSMRQLHLHVISQDFDSDSLKNKKHWNSFTSSFFRDSVDVLEEVKSQGKANVASEDLLKGELRCNRCRSAHPNIPKLKSHVRNCRSQFPDHLLQNNRLVARPVRQ >fgenesh2_kg.6__813__AT5G08420.1 pep chromosome:v.1.0:6:3311658:3314452:1 gene:fgenesh2_kg.6__813__AT5G08420.1 transcript:fgenesh2_kg.6__813__AT5G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KRR1 small subunit processome component [Source:UniProtKB/TrEMBL;Acc:D7M1C2] MAEVEELEHEEQNIEKRVRQKGKHDKPKPWDDDPNIDRWTIEKFDPAWNPTGMLETSSFSTLFPQYREKYLQESWPRVESALKEYGVACKLNLVEGSMTVSTTRKTRDPYIIVKARDLIKLLSRSVPAPQAIKILEDEMQCDIIKIGSLVRNKQRFVKRRQRLVGPNSSTLKALEILTNCYILVQGSTVAAMGPFKGLKQLRRIVEDCVQNKMHPVYHIKTLMMKKELEKDPALANESWDRFLPTFRKKNVKQKKPKSKEKKPYTPFPPPQPPSKIDMQLESGEYFMSDKKKSEKKWLEKQEKQTEKSTENKRKRDASFLPPEEPMNNNSKANNSEDGKNDITELTQSLKSKTKELKKQKKTHERVNAEEYIAGPSSIDKPAKEKSKKNRD >fgenesh2_kg.6__814__AT5G08430.1 pep chromosome:v.1.0:6:3314876:3318315:1 gene:fgenesh2_kg.6__814__AT5G08430.1 transcript:fgenesh2_kg.6__814__AT5G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB complex BAF60b domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M1C3] MGDITWVEEGNGGSAISSRKRKARPKRFEFVGWGSKQLIEFLKSLGKDTSEMISRYDVSDTIARYIAKEGLLDPSNKKKVVCDQRLLSLFGSRTIFRMKVYDLLEKHYKENQDDSDFDFLYEDEPQIISHSEKIAKRTSKVVKKPRGTFAAIVSDNIKLLYLRKSLVQELVKSPDTFESKMLGSFVRIKSDPNDYLQKYPYQLVQVTGVKKEPGTDDFLLQVTNYVKDVSISVLSDDNFSQEECEDLHQRIKNGLLKKPTIVEMEEKARSLHEDQTKHWLGREIVFDIVTLSEYLEKRELLQNPEEQSRLLREVPEVIGEDIVPNPEVSSPEAHKSDNEQRLSESPLSCIQETPEVRNLFCGEDQQCNNGYLISNPSTTLGITSYATINERLPAWIASAGDEYLHGDVEQPANGIIGGETLSKVSQLQSSIPVINLNNGSQVQPNPSEVIELSDDDEDDNDDGETLDPKVEDVQVLSYDKEKLNWLYKDPQGLVQGPFSLIQLKAWRDAEYFTKNFRVWMTGESMDSAVLLTDVLRRSN >fgenesh2_kg.6__818__AT5G08450.2 pep chromosome:v.1.0:6:3327375:3332474:-1 gene:fgenesh2_kg.6__818__AT5G08450.2 transcript:fgenesh2_kg.6__818__AT5G08450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVPKRSHEEGVTHPSSSSSAPKYPHEDSGSYPKSPHQPVTPPPAQVHHHHQQQPHQHPQSQSQPQPQPQPHLHTLPHPHSHSPLAAASASAAYEVESRTVVKVARSEPRDGERRSPLPLVYRSPSLPTTVSSSDPHLTHAPVPMEPREGTKDGREIRVENRENRSDGREIYGETKREIQGPKSDRDVKFDRSVDDFSGKGNTGSYSRNDGREMYGETKREIQGPKSDRDAKFERPGDDFSGKSNTGSYTRDTKFDRENQNYNEQKAEIKMEKDGHAHLAWKEQKDYPRGKRVAEGSTANVDPWVVSRGNPQGPTEVEPKDLSAPVEGPHLEGRETVGENKVDAKNEDRFKDKDKKRKELKHREWGDRDKDRNDRRGSVLIGSVMSEPKEIGRDERESDRWERERMEQKDRERNKEKDKDHIKREPRTGAEKEISQNEKELGEASAKPSEQEYVAPEQKKQNEPDNWEKDERESKEKRRERDGDSEAERAEKRSRISEKESEDGCLEGEGATEREKDAFNYGVQQRKRALRPRGSPQTTNRDHVLSRSQDNDGVQGKSEVSIVVYKVGECMQELIKLWKEYDLSHPDKSGDFANNGPTLEVRIPAEHVTATNRQVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPTMQELRTTIRVLPSQDYYTSKLRNNVRSRAWGAGIGCSYRVERCYILKKGGGTIELEPSLTHSSTVEPTLAPMAVERSMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKKPLFTSARLKKGEVLYLETHSCRYELCFAGEKTIKAIQASQQQSSHEAMETDNNNNKSQNHLTNGDKTDSDNSLIDVFRWSRCKKPLPQKLMRSIGIPLPADHIEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLSPN >fgenesh2_kg.6__819__AT5G08460.1 pep chromosome:v.1.0:6:3332849:3335317:1 gene:fgenesh2_kg.6__819__AT5G08460.1 transcript:fgenesh2_kg.6__819__AT5G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7M1C6] MHDSEIFKFKDMMMMSCIVQSLVLVPWFLVVAVLAGGEDSSDTAMFPAMFVFGDSLVDNGNNNHLNSLARSNYLPYGIDFAGNQPTGRFSNGKTIVDFIGELLGLPEIPAFMDTVDGGVDILQGVNYASAAGGILEETGRHLGERFSMGRQVENFEKTLMEISRSMRKESVKEYMAKSLVVVSLGNNDYINNYLKPTLFLSSSIYDPTSFADLLLSNFTTHLLVLYGKGFRKFVIAGVGPLGCIPDQLAAREAPPGECVEAVNEMAELFNNGLVSLVDRLNSNSKTASEAIFVYGNTYGAAVDILTNPFSYGFEVTDRGCCGVGRNRGEITCLPLAVPCAFRDRHVFWDAFHPTQAFNLIIALRAFNGSKSDCYPINLSQLSRL >fgenesh2_kg.6__821__AT5G08490.1 pep chromosome:v.1.0:6:3344951:3347500:-1 gene:fgenesh2_kg.6__821__AT5G08490.1 transcript:fgenesh2_kg.6__821__AT5G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M1C9] MVPLRQFVQNFRLLSGFGTDHRVFLDVVKACASVSELTSGRALHGCVFKLGHIACTEVSKSVLNMYAKCRRMDDCQKMFRQMDSVDPVVWNIVLTGLSVSCGRETMRFFKAMHFADEPKPSSVTFAILLPVCVRLGDSYNGKSMHSYIIKTGLEKDTLVGNALVSMYAKFGYIIPDAFTAFDDIADKDVVSWNAIIAGFSENKMMADAFRSFCLMLKEPTEPNYATIANVLPVCASMGKNIAYRSGRQIHSYVVQRSWLQTHVFVCNSLVSFYLRVGRIEEAASLFTRMGSKDLVSWNVVIAGYASNHEWLKALQLFHNLVQKGDVSLDSVTILSILPVCAQLTDLTCGKEIHSYILRHSYLLEDTSVGNALISFYARFGDTSAAYWAFSLMSMKDIISWNAILDAFADSPKQFQFLNLLHHLFNEAITLDSVTILSLLKFCTNVQGIGKVKEVHGYSVKAGLLHNEEEPKLGNALLDAYAKCGNVEYAHKIFQGLSERRTLVTYNSLLSGYVNSGSHDDAQMLFSEMSTTDLTTWSLMVRIYAESCFPNEAIGVFREIQARGMRPNTVTIMNLLPVCAQLASLHLVRQCHGYIIRGRLGDIRLKGTLLDVYAKCGSLKHAYSVFQSDARRDLVMFTAMVAGYAVHGRGKEALMIFSHMIDSNIKPDHVFITTLLTACCHAGLIQDGLQIYDSIRAVHGMKPTMEQYACAVDLLARGGRLDDAYSFITQMPVEPNANIWGTLLRACTTYNRMDLGHSVANHLLQAESDDTGNHVLISNMYAADAKWEGVMELRNLMKKKEMKKPAGCSWLEVDGKRDVFVSGDCSHPRRDSIFDLVNALYLQMKEPVVF >fgenesh2_kg.6__822__AT5G08500.1 pep chromosome:v.1.0:6:3347945:3370026:1 gene:fgenesh2_kg.6__822__AT5G08500.1 transcript:fgenesh2_kg.6__822__AT5G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane CLPTM1 family protein [Source:UniProtKB/TrEMBL;Acc:D7M1D0] MAPPASETAAVVDGGDGQQQRQAGGFGQAITGIIRIAVFCYFASKFFSPKQKPSDPSKPSHLMSNLFQRGEPMDMWFYLSEHEKFNDFGNEGALIWHETNIPYAVWKPESTRTLSMTYYPSEALKNNGSLYAHVFFARSGYPIDPNDPEYQPLNCFGKTHRVATYLPKRKADKKKSLLGNPKDDDESNVEVEEVDGKDSDLKDEGPVEWVSYWKPNVTINLVDDFTRYPQHGVPPNIDPYLLVEPSTVNYYPTVFFNEFWLLRDKLILINETVSELPLNLEVSPISMTKWQLFQQIDQSFQIHRSYGSMLDGESDELKRVFLEGNPYLLGVTMFVSMLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFMCQFIIFLYLLDNDTSWMILASSGVGVCIEFWKIGKAMRIEVDRSGMIPRLRFHDRESYASNKTKEYDDIAIKFLSYVLLLLVVGFSIYSLAYERHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDVIFLIYLYQRWVYPVDKTRVNEFGFGGEDESVDTKKISDQEDDKKTN >fgenesh2_kg.6__824__AT5G08510.1 pep chromosome:v.1.0:6:3454656:3456331:1 gene:fgenesh2_kg.6__824__AT5G08510.1 transcript:fgenesh2_kg.6__824__AT5G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M1E8] MNQIKQLHAHCLRTGVDETKDLLQRLLLIPNLVYARKLFDLHRNPCIFLYNKLIQSYSVHHQPHESIVLYNLLSFDGIRPNHHTFNFIFAASASFSSARPLRLLHSQFFRSGFESDSFCCTALITAYAKLGALCCARRVFDEMSNRDVPVWNAMITGYQRRGDMKAAMELFDSMPNKNVTSWTTVISGFSQNGNYSEALTMFLCMEKDKSVKPNHITLVSVLPACANLGELEIGRRLEGYARENGFFDNIYVRNATLEMYSKCGMIDVAKRLFDEIGNQRNLISWNSMIGSLATHGKHDEALELYAQMLQEGERPDAVTFVGLLLACVHGGMVLKGKELLKSMEEVHKISPKLEHYGCMIDLLGRVGKLQEACDLIKTMPMKPDAVVWGTLLGACSFHGNVEIAEIASEALMKLEPTNPGNCVIMSNIYAANEKWDGVLRMRKLMKKETMTKAAGYSYFVEAGVEVHKFTVEDKSHPRSYEIYQVLDEISRRMKPEKSRFDSMLQLDQVCI >fgenesh2_kg.6__825__AT5G08520.1 pep chromosome:v.1.0:6:3538248:3541437:-1 gene:fgenesh2_kg.6__825__AT5G08520.1 transcript:fgenesh2_kg.6__825__AT5G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M1F0] MTVEEVSDGSVWSREDDIAFERALANNTDESEERWEKITADVPGKSVEQIKEHYELLVEDVSRIESGCVPLPAYGSPQGSNGHAGDEGGSSKKGGNNHAGESNQGGKSKSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNADVSTPQGPITGQNNSNNNNNNTSSPAVAGGGNKSAKQAVSQAPPGAPMYGTPTIGQPVVGTPVNLPAPPHMAYGVHAAPVPGSVVPGAAMNMGQMPYTMPRTPTAH >fgenesh2_kg.6__826__AT5G08530.1 pep chromosome:v.1.0:6:3542911:3545466:-1 gene:fgenesh2_kg.6__826__AT5G08530.1 transcript:fgenesh2_kg.6__826__AT5G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] flavoprotein 1, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7M1F1] MAPVRGILGLQRAVSIWKEINRVAPALRSFSTQAASTSTTPQPPPPPPPPEKTHFGGLTDEDRIFTNLYGLHDPFLKGAMKRGDWHRTKDLVLKGTDWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLEKARREAYAAGLLGKNACGSGYDFDVYIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFSSFGRKNNAGTKLFCISGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAIIPGGSSVPLIPKNICEDVLMDFDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERMKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLQAAA >fgenesh2_kg.6__829__AT5G08540.1 pep chromosome:v.1.0:6:3547316:3549240:1 gene:fgenesh2_kg.6__829__AT5G08540.1 transcript:fgenesh2_kg.6__829__AT5G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLLPSSQFLQTRSQNNGQTLLLPRTPLFVSLPSLRQLRPKHPSISAAAPKKQSDTVTTPTPTAKKNSSVEEETEEEVEEDMLWIQEKALDLVEFTGSVTQAIPGPRVGSSKLPWMLAVPLAYAGVTFVTAFVKTVQKFSSPKAQRKKLVNQNAMLCRSIDELLRKDGTVHSSELKALEQKTEFNMEEILRKYIRYALNEKPFNPDLVADLIHLRKASGLNDSQIPEILNEISRRIVKEKGPVVMKMQGFTEKGFKRKLAVQALFGKIYYLSELPDFCSKDNSLIVKEIFGVTDEDAEKLRIHALAEAGDIEALEKMVEFEKTAESSSDKEEDSNEEDDSNTTS >fgenesh2_kg.6__82__AT5G01270.1 pep chromosome:v.1.0:6:334265:338614:1 gene:fgenesh2_kg.6__82__AT5G01270.1 transcript:fgenesh2_kg.6__82__AT5G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGHKSVVYHGDLRLGELDVNHVSSSHEFRFPNDEIRIHHLSPAGERCPPLAILQTIASFAVRCKLESSAPVKPQELMHLHAVCFHELKTAVVLLGDEEIHLVAMPSKEKKFPCFWCFSVPSGLYDSCLRMLNTRCLSIVFDLDETLIVANTMKSFEDRIEALKSWISREMDPVRINGMSAELKRYMDDRMLLKQYIDNDYAFDNGVLLKAQPEEVRPTSDGLEKVYRPVIRLPEKNTVLTRINPEIRDTSVLVKLRPAWEELRSYLTAKTRKRFEVYVCTMAERDYALEMWRLLDPEAHLISLKELRDRIVCVKPDAKKSLLSVFNGGICHPKMAMVIDDRIKVWEDKDQPRVHVVPAYLPYYAPQAEASLLVPILCVARNVACNVRGYFFKEFDESLMSSISLVYYEDDVENLPPSPDVSNYVVIEDPGFASNGNINAPPMTEGMCGGEVERRLNQSAAADHSTLPATSNAEQKPETPKPQIAVIPNNASTATAAALLPSHKPSLLGAPRRDGLTFSDGGRPLMMRPGVDIRNQNFNQPPILAKIPMQPPSSSMHSQGGWLVDDENRPSFPGRPSGIYPSQFPHGIPGSAPVGSFAHPSHLRSEEVSMDDDLKRQNLSRQTTEGGLSQNHLVSNGREHHTDGGKSNGGQSHLFVSALQEIGRRCGSKVEYRTVISTNKELQFSVEVLFTGEKIGIGMGKTKKDAHQQAAENALRSLAENYVAHVALLSRETEKDPENDNGFLWESSEDVSNKGLEEEAPKENISEL >fgenesh2_kg.6__830__AT5G08550.1 pep chromosome:v.1.0:6:3549146:3553883:-1 gene:fgenesh2_kg.6__830__AT5G08550.1 transcript:fgenesh2_kg.6__830__AT5G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Increased level of polyploidy1-1D [Source:UniProtKB/TrEMBL;Acc:D7M1F4] MGSNRPRNFRRRGDDGGDEIDGKVATPAAKPTSTLSLSKPKTLSASAPKKKLLSFADDEEEEEDGAPRVTIKPKNGRDRVKSSFRLGVSGSSHRHSSTKEHRPASSNVLPQAGSYSKEALLELQKNTRTLPYSRPSSNSEPKVVLKGLIKPPHQHEQQSLKDVVKQVSDLDFDEEGEKEQPEDAFADQAAIIRAKKERMRQSRSAPAPDYISLDGGTANHSAVEGVSDEDADFQGIFVGARPHKGDKKGVFDFGDENPTAKETTTSSFYEDEDEEEKLWEEEQFKKGIGKRMDEGSHRSVTSNGIGVPLHSNQQSLPHQQPQMYTYHAGTPMPNISVAPTIGPATSVDTLPMSQQAALAKKALQDNVKKLKESHAKTLSSLTKTDENLTASLMSITALESSLSAAGDKYVFMQKLRDFISVICDFMQNKGSLIEEIEDQMKELNEKHALSILERRIADNNDEMIELGAAVKAAMTVLNKQGSSTSVIAAATSAALAASASIRQQMNQPVKLDEFGRDENLQKRREVEQRAAARQKRRARFENKRASAMEIEGSSLKIEGESSTDESDTETSAYKETRDSLLQCADKVFSDASEEYSQLSRVKARFERWKRDYSSTYRDAYMSLTVPSIFSPYVRLELLKWDPLHQDVDFFDMKWHGLLFDYGKPEDGDDFAPDDTDANLVPELVEKVAIPILHHQIVRCWDILSTRETRNAVAATSLVTNYVSASSEALAELFAAIRARLVEAIAAISVPTWDPLVLKAVPNAPQVAAYRFGTSVRLMRNICMWKDILALSVLENLALSDLLFGKVLPHVRSIASNIHDAVTRTERIVASLSGVWTGPSVTRTHSRPLQPLVDCTLTLRRILEKRLASGLDDAETTGLARRLKRILVELHEHDHAREIVRTFNLKEAV >fgenesh2_kg.6__831__AT5G08560.1 pep chromosome:v.1.0:6:3560477:3564228:-1 gene:fgenesh2_kg.6__831__AT5G08560.1 transcript:fgenesh2_kg.6__831__AT5G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7M1F6] MGVVEDTEPPLKRAKRLTDEQNGFSGNSSLRGTSVNNSLGDLMARPLTSQGDDETIGSKGVIKKSEFIRIITRALYSLGYDKTGAMLEEESGISLHNSTIKLFLQQVKDGKWDQSVKTLYRIGLPDEKAVKSASFLLLEQKFLELLKVENIVDALGTLRNEMAPLRINTKRVHELASSLISPSSFISHTTSTPGKESVNSRSKVLEELQNLLPASVIIPEKRLECLVENSLHIQRDSCVFHNTLDSDLSLYSDHQCGQHQIPSQTVQILESHTDEVWFLQFSHNGKYLASSSKDQTAIIWEICADGHTSLKHTLVGHQKPVIAILWSPDDRQVLTCGAEEVIRRWDVDSGDCVHIYEKGGISPISCGWYPDGQGIIAGMTDRSICMWDLDGRELECWKGQRTQKVSDIAMTDDGKWLVSVCKDSVISLFDREATVERLIEEEDMITSFSLSNDNKYILVNLHNQEIRLWNIEGDPKIVSRYKGHKRSRFIIRSCFGGYKQAFVASGSEDSQVYIWHRSTGKLIVELPGHAGAVNCVSWSPTNLHMLASASDDGTIRIWGLDRINQQNQKKHVQGSSSNGVIHRCNGN >fgenesh2_kg.6__833__AT5G08570.1 pep chromosome:v.1.0:6:3571097:3573483:1 gene:fgenesh2_kg.6__833__AT5G08570.1 transcript:fgenesh2_kg.6__833__AT5G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:D7M1F8] MSNIDIEGILKELPNDGRIPKTKIVCTLGPASRTVSMIEKLLKAGMNVARFNFSHGSHEYHQETLDNLRTAMHNTGILAAVMLDTKGPEIRTGFLKDGNPIQLKEGQEITITTDYDIKGDESTISMSYKKLPLDVKPGNTILCADGSISLAVLSCDPESGTVRCRCENSAMLGERKNVNLPGVVVDLPTLTDKDIEDILGWGVPNSIDMIALSFVRKGSDLVNVRKVLGSHAKSIMLMSKVENQEGVINFDEILRETDAFMVARGDLGMEIPIEKIFLAQKLMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEIAVKVMAKICIEAESSLDYNTIFKEMIRATPLPMSPLESLASSAVRTANKARAKLIIVLTRGGSTANLVAKYRPAVPILSVVVPVMTTDSFDWACSDESPARHSLIYRGLIPMLAEGSAKATDSEATEVIIEAALKSATQRGLCNHGDAVVALHRIGAASVIKICVVK >fgenesh2_kg.6__837__AT5G08610.1 pep chromosome:v.1.0:6:3583448:3587143:1 gene:fgenesh2_kg.6__837__AT5G08610.1 transcript:fgenesh2_kg.6__837__AT5G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKFPLGVRFITHSLPCTRLASMNSGALIYSFRSVPVLSKAFPFRLKYIGLGSRVNFSTRPERSQPEFGRRSGAGGDIRASKSLIEDEAELSDWVSDLRTSSLRGKFTSDEENSDPEVVRRNVDRDNSRGPRRGSVGQSDRFGGAKRGKEGEMDRFGSPNHRRTSGEPADSFRNKRLGDREGSRNGRVQGKSSDSSFRGRNDRNVDSGSSFRGRNERNVDSGSSFRGRNERNVDSGFRREQGLENNRGLGKQTRGLKQEEEDSSEEDEERVLSGTIDELLSEDSSDEDDEDDEPLIKKAASAKAVQTDKPSGEHVKTSDSYLSKTRFDQFPLSPLSLKAIKDAGFETMTVVQEATLPIILQGKDVLAKAKTGTGKTVAFLLPAIEAVIKSPPASRDNRQPPIIVLVVCPTRELASQAAAEANTLLKYHPSIGVQVVIGGTKLPTEQRRMQTNPCQILVATPGRLKDHIENTSGFATRLMGVKVLVLDEADHLLDMGFRRDIERIIAAVPKQRQTFLFSATVPEEVRQICHVALKRDHEFINCVQEGTGETHQKVTQMYMIASLDRHFSLLYVLLKEHIADNVDYKVIIFCTTAMVTRLVADLLSQLNLNVREIHSRKPQSYRTRVSDEFRKSKAIILVTSDVSARGVDYPDVSLVVQMGLPSDREQYIHRLGRTGRKGKEGEGVLLLAPWEEYFMSSVKDLPITKSPLPPIDPEAVKRVQKGLSQVEMKNKEAAYQAWLGYYKSQKMIARDTTRLVELANEFSRSMGLGSPPAIPKNVLGKMGLKNVPGLRTK >fgenesh2_kg.6__839__AT5G08640.1 pep chromosome:v.1.0:6:3596895:3598354:1 gene:fgenesh2_kg.6__839__AT5G08640.1 transcript:fgenesh2_kg.6__839__AT5G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavone synthase [Source:UniProtKB/TrEMBL;Acc:D7M1G5] MEVERVQDISSSSLLTEAIPLEFIRSEKEQPAITTFRGPTPAIPVVDLSDPDEESVRRAVVKASEEWGLFQVVNHGIPTELIRRLQDVGRKFFELPSSEKESVAKPEDSQDIEGYGTKLQKDPEGKKAWVDHLFHRIWPPSCVNYRFWPKNPPEYREVNEEYAMQVKKLSETLLGILSEGLGLKRDALREGLGGEMAEYMMKINYYPPCPRPDLALGVPAHTDLSGITLLVPNEVPGLQVFKDDHWFDAEYIPSAVIVHIGDQILRLSNGRYKNVLHRTTVDKEKTRMSWPVFLEPPREKIVGPLPELTGDDNPPKFKPFTFKDYSYRKLNKLPLD >fgenesh2_kg.6__83__AT5G01260.2 pep chromosome:v.1.0:6:339186:341291:-1 gene:fgenesh2_kg.6__83__AT5G01260.2 transcript:fgenesh2_kg.6__83__AT5G01260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase starch-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M743] MKMIGIGGTLTSSSPSKIILEDFPPGISRYGTEIFPCVTRKTFHHIKFLRLDSAHSSRILKPIPLRSSSIKDSQVNVEASDIEAHRKTVRVRFQLRKECVFGEHFFILGDDPVFGGLWDPETALPLNWSDGNVWTVDLDLPVGRLVEFKFILKAQTGEILWQPGPNRALETWETNKTIRICEDWDNADLQMMIEEDLVPYTNISSNSEEEEDEVLVTTQQKASVVVVENAGYVSDESAENSSFDIQSEKTVEPSNGALTAREVTKEAMFTEEESPVLVPGLIPLSDLDSEDVEVINEGKAETFPEVDKKPETKAERNKKAKVKAISLFEKPEQEAVKSVEQRQYNRVEEEQQRLETEPLGTPEVLLENDIQWGRRTLYKLLSNFGLF >fgenesh2_kg.6__840__AT5G08650.1 pep chromosome:v.1.0:6:3599227:3606012:-1 gene:fgenesh2_kg.6__840__AT5G08650.1 transcript:fgenesh2_kg.6__840__AT5G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation factor GUF1 homolog, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7M1G6] MASAMDLFPPTFFLSGTSTSSSSPALRRLSSISVSGFRRHSYRKLQILCQATAGTEPQSGLSVSGSKLAARSGQDRLLKVPISNIRNFSIIAHIDHGKSTLADKLLQVTGTVQNRDMKEQFLDNMDLERERGITIKLQAARMRYVYEDTPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVLNKIDLPGAEPEKVLREIEEVIGLDCSKAIFCSAKEGIGITEILDAIVQRIPAPLDTAGNPLRALIFDSYYDPYRGVIVYFRVIDGKVKKGDRIFFMASGKDYFADEVGVLSPNQIQVDELYAGEVGYIAASVRSVADARVGDTITHYSRKAESSLPGYEEATPMVFCGLFPVDADQFPDLRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLNLITTAPSVVYRVNSVNGDTTLCSNPSRLPDPGQRKSVEEPYVKIELLTPKDYIGALMELAQERRGEFKEMKYIAENRASILYELPLAEMVGDFFDQLKSRTKGYASMEYSVIGYRESDLIKLDILINAEMVEPLSTIVHRDKAYSVGRALTQKLKELIPRQMFKVPIQACIGSKVIASEALSAIRKDVLAKCYGGDISRKKKLLKKQAAGKKRMKAIGRVDVPQEAFMAVLKLEREVL >fgenesh2_kg.6__841__AT5G08660.1 pep chromosome:v.1.0:6:3607265:3610787:1 gene:fgenesh2_kg.6__841__AT5G08660.1 transcript:fgenesh2_kg.6__841__AT5G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFCSKSLGINFGSEYSGSSVADDGREPDFGHPQPNGQTSLIVPGMRQLMVKDVKEQNQLKDVFSFREREAEDNFYDGIPTYTMAPSQKIRSAKSTQTAVSKVTEASVLLGKAGLGKAKDVLDTLGSSMTDLSSGGFTSGIATKGNELGILSFEVANTIVKSSNLIDSLSKRNIEYLKGTVLYSEGVQNLVSNDFHELLRLVAADKRQELQVFSGEVVRFGNRSKDFQWHNLQRYFDRISKELTPQRQLKEDAVLVVDQLMVLVQYTAELYQELQVLYRLEKDYEQKRREEENSANSSKGDGLAILKTELKAQKKVVKSLKKKSLWSRGFEEVMEKLVDIVHFLLLEIHNIFGGADDQPSKKGAADYDKRLGPAGLALHYANIIVQIDTLVARASSITSNARDSLYQSLPPGIKLALRSKIKSFNVDKELSVTQIKDEMERTLHWLVPVAGNTTIAHHGFGWVGEWANTGTDFTSKPSGGDILRIETLYHASKEKTEIYILGQIIWLQHLVTKAKSDARGVPRLSSIKSPLNTTNQQIISEPLSVPLVTDEEQKLLQEASTRKRTLCVSKSQDFDSEYSRARKCDPLSKSSEYFRGVRRSKSAAVKRYSSGFPLLDFAIDKEKVLDVIDRVDVPRDHKALLKESSLSF >fgenesh2_kg.6__842__AT5G08670.1 pep chromosome:v.1.0:6:3610952:3613964:-1 gene:fgenesh2_kg.6__842__AT5G08670.1 transcript:fgenesh2_kg.6__842__AT5G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta [Source:UniProtKB/TrEMBL;Acc:D7M1G8] MASRRVLSSLLRSSSGRSAAKLGNRNPRLPSPSPARHAAPCSYLLGRVAEYATSSPASSAAPSSAPAKDEGKKTYDYGGKGAIGRVCQVIGAIVDVRFEDQEGLPPIMTSLEVQDHPTRLVLEVSHHLGQNVVRTIAMDGTEGLVRGRKVLNTGAPITVPVGRATLGRIMNVLGEPIDERGEIKTEHYLPIHRDAPALVDLATGQEILATGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQSESKCALVYGQMNEPPGARARVGLTGLTVAEYFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLASDLGALQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEIFTGAPGKYVDLKENINSFQGLLDGKYDDLSEQSFYMVGGIDEVVAKAEKIAKESAA >fgenesh2_kg.6__844__AT5G08680.1 pep chromosome:v.1.0:6:3614709:3617739:1 gene:fgenesh2_kg.6__844__AT5G08680.1 transcript:fgenesh2_kg.6__844__AT5G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta [Source:UniProtKB/TrEMBL;Acc:D7M1G9] MASRRILSSLLRSSSGRSTSKSSLIGSRNPRLSSPGPAHRAAPCGTLLGRVAEYSTSSPANSAAPSPAPAKDEGKKTYDYGGKGAIGRVCQVIGAIVDVRFEDQEGLPPIMTSLEVQDHPTRLVLEVSHHLGQNVVRTIAMDGTEGLVRGRKVLNTGAPITVPVGRATLGRIMNVLGEPIDERGEIKTEHYLPIHRDAPALVDLATGQEILATGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQSESKCALVYGQMNEPPGARARVGLTGLTVAEYFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLASDLGALQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEIFTGAPGKYVDLKENINSFQGLLDGKYDDLSEQSFYMVGGIDEVVAKAEKIAKESAA >fgenesh2_kg.6__845__AT5G08670.1 pep chromosome:v.1.0:6:3619855:3622712:1 gene:fgenesh2_kg.6__845__AT5G08670.1 transcript:fgenesh2_kg.6__845__AT5G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta [Source:UniProtKB/TrEMBL;Acc:D7M1G8] MASRRVLSSLLRSSSGRSAAKLGNRNPRLPSPSPARHAAPCSYLLGRVAEYATSSPASSAAPSSAPAKDEGKKTYDYGGKGAIGRVCQVIGAIVDVRFEDQEGLPPIMTSLEVQDHPTRLVLEVSHHLGQNVVRTIAMDGTEGLVRGRKVLNTGAPITVPVGRATLGRIMNVLGEPIDERGEIKTEHYLPIHRDAPALVDLATGQEILATGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQSESKCALVYGQMNEPPGARARVGLTGLTVAEYFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLASDLGALQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEIFTGAPGKYVDLKENINSFQGLLDGKYDDLSEQSFYMVGGIDEVVAKAEKIAKESAA >fgenesh2_kg.6__847__AT5G08710.1 pep chromosome:v.1.0:6:3623088:3626477:-1 gene:fgenesh2_kg.6__847__AT5G08710.1 transcript:fgenesh2_kg.6__847__AT5G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRAIGRVRIPVSRIQGSNNSSGFLKDSKLGPIGVSCRRWLSNESGKRFAAMWGSGDYGRLGLGNLDSQWTPAGCSALSDHSIRAVACGGAHTLFLTETRRVFATGLNDCGQLGVSDVKSHAMDPLEVSGLDKDILHISAGYYHSAAITVDGELYMWGKNSSGQLGLGKKAARVVRVPTKVEALHGITIQSVALGSEHSVAVTDGGEVLSWGGGGSGRLGHGHQSSLFGILRSNSEFTPRLIKELEGIKVKNVAAGLLHSACTDENGSAFMFGERSINKMGFGGVRNATTPSIISEVPYAEGVACGGYHTCVVTRGGELYTWGSNENGCLGTDSTYVSHSPVRVEGPFLESTVSQVSCGWKHTAAISDNKVFTWGWGGSHGTFSVDGHSSGGQLGHGSDVDYARPAMVDLGKNVRAVHISCGFNHTAAVLEHF >fgenesh2_kg.6__848__AT5G08720.1 pep chromosome:v.1.0:6:3633017:3636894:-1 gene:fgenesh2_kg.6__848__AT5G08720.1 transcript:fgenesh2_kg.6__848__AT5G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSKLPHLSHGVHTINFLNFTNEPVFLSVLLPCPSRIRVFSTISTTGIGGAGVAKCHGTRHSGAGRGDNGLRSDSGLGFDERGERKVRCEVDVISWRERRIRGEIWVDSDSQSVWNVLTDYERLADFIPNLVWSGRIPCPHPGRIWLEQRGLQRALYWHIEARVVLDLHECLDSPNGRELHFSMVDGDFKKFEGKWSVKSGIRSIGTVLSYEVNVIPRFNFPAIFLERIIRSDLPVNLRAVARQAEKIYKDCGKPSIIEDLLGRISSQPAPSRGVEFESLATERSVASSVGSLAHSNELNNNWGVYGKVCKLDKPCTVDEVHLRRFDGLLENGGVHRCAVASITVKAPVCEVWKVLTSYESLPEIVPNLAISKILSRDNNKVRILQEGCKGLLYMVLHARAVLDLHEIREQEIRFEQVEGDFDSLEGKWIFEQLGSHHTLLKYTVESKMRKDSFLSEAIMEEVIYEDLPSNLCAIRDYIEKRGEKSSESCKLETCEVSEETCSSSRATTVETVYNNDDGSDRTKQRRRIPGLQRDIEVLKSEILKFISEHGQEGFMPMRKQLRLHGRVDIEKAITRMGGFRRIALMMNLSLAYKHRKPKGYWDNLENLQEEIGRFQQSWGMDPSFMPSRKTFERAGRYDIARALEKWGGLHEVSRLLALNVRHPNRQVNSRKDNGNTILRTESTEADLNSAVNKKNEPYVSQDTEKWLYNLKDLDINWVQY >fgenesh2_kg.6__849__AT5G08730.1 pep chromosome:v.1.0:6:3637336:3638942:-1 gene:fgenesh2_kg.6__849__AT5G08730.1 transcript:fgenesh2_kg.6__849__AT5G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IBR domain-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M202] MEADGQKYSVLAKTQVREKMMKEIEQISEVFSVSKSDATVILICLRWNSFKASDLLGDNKKKFLAKLGLVRVFNSNSSSADRETGDGDYLVSTPFCSHKFSTTCWSEYLSDALEKNKEERGLISCLNQDCVASVGPDTIEKLTEQVKVMYENYALESFMECHKGTIKWCPASGCEYAIELQEDGNEDNVTVVCLCGHTFCWTCRLESHRPVSCKKASIWWTYLLDQSRSISWIHANTKPCPNCKSPVQQNGDPDYRLITCICSHSFCWICLRTEEDHNGNWNCAPVTVPAADPTTAEFSHILHLNLWEGGHEALEKAKSKLRALEEKTIPKLVENCGLSELDIRTVREAGILSVQCRQVLKWSCVFDYFITEYESTKKQYTKHLIGQASAMLCTHEGKLDESMYRALSGGDFTFFKHMLETSTTYTGNYFDAFIKDLEDGKPPEVKADAYEDGQSSHWFCDRCTFENSCDDKQCKMCFYSLGSPHVAAAPEDLGKLE >fgenesh2_kg.6__853__AT5G08750.3 pep chromosome:v.1.0:6:3643962:3646253:1 gene:fgenesh2_kg.6__853__AT5G08750.3 transcript:fgenesh2_kg.6__853__AT5G08750.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M204] MGKLFLELSKENQLETTNCGVSDHGSPPIKNEEHEAPRYSSDKDTGLPTCRVCQCAESDRRGDAALGFLGITPPVSEPRRSNAGKEAVDQKSSVNKSSGFIELISPDGEVFVCANNDIEMGAWRHRDKLLELGCSCKNDLALVHYACALKWFVNHGSTVCEICGKTAKNIRTVDFNKVVIALKDYAALRERTADGDPNPVAVNNNTSSSGIDPDAVAAIRRQRLSEISLWFGPHCSNNNNSSNSAAAGTASSQVTSEQPVGIVNFDILPMESRATKWAVEGTGILLATGLLTVTLAWLIAPRVGKRTAKSGLHILLGGLCALTVVIFFRFVVLTRIRYGPARYWAILFVFWFLVFGIWASRSHASHSST >fgenesh2_kg.6__855__AT5G08780.1 pep chromosome:v.1.0:6:3650124:3652376:1 gene:fgenesh2_kg.6__855__AT5G08780.1 transcript:fgenesh2_kg.6__855__AT5G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H1/H5 family protein [Source:UniProtKB/TrEMBL;Acc:D7M207] MAASAILMSMAHERKVENLRGFMVNLAKSRGFSLPETKRFQQKFLDLCLSRTPDHPTYSAMIFIAIMDLNEEGGASEDVISEFIKSKYKNLPFAHKSLLSHHLAKLVEKREILCDCNSYCYSLPGEKKTVASTDDERKSAVITVRKNDKRVANEVMTCQNEEESVEILKSGDRKVDLLEEQSLTKSRTSSKRKACCAIKVIEVRHTEDNGVKADLRDSTIHTPRKEGVAEEPGVAVGNSETEARIEVNSRGGELYEVAVLYKQNDVLTDESGKEAMETSSKERKAKLRRTSNTTKEACVEVTSEAYKKLWECQTEACSNIIALEKMLKQWKEKDQQNKAVSEIDDVSRLPLSMESCRELWKAAQKIQNQLSEIIDSCDETVVPYSESPGCEIEGISKGLCKEDPKMKTPCGNSGSEKPSKQIEQKERQKCSQKKPQVKKTRIKRLRDIGTTAVRKSPRFS >fgenesh2_kg.6__857__AT5G09220.1 pep chromosome:v.1.0:6:3662229:3664963:1 gene:fgenesh2_kg.6__857__AT5G09220.1 transcript:fgenesh2_kg.6__857__AT5G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETAAANNHRHHHHHGHQVFDVASHDVVPPQPAFKCFDDDGRLKRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPAVMLLFSFVTLYSSTLLSDCYRTGDAVSGKRNYTYMDAVRSILGGFKFKICGLIQYLNLFGIAIGYTIAASISMMAIKRSNCFHKSGGKDPCHMSSNPYMIAFGVAEILLSQVPDFDQIWWISIVAAVMSFTYSAIGLALGIVQVAANGVFKGSLTGISIGTVTQTQKIWRTFQALGDIAFAYSYSVVLIEIQDTVRSPPAESKTMKKATKISIAVTTIFYMLCGSMGYAAFGDAAPGNLLTGFGFYNPFWLLDIANAAIVVHLIGAYQVFAQPIFAFIEKSVAERYPDNDFLSKEFEIKIPGFKSPYKANVFRVVYRSGFVVTTTVISMLMPFFNDVVGILGALGFWPLTVYFPVEMYIKQRKVEKWSTRWVCLQMLSVACLVISVVAGVGSIAGVMLDLKVYKPFKSTY >fgenesh2_kg.6__85__AT5G01250.1 pep chromosome:v.1.0:6:342682:344126:1 gene:fgenesh2_kg.6__85__AT5G01250.1 transcript:fgenesh2_kg.6__85__AT5G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha 1,4-glycosyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7M744] MDRHDIEKRFTVVIDNRRLNQSGSSSLFTGFAISSITLIVVTTFALMSSFSMQPQRDFSGVKIDIKRVIPHLPLSSEKEGERSDLLKQQTQVNEKLQVIEVFSGDNLSDKFQKRVNEFVGDGCEVNFIMTWISPAEFFGNRELLAIESVFKSHPYGCLMILSATMDSPQGYTVLKPFLDRGYKVLAVTPDLPFLLKGTAGESWLEEIKTGKRDPGKISLAQNLSNLMRLAYLYKYGGVYLDTDMIVLKSFKGLRNVIGAQTLDPSSTNWTRLNNAVLIFDKNHPLLLKFIEEFAKTFNGNIWGYNGPYLVSRVARAVEGSSGYNFTVMRPSVFYSVNWLEIKKLFKVAKTEKDSKWVKIKLLHMRKSGYGLHLWNKFSRKYEIEQGSAMWKLVSDHCIICDIGSAS >fgenesh2_kg.6__867__AT5G09240.1 pep chromosome:v.1.0:6:3685920:3687176:-1 gene:fgenesh2_kg.6__867__AT5G09240.1 transcript:fgenesh2_kg.6__867__AT5G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator p15 family protein [Source:UniProtKB/TrEMBL;Acc:D7M217] MSSSGKRKGEEVRASDNDDESETHAPPKKVAKPADETEDIFICNLDKNRRVFVRNCNGRIWIAIRQFFVKDGITLPCNSKHGISLSLEQWNDLRNHEEDIDKALSDLSEV >fgenesh2_kg.6__870__AT5G09270.1 pep chromosome:v.1.0:6:3691341:3692647:1 gene:fgenesh2_kg.6__870__AT5G09270.1 transcript:fgenesh2_kg.6__870__AT5G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQSGLEDQESQPNQVAPGFVGAIEEKYKKLKDHAEAYPYVWGSYTVVYGGLFLWTAYRWRKLRRTEDRVRGLQTKLRKLVEDEQAAVTASKSAQSMDKSSSISDKKTS >fgenesh2_kg.6__872__AT5G09280.1 pep chromosome:v.1.0:6:3692867:3694076:-1 gene:fgenesh2_kg.6__872__AT5G09280.1 transcript:fgenesh2_kg.6__872__AT5G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7M222] MTGNIGKGVTQYKVTDPSDDPLNPKPGTLRYGATLVKGKKWITFKRNMKIKLHKPLLISSFTALDGRGASVHISGPACLIVYKATDVIIHGLKIHDCKAHPPSSVMGPDSKIIQLGQVDGDAIRLVTAKKVWIDHNTLYDCEDGLLDVTRGTTDVTVSNNWFRNQDKVMLLGHDDGYVRDKEMRVTVVFNHFGPNCNQRMPRVRHGYAHVANNYYQGWTQYAIGGSMSPRVKSESNYFVAPKSGSKEVLEKHGPITVQIKGSLLQTLRLLKNSLLQLERYTAPEIPSVRTTTTLNMTF >fgenesh2_kg.6__873__AT5G09290.1 pep chromosome:v.1.0:6:3694902:3696726:1 gene:fgenesh2_kg.6__873__AT5G09290.1 transcript:fgenesh2_kg.6__873__AT5G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEKELAAAKKAVSLAARLSQEVQKSLLQSDVRSKSDKSPVTAADYGSQAVISHVLEKELHPEPLYLVAEENAEDLQKSGAEEFLESITKLVNNALPSDDSYANSSLSVEDVRKAIDHGRSQGGSNGRHWILDPVDGTRGFVKGEDYAVALALLVEGKVVLGAMACPKLENHKSSSSGCLFFATVGGGAYVQSLEGDSHPPQKVQVSNIENPEEATFVESSHKPIPIHSSIANKLGIKAPPLRIHSQVKYAALARGDAEIYLRFTLKEYRESIWNHAAGAIITTEAGGVVCDANGNPLDFSRGNHLEHKTGIVVSTQKLMPRILKAVRESMEEEKLLSETQLKL >fgenesh2_kg.6__877__AT5G09320.1 pep chromosome:v.1.0:6:3701291:3705798:-1 gene:fgenesh2_kg.6__877__AT5G09320.1 transcript:fgenesh2_kg.6__877__AT5G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTDVVSGLHNFLSKPSAKDFIKSIKSFIVSILNTAPDPEKDCDAVQEFFSKMESAFRAHPLWSGCSADELHNAGDGLEKYVMTKLFPRVFASNTEDVISDEKLFHKMSLFQLFISPENLDIQPTFQNQTSWLLAQKELQKINMYNAPRDKLMCILRCCKVINNLLLNASIASNENAPGADQFLPVLIYVTIKANPPQFHSNLLYIQRYRRQSKLVGEAAYLFTNILSAESFISNIDAESLSMDEADFENKMKSARARLSGLGSQSYQNDHDAALTAHNPKRENTLLHTKSSDSLSGTNETPIKKAESITDLENKGASTLSKDRSEATKIFQEYPYMFASVGDLKIGYVEDLLNSYKQLVFKYVCLSKGLGDAKSLAPSISPLQASKDSDNHTTLSSDVQTKSETDSSVDDLFRALQDTRGLRAGLLDIRGIFSLVATGCSLLIRNDEFVLPLKLRLPKHENLAALSANPGSPANSVIGVVVFSRTANMEGIGLRSCGQDILRLGFKLRGGIGGRIPILRRAMGIKMRDRSKNRKPLQRGRMLSIEAIQAVQALKRANPLLPPPSPSSSSALVDRVIISKFRRLLKFDMVAVLRELLRQNECSLALKVFEEIRKEYWYKPQVRMYTDMITVMADNSLMEEVNYLYSAMKSEKGLMADIEWFNTLLTILLNHKLFDLVMDCYAFMQSIGYEPDRASFRILVLGLESNGEMSLSAIVRKDAHEYYGESLEFIEEEEEISSGTGVLI >fgenesh2_kg.6__879__AT5G09330.2 pep chromosome:v.1.0:6:3706179:3708910:-1 gene:fgenesh2_kg.6__879__AT5G09330.2 transcript:fgenesh2_kg.6__879__AT5G09330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTQLAPGFRFHPTDVELVRYYLKRKVLGKKLLVDAIAEVDIYKFEPPDLPDMSFIRSGDLKWHFFCPREKKYASGVRANRATECGYWKTTGKERPVLCNSEVVGKIKTLVYHFGKSPRGERTDWVMHEYRLEDKVLTQMNVPQDTYVVCVLFKKDGPGPRNGAQYGAPFREEDWSDEEVRTDVPSTSNPTNLLEPSKETTLALTAPDDSNKDCFGGMISESCVSDFLPATTNTTSKLPHPSDAANTPMSTAPLAETLQTPNNDDLYSMLDLFDDDEEFLGFNNNEVRHDPGVSAPVCLEEEGIFNGLPELSNMPRTASYDLIENSELYLELQDLTAPLNPHIGLQDLSAPLNPQTGMQDLTAPLYPPTGNLNDPRSSSYLYNQGHFDFSAANDDDPYGFSASVGHRPKM >fgenesh2_kg.6__87__AT5G01240.1 pep chromosome:v.1.0:6:344406:348403:-1 gene:fgenesh2_kg.6__87__AT5G01240.1 transcript:fgenesh2_kg.6__87__AT5G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEKQVEEAIVVSGEDEVAGRKVEDSAAEEDIDGNGGDGFSMKSFLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQIFYGLMGSWTAYLISVLYVEYRARMEKQEAKSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAFLHGQAEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPRKFKSIYLMATLYVFTLTLPSASAVYWAFGDQLLNHSNAFSLLPKTRFRDTAVILMLIHQFITFGFACTPLYFVWEKAIGMHHTKSLCLRALVRLPVVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRTASARRNAAEKPPFFIPSWAGVYVINAFIVVWVLVLGFGFGGWASMTNFIRQIDTFGLFAKCYQCKPPPAPIAAGPHHGR >fgenesh2_kg.6__880__AT5G09350.1 pep chromosome:v.1.0:6:3709351:3716448:-1 gene:fgenesh2_kg.6__880__AT5G09350.1 transcript:fgenesh2_kg.6__880__AT5G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAQFLSLVRGDSIESPREITSPGSLISESGSNGWLIRFFDSSFFCEWIAVSYLYKHQHSGVRDYLCNRMYTLPLSGIESYLFQICYLMVHKPSPSLDKFVIDICAKSLKIALKVHWFLLTELEDSDDNEGISRIQEKCQIAATLVGEWSPLMRPQNEPSTSGSKNPVLNKFFSSKQKFFSLNLSPSTQKSLLSSPTTGSNLQDDCSQLSADDNKIFQRLIPSPKVRDALLFRKSADKEDEECEKDGFFKRLLRDSRCEDDEQMSNSEGFFKRLLKDNKSEEEEISNNFEGFFKRLRSSKGDEEELTSSSDGFFKRLLRDNKGDEDELGANSESFFKKLLRDNKNEDEEPNANTEGFFKKLFRESKNEDEKLSNAVDDEEKDGFFKKLFKEKFDEKRNGNEKNETDETMYTDGKPGEDNEREGFFKKFFKEKFEDKRDIGKADDGNESEDDESSEFSLFKRLFRRHPEDVKTTLPSENSSNGSLVESSPGTENFFRKLFRDRDRSVEDSELFGSKKYKEKCPGSPKPQNDTPSKKPPLPNNTAAQFRKGSYHESLEFVHALCETSYDLVDIFPIEDRKTALRESIAEINSHLAEAETTGGICFPMGRGVYRVVNIPEDEYVLLNSREKVPYMICVEVLKAETPSGAKTASTSLKLSKGGIPLANGDAFLQKPPPWAYPLSTAQEVYRNSADRMSLSTVEAIDQAMTHKSEVKLVNASLSVEKHSNSITKSVSSGVTGVLRTGLESDLEWVRVVLTADPGLRMESIADPRTPRRKEHRRVPSIVAYEEVRAAAAKGEAPPGLPLKGAGQDSSDAQPMANGGMLKAGDALSGEFWEGKRLRIRKDSIYGNLPGWDLRSIIVKSGDDCRQEHLAVQLISHFFDIFQEAGLPLWLRPYEVLVTSSYTALIETIPDTASIHSIKSRYPYITSLRDFFDAKFKENSPSFKLAQRNFVESMAGYSLVCYLLQIKDRHNGNLLMDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >fgenesh2_kg.6__881__AT5G09360.1 pep chromosome:v.1.0:6:3717867:3720321:-1 gene:fgenesh2_kg.6__881__AT5G09360.1 transcript:fgenesh2_kg.6__881__AT5G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:D7M229] MEFKLNVPNTIVKTLQTIVFFLFVLLTFQIAEAKIHHHTFKIKSKAYTRLCNTKKILTVNGEFPGPTLKAYRGDKLVVNVINNATYNITLHWHGARQIRNPWSDGPEYVTQCPVRPGESYVYKIDLTVEEGTIWWHAHSQWARATVHGAFIVYPKLGSSYPFPKPHREIPLILGEWWEKENIMHIPGKANKTGGEPAISDAYTINGQPGYLYPCSKPETFKITVVRGRRYLLRIINAVMDEELFFAIANHTLTVVAKDGLYLKHFKTNYLMITPGQSMDVLLHANQRSNHYFVAARAYSSAVGAGFDKTTTTAILKYKGVSLNRGKPILPYLPPYNRTEASTRFTNQFRSHRPVNVPVKIDTRLLYAISVNLMNCSDDKPCTGPFGKRFSSSINNISFVNPSVDILRAYYRHIGGVFQEDFPRNPPAKFNYNFENLPFPTRFGTKVVVLDYNSSVELILQGTNVLASDNHPIHLHGYSFYVVGSGFGNFDRRKDPLKYNLVDPPEETTVGVPRNGWTAVRFVANNPGVWLLHCHIERHATWGMNTVFIVKDGSTKSSRIVKPPPDLPSC >fgenesh2_kg.6__882__AT5G09370.2 pep chromosome:v.1.0:6:3721493:3722422:-1 gene:fgenesh2_kg.6__882__AT5G09370.2 transcript:fgenesh2_kg.6__882__AT5G09370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSTATSLLLLVLSVSSPYVHGNIVPADECDTINYTLLPCLPFITIGGPADTPSASCCSSLQTILGTKPECLCKGLKNPPLGIKLNVTRSTTLPVVCKLNAPPASACDALAPASPPAATPPTANGQGKWNFFGLLA >fgenesh2_kg.6__885__AT5G09390.1 pep chromosome:v.1.0:6:3725389:3727767:1 gene:fgenesh2_kg.6__885__AT5G09390.1 transcript:fgenesh2_kg.6__885__AT5G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSRKNLKRSFLEDEDSNKQPPEKRVRFPKGKKSKGEQLLEEEAVARRKARDAAKERAHIRNQNTANLFIENDNDDIDAAQETYEDDGNRTEDGIQIEAFSLDREKEEGYFDADGNFVEYVREKEVKDAWLDSIEKNPMYMGRSAANDTEKDEDSGNEKVADDLSQDEIGVRKRRIANVLEPGETVLRALRRLKGNSNNRKEKMTSETKLIFDQLTEDADKLIENGDYNVYHEEQEVFQREAEGYERLAQAKGNGTANSESCDMFGDDEDAPEPSSDLLPSGSISGTQLNSDYVFDESSGYYYSSSLGYYYDPNTGLYCSATTGKWYKYDEETKEYEEVVSEVATEEV >fgenesh2_kg.6__888__AT5G09410.2 pep chromosome:v.1.0:6:3733150:3739335:1 gene:fgenesh2_kg.6__888__AT5G09410.2 transcript:fgenesh2_kg.6__888__AT5G09410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator 1 [Source:UniProtKB/TrEMBL;Acc:D7M234] MVDRGSFGFISPPQLDMEQLLSEAQHRWLRPAEICEILQNYHKFHIASESPTRPASGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEGNENFQRRCYWMLEQDLMHIVFVHYLEVKGNRTSIGMKENNSNSVNGTASVNIDSTASPTSTLSSLCEDADTGDSHQASSVLRASSEPQTGNRYGWTPAPGMRNVSQVHGNRVRESDSQRLVDVRAWDAIGNSVTRYHDQPYCNNLLTQMQPSNTDSMLVEENTDKGGRLKAEHIRNPLQTQLNWQQNAQYNFETFSSLLGSENQQPFGISYQAPPSSMESEFIPVKKSLLRSEESLKKVDSFSRWASKELGEMEDLQMQSSRGDIAWTTVECETAAAGISLSPSLSEDQRFTIVDFWPKCAQTDAEVEVMVIGTFLLSPQEVTKYNWSCMFGEVEVPAEILVDGVLCCHAPPHTAGHVPFYVTCSNRFACSEVREFDFLSGSTQKIDATDVYGTYTNEASLQLRFEKMLAHRNFVHEHHIFKGVGEKRRKISKIMSLKEEKEYLLPGTYQRDSTKQEPKEQLFREQSEEELYIWLIHKVTEEGKGPNILDEDGQGILHFVAALGYDWAIKPMLAAGVNINFRDANGWSALHWAAFSGREETVAVLVSLGADAGALTDPSPELPLGKTAADLAYANGHRGISGFLAESSLTSYLEKLTVDSKENSPANSSGAKAVQTVSERTAAPMSYGDVPEKLSLKDSLTAVRNATQAADRLHQVFRMQSFQRKQLSDIGDDDKIDISDKLAVSFATLKTKNLGQGDVSLSSAATHIQKKYRGWKKRKEFLLIRQRIVKIQAHVRGHQVRKQYRTVIWSVGLLEKIILRWRRKGNGLRGFKRNAVAKTVEPEPPVSAICPTIPQEDEYDYLKEGRKQTEERLEKALTRVKSMVQYPEARDQYRRLLTVVEGFRENEASSSASINNKEEDEVNCEEDEFIDIDSLLNDDTLMMSISP >fgenesh2_kg.6__88__AT5G01230.1 pep chromosome:v.1.0:6:357551:360435:1 gene:fgenesh2_kg.6__88__AT5G01230.1 transcript:fgenesh2_kg.6__88__AT5G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative tRNA (cytidine(32)/guanosine(34)-2'-O)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M747] MGKASRDKRDIYYRKAKEEGWRARSAFKLLQIDEEFNIFEGVKRVVDLCAAPGSWSQVLSRQLYLPAKSSAESKEGGLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAGLTIVTHILKEGGKFIAKIFRGKDTSLLYCQLKLFFPTVTFAKPKSSRNSSIEAFAVCENYSPPEGFNPRDLHRLLEKVGSPSGGSDLDCSSGWLEGPNKVYIPFLACGDLTGYDSDRSYPLPKEADGSSYQSLDPIQPPIAPPYKRALELKKASAQSFNS >fgenesh2_kg.6__891__AT5G09440.1 pep chromosome:v.1.0:6:3750991:3752124:1 gene:fgenesh2_kg.6__891__AT5G09440.1 transcript:fgenesh2_kg.6__891__AT5G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNYRFAILLVLLSATVGFSSAALVQKKPPVLTLKGNITLNLIWYGKFTPIQRSVIVDFIRSISSVAAAKGPTVASWWKTTEKYKQGVSTLVVGKQLLLENYPLGKSLKSPYLRTLSSKLNGGGARSITVVLTAKDVAVEGLCMNRCGTHGSKSRSVNSGAYVWVGNSETQCPGYCAWPFHQPIYGPQTPPLVAPNGDVGVDGMIINLATLLVNTVTNPSQEAVSACTGIFGSGAYPGYAGRVLVDKTSGASYNALGLAGRKYLLPALWDPQTSTCKTLV >fgenesh2_kg.6__892__AT5G09450.1 pep chromosome:v.1.0:6:3761833:3763800:1 gene:fgenesh2_kg.6__892__AT5G09450.1 transcript:fgenesh2_kg.6__892__AT5G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLFHSLRCRLTNNGVVGSNFIRNAESSSGFSKSYNADAAIGGSLVEESEEKDDLKSRIFRLRLPKRSATTVLERWVGEGNQITINELREISKELRRTRRHKHALEVTEWMVQHEELKVSDADYASRIDLISKVFGIDAAERYFEGLHIDAKTTETYTSLLHAYAGSKQTERAEALFKRIIETDSLSFGAITYNEMMTLYMSVGQVEKVPEVIQVLKHKKVSPDIFTYNLWLSSCAATFNIDELRRILEEMRHDASSNEGWVRYINLTSIYINSSRVTNAESTSPVEAEKSISQREWITYDFLMILHTGLGNKVMIDQIWKSLGNTNQKLSSRSYICVLSSYLMLGHLREAEEIIHQWKESKTTEFDASACLRILNAFRDVGLEGVASGFHLLLVYNKCSLENEISS >fgenesh2_kg.6__898__AT5G09480.1 pep chromosome:v.1.0:6:3770809:3771518:-1 gene:fgenesh2_kg.6__898__AT5G09480.1 transcript:fgenesh2_kg.6__898__AT5G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVRLPILVSSVFFLVFISSSLLFAAPSFAARVSHSLVHEEVTKIPEYTEPEEPEIPEEPELPSPEEPEIPEEPEIPEEPEVPGEPEVPEEPEEPEGPKFGFPSWIPSFPFPGVNGGLPKTENTKPTSTAEEVNGSNKKP >fgenesh2_kg.6__8__AT5G01950.1 pep chromosome:v.1.0:6:26165:31470:1 gene:fgenesh2_kg.6__8__AT5G01950.1 transcript:fgenesh2_kg.6__8__AT5G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7M6D6] MVFPQRLYPHALLVASCCVLLLADAQRTHPSEVSALRSVKRSLIDPKDYLRNWNRGDPCRSNWTGVICFNEIGTDDYLHVRELLLMNMNLSGSLSPELRKLAHLEILDFMWNNISGSIPNEIGQISSLVLLLLNGNKLSGPLPSELGYLSNLNRFQIDENNITGAIPKSFSNLKNVKHIHFNNNSLSGQIPVELSNLTNIFHVLLDNNNLSGNLPPQLSALPNLQILQLDNNNFSGSDIPASYGNFSSILKLSLRNCSLKGTLPDFSKIRHLKYLDLSLNELTGPIPSSNLSKDVTTINLSNNILNGSIPQSFSDLPLLQMLLLKNNMLSGSVPDSLWKNISFPKKARLLLDLRNNSLSHVQGDLTPPQNVTLRLDGNPICTNGSISNANLFCESKGKEWPSLPFNSTNSALDCPPLACPTPDFYEYSPASPLRCFCAAPLRIGYRLKSPSFSYFPPYIDQFGEYVADFLQMEPYQFWIDSYQWEKGPRLRMYLKLFPKVNETYTRTFNKSEVLRIRGIFASWRFPGSDLFGPYELLNFTLQGPYSYVNFNSERKGVGWGRLAAITAGAVVTAVGISAVVAALLLRRYSKQEREISRRRSSSKASLMNSGIRGFSFKELAEATDDFSSSTLVGRGGYGKVYRGVLSDKTVAAIKRADEGSLQGEKEFLNEIELLSRLHHRNLVSLIGYCDEEGEQMLVYEFMSNGTLRDWLSAKGKESLSFVMRIRVALGAAKGILYLHTEANPPVFHRDIKASNILLDPNFNAKVADFGLSRLAPVLEDEEDVPKYVSTVVRGTPGYLDPEYFLTHKLTDKSDVYSIGVVFLELLTGMHAISHGKNIVREVKTADQRDMMVSLIDKRMEPWSMESVEKFAALALRCSHDSPEMRPGMAEVVKELEALLQAAPDKEARVEMASSSSVLSTSSSNVTRDLYESSSLLGSDLSSGFVPSIAPR >fgenesh2_kg.6__900__AT5G09500.1 pep chromosome:v.1.0:6:3773809:3774817:-1 gene:fgenesh2_kg.6__900__AT5G09500.1 transcript:fgenesh2_kg.6__900__AT5G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S15 [Source:UniProtKB/TrEMBL;Acc:D7M245] MADPEVAAAGIVKKRTFKKFSFRGVDLDALLDMSTDDLVKLFPSRIRRRFSRGLTRKPMALIKKLRKAKIEAPAGEKPAAVRTHLRNMIIVPEMIGSVIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGVGATNSSRFIPLK >fgenesh2_kg.6__903__AT5G09520.1 pep chromosome:v.1.0:6:3777214:3777787:-1 gene:fgenesh2_kg.6__903__AT5G09520.1 transcript:fgenesh2_kg.6__903__AT5G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKILSASLLSPFLIICIIALLSVPVSVGARRLLEDPKPEIPTLPELPKLEMPKLPEFPKPELPKIPEIPKPELPKMPEIPKPDLPTFPEMPKMPEFPKFDAPKLPELPKPEETKVPAFTMPKFPGSP >fgenesh2_kg.6__904__AT5G09530.1 pep chromosome:v.1.0:6:3778831:3780260:-1 gene:fgenesh2_kg.6__904__AT5G09530.1 transcript:fgenesh2_kg.6__904__AT5G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M248] MALMKQSLSAALLSSPLLIICLIALLADPVSVGARRLLEDPKPEIPKLPELPKFEVPKLPEFPKPELPKLPEFPKPELPKIPEIPKPELPKVPEIPKPEEAKLPEIPKPELPKFPEIPKPELPKIPEIPKPELPKVPEIQKPELPKVPEIPKPELPKFPEIPKPELPKFPENSKPEVPKLMETEKPEAPKVPEIPKPELPKMPEVPKLEAPKLPDIPKPELPKIPEPKVPEIQKPELPKMPEIQKPELPKMPEIQKPELPKVPEVPKPELPTVPEVPKPEAPKLPEIPKPELPKVPEIQKPELPKIPEIPKPAVPEIPKPELPKMPELPKLPEFP >fgenesh2_kg.6__905__AT5G09540.1 pep chromosome:v.1.0:6:3782420:3783282:-1 gene:fgenesh2_kg.6__905__AT5G09540.1 transcript:fgenesh2_kg.6__905__AT5G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M249] MVSGDGDNNRAEADQWLATSEKLLASSDFHGAKTFAIRACEADPSRTDAADYIVAIADTLLALETTIGDSKVTDWYAVLRLSRLTQNPEHVATQYRRLTLLLNPNVNRLPFADQALKLVSDAWLVLSDPPRKSMYDREFKLSQFGQPYSYSQSEQFQDSPLQSQGETMENPTATSFWTACPYCFSLFEYPKGYEECTLRCQQCRKAFEAVKTQTPPVESNGEGVYFCSWAMFPVGLSSHAKTSNWSPISHLSVCTGQRSCDQQSKALPRNHDADDVDIYITISDDD >fgenesh2_kg.6__906__AT5G09550.1 pep chromosome:v.1.0:6:3783914:3786796:1 gene:fgenesh2_kg.6__906__AT5G09550.1 transcript:fgenesh2_kg.6__906__AT5G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine nucleotide diphosphate dissociation inhibitor [Source:UniProtKB/TrEMBL;Acc:D7M250] MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESSSLNLTQLWKRFRGSDTPQENLGASREYNVDMIPKFIMANGLLVQTLIHTDVTKYLNFKAVDGSFVYKKGKIYKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYDEKDPKSHEGLDLSKVTAREIISKYGLEDDTIDFIGHALALHNDDDYLDQPAIDFVKRIKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDGSGKAIGVTSAGETAKCKKVVCDPSYLSDKVKKVGKVTRAVCIMSHPIPDTNDAHSVQIILPQKQLGRKSDMYLFCCSYAHNVAPKGKYIAFVSAEAETDNPEEELKPGIELLGSIDEIFYHSYETYVPTNNQEEDNCFISATYDATTHFESTVVDVLDMYTKITGKTLDLSVDLSAASAAAEN >fgenesh2_kg.6__907__AT5G09590.1 pep chromosome:v.1.0:6:3798323:3801449:1 gene:fgenesh2_kg.6__907__AT5G09590.1 transcript:fgenesh2_kg.6__907__AT5G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mthsc70-2 [Source:UniProtKB/TrEMBL;Acc:D7M255] MATAALLRSIRRREVVSAPFSAYRCLSSSGKTSLNSSYLGQNLRSFSRAFSSKPAGNDVIGIDLGTTNSCVAVMEGKNPKVIENAEGARTTPSVVAFNSKGELLVGTPAKRQAVTNPTNTVSGTKRLIGRKFDDPQTQKEMKMVPYKIVRAPNGDAWVEANGQQYSPSQIGAFILTKMKETAEAYLGKSVTKAVVTVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGMTNKEGLIAVFDLGGGTFDVSVLEISNGVFEVKATNGDTFLGGEDFDNALLDFLVKEFKTTEGIDLTKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHFNITLTRSRFETLVNHLIERTRDPCKNCLKDAGISAKEVDEVLLVGGMTRVPKVQSVVAEIFGKSPSKGVNPDEAVAMGAALQGGILRGDVKELLLLDVTPLSLGIETLGGVFTRLITRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMASDNKLLGEFDLVGIPPSPRGIPQIEVTFDIDANGIVTVSAKDKTTGKEQQITIRSSGGLSEDDIQKMVREAELHAQKDKERKELIDTKNTADTTIYSIEKSLGEYREKIPSEIAKEIEDAVADLRSASSGDDLNEIKAKIDAANKAVSKIGEHMSGGSGGGSAPGGGGSQGGSDQAQEAEYEEVKK >fgenesh2_kg.6__909__AT4G32210.1 pep chromosome:v.1.0:6:3801757:3803452:1 gene:fgenesh2_kg.6__909__AT4G32210.1 transcript:fgenesh2_kg.6__909__AT4G32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase 3-1 [Source:UniProtKB/TrEMBL;Acc:D7M256] MAATALFRSIRRRDVVSAPLSVYKSLAGNAQPSWGSSYTGQNYASLCRAFGSKPVVNDILGTGLGTNNAIREEREHSKANETATVGAQLTRSFRTLDVGTSKRLFSTISGDIKTTQEEPKTKSFRPLSPHLTVYQPQMNSMLSIFNRISGVYLTGVTFAGYLLYLKMGMICLTYPSFYQVLYHTQQQLPVITSVTALAAIYHTIKSTHSLLTH >fgenesh2_kg.6__90__AT5G01225.1 pep chromosome:v.1.0:6:361131:361587:-1 gene:fgenesh2_kg.6__90__AT5G01225.1 transcript:fgenesh2_kg.6__90__AT5G01225.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M748] MSRRTSKRVSFSPDPEANDELVFPTHTSSRHGRRRVVVGIFSFSVSDPPAARRLLRRIGARVSKTFRYISLGRKSNNTKTTPSSSRNLSSSIYLMKSKSLNESESHRAEAIEDCIEFLNSCSSLSRSNSISTWSC >fgenesh2_kg.6__912__AT5G09620.1 pep chromosome:v.1.0:6:3808525:3810418:-1 gene:fgenesh2_kg.6__912__AT5G09620.1 transcript:fgenesh2_kg.6__912__AT5G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M259] MDKFSYNSYPDSAESSPRSRDVEFENPPPWEDHQQQSYKVKLMCSYGGKIQPRPHDNQLTYVNGDTKIMSVDRAIRFPALVSKLSAVCSRGDGGEISFKYQLPGEDLDALISVTNDDDLEHMMHEYDRLLRMSTKPARMRLFLFPSSPISSGFGSEGSTKSDRDTFHPIPSRPESEKSVTAPPNNADFLFGSEKVAPIPPSPVKVPQPLPEPVVIEPPPMFVDQRMLQPEHGVNPAEIQRQIQEFQMIQIRDQEQQMLHQNQLQQQHQQQEAINQNQLHQQQEAIHQNQLHQQEAIHQNQLLQQQQQQEAMYRRKSEDEAGRYFPPTYTQNPAPATNPQPPIGYWQGNNNNNNIPGNIYTTTSQNLPEQQQVYMIPAQSQAPGTVYQSVMRPAVQGNQGYYPPVQRLHHPDAYMEQQNQPAYNVVQQQPQYSGGPQVMTSVGPPMGLQEPYSQMGNPVYYTVAGDGMMVQPPPPQPQPQPQPQQQYQGMGQPTDLRTGPDGKVVVNMAAPKVPQ >fgenesh2_kg.6__914__AT5G09640.1 pep chromosome:v.1.0:6:3813783:3816754:1 gene:fgenesh2_kg.6__914__AT5G09640.1 transcript:fgenesh2_kg.6__914__AT5G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLSFIVLFLLSIFSIHHFVDASLRVKYLPGFEGPLPFELETGYVSIGESGDVELFYYFVKSERNPENDPLMIWLTGGPGCSSICGLLFANGPLAFKGDEYNGTVPPLELTSFSWTKVANILYVESPAGSGYSYARTRRAFETSDIKQMHQIDQFLRSWFVDHPEFISNPFYVGGDSYSGKIVPGVVQQTSLGNEKGLTPLINLQGYVLGNPVTDKNMESNYRISFAHGMGLISDELFESLERSCGGKFFNVDPSNKRCSNNLQSYDHCMSEIYAEQILLRNCEVDYVLADTPNIRTDGRRELKEFSGNDSSSLPPPSCFTYKYFLSAFWANDENVRRALGVKTGVGKWNRCNSQNIPYTFEINNAFPYHVNNISSFSFSFFEIGDHDSMVPFSSTQAWIRALNYSIVDDWRAWMMSSNQVAGYTRTYANKMTFATIKAIISKPFLSCLGGGHTAEYNPDQCSLVFRRWIDGKPL >fgenesh2_kg.6__915__AT5G09650.1 pep chromosome:v.1.0:6:3816872:3818651:-1 gene:fgenesh2_kg.6__915__AT5G09650.1 transcript:fgenesh2_kg.6__915__AT5G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic pyrophosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7M262] MAATRVLTAATAVTQTTSCFLAKRAFILPAKKSCGGFGGLCFRRALVLKSKRPYSCSAIYNPQVKVQEEGQPESLDYRVFFLDGSGKKLSPWHDIPLTLGDGVFNFIVEIPKESKAKMEVATDEDFTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSHANSEVEGCFGDNDPVDVVEIGETQRKIGDVLKIKPLAALAMIDEGELDWKIVAISLDDPKAHLVNDVEDVEKHFPGTLTAIKDWFRDYKIPDGKPANRFGLGDKPVNKEYALKIIHETNESWAKLVKRSVDAGDLSLY >fgenesh2_kg.6__919__AT5G09660.1 pep chromosome:v.1.0:6:3818967:3821104:-1 gene:fgenesh2_kg.6__919__AT5G09660.1 transcript:fgenesh2_kg.6__919__AT5G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7M263] MEFRGDASQRIARISAHLTPQMETKASLMGRENCRAKGGNPGFKVAILGAAGGIGQSLSLLMKMNPLVSLLHLYDVVNAPGVTADVSHMDTGAVVRGFLGAKQLEDALTGMDLVIIPAGVPRKPGMTRDDLFKINAGIVKTLCEGVAKCCPSAIVNLISNPVNSTVPIAAEVFKKAGTYDPKKLLGVTTLDVARANTFVAEVLGLDPREVDVPVVGGHAGVTILPLLSQVKPPSSFTPSEIEYLTNRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGLRGDANVVECSFVASQVTELAFFATKVRLGRTGAEEVYQLGPLNQYERIGLEKAKEELAGSIQKGVEFIRK >fgenesh2_kg.6__922__AT5G09680.2 pep chromosome:v.1.0:6:3825018:3826318:-1 gene:fgenesh2_kg.6__922__AT5G09680.2 transcript:fgenesh2_kg.6__922__AT5G09680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b5 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M265] MDSTRDDDDFTFSKVAPPDSEAVLEAKALASDVGSITLKDALDQQSNGLIWKDKSLPPKEETVGSLSFNVIDSSSLKKQSNESSETFKTPARKPITRTKVPFEKGYSQMDWLKLTRTHPDLAGLKGESNKRLIPMDEVKKHQSGDSMWTVLKGRVYNISPYMNFHPGGVDMLMKAVGRDGTLLFNKYHAWVNVDMLLEKCLVGVLDVTKVKKQEA >fgenesh2_kg.6__925__AT5G09690.1 pep chromosome:v.1.0:6:3826542:3829175:-1 gene:fgenesh2_kg.6__925__AT5G09690.1 transcript:fgenesh2_kg.6__925__AT5G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRS2-7 [Source:UniProtKB/TrEMBL;Acc:D7M266] MSPDGELVPVDSSAVVSAKRKIPQLSRSWISIDASGQKTVLDVDKHVIMHRVQIHARDLRILDPNLFYPSAILGRERAIVLNLEHIKAIITAEEVLIRDSSDENVIPVLEEFQRRLPVGNEAHGGHGDGDVGEEDESPFEFRALEVALEAICSFLAARTTELEKSAYPALDELTLKISSRNLERVRKLKSAMTRLTARVQKVRDELEQLLDDDGDMADLYLTRKFVGASSSISVSDEPIWYPTSPTIGSKISRASRVSLATVRGDDENDVEEVEMLLEAYFMQIDSTLNKLTELREYIDDTEDYINIQLDNHRNQLIQLELMLSSGTVCVSMYSMVAGIFGMNIPYTWNHDHGYIFKWVVSLTGTFCAVLFVIILSYARFRGLIGS >fgenesh2_kg.6__927__AT5G09740.1 pep chromosome:v.1.0:6:3835272:3838069:-1 gene:fgenesh2_kg.6__927__AT5G09740.1 transcript:fgenesh2_kg.6__927__AT5G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M268] MGSSANTETNGNGAPPSSNQKPPATNGGDASHPPPPSSALDQAIIESDPSKKRKMGMLPLEVGTRVMCRWRDGKHHPVKVIERRRIHNDYEYYVHYTEFNRRLDEWTQLDQLDLDSVECSVDEKVEDKVTSLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGKYEIETWYFSPFPPEYNECVKLFFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYAQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEEAYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILSTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKLIWTPYRDQS >fgenesh2_kg.6__929__AT5G09750.1 pep chromosome:v.1.0:6:3840620:3841293:-1 gene:fgenesh2_kg.6__929__AT5G09750.1 transcript:fgenesh2_kg.6__929__AT5G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M269] MNPSLFQNYTWNNIINSSNNNKNDDHHQHNNDPIGMAMDQYTQLHIFNPFSSSHFPPLSSSLSTTTLFSGDQEEDEDEEEPLEELGAMKEMMYKIAAMQSVDIDPATVKKPKRRNVRISDDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIRYVKFLKRQIRLLNNNPGNPSPPPQDQASQALTTSWISPPPPPGRGVGGLV >fgenesh2_kg.6__92__AT5G01210.1 pep chromosome:v.1.0:6:367557:369293:-1 gene:fgenesh2_kg.6__92__AT5G01210.1 transcript:fgenesh2_kg.6__92__AT5G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7M750] MPSCSVTEISKCIVYPEKKSTVSDLRLSVSDLPMLSCHYIQKGVLLSSPPPSFSFDDLVSSLRRSLSSTLSLFPALAGRFSTSPAGHISIVCNDAGVDFVAASAKHVKVSDVLLPGKDVPLLFREFFVFERLVSYNGHHKPLAAVQVTELDDGVFIGCTVNHSVTDGTSFWHFFNTFADVTSGACKIKHLPDFSRHTVFDSPAVLRVPPGGPRVTFDADQPLRERIFHFSREAITKLKQRTNNRVNGIETAVNDGRKCNGNGENNGKITTVLDSFLNNKKTHDRTAEISSFQSLSAQLWRSVTRARNLDPSKTTTFRMAVNCRHRLEPKMDPYYFGNAIQSIPTLASAGDLLSKDLRWSAEQLHRNVVAHDDATVRRGIAAWESDPRLFPLGNPDGASITMGSSPRFPMYDNDFGWGKPLAVRSGGANKFDGKISAFPGREGNGSVDLEVVLAPETMTGIENDAEFMQYVSQVTYDC >fgenesh2_kg.6__934__AT5G09790.2 pep chromosome:v.1.0:6:3853402:3855458:-1 gene:fgenesh2_kg.6__934__AT5G09790.2 transcript:fgenesh2_kg.6__934__AT5G09790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATWSASSPTASPCSSRRRTKAPARRPSSESPPPRKMKSMAEIMAKSVPVVEQEEEEDEDSYSDVTCEKCGSGEGDDELLLCDKCDRGFHMKCLRPIVVRVPIGPWLCVDCSDQRPVRRLSQKKILHFFRIEKQTHRTDKLELSQEETRKRRRSCSLTVKKRRRKLLPLVPSEDPDRRLAQMGTLATALTALGIKYSDGLNYVPGMAPRSANQSKFEKGGMQVLSKEDLETLEQCQSMYRRGECPPLVVVFDPLEGYTVEADGPIKDLTFIAEYTGDVDYLKNREKDDCDSIMTLLLSEDPSKTLVICPDKFGNISRFINGINNHNPFAKKKQNCKCVRYSINGECRVLLVATRDISKGERLYYDYNGYEHEYPTHHFL >fgenesh2_kg.6__935__AT5G09800.1 pep chromosome:v.1.0:6:3858275:3859653:-1 gene:fgenesh2_kg.6__935__AT5G09800.1 transcript:fgenesh2_kg.6__935__AT5G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7M274] MRSDGLDITTVPCFFKCPISLDVMKSPVSLSTGVTYDRVSIQRWLDGGNNTCPATMQILQNKEFVPNLTLHRLIDLWSDSINRRAGSESPESNTPSRDEINAAIEKIRIENDNCDVLSKILRFAKESDENREFLAGKDDFVGMLVDLIIQFDSRHFSGSQLILVGEAVKILSMICRKIFDRRRLSNLILTNGGDCLTSFFLLIKSGNPKLKIDCSAVLEFIAVDAESKLIIAERDGLVIEIMKSISSDSDSSLIEASLSLLIAIASSKRVKLALIREKLVTKLTSLLTDPTTSVSVTEKCLKLLEAFSSCKEGRSEICDGACVETVVKKLMKVSTAATEHAVTVLWSVCYLFKEKKAQDAVIKTNGVTKILLLLQSNCSLTVRHMLTDLLKVLKVNSRSCLYTETKTTHIMPF >fgenesh2_kg.6__937__AT5G09810.1 pep chromosome:v.1.0:6:3870668:3873029:1 gene:fgenesh2_kg.6__937__AT5G09810.1 transcript:fgenesh2_kg.6__937__AT5G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKSEYDESGPSIVHRKCF >fgenesh2_kg.6__938__AT5G09820.2 pep chromosome:v.1.0:6:3874720:3876363:-1 gene:fgenesh2_kg.6__938__AT5G09820.2 transcript:fgenesh2_kg.6__938__AT5G09820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural molecule [Source:UniProtKB/TrEMBL;Acc:D7M277] MTSKLIQPPSMAASRGAISRRAGNVKVLVSFTNSNSKTLSFRDKSFRLRPMFIGKVTEQSSASSPNEQEQEQEEEVTVTQIKEELYEALKGINRGIFGVKSDKKTEIEGLVKLLECRNPTPEPTGELDKIGGCWKLIYSTITVLGSKRTKLGLRDFVSLGDLLQHIDIAQGKTVHVLKFDVRGLNLLDGEFRIVASFKISSKSSVEITYESSTIKPDQLMNIFRKNMDLLLGIFNPEGLFEISYLDEDLQVGRDGKGNVFVLERTEKP >fgenesh2_kg.6__939__AT5G09830.1 pep chromosome:v.1.0:6:3876672:3877745:-1 gene:fgenesh2_kg.6__939__AT5G09830.1 transcript:fgenesh2_kg.6__939__AT5G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKEQVEASLTSKLKPIHLEVIDISGGCGASFEIEVVSEQFEGKRLLERHRMVNAALEEEMKEIHALSIKKAQTPQQWKPPSQDSATLTKDA >fgenesh2_kg.6__940__AT5G09840.1 pep chromosome:v.1.0:6:3877960:3880895:1 gene:fgenesh2_kg.6__940__AT5G09840.1 transcript:fgenesh2_kg.6__940__AT5G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGSIPSKSLLFRLSSSSATQSPRRTTTFLVTNIHSSPFSTTTGSGSNFVSGSSHSPSRRPQQDEESRSVRVSVWWDFLSCNLPVGVNVFKVAQSITAAIRNSGIKGPITITAFGDVLQLPRSNQDALSATGISLTHVPQGGKNSADRSLITDLMCWVSQNPPPAHLLLISSDKEFASVLHRLRMSNYNILLVSKSSAPGVLCSAASIMWDWDALIKGECVSGKHFNQPPDGPYNSWYGHYRIPLLDPFAIATNTEQSSSVKIEELSESSSESVNSNAVNLRPIPKEVVDKIRLILSLYPKGAAITELRAELIKSNLAIDKDFYGHKKFSKFLLSMPDILRVATANDGLFIIHAVTEKNPPMRLDSSPGLSTAVDQKSKDKETANAPSPKLISDVELAAVRRRDGSVGKKQDNVMESDKIVKEESSESSQEAILVGQKDVKANDKPVETSQVALVAWSDSSMEDGFFQKLKRLWYGSPEMKSEHLPENMESEHLPEKKSVSGSGDKYKGDKDLKSSIQGTDPMSQTSPSFVAESVEEVKVGAAEVDSKDKDASPGFLGRLLKSFKFWGKNTASSKDCSGNQELVSVDSQVRDIFEKESFWNDVESFINSPRGFAIVSHSRTREVMAKNLQEEGPSCLRLLDESIMLHLVTLLISDKKWIEETPSSSLPFRIIKGSSPGHRHPSNGLSSIFSDSSKSQSQKQNGEKRGKNVAHAGVSVGSMDRKQLERYKSNAIADCQKLIKKITEEHPEGYSLIRFRKDFLEEYGYHLAVDKLGYENLQSLIRVMHGVRIASGYILPSTPSPNTKSKEDDSDLTFEELGPVSDATTTHPTTKKLAVYEPSLSEDEEDSGSERDNPEKKKQEMMSGEGKESSLLQILDSYYTNKDGEFKKEKPEEKLVSNGRKQKPTKTYSFVKDSEV >fgenesh2_kg.6__946__AT5G09890.2 pep chromosome:v.1.0:6:3908439:3911891:-1 gene:fgenesh2_kg.6__946__AT5G09890.2 transcript:fgenesh2_kg.6__946__AT5G09890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADGTVRVKPGRGFETETDVAVSSPVTRQKAAAAKQFIENHYKNYLQGLHERMERRREFQRKVQEAQLPVEEQDEMMRNLARRETEYMRLQRRKIGIDDFELLTVIGKGAFGEVRLCRLRSTSEVYAMKKLKKTEMLSRGQVEHVRSERNLLAEVDSRYIVKLFYSFQDSECLYLIMEYLPGGDIMTLLMREDILSEDVARFYIAESILAIHSIHQHNYVHRDIKPDNLILDKSGHLKLSDFGLCKPLDDKYSSLLLEDDEMLSQDSENQSEKSDADKAPWQMPKEQLLQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAILYEMLVGYPPFCSDDPRITCRKIINWKVCLKFPEEPKISDEARDLICRLLCDVDSRLGTRGVEEIKSHPWFKGTPWDKLYDMEAAYRPIVDGELDTQNFEKFPEVEGSPSEAPQVGPWRKMLTSKDTNFIGFTFKKSDITRSMESSGADMKSNGSGEAPSLISLLGRINMEEGEGGELNHKT >fgenesh2_kg.6__948__AT5G09900.1 pep chromosome:v.1.0:6:3912170:3915381:-1 gene:fgenesh2_kg.6__948__AT5G09900.1 transcript:fgenesh2_kg.6__948__AT5G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2107 [Source:UniProtKB/TrEMBL;Acc:D7M286] MGDSGKLEATVDRLLNEEKQMRLAENVAGTRKAATEILQLCFEAKDWKLLNEQILNLSKKRGQLKQAVQSMVQQAMQYIDQTPDIETRIELIKTLNNVSAGKIYVEIERARLTKKLAKIKEEQGQIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDFVRAQILSRKINPRVFDADTKKDKKKPKEGDNMVEEAPADIPTLLELKRIYYELMIRYYTHNNEYLEICRSYKAIYDIPSVKETPEQWIPVLRKICWFLVLAPHDPMQSSLLNATLEDKNLSEIPDFKMLLKQVVTMEVIQWTSLWNKYKDEFEKEKSMVGGSLGDKAGEDLKLRIIEHNILVVSKYYARITLKRLAELLCLSIEEAEKHLSEMVVSKALIAKIDRPSGIVCFQIAKDSNEILNSWAGNLEKLLDLVEKSCHQIHKETMVHKAALRP >fgenesh2_kg.6__951__AT5G09920.1 pep chromosome:v.1.0:6:3918737:3920117:1 gene:fgenesh2_kg.6__951__AT5G09920.1 transcript:fgenesh2_kg.6__951__AT5G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPB15.9 [Source:UniProtKB/TrEMBL;Acc:D7M288] MSGEEEENAAELKIGDEFLKAKCLMNCEVSLILEHKFEQLQQVSEDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILSRHQLTEFELCVLGNLCPETVEEAVAMVPSLKTKGRAHDDEAIEKMLNDLSLVKRFE >fgenesh2_kg.6__952__AT5G09930.1 pep chromosome:v.1.0:6:3920159:3922775:-1 gene:fgenesh2_kg.6__952__AT5G09930.1 transcript:fgenesh2_kg.6__952__AT5G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTTNLHSLNLRSAFFFTNPLPCHTLFTFKLSSVSNPRREFPNIIAQASATSSNSELDSLFSTDRKLIGKQSNNGASSISSGVRLENISKSYEGVTVLKDVTWEVKKGEKVGLIGVNGAGKTTQLRIITGQEEPDSGNVIWAKPNLKVAFLSQEFEVSMGKTVKEEFMSAFKEEMEVARKLERLQKAIEEAVDDLELMGKLLDEFDLLQRRAQEVDLDSIDAKISKLMSELGFVSEDADRLVASFSSGWQMRMSLGKILLQNPDLLLLDEPTNHLDLDTIEWLEGYLNKQDVPMVIISHDRAFLDQLCTKIVETEMGVSRTFEGNYSQYVISKAEWVEAQYASWEKQQKEIEATKDLISRLSGGANSGRASSAEKKLEKLQEEEQIEKPFQRKQMKIRFLECGLSGRSVVTVKNLGFGFEDKILFNKANLAIERGEKVAIIGPNGCGKSTLLKLIMGLEKPMRGEVILGEHNVLPNYFEQNQAEALDLDKTVIETVVEAAVDWRIDDIKALLGRCNFKADMLDRRVSLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAINEYKGTVITVSHDRYFIKQIVNRVIEVRDGGLMDYAGDYNYYLEKNVEARARELEKEAELEEKGPKVKAKSKMSKAEREARKKQKMKAFQASKKKSKSSKNAKRWN >fgenesh2_kg.6__953__AT5G09950.1 pep chromosome:v.1.0:6:3925449:3928435:-1 gene:fgenesh2_kg.6__953__AT5G09950.1 transcript:fgenesh2_kg.6__953__AT5G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M2R8] MTKSVPLSFVQSCIGHRGAAKLFHSRLYKNGLEKDVYLCNNLINAYLETGDSVSARKVFDEMPLRNCVSWACVVSGYSRNGEHKEALVFLRDMVKEGVFSNHYAFVSALRACQELDSVGILFGRQIHGLLFKLSYAVDAVVSNVLISMYWKCGGSLGYALRAFDDVQVKNSVSWNSIISVYSQTGDQRFAFKMFYSMQCDGSRPTEYTFGSLVTTACSLTEPDVRLLEQIMCTIQKSGFLTDLFVGSGLVSAFAKSGSLIHARKIFNQMETRNAVTLNGLMVGLVRQKWGEEATKLFMDMNSMIDVSPESYVILLSSFPEYSLAEEVGLKKGREVHGHVITTGLVDFMVGIGNGLVNMYAKCGSIADARRVFCFMTEKDSVSWNSMITGLDQNSCFIEAVERYQSMRRHEILPGSFTLISSISSCASLKWAKLGQQIHGESLKLGIDLNVSVSNALMTLYAETGCLNECRKIFSSMPEHDQVSWNSIIGALASSERSLPEAVACFLNALRAGQKLNRITFSSVLSAVSSLSFGELGKQIHGLALKYNIADEATTENALIACYGKCGEMDGCEKIFSRMSERRDDVTWNSMISGYIHNELLAKALDLVWFMMQTGQRLDSFMYATVLSAFASVATLERGMEVHACSVRACLESDVVVGSALVDMYSKCGRLDYALRFFNTMPLFANMKLDGQTPPDHVTFVGVLSACSHAGLLEEGFKHFESMSDSYGLAPRIEHFSCMADLLGRAGELDKLEDFIEKMPMKPNVLIWRTVLGACCRANGRKAELGKKAAEMLFQLEPENAVNYVLLGNMYAAGGRWEDLVKARKKMKDADVKKEAGYSWVTMKDGVHMFVAGDKSHPDADVIYKKLKELNRKMRDAGYVPQTGFALYDLEQENKEEILSYHSEKLAVAFVLAAQRSSTLPIRIMKNLRVCGDCHSAFKHISKIEGRQIILRDSNRFHHFQDGECSCSDFW >fgenesh2_kg.6__956__AT5G09990.1 pep chromosome:v.1.0:6:3953724:3954194:1 gene:fgenesh2_kg.6__956__AT5G09990.1 transcript:fgenesh2_kg.6__956__AT5G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQERDNKRDCCKLVPQTVKAFFKCLRFRRSSSSSDMVKAKARNEEKEEASSIETSTRSLNVMRKGIRKQPVSSGKRGGVNDYNM >fgenesh2_kg.6__958__AT5G09995.3 pep chromosome:v.1.0:6:3954192:3955529:-1 gene:fgenesh2_kg.6__958__AT5G09995.3 transcript:fgenesh2_kg.6__958__AT5G09995.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSPSNLQSPKRLIFWNPILHYGFIDKNLSFRRRDTLRLAFPISRYAKGSESIASSSPSPLYSNPQEPSISSQFTSSVGQPPLQLSQWTFTQKHFVLLNVVACVTAISASWLFFAAIPTLLAFKKAAESLEKLLDVTREELPDTMAAVRLSGMEISDLTMELSDLGQGITQGVKSSTRAIRVAEDRLRRLTNMNPVASMQEVMHRTKTEETEPMVARKARSLKEGIVKGRSLWQLLSTITRFSQTATSYLAKRAKQ >fgenesh2_kg.6__960__AT5G10010.1 pep chromosome:v.1.0:6:3957091:3960801:1 gene:fgenesh2_kg.6__960__AT5G10010.1 transcript:fgenesh2_kg.6__960__AT5G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGAKRKGVSKAGRKAAVAETQNDEVIEETTTQEESQQPKEEVVDEKKENGEEEEAKGDQEDEEEAKPDSLEKEEEENQEDEVKSDEVKEVDEKKPVARRGGKRKRATKKETEIKDEKKPVPSVKKPRVAKVKEEPVYFEEKRNLEDLWKVAFPVGTEWDQLDALYEFNWDFQNLEEALEEGGKLYGKKVYVFGCTEPQLVPYKGANKIVHVPAVVVIESPFPPSDKIGITSVQREVEEIIPMKKMKMDWLPYIPIEKRDRQVDKMNSQIFTLVCTQRRSALRHMKEDQLKKFEYCLPYFYQPFKEDELEQSTEVQIMFPSEPPVVCEFDWEFDEIQEFVDKLVEEEALPAEQTDEFKEYVKEQVRAAKKANREAKDARKKAIEEMSEDTKQAFQKMKFYKFYPQPSPDTPDVSGVKSPFINRYYGKAHEVL >fgenesh2_kg.6__961__AT5G10020.1 pep chromosome:v.1.0:6:3962455:3966454:1 gene:fgenesh2_kg.6__961__AT5G10020.1 transcript:fgenesh2_kg.6__961__AT5G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFLTFCFISLLLIGANAVTETELRSLLEFRKGIRDETSHQRISWSDTSSLTDPSTCPNDWPGISCDPETGSIIAINLDRRGLSGELKFSTLSGLTRLRNLSLSGNSFSGRVVPSLGGISSLQHLDLSDNGFYGPIPGRISELWSLNHLNLSSNKFEGGFPSGFRNLQQLRSLDLHKNEIWGDVGEIFTELKNVEFVDLSCNRFHGGLSLPMENISSISNTLRHLNLSHNALNGKFFSAESIGSFKNLEIVDLENNQINGELPHFGSQPSLRILKLARNQLFGLVPEELLQSSIPLLELDLSRNGFTGSISEINSTTLTMLNLSSNGLSGDLPSTLKSCLVIDLSGNTFSGDVSVVQKWEATPDVLDLSSNNLSGSLPNFTSAFSRLSVLSIRNNSVSGSLPSLWDDSGVSQFSVIDFSSNKFSGSIPESFFTFASLRSLNLSMNNLEGPIPFRGSRASELLVLRSYPQMELLDLSTNSLTGMVPGDIGTMEKIRVLNLANNKLSGELPSDLNKLSGLLFLDLSNNTFKGQIPNKLPSQMVGFNVSYNDLSGIIPENLRSYPPSSFYPGNSKLSLPGGIPADSSRDMSLPGKNHHSKLSIRIAIIVASVGAALMILFVLFAYHRTQLKDFHGRNRFTDQATTRDAKFGRSSRPSLFNFSSNAEQQSSSLSFSNDHLLTANSRSLSGIPGFEAEISEHGVPATSAPTNPNLLDDYPAASGRKSSSGGSPLSSSPRFSDQPVMLDVYSPDRLAGELFFLDVSLKLTAEELSRAPAEVLGRSSHGTLYKATLDNGHMLTVKWLRVGLVRHKKDFAREAKKIGSLKHPNIVPLRAYYWGPREQERLLLSDYLRGESLAMHLYETTPRRYSPMSFSQRLKVAVEVAQCLLYLHDRAMPHGNLKPTNIILTSPDNTVRITDYCVHRLMTPSGVAEQILNMSALGYSAPELSSASKPIPTLKSDVYAFGVILMELLTRRSAGDIISGQTGAVDLTDWVRLCDQEGRRMDCIDRDIAGGEEFSKGMEDALAVAIRCIVSVNERPNMRQVLDHLTSISA >fgenesh2_kg.6__963__AT5G10030.1 pep chromosome:v.1.0:6:3967905:3970680:-1 gene:fgenesh2_kg.6__963__AT5G10030.1 transcript:fgenesh2_kg.6__963__AT5G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tgacg motif-binding factor 4 [Source:UniProtKB/TrEMBL;Acc:D7M2S7] MNTTSTHFVPPRRFEVYEPLNQIGMWEESFKNNGGMYTPGSIIIPTNEKPDSLSEDTSHGTEGTPHKFDQEASTSRHPDKIQRRLAQNREAARKSRLRKKAYVQQLETSRLKLIHLEQELDHARQQGFYVGNGVDSNALCFSDNMSSGIVAFEMEYGHWVEEQNRQISELRTVLHGQVSDIELRSLVENAMKHYFQLFRMKSAAAKIDVFYVMSGMWKTSAERFFLWIGGFRPSELLKVLLPHFDPLTDQQLLDVCNLRQSCQQAEDALSQGMEKLQHTLAESVAAGKLCEGSYIPQMTCAMERLEALVSFVNQADHLRHETLQQMHRILTTRQAARGLLALGEYFQRLRALSSSWAARQREPT >fgenesh2_kg.6__964__AT5G10050.1 pep chromosome:v.1.0:6:3975531:3977014:1 gene:fgenesh2_kg.6__964__AT5G10050.1 transcript:fgenesh2_kg.6__964__AT5G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7M2S9] MESDDESPVVLITGCSQGGIGHALAREFTEKGCRVVATSRSRSTMTDLEQDSRLFVKELDVQSDPNVSKVLSEVIDKFGKIDVLVNNAGVQCVGPLAETPISAMENTFNTNVFGSMRMTQAVVPHMVSKKKGKIVNVGSITVMAPGPWAGVYTATKAAIHALTDTLRLELRPFGIDVINVVPGGIRTNIANSAVATFNKMPELKLYKPYEEAIRERAFISQRMKPTPAETFAKDTVAAVLKKNPPAWFSSGRYSTLMAVMYHMPLWLKDFLQKQVLMKK >fgenesh2_kg.6__965__AT5G10060.1 pep chromosome:v.1.0:6:3977047:3979712:-1 gene:fgenesh2_kg.6__965__AT5G10060.1 transcript:fgenesh2_kg.6__965__AT5G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSEQILIDKLAKLNSTQQCIETLSHWCIFNRTKAELIVTTWEKQFHSTEMAQKVPLMYLANDILQNSKRQGNEFVQEFWNVLPKAVKDIVSQGDDYGKSVVSRLVKIWEERRVFGSRSKSLKDVMLGEDVPLPLDISKKRSRGSRSGKRDSKSSRTKLSSSGGVAEKIASAYHLVVAENSNEEAEMNKCKSAVKRIRKMEKDVEEACSTAKDNPKRKSLAKELEEEEYLLRQCIEKLKSVQGSRTSLVNQLKDALREQESELDNLKAQIQVALEQTEEAQNMQKRLNDEDYASKPTAAGTTITETNDNTKSGQASKMTPASIAAMLTASTSSHMIMQSVLSSFAAEATKTSGLSKSESTIPVSDTNASFPSYNNSQNQTPTTQGQYNVIPNPPPPQFLKPPVMNNPYGFGNIPLMPPGLPPPPPPPPHLIGNQQPQIPQSNSAQQSQQGPTFQPPGIMYYGAPHHS >fgenesh2_kg.6__967__AT5G10070.2 pep chromosome:v.1.0:6:3979869:3981807:-1 gene:fgenesh2_kg.6__967__AT5G10070.2 transcript:fgenesh2_kg.6__967__AT5G10070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ribosome biogenesis protein ARALYDRAFT_487833 [Source:UniProtKB/TrEMBL;Acc:D7M2T1] MGYGKHNRSRGGNSNRGQTSRTDNLGREDESLPLDQEPEGEAPVPKVQLAMWDFGQCDAKRCTGRKLARFNLLKVLRVNTGFGGVVLSPVGRQCVSREDYDLIKRKGLAVVDCSWARLTDVPFAKLRCTAPRLLPWLVAANPVNYGRPCELSCVEALSAALILCGEKETAELLLGKFKWGHAFLSLNKDILKEYSKCENSAEIISVQNSWLTQQTQIPKQPPALEERKDVKKDGESGDDESEDDEEDGLPPLERNMNHIKLEDSEEEDSE >fgenesh2_kg.6__968__AT5G10080.1 pep chromosome:v.1.0:6:3982205:3984748:1 gene:fgenesh2_kg.6__968__AT5G10080.1 transcript:fgenesh2_kg.6__968__AT5G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7M2T2] MASRSAFILFCVLFLATEGTLASVFSSRLIHRFSDEGRASIKTPSSSESLPEKQSLAYYRLLAKSDFRRQRMNLGAKFQSLVPSEGSKTISSGNDFGWLHYTWIDIGTPSVSFLVALDTGSDLLWIPCNCVQCAPLTSTYYSSLATKDLNEYNPSSSSSSKVFLCSHKLCGSASDCDSPKEQCTYTVKYLSGNTSSSGLLVEDILHLTYNTNNRLMNGSSSVKARVVVGCGKKQSGDYLDGVAPDGLMGLGPAEISVPSFLSKAGLMRNSFSLCFDEEDSGRIYFGDMGPSIQQSAPFLQLENNSGYIVGVEACCIGNSCLKQTSFTTFIDSGQSFTYLPEEIYRKVALEIDRHINATSKSFEGVSWEYCYESSVEPKVPAIKLKFSHNNTFVIHKPLFVFQQSQGLVQFCLPISPSEQEGIGSIGQNYMRGYRMVFDRENMKLGWSPSKCQEDKTEPPQASPGSTSSPYPLPTEEQQSRGHAVSPAIAGKTPSKTPSSSSSSKSSCIFSSMMRLFNSLLLLHWVVSLM >fgenesh2_kg.6__971__AT5G10110.1 pep chromosome:v.1.0:6:4002066:4003827:-1 gene:fgenesh2_kg.6__971__AT5G10110.1 transcript:fgenesh2_kg.6__971__AT5G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDSNKTMSEKTRPVLGDLTNLPSKRGISSILGDLLDESGKTIVHEVSREKFSKRLCLVVDDLVKENARPLDTIERSSSFDQNTSGDSVDKEESEEYHDAVMEFSSGDGKPLKESKSVQIYFEPGDRDGARELNAAANANQTNVTGEGLALSLLPSNTESRNLLKTGKELSNCQNLRSFEMSRCSNVNSKEHVNLNTGDDLLKSCCCSFCLHASYMWLDLSYQDTKGRLSAMKKSHKAASNLIQRNAKEISTDFHVTENSVSSAKQESKLMAQWRSLFLSMGDILAQENSHLQNSFVRMKKLREDCRMDVERAMKSPKHNTQ >fgenesh2_kg.6__972__AT5G10120.1 pep chromosome:v.1.0:6:4008087:4009683:1 gene:fgenesh2_kg.6__972__AT5G10120.1 transcript:fgenesh2_kg.6__972__AT5G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene insensitive 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7M2T8] MVEVEELEPLSPIEDEEEEISYDDLKRRMWKDRNLMEKLKQQKRHSKDVVSFTTHRAEASRRKKMARSQDSVLKYMMKIMEVCKAKGFVYGIVPEKGKPITGSSDSLRRWWKENVQFDQNAPDAITDYLTLAAAAAAAELIDKSSSSSSLLHMLQELQDTTLGSLLSALMQHCMPPQRRFPLEKGIAPPWWPTGTELWWGEQGSAHEHGAPPYRKPHDLRKSWKVSVLAAVIKHMSPNLGRVRRLARQSKSLQDKMMAKETDTWSRVLNQEEALLNIKDLKISEDQDQESSGSKRKGEFMEPSKSVYTCQNSSCPKSDVSFGFGDKNSRTGHEIQCLYGSTQEPSQSGEYTPSMLEMVPSIVTSSTSDDDYYSVSSSAMDKRDGDDHSINGNWMEYFWLEKMQQELHCSRTFEDDEGTGTDMNQFTESDRSDNVNQKSDRSDNVNQSNFSVWDMGCEDKDIYMFD >fgenesh2_kg.6__973__AT5G10130.1 pep chromosome:v.1.0:6:4009860:4038518:-1 gene:fgenesh2_kg.6__973__AT5G10130.1 transcript:fgenesh2_kg.6__973__AT5G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen ole e 1 allergen and extensin family protein [Source:UniProtKB/TrEMBL;Acc:D7M2T9] MAKSLVPVIVVLFVSLLPLAAMAVGTPFHIEGCVYCDTCRFGFETIATKYIHGARVRIVCKDRVTLKSELVGVAVTGPNGKYKVAVRGDRQDQQCLAELVHSPLSRCQEADPGRSTATVILTRSNGAASTRHFANAMGFFRDEPLRGCAALRKRYLADGDVRAI >fgenesh2_kg.6__977__AT5G10160.1 pep chromosome:v.1.0:6:4051355:4052920:1 gene:fgenesh2_kg.6__977__AT5G10160.1 transcript:fgenesh2_kg.6__977__AT5G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSVFTASPSRNLVSIPLHQSLSPPLIRSSSVAFRPKRRSSSLVLCSSDESKSTTEKEIPIELRYEAFPTVMDINKIQEILPHRFPFLLVDRVIEYTAGVSAVAIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGIVMLQPEVGGSRSNFFFAGIDKVRFRKPVIAGDTLVMRMTLVKLQKRFGIAKMEGKAYVGNSVVCEGEFLMAMGKEE >fgenesh2_kg.6__978__AT5G10170.1 pep chromosome:v.1.0:6:4053148:4055996:-1 gene:fgenesh2_kg.6__978__AT5G10170.1 transcript:fgenesh2_kg.6__978__AT5G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFKVESPNVKYTENEIHSVYDYQTTELVHENKNGAFQWTVKPKTVKYEFKTDTHVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKEKVQQANYFGSLTQASSIRVGSFNGEEIYAPFKSLLPMVNPEEIVFGGWDISDMNLADAMARAKVLDIDLQKQLRPLMEHMVPLPGIFDPDFIAANQGSRANHVIKGTKKQQLEQVIKDIREFKEKNKVDKVVVLWTANTERYSNVVVGLNDTTENLMSSLEKDEAEISPSTLYAIACVLENVPFINGSPQNTFVPGLIELAIERNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVGSNGILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVMHNTCEDSLLAAPIILDLVLLAELTTRIQFMAETEGKFHSFHPVATLLSYLSKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNMILEYK >fgenesh2_kg.6__97__AT5G01170.1 pep chromosome:v.1.0:6:391491:393546:-1 gene:fgenesh2_kg.6__97__AT5G01170.1 transcript:fgenesh2_kg.6__97__AT5G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein [Source:UniProtKB/TrEMBL;Acc:D7M754] MNLSADQAPIAAADELAPPSQPHRLSTSCDLHPDERFSGFCPSCLCDRLSVLDHNAVPPPSSSSRKPPTISAATLKALFKPSTSGNNNSNVNGRVRPGFFPELRRTKSFSAKNNEGFSGGFEPQRRSCDVRLRDDHRNPPSKETATVGKIEDEPRKSSVSEIVLEVTEEAEIEEEVENGGHSEILNDTGEIVEEKSGEIVEEEEELKPMKDYMDLYSQTKKPSVKDFAGSFFSAASVFSKKLQKWRQKQKIKKPRSGVGGGGGRPQSEIGVGRRSSDTDPRFSLDAGRFSVDIGRISLDDSRYSLDEPRASWDGHLISRTTAARVPPPPSMLSVVKNAPIHRSDMQIPSSPSINPVNNESDPIIIIPGGSNQTRDYYTGPPSSRRRKSLDRSNSIRKIATELDDVKSVSNSTTTIDSNFMETAESKGNQNGDKKSRRWGKWSILGFIYRKGKDDEEEEDRYSRSNSAGMVERSLSESWPELRNGEGGGPKMRRSNSNVSWRSSGGGSTRNKSSRYSSKDGENGMLRFYLTPMRSSWRSGGGSGGGGGGGGGGWEKTAAKANSHGHSIARRVMRVY >fgenesh2_kg.6__986__AT5G10260.1 pep chromosome:v.1.0:6:4090989:4092625:1 gene:fgenesh2_kg.6__986__AT5G10260.1 transcript:fgenesh2_kg.6__986__AT5G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTSKWIEDVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDNKARDYGVIFIETSAKAGFNIKPLFRKIAAALPGMETLSSTKQEDMVDVNLKTSTNSSQGEQQRGGCSC >fgenesh2_kg.6__987__AT5G10270.1 pep chromosome:v.1.0:6:4092711:4095929:-1 gene:fgenesh2_kg.6__987__AT5G10270.1 transcript:fgenesh2_kg.6__987__AT5G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase C_1 [Source:UniProtKB/TrEMBL;Acc:D7M2V3] MALAAFGQLNLEEPPPIWGSRSVDCFEKLEQIGEGTYGQVYMAKEIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIQLKEIVTSPGRDRDDQGKPDNNKYKGGIYMVFEYMDHDLTGLADRPGLRFTVPQIKCYMKQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSHDHSGNLTNRVITLWYRPPELLLGATKYGPAIDMWSVGCIFAELLHAKPILPGKNEQEQLNKIFELCGSPDENIWPGVSKMPWYNNFKPARPLKRRVREFFRHFDRHALELLEKMLVLDPSQRISAKDALDAEYFWTDPLPCDPKSLPTYESSHEFQTKKKRQQQRQNEEAAKRQKVQHPPQQHSRLPPLQHGGQSHAAPHWPAGPNHPTNNAPPQVPAGPSHHFYGKPRGPPGPNRYPPSGNQSGGYNQSRGGYSSGSYPPQGRGAPYVAGPRGPSGGGYGVGPPNYTQGGQYGGSGSSGRGQNPRNQQYGWQQ >fgenesh2_kg.6__988__AT5G10278.2 pep chromosome:v.1.0:6:4099516:4104443:1 gene:fgenesh2_kg.6__988__AT5G10278.2 transcript:fgenesh2_kg.6__988__AT5G10278.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIYYDDEWKYHTMKYYMNTLKGLWRYIQLEQGWKEINLSTMIIMKSYVLFIFVYVLNLVDILRWFKSCIPIASSRLCWTNTSADVPAMLGVTVEKSMC >fgenesh2_kg.6__990__AT5G10280.1 pep chromosome:v.1.0:6:4104514:4106141:1 gene:fgenesh2_kg.6__990__AT5G10280.1 transcript:fgenesh2_kg.6__990__AT5G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPIRDDSGLKKGPWTPDEDEKLVNYVQKHGHSSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGRFSPDEEQTILNLHSVLGNKWSTIANQLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDIFSGLSQLMSLSSNLRGFVDLQQQFPIDQEHTILKLQTEMAKLQLFQYLLQPSSMNSINPNNFDTLSLLNSIASFKETSTNTTSNNLDLGFLGSYLQDFNSLPSLKTLNSNMEPSSVLPQNLDDNHFKFFTQRENLPVSPIWLSDPSSATQSHIPSAVNDDLILNQYGIEDVNSNITSSSGQESAASASAAWPEHLLDDSIFSDIP >fgenesh2_kg.6__992__AT5G10300.1 pep chromosome:v.1.0:6:4112053:4113244:1 gene:fgenesh2_kg.6__992__AT5G10300.1 transcript:fgenesh2_kg.6__992__AT5G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7M2V7] MERKHHFVLVHNAYHGAWIWYKLKPLLESAGHRVTAVELAASGIDPRPIQAVGTVDEYSKPLIETLKSLPENEQVILVGFSFGGINIALAADIFPAKIKVLVFLNAFLPDTTHVPSHVLDKYMEMPGGLGDCEFSSHETRNGTMSLLKMGPKFMKARLYQNCPIEDYELAKMLHRQGSFFTEDLSKKEKFSEEGYGSVQRVYIMSSEDKAIPCDFIRWMIDNFNVSKVYEIDGGDHMVMLSKPQQLFDSLSAIAADFM >fgenesh2_kg.6__993__AT5G10310.1 pep chromosome:v.1.0:6:4113923:4114618:-1 gene:fgenesh2_kg.6__993__AT5G10310.1 transcript:fgenesh2_kg.6__993__AT5G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIYKSTLLLLIVLITPQVSSFLQPVQPPNSPQVALIEDKARLGSTPPSCHNRCNNCHPCMAIQVPTLPTRSRFTRVNPFSGGFVRPPSSLTTVLDQYSNYKPMGWKCHCNGHFYNP >fgenesh2_kg.6__995__AT5G10320.1 pep chromosome:v.1.0:6:4116530:4119403:-1 gene:fgenesh2_kg.6__995__AT5G10320.1 transcript:fgenesh2_kg.6__995__AT5G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKRLEKFQSVVSSITCHGLLSSSSTSDSASSSRFISNLVLLLVQPCGELDLESKLGLVSEFLPKISGPFLEKISSSLELDDEATTPVNTISAESAKSCVKRSVMGNVDPFMSQKNQEVVAMVGLDAMKRANSTLEDFSRSYFMFHRLDINEPQSIFRYLPVLSFTESYIYQMDALNEKIVSESARGSQVIYSSHGWNAESRVLFETDPLKLLGDLLEREGLLTQRIQQEFKSGEEYWALERKLCHALSNKNKLCLEDVMRAIRLKSFDYRVLNLLLYKLREEEVNELHMDFLSISEFLVEVADDLLNLSESEWFSTLLLQDDVLENNFNVLRMFVGIFGSSNAPTELAKRISEAEDKYEEIMKSLDPHLSSNYQRRCEEATKEGGKISGHSLGTWNIPAVISDEEAYRAAIKPGFS >fgenesh2_kg.6__997__AT5G10340.1 pep chromosome:v.1.0:6:4119620:4120756:1 gene:fgenesh2_kg.6__997__AT5G10340.1 transcript:fgenesh2_kg.6__997__AT5G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLPHDVIEFHILERLDVKTLLKFKSVSKQWISTIQSPCFQERQLIHHLSQSPGDPHVLLVSLSDPSARQQDPSFEALRTLEVGSSSASVQIPTPWEDKLYDVCNTSCDGLICLYDFYALPSIVVNPTTRWHRTFPKCNYQLVAADKGERDECFEVPYPTPGFGKDKISGTYKPVWLYNSAELGLNDKATTCEVFDFATNAWRYIFPASPHLILHTQYPVYVDGSLHWFTALSHEGETMVLSLDLHSEIFQVISKAPFLNVSDEYKIVMCNLDDRLCVSEEKWPNQVIWSLDDSDHKTWKQIYSIDLIITSSLFGITRFAFTPLAVLDKDKLLFYDREHGNAFLTHDPDTKSYDLPYTSKRWARVVCYFPSLISIL >fgenesh2_kg.6__999__AT5G10350.2 pep chromosome:v.1.0:6:4120961:4123345:-1 gene:fgenesh2_kg.6__999__AT5G10350.2 transcript:fgenesh2_kg.6__999__AT5G10350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein family protein [Source:UniProtKB/TrEMBL;Acc:D7M2W1] MEEEEHEVYGGEIPEVEETDVPDPDIDMSAGDDDAVTELAEMKKRLKEMEEEAAALREMQAKVEKEMGATQDPATMAANQEGKEEVDARSVYVGNVDYACTPEEVQLHFQTCGTVNRVTILMDKFGQPKGFAYVEFVEVEAVQEALQLNESELHGRQLKVSPKRTNVPGMKQYHPGRFNPSIGYRFRRPFVPPYFYSPYGYG >fgenesh2_kg.6__99__AT5G01150.1 pep chromosome:v.1.0:6:400186:401993:-1 gene:fgenesh2_kg.6__99__AT5G01150.1 transcript:fgenesh2_kg.6__99__AT5G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSEEPKFTLRLIVDEEKNKVVLAEACRDFVDVLFSLLTLPMGTIVRLLENHRKSEPVTVGCFNNLYRSVVEMSRDSFETEACKQMLMYLRSVRDVQCKRLKLNINPTEDIKCFKCPSYCSLYSNFNTSICSCGNLTNEEIKLENKEKVAGQNQSDANGVFVSGRCSFILTDDLEVAVKSTELVLNKLKSLGCADVSKLGERLLDIGLEEVLTLLECIFSSNAPLTDAFLNKKSPQGVTKFYKSLSPCLEKKEDETEPEKVVTLKAFVRKQDMKILFIECGEEFVELLLSFLAVPLESVWEISGSSISLGCIGNLCRSFSDLKANEGTEVSPSTCVLPSFYNFQMQLPGIITQQPPVYYRYRLNDYRQVSYGLTTNGNRTTYFRKDRIVRVDLMDPKSRGINKSTHGFLKKETKFTVLDDLTITSMNSCSTVCLLKNLQSHADDLEVQVVSISNAEALNLLRASLVTSAALSTALWNLIAKKLKEETDLLSPVSKKVKEET >fgenesh2_kg.70__2__AT3G09280.1 pep scaffold:JGI8X:scaffold_70:6069:6405:1 gene:fgenesh2_kg.70__2__AT3G09280.1 transcript:fgenesh2_kg.70__2__AT3G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MW59] MAAVSSEAEPPTTRKFGRHDWPEAEAEAPEFSHLEETVRRGHHHSTVERSVAGGGVILGGLATTFLVVVFCYIRATRKHKPNYDEKETETPKVLV >fgenesh2_kg.711__1__AT2G43210.2 pep scaffold:JGI8X:scaffold_711:521:3708:1 gene:fgenesh2_kg.711__1__AT2G43210.2 transcript:fgenesh2_kg.711__1__AT2G43210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MY10] MEALSSLTFKGSVPEAIFEAKGQKKLFVVYISGEDEESDKLNRLTWTDASVAESLSKYCILVHIQAGSVDATNFSAIYPYSSVPCIAAIGFSGTQVWKNEGFIAAEDIASSLEKAWLGLHIQETTASIFSAALASQNSEKPASSASNVVLPSESGPLDAPVASPSTASSVQPSETKSTVTSASTKENNDGTVAVKGKESAEPSNLCDTTKNQPAPSVDGTKANVEHEATGAPSHVQAEKEPIRPAAPGTNDNASSVRSSVDSKRKQGTVINKDDSGLGVSGRDINLTKSVGTEEVMKPKDEGGEEEVGEKSKKSSDVHLNIRLPDGSSLQEKFSVTSILRMVKDYVNSNQTIGLGAYDLAVPYPRKVYSDQDMDKSLSELGLFDRQALVVVLRKRATVYQRGPSYSESNNNTDPNDGGYFAYVRRVLSYANPFSYFGGGTANTSSSGPEPQSGPQAELRNNLGQGGSSFQDSSEGRSNVRNRRPTTSRIGSNIHTLNHTEDDAPFGDGNAFWNGNSTQYGGGSGGDSNDRR >fgenesh2_kg.713__1__AT2G42870.1 pep scaffold:JGI8X:scaffold_713:1085:1944:-1 gene:fgenesh2_kg.713__1__AT2G42870.1 transcript:fgenesh2_kg.713__1__AT2G42870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLATPDTTRRSLSPSCSAAVKSRAAGFERRTKRRLSETNASVREDREEEEEEDEVKEKIEALQRIIPGGTALGVDALFEETAGYIMSLQCQIKTIKVLTSFLQRVDQEDMKFGG >fgenesh2_kg.716__1__AT2G35260.1 pep scaffold:JGI8X:scaffold_716:769:3059:-1 gene:fgenesh2_kg.716__1__AT2G35260.1 transcript:fgenesh2_kg.716__1__AT2G35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLLSYASSASFSRTGFYSSSSSTSICEFPERRRSFRMRFNGGERSRSVIASAERSSEGIEKTDGGGGFAGTAMEVTTLDRGFANSTTVDFPIWDKIGAVVRLTYGIAESLLEDSYGSVTGIDSSGGFDPSLDALLAGLGYATPPIMALLFILDDEVVKLSPHARAIRDVEDEELRSFFFGMSPWQFILIVAASSIGEELFYRVAVQGALSDIFLKGTQLMTDSRGMASLTGVFPPFVPFAQAFAAVITATLTGSLYFLAASPKDPTYIVAPVLRSRRDDFKKLLSAWYEKRQMKKIYSPLLEGLLALYLGIEWVQTDNILAPMMTHGIYSAVILGHGLWKIHDHRRRLRRRIERIRSEATDKLI >fgenesh2_kg.71__1__AT5G60060.1 pep scaffold:JGI8X:scaffold_71:1209:2333:-1 gene:fgenesh2_kg.71__1__AT5G60060.1 transcript:fgenesh2_kg.71__1__AT5G60060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSQWSDLPLDMLELISDRLDDDDSSDTIDLLCLRSVCATWRLSLPLSNNNNKNPLSKFPKYLPFWSSSSSSSGFFILKQSSVYELEAPLNPRSWLVKIQETSPGNMRVLDLFSNDRICFLPENFPEKIDLQEFHVRLVRRTYRMDYANNGGEISCFWSLNSDKVVILSSGEDSAIVAIHSGGKLGLLKSGNEKRWRILDNSWNVIYEDIMLYRENCCIVVDDKGKTVIYDVDFKVSDLAEGLVGGGGHKKHLVEYPGGEVLLVDKYVKHVWCKSEVSKSAVEFRVYKLKREEKRWEEVRELGDVALFIGDDCSFSVQIPAGDSAGGFIFYRDYRNGGRSRGVCSDGDGVFNVEFEMQSDFVFPIKPKCFGP >fgenesh2_kg.71__3__AT5G60080.1 pep scaffold:JGI8X:scaffold_71:5901:7662:-1 gene:fgenesh2_kg.71__3__AT5G60080.1 transcript:fgenesh2_kg.71__3__AT5G60080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MT59] MSNRFICCFGGGSSSKVVQDPSELPQQQPQESPDPSDSSDTSEQPNSQPHSSGGSGDDEAPSTGVKFRWREIEDGTENFGITHLIGQGNYGKVYRCNFPRIHKVGAAKIHNNNITAALSEFIAETTTLYAADHPNVIKLLGKYFGIQKSVLVYEFMPNGSLDHHLFAQARQVQGLTKPTRVLDWNTRMRIAVGVAEGLVYVHQGLYAIHRDVKVENILLDNNFVPKLSDFGFATKIVYNSNGVERQREFNSSGTQGYIAPEAEEFGLISTKSDIYSYGVFLLVLLTGRKAYDMKRPVAKEKLTDWLMPVWTRLEYAPMVVDVALGNKYSVEGLNRLFQTARMCINAQALERPAMDFVETMVREAAAFPVLPEETPVTERCSTST >fgenesh2_kg.71__6__AT5G60120.1 pep scaffold:JGI8X:scaffold_71:24881:28226:1 gene:fgenesh2_kg.71__6__AT5G60120.1 transcript:fgenesh2_kg.71__6__AT5G60120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLDVDSAESTQNERDSVTVEGVSLNQMDESVTSNSSVVNAEASSCIDGEDELCSTRTVKFQFEILKGGEEEEEEEDDDERSAVMMTKEFFPVAKGMNFMDSSAQSSRSTVDISFQRGKQGGDFGADAARVMQPPSQPVKKSRRGPRSKSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAVKFRGLEADINFIISDYEEDLKQMANLSKEEVVQVLRRQSSGFSRNNSRYQGVSLQKIGGWGAQMEQFHGNMASDKAAIQWNGREAASLIEPHASRMIPEAANVKLDLNLGISLSLGDGPKQKERALRLHHVPNITVCGRNIMMENHMAAAACDTPFNFLKRGSDHLNNRHSLPSAFFSPMERTPEKGHMLRSHQSFPARTWQGHDQSSGGTAVAATAPPLFSNAASSGFSLSATRPPSSIATPHPSQPFLNMNQPGLYVIHPSDYTSQHHHNLMNRPQPPP >fgenesh2_kg.731__1__AT2G34700.1 pep scaffold:JGI8X:scaffold_731:846:2427:1 gene:fgenesh2_kg.731__1__AT2G34700.1 transcript:fgenesh2_kg.731__1__AT2G34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVIKALKYLLLLSISLTFIYTNEVSSASPVTTPPSSPVKMSRRLVAVEGMVYCKSCKYSGVDNLLEASPLQGATVKLACNNTKRGVTMETKTDKNGYFFMLAPKKLTTYAFHTCRAWPTNPGPTTATMTCTVPSKLNNGITGAMLKPSKTINIGEHDYVLFSVGPFAFEPACTR >fgenesh2_kg.746__1__AT4G33710.1 pep scaffold:JGI8X:scaffold_746:4032:4586:-1 gene:fgenesh2_kg.746__1__AT4G33710.1 transcript:fgenesh2_kg.746__1__AT4G33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMFNSPQTLVLLALALVLAFAVPLKAQDGRQDYLDVHNHARDDVGVPHIKWHAGAAQYAWNYAQIRKRDCRLVHSDSGGRYGENLAWSSGDMSGAAAVRLWVKEKSDYFHKSNTCRAGKQCGHYTQVVWKNSEWVGCAKVKCDNGGTFVTCNYSPPGNVRGRWPY >fgenesh2_kg.762__2__AT2G31560.1 pep scaffold:JGI8X:scaffold_762:2278:3703:-1 gene:fgenesh2_kg.762__2__AT2G31560.1 transcript:fgenesh2_kg.762__2__AT2G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIHIESSHPWKTHSVQVKKPTNADVTSNTVLPEHHHDGGDGGIQETWAAIGNSIVGGGGYFAGESRNKKLEKRKSQVLLEGYALDDKDDLTRAKSLTEDDLEELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSQKFLDDKQQNHHKSPDEDDSPPPPNTTVPIANWKISSPGDDPDDVKARLKYWAQTVACTVRLCS >fgenesh2_kg.77__1__AT5G55760.1 pep scaffold:JGI8X:scaffold_77:2516:23088:1 gene:fgenesh2_kg.77__1__AT5G55760.1 transcript:fgenesh2_kg.77__1__AT5G55760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYAEKLSFIEDVGQVGMAEFFDPSHVLQCKIEELAKLIQKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQREGKDLPKASLPFHRAMPSMTHMALVELERAGILKFVISQNVDGLHLRSGIPREKLSELHGDSFMEMCPSCGAKYLRDFEVETIGLKETSRRCSVEKCGAKLKDTVLDWEDALPPKEIDPAEKHCKMADLVLCLGTSLQITPACNLPLKCLRGGGKIVIVNLQKTPKDKKANVVIHGLVDKVVAGVMESLNMKIPPYVRIDLFQIILTQSLSGDQRFINWTLRVASVHGLTSQLPFIESIEVSFSDNQNYKDAVLDKQPFLMKRRTARNETFDIFFKVNYSDGCDCVSTQLSLPFEFKVSTKEHVEIIDKEAVLQSLREKAVEESSCGQSGVVERRAVSEPRSEAVVYATVTSLRTYHCQQSLLANGYLKWKLEGSGTSRKRSRTGKRKSKAQEEESKA >fgenesh2_kg.781__1__AT5G59970.1 pep scaffold:JGI8X:scaffold_781:3918:4408:-1 gene:fgenesh2_kg.781__1__AT5G59970.1 transcript:fgenesh2_kg.781__1__AT5G59970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLY >fgenesh2_kg.788__1__AT2G44120.1 pep scaffold:JGI8X:scaffold_788:799:2442:1 gene:fgenesh2_kg.788__1__AT2G44120.1 transcript:fgenesh2_kg.788__1__AT2G44120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L7 [Source:UniProtKB/TrEMBL;Acc:D7MY49] MAESKVVVPESVLKKIKRQEEWALAKKEETVAAKKKSVETRKLIFKRAEQYAKEYAEKDNELIRLKREAKLKGGFYVDPEAKLLFIIRIRGINAIDPKTKKILQLLRLRQIFNGVFLKVNKATVNMLRRVEPYVTYGYPNLKSVKELIYKRGYGKLNHQRIALTDNSIVDQALGKHGIICVEDLIHEIMTVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNRENFINELVRRMN >fgenesh2_kg.7__1002__AT4G31720.2 pep chromosome:v.1.0:7:4226039:4228574:1 gene:fgenesh2_kg.7__1002__AT4G31720.2 transcript:fgenesh2_kg.7__1002__AT4G31720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 10 [Source:UniProtKB/TrEMBL;Acc:D7MB36] MNHGQQSGEAKHEDDAALTEFLASLMDYTPTIPDDLVEHYLAKSGFQCPDVRLIRLVAVATQKFVADVASDALQHCKARPAPVVKDKKQQKDKRLILTMEDLSKALREYGVNVKHPEYFADSPSTGMDPATRDE >fgenesh2_kg.7__1004__AT5G27100.1 pep chromosome:v.1.0:7:4231880:4235138:1 gene:fgenesh2_kg.7__1004__AT5G27100.1 transcript:fgenesh2_kg.7__1004__AT5G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7MB39] MKRQNNLVLSLLFFVIVFLMQVGEAQKRATDVNVGIVNDVGMAYSNMTLLCINMSLSDFYSSHTETQTRLVTTIVTPKMMLLSQQQREVKAILGPWTSMQAQFMIEMGQKSQVPIVTYSATSPSLASIRSQYFFRATYDDSSQVHAIKEIIKLFGWREVAPVYVDDTFGEGIMPRLTDALQEINVRIPYRTVISPNATDDEISVELFRMMTLPTRVFVVHTVELLASRFFAKATEIGLMKQGYVWILTNAITDVLNIMNETEIETMQGVLGVKTYISMDEEIPISDLNVYGLWAYDATTALALAMEEAGTSNLTFFKTDAMRNVSELQGLGLSQYGPKLLQTLSRVRFQGLAGDFQFINGELQPSVFEIVNVNGQGGRTIGFWMKEYGLLKNVDQKPDTETTFSSWQDRLRPIIWPGDTTSVPKGWEIPTNGKRLRIEVPVKNSFQQFVKATRDPITNSTIFSGFCIDYFEAVIKAMPYDVSYDFIPFQDGDYDTLVYQVYLGKYDAVVADTTISANRSMYVDFSLPYTPSGVGLVVPVKDSVRRSSTIFLMPLTLALWLISLLSFFIIGLVVWVVEHRVNPDFGGPGQYQISTIFWFAFSIMVFAPRERVLSFWARLVVIIWYFLVLVLTSSYTASLASLLTSQQLHPTVTNINSLLAKGESVGYQRSSFILERLRDSGFSETSLVSYGSPENCDALLSKGPAEGGISAAFMEVPYVRTFLGQYCNKYKLVQTPFKVDGLGFVFPIGSPLVADISRAILKVEESNKANELENAWFKPIDESCPDPLTNPDPNPSVSFRQLGFDSFWVLFLAAAIVCAIALGISVCQFLKENPDQRNMRVLWEKFKTPDQKSYIKEVTKCQCSSGQGMPKNDQEGANPEHHDNQS >fgenesh2_kg.7__1006__AT4G31670.1 pep chromosome:v.1.0:7:4244180:4247765:1 gene:fgenesh2_kg.7__1006__AT4G31670.1 transcript:fgenesh2_kg.7__1006__AT4G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7MB42] MHEVGFPLDLSVFTRLIATLFFLAVGVFYFLKNTAAKYFDIGAAAAGGFDRDFMAVDTEDCSVCGNYSTKKCSRCKSVRYCSAECQRSDWSSGHQRKCKDYGITTLTPSAKNGLRFRASPFGDSSASNIALISERAQNKSSLKPREVLFPYEEFVEYFNWDNPELAPCGLMNCGNSCFANVILQCLSWTRPLVAYLLEKGHKRECMHNDWCFFCEFQTHVERASQSRFPFSPMNIISRLTNIGGTLGYGRQEDAHEFMRYAIDMMQSVCLEEFGGEKIVPPRSQETTLIQYIFGGLLQSQVQCTVCNHVSDQYENMMDLIVEMHGDAVSLEECLDQFTAEEWLHGDNMYKCDRCSDYVKACKRLTIWRAPNILTIALKRYQGGRYGKLNKRISFPATLDLNPYMSEGGDGSDVYKLYAVIVHLDMLNASFFGHYICYIKDFCGNWYRIDDSEIESVELEDVLSQRAYMLLYSRIQARSSSSCLRSEVQDEKKTESFVKELVESSMVGAIESRSSTHVTIEDPEREQSPSPSSSSSSSVLTSECCSEVERVDTLDSESNPSTDDSATDHQEDAANGNRDPEVKYQAVDSCSDPATSTPLVCTKSKPPVRDMDTKMIDAQ >fgenesh2_kg.7__1007__AT4G31630.1 pep chromosome:v.1.0:7:4251477:4252943:1 gene:fgenesh2_kg.7__1007__AT4G31630.1 transcript:fgenesh2_kg.7__1007__AT4G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MB44] LMTINQCLMTMNNGVRIINLTITPSKKSIRISSKKRARTETESSSDRSYLLAHVTPSSLRRDIMCLLSKFARSNGLDRRECEIDLIDEHEKSWTLLLRHNKKTGQAFMRGGWRSFCRNNGIKAGSFCRFKLVQSGIKPVLQLCPNASSIPEGNSSKASKKRNVSESEGDEIESEDCSETVAMNQNKIMTFDLKPYVFRSCQFRLPASFARENGIVEAGEVTVLNKDGVEWKSHLVNVKGRDQFYIRSCQDFFVANGIKYVVTVLVLGFCYCEIVVLKRIVSSIVDTLTDVRRFQRELEVKEHNLEASLQEIDALGMNLNYQ >fgenesh2_kg.7__100__AT4G38970.1 pep chromosome:v.1.0:7:310517:312856:-1 gene:fgenesh2_kg.7__100__AT4G38970.1 transcript:fgenesh2_kg.7__100__AT4G38970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:D7MFQ6] MASTSLLKASPVLDKSEWVKGQSVLFRQPSSASVVLRNRATSLTVRAASSYADELVKTAKTIASPGRGILAMDESNATCGKRLDSIGLENTEANRQAFRTLLVSAPGLGQYISGAILFEETLYQSTTEGKKMVDVLVEQNIVPGIKVDKGLVPLVGSNNESWCQGLDGLASRTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDSGLVPIVEPEILLDGEHDIDRTYDVAEKVWAEVFFYLAQNNVMFEGILLKPSMVTPGAESKDRVTPEKVAAYTLKLLRNRVPPAFLSGGQSEVEATLNLNAMNQAPNPWHVSFSYARALQNTCLKTWGGRPENVNAAQTTLLARAKANSLAQLGKYTGEGESEEAKEGMFVKGYTY >fgenesh2_kg.7__1010__AT4G31610.1 pep chromosome:v.1.0:7:4263615:4266090:-1 gene:fgenesh2_kg.7__1010__AT4G31610.1 transcript:fgenesh2_kg.7__1010__AT4G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPHFSLFQHKFQTGDKPLLTLDADFLMNHTKVLLKSDASDRPRKVKLDGGRLSDGWEEFAGDHKFKDGDVLVFKHHGDEVFHVSVSGDIQNASSSHVDTEDTYIDDEDLDVDDDDDVDDDEGEDNLENILEKTNKKQEADSSSEHPGFITACVTRYSLLHDRLDLSRNFTLLFGGNQKTCEIDVVNEQGRRWTMKLAKNISSGVFYIRQGWGNFCCVNGLSQGQLCKFKLFQNGERPVLWLCPQESGNGRKEKRTFDEVSKVKEKKTPSPFLIVKYTPSRDTTGQLSLPVSFTRKNNINKAGEIILLNQDGRKWSSYLQITGLGRGGSEWFYLRRGWREMCEANGVKVNDSFKLELMWEGANPMFKFCSKIENHEYKGKGNQRTRKKRACETDPQPRNVKKTPRVGVEGPEHQVDEERGRTQVSNRTNTISRELQRLLPRSCSGIVDTLNTVRQCRTELETSEQNLQASLLAIEALGERIWGISKILSNNLV >fgenesh2_kg.7__1011__AT4G31600.1 pep chromosome:v.1.0:7:4266517:4268873:1 gene:fgenesh2_kg.7__1011__AT4G31600.1 transcript:fgenesh2_kg.7__1011__AT4G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQAEMEPSSSISLVAAVSYGIASMAMVFINKAVIMQYPHSMTLLTLQQLATSLLIHFGRRMGYTRAKGIDLATAKKLLPVSIFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLIAGVLFGKGKPTTQVALSVLLTAAGCVIAALGDFSFDLFGYGLALTSVFFQTMYLVLVEKSGAEDGLSSIEIMFYNSFLSLPFLSFLIIVTGEFPNSLSLLLAKCSYLPFLVILVLSLVMGIVLNFTMFLCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHALNVSGLVVNTAGGVWYSYAKYRQKKAKPAKLMSDLEAHKK >fgenesh2_kg.7__1013__AT4G31590.1 pep chromosome:v.1.0:7:4273996:4277042:1 gene:fgenesh2_kg.7__1013__AT4G31590.1 transcript:fgenesh2_kg.7__1013__AT4G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLDFSDWWAKDTRKGTPVVVKMENPNYSVVEIDGPDSAFRPVEKSRGKNAKQVTWVLLLKAHRAVGCLTWLATVFWSLLGAIKKRLSFTHPLGSEKLGRDRWLFTAIKLFLAVSLLILGFEIVAYFRGWHYFQSPNLHIPTSTLEIQSLLHLVYVGWLTLRADYIAPPIKALSTFCIVLFLIQSVDRLILCLGCFWIKYKKIKPRFDEEPFRNDDGEGSGFEYPMVLVQIPMCNEREVYDQSISAVCQLDWPKDRILVQVLDDSNDESIQQLIKAEVAKWSQKGVNIIYRHRLVRTGYKAGNLKSAMSCDYVEAYEYVAIFDADFQPTPDFLKLTVPHFKDNPELGLVQARWTFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLHGWKFIYLNDVKVLCEVPESYEAYKKQQHRWHSGPMQLFRLCLGSILTSKIAIWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPVWVICYIPVFMSFLNLLPSPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWIVTKKAGRSSESDLLSITEKEIPNKKSQLLRGVSDSELLELSQLEEQKHAVSKKPVKKTNKIYHKELALAFLLLTAAVRSLLAAQGVHFYFLLFQGVTFLLVGLDLIGEQMS >fgenesh2_kg.7__1015__AT4G31580.1 pep chromosome:v.1.0:7:4278157:4279814:-1 gene:fgenesh2_kg.7__1015__AT4G31580.1 transcript:fgenesh2_kg.7__1015__AT4G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDPRVTERELEDEFRTFGVIRSVWVARRPPGYAFLDFEDPRDARDAIRDVDGKNGWRVEQSHNRGERGGGGRGGDRGGGGGGRGASDLKCYECGETGHFARECRNRGGTGRRRSRSRSRSPPRYRRSPSYGRRSYSPRARSPPPPRRRSPSPPPARGRSYSRSPPPYRAREEVPYANGNGLKDRRRSRS >fgenesh2_kg.7__1016__AT4G31540.1 pep chromosome:v.1.0:7:4300511:4303397:1 gene:fgenesh2_kg.7__1016__AT4G31540.1 transcript:fgenesh2_kg.7__1016__AT4G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTGVDRGIQSLIAARKSLKLSLEKSKAIGLALGKTGPRFEEIEQRLPLLEAAVRPIRADGEALKDVGGNINRAVGPAAAVLKVFDAVHGLEKSLLSDPKNDLSSYLSVLKRLEEALKFLGENCGLAIQWLEDIVEYLDDHHVADEKYLSNLKKSLRGLSEFHNDGGGVEEKERSQLRLDGGLRNAALDKLENEFRRLLKDNSVPLPMASPSSLGDQACIAPSQLPVTVIHKLQAILGRLRANNRLDKCISIYVEVRSLNVRASLQALDLDYLDISVSEFNDVQSIEGYIAQWGNHLEFAVKHLFEAEFKLCNDVFERLGLNVWMDCFSKIAAQAGMLAFLQFGKTVTDSKKDPIKLLKLLDIFTSLNKLRADFNRLFGGAACIEIQNFTRDLIKRIIDGAAEIFWELLVQVEIQKQTPPPSDGGVPRLVSFVTDYCNKLIGDKYKSTLTQVLLIHKSWRSERFQDNQLMVEVLRIIKAIEQNLDVWMKAYPDQTLAHFFGMNNHWHLYKNLKGTNIGDHLGDSWLKEHDQYKEYYATVFLRDSWGKLPSHLSREGLILFSGGHATARDLVKKRLKAFNDAFDEMYKKQASWVLPEKDLRDRVCQQIVQAIVPVYRSYMQNYGPLVEKDASSSKYVRYTVVALEKILSSLYMPKPMRYGSFKATPPSEKFKNDVDLRRTTSAVV >fgenesh2_kg.7__1017__AT4G31530.1 pep chromosome:v.1.0:7:4303597:4305625:-1 gene:fgenesh2_kg.7__1017__AT4G31530.1 transcript:fgenesh2_kg.7__1017__AT4G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic/ coenzyme binding protein [Source:UniProtKB/TrEMBL;Acc:D7MB55] MATTTNLSFAPPSYSRFAATRSQIRNPLFTSPLTLPSSFFLVRNEASLSSSITPVQAFTEEAVDTSDLASSSSKLVLVVGGTGGVGQLLVASLLKRNIRSRLLLRDLDKATKLFGKQDEYSLQVVKGDTRNAEDLDPSMFEGVTHVICTTGTTAFPSKRWNEENTPEKVDWEGVKNLISALPSSVKRVVLVSSVGVTKSNELPWSIMNLFGVLKYKKMGEDFLRDSGLPFTIIRPGRLTDGPYTSYDLNTLLKATAGERRAVVIGQGDKLVGEVSRLVVAEACIQALDIEFTQGKAYEINSVKGDGPGSDPQQWRELFKAAESK >fgenesh2_kg.7__1019__AT4G31510.1 pep chromosome:v.1.0:7:4317701:4318741:1 gene:fgenesh2_kg.7__1019__AT4G31510.1 transcript:fgenesh2_kg.7__1019__AT4G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVGSTFRDRSSVTAQDQGVPAALSNRIGLRRCGRSPPESSSSVGESSENEEEEDDAVSSSQGRWLNSFSSSLEDSLPIKRGLSNHYIGKSKSFGNLMEASNAKDLVKVESPLNKRRRLLIANKLRRRSSLSSFSIYTKINPNSMPLLALQESDQEDHKLNDDETSKLKEKRMKMTNHRDFMVPQTKSCFSLTSFQDDDR >fgenesh2_kg.7__101__AT4G38980.1 pep chromosome:v.1.0:7:313836:315308:-1 gene:fgenesh2_kg.7__101__AT4G38980.1 transcript:fgenesh2_kg.7__101__AT4G38980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEREDVAEVSLLVRSEEDLSPECLAWADSCIISFPDDSDNNNWGTFRDALTEIIDIHPEMFVPSSTGTRGVLSPDEDMIESEPIHLRRFEPEADSANCKTNSSNEEVSEIVSMLTFESDPSKSSLEDCYFSESIAENGTRELAADHPTTDLGGVESIEEDGSVSNGEAEEEEEPAAVSSQVFKDDFMSTYVEDNVDDCNVTEDPVKVTPQEIFMVWDLEIVGDDDEEDGLVLQLKKALNESSTVQPLNDDQVVAEESNIDDLIAGISDLSLAETFK >fgenesh2_kg.7__1022__AT4G31490.1 pep chromosome:v.1.0:7:4321815:4326462:-1 gene:fgenesh2_kg.7__1022__AT4G31490.1 transcript:fgenesh2_kg.7__1022__AT4G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta [Source:UniProtKB/TrEMBL;Acc:D7MB61] MDKSSTLLVHYDKGTPAVANEIKEALEGNDVEAKIDAMKKAVMLLLNGETIPQLFITIIRYVLPSEDHTIQKLLLLYLELIEKTDSKGKVLPEMILICQNLRNNLQHSNEYIRGVTLRFLCRLKETEIVEPLTPSVLQNLEHRHPFVRRNAILAIMSIYKLPQGDQLFVDAPEMIEKVLSTEQDPSAKRNAFLMLFTCAEERAVNYLLSNVDKVSDWNESLQMVVLELIRSVCKTKPTEKGKYIKIIISLLSATSSAVIYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLILLDRLYELKTLHRDIMVELIIDVLRALSSPNLDIRRKTLDIALDLITHHNINEVVQMLKKEVVKTQSGELEKNGEYRQMLIQAIHACAVKFPEVASTVVHLLMDFLGDSNVASALDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAGKVCPCALWIIGEYCLSLSEVESGISTIKQCLGDLPFYSVSEESEPTETSKKIQPTSSAMVSSRKPVILADGTYATQSAASEPHSPHLQLALLLTGDFFLGAVVACTLTKLVLRLEEVQSSKTEVNKTVTQALLIMVSMLQLGQSPVSPHPIDNDSYERIVLCIKLLCHRNDEMKKIWLEYCRQSFVKMISEKQLREMEELKAKTQTTHAQPDDLIDFFHLKSRKGMSQLELEDQVQDDLKRATGEFTKDENDANKLNRILQLTGFSDPVYAEAYVTVHHYDIALEVTVINRTKETLQNLCLELATMGDLKLVERPQNYSLAPERSMQIKANIKVSSTETGVIFGNIVYETSNVMERNVVVLNDIHIDIMDYISPAVCSEVAFRTMWAEFEWENKVAVNTTIQNEREFLDHIIKSTNMKCLTAPSAIEGECGFLAANLYAKSVFGEDALVNVSIEKQTDGALSGYIRIRSKTQGIALSLGDKITLKQKGSS >fgenesh2_kg.7__1023__AT4G31470.1 pep chromosome:v.1.0:7:4328576:4329136:1 gene:fgenesh2_kg.7__1023__AT4G31470.1 transcript:fgenesh2_kg.7__1023__AT4G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSLIFVIIIIIVISTPLPSLSFQFPSNKTPTTSTLISSQYKTLARNTIQQQFLRPHNILRAKLRLPPLKWSNSLALYASRWAQTRGGDCKLIHSGGPYGENLFWGSGKGWTPRDAVAAWASEMKYYDRRTYHCKVNGDCLHYTQLVWKKSSRIGCAISFCKTGATFIICNYDPPGNIVGQPPF >fgenesh2_kg.7__1024__AT4G31460.1 pep chromosome:v.1.0:7:4329708:4331426:1 gene:fgenesh2_kg.7__1024__AT4G31460.1 transcript:fgenesh2_kg.7__1024__AT4G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L28 family protein [Source:UniProtKB/TrEMBL;Acc:D7MB63] MAFRGKEMMKKLVKRVGAENITPELKEKLKACVPDTKVVMGRAKRGLYAGRHIQYGNRVSEDGGNKSRRCWKPNVQEKRLFSYIFDRHIKVKVTTHALRCIDKAGGIDEYLLKTPYQKMDTEMGLYWKTKVEQRYAELGQMEVAFFTPEDEAKFEQGFKDLNIAKKDARREARRKMYGKKGGEGKADEEASIEGGGSKSHQEDDHGWLEAKA >fgenesh2_kg.7__1026__AT4G31440.1 pep chromosome:v.1.0:7:4336192:4337376:-1 gene:fgenesh2_kg.7__1026__AT4G31440.1 transcript:fgenesh2_kg.7__1026__AT4G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLQDPRVDLADLKVHIVKKIGVERSRRYFYYLGRFLSQKLTKSEFDKSCFRLLGRENLSLHNKLIRSILRNASLAKSPPPGHQTGHPGKSLVLGKEDGPEQSGPLIPDHSRNDPVCSNGVLPNVRFGTCDSTIRDKPCPLGPNGKVDRTGNSNTENRDSGPFAYQRSGRYADERDSAFLCPAEQKRDSGKGQVAAPLSRDDEAQEERGSLILSMPPVVAPLGIPFCSASVGGDRRTVPISTSADAISCYDSGGLSDTEMLRKRMENIAVAQGLGGVSAECSTVLNNMLDLYLKKLMKSCVDLAGARSMNGTPGKQSLDKQQSRDELVNGVRTSNSLHIQTSNQPSDITQEQHSVSLLDFRVAMELNPHQLGEDWPLLRERISICSFEEREGV >fgenesh2_kg.7__102__AT4G38990.1 pep chromosome:v.1.0:7:315761:325553:-1 gene:fgenesh2_kg.7__102__AT4G38990.1 transcript:fgenesh2_kg.7__102__AT4G38990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 22 [Source:UniProtKB/TrEMBL;Acc:D7MFQ8] MKPSICSSIVLILLIILPTTISHDYSDALTKSILFFEGQRSGYLPREQRMTWRRNSALNDGKNLNANLVGGYYDAGDNIKFHFPMAFTATMLAWSAIDFGSYMSPADLRDNLVALRWGTNYLLKTVSQLPNRIFVQVGEPTPDYQCWERPEDMDTPRTAYAVEAPNPASDLAGEIAAALAAASIAFKRFDPRYSKLLLDNALTTFEYADSHRGSYTNNPETKLAVCPFYCSVNGYEDELLWGAAWLRRATGKDSYIKYLVDNRQSFGSDSNYFEFGWDNKVGGVNVLVAKEVFEKNVAGIAPYKDTAEKLMCSFFLETPGAHMSYSPGGLLYKPGSSQLQNTVALSFLLLTYANYLSKSSQQLHCDNPMKMSYMIGYGNRYPRQIHHRGASTPSITTHPTAIKCSEGWNIFASPNPDPNVLVGAVIGGPNIDDKFIGGRTNASETEPTTYINAPFVGLLAYFKANPI >fgenesh2_kg.7__1032__AT4G31410.1 pep chromosome:v.1.0:7:4345675:4347709:-1 gene:fgenesh2_kg.7__1032__AT4G31410.1 transcript:fgenesh2_kg.7__1032__AT4G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELHVCEKRLQAKTFCSQEFQLTLNWDDLTCPICLDFPHNGVLLQCSSYENGCRAFVCNTDHLHSNCLDRFISACGTDSPPAPDEPRSKVLEESCKPVCPLCRGEVTGWLVVEEARVRLDEKKRCCEEERCRFMGTYLELRKHAQSEHPDSRPSEIDPARKLDWENFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDDTGDEFEDVPNNEGNWWTSCILYQMFDNIRNARNRRRSRMSESRRGSRRSSYENSNSDDSSVASIEFPEYRVDEIDDEFISTSGANRSSSMHQSSRRRRTRFYEN >fgenesh2_kg.7__1034__AT4G31400.1 pep chromosome:v.1.0:7:4353772:4355716:-1 gene:fgenesh2_kg.7__1034__AT4G31400.1 transcript:fgenesh2_kg.7__1034__AT4G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKINSFFKPSSSSIAASVTTDADDGLAVWENNRNAIVNTYQRRSAIPDRSQVLKGCIGKPLKKGSSSVPKNLKKKRNYTQFHLELGQSDFLLRHCPQCGAKYAPGDELDEKNHQSFHKDYMYGLPFKGWQNEKAFTSPSFNKNRIIMVSENDSPAHRNKVQEVVKMMEVELGEDWILHQHCKVYLFISSQRISGCLVAEPIKEAFKLIPSPDDERQLQKESTSSPSTSIQFGNIVLQREVSKRCRASDDRLDCGAIVCEEEAKPAVCGIRAIWVSPSNRRKGIATWLLDTTRESFCNNGCMLEKSQLAFSQPSSIGRSFGSNYFGTCSFLVYKAQLIDTLFS >fgenesh2_kg.7__1036__AT4G31390.1 pep chromosome:v.1.0:7:4355945:4359927:-1 gene:fgenesh2_kg.7__1036__AT4G31390.1 transcript:fgenesh2_kg.7__1036__AT4G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 family protein [Source:UniProtKB/TrEMBL;Acc:D7MB70] MESIHCNSLVNPNFSLNQRHRRINRALLDRRDALLRSLNAVELRRSRTFSAVRTSNFSVTAAATDVGGRNSTDASVMTTAMSGVERGIRVGKSNSALEQLDIERGVCVPFRKYSPETVRSKVLESRGAVVSLVSRGVEIVWTLGLYWSTLMYDFLVGRDEEVVPFRARQLRNLLCNLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNEVAFNIIEEELGQPLEAIFSKISSETIAAASLGQVYRATLRATGEDVAIKVQRPQIEPIIYRDLFLFRTLASFLNGFSLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKDDPTVKIPGVYKNLCGPRVLVMEWIDGIRCTDPQAIKDAGIDLNGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMQDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYGEMANDFTRLGFLAKDTDVSPIVPALEAIWQNSAGKGLADFNFRSVTGQFNKLVYDFPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGVFQWKRLENLLSLAKENVAKMSSNPNLRVKRVESKLDLTDTIKDGARLFLLDEGIRRKLILALTEDSKLHVEELVDVYRLVEDEVDIPTLAMEVVRDLPNVFRDFVLSWSNSVLSDR >fgenesh2_kg.7__1037__AT4G31380.1 pep chromosome:v.1.0:7:4364314:4365172:-1 gene:fgenesh2_kg.7__1037__AT4G31380.1 transcript:fgenesh2_kg.7__1037__AT4G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFNKNGVMRLVENPYNQSAGDSSESSSSGGNQQQRMRRKILVHLPTSEVVSSYGSLEKILKNLGWERYYSGDNTDHLLQFHKRTSIDLISLPRDFSKFNSIHMYDIVVKNPNVFHVRDM >fgenesh2_kg.7__1038__AT4G31370.1 pep chromosome:v.1.0:7:4377676:4378728:1 gene:fgenesh2_kg.7__1038__AT4G31370.1 transcript:fgenesh2_kg.7__1038__AT4G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNASLYLLSLTILLVFSKVVTANNITLAFQKYSKFSIMSNLFIKTKLIVPISKYQTITVLAVSNDAISSITNRSEVELRNILMTHVILDYYDELKLQGMREKSIMLTTLYQTTGLGEQMNGFLNVSKSEGRVYFGSEVKNSPLNAEYVSTVYHNPFNLSIIQITMPIVAPGLSLAIFPPPPPPVPVSPSPTPMDASVVPAPGPAADDNSSDSAVPKTPPATATATDTPEADSPAPAPSADNEKIEAADKAKPSSSASKAGSSFDVILLLAFLASF >fgenesh2_kg.7__1041__AT4G31340.2 pep chromosome:v.1.0:7:4393755:4397841:-1 gene:fgenesh2_kg.7__1041__AT4G31340.2 transcript:fgenesh2_kg.7__1041__AT4G31340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLVALLLLALLFTTSVFADADEPEISEAAGSDGSSKIQLDQLTAKIRALESQIDEKTREVQGKDEVVAEKEKLLKEKEDKISSLQTEVSSLQKKGSSDSAKHLGKAQARAAELEKQVEVLKNFLEQKNKEKDSTEARTNEAEKKLSELNSSLDKLQKTNEEQKNKIGKLERAIKIAEEEMLRTKLEATTKAKELLEAHGSWLPPWLALHWFKFQTYTETHWEAHGKPAVETVILKVTEAKAQVEKWAEPHVENVKTKYIPAIKETVTTHVEPHVRTLSIKAKEAYHASKSAVSPHIATVQEFVDPYYQEAKKFSKPYVDQVATTTKPHVDKMKVAMKPYTTKVIIVYTEFLESATTYHHQVQAHIERKLKDHELTEPFATNEFVWFAASALLVFPIFVAYKVLCSLFWQHKDKETC >fgenesh2_kg.7__1042__AT4G31330.1 pep chromosome:v.1.0:7:4400466:4401546:-1 gene:fgenesh2_kg.7__1042__AT4G31330.1 transcript:fgenesh2_kg.7__1042__AT4G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRECYLDVILVPLGLMVYASYHVYLWHKLRTQPLTTIIGTNARARRFWVASIIKDNEKKNILAVQTLRNCIMGSTLMATTSILLCAGLAAVLSSTYAVKKPLNDAVFGARGEFMVALKYVTILTIFLFSFFSHSLSIRFINQVNILINTPFSPEELEDEMMMTAEEYVAELLERGFVLNTVGNRLFYAALPLMLWIFGPVLVFLCSVVMVPLLYNLDFFFFGKERKKLDQKSSFGSV >fgenesh2_kg.7__1043__AT4G31320.1 pep chromosome:v.1.0:7:4411174:4411743:1 gene:fgenesh2_kg.7__1043__AT4G31320.1 transcript:fgenesh2_kg.7__1043__AT4G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKSNKIREIVKLQQILKKWRKVAHASKQANNNKIDSVDDNNNNINMNNNGSGSGSGSGSKSIKFLKRTLSFTDTTAVPKGYLAVSVGKEEKRYKIPTEYLSHQAFHVLLREAEEEFGFEQAGILRIPCEVAVFESILKIMEDNKSDAYLSTQECRFNATSEEVMSYRHPSDCPRTPSHQPHSPMCR >fgenesh2_kg.7__1044__AT4G31310.1 pep chromosome:v.1.0:7:4413214:4414476:-1 gene:fgenesh2_kg.7__1044__AT4G31310.1 transcript:fgenesh2_kg.7__1044__AT4G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRKAGHDLFVYGSLQEPEVVYVLLNRVPDRVSAVLSGFHRFKLKGRVYPTILPDGTGEVTGKVLKGITDNELKMLDEFEDVEYDRKAVEVVLTDTSEKLQVETYVWKNKDDPDLYGEWDFEEWKRHDKEDFVTATKKFLEDRRLPEAKTRIDTFKTFFKQDLENGKPLDS >fgenesh2_kg.7__1047__AT4G31290.1 pep chromosome:v.1.0:7:4417275:4419937:-1 gene:fgenesh2_kg.7__1047__AT4G31290.1 transcript:fgenesh2_kg.7__1047__AT4G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase [Source:UniProtKB/TrEMBL;Acc:D7MB81] MVMWVFGYGSLVWNPGFHYDEKVLGFIKGYKRVFDLACIDHRGTPEHPARTCTLEKAEEAICWGTAFCVRGGPEKERLAMEYLERRECEYDLKTCVDFYKEDDPLKPAVTGVIVFTSTPDKVSNKYYLGPAPLEDMARQIATANGPCGNNRDYLFLLEKAMHDIGHEEDYVIELANEVRKVLAESTTKKVTPVKESRASRVANKSKNNVPTAHQILPHHPEAVATTI >fgenesh2_kg.7__104__AT4G39030.1 pep chromosome:v.1.0:7:366369:370042:1 gene:fgenesh2_kg.7__104__AT4G39030.1 transcript:fgenesh2_kg.7__104__AT4G39030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7MGA7] MLIKSQRLTLFSPLFPKPRPIPVNYHQTLVAESVLTRRKLGAITATPSFQGNPVVTPRRIKLEQVTRNCVGSDPEIDEEEEEKKRGDLVKQSIWEQMKEIVKFTGPAMGMWICGPLMSLIDTVVIGQGSSIELAALGPGTVLCDHMSYVFMFLSVATSNMVATSLAKQDKKEAQHQISVLLFIGLVCGLMMLLLTRFFGPWAVTAFTRGKNIEIVPAANTYIQIRGLAWPFILVGLVAQSASLGMKNSWGPLKALAAATIINGLGDTILCLFLGQGIAGAAWATTASQIVSAYMMMDSLNKEGYNAYSFAIPSPQELWKISALAAPVFISIFSKIAFYSFIIYCATSMGTHVLAAHQVMAQTYRMCNVWGEPLSQTAQSFMPEMLYGANRNLPKARTLLKSLMIIGATLGLVLGVIGTAVPGLFPGVYTHDKVIISQMHKLLIPFFMALSALPMTVSLEGTLLAGRDLKFVSSVMSSSFVLGCLTLMFVTRSGYGLLGCWFVLVGFQWGRFGLYLRRLLSPGGILNSNGISPYTAEKIKSI >fgenesh2_kg.7__1051__AT4G31230.1 pep chromosome:v.1.0:7:4433783:4436958:1 gene:fgenesh2_kg.7__1051__AT4G31230.1 transcript:fgenesh2_kg.7__1051__AT4G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MB86] MAKHRRDRDGGFGVDGLVAVAIDRDKNSQTALKWAVDNLLQKGQTVVLVHVKPRASSLSTNPSINSNSSKMSQINNDSSLVCGDPEGSYKQLFLPFRCLCSRKDIQCKDVLLEESDVAKALVEYANQVVIEVLVVGSSSKGGFLRFNKPTDIPGTITKTAPDFCTVYVITKGKLSTKKTASRAAPSVSPLRIQLQQTSLKPHPPLPSATTNTRAERQSFESQHRRSLDDQSDSFRSPYTRRGLNGRSYGDLSIPDSDICFNSSGRPSIERNSPSLYDNSDPNRTPPRLSNFSDIDYGSFESMTFGRRSMDISSPTAFSTGSFENERFSSASQGGDDVEAEMRRLKLELKQTMEMYSTACKEALTAKHKATELQRWKLAEERKFEEAKLAEEAALAIAEKEKAKSKAAMEAAEAAQRIADIESRKRVDAEMKALKESEARTKAVNALANSDVRYRKYSIEEIEDATEFFDEKYKIGEGGYGPVYKCYLDHTPVAVKALRPDAAQGRSQFQQEVEVLSSIRHPNMVLLLGACPECGCLVYEFMANGSLEDRLFREGDSPPLSWQTRFRIAAEIGTVLLFLHQTKPEPLVHRDLKPANILLDRNFVSKVADVGLARLVPPSVANTVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDIYSLGIMFLQLITGKPPMGLTHYVERALEKGNLKDLLDPAVSDWPVEDTTEFAKLALKCAEIRRKDRPDLSKVILPELNRLRVLAEESTKTAVVINSPGPTPTESQSSSPKL >fgenesh2_kg.7__1053__AT4G31200.1 pep chromosome:v.1.0:7:4445017:4447747:1 gene:fgenesh2_kg.7__1053__AT4G31200.1 transcript:fgenesh2_kg.7__1053__AT4G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRQHDYAASSGLPYAQQQQQQGPNFQQQQQPQFGFRPHYPSPMNASGFIPPHPSMQQFPYQHPMHQQQQQPQLLPHPPHPQMFGQQQPQAFLPHLPPHHLPPPFPGPYDSTPPPPPPADPELQKRIDKLVEYSVKNGPEFEAMMRDRQKDNPDYAFLFGGEGHGYYRYKHFLSMHPPGGPFDPPFPSSSMPMIHHPPNPMMSPSMNNVPGALAVPPIRQPPFPPFHDHHQHLPQPHPFAPHARPDFDQSTHAFRGLSGPLPADVAMELNSVLGNLNGTKESIKSAKIWFMQRSPFAPALAEALRDRVFAMDDSDRQMHIVYLANDILFDSLQRRTNLHEFDNEALAFRPILGSMLRRIYHFPQNKEENRSRLEKILQFWASKEVFDQDTISSLEKEMKSGPPANTFSHTPIIAANALQRPGMLQQPPSSNVPTTMNLEHLTNPVATQQFIPNVMPPGAFPGSIPLNASVTPPTQPPAGEKPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSDTPQSEVLERVSKFFKEIGEVNPSEGPMGSESQDDYDNYERHSPQRKGGACIPPPPNLQVDPETGTYADGSTDKKSGSGRLGLGATADPNEPTQYDDVYTSYRKHRSTNYHTSMSARATTR >fgenesh2_kg.7__1056__AT4G31180.1 pep chromosome:v.1.0:7:4448178:4450950:-1 gene:fgenesh2_kg.7__1056__AT4G31180.1 transcript:fgenesh2_kg.7__1056__AT4G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESEIPPSSSTTAPEESGEKISKKAAKKEAAKLEKLRRRQEQDEATRKTASMSLEENEEYSSNYGDVTLNELQSTADPKAGKWREAVEGKDWTDVSDLVEEMVESEVLIRGRVHINRPTSSKLGFLILRESGSTVQCVVRQSEKTKVGANMVKYSEQLSRESFVDVIGVVVLPKEPLTGTTQQVEIQVRKVYCINKALAKLPLSVEDAARSEADIEKSLQTEKPAARVNQDTRLNHRVLDLRTPANQAIFRLQCQVENSFREKLIFKDFVGIHTPKLMAGSSEGGSAVFRLEYKGQPACLAQSPQLHKQMAICGDLRRVFEVGPVFRAEDSFTHRHLCEFVGLDVEMEIRKHYSEIMDLVDELFVFIFTSLNEKCKKELEAVRKQYPFEPLKFLPKTLRLTFEEGVQMLKEAGVEVDPLGDLNTESERKLGQLVLEKYNTEFYILHRYPKAVRPFYTMTCADNPLYSNSFDVFIRGEEIISGAQRVHIPEILEQRAGEFGIDVKTISTYIDSFRYGAPPHGGFGVGLERVVMLFCGLNNIRKTSLFPRDPQRLAP >fgenesh2_kg.7__1060__AT4G31160.1 pep chromosome:v.1.0:7:4454010:4461362:-1 gene:fgenesh2_kg.7__1060__AT4G31160.1 transcript:fgenesh2_kg.7__1060__AT4G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7MB91] MDGQEHAEVPNQMVEDEQSVVAAEAITELANSNNEANPEEGGQQEGEEESVEDELIAKAQKLMDDITSVANNPNPNFLHALSHLLESQESLFLEENGHFSNARGSHSSGKLCTLIRENDEFFELISSTFLSENSYSTAVKAASARLLMNCSLTWMYPHVFDDAVTENFKNWVMEEAVKFPGEDSGRKEASDSEMLKTYSTGLLALSLASRGQIVEDVLTSGLSAKLMHYLRVRVIGEPSTSRRDALHTTETKHVSLKTKEEGRSRVRKVVDTVEGDHVFETDSGREMGQTDVQSDGEFEIDGREIFNVSGVVDCKIKPGDDNSGRDDPSRHRLNRSKSRGRGRVNEGATDTEVLLASPRSGRPVVRDKDLSKFSDGRNGEDVTICLGKMKSGIMEIEREDDDECFQGCIIGTKNIIDLVKRAVGAAETEARAAHAPDDAAKAAGDAAAELVKTAALEEFKSSGSEEAAVSAATRAAITVIDAAEVSRNPTCVTSDQTTDVSEVSLPDIESLAQLQEKYCIQCLEILGEYVEVLGPVLHEKGVDVCIMLLERTSQCDDSSTVSPLLPDVMKLICALAAHRKFAAMFVDRGGIQKLLAVPRVSETFYGLSSCLYTIGSLQGIMERVCALPSDLIHQVVKLAIELLDCSQDQARKNSALFFAAAFVFRAILDAFDAHDSLQKLLAILKDAASVRTGANSDRSAPEVMTSSEKQMAFHTCFALRQYFRAHLLLLVDSIRPSRSSRGGVRNVPNIRAAYKPLDISNEAVDAIFHQLQKDRKLGPTFVRTQWPAVNNFLASSGHVTMLELCQTPPVDRYLHDLLQYAFGVLHIVTSIPDGRKAIAHATLSNNRAGIAVILDAANISNSIVDPEIIQPALNVLINLVCPPPSLSNKPPLAQNHQPVPGQATTRPSTDVAVGTQSTGNAPQTPVAPASSGLVGDRRIFLGAGTGSAGLAAKLEQVYRQAREAVRGNDGIKILLKLLQPRIYVNPPATPDCLRALACRVLLGLARDDTIAQILTKLEIVTNSGHATTLTASDAATPTLRRIERAAIAAATPITYDSKELLLLIHEHLQASGLGETASALLKEAQLTPLPSLASPSSIAYSSTQEMSTPLAQEQWPSGRANSGFFTSKPKVCAHDEDPNSRCNAAISAKKKHLASSTLEIPTPVAQQQWPSGRANSGFFPSIPRINALDEDPSSRGNAAPSAKKKQLTFSPSFSLQSRKQSFSHDAQPQSTQRINSSSNSDPAWADTSETAAELVLKNDLDADAQFKTPISFPRKRKLSELRDPELSVPGKRINLGELGLRSPACPTTSSLRRNSTIAESSGLQTPASALDANQSGSSRLGQMTPASQLRLPSDPQPSNPERLSLDSLVVQYLKHQHRQCLAPITTLPPVSLLHPHVCPEPKRLLEAPLNITGRLGTRELQSFYSGVHGNRRDRQFVFSRFKSWRSFRDETALFTCIALLGGTNHLAVGSHAGEIKIFEASSGTLLESFSGHQAPVTLVQPYVSGDTQLLLSSSSSDVQLWDASSITGGPRHSFDGCKAAKFSNSGLQFAALSCEASTKDVLLYDVQTCSPCDKLTDTVTSSRSNPYSLVHFSPCDTLILWNGVLWDRRIPESVSRFDQFTDYGGGGFHPSRNEVIINSEVWDMRNFKLLRSVPSLDQTAITFNSRGDVIYAMLRRNIEDVMSAVHTRRVKHPLFAAFRTLDAINYSDIATIPVDRCLLDFATEPTDSFLGLITMEDQEDMFSSARMYEIGRRRPTDDDSDPDDDDETDDEDEDDEDEEDDLDRILGLGVDDSDSGDDDLSSDDNEDSVSDFDEEGEILIDGLDGGFMGIIEGEDEDDDNGGDGEDDDDDGEMQDFMSSGEEDDYRDNIRSS >fgenesh2_kg.7__1061__AT4G31150.1 pep chromosome:v.1.0:7:4461582:4463707:1 gene:fgenesh2_kg.7__1061__AT4G31150.1 transcript:fgenesh2_kg.7__1061__AT4G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease V family protein [Source:UniProtKB/TrEMBL;Acc:D7MBI5] MDSEGASSESSSSGDQLEKWIEEQDQLKKKLIAYDDFTWKLSSSMELSHGSEILKYVGGVDMSFCKEDSSVACACLVVLELPSLRVVHHDFSLLRLHVPYVPGFLAFREAPVLLQILQKMRDDKHPFYPQVLMVDGNGILHPRGFGLACHLGVLAHLPTIGVGKNLHHVDGLNQSEVRRSLQLKENEHEQVITLVGNSGFTWGVGLRPTLSSLKPIYVSVGHRISLDSAVKIVKMTCKYRVPEPIRQVDMRSRAYLQEHQTESFKRTGTVTTDQTEP >fgenesh2_kg.7__1064__AT4G31130.1 pep chromosome:v.1.0:7:4468498:4470353:1 gene:fgenesh2_kg.7__1064__AT4G31130.1 transcript:fgenesh2_kg.7__1064__AT4G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMKQMSLVVSALGVLSFVLGVIAENKKPASGTPISGKGVVICKYPSDPTVVLGYLSAAFLLACTVAGYKSLFISYKGKSVPNSVLFKSTSFSVFFNIALITSGLALSLLLWPTITEQLHLTRNVHRNLESSCPTAKTGLLGGGAFVSLDSCLFWLVALMLADNAREDHFDEVENRNMDGNSASRDVNLKIDA >fgenesh2_kg.7__1066__AT4G31120.1 pep chromosome:v.1.0:7:4470736:4475394:1 gene:fgenesh2_kg.7__1066__AT4G31120.1 transcript:fgenesh2_kg.7__1066__AT4G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MBI8] MPLGERGGWERTESRYCGVETDFSNDVTHLLNFNISTGGFDYILAPLVDPSYRPSLVEGNGVDTQVLPVSGSDLVLSPSQWSSHVVGKVSSWIDLDSEDEVLRMDSETTLKQEIAWATHLSLQACLLPTPKGKSCANYARCVNQILQGLTTLQLWLRVPLVKSDGDSMDDTSEGLNDSWELWNSFRLLCEHDSKLSVALDVLSTLPSETSLGRWMGESVRAAILSTDAFLTNARGYPCLSKRHQKLIAGFFDHAAQVVISGKPVHNLQKSLDSNTEGTAKNPLRIYLDYVAFLFQKMEALPEQERIELGYRDFLQAPLQPLMDNLEAQTYETFERDSVKYIQYQRAVEKALVDRVPDEKASELTTVCLMVVGAGRGPLVRASLQAAEETDRKLKVYAVEKNPNAVVTLHNLVKMEGWEGIVTIISCDMRFWSAPEQADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIQPVTASKLYNDVRAHKDLAHFETAYVVKLHSVAKLAPSQSVFTFTHPNFSTKANNQRYKKLQFNLPSDAGSALVHGFAGYFDSVLYKDVHLGIEPTTATPNMFSWFPIFFPLRKPVEVHPGTPLEVHFWRCCGSSKVWYEWSVSSPTPSPMHNTNGRSYWVGL >fgenesh2_kg.7__1069__AT4G31110.1 pep chromosome:v.1.0:7:4477428:4480284:-1 gene:fgenesh2_kg.7__1069__AT4G31110.1 transcript:fgenesh2_kg.7__1069__AT4G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase [Source:UniProtKB/TrEMBL;Acc:D7MBJ0] MSYENTNCSFFLNLFKLLLLLIFYSADPCQRECGGIAIPYPFGIGKDCYLEKYYEIECRITTSRKLVPFLSVISKEVVSISLPSAESHFAYTITDQDRHESFGLVRVKFPITSTGCFSDGKESAGGLKMNFTDTPFFIGSTNSLIAVGCNSKVSLMYIKPNMVGCELSCNTSKDSHSNSIPFVETGCSSNVLSYSQDQGCTEETPEETGCNGNGCCQANLPNEPQQVIGIRTESNDGNSTTKVPCTVAFLTDEIFTSPNAIKREHLLAKRYATVSIGWVIQTKNNSFFNSLACKNREYYRNTSYFVEPEGKCTCARITISELSYANCGCTYGYTGNPYILDGCKDIDECKVKYEYCKETDTCVNLEGSYRCVGDKTRAIMIGAGAGFGVLVLVGGVWWLRKFLIKRRMTKRKKKFFKRNGGLLLQQELNTREGNVEKTRIFNSRELEKATENFSENRVLGQGGQGTVYKGMLVDGRTVAVKKSKVIDEDKLQEFINEVVILSQINHRHVVKLLGCCLETEVPILVYEFIINGNLFQQIHDKESDDYTMVWGMRLRIAVDIAGALSYLHSAASSPIYHRDIKSTNILLDEKYRAKVADFGTSRSVTIDQTHWTTIISGTVGYVDPEYYRSSQYTEKSDVYSFGVILAELITGEKPVIMVQNTQEIIALAEHFRLSMKEKRFSDIMDARIRDDCRPEQVMAVAKLAMKCLSSKGKNRPNMREAFTELERICTSPEDSQVQNRIDEEEEEEEEEEVVTTINRGDSWSIGVTAPAFSIVASPPSSDVEPLFPRLTW >fgenesh2_kg.7__106__AT4G39040.1 pep chromosome:v.1.0:7:369981:371355:-1 gene:fgenesh2_kg.7__106__AT4G39040.1 transcript:fgenesh2_kg.7__106__AT4G39040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTASSSSSSTHLIHNLLLRHPKAPSSVCRFLRPFCFSASISQSNLRNTPRLQSKPLPSRSTSLVVKSFSSIDEPDLEEDEESEDEEYEYEEGEDEEEELDRGVVVSVRGIEDSETSEMGLGVKEEKTEKPKKKSRGSALKLSIKEKKELASYAHSLGDKLKCQLVGKSGVTDSVVFSFLETLEKNELLKVKIRKTSPDELEDAVQHLEEATGSVAVGQIGRTVILYRPSPTKMKAEAKKKEVERMSITRRQKYANTRPTKPFRREYSERPDGRGRRGGSRVPTA >fgenesh2_kg.7__1070__AT4G31080.1 pep chromosome:v.1.0:7:4481015:4483464:-1 gene:fgenesh2_kg.7__1070__AT4G31080.1 transcript:fgenesh2_kg.7__1070__AT4G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEQEGAVVESDVPNDSVAAAVAATATADSVKKKQKGFFSRLWNGIFRVRGDDFEKRLQYISKEEATVLSRMKRRSITWRKLTRNLIVSSVLFEIIAVGYAILTTRTEDLDWRMRSFRILPMFILPAVSALAYSSIVSFSKMFDRRDQKTLEKLRAERLAKINELKERTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVFLGDESQLDPSSGKSNDMEVNQSRGLRNRRQPNTRPHGSGSTSTHHSDDESHHSGTSERFPGATEQNQQMLVEHYSPQGYAAHDGSWISRIAALLVGEDPTQSYALICGNCRMHNGLARKEDFAYITYYCPHCNALNKPKHTEENALLPAVSASPITDSLPLIETSEVVNSSSSSSERGNSPAPEIKEEAVTAETGTAS >fgenesh2_kg.7__1071__AT4G31070.1 pep chromosome:v.1.0:7:4483831:4485627:1 gene:fgenesh2_kg.7__1071__AT4G31070.1 transcript:fgenesh2_kg.7__1071__AT4G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MBJ2] MSRAFSSRLNLELGNKLKGLVSGQLHDEALRLYKLNIHPLGTNGFTAILPSVIKACSFQQEPFLLGAQLHCLCFKSGADRDTVVSNSLISMYAKLSRAYAARKVFDEMLQRDTVSYCSIVNCYCQDGLLSEAMKLLKEMYFYGFVPKSELVASLLALCTRMGSSSKVARMLHALVLVDERIQESVLLSTALLDMYLKFDDPAAAFHVFDQMELKNEVSWTAMISGCVANYNYEVGIDFFRAMQRENLRPNRVTLLSVLPACVELGYGLRLVKEIHGFSFRHGFHSDDRLIAAFMTMYCRCGSVSLSRLLFETSKVRDVVMWSSMISGYAENGDCSEAMNLLSQMRKEGSEPNSVTLLAVVSACTHSASLKIASTVHSQILKCGFMSHILLSNALIDMYAKCGSLPAAREVFYELNEKDLVSWSSMINAYGLHGHGSEALEIFKGMIKAGHEVDGMAFLAVLSACNHAGLVEEAQTIFTQAGKYHMPVTLEHYSCYINLLGRFGKIDDAFEVTINMPMKPSARIWSSLLSACETHGRLDVACKIIANELMKSEPDNPANYVLLSKIHTESGNCDAAEEVWKFMQRRQLNKCYGFSKIEL >fgenesh2_kg.7__1072__AT4G31050.1 pep chromosome:v.1.0:7:4488727:4490071:-1 gene:fgenesh2_kg.7__1072__AT4G31050.1 transcript:fgenesh2_kg.7__1072__AT4G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MBJ4] MELLNGVETLISGLHHHHRTNAKRNRRARSVKILNSGNHQIPRKCQCFDLYDQLVPYKNAWSWQKSIVEEKKTLIDRNQDCPDTVILLQHSPVYTMGTASTEDYLNFDIKDAPFDVYRTERGGEVTYHGPGQLVMYPIINLRNHEMDLHWYLRMLEEIVIRVLSSSFSIKASRLDSLTGVWVGSQKVAAIGIRVSKWITYHGLALNVTTDLTPFNWIVPCGIKDRKVGSIKGLLEDGEHGKVDDLTLIHVAHESLLKEFSEVFQLQIEKQAVPDPKILKAEPFKRLEC >fgenesh2_kg.7__1077__AT4G31010.1 pep chromosome:v.1.0:7:4496149:4498236:-1 gene:fgenesh2_kg.7__1077__AT4G31010.1 transcript:fgenesh2_kg.7__1077__AT4G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7MBJ7] MFLIRLSRHNPSSFTLLTRRLHDQTISSSRLRDLYNFQSPPPPLSSSASENPDFNQKNNNKKKPKPQYRPPSSLEGVKTVHSDLPFDFRFSYTESSSNVRPIGLREPKYSPFGPDRLDREWTGVCAPAVDPKVESVDGVEDPKLEEKRRKVREKIQGASLTEAERKFLVELCQRNKTKRQVNLGRDGLTHNMLNDIYNHWKHAEAVRVKCLGVPTLDMKNVIFHLEDKTFGQVVSKHCGTLVLYRGRNYDPKKRPKIPLMLWKPHEPVYPRLIKTTIDGLSIDETKAMRKKGLAVPALTKLAKNGYYGSLVPMVRDAFLVSELVRIDCLGLDRKDYKKIGAKLRDLVPCILVTFDKEQVVIWRGKNYKPPKDDDEYSSFIHRESSIDSDGDLSCSRGAQDSPGETTRT >fgenesh2_kg.7__1079__AT4G30996.1 pep chromosome:v.1.0:7:4502119:4503779:-1 gene:fgenesh2_kg.7__1079__AT4G30996.1 transcript:fgenesh2_kg.7__1079__AT4G30996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSGDCMRCLVIFAVVSALVVCGPALYWKFNKGFVGSTRTNSLCPPCVCDCPPPLSLLQIAPGLANLSITDCGSDDPELKQEMEKQFVDLLTEELKLQEAVADEHSRHMNVTLAEAKRVASQYQKEAEKCNAATEICESARERAEALLIKERKITSLWEKRARQSGWEGE >fgenesh2_kg.7__1084__AT4G30980.1 pep chromosome:v.1.0:7:4527141:4529575:1 gene:fgenesh2_kg.7__1084__AT4G30980.1 transcript:fgenesh2_kg.7__1084__AT4G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7MBK2] MNSSSLLTPSSSSSPHLQSPATFDHDDFLHQIFSSTPWPSSVLDDAPPPTSDCAAVTGFHHHDADSRNQITMIPLSHNHHNDALFNGFSTGSLPFHLPQGSGGQTQSQATASTTTGGTTVQPQTKPKVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNGNKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAASVSSQISEDAGGSHENTSSSGEAKMTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATTISTATCPSRNPFVKDTGVPLSPNLSTTTVANGNGSSLVTVKDAPSVSKP >fgenesh2_kg.7__1086__AT4G30950.1 pep chromosome:v.1.0:7:4550621:4553474:1 gene:fgenesh2_kg.7__1086__AT4G30950.1 transcript:fgenesh2_kg.7__1086__AT4G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Omega-6 fatty acid desaturase [Source:UniProtKB/TrEMBL;Acc:D7MBK3] MASRIADSLFAFTGPQQCLPRVPKLAASARVSPGIYAVRPIDLLLKGSTRRSRRCVAPVKRRIGCIKAVAVPVAPPSADSAEDREQLAESYGFKQIGENLPDNVTLKDIMDTLPKEVFEIDDLKAWKSVLISVTSYTLGLFMIAKAPWYLLPLAWAWTGTAITGFFVIGHDCAHKSFSKNKLVEDIVGTLAFLPLVYPYEPWRFKHDRHHAKTNMLVHDTAWQPVPPEEFDSSPVLRKAIIFGYGPIRPWLSIAHWVNWHFNLKKFRASEVNRVKISLACVFTFMAVGWPLIVYKVGLLGWIKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKPADEWNAAQAQLNGTVHCDYPSWIEILCHDINVHIPHHISPRIPSYNLRAAHESIQENWGKYTNLATWNWRLMKTIMTVCHVYDKEENYIPFDRLAPEESQPITFLKKAMPNYTA >fgenesh2_kg.7__1087__AT4G30940.1 pep chromosome:v.1.0:7:4553714:4555590:-1 gene:fgenesh2_kg.7__1087__AT4G30940.1 transcript:fgenesh2_kg.7__1087__AT4G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel tetramerization domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MBK4] MGLSNDRIKFNVGGRIFETTATTLANAGRGSFFGALFDENWNLSQPDDLFIDRNPDCFAVLLDLLRTGDLNIPPNIPERLLHKEAMFYGLIDHLRTAKWGPFDGNRLHLSRSVTGIAPGDGTAIRAGPDGGCCVAHGSVVHVFDWMLEEHPTINLDYQRVNDVGWIDSGNIVLSACERLGRGDGGMGLFSSSSGELRYKFQVSHDNQIKSYSAGALSFSPDSKIFTSCKGRSNEYGIGVWDQINGKQLDFFYESPGWSLGDADKLQWLSGKNCLLVATLFPRKDNCYISLLDFRDKNMVWSWSDIGFLTTAEEKRVRDAIAMEESNSICVVNEFEDLGFIDLRMDGGGSSVRWSSRSRLMKSKMPDEPCYPKLALHEGQLFSSMNDSISVFCGSDWVLTSRLKRSYGGSICDFSIGGDRLFALHSEENVFDVWETLPPPII >fgenesh2_kg.7__108__AT4G39070.1 pep chromosome:v.1.0:7:388199:389706:-1 gene:fgenesh2_kg.7__108__AT4G39070.1 transcript:fgenesh2_kg.7__108__AT4G39070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (B-box type) family protein [Source:UniProtKB/TrEMBL;Acc:D7MGB0] MKIWCDVCDKEEASVFCCADEAALCNGCDRHVHFANKLAGKHLRFSLTSPTFKDAPLCDICGERRALLFCQEDRAILCRECDIPIHQANEHTKKHNRFLLTGVKISASPSAYPRASNSNSAAALGRAKTRPKSVSSEVPSSASNEVFTSSPSTTTSNCYYGIEENYHQVSDSGSGSGCTGSISEYLMETLPGWRVEDLLEHPSCVSYEDNIITNNNNSESYRVYDGYHQGFWEQKPFS >fgenesh2_kg.7__1095__AT4G30880.1 pep chromosome:v.1.0:7:4578883:4579538:1 gene:fgenesh2_kg.7__1095__AT4G30880.1 transcript:fgenesh2_kg.7__1095__AT4G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7MBL1] MVIGSRALIGLIMILVISGTLLVPGQGTCQGDIEGLMKECAVYVQRPGPKVNPSEACCRVVKRSDILCACGRITASVQKMIDMDKVVHVTAFCGKPLAHGTKCGSYVVP >fgenesh2_kg.7__1096__AT4G30870.1 pep chromosome:v.1.0:7:4581109:4585032:1 gene:fgenesh2_kg.7__1096__AT4G30870.1 transcript:fgenesh2_kg.7__1096__AT4G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMUS81 [Source:UniProtKB/TrEMBL;Acc:D7MBL2] MDDERRVLCPENQDLAAYVLQKKQEHAEKPKGLSENLERTFVKAYRNVCDAKDPINTLKDLSQIKGFGKWMVKLMKGYFDTGAQSSEQEDLPDRRAGKKANGKKRYIPQRNSVGYALLITLHRRTTNGKEFMRKQELIDAADASGLSHSPVGPEKGKGKAGLGHSKREWYSGWSCMTTLIQKGLVVKSSNPAKYMLTVEGREVANECIVRSGLPNSVDILSDDGMYPTPQAQKTPNQNPTCSFTMREEQPYVDPRSRAQSAIPSDILEKFTPFGYSKEQVVAAFREVSDGSGDKDPSTLWLSVMCHLRQAEVYNSCPDSRNRRKDSSGPSKSQTRQVDLEGSRAKKFRSCNDGPTLNPCTSGSSHAVKACSSSLVSDGTKGITNIPRLPPLQFGETFEEAYDVILILDDREKFATKGSRSRNIVDNICSEFNIKIEVRRLPVGDCIWIARHKYLETEYVLDFIAERKNVDDMRSSIRDNRYRDQKLRLQRSGFKKLIYILEGDPNHSDAAESIKTACFTTEILEGFDVLRTQGLGETLRKYGYLTKSIYQYYKLRVNDNDQSKVAASCPSFDSFVKRCQDLDKMTISDVFAIQLMQIPQVTEEIAIAVLDSYPTLLSLASAYSHLEGNVSAQEEMLRNRSNNVICASASKNIFKLVWGE >fgenesh2_kg.7__1099__AT4G30850.2 pep chromosome:v.1.0:7:4595056:4597374:1 gene:fgenesh2_kg.7__1099__AT4G30850.2 transcript:fgenesh2_kg.7__1099__AT4G30850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRRTVRESPKIKVRNGKVLDSGEKKRSEKRLMKFEELPRYLKDNEFIHNHYRCEWSIKETFLSAFSWHNETLNIWTHLCGFAIFAWMMVVSSLETTELGLTGFVSLLSGTTIRWPWPSMAMSKDVYFSSDQTLHHDLNVTHTRSLLNSQGDVNYEAVPKWPWLVFLSGAMGCLICSSMSHLFACHSRRFNLFFWRLDYAGISLMIVCSFFAPIYYAFSCHTYWRLFYLSSISILGLLAIFTLLSPSLSAPRFRSFRAALFLTMGFSGVIPASHVLYLHKDHPNVLIALVYELAMAVLYATGAAFYVTRIPERWKPGAFDIAGHSHQIFHVFVVLGALAHSVASLLIMDFRRASPSCAF >fgenesh2_kg.7__109__AT4G39080.1 pep chromosome:v.1.0:7:406381:412058:1 gene:fgenesh2_kg.7__109__AT4G39080.1 transcript:fgenesh2_kg.7__109__AT4G39080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:D7MGB1] MAESGGGGGCCPPMDLMRSETMQLVQLIVPMESAHLTVSYLGDLGLVQFKDLNSEKSPFQRTYAAQIKRCGEMARKIRFFKDQMSKAGVPAKEMLVKENDIDLDDVEVKLGELEAELVEINANNDKLQRSYNELMEYKLVLQKAGEFFSSAHRSATDQQSEIESQQAGEDLLESPLLQEEKSIDSTKQVKLGFLTGLVPREKSMVFERILFRATRGNIFIRQTVIEEPVIDPNTGEKAEKNVFVVFYSGERAKSKILKICEAFGANRYPFSEDLGRQAQMITEVSGRLSELKTTIDAGLGQRNILLQTIGDKFELWNLKVRKEKAIYHTLNMLSLDVTKKCLVAEGWSPVFASKEIQDALQRAAVDSNSQVGSIFQVLRTKESPPTYFRTNKFTSAIQEIVDAYGVAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICILLATMYLILREKKLSSQKLGDIMEMAFGGRYVILMMSLFSIYTGLIYNEFFSIPYPLFAPSAYDCRDTSCSEATTIGLIKVRDTYPFGLDPVWHGSRSELPFLNSLKMKMSILLGVSQMNLGIIMSYFNARFFKSSVNIWFQFIPQMIFLNSLFGYLSVLIIIKWCTGSQADLYHVMIYMFLSPMDELGENQLFPHQKTVQLLLLFLALVSVPCMLLPKPFILKKQHEARHQGQLYAPLDETDESLHVETSGGSHGHEEFEFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLLAFGYNNVLIWIVGIIVFIFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFTFVFTANEDE >fgenesh2_kg.7__1101__AT4G30840.1 pep chromosome:v.1.0:7:4597822:4600026:1 gene:fgenesh2_kg.7__1101__AT4G30840.1 transcript:fgenesh2_kg.7__1101__AT4G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat protein family [Source:UniProtKB/TrEMBL;Acc:D7MBL6] MEMLQDSFQVHRIPQSKYVDGVRWLPQASALNRFFATAFYDPDCDSSSIEIQSLDPNPKGNQNTNPLIESLSSWTTPSRVSSLEVAGNGGGGGSFKPMVSAATSSGSLHVLMVDLVEGAAIEEVYVAEGERFHVGRVEGVDWREGGECVTVGEDGRVNVVKIVNGEGLRYRKVFDGNGLVAYRAVKWASPTEFVTGGYGFGLQLWDQRKSGEAASQLKGNWFQGKTSAIVHSIDIHPSRKHTCIAGGSSGTVFAWDLRWPQQPIVLSGVGASENINNPLSESEVWEVQYDSYTKSNNISSSRILPVMTCSEDGILGVIEQGEEPIELLAEPCAINSFDIDRQNPQDVICSLEWESIAVFSRP >fgenesh2_kg.7__1103__AT4G30825.1 pep chromosome:v.1.0:7:4602781:4605501:-1 gene:fgenesh2_kg.7__1103__AT4G30825.1 transcript:fgenesh2_kg.7__1103__AT4G30825.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MBL7] MGSLRLSIPLDPFDSKRFHFSANPFQFPDQVPIFSVSTSVPATRIGSLIRVKKIRVSRLDIEAKEAENAIDSDSVNVERSSNSKLKGSNTVTSGNQRGTKKDVARKFSFRRESNDLELENLFVNNGEMDVNYSAIKPGLSLEHYNAILKRLESCSDTNAIKFFDWMRCKGKLEGNFGAYSLILRVLGRREEWNRAEDLIEELCGFQGFQQSFQVFNTVIYACTKKGNVKLASKWFQMMLELGVRPNVATIGMLMGLYQKNWNVDEAEFAFSHMRKFEIVCESAYSSMITIYTRLRLYEKAEEVINLMKQDRVRLKLENWLVMLNAYSQQGKMEQAESVLISMEAAGFAPNIIAYNTLITGYGKVSKMEAAKSLFHRLSDIGLEPDETSYRSMIEGWGRADNYEEANHYYQELKRCGYKPNSSNLFTLINLQAKYGDRDGAIKTIEDMTSIGCQYPSILGIILQAYEKVGKIDVVPYLLKGSFHNHIRLNQTSFSILVMAYIKHGMVDDCLALLREKKWRDSAFESHLYHLLICSCKESGQLTDAVKLYNHTMESDEEINLHITSTMIDIYTVMGEFGEAEKLYLNLKSSGVVLDRIGFSIVVRMYVKAGSLEEACSVLEIMDEQKDIVPDVYLFRDMLRIYQKCDLQDKLQHLYYRIQKSGIHWDQEMYNCVINCCARALPLDELSRTFEEMIRYGFTPNTVTFNVLLDVYGKAKLFKKVNELFLLAKRHGVVDVISYNTIIAAYGKNKDFTNMSSAIKNMQFDGFSVSLEAYNTLLDAYGKDKQMEKFRSILKRMKKSTSGPDHYTYNIMINIYGEQGWIDEVAGVLKELKESGLGPDLCSYNTLIKAYGIGGMVEEAVGLVKEMRGKNITPDKVTYTNLVTALRKNDEFLEAIKWSLWMKQMGI >fgenesh2_kg.7__1105__AT4G30820.3 pep chromosome:v.1.0:7:4605912:4607859:-1 gene:fgenesh2_kg.7__1105__AT4G30820.3 transcript:fgenesh2_kg.7__1105__AT4G30820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSNSTHHNKEIHVRRRISEIYNRREEDFPSLKDYNDYLEEVECMVFDLIDGINAEAIEEKIKRYSQENAEQIMINRARKVEELTAALAACKAQPPQTDADTSSNHGVTAGTAYGQAPRPTGMGPQPVPIVGGAERRQYSMEEEAKKRLLKAERAPWAGGFSLEISKRRALEEAFASIWV >fgenesh2_kg.7__1106__AT4G30810.1 pep chromosome:v.1.0:7:4608179:4610927:-1 gene:fgenesh2_kg.7__1106__AT4G30810.1 transcript:fgenesh2_kg.7__1106__AT4G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7MBL9] MAKTRGSCCLVNALIAIAFLATAHLCEAGLSQKEQDKVSKLPGQNFNVSFAHYSGFVTTNEKLGRALFYWLFEAVEDAKSKPLVLWLNGGPGCSSVAFGEAEEIGPFHIKADGKTLYLNQYSWNQAANILFLDAPVGVGYSYSNTSSDLKTNGDKRTAEDSLKFLLKWVERFPEYKGRDFYIVGESYAGHYIPQLSEAIVKHNQGSDKNSINLKGYMVGNGLMDDFHDRLGLFQYIWSLGFISDQTYSLLQLQCGFESFIHSSKPCNKILEIADKEIGNIDQYSVFTPACVANASQSNMLLKKRPMTSRVSEQYDPCTEKHTTVYFNLPEVQKALHVPAGLAPSKWDTCSDVVNEHWNDSPSSVLNIYHELIAAGLRIWVFSGDADAVVPVTSTRYSIDALNLRPLSVYGPWYLDGQVGGWSQQYAGLNFVTVRGAGHEVPLHRPKQAFALFKAFISGTPLSTPEKNISRDMSELVSDS >fgenesh2_kg.7__1107__AT4G30800.1 pep chromosome:v.1.0:7:4611767:4613203:-1 gene:fgenesh2_kg.7__1107__AT4G30800.1 transcript:fgenesh2_kg.7__1107__AT4G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S11 [Source:UniProtKB/TrEMBL;Acc:D7MBM0] MAEQTEKAFLKQPKVFLSSKKSGKEKRPGKGGNRFWKNIGLGFKTPREAIEGAYVDKKCPFTGTVSIRGRILAGTCHSAKMQRTIIVRRDYLHFVKKYQRYEKRHSNIPAHVSPCFRVKEGDHVTIGQCRPLSKTVRFNVLKVIPAGSSSIGKKAFTGM >fgenesh2_kg.7__1108__AT4G30790.1 pep chromosome:v.1.0:7:4617548:4622141:1 gene:fgenesh2_kg.7__1108__AT4G30790.1 transcript:fgenesh2_kg.7__1108__AT4G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSFTESFADDGKLLLCVAENGHSFEFQCSETTSVESVMRFVESVSGIGLSDQLLLSLDMKLEPQKLLSAFGLPASDREVFIFNKAMLQSNSHPPSPEDVDLQDVADALPPASLHEHHPLDDASDPALKALPLYERQFRYHFHKGRTIYNCTVVKHENCERLTREQKVQQRAVEVATRNLEQYYRVIYQNFLEFMKRYKHQHRLHSDLLMNFGRDIEKLRSAKIHPYLQTDSRKCLLDFVKEDNLKKAVENCASSHRQFENKIAQFQQMFVEVKRKVEELFACRASLSMKNLEVTVKDHERFIDEEKSIMQSLSKDVNTVKKLVDDCMSSQLSSSLRPHDAVSALGPMYEVHDKNHLPKMQACYNSISELLDFCKSKKNEMNNFVHSYMQKITYVTYIIKDAKLQFPVFREAMVRQDDLFADLKLVRGVGPAYRACLAEVVRRKASMKLYMGMAGQLAEKLAMKRETEVRKREEFLKTHGPFVPRDVLASMGLYDTPTQCDVNVAPYDTSLLNIEIADVDRYAPEFLVGLHSKVASSRSSLTISSDSSLSAEPEEIGIDTFDKDNFDDILAASELIEIAGTSKMEVENAKLKADLASAISRICSPRPTISNVLNMLLRRQQRHCKPKMSMDENMVDSSQVLSHPLDSSMLESQQNNEKGGKDSEAGEMGVFLSNSSTAESPQKSLDDNVATGRGLDAKHSDDIILELRNELMEKSNKLSEIESKLNGVMEEVSNLSRELETNQKLLEESQMNCAHLENCLHEAREEAQTHLCAADRRASQYTALRASAVKMRGLFERFRSSVCAGSGIADFADSLRTLAQALANSVNENEDDGTTEFRKCIRVLADKVSFLSKHREELLEKCQNLEATSEQTRKDLEEKKELVKTLYTKHQLGKQANKEKISFGRLEVHEIAAFVLNQAGHYEAINRNCPNYYLSSESEALFTDHLPNRPTYIVGQIVHIERQIVKLPSPLSASASPEAGKTHHLGSDQGSRTLASSSISTSTSATTTSNPYGLSSGCEYFIVTIAMLPDTAIHQQTS >fgenesh2_kg.7__1109__AT4G30780.1 pep chromosome:v.1.0:7:4622174:4624911:-1 gene:fgenesh2_kg.7__1109__AT4G30780.1 transcript:fgenesh2_kg.7__1109__AT4G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMRKSGGLRRTESELAAKCRDELPVKLEIAEDDLEEEHAPLTKRSRLWSPETSSSTMAPAKYNPLDEPSPLGLNLRKSPSLLELIQMTITHCGDRKAAQTLKAGALGSGLKKESKSIAAAASLGPTLAPGSIEKLKASNFPASLLKIGQWEYKSRYEGDLVAKCYFAKHKLVWEVLEQGLKSKIEIQWSDIMALKANCPEDGPGTLTLVLARQPLFFRETNPQPRKHTLWQATSDFTDGQASMNRQHFLQCAQGIMNKHFEKLVQCDHRLFHLSRQPEIAIDSPYFDARQSIFEDPSESKGHPFGNLNLSTGPSNSGTQNLASPVGAQSSSEHMYLSHEAPSPSSVIDARANEGIGGSEAVNSRNRTDCGQIEAPGLHQSMSLSDFLAVLCDSKNTTDLNLAEDVAGLHQSMSVSDFVAYLSDSRNITDSDQIKVPGLHQSMSVSDFVGLLSDSAGGSHPEHMEKFEIMKQQLLSDNIQFEAPDEKSLMPRVNSLFNLLYKDPNVAANSQLNTEMSVGLKSEPKGIVSDNNNNNDRVLDPASSSKPQGMLRKDSFSDLLLHLPRITSLPKFLSNISEEDGDAYNR >fgenesh2_kg.7__110__AT4G39090.1 pep chromosome:v.1.0:7:412430:414159:-1 gene:fgenesh2_kg.7__110__AT4G39090.1 transcript:fgenesh2_kg.7__110__AT4G39090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLKLCFSVFVLFFLIVSVSSSDVNDGDDLVIRQVVGGAEPQVLTSEDHFSLFKSKFGKVYASNEEHDYRFSVFKANLRRARRHQKLDPSARHGVTQFSDLTRSEFRKKHLGVRAGFKLPKDANKAPILPTENLPEDFDWRDRGAVTPVKNQGSCGSCWSFSATGALEGANFLATGKLVSLSEQQLVDCDHECDPEEAGSCDSGCNGGLMNSAFEYTLKTGGLMKEEDYPYTGKDGKTCKLDKSKIVASVSNFSVISIDEEQIAANLVKNGPLAVAINAGYMQTYIGGVSCPYICTRRLNHGVLLVGYGSAGYAPARFKEKPYWIIKNSWGETWGENGFYKICKGRNICGVDSLVSTVTAAVSTTAH >fgenesh2_kg.7__1112__AT4G30720.1 pep chromosome:v.1.0:7:4637710:4641242:1 gene:fgenesh2_kg.7__1112__AT4G30720.1 transcript:fgenesh2_kg.7__1112__AT4G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQTNFLSSSFLSSSHDLRIPYSRAVLAYPRIQTHRILCAAKRTGKRRYPSERRKLRTEQKEAVAKVKNKLEGVWRLSKLGVPVGDDPGKDFLGISEGLLQAIAKVIEFPVASMLPEEAFSVIRKSFDARKILKEAKFVYTVDLDVKTLLELEPRAHDFIFRLEPKIGLIEHVSTEKSVSGDLISVVNDCKRINSETAPGEYEPQIINGSGDPHQRGGRSKPKIAVVGGGPSGLFAALVLAEFGADVTLIERGQAVEERGRDIGALVVRKILDMESNFCFGEGGAGTWSDGKLVTRIGKNSATVLAVLKTLVRFGAPDNILVNGKPHLGTDKLVPLLRNFRHYLQSAGVTIKFGTRVDDLLVEDSRVVGVRVSDSTDQLQSTSQNLKFDAVVLAVGHSARDTYEMLHSRNVELTPKDFAVGLRIEHPQELINSIQYSDLASEVLKGRGKVPVADYKVVQYVNDKAEDLSQSSSKRSCYSFCMCPGGQVVLTSTNPTELCINGMSFSRRSSKWANAALVVTVSAKDFDVLNLKGPLAGIEFQREFERRAAIMGGGDFTVPVQRVTDFLQNKLSETPLPPSSYRLGVKSANLHELFPTHITESLRQSISMFEKELPGFISEEALLHGVETRTSSPVRIPRSNETYESTSLKGLYPVGEGAGYAGGIVSAAVDGMFSGFAVAKSFDLFDGSIESVIGKAQGAGLVKY >fgenesh2_kg.7__1114__AT4G30710.2 pep chromosome:v.1.0:7:4644452:4648898:1 gene:fgenesh2_kg.7__1114__AT4G30710.2 transcript:fgenesh2_kg.7__1114__AT4G30710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVATDTTRQRLLPSEKNNALAATRRPRTTEVSSRYRSPTPTKTGRCPSPSVTRPTVSSSSQSVAAKRAVSAERKRPSTPPSPTSPSTPIRDLSIDLPASSRRLSTGRLPESLWPSTMRSLSVSFQSDSVSVPVSKKEKPVSSSSVDRTLRPSSNIAQKHKAETTSISRKPTPERKGSPLKGKNNVSDLSENSKPVDGPHSRLIEQHRWPSRIGGKITSNSLNRSLDLGDKASRGLPTSGPGMGPSLRRMSLPLSSSSRPLHKTSSNTSSYGGLVSPTKSEDNNIARTSGAQRLLSAGSLDRATLATAVARLHPLPAPGSRPASPSRTSFSSSSISRGMSTSRGVSPSRGLSPSRGLSPTRGLSPSRGLSPSRGTNTSCFARPSTPPSRGISPSRIRQTSTSTQSSTTTSVLSFITDVKKGKKASYIEDVHQLRLLHNRYLQWRFAIARAESVMYIQRLTSEETLFNVWHAISELQDHVTRQRIGLQQLKLEIKLNSLLNDQMVSLEDWATLERDHVSSLVGAISDLEANTLRLPATGGTKADTESLKAAMSSALDVMQAMGSSIWSLLSKVEEMNIMVTELAVVVTKESSMQGKCEDLLASTAIMQIEECSLKTHLIQTRREEGEDAKETPPLLPLSKFPWP >fgenesh2_kg.7__1116__AT4G30700.1 pep chromosome:v.1.0:7:4649156:4651624:1 gene:fgenesh2_kg.7__1116__AT4G30700.1 transcript:fgenesh2_kg.7__1116__AT4G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MBT1] MLLRTVSAATAETTAALISKNNFLDLFKRSTSISHLAQTHAQIVLHGFRNDISLLTKLTQRLSDLGAIYYARDIFLSVQRPDVFLFNVLMRGFSVNESPHSSLAVFAHLRKSTDLKPNSSTYAFAISAASGFRDDRAGCVIHGQAIVDGCDSELLLGSNIVKMYFKFWRVEDARKVFDRMPEKDTILWNTMISGYRKNEMYVESIQVFRDLINESCTRLDTTTLLDILPAVAELQELRLGMQIHSLATKTGCYSHDYVLTGFISLYSKCGKIKMASTLFREFRRPDIVAYNAMIHGYTSNGETELSLSLFKELMLSGAKLKSSTLVSLVPVSGHLMLIYAIHGYSLKSNFLSHTSVSTALTTVYSKLNEIESARKLFDESPEKSLPSWNAMISGYTQNGLTEDAISLFREMQNSEFSPNPVTITCILSACAQLGALSLGKWVHDLVRSTDFESSIYVSTALIGMYAKCGSIAEARRLFDFMPKKNEVTWNTMISGYGLHGHGQEALTIFSEMLNSGIAPTPVTFLCVLYACSHAGLVKEGDEIFNSMIHRYGFEPSVKHYACVVDILGRAGHLQRALQFIEAMPIQPGPSVWETLLGACRIHKDTNLARTVSEKLFELDPDNVGYHVLLSNIHSADRNYPQAATVRQTAKKRKLAKAPGYTLIEIGETPHVFTSGDQSHPQVKAIHEKLEKLEGKMREAGYQPETELALHDVEEEERELMVKVHSERLAIAFGLIATEPGTEIRIIKNLRVCLDCHTATKLISKITERVIVVRDANRFHHFKDGVCSCGDYW >fgenesh2_kg.7__1117__AT4G30690.1 pep chromosome:v.1.0:7:4651709:4653580:-1 gene:fgenesh2_kg.7__1117__AT4G30690.1 transcript:fgenesh2_kg.7__1117__AT4G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF-3 [Source:UniProtKB/TrEMBL;Acc:D7MBT2] MAGITSTVGFNAILAGATKTVSHPSPSVKSKLFGLRLCLAELSIVSLSPYHHHRCPAITCRYGGGGGGGGGGSRFPGDRRGRQKESEDDDDSLDISAIRSATVRLIDDQQNMIGLVSKDEAVRRAEDAELDLVILSPDADPPVVRMMDYSKYRYEQQKRKKEQQKKTTRMDLKELKMGYNIDQHDYSVRMRAARKFLQDGDKVKVIVNMKGRENEFRNIAIELLRRFQTEIGELATEESKNFRDRNLFITLVPNKEVIRKVQEPPPKKKKKPADDEVSAAGITATQDT >fgenesh2_kg.7__111__AT4G39100.1 pep chromosome:v.1.0:7:414641:416855:-1 gene:fgenesh2_kg.7__111__AT4G39100.1 transcript:fgenesh2_kg.7__111__AT4G39100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQKAPRKQLKSYKLKHINKTIQDGDAVLMRSSEPGKPSYVARVEAIETDARGSHAKVRVRWYYRPEESIGGRRQFHGAKEVFLSDHFDFQSADTIEGKCKVHSFSSYTKLDSVGNDDFFCRFEYNSATGAFDPDRVAVFCKCEMPYNPDDLMVQCEECSEWFHPSCIGTTIEEAKKLDNFYCEECSPQEQNLHNSNSTSKNRDAKVNGKRSLEVTKSKNKHTKRPG >fgenesh2_kg.7__1123__AT4G30610.1 pep chromosome:v.1.0:7:4671216:4675649:-1 gene:fgenesh2_kg.7__1123__AT4G30610.1 transcript:fgenesh2_kg.7__1123__AT4G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7MBU0] MARIHLIFLLFVALLSTTFPSSSSSSREQEKDRIKTLPGQPKVAFSQYSGYVNVNESHGRALFYWLTESSSPSPQTKPLLLWLNGGPGCSSIAYGASEEIGPFRINKTGSNLYLNKFSWNKDANLLFLESPAGVGYSYTNTSSDLKDSGDAQTAQDNLIFLIKWLSKFPQYKYRDFYIAGESYAGHYVPQLAKKIHDYNKAFSKPIINLKGFMVGNAVTDNQYDSIGTVTYWWTHAIVSDKTYKSILKHCNFTVERVSDDCDTAVNYAMNHEFGDIDQYSIYTPTCVAAHQKKNNTGFFVRMKNTLLRRRLVSGYDPCTESYAEKYFNRQDVQRAMHANVTGIRYKWTACSDALIKNWKDSDKTMLPIYKELAASGLRIWIFSGDTDSVVPVTATRFSLSHLNLPVKTRWYPWYSDNQVGGWTEVYKGLTFATVRGAGHEVPLFEPKRALILFRSFLAGKELPRSY >fgenesh2_kg.7__1124__AT4G30600.1 pep chromosome:v.1.0:7:4679470:4682608:1 gene:fgenesh2_kg.7__1124__AT4G30600.1 transcript:fgenesh2_kg.7__1124__AT4G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle receptor alpha subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7MBU1] MLEQLLIFTRGGLILWTCKEIGNALKGSPIDTLIRSCLLEERSGAVSFNYDAPGAAYTLKWTFHNDLGLVFVAVYQRILHLLYVDDLLSMVKQSFSEIYDPKRMAYDDFDETFRQLRIEAEARAEELRKTKQVGKPVSSVKKQGQVSKPGLEGGNKRVSEGGSKKDDGDGNKAKVSTLTNGHSNGNHQMEDDSQETDLANGKENTSSNVAVDLSKLQKLRSKGVRGRGGVRKTDSIGNKSSKVAAAEPAKKATKKNRVWDDAAPKQSKLDFTDSIAENGNNDHVDIVAADQGESMMDKEEVFSSDSESEDDDEPGSDEKPAEAKKKGWFSSVFQSITGKANLERTDLGPALKALKERLMTKNVVEEIAEKLCESVEASLEGKKLSSFTRISSTVQAAMEDALVRILTPRRSIDILRDVHAAKEQRKPYVVVFVGVNGVGKSTNLAKVAYWLQQHKVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNGSDVVLVDTAGRMQDNEPLMRALSKLINLNQPDLVLFVGEALVGNDAVDQLSKFNQKLSDLSTSGNPRLIDGILLTKFDTIDDKVGAALSMVYISGSPVMFVGCGQSYTDLKKLNVKAIVKTLLK >fgenesh2_kg.7__1126__AT4G30580.1 pep chromosome:v.1.0:7:4686012:4688206:1 gene:fgenesh2_kg.7__1126__AT4G30580.1 transcript:fgenesh2_kg.7__1126__AT4G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MBU3] MDVASARSISSHPSYYGKPICSSQSSLIRISRDKVCCFGRISNGTASFSTSLHAVPSGKFMGETRSTGVQWCSRSLRWDPYRFHDKKSLGLSELARNITVRSDLSGVATPESSYPEPEIKLSSRLRGICFCVVAAISATFLIVLMIVGHPFVLLFDPYRRKFHHFIAKLWASISIYPFYKINIEGLENLPSSDTPAVYVSNHQSFLDIYTLLSLGKSFKFISKTGIFVIPIIGWAMYMMGVVPLRRMDQRSHVDCLKRCMELLKKGASVFFFPEGTRSKDGRLGPFKKGAFTVAAKTGVAVVPITLMGTGKIMPTGSEGILNHGNVRVIIHKPIHGSKADDLCNEARSKIAESMDLLS >fgenesh2_kg.7__1131__AT4G30530.1 pep chromosome:v.1.0:7:4706820:4709863:-1 gene:fgenesh2_kg.7__1131__AT4G30530.1 transcript:fgenesh2_kg.7__1131__AT4G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQKRYALFLATLDSEFVKKTYGGYHNVFVTTFGDEGEHWDSFRVVSGEFPDEKDLEKYDGFVISGSSHDAFENHDWILKLCDIVKKIDEMKKKILGICFGHQIIARVRGGTVGRARKGPELKLGDITIVKDAITPGSYFGDEIPDTMAIIKCHQDEVLVLPETAKVLAYSTNYEVEMFSIEDHLFCIQGHPEYNKEILFEIVDRVLAQGFVKQEFADAAKATMENREADRKLWETICKNFLKGRVPTN >fgenesh2_kg.7__1134__AT4G30490.1 pep chromosome:v.1.0:7:4730174:4733244:1 gene:fgenesh2_kg.7__1134__AT4G30490.1 transcript:fgenesh2_kg.7__1134__AT4G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVIRSSSLSRIRWALRNNQERYSSTLYSKSRKLLIGVNQNQALVNTNTDNSSLYSRLSIFRGLSAEAVEAADPAATRLTVSDVNRKGPLVEYERRINDGELMTGDICQIGALRELQRLYDELVDSVDTCRLDRYNTSDKSSRSRWFWSRLMPQTSYSPVKGLYLYGGVGTGKTMLMDLFFDQLPCTWKKQRIHFHDFMLSVHSRLQKHKGLSDPLEVVAQEIAHDAILLCLDEFMVTDVADALILNRLFGHLFSNGVILVATSNRNPDKLYEGGLQRDLFLPFISSLKERSVVHEIGSEVDYRKLTSAEQGFYFIGKDLSTLLKQKFQQLIGDNVVARPQVVEVVMGRKLQIPLGANGCAYFPFEDLCDRPLGAADYFGLFKKFHTLALEGIPVFGLHNRTAAYRFVTLVDVMYENRARLLCTAEASPFELLEKIVTISEAKSMAPRTSSRSRKNDVTELCVDNELGFAKDRTISRLTEMNSKEYLEQHAITQNL >fgenesh2_kg.7__1136__AT4G30480.2 pep chromosome:v.1.0:7:4733182:4737172:-1 gene:fgenesh2_kg.7__1136__AT4G30480.2 transcript:fgenesh2_kg.7__1136__AT4G30480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MBV5] MVLIESSEGEDEIVVKNEPKPSSSSSPQPTETKQVDGDDSDGFETASEREVSDEEGEEDGTKNDAVTSQEEPQHSEKKEERVELMSEGEVIVDDGSIQEKAMAEANEAKVEGNKLFVNGLYEEALSKYASALELVQDFPESIELRSICHLNRGVCFLKLGKCEETIKECTKALELNPTYTKALVRRAEAHEKLEHFEDAVTDLKKILELDPLNDQAKKGIRRLEPLAAEKREKMKEEAITKLKEMGNSILGRFGMSVDNFKAVKDPNTGSYSLSFQN >fgenesh2_kg.7__1138__AT4G30470.1 pep chromosome:v.1.0:7:4737710:4740499:-1 gene:fgenesh2_kg.7__1138__AT4G30470.1 transcript:fgenesh2_kg.7__1138__AT4G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDKSTSCCCVLDASTYVGFWILKKLLSRGYSVHAAIRRNGESEIEEMIREMETTEERLVVYDVDVLDYQSILVSLKTCNVVFCCLDSPEGYDEKEVDLEVRGAINVVEACGRTESIEKIVFSSSLTASIWRDNIGTQKDVDEKCWSDQDFCRNKKLWHALAKMLSEKAAWALAMDRRLNMVSINPGLIVGPSVAQHNARPTMSYLKGAAQMYENGVLAYVDVKFLADVHIRAFEDVSACGRYFCFNQIVNTEEEALKLVESLSPLIPMPPRYENEMHGSEVYEERLRNNKLSKLVEAGSAC >fgenesh2_kg.7__1139__AT4G30460.1 pep chromosome:v.1.0:7:4745544:4746357:1 gene:fgenesh2_kg.7__1139__AT4G30460.1 transcript:fgenesh2_kg.7__1139__AT4G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-rich protein [Source:Projected from Arabidopsis thaliana (AT4G30460) TAIR;Acc:AT4G30460] MSLSNFSSLILITLILATSALVSESRVARKDLGLDLGGIGIGAGIGIGIGGGGSGSGAGAGSGSGGGGSSSSSSSSSSSSSSSGGGGGDAGSEAGSYAGSRAGSGSGGRSGSGRGRGSGGGGGHGGGGGGGGGRGGGGGSGNGGGYGEGGGYGGGYGGGDD >fgenesh2_kg.7__113__AT4G39120.1 pep chromosome:v.1.0:7:422198:424747:-1 gene:fgenesh2_kg.7__113__AT4G39120.1 transcript:fgenesh2_kg.7__113__AT4G39120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7MGB6] MLAQSHFFSKSFDLIPPPSPVLRSEISSLRLSSSYRNSRFSFLSSSAIAVPVSRRRISLTMASNSKRPNVSEESPSKLSDTELDRFAAVGNALADASGEVIRKYFRKKFDIVDKDDMSPVTIADQMAEEAMVSIIFQNLPSHAIFGEEKGWRCKEESADYVWVLDPIDGTKSFITGKPVFGTLIALLYKGKPILGLIDQPILKERWIGINGRKTKLNGEDISTRSCPNLSQAYLYTTSPHLFSGEAEKAYARVKDKVKVPLYGCDCYAYALLASGFVDLVIESGLKPYDFLALVPVIEGAGGTITDWTGNPFLWEASSSAVATSFNVVAAGDLDIHQQTLESLEWQ >fgenesh2_kg.7__1140__AT4G30450.1 pep chromosome:v.1.0:7:4747821:4748479:1 gene:fgenesh2_kg.7__1140__AT4G30450.1 transcript:fgenesh2_kg.7__1140__AT4G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MBV9] MARAQGLFLLGLLVISSLVMLTESRVARKDLGIDLGGIGIGLGVGLGIGLGGGSGSGAGAGSGSGSGSRSSSSSSSSSSSSSSGSGGSAGSSAGSFAGSRAGSGSG >fgenesh2_kg.7__1141__AT4G30440.1 pep chromosome:v.1.0:7:4751842:4753460:1 gene:fgenesh2_kg.7__1141__AT4G30440.1 transcript:fgenesh2_kg.7__1141__AT4G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-glucuronate 4-epimerase 1 [Source:UniProtKB/TrEMBL;Acc:D7MBW0] MPSIEDELFPSTPGKFKIDRSNRQLHRCFASTSTMFLWALFLIALTASYLSFQSFVDSGSRYLTASWGGIQWEKQVRTSAQIHRSGGISVLVTGATGFVGSHVSLALRKRGDGVVGLDNFNNYYDPSLKRARRSLLSSRGIFVVEGDLNDAKLLAKLFDVVAFTHVMHLAAQAGVRYALENPQSYVHSNIAGLVNLLEICKAANPQPAIVWASSSSVYGLNEKVPFSESDRTDQPASLYAATKKAGEEITHTYNHIYGLAITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITIYRGKNRVDLARDFTYIDDIVKGCLGSLDSSGKSTGSGGKKRGAAPYRIFNLGNTSPVTVPILVDILEKHLKVKAKRNFVEMPGNGDVPFTHANISSARNEFGYKPTTDLETGLKKFVRWYLSYYGYNTKAKLVH >fgenesh2_kg.7__1144__AT4G30410.2 pep chromosome:v.1.0:7:4764603:4765669:1 gene:fgenesh2_kg.7__1144__AT4G30410.2 transcript:fgenesh2_kg.7__1144__AT4G30410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7MBW4] MQPTSSMNEEFLKKWQMGLQIFHPSIDNTSVHERKKAIKLSADVAMASLRKGTTCWSRALIEKTATEDNFLVRQMLSGIKAEALINKKLPKRTVCHRKIVRRSKKILRRKSKSASEEAAAKAKRLVKRRTQGLRNVVPGGELMSNDVLLLQETLDYIVSLQTQVNVMRSIVDAAEAEIER >fgenesh2_kg.7__1147__AT4G30390.1 pep chromosome:v.1.0:7:4775761:4776870:1 gene:fgenesh2_kg.7__1147__AT4G30390.1 transcript:fgenesh2_kg.7__1147__AT4G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPPSLLSLTVNAAVLNLSRINDLSHLPDHILLDLFARTLKAGKLNERVLRLFMASGNEEVLSVIDALKIKINVYPILPTRCDEKFRLNGTRR >fgenesh2_kg.7__1148__AT4G30370.1 pep chromosome:v.1.0:7:4780848:4781527:1 gene:fgenesh2_kg.7__1148__AT4G30370.1 transcript:fgenesh2_kg.7__1148__AT4G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MBW8] MSIHIPYDGSNSGEPSPSPPPPKANTNNLPTKILSNFLIGLIMIPVAVTAFLFILTSLGFTFFFAFYWFLQRNYRHRLRRHRRHQYSDGLSPRCVKRLPQFKFCEPNTEYGSDDCVVCIDGFRQGQWCRKLPRCGHVFHRKCVDLWLIKVSTCPICRDRVYRFDSVKKI >fgenesh2_kg.7__1149__AT4G30360.1 pep chromosome:v.1.0:7:4782035:4785185:1 gene:fgenesh2_kg.7__1149__AT4G30360.1 transcript:fgenesh2_kg.7__1149__AT4G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCNGC17 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MBW9] LRKDKLLMFYSEGKERKEAIWAVNDPMSKSYKLSLPSALRPDNLLPGNRLRYTDASKSKSSKVSWYKTILDPGSEIVLKWNWVFIVSCMVALFIDPLYFFVPAIGGDKDYPCARTDTSLSILVTFFRTIADLFYLLHIFIKFRTGFIAPNSSTRVFGRGELVMDPKAIAWRYLKSDFIIDLIATLPLPQIVIWFVISTTKSYRFDHNNNAIALIVLLQYIPRFYLIIPLSSQIVKATGVVTKTAWAGAAYNLLLYMLASHVLGAAWYILSVDRYTSCWKSRCDRERGLVNCQLYYLDCDSMYDDNQMNWANVTKVFKLCDARNGEFKYGIFGNAITKKVVSSNFFERYFYCLWWGLQQLSSYGQNLSTTMFMGETTFAVLIAIFGLVLFAHLIGNMQTYLQSLTVRLEEWRLKKRDTEEWMRHRQLPEELRNRVRRYEQYKWLATRGVDEEVLLQSLPTDLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSCLCTEGTYLVREGDLISEMLFIIRGRLESSTTNGGRTGFFNSIILRPGDFCGEELLSWALLPKSTLNLPSSTRTVRALVEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRRYKRRVMENNLTAIESMENEEGEVGEEVEEEEEECVEESPRTKMNLGVMVLASRFAANTRRGVAAQRVKDVELPRFKKPEEPDFSAEHDD >fgenesh2_kg.7__1152__AT4G30320.1 pep chromosome:v.1.0:7:4807594:4807988:-1 gene:fgenesh2_kg.7__1152__AT4G30320.1 transcript:fgenesh2_kg.7__1152__AT4G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MC61] MAPQNAARARLRLKPLKWDAKLARYAQWWANQRRRDCALIHSNGPYGENLFWGSGNRWSPAQAAYGWLSEARSYNYRSNSCNSEMCGHYTQIVWKKTQKIGCAHVICNGGGGVFLTCNYDPPGNFLGTKPY >fgenesh2_kg.7__1153__AT4G30310.2 pep chromosome:v.1.0:7:4808734:4812112:-1 gene:fgenesh2_kg.7__1153__AT4G30310.2 transcript:fgenesh2_kg.7__1153__AT4G30310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAELNPFPSRSFFLGVDVGTGSARAGLFDENGKLLGSASSPIQIWKDGDCVEQSSTDIWHAVCAAVKSACSLANVSEVEVKGIGFAATCSLVAVDAEGSPVTVSWSGDSRRNIIVWMDHRAVKQAERINSFNSPVLQYCGGGVSPEMEPPKLLWVKENLKESWSMVYKWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMHQMSEKASRDMEACGWDDEFWEEIGLGDLVDGHHAKIGRSVAFPGHPLGNGLTATAAKELGLLAGTPVGTSLIDAHAGGVGVMESKSDSDSLTKESDVDTLCSRMVLVCGTSTCHMAVSREKLFIPGVWGPFWSGMVPEYWLTEGGQSATGALLDHIIENHVASPRLANRAASQKVSVFELLNNLLKTMAEDTSSPFISALTSDMHILPDFHGNRSPVADPNSKGVIFGMSLDSSEKQLALLYLATIQGIAYGTRHIVEHCNAHGHKIDTLLACGGLSKNPLFIQEHADIVGCPIILPRESESVLLGAAILGAVAGKNYPSLHDAMKALNAAGQVVVHPSSDPKVTKYHDAKYRIFRNLYEQQLSHRSIIAEALA >fgenesh2_kg.7__1154__AT4G30290.1 pep chromosome:v.1.0:7:4816887:4818044:1 gene:fgenesh2_kg.7__1154__AT4G30290.1 transcript:fgenesh2_kg.7__1154__AT4G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MC63] MKSFAFLILFLFAAQSMSVYAGSFHKDVKIHWGDGRGKIHDNEGKLLSLSLDKSSGSGFQSNQEFLYGKAEVQMKLVPGNSAGTVTTFYLKSPGTTWDEIDFEFLGNISGHPYTLHTNVYTKGSGDKEQQFHLWFDPTANFHTYCITWNPQRIIFTVDGIPIREFMNAESRGVPFPTKQPMRLYASLWEAEHWATRGGLEKTDWSKAPFTAFYRNYNVEGCVWANGKSACPANSQWFTQKLDSNGQKRMKGVQSKYMVYNYCADKKRFPRGVPPECS >fgenesh2_kg.7__1155__AT4G30280.1 pep chromosome:v.1.0:7:4819835:4821074:1 gene:fgenesh2_kg.7__1155__AT4G30280.1 transcript:fgenesh2_kg.7__1155__AT4G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MC65] MKLSCGTSFAFLILFLFAAQSVHVYAGSFHKDVQIHWGDGRGKIRDRDGKLLSLSLDKSSGSGFQSNQEFLYGKAEVQMKLVSGNSAGTVTTFYLKSPGTTWDEIDFEFLGNLSGHPYTLHTNVYTKGSGDKEQQFHLWFDPTVNFHTYCITWNPQRIIFTVDGIPIREFKNSESIGVPFPTKQPMRLYASLWEAEHWATRGGLEKTDWSKAPFTAFYRNYNVDGCVWANGKSSCPTNSPWFTQQLDSNGQTRMKGVQSKYMVYNYCTDKNRFPRGVPAECS >fgenesh2_kg.7__1158__AT4G30260.1 pep chromosome:v.1.0:7:4828380:4830619:1 gene:fgenesh2_kg.7__1158__AT4G30260.1 transcript:fgenesh2_kg.7__1158__AT4G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:D7MC67] MSHNDTIPLYQSSQSDIDEIENMMNDSFQSGPGTVLPARPPSPIRPSIPVSSSPFVQSNLPPLPPSSSSSQKVMPVPAPPPLPSVGNSNSSEGNKSIGGSGFGSPPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGAVICMLKDNVILKMIVVSVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYVSVGFLIIAID >fgenesh2_kg.7__1160__AT4G30240.1 pep chromosome:v.1.0:7:4837454:4839491:1 gene:fgenesh2_kg.7__1160__AT4G30240.1 transcript:fgenesh2_kg.7__1160__AT4G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVANSFDLWQKDVFFSAAEEVQESADIMESAYRLWFKQKRDGRVTVESDELCKELQAALSTAKWQLEEFERAVRLSHGNCRDDTMLTRHKQFVTAIENQIYRVESSLQEALSENGKQPLRWVDLNKEERDDLAMFLSGSSQTSESLNSDSINLRDSSTSSVAEIPRGMNGRRETRCYGDSPECVIDIDERGSPESGDAMIRVQDDKKAGTRRTWSSPNVPNISALRINVPFNAKEEEREKFLSQIEDTPKEKGSKPLFWLQRCRDYNQLFDRVKVYQRRFRVPLTRPIKLILSLTLIFFLLLFILRT >fgenesh2_kg.7__1161__AT4G30230.1 pep chromosome:v.1.0:7:4840018:4841096:-1 gene:fgenesh2_kg.7__1161__AT4G30230.1 transcript:fgenesh2_kg.7__1161__AT4G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKNTNLQNPTTKLPKPFQHHLEEEKEEALSLRDLPLNAENPNPAATPTTTEDHREPSTELFEFLTSTSYDVSPAENIIFGGKLIPLNYQNALFSPPEHISPRIRARSESLSAIQGHKLNHPGSCSVARRDNAGPMRTSRSLDYRKLSRGPTTVHSPLENISPAKNTTKAETASSGSGKCVRPRWYVFMFGMVKFPPEIELKDIKSRQVRRNIPPVMFPSPSNRRSRRSRSPSPSPSPSWRFLNALSCKKPTSVAATAPFWISHP >fgenesh2_kg.7__1163__AT4G30200.2 pep chromosome:v.1.0:7:4859952:4863770:1 gene:fgenesh2_kg.7__1163__AT4G30200.2 transcript:fgenesh2_kg.7__1163__AT4G30200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLDGAAGDSSKCNEMSVDEKRQLVYELSKQSHLASEVLQAWSRQEILQILCAEMGKERKYTGLTKVKIIETLLKIVSEKNPGENEGKKRDSDCLPIQRNTKRQRKVDNPSRYVLPASNNVTSNNASGSCSSVNTKGESTIYCKNLACRAVLRQEDSFCRRCSCCICRKYDDNKDPSLWLTCSSDPPFEGESCGFSCHLECAFKTEKSGLGKDKQSEGCCFYCVSCGKANSLLECWKKQLTIAKETRRVDVLCYRLFLVQKLLKSSTKYRNLCMVVDEAVKSLEADVGPLTGLPMKMGRGIVNRLHSGPDVQKLCSSALESLETLATKPPDVAALPSSRSSKMQQDCSYVLSNEISADTATTGSTKIRFEDVNATSLTVVLASNEIPSPPNIVHYSIWHRKVPEKDYPEKSTCTLFTPNTRFVVSGLAPASEYCFKVVSYSGTREMGVDEINVLTRSAEEGANCSSGVERSESPLTNCSTLSSNPSSVEAESNNDYIVPKKPSKNDNNNSPSVDESAAKRAKRTTESDIVQIENNVEQIVLLDEEEQEAVLDKNGSGSGFGSETPALVTTNNLASNRNNSDASLPITPFRSDEIKNRQARIEKSVKDNCNNGDHSANGGTESGLEHCVKIIRQLECSGHIDKNFRQKFLTWYSLRATSQEIRVVKIFIDTFIDDPMALAEQLIDTFNDRVSIKRSAIGGSGASAVVPSGFCMKLWH >fgenesh2_kg.7__1170__AT4G30160.1 pep chromosome:v.1.0:7:4933134:4939993:-1 gene:fgenesh2_kg.7__1170__AT4G30160.1 transcript:fgenesh2_kg.7__1170__AT4G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSMRDLDPAFQGAGQKAKDAVFYIYISGIEIWRIENFLPTPIPKSSIGKFFTGDSYIVLKTTALKTGALRHDIHYWLGKDTSQDEAGTAAVKTVELDAALGGRAVQYREVQGNETEKFLSYFKPCIIPQEGGVASGFKHVVAEEHTTRLFVCRGKHVVHVPFARSSLNHDDIYILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGTCEVATVEDGKLMADADSGEFWGFFGGFAPLPRKTANDEDKTYNSDITKLFCVEKGQANPVEGDTLKREMLDTNKCYILDCGIEVFVWMGRTTSLDDRKIASGAAEEMIRSSERPKSQMIRIIEGFETVPFRSKFESWTQETNTTVSEDGRGRVAALLQRQGVNVRGLMKAAPPKEEPQVFIDCTGNLQVWRVNGQAKTLLQAADHSKFYSGDCYVFQYSYPGEEKEEVLIGTWFGKQSVEEERGSAVSMASKMVESMKFVPAQARIYEGKEPVIFFVIMQSFIVFKGGISSGYKKYIAEKEVDDDTYNENGLALFRIQGSGPENMQAIQVDPVASSLNSSYYYILHNDSSVFTWAGNLSTATDQELVERQLDLIKPNLQARAQKEGSESEQFWELLGGKAEYSSQKLTKEPERDPHLFSCTFTKEILKVTEIHNFTQDDLMTEDIFIIDCHSEIFVWVGQEVVPKSKLLALSIGEKFIEKDSLLEKLSPEAPIYVIMEGGEPSFFTRFFTSWDSSKSTMHGNSFQRKLKIVKNGGTPVADKPKRRTPASYGGRASVPDKSQQRSRSMSFSPDRVRVRGRSPAFNALAATFESQNARNLSTPPPVVRKLYPRSVTPDSSKFAPAPKSSAIASRSALFEKTPPQEPSIPKPLKASPKTPESPAPESNSKEQEEKKENDKEEEKSMSSRLESLTIQEDAKEGVEDEEDLPAHPYERLKTTSTDPVSDIDVTRREAYLSSEEFKEKFGMTKEAFYKLPKWKQNKFKMAVQLF >fgenesh2_kg.7__1172__AT4G30140.1 pep chromosome:v.1.0:7:4952700:4954936:1 gene:fgenesh2_kg.7__1172__AT4G30140.1 transcript:fgenesh2_kg.7__1172__AT4G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7MC83] MVEGESKALWIIVATVFAVAAVAPAAHGQQAPCYFVFGDSVFDNGNNNALNTKAKVNYLPYGIDFPQGPTGRFSNGRNIPDVIAELAGFNDSIPPFAGASQAQANIGLNYASGAGGIREDTSENMGERISLRKQINNHLSAIINAAVPRSQLRQCLYTINIGSNDYLNNYFLSPPTLARRIYNPDQYARSLIRLYRFYLEQLYVLGARNVALFSIGKIGCTPRIVATLGGGTGCAEEVNQAANLFNIKLKDLVTTFNNKSGAKFTYVDLFSGNAEDFAALGITVGDRSCCTVNPGEELCAANGPVCPDRNKYIFWDNVHTTEVINTVVANAAFNGPIAAPFNISQLVN >fgenesh2_kg.7__1173__AT4G30130.1 pep chromosome:v.1.0:7:4955935:4959003:-1 gene:fgenesh2_kg.7__1173__AT4G30130.1 transcript:fgenesh2_kg.7__1173__AT4G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHSKFDDDEAVQICKDRKRFIKQAVEYRTGFASGHIAYIQSLRKVSDALREYIEGDEPHEFMLDTFVTPVKRMSNSGGFIEISPPSKMVQSEAESKLNVNNYLMASGSRPVRVEEKPPRSPETFQVETYGADSFFGMNMNTNSPGLVSHNIPPPSPQNSQWDFFWNPFSSLDHYGYSYDNQSGMDDDMRRLRRVREEEGIPDLEEDEYVRFEDHHNMKATEDFNGGKMDQEDRVEHVNEEFTDSRCEAENERDKNCIETQERRSLEVSRGGTTGHVVGATTDDAKGETPGFTVYLNRRPTSMAEVIKDLEDQFAIICTAGKEVSGLLEASRVQYTSSNELSAMKMLNPVALFRSGGSSRSSSSSRFLISSSGGSRASESERSSEFSEESCMLSGSHQSTLDRLYAWEKKLYDEVKSGDRIRIAYEKKCLVLRNQDVKGADSSAVDKTRATIRDLHTQIKVSIHSIESISERIETLRDQELLPQLLELLQGLAQMWKVMAECHQIQKRTLDEAKLLLATTPSNRHKKQQHTSLPEINSQRLARSALHLVAQLRNWRASFQAWITSQRSYVLSLTGWLLRCFRCDPDPEKVRLTSCPHPIYEVCIQWSRLLNGLNEKPVLDKLDFFASGMGAIYARQLREDPFPVTDGSRKYSGQESMELVEAEKVEEEKMMSAEKLAEIAVKVLCHGMSVAVSSLAEFSISSADEHSKLVTHPEEAMPEQTKI >fgenesh2_kg.7__1177__AT4G30090.1 pep chromosome:v.1.0:7:4984924:4987753:1 gene:fgenesh2_kg.7__1177__AT4G30090.1 transcript:fgenesh2_kg.7__1177__AT4G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1353 [Source:UniProtKB/TrEMBL;Acc:D7MC87] MAFFKLLLFLFLVTLQIASFTVNGEASSSPENNGCNGLQNHLLNELKSTVSALQSIITEKNQELLSKEEKIRGLELYIREKSYLFESEIDFSQIENPVKHASEVEEKVYELQKQVFGLKREVEIQRTRRLEMEAEIAEIKVAELSSNHDNDGKWFLSKLGLNTDKTQVSQKIEQVQKWSEPHIETLNSVIRIHTHPYTTRIMTLTKPHLERVQVALEPYTENVRHGFKKLVNSTKIYHQQAQEMLKNNEITKPIATMDLAWVGATALIGFPLIFIIKLLSAVSNPKRKKRYSHKKEPTIGYRRAKRRHPHQ >fgenesh2_kg.7__1180__AT4G30070.1 pep chromosome:v.1.0:7:5000722:5001531:-1 gene:fgenesh2_kg.7__1180__AT4G30070.1 transcript:fgenesh2_kg.7__1180__AT4G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMTSIVFIVNLLIIFTSVVNQTRADTCTDGLGLCNGCDERCKAKHGPSSESNCDRSIGVGLCVCYYQCGSPSPPVQKKCNGGAGICSQRCQGTCCDMNCAQKYNGGHGFCNTLGTFSLCQCEYPC >fgenesh2_kg.7__1183__AT4G30060.1 pep chromosome:v.1.0:7:5014949:5018421:1 gene:fgenesh2_kg.7__1183__AT4G30060.1 transcript:fgenesh2_kg.7__1183__AT4G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVKRWSIGNLGDIPVSFPGARHRAPPARRRVWIIMVLSLISMFFITAYMYPHHSKRACYMISSRGCKALADWLPPSLREFSDDEIAARVVIREILSSSPVIRKNSKVAFMFLTPGTLPFERLWDRFFQGHEGKFSVYIHASKERPVHYSRYFVNREIRSDEVVWGRISMVDAERRLLANALRDTSNQQFVLLSDSCVPLRSFEYIYNYLMHSNLSYVDCFDDPGQHGAGRHMNHMLPEIPKKDFRKGAQWFTMKRQHAVATMADSLYYSKFRDYCGPGIENNKNCIADEHYLPTFFHMLDPGGISNWTVTQVDWSERKWHPKTYMPEDITPELLNNLTSTDTLVHVTSVGVGEEIWMPCMWNGIKRPCYLFGRKFHPDTLDKLLDLFSNYTRSVTWNL >fgenesh2_kg.7__1184__AT4G30050.1 pep chromosome:v.1.0:7:5018919:5024317:1 gene:fgenesh2_kg.7__1184__AT4G30050.1 transcript:fgenesh2_kg.7__1184__AT4G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MC96] MSTQLGKLSFFFFIIAFLVLLFVGASKARYLHPLITADQIQINHNQIEEYVDPTDENTKSAAYYVDLINENAKSAYHAYLHTKRLNIFGIEWLWRIDVLFLLLCLSLFVTDLSFRVFFCIAGRCSAKFSK >fgenesh2_kg.7__1186__AT4G30030.1 pep chromosome:v.1.0:7:5026950:5028254:1 gene:fgenesh2_kg.7__1186__AT4G30030.1 transcript:fgenesh2_kg.7__1186__AT4G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7MC98] MAISLTLHIRPALLIILFALTCPKQCTSYRFTLRLHTKSIKTKESPKIKPGYLHSKSTPAPSRLDNLWTTEIADIVSHVTPIPNPAAFLANISIGDPPVPQLLLIDTGSDLTWIQCLPCKCYPQTIPFFHPSRSSTYRNASCESAPHAMPQIFRDEKTGNCRYHLRYRDFSNTRGILAKEKLTFQTSDEGLISKPNIVFGCGQDNSGFTQYSGVLGLGPGTFSIVTRNFGSKFSYCFGSLIDPTYPHNFLILGNGARIEGDPTPLQIFQDRYYLDLQAISLGEKLLDIEPGIFQRYRSKGGTVIDTGCSPTILAREAYETLSEEIDFLLGEVLRRVKDWEQYTNHCYEGNLKLDLYGFPVVTFHFAGGAELALDVESLFVSSESGDSFCLAMTMNTFDDMSVIGAMAQQNYNVGYNLRTMKVYFQRTDCEILDS >fgenesh2_kg.7__1187__AT4G30020.1 pep chromosome:v.1.0:7:5028515:5033342:-1 gene:fgenesh2_kg.7__1187__AT4G30020.1 transcript:fgenesh2_kg.7__1187__AT4G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MCF3] MDIGCKVVVFFTCFFSVTAEIYIVTMEGEPIISYKGGDNGFQATAVESDEKIDTTSELVTSYARHLERKHDMLLGMLFEEGSYKKLYSYKHLINGFAAHVSPDQAEMLRRAPGVKSVSRDWKVRKLTTHTPQFLGLPTDVWPTGGGYDRAGEDIVIGFIDSGIFPYHPSFASHHTALPYGPHPSYKGKCEEDSHSKLSFCNGKIIGAQHFAEAAKAAGAFNPDIDFASPMDGDGHGSHTAAIAAGNNGIPVRMHGYEFGKASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVHDGVDILSLSVGPNSPPATTKTTFLNPFDATLLGAVKAGVFVAQAAGNGGPFPKTLVSYSPWITTVAAAIDDRRYKNHLTLGNGKILAGIGLSPSTRPHCSYKMVSANDVLLGSSGMKYNPSDCQKPEVLNKRLVEGNILLCGYSFNFVAGSASIKKVAETAKHLGAAGFVLVVENVSPGTKFDPVPSCIPGILITDVSKSMDLIDYYNVTTSRDWMGRVKDFKAEGSIGDGLEPILHKSAPEVALFSARGPNTKDFSFQDADLLKPDILAPGSLIWSAWSENGTDEANYVGEGFALISGTSMAAPHIAGIAALVKQKHPQWSPAAIKSALMTTSTVIDRAGRPLQAQQYSETETVTLVKATPFDYGSGHVNPSAALDPGLIFDAGYEDYIGFLCTTPGIDAHEITNFTNTPCNFKMVHPSNFNTPSIAISHLVRTQTVTRRVTNVAEEEETYTITSRMEPAIAIEVSPPAMTVRVGASRTFSVTLTVRSVTGAYSFGEVTLKGSRGHKVTLPVVAMGQRR >fgenesh2_kg.7__1188__AT4G30010.1 pep chromosome:v.1.0:7:5037775:5039088:-1 gene:fgenesh2_kg.7__1188__AT4G30010.1 transcript:fgenesh2_kg.7__1188__AT4G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRVYSEIKGKKVTELPGYLKSTFSMETVKTSVKRGLDNYNEKYIQTSSVDPILHICFYGMAFSYLVALPNERRHLEHQQHAKEHGGH >fgenesh2_kg.7__118__AT4G39140.3 pep chromosome:v.1.0:7:426258:429024:-1 gene:fgenesh2_kg.7__118__AT4G39140.3 transcript:fgenesh2_kg.7__118__AT4G39140.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7MGB8] MGNACCVAARDKMVVPNLSAGENLQRSNVRHSPTWSFRWDNRGRVAGEETSLSWLSDGISRNDGSEIKFESAFVSSEGSPLDSFRTQALQKSPASDLSFPRNSSMNTVFEQKENISRESAAPSYPSPAQLSLSLASQPSSFPTSPLSSQSYLHPASSSTLKLTHRPRLSKQVSDGQIYGPNSLSRSSATEERQGTPLRYDSSQSGPSEGWSLQAFSEMMSSSRSNEPLSYDNDCFGLQRDKIDHHGNRMSKHQQQTCGACSRPLSEKSLWSSQKIFMTNELSVSAILACGHVYHGECLEQMTPEIDKFDPSCPICTMGEKKTAKLSEKALKVEMDLKARHNKRLRNRVLDSDFDCDDFVMFDHSHRTAAATASKSPKLLSSSSAKSYSAKPFLARHFSFGSRSNYKSPKENLPVKKKGFFWTKSSKI >fgenesh2_kg.7__1190__AT4G29980.1 pep chromosome:v.1.0:7:5042934:5043459:1 gene:fgenesh2_kg.7__1190__AT4G29980.1 transcript:fgenesh2_kg.7__1190__AT4G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKHLLLLFLLILTMITITSSTSLPFLTTEQNQIATKIIDAMISSGSFEDWSGAFLNNNDELNGPVLTSTLFLPKTSVEGINATSPLVASYHIVPQWLDFSVISLMMPFSRIPTLLSGHSIVVTNNSASGFTLDGVLISEPDLFVSPSIVIHRMAFPFNFSRYGGGHI >fgenesh2_kg.7__1191__AT4G29960.1 pep chromosome:v.1.0:7:5044962:5046765:1 gene:fgenesh2_kg.7__1191__AT4G29960.1 transcript:fgenesh2_kg.7__1191__AT4G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPEKVSSSGDKKPEDVGIKPQDPASSSGFRAYPNGDSPMYPVFYPGLVPGSNPVQYEEQMNRGAGIYAVPVHQFGGHVAGLPSNYLIPLTYNVPTTRPNNEAETGGENQAQAGQGQQQQQPANQRHVVERRFQIAFQLDLFLILKLAAVIFLFNQDGSRQRLAVLVIFATIIYLYQTGALAPFVRWLSQGMHRAAVPPPRPHRPAARADNDPAAAVPLNENAVPEGEENEADNANRANANENENVDAGNQGNQWWGIVKEIQMIVFGFITSLLPGFHNIE >fgenesh2_kg.7__1192__AT4G29950.1 pep chromosome:v.1.0:7:5046761:5050272:-1 gene:fgenesh2_kg.7__1192__AT4G29950.1 transcript:fgenesh2_kg.7__1192__AT4G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein [Source:UniProtKB/TrEMBL;Acc:D7MCG0] MVPSEIEILGGESDRRFANLRGVRWRVNLGVLPFQSSSIDDLRKATAESRRRYAALRRRLLIDPHLSKDVRNSPDLSIDNPLSQNPDSTWGRFFRNAELEKTLDQDLSRLYPEHWSYFQAPGCQGMLRRILLLWCLKHPEYGYRQGMHELLAPLLYVLHVDVDRLSEVRKSYEDHFIDRFDGLSFEERDITYNFEFKKFLEDFTDDEIGGIQGNSNKIKSLDELDPEIQSIVRLSDAYGAEGELGIVLSEKFMEHDAYCMFDALMNGAHGCVAMAGFFSYSPASGSHTGLPPVLEACTAFYHLLSFVDSSLHSHLVELGVEPQYFGLRWLRVLFGREFLLQDLLIVWDEIFSADNKTRTDEDNTTNQSYNIFDSPRGALISGMAVSMILSLRSSLLATENAASCLQRLLNFPEKIDVRKIIEKAKSLQTLALDDDVRSSALSINDGFDQSISPAVPARTNSFPSGSTSPKSPLIITPQSYWEDQWRVLHKAVEEEKKSPSQIQKKKPWFRVKRLFRTESEPTQSAKASNGKSEVKVSSVARNLLADFNRQLISEPEEANPIDVVKNEDSSIRETEDINTDFETAAEESIVMEENSSDVFSDPNSPLRDSNYIENDSDSSNESNLFPDETVKDQETSVADSPLSISSQPSMEFIVSVSKDQETSVVDSPLPVSSQPSIEFPVTQSNDEDNAADKSVAIIKERSKVLPGKFQWFWKFGRNLTAEETRCNGVESSKTDLVCSSESQSLPQASSSGSKGDTDQNVMNTLKNLGNSMLEHIQVIESVFQQERGQVQAGLMENLSKTNLVEKGQVTAMTALKELRKISNLLLEM >fgenesh2_kg.7__1196__AT4G29930.1 pep chromosome:v.1.0:7:5060632:5064059:-1 gene:fgenesh2_kg.7__1196__AT4G29930.1 transcript:fgenesh2_kg.7__1196__AT4G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7MCG2] MDDLDHEYKNYWETTMFFQNQELEFDSWPMEEAFSGSGDSSSPDGAATSPASSKNVVSERNRRQKLNQTLFALRSVVPNISKLDKASVIKDSIDYMQELIDQEKRLEAEIRELESRSLLLENPIRDYDCANNFAENQLQDFSDNNGLRSKKFKHMGYDTRVQHYPIEVLEMKVTWMGEKTVVVCITCSKKRETMLQLCKVLESLNLNILTTNFSSFTSRLSTTLFLQADEEERSAVEAKIQMAIAAYNDPNCLINF >fgenesh2_kg.7__119__AT4G39150.1 pep chromosome:v.1.0:7:429590:431481:-1 gene:fgenesh2_kg.7__119__AT4G39150.1 transcript:fgenesh2_kg.7__119__AT4G39150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MGB9] AWQVHPDKNHGDPQAAKNFQILGEAYQVLSDPEKRTAYDKFGKQGVQQDAMVDPAAVFGMLFGSELFEEYVGQLALASIASIDAELESYEPEIRKQMLREKIKAMQNDRVDKLVATLKIKLEPFVEGQTDEFVNWATAEAKRLSTAGFGEAMLHTVGYIYTRKAAKELGKDKRYMKVPFLAEWVRDKGHQVKSQVMAASGAVSLLQLQDEVSKLNHGENKEDNIQQALEAKKDAMLQSLWQINVVDIESTLSRVCQAVLKDPSVSKDILRARARGLRKLGNIFQGSKKAYSRENSLRHEEEEATVKPHTGDSSKPAT >fgenesh2_kg.7__11__AT4G38190.1 pep chromosome:v.1.0:7:24394:28085:-1 gene:fgenesh2_kg.7__11__AT4G38190.1 transcript:fgenesh2_kg.7__11__AT4G38190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPSQTSKKVRNNSGSGQTVKFARRTSSGRYVSLSRDNIELSGELSGDYSNYTVHIPPTPDNQPMATKAEEQYVSNSLFTGGFNSVTRAHLMDKVIDSDVTHPQMAGAKGSSCAMPACDGKVMKDERGKDVMPCECRFKICRDCFMDAQKETGLCPGCKEQYKIGDLDDDTPDFSSGALPLPAPGKDQRGNNNNMSMMKRNQNGEFDHNRWLFETQGTYGYGNAYWPQDEMYGDDMDEGMRGGMVETADKPWRPLSRRIPIPAAIISPYRLLIAIRFVVLCFFLTWRIRNPNEDAVWLWLMSIICELWFGFSWILDQIPKLCPINRSTDLEVLRDKFDMPSPSNPTGRSDLPGIDLFVSTADPEKEPPLVTANTILSILAVDYPVEKVSCYLSDDGGALLSFEAMAEAASFADLWVPFCRKHNIEPRNPDTYFSLKIDPTKNKSRIDFVKDRRKIKREYDEFKVRINGLPDSIRRRSDAFNAREEMKALKQMRESGGDPTEPVKVPKATWMADGTHWPGTWAASTREHSKGDHAGILQVMLKPPSSDPLIGNSDDKIIDFSDTDTRLPMFVYVSREKRPGYDHNKKAGAMNALVRASAILSNGPFILNLDCDHYIYNCKAIREGMCFMMDRGGEDICYIQFPQRFEGIDPSDRYANNNTVFFDGNMRALDGVQGPVYVGTGTMFRRFALYGFDPPNPDKLLEKKESETEALTTSDFDPDLDVTQLPKRFGNSTLLAESIPIAEFQGRPLADHPAVKYGRPPGALRVPRDPLDATTVAESVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDSFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNAILASKRLKFLQRLAYLNVGIYPFTSLFLILYCFLPAFSLFSGQFIVRTLSISFLVYLLIITICLIGLAVLEVKWSGIGLEEWWRNEQWWLISGTSSHLYAVVQGILKVIAGIEISFTLTSKSGGDDNDDIYADLYIVKWSSLMIPPIVIAMVNIIAIVVAFIRTIYQAVPQWSKLIGGAFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWAGLIAITISLLWTAINPNTGPAAAAEGVGGGGFQFP >fgenesh2_kg.7__1200__AT4G29905.1 pep chromosome:v.1.0:7:5082248:5082603:1 gene:fgenesh2_kg.7__1200__AT4G29905.1 transcript:fgenesh2_kg.7__1200__AT4G29905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEVVYHEEKTELGRQQAPGMCPYCGGKVSAVDIETKWLFCFLPLCFKVKRKYSCSSCDRRLVLYY >fgenesh2_kg.7__1201__AT4G29900.1 pep chromosome:v.1.0:7:5094027:5101993:1 gene:fgenesh2_kg.7__1201__AT4G29900.1 transcript:fgenesh2_kg.7__1201__AT4G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7MCG5] MSGQFNNSPRGEDKDVEAGTSSFTDYEDSPFDIASTKNAPVERLRRWRQAALVLNASRRFRYTLDLKREEDKRQMLRKMRAHAQAIRAAHLFKAAASRVTGVTSPPPTPGSGDFGIGQEQIVSISRDQNIGALQELGGASIKLMHVRGLSDLLKTNLEKGIHGDDDDILKRKSAFGSNTYPQKKGRSFWRFVWEASQDLTLIILIVAAAASLALGIKTEGIQKGWYDGISIAFAVLLVIVVTATSDYRQSLQFQNLNEEKRNIRLEVTRDGRRVEISIYDIVVGKLHDFFDAVPADGVLVAGHSLAVDESSMTGESKIVQKNSTKNPFLMSGCKVADGNGTMLVTGVGVNTEWGLLMASVSEDNGGETPLQVRLNGVATFIGIVGLTVAGVVLFVLVVRYFTGHTKNEQGGPQFIGGKTKFEHVLDDLVEIFTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVECYAGFQKMDPPDSSSKLPSAFTSRLVEGIAHNTTGSVFRSETGEIQVSGSPTERAILSWAIKLGMDFDALKSESSAVQFFPFNSEKKRGGVAVKSPDSSVHVHWKGAAEIVLGSCTHYMDESESFVDMSEDKMAGLKEAIDDMAARSLRCVAIAFRTFEADKIPTDEEQLSRWVLPEDDLVLLAIVGIKDPCRPGVKNSVLLCQQAGVKVRMVTGDNIQTAKAIALECGILASDSDASEPNLIEGKVFRSYSEGERDRICEEISVMGRSSPNDKLLLVQSLKRRGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKEKSDIIILDDNFESVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISAGDVPLTAVQLLWVNLIMDTLGALALATEPPTDHLMDRAPVGRREPLITNIMWRNLFIQAMYQVTVLLILNFRGINILHLKSKPNAERVKNTVIFNAFVICQIFNEFNARKPDEINIFRGVLRNHLFVGIICITTVLQVVIVEFLGTFASTTKLDWEMWLVCIGIGSISWPLAVIGKLIPVPETPVSQYFRINRWRRNSSGNLSS >fgenesh2_kg.7__1204__AT4G29870.1 pep chromosome:v.1.0:7:5106430:5107100:-1 gene:fgenesh2_kg.7__1204__AT4G29870.1 transcript:fgenesh2_kg.7__1204__AT4G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSDSQTLATGSATSDESSIDPIFHILRIIPFSFLRPPRLRLKLPSFTLPSPMTVFSLILLTYFLVVSGFVYDVIVEPPGIGSTQDPITGSVRPVVFMSGRVNGQYIIEGLSSGFMFVLGGIGIIMLDLALDKNRAKSVKASYATAGISSIVIAYVMSMLFIRIKIPGYLH >fgenesh2_kg.7__1205__AT4G29860.1 pep chromosome:v.1.0:7:5107370:5111616:1 gene:fgenesh2_kg.7__1205__AT4G29860.1 transcript:fgenesh2_kg.7__1205__AT4G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2757 [Source:UniProtKB/TrEMBL;Acc:D7MCG9] MSKRPPPDPVAVLRGHRHSVMDVSFHPSKPLLFTGSADGELRIWDTIQHRAVSSAWAHSRANGVLAVAASPWLGENKIISQGRDGTVKCWDIEDGGLSRDPLLILETSAYHFCKFSLVKKPKTSLQEAVSHLQNCDEQDDGDTRSVQLGDDSERSVEDSGLLQDGDHAEGTTFVAVVGEQPTEVEIWDINTGDKIIQLPQSSLDESPNASTKGRGMCMAVQLFCPPESQGFLHVLAGYEDGSMLLWDIRNAKIPLTSVKFHSEPVLSLSIASSCDGGISGGADDKIVMYNLNHSAGSCTMRKEITLERPGVSGTSIRPDGKIAATAGWDHRIRVYNYRKGNALAILKYHRATCNAVSYSPDCELMASASEDATVALWKLYPPHKSL >fgenesh2_kg.7__1207__AT4G29840.1 pep chromosome:v.1.0:7:5112107:5113962:1 gene:fgenesh2_kg.7__1207__AT4G29840.1 transcript:fgenesh2_kg.7__1207__AT4G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine over-accumulator [Source:UniProtKB/TrEMBL;Acc:D7MCH0] MASSCLFNASVSSLNPKQDPIRRHRSASLLRHRPVFVSCTADGNNNKAPIETAVKPPHRTEDNIRDEARRNRSTAVNPFSAKYVPFNAAPGSTESYSLDEIVYRSRSGGLLDVEHDMEALKRFDGAYWRDLFDSRVGKSTWPYGSGVWSKKEWVLPEIDDDDIVSAFEGNSNLFWAERFGKQFLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMKRPVVGVGCASTGDTSAALSAYCASAGIPSIVFLPANKISMAQLVQPIANGAFVLSIDTDFDGCMKLIREITAELPIYLANSLNSLRLEGQKTAAIEILQQFDWQVPDWVIVPGGNLGNIYAFYKGFKMCHELGLVDRIPRMVCAQAANANPLFLHYKSGWKDFKPMTASTTFASAIQIGDPVSIDRAVYALKKCNGIVEEATEEELMDAMAQADSTGMFICPHTGVALTALFKLRNQGVIAPTDRTVVVSTAHGLKFTQSKIDYHSNAIPDMACRFSNPPVDVKADFGAVMDVLKSYLGSNTLTS >fgenesh2_kg.7__1209__AT4G29820.1 pep chromosome:v.1.0:7:5115774:5117491:1 gene:fgenesh2_kg.7__1209__AT4G29820.1 transcript:fgenesh2_kg.7__1209__AT4G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEARALDMEETSEENTTRRNHVVHDLMVELYPLSSYYFSSRDALRVKDEIISDRVIRLKSNYAAHGLRTCVEAVLLVELLKHPHVLLLQYRNSIFKLPGGRLRPGESDIEGVKRKLASKLSVNENVVVPGLEVGECIGMWWRPNFETLMYPFLPPNVKHPKECTKLFLVRLPVNQQFVVPKNFKLLAVPLCQLHENEKTYGPIISQIPKLLSKFSFNMMEI >fgenesh2_kg.7__120__AT4G39160.1 pep chromosome:v.1.0:7:432794:435859:1 gene:fgenesh2_kg.7__120__AT4G39160.1 transcript:fgenesh2_kg.7__120__AT4G39160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7MGC0] MDLDFDDQPSDHAAPAVRAGARFKPKGRPQPKKKQVSLSTSQTTISTDAAKEKLSTQNEDTVSLDGSSAIPSNALPSETNMPDSETIIKSTTGTLSEENVDVFPRGVHWSTRPSILRACNNVDLVGKSCGDGIEAASSFPDDPRTQDSTIFGDYVTPETREDEGRVDMETLDIVQEEGTTSSYVQHTGKLQPKPRILDTVVEEPEPHYSAGDTGYFPMGTNESDFMVNVESRNGFSSYGDTQEEELNIPEAPRDMVHEMEARNASGGWEHEEQGVSPCTNNTVTGEEENCLGKTVEEQSGRESKTRKSKRATSRKRKKTSEEPNKSSEKTEQKKFKHSSRRQKRTLEKELLETPDDEIRFLPLRDMLRLVEYKEWMEKKEAKGAGVQPSQESNMNASESQYHSQGFDDEDEFGEFGIESSENQENNVVKPDSPVNYQTYMNKTSRTRWSKQDTELFYEGIQEFGSNLSMIQQLFPNRTREQMKLKFKLEERRNPLKLNDALSSRSKHFTHFKNVIKKLQQEAAAAKEAEEEEAGTEAETPDVPENEEPEKSEETERASDGVAAGVKESDGGDIENGVRSDGGDECEDDEDDFWSSYKSDM >fgenesh2_kg.7__1210__AT4G29810.2 pep chromosome:v.1.0:7:5118024:5120195:1 gene:fgenesh2_kg.7__1210__AT4G29810.2 transcript:fgenesh2_kg.7__1210__AT4G29810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMKK2 [Source:UniProtKB/TrEMBL;Acc:D7MCH3] MKKGGFSNNLKLAIPVAGEQSITKFLRKCFCSLCRTQSGTFKDGDLRVNKDGVRIISQSEPEVLSPIKPADDQLSLSDLDMVKVIGKGSSGVVQLVQHKWTGQFFALKVIQLNIDEAIRKAIAQELKINQSSQCPNLVTSYQSFYDNGAISLILEYMDGGSLADFLKSVKTIPDSYLSAIFRQVLQGLIYLHHDRHIIHRDLKPSNLLINHRGEVKITDFGVSTVMTNTAGLANTFVGTYNYMSPERIIGNKYSNKSDIWSLGLVVLECATGKFPYAPPNQEETWTSVFELMEAIVDQTPPALPSGNFSPELSSFISTCLQKDPNSRSSAKELMEHPFLNKYDYSGINLASYFTDAGSPLATLGNLSGTFSV >fgenesh2_kg.7__1213__AT4G29800.1 pep chromosome:v.1.0:7:5120879:5122616:1 gene:fgenesh2_kg.7__1213__AT4G29800.1 transcript:fgenesh2_kg.7__1213__AT4G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:D7MCH4] MNRRYDKPPPLSVSSKGKKKHFVNHTAPNTPGNYERTQTSPTLSTTRSHEPDDKLNYEIFSILESKFLFGYEDPRLLWIPRSPLRPGDSEAGPSPRSPLTPNGVVLPGTPSSSFRSPRGRICVLSIDGGGMRGLLAGKSLIYLEQMLKEKSGDPNARIADYFDVAAGSGVGGVFAAMIFATRDGNRPIFKAEDTWKFLVENAEGFYRSGGGSGGGGAGAAIKRVIRSGSGSGSSSVTAATAKLEKAMKASFADLTLKDTLKPILISCYDLSSTAPFLFSRADALESDSFDFRLRDICRATWAEPGTFDPVRTCSVDGKTRCVAVGGGLAMSNPTAAAITHVFHNKQEFPAVKGVEDLLVLSLGTGQLFEVNYDYEQVKNWRVKEWARPMARISGDGSAEFVDQAVAMGFGPYRSSNYVRIQANGSRLGACGPNVDTDPRAENVKKLTEIADEMLKQNNVESVLFGSKRIGEMSNSEKLEWFASELVIEQQRRSVRASPTVTLKQAVSKTNRNAINATLTLISKER >fgenesh2_kg.7__1214__AT4G29790.1 pep chromosome:v.1.0:7:5122864:5130586:-1 gene:fgenesh2_kg.7__1214__AT4G29790.1 transcript:fgenesh2_kg.7__1214__AT4G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGKFDYSSGGPDRPLYRSNLAAQMERSSSFRETMEHPVSSSHPSMLRSTSPIAQTDVTNFFQCLRFDPKVVAADHKSIRQGDFKRHVNIALGIQGDESPSTPLKGKLISSPIPEEIKRLKAGLRENNVKARERLKIFNEASSVFNKFFPSVPTKKRSRPEGFSNDRSGDRLALGPGMGKMGIQGQTLPGCFELDQQKLDERPKSGALNKRTRTSMMDVRSNAIVRQSAGVDREKDTMRLVNHNAVQGEDRSLIGIDGWEKSKMKKKRSGIKTDGPSSLASIKAVDGYRDLKQGIPKSVGDTRSRLNGDSNMLRHGAVNGAVPYGRSDNLSQQTGLAARSLLSRDSDHSSLYNEKRERATGSDKERVNLRAVNKSNIHDESNSSSPTSNPKISASVRGPRSGSGLPPKLSPVVHNTPSPSDWDIVGCTNKPPLLSGVPNRKRMTSNRSSSPPVTQWASQRPQKISRVARRTNLVPIVSSNDDIPSSDNMSDVGCSETSFGFYRRSPAASPQMKLKGENSLSTTALSGSEEFSPPEIKSKDKGKQSDEVNGKTSQNVPKISIAGLQSRKNKLVSGEELGDGVRRQGRTGRGFTSTRSVNPMGVMKHGTAKQLRSARNSSDKNESRAGRPPTRKLSDRKAYKRQKNTATNATTLDFLDDGHEELLAAVNSAINFAQNFPSSFWKQMERYFCFISDAHINFVKQQGELSSMGSTPGGTSSDFDSHEIFPEELASSKVDSKAAPLYQRLLSALISEDSTSVNEDLQFDGFGADVESEFSVLNHMVEFNGYRSDRLEFDELEDDVSVIPLKGVNSSAHHVNGRFSDHISIDFSDIQYETLGIDEKIYMEAQSIGICLEPMPSISNVEDEGIVDDIKTLEEAICEVGSKKKEMLNRLLKPALEMKERQEKEFEWLGYEKLIEMAYEKSKASRRHHSASGKSSANKISKQAAFAFVKRTLERCRQFEETGKSCFSESTFKNIIIAGLTQIEDNPTDKEDILSASTPMGSQPSSSLALPITQSTENHANSSENALREGKDETMWSNRMKKRELLLDDVGGAPLSSSTKGKRSERDRDGKGQASSSRGGGTNKIGRPALVNAKGERKSKTKPRQKITPMFSSSSSVNMVEQTRTSLPKTTNSNNSEYSNLETLDESEPLDLSHLQIPDGLGGPDDFDTQAGDLSSWLNIDDDALPDTDDLLGLQIPMDDLSDLNMMV >fgenesh2_kg.7__1216__AT4G29770.1 pep chromosome:v.1.0:7:5136064:5140431:-1 gene:fgenesh2_kg.7__1216__AT4G29770.1 transcript:fgenesh2_kg.7__1216__AT4G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLQTFEETAGLCHPDCVKASNEQEDYDASQSAALIAVSLISSARVIFKLDSEYTEYSAQYLVDNVGKEEVEGEMDQQSCQYTVENLLRYLVENVWIKKEDGQGEMDQQRREFTVKDCFEFAFKKGLPRSVHWAHVGCTFKAPPFACQIPRVPMKGEVIEATDLGEALKLGMQQPVGARLHVFSPEFDSVGEGIYDGPSGNGTSYVGLRDVIMVEAERIKGETVVTVQICYKKKTSFVKVSTRSMILPLNGDDESQVREPTCLLVDFCIPRFSIN >fgenesh2_kg.7__1222__AT4G29730.1 pep chromosome:v.1.0:7:5169173:5172691:1 gene:fgenesh2_kg.7__1222__AT4G29730.1 transcript:fgenesh2_kg.7__1222__AT4G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEAAATVQATRPRRVSRTPVTAILTKKRGRKPKKNDESHSPFSQQQSQKATVDDKYSQWKTLLPILYDSFVNHTLVWPSLSCRWGPQLEQAASKTQRLYLSEQTNGSVPNTLVIANCESVNRQLNEEAHSPTVKKYKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDTETQPDRYAVLGAPHSRPDLLLTGHQDNAEFALAMCPIEPFVLSGGKDKSVVLWSIQDHIAMAGTDSKSPGSSFKQTGEGSNKTGCPSVGPRGVYHGHEDTVEDVAFCPSSAQEFCSVGDDSCLMLWDARTGTGPAIKVEKAHDADLHCVDWNPHDNNLILTGSADNTVRVFDRRNLTSNGVGSPIYKFEGHKAAVLCVQWSPDKSSVFGSSAEDGLLNIWDYDTVGKKSERAPKTPAGLFFQHAGHRDKLVDFHWSPMDPWTIVSVSDNCESSGGGGTLQIWRMSDLIYRPEDEVLTELEKFKSHVFTCTSKP >fgenesh2_kg.7__1223__AT4G29720.1 pep chromosome:v.1.0:7:5176345:5178195:1 gene:fgenesh2_kg.7__1223__AT4G29720.1 transcript:fgenesh2_kg.7__1223__AT4G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPAO5 [Source:UniProtKB/TrEMBL;Acc:D7MCI6] MAKKARIVIIGAGMAGLTAANKLYTSSNNMFELSVVEGGSRIGGRINTSEFSSEKIEMGATWIHGIGGSPVYRIAKETGSLVSDEPWECMDSTIDKAKTFAEGGFEIEPSIVESISGLFNALMELAQGKEISQSDADLGRLSHIYETATRVCSNGSSSVGSFLKSGFDAYWDSISNGGDDGVKGYGKWCRKSLEEAIFTMFSNTQRTYTSADDLSTLDFAAESEYQMFPGEEITIAKGYLSVIHHLASVLPQGVIQLNRKVTKIEWQSNEVKLHFSDGSVVFADHVIVTVSLGVLKAGIESDGELFSPPLPDFKSDAIKRLGYGVVNKLFVEMSQRKFPSLQLVFDREDSEFRFVKIPWWMRRTATITPIHSNSKVLLSWFAGKEAIELEKLTDEEIIDGVMTTISCLTGKEVKRDTAKTSRPLTNGSLNDDDDEVMKITKVLKSKWGSDPLFRGSYSYVAVGSSGDDLDAMAEPLPKINKKVGQVNGHDQAKVHELQVMFAGEATHRTHYSTTHGAYYSGLREANRLLKHYKCNF >fgenesh2_kg.7__1225__AT4G29700.1 pep chromosome:v.1.0:7:5191240:5192885:1 gene:fgenesh2_kg.7__1225__AT4G29700.1 transcript:fgenesh2_kg.7__1225__AT4G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I phosphodiesterase/nucleotide pyrophosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7MCI8] MAKTKTFLSFKLSLILLYIFIIAIIAAAAAANARTDGLDSPSSKTWRPWPFKKLNKPVVLMISCDGFRFGYQFKTETPNIDLLISRGTEAKHGLIPVFPTMTFPNHYSIATGLYPAYHGIIMNKFTDPVTGEVFNKGLQPKWWLGEPLWVTAANQGFKAVTYFWPGSEVPKGSWTCPEGYCPRFNLSIPLEERVVSVLSHFDHPEDEIPDLLMLYFDEPDQSGHNYGPDDPRVMTAVSRVDKMIGRVIKGLKKREIFDEVHVILLGDHGMVTNCECNEKAIYIDDLADWIKIPAAWIQAYSPVLAINPQWGKNVKNQDEKNAEVVAKMNEALSSGKVKNGEFLKVYLKEKLPERMHYSESSRIPPIIGMVGEGLIVRQNRTNAQVCYGDHGYDNELFSMRTIFVGHGSRFSRGKKVPSFENVQIYNVVAELLGLRPAPNNGSSLFPRSILLPFGATGEIK >fgenesh2_kg.7__1226__AT4G29690.1 pep chromosome:v.1.0:7:5193967:5195554:1 gene:fgenesh2_kg.7__1226__AT4G29690.1 transcript:fgenesh2_kg.7__1226__AT4G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I phosphodiesterase/nucleotide pyrophosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7MCI9] MTKSKPGRSCFSGYTMYKLSLTVLIVLVVAVTADGSDSQLSNARRPQPSKKLNKPVVLLISCDGFRFGYQFKTETPNIDLLISRGTEAKTGLIPVFPTMTFPNHYSIATGLYPASHGIIMNKFTDPVSGELFNRNLNPKWWLGEPLWVTAVNQGLKAATYFWPGADVHKGSWNCPKGFCKAPYNVSVPLEERVDTILNYFDLPESEIPDFMALYFDEPDIQGHEYGPDDPRVTEAVSKVDNMIGRIIRGLKKRKVFSDVHVILLGDHGMVTNCDKKVIYIDDLADWIKIPADWIQDYSPVLAMNPRWGKDVKNPGEKNAELVAKMNEALSSGKVANGEFLQVYLKENLPQRLHYSDSSRIPPIIGMVGEGLMVKQNRTYVQECSGTHGYDNMFFSMRSIFIGYGPRFRSGRKVPSFENVQVYNAVAEILGLRPSPNNGSSLFTRSLLLPFGETSQVE >fgenesh2_kg.7__1227__AT4G29680.1 pep chromosome:v.1.0:7:5197322:5199104:1 gene:fgenesh2_kg.7__1227__AT4G29680.1 transcript:fgenesh2_kg.7__1227__AT4G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I phosphodiesterase/nucleotide pyrophosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7MCJ0] MISGDTLSAKKPKSVPPEEDQDSPSQSIALLDNHTDSSDSDSSTRSISSCFIFTSLLLVTCIALSAASAFAFLFFSSQKPVLSLNQVSKSPAFDRSVARPLKKLDKPVVLLISSDGFRFGYQFKTKLPSIHRLIANGTEAETGLIPVFPTLTFPNHYSIVTGLYPAYHGIINNRFFDPETGNVFTMASHEPEWWLGEPLWETVVNQGLKAATYFWPGSEVHKGSWNCPQGLCQSYNGSVPFDDRVDTILSYFDLPSSEIPSFMTLYFEDPDHQGHQVGPDDPQITEAVVNIDRLIGRLIDGLEKRGIFEDVTMIMVGDHGMVGTCDKKVVVLDDLAPWIKIPSSWVQYYTPLLAIKPPSGYDAADIVAKINEGLSSGKVENGKYLKVYLKEDLPSRLHYVDSDRIPPIIGLVDEGFKVEQKNSKAKECGGAHGYDNAFFSMRTIFIGHGPMFSKGRKVPSFENVQIYNVISSILGLKAAPNNGSDEFPANVLLPRM >fgenesh2_kg.7__1229__AT4G29670.1 pep chromosome:v.1.0:7:5199639:5201287:1 gene:fgenesh2_kg.7__1229__AT4G29670.1 transcript:fgenesh2_kg.7__1229__AT4G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7MCJ1] MAGVVRLTTTSVQAIRVSSSFSSFATALNPLQPCLPPNSNLNSDKRLRLLSSSPSCSSSHYHPSSGFGSHSLLKRPKSQVFRVKVDENVAETEPPKWWERNAPNMVDIHSTEEFLSALSGAGDRLVIVEFYGTWCASCRALFPKLCKTAVEHPDIVFLKVNFDENKPMCKSLNVRVLPYFHFYRGADGQLESFSCSLAKFQKIKDAIQLHNTDRCSLGPAKVPEGLTLAKPAGSS >fgenesh2_kg.7__122__AT4G39190.1 pep chromosome:v.1.0:7:444001:445178:-1 gene:fgenesh2_kg.7__122__AT4G39190.1 transcript:fgenesh2_kg.7__122__AT4G39190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSTQKTKSRKMKKRLAQKSRVEIILDLFFWAIEIVVVLVTVAKLSYELVVTFEDSSVASVILANRSLAFVVGNGIVIALIAKSGLLLNQELDPKCKSNDLYEEFVLESSRRGGVSQAEMRSRETQSEAENDAKQSITENKAKENEEKQSISESRVKQSVTEKKTKQIISEKKVKQSKPERLTKQSVVEHKDVTVKMEKQNLTEKRQIQSYQRSQSENLKVLEKSSCRRLKRSETDASAERVDSDDELRYKIESFIARQRRNQTDE >fgenesh2_kg.7__1231__AT4G29650.1 pep chromosome:v.1.0:7:5227818:5228573:-1 gene:fgenesh2_kg.7__1231__AT4G29650.1 transcript:fgenesh2_kg.7__1231__AT4G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine deaminase 4 [Source:UniProtKB/TrEMBL;Acc:D7MCJ9] MVQQLKFILTLEEAASKGVSSPSDFLKLKEDAIILARAPISGVQDAVLALASSDRVFLGVNVEFEGLPLHHSISSEQFLVANLALNFEQELHACLLPSRFYLESFEEDVPLLLVPQHNRLALSDPVSAAEICANREHCSHPKCRALTAANKSYAQYSKCPSGVALICEGEVYKGWCIESAAYNLSLGPVQAALVDFVARGEGKGFEMITGAVLMEMNDANVSQEATARVLLETIAPGCVLNVFHCYKTAEN >fgenesh2_kg.7__1232__AT4G29620.1 pep chromosome:v.1.0:7:5239942:5244079:-1 gene:fgenesh2_kg.7__1232__AT4G29620.1 transcript:fgenesh2_kg.7__1232__AT4G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRPNLLSHLQDLVTKIKNMTMAQDRYKFVFTANEAAAEGVTEPIRLPNLIRKAMSLARAPISKYKVGAVGRTSSGRVYLGVNVDFPGLPLHHSIHAEQFLVTNLALNSEKDLCELAVAISVDGKEFGTPCGHCRQFLMEMSNALDIKIMSKPKHEAGSFSSLRHLLPNVLPKGSPFLLEKRDNCLTLSGPAGEICSSDCSHLMCKALAAANNSFSPYTESPSGVALLDNDGKWYYGWYIESVASNPSFGPVQAALVDFVTRSRGKRFNKIVRAVLVEKNNAIVSQERTAKMILDTIAAPNCDFKVFHCSVDGAKRLKYLRDTLVIDTSVGDYTGLHY >fgenesh2_kg.7__1233__AT4G29600.1 pep chromosome:v.1.0:7:5247628:5248557:-1 gene:fgenesh2_kg.7__1233__AT4G29600.1 transcript:fgenesh2_kg.7__1233__AT4G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDKYKFVFTANEAASEGVTEPIRLPKLIRKAMSLARGQISKYKIGAVGRASSGRVYLGVNVDFPGLPLHHSIHPEQFLVTNLALNFEKGLRQLAVAISNDCIEFGAPCGNCRQFLMETSNEVDIKILLKSKHEAEGSFGKLKLLLPYRFSPDDVLPKGSPLLLEKRDNCLILSRSAGEICSSDCSHLKCKALAAANNSFSPYTDSPSGVALRDEDGILYRGWYIESVAYSPSLGPVQAALVDFVARSRGKGFDKIVEAVLVEKNNARVSQEGMAKMILDTIAAPNCDFKVFHCDVDFQKSILVNSDR >fgenesh2_kg.7__1234__AT4G29590.1 pep chromosome:v.1.0:7:5249978:5251812:1 gene:fgenesh2_kg.7__1234__AT4G29590.1 transcript:fgenesh2_kg.7__1234__AT4G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTANFLSLRSNPLPPIFSSTGNSPFLRASSALNLPTAASKFHRWIRASSRRRLVLGGFSGASLWMNNNMSGNFGGKSFIASARQTNPSPVEEALNKVNWPETFPFKEEDFQRFDESSDSTFYEAPRFVTHIDDPSIAALTKYYSKVLPQSDTPGVSILDMCSSWVSHYPPGYRQERIVGMGMNEEELKRNPVLTEYIVQDLNLNPKLPFEDNSFQVITNVVSVDYLTKPLEVFREMNRILKPGGLALMSFSNRCFFTKAISIWTSTGDVDHALIVGSYFHYAGGFEAPQAVDISPNPGRSDPMYVVYSRKLPMA >fgenesh2_kg.7__1235__AT4G29560.1 pep chromosome:v.1.0:7:5256441:5265008:1 gene:fgenesh2_kg.7__1235__AT4G29560.1 transcript:fgenesh2_kg.7__1235__AT4G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWIPLLDIFLKSPSPETEASLWLDQASNSSSSSSSAAAPINRSSFVSLLKKQCDQNSSPATKKVLFIETLPNMVQSKILSFLRLEYQRFCVSDLVWLAREMLCGGGSKVVDFWVQRDAQNLLDRIPKPKFDWISHLDMDSADDGGIGEDFDSVPDWLSEKVGSAGTILPWLPVSYDDLDSEMLVVDSWNGKEMTQIQEDMEEDQREVVDNIDHTMNVGLQADDHEMAVNLRAQVTNSESTSEVLTLCNEIRKLCLEKGRDSFRVLALIEPWNADDETAAVLLSNLQSGSEEDELGWPSQVLCSIVLPKFVSLEKSASRVLMSSTIEFCKIHQRAAEYALVFPLILRREGINNFICEVISRVLKECLHLGQISGFCQKLLCGRTEERRFMFLPCHRELISDELIWNESLFILFQNILIHDVPLSQDSVDCLVSKVQELAERYSKSLKFGNFLLHFTAKFAPMLQAHKYQLTESVKCTNSLVTKSILSKLNAL >fgenesh2_kg.7__1236__AT4G29540.2 pep chromosome:v.1.0:7:5267180:5269382:-1 gene:fgenesh2_kg.7__1236__AT4G29540.2 transcript:fgenesh2_kg.7__1236__AT4G29540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl--UDP-N-acetylglucosamine O-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MCK7] MISLLKAREKLLSPLFSSSIQRLSSSLSYSREDSRDSEVFIHPSAVVHPNAVIGKGVSVGPYCTVGSSVKLGNGCKLYPSSHIFGNTEMGESCVLMTGAVVGDELPGYTFIGGNNIIGHHAVVGVKCQDLKYKHGDECFLCIGKNNEIREFCSIHRSSKPSDKTVIGDNNLIMGSCHIAHDCKIGDRNIFANNTLLAGHVVVEDNTHTAGATVVHQFCHIGSFAFIGGGSVVSQDVPKYMMVTGERAELRGLNLEGLRRNGFTMSELKSLRAAYRKIFMSTETVPLSLEERLMKMEQNQELYSVPAVSAMLQSIRDSFTESRRGICKFRQWLDSTA >fgenesh2_kg.7__1238__AT4G29530.1 pep chromosome:v.1.0:7:5269750:5271051:-1 gene:fgenesh2_kg.7__1238__AT4G29530.1 transcript:fgenesh2_kg.7__1238__AT4G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2,3-diketo-5-methylthio-1-phosphopentane phosphatase family [Source:UniProtKB/TrEMBL;Acc:D7MCK8] MERIVIIFDFDRTLIDGDSDRWVVTEMGLTEIFHQLRFTLPWNRLMDRMMMEIQSQGRSIDDIESCLKKMPIDSHIIEAIKSTKSLGCDLKIVSDANQFYIEKILEQHDLLDCFSEIYTNPTSLDENGNLRILPYHSDALPPHSCNLCPSNLCKGLVMDHIRASSSNDQIPRRFIYLGDGGGDFCPTLKLRECDFVMPRTNYPLWKKISDNALLIKAEVKEWSSAEELQRILLQLVSTITKE >fgenesh2_kg.7__1239__AT4G29520.1 pep chromosome:v.1.0:7:5271486:5273286:1 gene:fgenesh2_kg.7__1239__AT4G29520.1 transcript:fgenesh2_kg.7__1239__AT4G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMNRVLIALTLALLASSALLPVSDGAKKPSSAPRKEDVPYIKCQVCEKLASRLHQLVKEKQQQISPKKISEYEIIEIAENVCNLKKEEADWMLKIDIVEKGDKLVLVEQAEEGMCNSKCKTIENACQKVIGYSDTDVAEFIYKSKPDLVSLVNHLCNDLTDACSKKPPPVPKDRVPGEPFVAKPSKDAEMDKILRSMQGMPGAPGMKVYSREDIEKGNIGNEDEDGDDDEDEEEDEKFPKNLGKVLKEKESKTEELKKTIAKEFKKKGEVLKIHAQKVSNRVRRWWKGLRTSSSSKKPKSGKSEL >fgenesh2_kg.7__123__AT4G39200.2 pep chromosome:v.1.0:7:450440:451644:1 gene:fgenesh2_kg.7__123__AT4G39200.2 transcript:fgenesh2_kg.7__123__AT4G39200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKVPPPSSKPAKSGGGKQKKKWSKGKQKEKVNNMVLFDQATYDKLLTEAPKFKLITPSILSDRMRINGSLARRAIRELMAKGVIRMVAAHSSQQIYTRATNT >fgenesh2_kg.7__1240__AT4G29510.1 pep chromosome:v.1.0:7:5273206:5275655:-1 gene:fgenesh2_kg.7__1240__AT4G29510.1 transcript:fgenesh2_kg.7__1240__AT4G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNSNDNEHEFISFEPNQNTKIRFEDADEDEVAEGSGVAGEEVAQDESMFDAGESADTADVTDDTTSADYYFDSYSHFGIHEEMLKDVVRTKTYQNVIYQNKFLIKDKIVLDVGAGTGILSLFCAKAGAAHVYAVECSQMADMAKEIVKANGFSDVITVLKGKIEEIELPTPKVDVIISEWMGYFLLFENMLDSVLYARDKWLVDGGVVLPDKASLHLTAIEDSEYKEDKIEFWNSVYGFDMSCIKKKAMMEPLVDTVDQNQIVTDSRLLKTMDISKMSSGDASFTAPFKLVAQRNDYIHALVAYFDVSFTMCHKLLGFSTGPKSRATHWKQTVLYLEDVLTICEGETITGTMSVSPNKKNPRDIDIKLSYSLNGQHCKISRTQHYKMR >fgenesh2_kg.7__1241__AT4G29490.1 pep chromosome:v.1.0:7:5275779:5279326:-1 gene:fgenesh2_kg.7__1241__AT4G29490.1 transcript:fgenesh2_kg.7__1241__AT4G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:X-Pro dipeptidase [Source:UniProtKB/TrEMBL;Acc:D7MCL1] MSSLSPPPIPMELHAGNRQKLLDSIRRHLSNSNCSLDGFVFLQGGEEKNRYCTDHTELFRQESYFAYLFAVREPDFYGAIDVGSGKSILFIPRLPDDYAVWLGEIKPLSHFKETYMVDMVFYVDEIFQVFNEQFKGSGKPLLYLLHGLNTDSSNFSKPASFEGIEKFETDLTTLHPILAECRVIKSSLELQLIQFANDISSEAHIEVMRRVTPGMKEYQMESMFLHHSYMYGGCRHCSYTCICATGDNSAVLHYGHAAAPNDRTFEDGDLALLDMGAEYHFYGSDITCSFPVNGKFTSDQSLIYNAVLDAHNSVISAMKPGVNWVDMHKLAEKIILESLKKGSILTGDVDDMMVQRLGAVFMPHGLGHFMGIDTHDTGGYPKGVERPKEPGLKSLRTARDLLEGMVITVEPGCYFIKALLFPAMENATTSKFFNRETIERFRNFGGVRIESDLVVTANGCENMTNVPRKTWEIEAVMAGGPWPPTK >fgenesh2_kg.7__1242__AT4G29480.1 pep chromosome:v.1.0:7:5279448:5281050:1 gene:fgenesh2_kg.7__1242__AT4G29480.1 transcript:fgenesh2_kg.7__1242__AT4G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ATP synthase g subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7MCL2] MASKLIQVQSKACEASKFVAKHGTSYYRQLLEKNKQYIQEPATVEKCQELSKQLLYTRLASIPGRYETFWKEVDYAKNLWKNRSALKVEDAGIAALFGLECFAWYCAGEIAGRGFTFTGYYP >fgenesh2_kg.7__1243__AT4G29470.1 pep chromosome:v.1.0:7:5281258:5282635:1 gene:fgenesh2_kg.7__1243__AT4G29470.1 transcript:fgenesh2_kg.7__1243__AT4G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICGGALTHVALGLTAFLLFAVVHSQEKCSRTCIAQKCNVLSVRYGKYCGIGYFGCPGEPPCDDLDTCCMNHDNCVGVKGMTYVNCHKQFQRCVNELKKSIQQSNNQKVGFSKQCPYSTVIPTVYRGMNYGIFFSGIGNIIKPKKPASAAPVVEVDLARSKADTKDGLGKNQGPQTKDGSKVSVLMNPSPS >fgenesh2_kg.7__1244__AT4G29460.1 pep chromosome:v.1.0:7:5283068:5284120:1 gene:fgenesh2_kg.7__1244__AT4G29460.1 transcript:fgenesh2_kg.7__1244__AT4G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A2 gamma, secretory low molecular weight [Source:UniProtKB/TrEMBL;Acc:D7MCL4] MIPGVALTRVAFGLTAFLLLAAVSSQEKCSNTCIAQNCNSLGIHYGKYCGIGYFGCRGEPPCDDLDACCMTHDNCVDLKGMTYVNCHKQFKRCVNKLRKSIKRSNGQKIGFSTQCPYSIVIPTVFNGMDYGIFFSGIGNIFKPPVLGSVPVVEVDLSRSKVDTKDGLGTKLGLGTKEGSKVSASLNI >fgenesh2_kg.7__1247__AT4G29440.1 pep chromosome:v.1.0:7:5292874:5296896:1 gene:fgenesh2_kg.7__1247__AT4G29440.1 transcript:fgenesh2_kg.7__1247__AT4G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLHRSFKPAKCKIALQMAASRLKILKNKKDTHIKQLRRELAQLLESGQTQTAKIRVEHVVREEKTVAAYELVGIYCELLVARLGVIDSQKTCPNDLKEAVASVLYASQRLTDVAELSDIVKHFSAKYGKDFVSAAIGLQPDSGVSRLLVEKLSVKAPDGPTKIKILTEIATQHNVTWEAESLVESDPKETVLASGASSCHSQSATGIKPESSRIRNNQPPEFQAPATVNVSQNSYSSDGRSSSRMTSTDFNVGKTADHYHQDPKPSGDRTDGREHRHHNPGHGDSSPFETEFVDATSAARAAAESAERASFAARAAAELSSKERMMAMQNSKESRNSSSYDNLRNNAPHSRTSSSNVQSGGFAKEELLRSSNKQEDQSTTRAESSKKTVDELSENASWRRDHSRENSLEMRPNDSFAKIGREKQQPSMDEINQSSSEDVLNKKQSSRASSHSPSSNFSDDNDVTALDHIDSPSILEENRFQSTVGDRESYNDGPDVVVAPAFDDYSSFFDKPQFDTEDACHDEPEQGLGFSLLGSSSKTSDHMPTEISSWSFEGHIALRQPSSASTSQVLEKEKPSSPIFDDGPTSPPASLHEPEPEPSAKFDDYDRDSESEEDNLKNKGNISGHVEEKPKLKSQKPQMSEAPDDLGRYFFPSDTEDQGDDSKIQEESDTDNETTLPSYGMSPPKEKTWSKSVKEHVPTEVDPSRSSSFLTDRTELYTQKASNMDKRPSSIPPDSSSSDDESEVKLRERVSARYQDKRAESRTRSTHLHSRVSHEDLKEKIPTRASTRSQERRTHKTTPASASASYFHVISSDDEDEKEVYRDAARTQTKPSISISRRTKGQERRSSLVTAKTETVSHDQESPPKPSPEAKPLAKQQVSASSSSYLPKTDKASHDHESPPKLSTEAKPLAKQQGSASSLSFLPKTDKASHDQESTPKLGLKAKPAAKQQGSATSSSSLPKTEKVSHYRESPPKSSSEAKSLAKQEGLASSSSSLPKTVTSPDPETPAKEKASHVHPKLPDYDDIFAKLGALRR >fgenesh2_kg.7__1248__AT4G29430.1 pep chromosome:v.1.0:7:5297056:5298234:-1 gene:fgenesh2_kg.7__1248__AT4G29430.1 transcript:fgenesh2_kg.7__1248__AT4G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPS15AE [Source:UniProtKB/TrEMBL;Acc:D7MCL7] MGRRILNDALRTIVNAERRGKASVELKPISTVMSSFLRIMKEKGYIKNFQVYDPHRVGRITVDLQGRVNDCKALTYRQDVRAKEIEKYTERTLPTRQWGYVVITTPDGILDHEEAIKRNVGGQVLGFFY >fgenesh2_kg.7__1249__AT4G29420.1 pep chromosome:v.1.0:7:5298436:5300182:1 gene:fgenesh2_kg.7__1249__AT4G29420.1 transcript:fgenesh2_kg.7__1249__AT4G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MCL8] MDELPPELLVKILSRLNDSESLARCRVASKTLNSLSREVRAVNLICTLSRFLKSRSIVVVTPFKTIFRSLIENSCNIRSISIGVDKALIGMSFDDLNEEDSKDLYLTDVEFAKEWLPRVREDLEMLSISDFWIQSCWRKSDILALISSNCSKLVKLEVKNSWLSVVGLREMSNLRHLTLEFIRLDDENLEKVNDCFPFLQVLNLIGVGGLKEPRIHFLHLKSCHWTVSNAPLSLAIVAPNLLELKLKCNKPKSLILETPKLVKFHFSVEDAEGVGFGEFRDLNSLELISPDMYRLISNIRYGNKIRKLAVDSVKSIEQSERLELGLGTLLKAFPGISSLSLSPRTWSDIETHFQSQGLGNMKGTASLKQITARVQMSDHTNVHQTVSFIRSILNKYRGLTDMRLMIHQDKDPRVRSNLISACIMSNPGVSWKWGMWAEGGEDMWV >fgenesh2_kg.7__1250__AT4G29410.1 pep chromosome:v.1.0:7:5300526:5302252:1 gene:fgenesh2_kg.7__1250__AT4G29410.1 transcript:fgenesh2_kg.7__1250__AT4G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L28 [Source:UniProtKB/TrEMBL;Acc:D7MCL9] MATVPGQLIWEIVKRNNCFLVKQFGRGNAKVQFSKETNNLVNINSYKHSGLANKKTVTIQAADKDQGVVLGTTKTKRQNKPKLSVNKSVLKKEFPRMTKAVANQVVDNYYRPDLKKAALARLSAISKGLRVAKSGPKRRNRQA >fgenesh2_kg.7__1252__AT4G29380.1 pep chromosome:v.1.0:7:5306121:5312216:-1 gene:fgenesh2_kg.7__1252__AT4G29380.1 transcript:fgenesh2_kg.7__1252__AT4G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MCM2] MGNKIARTTQVSATEYYLHDLPSSYNLVLKEVLGRGRFLKSIQCKHDEGLVVVKVYFKRGDSIDLREHERRLVKIKDVFLSLEHPHVWPFQFWQETDKAAYLVRQYFYSNLHDRLSTRPFLSLVEKKWLAFQLLLAVKQCHEKDICHGDIKCENVLLTSWNWLYLADFASFKPTYIPYDDPSDFSFFFDTRGQRLCYLAPERFYEHGGETQVAQDAPLKPSMDIFAVGCVIAELFLEGQPLFELAQLLAYRRGQHDPSQHLEKIPDPGIRKMILHMIQLEPEARLSAEDYLQNYVGVVFPNYFSPFLHTLYCCWNPLPSDMRVATCQGIFQEILKKMMENKPGDEIGVDPPVTSDPVNASKVQETFANHKLNSSKDLIRNTVNSKDEILYSISDALKKNRHPFLKKITMDDLGTLMSLYDSRSDTYGTPFLPVEGNMRCEGMVLIASMLCSCIRNIKLPHLRREAILLLRSCSLYIDDDDRLQRVLPYVVALLSDPTAIVRCAAMETLCDILPLVRDFPPSDAKIFPEYIFPMLSMLPEDTEESVRICYASNIAKLALTAYGFLIHSFQLSDVGVLNELNSQQISPTPASETPSHLQKANGNAQLAQLRKTIAEVVQELVMGPKQTPNVRRALLQDIGELCFFFGQRQSNDFLLPILPAFLNDRDEQLRSVFFEKIVYVCFFVGQRSVEEYLLPYIDQALSDQTEAVIVNALECLSTLCKSSFLRKRALLQMIECVYPLLCYPSQWVRRAVVTFIAASSECLGAVDSYAFIAPVIRPYLSRLPASIASEEGLLSCLNPPVTREVVYRIFEKARNPEIMAKQRKMWYSSSPQSKDWETVDLFDKDTGELNSIECGAEQKRSVEAQKQIKSASKQQEVQGKYAEKDAKLRIPRNPRPNASNTVELRDPVYPEKLQFSGFMAPYVSGMNSFIEPENIPLYSFSMDKRAATNPPVASESSLQMNSLGMGSLSVPWMDSMSKSFNLASSVPVPKLISGSFHVGTNPKQFYRVVHEPESRENDQISSAISKFQDLGVSSSSKSASVTSEDASSPADLVGEPSLSRTSVPDSGWKPRGVLVAHLQEHRSAVNDIATSSDHSFFVSASDDSTVKVWDSRKLEKDISFRSRLTYHLEGSRGMCTTMLRNSTQVVVGASDGVIHMFSIDHISRGLGNVVEKYSGIVDIKKKDVKEGALVSLLNYTADSLSGPMVMYSTQNCGIHLWDTRSDLDAWTLKANPEEGYVSSLVTSPCGNWFVSGSSRGVLTLWDLRFRVRVNSWRYPIICPIEKMCLCFLPPSVSVSTTMKPFIYVAAGCNEVSLWNAEGGNCHQVLRVANYENETDVSEFQWKLPSNKVNSKPNLRQNMSSKYRIEELNEPPPRLPGIRSLLPLPGGDLVTGGTDLKIRRWDYSSPERSYCICGPSLKGVGNDDFYELKTNTGVQFVQETKRRPLATKLTAKAVLAAAATDTAGCHRDSVQSLASVKLNQRLLISSSRDGAIKIWK >fgenesh2_kg.7__1256__AT4G29330.1 pep chromosome:v.1.0:7:5331059:5332853:-1 gene:fgenesh2_kg.7__1256__AT4G29330.1 transcript:fgenesh2_kg.7__1256__AT4G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin [Source:UniProtKB/TrEMBL;Acc:D7MCN0] MSSPGEYYNSLPPITKAYGTLCLFTTIANQLGLVAPVHIALFPQLVLKQFQIWRLISNFFFLGGFSINFGIRLLMIARYGVQLEKGPFERRTADFLWMMIFGSFTLLVLSVIPFFWTPFLGVSLVFMLLYLWSREFPNANISLYGLVTLKAFYLPWAMLALDVIFGSPIMPDLLGIIAGHLYYFLTVLHPLATGKNYLKTPKWVNKIVARWRIGAPVASVRQAGGVGAAGPGAGGGVGGGGAYSSARAPPESSNTAFRGRSYRLTD >fgenesh2_kg.7__1258__AT4G29300.1 pep chromosome:v.1.0:7:5345695:5347516:1 gene:fgenesh2_kg.7__1258__AT4G29300.1 transcript:fgenesh2_kg.7__1258__AT4G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 27 [Source:UniProtKB/TrEMBL;Acc:D7MCN4] MAKLSFSYFLVLMLVLSAFLMVERVEGKQCRLIIYKATACSLSDCRLSCYTGYNGVGECFDDPNVPGPDNCGCLYNC >fgenesh2_kg.7__1260__AT4G29285.1 pep chromosome:v.1.0:7:5350906:5351689:-1 gene:fgenesh2_kg.7__1260__AT4G29285.1 transcript:fgenesh2_kg.7__1260__AT4G29285.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 24 [Source:UniProtKB/TrEMBL;Acc:D7MCN6] MAKLLCSYLFISMFVLSVFLALPNAEGANIKRCVVDVKLSKPCTFQECIPLCFQKYNGNGVCTGNKNQICTCAYNC >fgenesh2_kg.7__1261__AT4G29280.1 pep chromosome:v.1.0:7:5353483:5353885:1 gene:fgenesh2_kg.7__1261__AT4G29280.1 transcript:fgenesh2_kg.7__1261__AT4G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 22 [Source:UniProtKB/TrEMBL;Acc:D7MCN7] MAKISCSYFFILMFVFSAFVLVEKVKGDERCTIIIHPGSPCDPPDCRLYCFAEYNGVGECIASKPGRTANCMCVLIIVKETNSK >fgenesh2_kg.7__1263__AT4G29260.1 pep chromosome:v.1.0:7:5358826:5360142:1 gene:fgenesh2_kg.7__1263__AT4G29260.1 transcript:fgenesh2_kg.7__1263__AT4G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase class B family protein [Source:UniProtKB/TrEMBL;Acc:D7MCP0] MASLRSLSIWFFFFFVFLINPSISIRTSFIKLPTSDGSRYCDSWRLAAETNNAGTWDVIPSICVDSVAEYLNGDQFRSDYDVIADYALAFAKSVEISGDGKDVWIFDIDETLLTNIDYYKAHGYGSEPYDNNSFSEWVEQGTAPAFDASLRLYNALKKFGFTIILLTGRDEDQRSSTETNLRDAGYSGWERLLLRGPNDQGKSATNYKSEQRSKLIQEGFKIRGNSGDQWSDLLGFAVADRSFKVPNPMYYIP >fgenesh2_kg.7__1264__AT4G29250.1 pep chromosome:v.1.0:7:5360167:5361752:-1 gene:fgenesh2_kg.7__1264__AT4G29250.1 transcript:fgenesh2_kg.7__1264__AT4G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEDRVRFICKRTVVSTRSIEPGRLYRFSVLDHVMEPNHIRLVYYYRSLKTREPGEITKKLRESLAYTLNCYPIVTGRLVRENDGSEEQEDVSRRWKVKSNDAGMRMVEARATGSVEEWLRNVNREEELKLVHWEGMYHLHYYWSTFCVQVTEFESGGLAIGLSCSHLLADPVCAMMFIRAWADLTLSRSMMAPPLFHPLPPRRFANHKLISNNQLLSHYIKSCTLTASPSNVTEDHMVTVTFLFPDPLVRAGENEPRISTFEILAGLFWVCVSRAKGKRNELMDMSLCLDVRKLLRLDQGYFGNCMVYHKVPYSKPVKTKDKLLFHAVQEIENITKKLDYDTVMDLIEWLSSNNGAISNGSDLVCTNLENMSHSRPMMFEEDLVLSHLSCYVKGPVAGGGQVIVLPSLPGKGPMSRVVMVSLPQREMVKVVEDELLLSFSPVVVMENTEQI >fgenesh2_kg.7__1269__AT4G29210.1 pep chromosome:v.1.0:7:5381897:5384477:-1 gene:fgenesh2_kg.7__1269__AT4G29210.1 transcript:fgenesh2_kg.7__1269__AT4G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyl transpeptidase 3 [Source:UniProtKB/TrEMBL;Acc:D7MD41] MGDAIIADPLLCIDHETVAEKKKKKQSNYLKLALFLLLLILVATSGFSFNDKSTTVLLSRQAIHDDHSLSHGTVSDMVESENGVVAADDARCSEIGASVLRRGGHAVDAAVAITLCIGVVNPMSSGIGGGSFLIVSSQKDSKAEAFDMRETAPLAASKDMYKNDASAKSLGALSMGVPGEIAGLYEAWKRYGRLSWKPLFEPAIELARGGFVVHPYLGKAISSHAAMILKDPGLRSVFSRNGQVLKPGETCYNPELARSLETISEQGPGAFYNGTIGEKLVNDVKKAGGIITMDDLRSYEVLVTDAMSVDVMGYTIHGMPPPSGGTLGFSMVIDILDSYSNLYTTSGSHLGLHRLIEAMKHMFAARMDLGDPGFVNITNAMNQMLSKTHAEEIRKRIFDNTTFPPEYYLNRWSQLRDQGTSHFCIVDADRNSVSMTSTVNYGFGAGVLSPSTGIVLNNEMDDFSVPAEITPDRLPPAPTNFIEPNKRPLSSMTPLVITKDGEFVAALGGAGGMHIIPAVLQVFLNCFVLNMKPKQAVESARIYHRLIPNVVSYENFTAINGDHIGVSEDTKMFLAGRGHELKAVSGGAIVQLIVQSFKEEKEEEMIIEIGRKIGKKSKPSKGLLTAVCDPRKDGKPAAV >fgenesh2_kg.7__126__AT4G39210.1 pep chromosome:v.1.0:7:453627:457432:1 gene:fgenesh2_kg.7__126__AT4G39210.1 transcript:fgenesh2_kg.7__126__AT4G39210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MGC6] MDSFCNFSLGTNTFLSKDSFKNVENKFLGEKIKGSVLNPFSSDLSSKKFRNRKLRSGVAYAIATSKNAKEALKNQPSMFERRRADPKNVAAIILGGGDGAKLFPLTKRAATPAVPVGGCYRMIDIPMSNCINSSINKIFVLTQFNSASLNRHLARTYFGNGINFGDGFVEVLAATQTPGEAGKKWFQGTADAVRKFLWVFEDAKNRNIENILILSGDHLYRMNYMDFVQYHVDSKADITLSCAPVDESRASDYGLVNIDRSGRVVHFSEKPTGIDLKSMQTDTTMHGLSHQEAAKSPYIASMGVYCFKTEALLKLLTWRYPSSNDFGSEIIPAAIRDHNVQGYIYRDYWEDIGTIKSFYEANLALVEEHPKFEFYDQNTPFYTSPRFLPPTKTEKCRIVNSIISHGCFLGECSIQRSIIGERSRLDYGVELQDTLMLGADSYQTESEIASLLAEGNVPIGIGRDTKIRKCIIDKNAKIGKNVMILNKDDVKEADRPEEGFYIRSGITVVVEKATIKDSTVI >fgenesh2_kg.7__1270__AT4G29190.1 pep chromosome:v.1.0:7:5394466:5395855:1 gene:fgenesh2_kg.7__1270__AT4G29190.1 transcript:fgenesh2_kg.7__1270__AT4G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7MD42] MMIGETRRTYPTVEIPPWPVSEELTTSEFLSPVMNSPDCSMLEALAALQRYLPSNEPDPDSDPDLLGPDSPIDAYSCDHFRMYDFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKYHYSGTACPDFRKGGCKKGDSCEFAHGVFECWLHPARYRTQPCKDGGNCRRKVCFFAHSPDQLRFLPNRSPDRVDSFDVSSPIRARAFQLSISPVSGSPPISPRADSESSPMTQSLSRSLGSCSINDVVPSFRNLQFNKVKSFPHNNPLFGFGSPRGSILGPGFQSLPTTPTRPGNLDIWEYGLEEEPVMERVVESGRELREKMREKLHKENCMDRVDPEPDQKSGDAPDVGWVSDLLM >fgenesh2_kg.7__1273__AT4G29170.1 pep chromosome:v.1.0:7:5401691:5404018:-1 gene:fgenesh2_kg.7__1273__AT4G29170.1 transcript:fgenesh2_kg.7__1273__AT4G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:UniProtKB/TrEMBL;Acc:D7MD43] MSKKRGLSLEEKREKMLQIFYESQDFFLLKELEKMGPKRGVISQSVKDVIQSLVDDDLVAKDKIGISIYFWSLPSCAGNQLRSIRQKLESDLQGSNKRLAELVDQCEALKKGREESEERTEALTQLKDIEKKLIELKNEMVQFADNDPATLEAIRKAIEVAHQSANRWTDNIFTLKQWCSNNFPQAKEQLEHLYTEAGITEDFDYIELSSFALSSSHEADTARQLVEDEA >fgenesh2_kg.7__1276__AT4G29150.1 pep chromosome:v.1.0:7:5408045:5409756:-1 gene:fgenesh2_kg.7__1276__AT4G29150.1 transcript:fgenesh2_kg.7__1276__AT4G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWFKGLFGIKPSSCSGGTDSGAISNRLDRSLCDSYETIPPNISEKEAAWLRSFYAAGEEEKERRTHAIAVAAATAAAADAAVAAAKAAAAVVRLQGQGKSGPLGGGKCRENRAAMQIQCAFRGYLARKALRALRGVVKIQALVRGFLVRKQAAATLRSMEALVRAQTTVKFQRALRRIGNAAPARKSTERFSGSLENRNNGEETAKIVEVDTGTRPGTYKIRAPVLTGSDFLDNPFRRTLSSPLSGRVPPRLSMPKPEWEECSSKFPTAQSTPRFSGGSPARSVCCSGGGVEAEVDTEADAHRFCFLSGEFNSGYMADTTSFRAKLRSHSAPRQRPESNVSGGGWRRSIGGGGGVRMQRPSCSGVREAVVGNIERRRMHW >fgenesh2_kg.7__1279__AT4G29120.1 pep chromosome:v.1.0:7:5439855:5440963:-1 gene:fgenesh2_kg.7__1279__AT4G29120.1 transcript:fgenesh2_kg.7__1279__AT4G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase NAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MD48] MPLLLRRFLSPSVVSSFFLRRSMASSSISSDPITPSNTKIGWIGTGVMGRSMCGHLIKAGYTVTVFNRTISKAQTLIDMGANLADSPNSVASQSDVVFTIVGYPSDVRHVLLDPKSGALSGLQQGGVLVDMTTSEPSLAEEIAKAASFENCFSIDAPVSGGDLGAKNGKLSIFAGGDETTVKRLDPLFSLMGKVNFMGTSGKGQFAKLANQITIASTMLGLVEGLTYAHKAGLDVKKFLEAISTGAARSKSIDLYGDRILKRDFDPGFYVNHFVKDLGICLNECQRMGLALPGLALAQQLYLSLKAHGEGGLGTQALILALERLNNVSVQPSVS >fgenesh2_kg.7__127__AT4G39220.1 pep chromosome:v.1.0:7:457627:459668:1 gene:fgenesh2_kg.7__127__AT4G39220.1 transcript:fgenesh2_kg.7__127__AT4G39220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRER1A [Source:UniProtKB/TrEMBL;Acc:D7MGC7] MEESGGDSGSVATPVQQRAHEAWRIYQHYLDKTTPHANYRWIGTLVVALIYCLRVYYIQGFYIIAYGLGIYLLNLLIGFLSPLVDPEAGGVSDGPTLPTRGSDEFKPFIRRLPEFKFWYSMTKAFCIAFLMTFFSVFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKYKYIPFSFGKQKYGRSSSGSRAD >fgenesh2_kg.7__1280__AT4G29110.1 pep chromosome:v.1.0:7:5442154:5443054:-1 gene:fgenesh2_kg.7__1280__AT4G29110.1 transcript:fgenesh2_kg.7__1280__AT4G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MD49] MESSVPKRGLYMEMEQNAQVAAKRLWKIVRIVFCVLKTGTVKNKLMLDLNLMLKRGNKAITNLRRRSSPTGGSDVSSTRVRDYDPFAFMSKRKRRVHGGYDNEEDAVEAAVKKVFELLGENDRKTVAAESARESPLIMSPAVRQLRVTDSPFPLDDGGDHDHVVDKAAEEFIKKFYKNLKLQKKMANTLESPYHDGWV >fgenesh2_kg.7__1282__AT4G29080.1 pep chromosome:v.1.0:7:5469833:5471678:1 gene:fgenesh2_kg.7__1282__AT4G29080.1 transcript:fgenesh2_kg.7__1282__AT4G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7MD52] MSESVAAEHDYIGLSEFPTMEEATTMSDKTKTRDNNNGLNFKATELRLGLPGSESPERVDSRFLALNKSSCPVSGAKRVFSDAINESNKWIFSTGSTTATGDVGSGSGPGSSVVKDGKSTTFPKPAVPVKEKKSSATAPASKAQVVGWPPIRSFRKNSMASSQSQKPGNNSETEEAEAKSGPEQPCLYVKVSMEGAPYLRKIDLKTYKSYLELSSALEKMFSCFTIGQFGSHGGCGRDGLNESRLTDLLRGSEYVVTYEDKDSDWMLVGDVPWEMFICSCKKLRIMKSSEAIGLAPRVMEKCRSRN >fgenesh2_kg.7__1284__AT4G29070.1 pep chromosome:v.1.0:7:5471813:5473303:-1 gene:fgenesh2_kg.7__1284__AT4G29070.1 transcript:fgenesh2_kg.7__1284__AT4G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGLPSFSWFGSISSKKDVTMIETVTSTTTSLLEQPEQGKGTTFLLKQSEKEKGLFDIKIWTWSFNSVLPWATNAADGKQKPTTINRGLKRHALSRRASRSNGVNTVYRFRPYVSKVPWHTGTRAFLSQLFPRYGHYCGPNWSSGKDGGSMVWDQRPIDWLDHCCYCHDIGYDTHDQAELLKADMAFLECLESNKRVVTRGDAQVAHFYKTMCITGLKSILIPYRSYLVKIQYGQNLLDFGWIVSNLSKRSWSFQKN >fgenesh2_kg.7__1287__AT4G29040.1 pep chromosome:v.1.0:7:5480134:5482432:-1 gene:fgenesh2_kg.7__1287__AT4G29040.1 transcript:fgenesh2_kg.7__1287__AT4G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle triple-a 2A [Source:UniProtKB/TrEMBL;Acc:D7MD55] MGQGPSGGLNRQGDRKPDGGDKKEKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPSTKCKLRLLKLERIKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGTPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLEAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAIGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIETLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLSEDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHPDFKKAKEKVMFKKKEGVPEGLYM >fgenesh2_kg.7__128__AT4G39230.1 pep chromosome:v.1.0:7:459992:461782:-1 gene:fgenesh2_kg.7__128__AT4G39230.1 transcript:fgenesh2_kg.7__128__AT4G39230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKSKILFIGGTGYIGKYIVEASARSGHPTLVLVRNSTLTSPSRSITIENFKNLGVQFLLGDLDDHTSLVNSIKQADVVISTVGHSLLGHQYKIISAIKEAGNVKRFFPSEFGNDVDRVHTVEPAKSAYATKANIRRTIEAEGIPYTYVSCNFFAGYFLPTLAQPGATSAPRDKVIVLGDGTLKAVFNKEEDIATYTINAVDDPRTLNKILYVRPPMNTYSFNDLVSLWENKIGKTLERIYVPEEQLLKQIIESSPPLNVMLSLCHCVFVKGGHTSFEIEPSFGVEASDLYPDVKYTTVDEILNQYV >fgenesh2_kg.7__1290__AT4G29010.1 pep chromosome:v.1.0:7:5492736:5497790:1 gene:fgenesh2_kg.7__1290__AT4G29010.1 transcript:fgenesh2_kg.7__1290__AT4G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKIGVTMDVGNDGVAVITISNPPVNSLASPIISGLKEKFRDANQRNDVKAIVLTGNNGRFSGGFDINVFQQVHKTGDLSLMPEVSVELVCNLMEDSRKPLVAAVEGLALGGGLELAMACHARVAAPKAQLGLPELTLGVIPGFGGTQRLPRLVGLAKATDMILLSKSISSEEGQKLGLIDALVPPGDVLSTSRKWALDIADGRKPFLRSLHRTDKIGSLSEARAILKNSRQLAKKVAPNMPQHHACIEVIEEGIVHGGYSGVLKEAEVFKQLVLSDTAKGLVHVFFAQRATSKVPNVTDVGLKPRPIKKVAVIGGGLMGSGITTALLLSNIRVVLKEINSEYLMKGTKSVEANIKGLVSRGKLTQDKAGKALSLFKGVLDYTEFKDVDMVIEAVIENIQLKQNIFKEIEKVCSPHCILASNTSTIDLDVIGEKTNSKDRIVGAHFFSPAHLMTLLEIVRSKNTSAQVILDLMAVGKAIKKVPVVVGNCIGFAVNRTFFPYTQAAHMLANLGVDLFRIDSVITSFGLPLGPFQLGDLAGHGIGLAVGPIYAKVYGDRMFRSPMTELLLKSGRNGKINGKGYYIYEKGSKPKPDPSVLSIVEESRKLTNIMPGGKPISVSDKEIVEMILFPVVNEACRVLDEGVVIRASDLDIASVLGMSFPSYRGGIVFWADTVGPKYIYERLKRLSETYGSFFKPSRYLEERAMNGMLLSESKSSRSRL >fgenesh2_kg.7__1291__AT4G29000.1 pep chromosome:v.1.0:7:5497790:5501309:-1 gene:fgenesh2_kg.7__1291__AT4G29000.1 transcript:fgenesh2_kg.7__1291__AT4G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDGGGGEFPPKKDGVEEGFPTKKPARQLDFTGGSDEQSLSKAAAPTVVATAVKPVVTSSIPSTIRPGVTIAIGQVRPTLPMATTSNPPSQSQILNAPIRHPKPESPKARGPRPIVEGRDGTPQKKKQCNCKHSRCLKLYCECFASGTYCDGCNCVNCFNNVDNEPARREAVEATLERNPFAFRPKIASSPHGVRDKREDIGEVVLLGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCLDCKNFEGSEERQALFHGEHANHMAYLQQAANAAITGAVGSSGFAPSPAPKRRKGQEILFNQATKDSSRLGQFPQVNSGRASGPTSGSSPSPVSRAGGNASSAPSKFVYRSLLADIIQPHDVRALCSVLVAVAGEAAKTSTDKRNEIENRVEDQTETSLASSAQDQPQGDNDAADMEMVATDGNQADKSGAEESNSDGADASKGNPLSPATLALMCDEQDTIFMVAAPSPNGAVDPGGRRTNSQGQSEIYAEQERLVLTKFRDCLSRLISYAEIKESKCLSLARMHIQPSATATVKTENGVQQQVPIVNGASRTNSQPTLNKPQPMQLINTTSASAAAAAATNTHHLHKPPALSEKKDP >fgenesh2_kg.7__1293__AT4G28980.1 pep chromosome:v.1.0:7:5504132:5506304:-1 gene:fgenesh2_kg.7__1293__AT4G28980.1 transcript:fgenesh2_kg.7__1293__AT4G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cdk-activating kinase 1at [Source:UniProtKB/TrEMBL;Acc:D7MD63] MDKQPASSWSIHTRPEIIAKYEIFERVGSGAYADVYRARRLSDGLIVALKEIFDYQSAFREIDALTILNGHPNVVVMHEYFWREDENAVLVLEFLRSDLAAVIRDAKRKKKVEGGDGFSVGEIKRWMIQILTGVDACHRNLIVHRDLKPGNMLISDDGVLKLADFGQARILMEPDIVASDENQQVYKLEENDGESSTEPPEVIPDYENSPRQGSDGQEQVAMSKDEYFRQVEELKAKQVVRDDTDKDSNVHDGDISCLATCTVSEMDDDLGRNSFSYDADEAVEDTQGLMTSCVGTRWFRPPELLYGSTMYGLEVDLWSLGCVFAELLSLEPLFPGISDIDQISRVTNVLGNLNEEVWPGCVDLPDYKSISFAKVESPLGIEGCLPNHSGDVISLLKKLICYDPASRATAMEMLNDKYFIEEPLPVPVSELYVPPTRNWPDEDSPRMWNDYRTMDSDSDFDGFGPMNVKPTSSGFTIEFP >fgenesh2_kg.7__1296__AT4G28940.1 pep chromosome:v.1.0:7:5509709:5513077:-1 gene:fgenesh2_kg.7__1296__AT4G28940.1 transcript:fgenesh2_kg.7__1296__AT4G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFLIGFLVVVLSVGNVIEEANGEIPRTILGKIERINQKGPYLGIVAPNNYELNPLLGSKAYVPSSSLPFIDFAGRKFRFGKLSKQPVVIVMSGLGMVNAGVTTQLLVSLFRLKGVLHYGIAGNADINLEIGDVTIPQYWAHSGLWNWQRYGDGIDNELALESGGDYTREVGYLQFSKYSNRTDNLLNRVWYQPEEIFPVTGTPEERQHVFWIPVDKSYLKLARKLEDTKLPQCVNTTCLPRPPKVTIVKRGMSASVFIDNAAYRTFLNSKFNATAVEMESAAVALISHQQNLPFIVIRALSDLAGGGSDVSNEASIFSSLAAENSVDILVKFVALLPPHGSKIQSE >fgenesh2_kg.7__1297__AT4G28920.1 pep chromosome:v.1.0:7:5515786:5517203:1 gene:fgenesh2_kg.7__1297__AT4G28920.1 transcript:fgenesh2_kg.7__1297__AT4G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENDSSESDWDTEMDPEEEKEYRRQVEESDIDWCFSKFGVSMLIALDMLCFRYTYDVELFGRLGLHCYNLLHKGTNLKLLCIPKYNTLDIALTCGYYLTLEAMDTHNNSPCTFQTYVREVGQTSEHGYLVVQTNIARLKVPTGPHNTSIGRGWIWGWEEEAIDVYYKGKLPKWLTNDMLAAAKDEYYVVQESEFLENEWLHLYAEIALYSNWKWHATRKSCEESPHLKLKADNAIFYMSFKGSGDHPSGKHYEYQAIVRKTMDGKPGHIRLEVDSWEGIPSDLIGEDKPP >fgenesh2_kg.7__1298__AT4G28910.2 pep chromosome:v.1.0:7:5532515:5534842:1 gene:fgenesh2_kg.7__1298__AT4G28910.2 transcript:fgenesh2_kg.7__1298__AT4G28910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDNGLELSLGLSCGGSSAKAKGNNTNNAGSSSENHRAEGGDRSAKVIDDFKNFLHPTSQRPAEPSSGSQRSDSGQQPQQNFFNDLSKAPITDGEASTKSLWVEDETRKEAGNKRKFGFPGMNDEKKKDKDSSHVDMHEKKTKASHVSTATDEGSTADNEDVAESEVGGGSSSNHAKEVVRPPPDTNVVDNLTGQRRSNHGGSGTEEFTMRNMSYTVPFTVHPQNVVTTMPFSLPTKESGQHEAATSLLQPNASAGNLPIMFGYSPVQLPMLDKDGSGGIVALSQSPFAGRGPSNSATAKGEGKQPVAEEGSSEDASERPTGDNNNNNTAFSFDFSAIKPGMAADVKFGGSGARPNLPWVSTTGSGPHGRTISGVTYRYNANQIKIVCACHGSHMSPEEFVRHASEEFVSPESSMGMTTASAHS >fgenesh2_kg.7__129__AT4G39235.1 pep chromosome:v.1.0:7:461945:463091:1 gene:fgenesh2_kg.7__129__AT4G39235.1 transcript:fgenesh2_kg.7__129__AT4G39235.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQKNQAEIPPKPAMTSCRKKVKDDATFFEDVKDHIDDFIHASMDEHKTCFQKTIKKMFGLSKAVAEKQAEEAKGVESQLPLQTTVSE >fgenesh2_kg.7__12__AT4G38200.1 pep chromosome:v.1.0:7:32097:39582:1 gene:fgenesh2_kg.7__12__AT4G38200.1 transcript:fgenesh2_kg.7__12__AT4G38200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide exchange family protein [Source:UniProtKB/TrEMBL;Acc:D7MEW8] MSSSQNLGGATRCGRVIGPSLDKIIKNAAWRKHTFLVSACKSVLDKLETLSDSPDPSSPLFGLTTSDSDAVLQPLLLSLDTGYAKVIEPALDCSFKLFSLSLLRGEVCSSSPDSLLYKLIHAICKVCGIGEESVELAVLRVLLAAVRSPRILIRGDCLLHLVRTCYNVYLGGFNGTNQICAKSVLAQIMLIVFTRSEANSMDVSLKTVNVNDLLAITDKNVNEGNSVHICQGFINDVITAGEAAPPPDFMLVLQGQSPDEGASSTEDVGTSKIMEDGFLLFKNLCKLSMKFSSQENTDDQILVRGKTLSLELLKVIIDNGGPIWLSDERQSLLSLPKICRFLNAIKQYLCLSLLKNSALSVMSIFQLQCAIFTTLLRKYRSGMKSEVGIFFPMLVLRVLENVLQPSFVQKMTVLSLLENICHDPNLIIDIFVNFDCDVESPNIFERIVNGLLKTALGPPPGSSTILSPVQDITFRHESVKCLVSIIKAMGTWMDQQLSAGESLLPKSLENEAPANNHSNSNEEDGTTTDHDFHPDLSSESSDAATLEQRRAYKIERQKGVTLFNRKPSKGIEFLISSKKVGNSPDEVVSFLRNTTGLNATMIGDYLGEREEFPMKVMHAYVDSFDFKEMNFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERFCKCNPNSFSSADTAYVLAYSVIMLNTDAHNIMVKEKMTKADFIRNNRGIDDGKDLPEEYLGALYDQVVINEIKMSSDSSAPESRQSNGLNKLLGLDGILNLVYWTQTEEKAVGANGLLIKHIQEKFRSKSGKSESAYHVVTDVAILRFMVEVSWGPMLAAFSVTLDQSDDRLAAVECLRGFRYAVHVTAVMGMQTQRDAFVTSMAKFTNLHCAGDMKQKNVDAVKAIISIAIEDGNHLQDAWEHILTCLSRIEHLQLLGEGAPSDASYFASSETEEKKALGFPNLKKKGALQNPVMMAVVRGGSYDSSAVGPNMPGLVKQDQINNFIANLNLLDQIGSFQLNNVYAHSQRLKTEAIVAFVKALCKVSMSELQSPTDPRVFSLTKLVEIAHYNMNRIRLVWSRIWSILSDFFVSVGLSENLSVAIFVMDSLRQLSMKFLEREELANYNFQNEFLRPFVIVMQKSSSAEIRELIVRCISQMVLSRVSNVKSGWKSVFKVFTTAAADERKNIVLLAFETMEKIVREYFSYITETEATTFTDCVRCLITFTNSTFTSDVSLNAIAFLRFCALKLADGGLVWNEKGRSSSPGTPVTDDHAPNTQNFMDADENISYWVPLLTGLSKLTSDSRSAIRKSSLEVLFNILKDHGHIFSRTFWIGVFSSVIYPIFNSVWGENDLLSKDEHSSFPSTFSPHPSEVSWDAETSAMAAQSLVDLFVSFFTVIRSQLSSVVSLLAGLIRSPAQGPTVAGVGALLRLADELGDRFSEDEWKEIFLAVKEAASLTLSSFMKTLRTMDDIPDEDFSNEDDVDEDSLQTMSYVVARTKSHIAVQLQVVQVVTDLYRIHQQSLLASHVTVILEILSSISSHANQLNSDLILQKKVRRACSILELSEPPMLHFENDTHQNYLDILQAILTYNPGVSLELNIEAQLMTVCVQLLKMYLKCTLFQGEELEETRQHKNWILPMGAASKEEAAARSPLVVAVLKALRGLKRDSFKRYAPNFFPLLVELVRSEHSSSQVPQVLSTVFHTCMGAMIDE >fgenesh2_kg.7__1301__AT4G28890.1 pep chromosome:v.1.0:7:5538926:5540233:1 gene:fgenesh2_kg.7__1301__AT4G28890.1 transcript:fgenesh2_kg.7__1301__AT4G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring-H2 finger protein ATL4J [Source:UniProtKB/TrEMBL;Acc:D7MD74] MYQIFFFFLPLLHSYPHVYAQPPPPFRNGDLVANFEPSLAVVTGVLAIMFALTFVLLVYAKCCHIDLRSDSGDRRRHDRRLRQGIFFNRSTTSSDRFSGLDKTAIESLPLFRFSALKGSKQGLDCSVCLSKFESIEILRLLPKCRHAFHIGCIDQWLEQHATCPLCRDRVSMEEDSSVFSNGNSFRFLNQSEIREDSSLELYIEREEEEDRRQRDELGGSSRFSIGESFRKILKLGNKEKTLLDEHGNSDKDEKKLMHKFNHRIVVSDVVFKNRWSNVSSSDLMFLNSEMVNSISSERFLSIDRAKRGDEEDQIGILRIKEEMEAKRMLENKLTSMKTMFSPENGDSGSKSRSVMIEPGRRSVSDITAVPRLSISVHRDCSGSAAGTASALQNGGNETEERRRRLWLPIARKTAQWFANREKRNQINTTHQHFDV >fgenesh2_kg.7__1302__AT4G28880.1 pep chromosome:v.1.0:7:5542516:5545629:-1 gene:fgenesh2_kg.7__1302__AT4G28880.1 transcript:fgenesh2_kg.7__1302__AT4G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIIGGKYKLGRKIGGGSFGEIFLATHVDTFEIVAVKIENSKTKHPQLLYEAKLYRILEGGSGIPRIKWFGVDGTENALVMDLLGPSLEDLFVYCGRKFSPKTVLMLADQMVTRIEFVHSKGYLHRDIKPDNFLMGLGRKANQVYLIDFGLAKRYRDANTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAVDKKQKYDKICEKKISTPIEVLCKNHPVEFASYFHYCHTLTFDQRPDYGFLKRLFRDLFSREGYEFDYIFDWTIIKYQQAQKSRNQSQAVPGSSNARAMPMDTSNHRGGPNISYEAEASERVRSANAIGPQINNNTAAGRTLGFDHPVHKNMNMPSTSVSPAGTSKRNVGPEISNSGHGSGNRTGWTSSFMSPEK >fgenesh2_kg.7__1304__AT4G28860.2 pep chromosome:v.1.0:7:5546879:5549588:-1 gene:fgenesh2_kg.7__1304__AT4G28860.2 transcript:fgenesh2_kg.7__1304__AT4G28860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIIGGKYKLGRKIGGGSFGENFLAMHVDTFEIVAVKILVRVVRQASSSLKWRTVKQSILNFSMKSSYTEFLKEEGCFSFSSGIPRMRWFGVDGTENALVMDLLGPSLEDLFVYCGRKFSPKTVLMLADQMLTRIEFVHSKGYLHRDIKPDNFLMGLGRKANQVYLIDFGLAKRYRDASTNHHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAVDKKQKYDKICEKKISTPIGVLCKNHPVEFASYFHYCHTLTFDQRPDYGFLKLLFRDLFLREGYELDFIYDWTIIKYQQAQKTKNQSQAVPGSSNVRAMPLDTSNHRGGTNISHEAQVSDRLRSANANGPRPQINTATGRSLGFDQVHKNVRAYAFASSK >fgenesh2_kg.7__1305__AT4G28850.1 pep chromosome:v.1.0:7:5549984:5551423:-1 gene:fgenesh2_kg.7__1305__AT4G28850.1 transcript:fgenesh2_kg.7__1305__AT4G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MD77] MAGLRAKTLIFVLVAALATLDHTFVEADFIKNMIVTWGQDHIGMTGDNLKLVLDQSAGSAIRSKVAHLFGSVEMFLKLVPGDSAGTVVAYYLSSTGTAHDEIDFEFLGNATGEPYTIHTNLYVQGKGNREQQFRPWFNPTTGFHNYTIHWNPSEIVWFVDGTPIRVFRNYEKEGIAYPNKQGMKVFASLWNADDWATQGGRVKTNWTLAPFVAEGRRYRARACLWQGSVSIKQCADPTVPSNWWTSPSFSQLTTLQLTKMQKIRDGFMIYDYCKDTNRFGGVMPPECSKQQF >fgenesh2_kg.7__1308__AT4G28830.1 pep chromosome:v.1.0:7:5565325:5566851:-1 gene:fgenesh2_kg.7__1308__AT4G28830.1 transcript:fgenesh2_kg.7__1308__AT4G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLEGLLGDLEQFSNPKVELEQYPTGPHIASRMLFTAENSYGDITDKVVADFGCGCGTLSAAAALLDAASVIGFDIDPQSLETATLNAEELEVEIDFVQCDITKLELKGLIVDTVVMNPPFGTRKKGADMEFLSAAMKVASTAVYSLHKTSTREHIKRAYLRDFNAKSAEVLCELRYDLPKLYKFHKRKEVDIAVDLWRFEPRQS >fgenesh2_kg.7__130__AT4G39240.1 pep chromosome:v.1.0:7:463061:464640:-1 gene:fgenesh2_kg.7__130__AT4G39240.1 transcript:fgenesh2_kg.7__130__AT4G39240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MGD0] MPYSVASSNSVSSIAEEPPPKKQLDLAPSSSSSLLLLPDEIILNCLARLPRCYYPVISLVSKTFRRLIASPEIYVERSLLRRTERVLYVVLRSHATETPRWYTLNFKPFGNDSINHRLVPIPSFPSIPCWGMSIVAIESEIYVLGGCIDDELVSTGFVVECPSHTCRLLPCMKQARGCAAVGFVDGKLYVIGGCNPQSVNWVEAFDLKTQTWESVLGVHNVEMHDLTIRSFAIDGKIYIMDRKNSFVYDPKEGRVETDELLDTQWSVGSCVIDGRIYTFGSKNRIWVFDPIAMVWDRLKGLDDLPDKRDGSRMSNLGGNLAIMFNLEKGSTQICCTEIRLERREGGKIWGTVLWSNIVITLKEPSTIVRCLAVTV >fgenesh2_kg.7__1310__AT4G28780.1 pep chromosome:v.1.0:7:5583655:5585543:-1 gene:fgenesh2_kg.7__1310__AT4G28780.1 transcript:fgenesh2_kg.7__1310__AT4G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7MD83] MSAALCVTLILMPQQTNAARAFFVFGDSLVDSGNNNYLVTTARADSPPYGIDYPTGRPTGRFSNGLNLPDIISEQIGSEPTLPILSPELTGEKLLIGANFASAGIGILNDTGVQFLNILRIGRQFELFQEYQERVSEIIGSDKTQQLVNGALVLMTLGGNDFVNNYFFPISSRRRQSSLGEFSQLLISEYKKILTRLYELGARRVMVTGTGPLGCVPAELASSGSVNGECAPEAQQAAAIFNPLLVQMLQGLNREIGSDVFIGANAFNTNADFINNPQRFGFVTSKVACCGQGAYNGQGVCTQLSSLCPDRNAYAFWDPFHPTEKATRLIVQQIMTGSVEYMNPMNLSTIMALDSRI >fgenesh2_kg.7__1314__AT4G28760.2 pep chromosome:v.1.0:7:5589947:5594622:-1 gene:fgenesh2_kg.7__1314__AT4G28760.2 transcript:fgenesh2_kg.7__1314__AT4G28760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVRGRKAQKIESPVPGCLGKMVNLFDLGTAVNGNKLLTDKPHLYGSSLSRSRSDVTRMPSPSYKGHSEAELIMSDLRRSASSKVSGTPMKKLIAREMSKEVEHKQSPTNVVAKLMGLETLPQTYPETATQRSKSRSNSHSSLNHSVTSIDNDVQKYQEFSREFKDVYETWQSPQKVSRSRDSSPRKGRYDESTTEKQMALVRQKFSEAKRLVTDDSLHQSKEFQDALEVLSSNKDLFVQFLQESNSFSQQNLSDFHHVPPHSEAKRITVLRPSKAVETERFVVQGRKNKQVKKLASSSQETGWGNRDLGYPSSYVNRGAEEHTVQPTRIVVLKPSLGKSLDIKAVSSSQSSPRGLHGGGYFDEPGDVETKEVAKEITRQVRENLMGHHRNETQSSSVLSNGYIGDDSSFNKSDNEDLVGNLSDSEIMSPASRHSWDCPNRFESLFSPSSFSRASFSPESSVCREAKKRLSERWALMSVTGRTQPLKHVSRTSSTLGEMLALTETKVTTESEEGSNEIAPATRVSTSCITSDMSQVEMASDSLNKLARSKSVSDARLNGERSILGTSKAQAPRELTKTGSLKSSWKVSNLFLFKNNKASKEKKDASQCSSMSQLTTPSPATLTGQTSEDCLFPRDRLPPDASEQQSIIPGEEEVTTPKPLATGNTIENQDQPSPISVLFPPFEEEVVSIPECSGSTRHWTAQGEEVSLKSNLIDKSPPIGSIARTLSWDDDSCTDDISKPAMGVHEDEDWYLFIETILTAAGFSSGCTVSHDPLMSRWHLPNSPLDSLLRDKYTNPDNNNIKEFIHEGKRRQQRSTRKLIFDRINSIILETTTTLPGNGSPHFNLVEHVWAHLKDWVSDEPSKRDSGEDMDANSLAAESLVKDEIVGRTWTHSLQVEIDDFGIEIEKRLLQELVEEAVIDLTR >fgenesh2_kg.7__1315__AT4G28750.1 pep chromosome:v.1.0:7:5598904:5600065:1 gene:fgenesh2_kg.7__1315__AT4G28750.1 transcript:fgenesh2_kg.7__1315__AT4G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTTSTVFVLPANVTSAAGASSSRNSVSFLPMRNAGSRLVVRAAEDAAPATSSSEGSPAAAASPAGAAATKPKPPPIGPKRGSKVKILRRESYWFKNVGSVVAVDQDPKTRYPVVVRFAKVNYANISTNNYALDEVEEVAA >fgenesh2_kg.7__1317__AT4G28730.1 pep chromosome:v.1.0:7:5602626:5604374:-1 gene:fgenesh2_kg.7__1317__AT4G28730.1 transcript:fgenesh2_kg.7__1317__AT4G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAFNPLKLASSSLDPIPSISSSSSYSFSLISVGSSFKRCLKQSCSVRAMSSSSSSAASSSSSSSFGSRMEESVRKTVTENTVVVYSKTWCSYCTEVKTLFKRLGVQPLVIELDQLGPQGPQLQKVLERLTGQHTVPNVFVGGKHIGGCTDTVKLNRKGDLEVMLAEANGKTGQS >fgenesh2_kg.7__1318__AT4G28720.1 pep chromosome:v.1.0:7:5609300:5610988:-1 gene:fgenesh2_kg.7__1318__AT4G28720.1 transcript:fgenesh2_kg.7__1318__AT4G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7MD90] MENMFRLMDQDQDLTTNRCIWVNGPVIVGAGPSGLATAACLHEQNVPFVVLERADCIASLWQKRTYDRLKLHLPKQFCQLPKMPFPEDFPEYPTKRQFIDYLESYATRFEINPKFNECVQTARFDETSGLWRVKTVSKSESTQTEVEYICRWLVVATGENAERVMPEIDGLSEFSGEVIHACDYKSGDKFAGKKVLVVGCGNSGMEVSLDLANHFAKPSMVVRSSLHVMPREVMGKSTFELAMKMLRWFPLWLVDKILLVLSWMVLGNIEKYGLKRPEMGPMELKSVKGKTPVLDIGAIEKIRSGKINVVPGIKRFNGNQVELVNGEQLDVDSVVLATGYRSNVPYWLQENEFFAKNGFPKTVADNNGWKGRTGLYAVGFTRKGLSGAAMDAVKIAQDIGSVWKLETKQPTKRSRGSLRRCISQQF >fgenesh2_kg.7__1322__AT4G28706.3 pep chromosome:v.1.0:7:5643958:5647053:-1 gene:fgenesh2_kg.7__1322__AT4G28706.3 transcript:fgenesh2_kg.7__1322__AT4G28706.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-type carbohydrate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MD93] MLCFTHVPPLPLFSTSGIYLPASRRFTSFRTRMSSSSVDSVPPPPDNAIVLGCGGIAVDFLATVDSYPQPDDKIRSTSLKVQGGGNAANALTCAARLGLNSRLISKVANDSQGKGMLEELDADGVDTSFLVVSKEGNSPFTYIIVDNQTKTRTCIHTPGDPPMLPTDLSQSSMFSALDRASIVYFDVRLHETALMIAKEASRKKIPILVDAEKKRDGLDELLQFADYVVCSTKFPQTWTEVSSTPGALVSMLLRLPKLKFVIVTLGEEGCLMVQRASTAEVFESQEIDIESLLETLKHRNDSTTTFPTCVSSETTKLKANGVGTVTGRLFLGTAEKIPPDELVDTTGAGDAFIGAVLYAICAGMHPEKMLPFAAQVAGCSCRAMGARTGLPHRADPRLVPFLV >fgenesh2_kg.7__1323__AT4G28703.1 pep chromosome:v.1.0:7:5648618:5649248:-1 gene:fgenesh2_kg.7__1323__AT4G28703.1 transcript:fgenesh2_kg.7__1323__AT4G28703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQNPRIIVEKNPSQARLDELKFKSWPKWGCSPGKYHLKYEAEEICYIVRGKVKVYPKPPSSLSSSSDAEVEWCVEFGAGDIVTFPKGLSCTWDVSLSVDKHYIFLSS >fgenesh2_kg.7__1327__AT4G28680.1 pep chromosome:v.1.0:7:5656641:5660662:-1 gene:fgenesh2_kg.7__1327__AT4G28680.1 transcript:fgenesh2_kg.7__1327__AT4G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDREFGNGNGYSNGNGYTNGNSYTNGNGYTNGNGYSNGNGNVNGNGEANGAKVIKMKPMDSELLREQGHIMVDFIADYYKNLQDSPQDFPVLSQVQPGYLRDMLPDSAPDQPESLKELLDDVSKKIMPGITHWQSPSYFAYYASSTSVAGFLGEMLNAGLSVVGFTWLTSPAATELEIIVLDWLAKLLQLPDHFLSTGNGGGVIQGTGCEAVLVVVLAARDRILKKVGKTLLPQLVAYASDQTHSSFRKACLIGGIHEENIRLLKTDSSTNYGMPPESLEEAISHDLAEGFIPFFICATVGTTSSAAVDPLVPLGKIAKKYGIWMHVDAAYAGNACICPEYRKFIDGIENADSFNMNAHKWLFANQTCSPLWVKDRYSLIDALKTNPEYLEFKVSKKDAVVNYKDWQISLSRRFRSLKLWMVLRLYGSENLRNFIRDHVNLAKHFEDYVAQDPHFEVVTTRYFSLVCFRLVPVDGDEEKCNERNRELLAAVNSTGKIFISHTALSDKFILRFAVGAPLTEEKHVTEAWQIIQKHSSKFTCNGHY >fgenesh2_kg.7__1332__AT4G28640.1 pep chromosome:v.1.0:7:5673919:5675785:-1 gene:fgenesh2_kg.7__1332__AT4G28640.1 transcript:fgenesh2_kg.7__1332__AT4G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7MDA2] MEGGSASGSASALSNDENLVVSCEDSSSPVGNELELGLTLSLGPKGYRDCRVSYADDSSSSSSSSSSLSRASVIAGIKRTADSMAATSGQVVGWPPIRTYRMNSMVNQAKASATEDPNLEIRQEVNKNRTDATKMRNSMFVKVTMDGIPIGRKIDLNAHKCYESLSNTLEEMFLKPKLGSSTRETDGHMETPLKILPDGSSGLVLTYEDKEGDWMLVGDVPWGMFIGSVRRLRIMKTSEATGKAQMIL >fgenesh2_kg.7__1333__AT4G28630.1 pep chromosome:v.1.0:7:5677138:5679521:1 gene:fgenesh2_kg.7__1333__AT4G28630.1 transcript:fgenesh2_kg.7__1333__AT4G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGSRFLLSRASLSYRLRSDFHHHHNFIKRSSIQRSPAINAFLSDASPSPSPVNARVVFFSTSTSAPNQDQDQTTTKSHKQINTTSSQKILRTISSYLWMKDNPELRFRVIAALACLLGAKFLNVQVPFLFKLAIDLLSSSSSTIADSNLYLLAAFATPSSVLIGYGIARSGSSAFNELRTAVFSKVALRTIRSVSRKVLSHLHDLDLRYHLNRETGALNRIIDRGSRAINTILSAMVFNVVPTILEISMVTGILAYNFGPVFALITSLSVGSYIAFTLVVTQWRTKFRLAMNKADNDASTRAIDSLINYETVKYFNNEDYEGRKYDDLLERYEDAALQTQKSLAFLDFGQSFIFSTALSTSMVLCSQGIMNGEMTVGDLVMVNGLLFQLSLPLYFLGGVYRETVQSLVDMKSMFQLLEERSDIGDKDTNTKLPLLVLKGGSISFENVHFSYLPERKILDGISFEVPAGKSVAIVGSSGSGKSTILRMIFRFFDTDSGNVKIDGQDIKEVRLESLRSSIGVVPQDTVLFNDTIFHNIHYGNLSATEEEVYDAARRAAIHDTIMKFPDKYRTAVGERGLMLSGGEKQRLALARAFLKAPAILLCDEATSALDSKTEAEIMKTFRSVASNRTCVFIAHRLTTAMQCDEIIVMEKGKVVEKGTHQVLLGKSGRYANLWTQQNSKLEV >fgenesh2_kg.7__1334__AT4G28620.1 pep chromosome:v.1.0:7:5680200:5682425:1 gene:fgenesh2_kg.7__1334__AT4G28620.1 transcript:fgenesh2_kg.7__1334__AT4G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVSQLQLCHTSLSYRLRSGYHHHHVHHSFSRLIKRNSILESAPINAYNSNPSPITPMVNARVMFFSTSTSAPNQDQTTSKPDNQINTTSSEKILRMISSYLWMKDNPKLRFRVITALACLVGAKFLNVQVPFLFKVAIDWLSSSSFVDSNPFLVAAFATPSSVLIGYGIARSGSSAFNELRTSVFSKVALHTIRTISRKVLSRLHDLDLRYHLNRETGALNRIIDRGSRAINTILSAMVFNIVPTILEISMVSFTLAYKFGVVYALITCLSVGSYIAFTLALTQWRIKIRKAMNEAENDASTRAIDSLINYETVKYFNNEDYEARKYDQLHENYEDAALQSRKSFALLNFGQSVIFSTALSTAMVLCSQGIMNGQMTVGDLVMVNGLLFQLSLPLYFLGVVYSDTLEERSDIGDKDIDRKFPPLVLKGGSISFENVHFSYLPERKILDGISFEVPAGKSVAIVGSSGSGKSTILRMIFRFFDTDSGNVKIDGQNIKEVSLKSLRSSIGVVPQDTVLFNDTIFHNIHYGNLSTTEEEVYDAARRAAIHDMIMKFPDKYSTAVGERGLMLSGGEKQRVALARAFLKSPVIMLCDEATSALDSKTEAEIMKSLRSLASNRNCIFIAHRLTTVMQCDEIIVMEKGKVVGKGTHQVLLGKSGRYAKLWTQQNSKLEV >fgenesh2_kg.7__1335__AT4G28610.1 pep chromosome:v.1.0:7:5683783:5685896:1 gene:fgenesh2_kg.7__1335__AT4G28610.1 transcript:fgenesh2_kg.7__1335__AT4G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPVQRSGSRELSSNLARTSSIPSTQKPSAAEDSFIRSENNTQLMSRHLGQSYHLLSSSNGGAVGHICSSSSSGGFSNNLHYSTMVSHEKQHYAGSSSHAAQTPSNDSSWCHDSLPGGGFLDFHENNNPAIQNNSQIEDGGIAAAFDDIQKRSDWHEWADHLITDEDPLMSTNWNDLLLETSSNSDSKDQKSLQIPQPQIVQQQPSPSVELRPVSTTSSNSNNGTGKARMRWTPELHEAFVEAVNSLGGSERATPKGVLKIMKVEGLTIYHVKSHLQKYRTARYRPEPSETGSPEKKLTPLEHITSLDLKGGIGITEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGKYLQMMFEKQNSDLAKGTASTSDSAAKSEQEDKKTADTKELASEETRKCEEPESPQPKRPKTDN >fgenesh2_kg.7__1337__AT4G28590.1 pep chromosome:v.1.0:7:5695817:5697488:1 gene:fgenesh2_kg.7__1337__AT4G28590.1 transcript:fgenesh2_kg.7__1337__AT4G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTVACSAPRSSMLLTGLNSSFSDVHRSPLSVFPSSISSRSGKSPVCLAAVSSDSVPDEPGSKNHTRSRRQKKEVVTPIAETENNDKFPTKVPRKPKRGRRSEADAVEDFVRSSLEKTFSTIREQNPEVFENKEKANFIKDRGVDEEEEEEEEEMVVEEEDPYWPVDTDVGWGIKASEYFDTHPIKNVVGEDGTEIDWEGEIDDSWVKEINCLEWESFAFHPSPLLVLVFERYKRASDNWKTLKELEKAIKVYWDANDRLPPRAVKIDLNIETDLAYALKAKECPQILFLRGNRILYREKEFRTADELVHMIAHFYYKAKRPLWVDKANVTPYC >fgenesh2_kg.7__1338__AT4G28580.1 pep chromosome:v.1.0:7:5697678:5699172:-1 gene:fgenesh2_kg.7__1338__AT4G28580.1 transcript:fgenesh2_kg.7__1338__AT4G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRS2-6 [Source:UniProtKB/TrEMBL;Acc:D7MDA8] MGSLRRSTSNRHRKKGTAVKMTRTPSSLPPPPPLPPINAIVGGTGKSKKRRGGVCLWTRFDRTGFMEVVGCDKSTIIERSSVSAKDLRTGFSHSSKILAREKAIVLNLEVIKAVITSEEVMLLDSLRPEVLTLTNRLKHHFPRKDGPEIAPSLGDQEGGEEGLENKLPFEFQVLEIALEVVCSFVDSNVVDLETQAWSILDELTKKVTNENLKDLRSLKSSLTILLARVQKVRDEIEHFLDDKEDMEDLHLTRKCIQNQQTEAPSNSIVPQSKERSASMVTEEDDVDDLEMLLEAYFMQLEGMQNKILMMKEHIDGAEAYVKILQNSRRNGLFHLMMVVNIANYAITAGTVVVNLFGMNIQIGLYSTPDIFGYVVWAVVALCIVLFMVTLGYAKRKKLLD >fgenesh2_kg.7__1339__AT4G28570.1 pep chromosome:v.1.0:7:5700342:5703063:-1 gene:fgenesh2_kg.7__1339__AT4G28570.1 transcript:fgenesh2_kg.7__1339__AT4G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-alcohol oxidase [Source:UniProtKB/TrEMBL;Acc:D7MDA9] MEEDRRRNRGHPLLRSMKREDGYNHGFSPSQIQSLAVICQTLLPPETTAEQQALNSFNVASSTQPPFTEEVAEMIVKNGRSEAVKVLKIILIILSFRFGTLLLCGSLCLDKSWPFVLKFSQLPLDKREEILRKWSRQSGFLLPFRITFFLAKFYTLFYFFSQTDENLKNPALETIGYCLDGIETSSNKKSEADKRRRPLETGIIETTHESDVTITQSLIGKGVHVARDDGDNVHRIRCDAVVVGSGSGGGVAAANLAKAGFKVLVLEKGNYFAPQDYSGLEGPSMLELYEKSGLLTTVDGKFMLLAGSTVGGGTAVNWSASIRTPDHVLQEWSEGSKIKFFGSQEYQSAMDEVMTRIGVTERCVKDGFQNQVLRKGCERLGLQVESVPRNSPEDHYCGLCGYGCRAGAKNGTDRTWLVDAVENGAVILTGIKAERFVLVDNTSSSNERKKRCVGVIASSVGGKIGKKFIIEARMTASSAGSLLTPPLMLSSGLKNPNIGRNLKLHPVLMTWGYFPEKDSEFSGKMYEGGIITSVHHMNDAESGCRAILENPLIGPASYAGLSPWVSGADLKERMIKYGRTAHVFALVRDIGSGEVMKESEVTYRTTKKDRENLRAGLRQALRVSVAAGAVEVGTYRSDGQRMKCEGITKEAMEEFLDEVDAVGGVSTKGEYWTTYFSAHQMGSCRMGATAEEGALDEIGESWEAEGLFVCDGSVLPSAVGVNPMITIQSTAYCISSKIVDSLQSKRKV >fgenesh2_kg.7__133__AT4G39260.1 pep chromosome:v.1.0:7:473699:474791:-1 gene:fgenesh2_kg.7__133__AT4G39260.1 transcript:fgenesh2_kg.7__133__AT4G39260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVEYRCFVGGLAWATQDHDLERTFSQFGDVLDSKIINDRETGRSRGFGFVTFKDEKAMRDAIEEMNGKELDGRTITVNEAQSRGSGGGGGRGGGGGGYRSGGGGGYGGGGGGYERRSGGYGSGGGGGGRGGGGYGGGSGRREGGGYGGGDGGSYGGSGGGGW >fgenesh2_kg.7__1340__AT4G28560.1 pep chromosome:v.1.0:7:5706724:5708088:1 gene:fgenesh2_kg.7__1340__AT4G28560.1 transcript:fgenesh2_kg.7__1340__AT4G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRTNLFLLLLLFLFTATLITSQQNDDDDDASPQLALDPSEQEAVYRVLDSVNSAISWRTIFPDDLCASPPDGVVCDYLYASQNGVATSVHVTEFHLGYLSDYTQNPPCSLNATLDPLLFTAFKHLRKLFFYKCFTGARVPLPETVPEDFGSVLEELVFIENPSLFGEIGAMIGNFTKLRRLVLTGNGFHGSIPGQIGDLVSIEEITLSRNSLSGGFPANATSSLKNLKVLDFSHNFLNGNAPDSIGDLTELLKLDLSFNEFTGEIPSGVGKLKKLEFLDLSYNRFGNFGVPRFLAEMPRLRELFLSGNKLGGRIPEIWKNLEGISGIGFSRMGLEGNIPTSMGSSLKNLCFLALDNNNLDGHIPEEFGFLDFAREINLENNNLTGKAPFSDCFRDRIGKKLKLSGNPNLQVKNSDHHLAGRALFSSARKVLLLPLICFPAALLALYILITQ >fgenesh2_kg.7__1341__AT4G28556.1 pep chromosome:v.1.0:7:5708228:5709673:-1 gene:fgenesh2_kg.7__1341__AT4G28556.1 transcript:fgenesh2_kg.7__1341__AT4G28556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAMSSTKMKSLLKGLRYISQVFAIESEKEQEMQIGNPTDVKHVAHIGWDGPSDNATAPSWMNDFKSSPGMESTTQLFGEDDSSVKCQSEFGGRSRDLPKLPKSTRKSSSEKGSPTKEKSDKTKRRTSNKGTSSSRRTKDEDSTSSSRRTKDEDSSWSQHSVGLPEIPKKSKRKKSKEGGNGGSSRSSRISEADKMSDTGSVRSIPQFEDDRNGF >fgenesh2_kg.7__1343__AT4G28540.1 pep chromosome:v.1.0:7:5713401:5717057:-1 gene:fgenesh2_kg.7__1343__AT4G28540.1 transcript:fgenesh2_kg.7__1343__AT4G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVIGGKFKLGRKIGGGSFGELFLAVSLQTGEEVAVKLEPAKTKHPQLHYESKIYMLLQGGSGIPSLKWFGVQGDYNAMVIDLLGPSLEDLFNYCNRRLTLKAVLMLADQLISRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKVSTPIEVLCKSYPPEFVSYFQYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTALKHPQSSARSHSSTHERHRTGKPGMAAGPSAEKPERISVGNIRDKFSGAVEAFARRNVRGPSPHQNHTRHRTLDEIPSMKPAVNMVSEKGRNTSRYGSASRRAVASGSRPSSSGEQRESRDSSRVASSGSGIRPSVFQRTQAAAAVSGYESKTASAFNRDRVAASRTARDEALRSFELLSIRK >fgenesh2_kg.7__1345__AT4G28530.1 pep chromosome:v.1.0:7:5733088:5737879:1 gene:fgenesh2_kg.7__1345__AT4G28530.1 transcript:fgenesh2_kg.7__1345__AT4G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC074 [Source:UniProtKB/TrEMBL;Acc:D7MDB4] MGLKDIGSKLPPGFRFHPSDEELVCHYLCNKIRVKSDYGDVEDDEVDEALKGSTDLVEIDLHICEPWELPDVAKLNAKEWYFFSFRDRKYATGYRTNRATISGYWKATGKDRTVMDPRTRQLVGMRKTLVFYRNRAPNGIKTTWIMHEFRLECPNIPPKEDWVLCRVFNKGRDSSLQDNSYNTDNQTQRLEVNDAPDLNYNHQLPPLLSSPLHNHQHEKMKIQVCDQWEQLMKQPSRTTDHPYHHHCHHHTISCGWEQMMIGSLSSSSSHGPDHESLLNLLYVNNNNSVNISGDHHQNYEKIFLSSPDMTSLDHDKTCMGSSSDGGMVSDLHMECGGLSFETENLLTFQ >fgenesh2_kg.7__1346__AT4G28520.1 pep chromosome:v.1.0:7:5738912:5741182:-1 gene:fgenesh2_kg.7__1346__AT4G28520.1 transcript:fgenesh2_kg.7__1346__AT4G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cruciferin PGCRURSE5 [Source:UniProtKB/TrEMBL;Acc:D7MDB5] MVKLGKLLVATFGVLLVLNGCLARQSLGVPPQLQNECNLDNLDVLQATETIKSEAGQIEYWDHNNPQLRCVGVSVARYVIEQGGLYLPTFFTSPKISYVVQGRGISGRVVPGCAETFMDSQPWEGQQGQQGQPWEGQQGQQGQPWEGQQGQQGQPWEGQGQQGQQGQRGQQGQQGQQGQQGQQGQQGQQGFRDMHQKVEHVRRGDVFANTPGSAHWIYNSGEQPLVIIALLDIANYQNQLDRNPRVFRLAGNNQQGGFGGSQQQQEQKNMWSGFDAQVIAQALKINVKLAQELQNQQDSRGNIVRVKGPFQVVRPPLRQPYESEEWRHPRSPQGNGLEETICSMRSHENIDDPARADVYKPNLGRVTSVNSYTLPILEYVRLSATRGVIQGNAMVLPKYNMNANEILYCTGGQGRIQVVNDNGQNVLDQQVQKGQLVVIPQGFAYVVQSHGNKFEWISFKTNENAMISTLAGRTSLLRALPLEVISNGFQISPEEARKIKFNTFETTLTRASGRQQQLIEEIVEA >fgenesh2_kg.7__1350__AT4G28510.1 pep chromosome:v.1.0:7:5741898:5743906:1 gene:fgenesh2_kg.7__1350__AT4G28510.1 transcript:fgenesh2_kg.7__1350__AT4G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNNVKVPKLPGGGAISALLKIGIIGGLGLYGATHSLYNVEGGHRAIMFNRLIGIKDKVYPEGTHLMIPGFERPIIYDVRARPYLVESTSGSRDLQMVKIGLRVLTRPMADQLPEIYRTLGENYSERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTARAANFNVALDDVSITTLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKRSAVIRAQGEAKSAQLIGQAIANNQAFITLRKIEAAREIAQTIANSANKVYLSSDDLLLNLQEMNLDVDAKK >fgenesh2_kg.7__1352__AT4G28490.1 pep chromosome:v.1.0:7:5747521:5750819:-1 gene:fgenesh2_kg.7__1352__AT4G28490.1 transcript:fgenesh2_kg.7__1352__AT4G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYCLILLLCLSSTYPSLSLNQDATILRQAKLSFSDPAQSLSSWPDNDDVTPCTWRGVSCDDTSTVVSVDLSSFMLVGPFPSILCNLPSLHFLSLYNNSINGSLSGDDFNTCRNLISLNLSENLLVGSIPKSLPFNLPNLKFLELSGNNLSDTIPASFGEFQKLETLNLAGNFLSGTIPASLGNVTTLKELKLAYNLFSPSQIPSQLGNLTELQVLWLAGCNLVGPVPSALSGLTRLVNLDLTFNRLTGSIPSWITQLKTVEQIELFNNSFSGELPEAMGNMTTLKRFDASMNKLRGKIPDGLNLLNLESLNLFENMLEGPLPESITRSKTLSELKLFNNRLTGTLPSQLGANSPLQYVDLSYNRFSGEIPANLCGEGKLEYLILIDNSFSGEISNNLGMCKSLTRVRLSNNNLSGHIPDEFWGLPRLSLLELSENSFTGSIHKTISSAKNLSNLRISKNQFSGSIPNEIGSLKGLIEISGAENDFTGEIPSSLVKLKQLSRFDLSKNQLSGEIPKGIRGWKNLNELNLANNHLSGEIPREVGMLPVLNYLDLSNNQFSGEIPLELQNLKLNVLNLSYNHLSGKIPPLYANKIYAHDFLGNPGLCVDLDGLCRKITRSKNIGYVWILLTIFLLAGLVFVVGIVMFIAKCRKLRALKSSNLAASKWRSFHKLHFSEHEIADCLDERNVIGSGSSGKVYKAELSGGEVVAVKKLNKTVKGGDEYSDSLNRDVFAAEVETLGTIRHKSIVRLWCCCSSGDCKLLVYEYMPNGSLADVLHGDSKGRVVLGWPERLRIALDAAEGLSYLHHDCVPPIVHRDVKSSNILLDRDYGAKVADFGIAKVGQMSGSKTPEAMSGIAGSCGYIAPEYVYTLRVNEKSDIYSFGVVLLELVTGNQPTDPELGDKDMAKWVCTTLDKCGLEPVIDPKLDLKFKEEISKVIHIGLLCTSPLPLNRPSMRKVVIMLQEVSGAVSCSSPNISKRSRSGGKLSPYYTEELNSV >fgenesh2_kg.7__1354__AT4G28480.1 pep chromosome:v.1.0:7:5753957:5756054:-1 gene:fgenesh2_kg.7__1354__AT4G28480.1 transcript:fgenesh2_kg.7__1354__AT4G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:UniProtKB/TrEMBL;Acc:D7MDC0] MGVDYYKVLQVDRSANDDDLKKAYRKLAMKWHPDKNPNNKKDAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLKGNVPPPNAGGGASYFSTGDGPSSFRFNPRSADDIFAEFFGFSTPFGGGGGGQRFASRMFGDDMYASFGEGAGGGGAMHHHHHHHHHGAARKVAPIENKLPCSLEDLYKGTTKKMKISREIVDVSGKAMQVEEILTIGVKPGWKKGTKITFPEKGNEHPGVIPADLVFIIDEKPHPVFTREGNDLIVTQKVSLADALTGYTANITTLDGRTLTIPITNVIHPEYEEVVPKEGMPLQKDQTKKGNLRIKFNIKFPARLTAEQKAGFKKLIG >fgenesh2_kg.7__1357__AT4G28460.1 pep chromosome:v.1.0:7:5762510:5763038:-1 gene:fgenesh2_kg.7__1357__AT4G28460.1 transcript:fgenesh2_kg.7__1357__AT4G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVSWSTVLIVVVMVALFFVEHVVVPAAAGRVLTEKSGDGSETMTVEKMKSTVDSWFQRLASGPSPRGRGH >fgenesh2_kg.7__1360__AT4G28430.1 pep chromosome:v.1.0:7:5771245:5773262:-1 gene:fgenesh2_kg.7__1360__AT4G28430.1 transcript:fgenesh2_kg.7__1360__AT4G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:D7MDC6] MDTTTTTPPSLRSNPKSALRLSRNNNTLVKSHIPSLGLVLSSPKNNGTPYPSPVSLNSPSSPVTLREILLLSPSPLRKSRTRLSNRFDMEAAEAAVAARRCKKKGGQNGLSTCASPSPRNFRRSRLRSEDTKENTEPIVVLTDEKKQKPRKQKKTGRSKKEKHSSVPLLPSPSPSSDQNEDVCQSDLERIRENISDLIMWKDVAKSTLWFGFGCICFLSSCFAAKGFNFSVFSAISYLGLLFLGVSFLSNTLRQRATEEARRELKLSEEDVLRIARRMLPITNLAISKTSELFSGEPAMTLKVAPFVLMGAEYGYLITLWRLCAFGFFLSFTIPKLYSCYASQINQKVESAQKRIVEAWGVCTHKKFVAGSAITAFWNLTSLKTRFIAVFIIVVVIRYRRQNLQLDSEDEVEKQQEQTHPEQQESPAEKSPSPSPSPPPPPPRSAEEEQALVVVAETEAPKKL >fgenesh2_kg.7__1361__AT4G28420.2 pep chromosome:v.1.0:7:5773643:5775472:-1 gene:fgenesh2_kg.7__1361__AT4G28420.2 transcript:fgenesh2_kg.7__1361__AT4G28420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transaminase [Source:UniProtKB/TrEMBL;Acc:D7MDC7] MNHNSNLVLPAYQTETQTQNETDISVWRFRGSDTAAKASSVTMRVIVYKLFDECSLDVKKPLLPLAHGDPSLYPCYRTSILVENAVVDVIRSGKGNSYGPAAGILPARQAVADYVNRDLTNKVKPNDVFITVGCNQGIEVVLQSLARPNANILLPRPSYPHYEARAVYSGLEVRKFDLLPEKEWEIDLPGIEAMADENTVAMVIINPNNPCGNVYSYDHLKKVAETAKKLGIMVITDEVYCQTIFGDNPFVPMGEFSSIAPVITLGGISKGWVVPGWRIGWTVLNDPKGILKSTGVVQSIQQNLDITPDATTIVQAALPEILGKANKEMFAKKNSILKQNVELVCDRLKDIPCLVCNKKPESCTYLLTKLKLPLLEDIEDDMDFCMKLAKEENLVLLPGVALGLKNWIRITIGVEAQMLEDALERLNGFCKRHLKKTESSFQALSNGKI >fgenesh2_kg.7__1364__AT4G28400.1 pep chromosome:v.1.0:7:5782095:5784206:-1 gene:fgenesh2_kg.7__1364__AT4G28400.1 transcript:fgenesh2_kg.7__1364__AT4G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNILHKIKLKAGFCGSAPDMGRGKSKMWKNITHGFHCVKGKSSHPMEDYVVSEFKKLEGHELGLFAIFDGHLGHDVAKYLQTNLFDNILKEKDFWTDTENAIRNAYRSTDAVILQQSLKLGKGGSTAVTGILIDGQKLVVANVGDSRAVMSKNGVAHQLSVDHEPSKEKKEIESRGGFVSNVPGDVPRVDGQLAVARAFGDKSLKIHLSSEPDITHQTIDDHTEFILFASDGIWKATSFWTTSSNMMVNSIKDPHAAAQHLIEEAISRKSKDDISCIVVKFH >fgenesh2_kg.7__1367__AT4G28390.1 pep chromosome:v.1.0:7:5791059:5792997:1 gene:fgenesh2_kg.7__1367__AT4G28390.1 transcript:fgenesh2_kg.7__1367__AT4G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKHPSVFQKLHGQSYLINRLSPIEQTRDYGVSGAYVNGGLQSLLQSTSHGIGSSLIPHHGSFPVLAQAPSEKTGTGFLIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGISDCFARTVKDEGVIALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKEKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGQRQFNGMVDVYKKTIASDGIVGLYRGFNISCVGIVVYRGLYFGLYDSLKPVVLVDGLQDSFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSLQAFSQIVKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQLIVLGKKYGSGGG >fgenesh2_kg.7__1369__AT4G28380.1 pep chromosome:v.1.0:7:5793351:5794518:1 gene:fgenesh2_kg.7__1369__AT4G28380.1 transcript:fgenesh2_kg.7__1369__AT4G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MDK2] MALSLTFPYQPQSIAFTTIQVTPQTLNSIFSKRTVHAWKKVIYSDPKNLTADWVGPSVCSYTGIFCAPSPSDPNSLVVAGIDLNHGDIAGFLPEAIGLLSDLALIHLNSNRFCGILPRSLANLSLLYELDLSNNRFVGPFPDVVLALPSLKYLDLRYNEFEGPLPPKLFSNPLDAIFVNNNRLTSLIPRDFTGTTASVVVFANNDFSGCLPPTIARFADTLEELLLVNSSLSGCLPPEVGYLYKLRVLDMSYNSFVGPVPYSLAGLGHLEQLNLEHNMFTGTVPLGVCVLPSLLNVTLSYNYFSEEEGICRNLTSRGIAIDDRYNCLPDKPLQRSQKVCDAVLEPIDCYDHKCSAMAPLVAPATAGPSIAPGPADT >fgenesh2_kg.7__1372__AT4G28330.1 pep chromosome:v.1.0:7:5807308:5807896:1 gene:fgenesh2_kg.7__1372__AT4G28330.1 transcript:fgenesh2_kg.7__1372__AT4G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEEQETMTSYKLFLRVISKRRTWACLFLVVYAILLSSSWNSLNSIVNWYGENHQTSSGLPAIYASVLLGAVFGVLSMAAALFIAVPAIVVIWISVVVTMAFAGKSRKRVVIEGRKVTKEIAGFVFRVLLKEGNFVALLCALLAYFVFFNSYSSSS >fgenesh2_kg.7__1373__AT4G28320.1 pep chromosome:v.1.0:7:5810551:5812529:1 gene:fgenesh2_kg.7__1373__AT4G28320.1 transcript:fgenesh2_kg.7__1373__AT4G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 5 protein [Source:UniProtKB/TrEMBL;Acc:D7MDK8] MVPTRNRPMLRILGFFICAAFIYLSFRDLWLNHKGKAKLGFVKRNGTQFVVDDKPLYVNGWNSYWFMDHAVDEHSRNLVGEMLEAGAKMGLTVCRTWAFNDGGYNALQISPGRFDERVFQALDHVIAEARKHDVRLLLSLVNNLQAYGGKTQYVNWAWQEGVGVSSSNDSFFFDPSIRNYFKNYLKVLLTRKNSVTGIEYRNDPTIFAWELINEPRCTTDVSGKTLQDWIDEMTGFIKSIDDKHLLTVGLEGFYGPNSPKRLTVNPEQWASELGTDFVQNSNSSNIDFASVHIYPDHWFHNQTFEEKLKFVVKWMQSHIEDGFKELKKPVLFTEFGLSNLNKDYEPSQRDKFYRIIFDVIYKSAKRKKSGAGTLVWQLFMEGMETFSDDFGIVPHEQDSIYKLMIEQSCRLGKVTGRLKEQNLKELCSHKH >fgenesh2_kg.7__1374__AT4G28310.1 pep chromosome:v.1.0:7:5812669:5813386:-1 gene:fgenesh2_kg.7__1374__AT4G28310.1 transcript:fgenesh2_kg.7__1374__AT4G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MDK9] MMTPVKKNVSIRPVTFYGNGLPRPRFFDNPKFNAYRVDPPVSVLDPLLSWARDAHWSMGGLNFTRLRLQGRIEGNVNKLRAQLEKSSPVKLESGMKKKRSGSESPPAAPIVLKRRRYLDLNDSDDDEEVGSEDEGVVRIRRKLSDDFDRVAGESMTKLVEANKKSIKSELVEKTRLKEKKKKIDKLNKTSSMRSSPRLAKRSS >fgenesh2_kg.7__1375__AT4G28300.1 pep chromosome:v.1.0:7:5813780:5816212:-1 gene:fgenesh2_kg.7__1375__AT4G28300.1 transcript:fgenesh2_kg.7__1375__AT4G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSGRVNSGSKGFDFGSDDILCSYDDYTNQDSSNGPNSDPAIAAANSNKEFHKTRMARSSVFPTSSYSPPEDSLSQDLTDTVERTMKKYADNMMRFLEGISSRLSQLELYCYNLDKTIGEMRSELTHEHEEADVKLRSLDKHLQEVHRSVQILRDKQELADTQKELAKLQLVQKESSSSSHSQHGEDRVATPVPEPKKSENTSDAHNQQLALALPHQIAPQPPVQPQPQPQQQQYYMPPPTQLQNTPAPVPVPTPPSQPQAPPAQSQFMPPPPAPSHPSSAQTQSFPQYQQNWSPQPQARPPSSGGYPTYSPIPPSNQSPVESLPNSMQMQSPYSGPPQQSMQAYGYGAPPPQAPPQQTKMSYSPQTGDGYLPSGPPPPAGYANAMYDGGRMQYPPPQPQQQQQQAHYLQGPQGGGYAPQPHQSGGGNTGAPPVLRSKYGELIEKLVSMGFRGDHVMAVIQRMEESGQPIDFNALLDRLSGQSSGGPPRGW >fgenesh2_kg.7__1377__AT4G28290.1 pep chromosome:v.1.0:7:5819263:5819996:1 gene:fgenesh2_kg.7__1377__AT4G28290.1 transcript:fgenesh2_kg.7__1377__AT4G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTGIIAASISVAASATAISAGSTNTSVSFSSPESNYSHQDSKEKQRKKKSSSEDGGEEKFAPRFDGLRFIETLVTAHR >fgenesh2_kg.7__1378__AT4G28280.1 pep chromosome:v.1.0:7:5820313:5821174:-1 gene:fgenesh2_kg.7__1378__AT4G28280.1 transcript:fgenesh2_kg.7__1378__AT4G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSYFVNDILTACKEDFAAKNYTIITSKCKGPNYPAKVCCSAFKDFACPFAEVLNDEKTDCASTMFSYINLYGRYPPGIFANMCKEGKEGLDCTNVTATSSSHASIPFVSTHALLITVFILFRLF >fgenesh2_kg.7__1379__AT4G28270.1 pep chromosome:v.1.0:7:5823489:5824930:1 gene:fgenesh2_kg.7__1379__AT4G28270.1 transcript:fgenesh2_kg.7__1379__AT4G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MDL3] MEIENDENDTTLVDSGGDFDCNICLDQVRDPVVTLCGHLFCWPCIHKWTYASNNSRQRVDQYDSKREPPKCPVCKSDVSEATLVPIYGRGQKTPQSGSTVPSRPSGPVYDLRGVSQRLGEGQSQRYMYRMPDPVMGVVCEMVYRRLFGESSSNMAPYRDTNVRSRRRAMQAEESLSRVYLFLLCFMFMCLLLF >fgenesh2_kg.7__137__AT4G39270.1 pep chromosome:v.1.0:7:476714:479869:1 gene:fgenesh2_kg.7__137__AT4G39270.1 transcript:fgenesh2_kg.7__137__AT4G39270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLKKTSLLVFFFFFVLLLESTFEQQTSSLDEKSALLLLRSSLGLRSRDWPVRGNPCLNWNGIECDQKGRVIKVNISGFRRTRLGNRNPQFSVDSLVNLTRLASFNASRFSLPGPIPVLFGSSLLTLEVLDLSSCSITGTIPESLTRLTHLKVLDLSKNAINGDIPLSLTSLRNLSILDLSSNSVFGLIPANIGALSKLQHLNLSRNTLYSSIPPSLGDLSALVDLDLSFNDLSGSVPSDLKGLRNLQTLVIAGNSLSGSLPPDLFSFLSKLHIVDFRGSGFIGALPSRLWLLPELKFLDLSGNHFSDMLPNTTVSFDSTVSMLNISGNMFYGNLTLLLRRFQVVDLSENYFEGKVPDFVPTNASLSNNCLQGPANQRKSSDCASFYSNKGLTFNNSGPPEEKKSPKSSWLSHTKIVILAAVGGSILLMLILIVLPITVSFCVRRRNRTSTSNHPRGRHNGVGPLPPDETLPSRGGVSINFGSLGSSFNYQQLLNATKDFSDSNLIKKGHSGDLFKGVLENGVQIVVKRISLESTKNNEAYLTELDFFSRFAHPRIVPFVGKSLESTTHKFLVYKYMLNRDLPSSLFYKSNSLVDNGLRSLDWITRLKIALGVAEGLAYLHHDCSPSVVHRDVQASSILLDDKFEVRLGSFSKACHQENNGRPRKIARLLRLSQSSQESVPGSPATATCAYDVYCFGKILVELITGKLGISSCQDTQFKKILTEIMPYISSQQKEPVMNILDQSLLVDEDLLEEVWAMAIVARSCLNPKPTRRPLMRHIVQALENPLRVVREDSSESERFRTTGSSRGSSSSGRIFGSWRQSVSDPVAAGTSSLLSQAEGLATGSSTRESSRGASSRPSMKDV >fgenesh2_kg.7__1380__AT4G28260.2 pep chromosome:v.1.0:7:5825624:5828066:-1 gene:fgenesh2_kg.7__1380__AT4G28260.2 transcript:fgenesh2_kg.7__1380__AT4G28260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKELGLPKSSINLKEQLARTTLKNLRLQGHTYIELREDGKRFVFFCTLCLAPCYSDTILLGHLNGNLHKERLACARLTLLGTNPWPFSDGVLFFDSSTGEEEEKTPVSGGASVPGTLGHCSDDDRFAIVKYDNNKANGGNQPAAVTDDEPSHSTDDLLISGVLIKERTLDVEAKFIGFGRIAARLFETKGRTTWIDKLWCEWLGDEGPSDEEKATIPEHDFAIVTFSYFYNLGRLGLLDDPSRLLTTSQSESGNGEDSGRKRKKSFSDPEDTSESLCNQYDSSEEVSSGHNSNSSRALIADYDDSLMSKRVVKNKTVRRELRRQQRIFSERICEVCKQKMLPGKDAAAILNMKTGNLACGSRNLLGAFHLFHVSCVVHWFLFCESEILGNKMVSGKGKKRCTKHSSGQTGVKWNELANDVSWQIFSVFCPECQGTGINIEGGVIERDTFPLSQTWRFQVKVSEGRKAWVKNPEKLKNCSTGFHFPQQADESGQIPVQEERVQMMKLVRFYRVEL >fgenesh2_kg.7__1383__AT4G28250.1 pep chromosome:v.1.0:7:5830691:5832689:1 gene:fgenesh2_kg.7__1383__AT4G28250.1 transcript:fgenesh2_kg.7__1383__AT4G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXPB3 [Source:UniProtKB/TrEMBL;Acc:D7MDL5] MQLSPVMLATLCIVLQLLIGSSALSTTNRHVSNSHWLPAVATWYGSPNGDGSDGGACGYGTLVDVKPLHARVGAVNPILFKNGEGCGACYKVRCLDKSICSRRAVTVIITDECPGCSKTSTHFDLSGAVFGRLAIAGESGPLRNRGLIPVIYRRTACKYRGKNIAFHVNEGSTDFWLSLLVEFEDGEGDIGSMHIRQAGAREWLEMKHVWGANWCIVGGPLKGPFSIKLTTLSAGKTLSATDVVPRNWAPKATYSSRLNFSPVL >fgenesh2_kg.7__1384__AT4G28240.1 pep chromosome:v.1.0:7:5839332:5840348:1 gene:fgenesh2_kg.7__1384__AT4G28240.1 transcript:fgenesh2_kg.7__1384__AT4G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLNKVWMAASFVAVQGNADHGVKLKSGLTSAHRLQRRLSSDLRPLAAADLTGDSLPSEERRRTSSSTPDESLRQVMYLNCWAQG >fgenesh2_kg.7__1385__AT4G28230.1 pep chromosome:v.1.0:7:5840338:5841887:1 gene:fgenesh2_kg.7__1385__AT4G28230.1 transcript:fgenesh2_kg.7__1385__AT4G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIEATETLNAPPKLQIWNNAAFDDGDSQITSAIEASWSHLNESFDSDCSKENQFPISVSSSLRSSVSITEAPSAKSKIGKTKSGADRSKKRDERDIDAEIEEVEKEIGRLSTRLESLRLEKAEQTARSIAIRGRIVPAKFMESQKQVKFDESSFTGSKSRTTRRGVSLGPAEIFNSAKKSETVTPLQSAQNRRKSCFFKLPGIEEGKVTTRGKGRTSLSLSPRSRKAKMTAAQKQAATTVGSKRAVKKEEGVLLSIQPKRLFKDDEKNVSLRKPLKPGRVVASRYSQMGKTQTGEKDVRKRSLPENEEKENNHRSEKRRASDENSNKSEGRVKKKWEIPSEVDLYSSGVNDDESPIGKELPKIRTLRRLGGSPRDSGAAKRVAELQAKDRNFTFCQLLRFEE >fgenesh2_kg.7__1389__AT4G28190.1 pep chromosome:v.1.0:7:5850446:5852341:-1 gene:fgenesh2_kg.7__1389__AT4G28190.1 transcript:fgenesh2_kg.7__1389__AT4G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEEMQCGSMLFKQEELQEMSGVNVGGDYVEVMCGCTSHRYGDAVARLRVFPTGDLEISCECTPGCDEDKLTPAAFEKHSGRETARKWKNNVWVIIGGEKVPLSKTVLLKYYNESSKKCSRSNRSQGAKVCHRDEFVGCNECGKERRFRLRSRDECRLHHNAMADANWKCSDFPYDKITCEEEEERGSRKVYRGCTRSPSCKGCTSCVCFGCELCRFSECTCQTCVDFTSNVKA >fgenesh2_kg.7__1390__AT4G28180.1 pep chromosome:v.1.0:7:5854117:5855025:1 gene:fgenesh2_kg.7__1390__AT4G28180.1 transcript:fgenesh2_kg.7__1390__AT4G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MDM2] MGCCFSAGKVTPPPVAAAQVPPPIEEESVKEVVVQSVSVSVPVTVPDLVPSAPTVSDSEAQVPRPLSPPAPEISQNKSDICSVSVSHSYSTATTATTATAASILEDDALSKPHRPPPPSASTSRRNRPDRVLRSPAERHSPQGKQLRPRLVRERQSRQPNPTHNRRNVDSGPLPRSGLSDNPRRRSQSPATRGPSSARRSPMKKRVGAPEKDGATEVKKKEEEEEAKLTVEVRKEEDVTTVRDPEVSMECFI >fgenesh2_kg.7__1392__AT4G28160.1 pep chromosome:v.1.0:7:5856913:5857523:-1 gene:fgenesh2_kg.7__1392__AT4G28160.1 transcript:fgenesh2_kg.7__1392__AT4G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLQNLILTTICLVSLLLGCTEEVIGMRYIPNSPTPSESKHSDFLVNMVPQPSGLIPGFGRFLLPPTPKLPFLPYKDPLASAPTTSHGIPICYKARSPSSREDQVPPVPQP >fgenesh2_kg.7__1393__AT4G28150.1 pep chromosome:v.1.0:7:5858815:5860457:1 gene:fgenesh2_kg.7__1393__AT4G28150.1 transcript:fgenesh2_kg.7__1393__AT4G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDNESNLDRFLRCTTPIVPAYSLPKTQIKNLNRLWYPLESQSVEYFRLGDFWDCFDEWSAYGAGVPIVSETGETLVQYYVPYLSAIQIFTSHSVLNALREETESGDSGSESCSEEWRWERCSSSEEGFDHQEPLDRLGYSYLQYFERCTPYSRVPLMDKIKELGERHAGLRSLRSIDLSPASWMAVAWYPIYHIPMNRSIKDLSTCFLTYHTLSSSFQDLKKEEEKERISVSAFGMATYKTQGRLWDSDRLLCFLSVADSWLKQLRVHHHDFTYFTTTPHYL >fgenesh2_kg.7__1395__AT4G28140.1 pep chromosome:v.1.0:7:5862335:5863510:1 gene:fgenesh2_kg.7__1395__AT4G28140.1 transcript:fgenesh2_kg.7__1395__AT4G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MDM6] LNLCITKGKNVDHSFGEASSTSPRSMKKMKSPNRPKPYFQSSSSPYSLGAFPFSLDPTLQNQQQQLGSYVPVEQQHDPTMQGQKQMISFSPQQQQQQYMAKYWSDTLNLSPRGRMMIMSQETVQPYSATKLYRGVRQRQWGKWVAEIRKPRSRARIWLGTFDTAEEAAMAYDRQAFKLRGHSATLNFPEHFVNKESELHDSNSSDQKEPETPQPSEVNLESKELAVIDVGRDEGMAEAWYNAVTSGWGPESPLWDDLDSAHQFSESSSSSSLSCPMRP >fgenesh2_kg.7__1398__AT4G28100.1 pep chromosome:v.1.0:7:5871384:5873133:1 gene:fgenesh2_kg.7__1398__AT4G28100.1 transcript:fgenesh2_kg.7__1398__AT4G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLTLLILLLCSLLCSTVLSNLLAEPVQPNTVPAFPVETQAQSCRLDLSNELFGGVNEACGRNLDRSRCCPVLAAWLFAAHARSALQLPAPAPTPESSDPDEPMKPDDSQKCVNTLQSALLIKQIKIPQPNSSCDAILCFCGIRLHQISSLSCPAAFNVSSGFKNATPTAAVKNLEKECRNSSYSGCTRCLGALQKLNVRGGNKKTTTERGSKMMSKDCKLMGLTWLLARNKTAYIPTVSAVLRAIMYSPHPPHLNKCSPDQENMPLAVDSLQFERSLSSSSHLFGVLPFLPLVLCIVLFLL >fgenesh2_kg.7__13__AT4G38210.1 pep chromosome:v.1.0:7:39651:40829:-1 gene:fgenesh2_kg.7__13__AT4G38210.1 transcript:fgenesh2_kg.7__13__AT4G38210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXPA20 [Source:UniProtKB/TrEMBL;Acc:D7MEW9] MDSGLQQLALCLFFILCRLFQATAEDDWKIATATLSRDRDGSSSVATGGACGYGDLRQSSFGGYSAGLSGKLFNRGSSCGACLEVRCVNHIRWCLQGSPSVVVTATDFCPPNSGLSSDYGGWCNFPKEHLELSHAAFTGIAETRAEMIPVQYRRVKCGRRGGLRFSLSGSSHFFQVLISNVGLDGEVIGVKVKGHTTAWIPMARNWGQNWHSSLDLIGQSLSFEVTLKGGKTIASYDVAPPYWRFGMTYQGKQFHS >fgenesh2_kg.7__1402__AT4G28085.2 pep chromosome:v.1.0:7:5877569:5877995:-1 gene:fgenesh2_kg.7__1402__AT4G28085.2 transcript:fgenesh2_kg.7__1402__AT4G28085.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMIKARRILLFSIVFLFFFSQALLLCSANEHGSRNLAVVMKKRVKYRGPRNTTTSAASAMMFPSSFSIGAASSFLLALLL >fgenesh2_kg.7__1403__AT4G28070.2 pep chromosome:v.1.0:7:5891820:5894428:-1 gene:fgenesh2_kg.7__1403__AT4G28070.2 transcript:fgenesh2_kg.7__1403__AT4G28070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase [Source:UniProtKB/TrEMBL;Acc:D7MDN4] MRLLLLSLRRVTPLSRHQRYAFAPIHNCLISINPSSPSSSLVFSVSSFCSSPHSNGDGKIAGPLVEYERRIVAGELLDGDLCQLGTLRELQRLYDELVQSADACRLDRYSASAKSTRTNWFWNKFVSHSSVSPVKGLYLYGGVGTGKTMLMDLFFHQLPGSWRTQRIHFHNFMLSVHSRLQKHKGLEDPLEVVGLEIADEAILLCLDEFMVNDVADALILNRLFRHLFNNGIILVATSNRAPDNLYEGGLQRDLFLPFISTLKERCVVREIGSSVDYRKLTSAEEGFYFIGKDISGLLKQKFQLLVGDQPAGPQVVEVVMGRKLQVPLAADGCAYFLFEELCDRPLGAADYLGLFKKFHTLALEGVPIFGLHNRTAAYRFVTLVDVMYETKARLLCTAEGGPIELLERIVTISDAQQIAPRTSSRSRKSDDPDLCVDNELGFAKDRTISRLTEMNSKEYLEQHSRMLQEKQPSS >fgenesh2_kg.7__1409__AT4G28040.4 pep chromosome:v.1.0:7:5897483:5899621:-1 gene:fgenesh2_kg.7__1409__AT4G28040.4 transcript:fgenesh2_kg.7__1409__AT4G28040.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7MDN7] MEISKYKAVLALVMLQFTSAGVALFTKAAFMEGLNPTVFVVYRQAIATLFICPISFISAWRKENKPSLGVRGFWWVALTAFIGVTVNQNAYFKGIDLSSSSMACAMTNLIPAVTFIISIIVGFESIKRKSMKSVAKVIGTGVCVGGAMAMTFLRGPKLLHALLNQDNTAWLLGCFFLLISTFAWSLWLILQVPIASHCPDHLYTSACTCFMATIASFFMALALGNTHLPSWKLDSSLKLSCCIYSGFQLAISFFLQAWVVSQKGPLFSALFNPLSAVIVTFFGALYLKEQTYLGSLLGALAIILGLYIVLWGKSEDYQEESTDLKLENEYTTSSQSDIVSIMIGDKAFRSSELLEPLLM >fgenesh2_kg.7__140__AT4G39300.2 pep chromosome:v.1.0:7:486303:487801:1 gene:fgenesh2_kg.7__140__AT4G39300.2 transcript:fgenesh2_kg.7__140__AT4G39300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCRSALMAGSRNLVSRSRTVTQKSLNLKPTTTSSPFASMSQSIPRASRVLSALGSVETMIPLHSAVASARLRSSIAADSSCWSLLSQELGVPR >fgenesh2_kg.7__1413__AT4G28025.1 pep chromosome:v.1.0:7:5902597:5904506:1 gene:fgenesh2_kg.7__1413__AT4G28025.1 transcript:fgenesh2_kg.7__1413__AT4G28025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTSFSSAPPIFFFPGADPQLTSTNVRFTTPSSSLVKGLRRHQDVKLVGNRARVGVVRVLANPNVSPPPPGKAKVKKEVIMVDPLEAKRLAGKQMEEIKGREKQQRRREIEAINGAWAIIGLTIGLVIEAQTGKGILAQLAGYWSAVVHLFVPST >fgenesh2_kg.7__1414__AT4G28020.1 pep chromosome:v.1.0:7:5925659:5927577:1 gene:fgenesh2_kg.7__1414__AT4G28020.1 transcript:fgenesh2_kg.7__1414__AT4G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGSSNGYTTAALALTLAVVSVSAAVSLYRWRRRGEDLEYRIKELEKSLGSTMEKSASERKGRVKAQQALREALAQTESHDLHSTIYPMRPIGTIRSCFSTRNGTPRQPLLVSLARACLVCDPALVPPASLEGLEEYSHCWIVYVFHLNTDIEKLWRKPSQSKLKAKVRVPRLNGERKGVFATRSPHRPCPIGLTVAKVEEIQKDKVRLSGVDLVDGTPVLDIKPYLPYSDSIQGASVPNWVKEDCLLAVASVTFSDTFSSSITSCWKLIEKKSLYSSAEEFRSLITQVLSWDIRSMSQRNKPQDTLNAEIVYHLVLEGLDVSYMIDNESNILVQDVSLPKNLQDFAGR >fgenesh2_kg.7__1416__AT4G28000.1 pep chromosome:v.1.0:7:5931771:5935730:-1 gene:fgenesh2_kg.7__1416__AT4G28000.1 transcript:fgenesh2_kg.7__1416__AT4G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:UniProtKB/TrEMBL;Acc:D7MDP5] MEQKSVLFSALGVGVGLGIGLASGQSLGKWANGSISAEDGLTGEKIEQELVRQIVDGRESSVTFDEFPYYLSEKTRLLLTSAAYVHLKQFDISKHTRNLAPGSKAILLSGPADTEQVRMRQERTWTLRRHTSGNDLHSRGFDVTSHPPRLKRNASAASDMSSISSRSATSVSASSKRSANLCFDERLFLQSLYKVLVSISETNPIIIYLRDVEKLCQSERFYKLFQRLLTKLSGPVLVLGSRLLEPEDDCQEVGEGISALFPYNIEIRPPEDENQLMSWKTRFEDDMKVIQFQDNKNHIAEVLAANDLECDDLGSICHADTMFLSSHIEEIVVSAISYHLMHNKEPEYKNGRLVISSTSLSHGLSILQEGNGCFEDSLKLDTNIDSKEVAPDNEFEKRIRPEVIPANEIGVTFADIGSLDETKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMMAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLMSNAGDRILVLAATNRPFDLDEAIIRRFERRIMVGLPSVESREKILRTLLSKEKTENLDFHELAQMTDGYSGSDLKNFCTTAAYRPVRELIKQECLKDQERKKKEEAKKSSEEGSETKEEVSEERVITLRPLSMEDMKVAKSQVAASFAAEGAGMNELKQWNDLYGEGGSRKKEQLSYFL >fgenesh2_kg.7__1418__AT4G27980.1 pep chromosome:v.1.0:7:5937514:5939334:-1 gene:fgenesh2_kg.7__1418__AT4G27980.1 transcript:fgenesh2_kg.7__1418__AT4G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGGDSVESDVTELNSEGYIKILDQIHEKASSIFEFSLHFDDVSDSLEKREERLRVVEMKEKEIGLLEESVSRRLSVLEEKEIESDLRLVIEASIMRLVLEKQSEYVVTHLKTQENKLGLLLHSTTKKLEDLMSEFDGKKEEACRIFEKFCELEKAEKEFEMKQRAETERLNEESEAREKELRELEEAVKEKTAELKRKEETFELKLKEEADRLNEETELKRKGLEIKEKTLEKRLKELELKQMELEERSRPQLVEAESRKRSNLEIEPPLLVKNDDSDVDFLTPQAKKQKSQEANDGDIEGIVCTDETDKDPKPLTCLDTKFSDFSKSMSSFAVDQVWALYDPRDDMPRTYVQIREIFDSQLSLQVTLLGPVKTTTGEQSILSGCGRFEYGDTEIKSHLMFAHEMDHIKCAENVIVNPRKGETWALFRDWNASWNSQPDLHEPPYRYDFVEVISEFEDLIGILVAYMGRVEGFESVFNRAEQHGYIKIVIPPGEMQKFSHKVESVKLSGKEEEGIPFTSFKLNPAAIPRYYHVLEEVVETKIQIKAPTVVHQNGSTKDLPIIID >fgenesh2_kg.7__1419__AT2G07110.1 pep chromosome:v.1.0:7:5941171:5941738:-1 gene:fgenesh2_kg.7__1419__AT2G07110.1 transcript:fgenesh2_kg.7__1419__AT2G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIELSRLYFQGIQKFYLHNTDLKQERRRTKMLRRLVLNFSSGGVFCCLSMTKVEREYETILKKTLQNICVLTVVTNTATSVIIQVVHDGGYVSSLCSLHLGKHLLMLSETHIIRYKQCLFEYL >fgenesh2_kg.7__1429__AT4G27890.1 pep chromosome:v.1.0:7:5986621:5988113:-1 gene:fgenesh2_kg.7__1429__AT4G27890.1 transcript:fgenesh2_kg.7__1429__AT4G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear movement family protein [Source:UniProtKB/TrEMBL;Acc:D7MDQ6] MAIISEMEEARPSMVPFSASFDPSNPIGFLEKVLDVIGKESNFLRKETAEKEIVAAVRAAKERLREAEKKKLEKENVKPTEPMEVEKAKKDSLKATGAMEVEKATDPMEVEKPKEEKESGPIVPNKGNGLDFEKYSWGQNLQEVTINIPVPEGTKSRSVTCEIKKNRLKVGLKGQDLIIDGEFFTSVKPDDCFWNIEDQKMISVLLTKQDQMEWWKYCVKGEPEIDTQKVEPESSKLGDLDPETRASVEKMMFDQRQKQMGLPTSDEIEKKDMLKKFMAQNPGMDFSNAMFK >fgenesh2_kg.7__1431__AT4G27840.1 pep chromosome:v.1.0:7:6040277:6041424:1 gene:fgenesh2_kg.7__1431__AT4G27840.1 transcript:fgenesh2_kg.7__1431__AT4G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNPRLLFYSCIAKGTVILAEFASKEEPGIEDLALRCIENIPPHHSMISHTVHKRTYALIIDGLFSYFAILDEVVAKSESIWLFNRLKSATESLMEDGSTADSLDNPTQHCLQSKLDPIFAEIANGGGNTNNNKDLELELGMAGSPRSITREIKNNQSLDSSRGRKGGALMPLLGKPLRVLKNKKRLQTEGKSEGNWNEKKMDLGGGKGVRNGLMIHDHHRQKAKQIWRKHVWIVLMFDLCICVVLFGIWLWVCQGFQCMEG >fgenesh2_kg.7__1432__AT4G27830.1 pep chromosome:v.1.0:7:6042111:6044917:1 gene:fgenesh2_kg.7__1432__AT4G27830.1 transcript:fgenesh2_kg.7__1432__AT4G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7MDR3] MKHFSLLFILLVILLATSYSDAFTRNNFPKDFLFGAATSAYQWEGAVDEDGRTPSVWDTFSHSYNKGNGDITSDGYHKYKEDVKLMAEMGLESFRFSISWSRLIPNGRGLINPKGLLFYKNLIKELKIHGIKPHVTLYHYDLPQCLEDEYGGWINRKIIEDFTAFADACFREFGEDVKLWTTINEATIFAIGSYDQGISPPGRCSPNKFINCTTGNSSTEPYLAGHNILLAHASASKLYKLKYKSKQRGSIGLSIFAFGLSPYTNSKEDEIATQRAKAFFYGWMLKPLVFGDYPDEMKRTVGSRLPVFSEEESEQVKGSSDFIGIIHYLTLYVTNQPSPSIFPSMSEGFYKDMGVYMISAGNSSFLAWEATPWGLEGILEYIKQSYNNPPIYILENGMPMGRVSTLQDTQRIEFIQAYIGAVLNAIKNGSDTRGYFVWSMIDLYELLTGYTTSFGMYYVNFSDPGRKRTPKLSASWYTGFLNGTIDVSSQDTIQLQRNISGSSSL >fgenesh2_kg.7__1434__AT4G27810.1 pep chromosome:v.1.0:7:6054926:6055975:1 gene:fgenesh2_kg.7__1434__AT4G27810.1 transcript:fgenesh2_kg.7__1434__AT4G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEFDDQERFCATPKLPLFSKPLSRACETPGLATPPVNIAGSVPFLWEEAPGKPRVSDENKPPASKQNAEGGGGVVRCLELPPRLFFPAENEPSPTTVLDGPYVIPRRSLSVIRRSDGASEGRFDFSRSTNGRCFDRDGGGGITVKISRVKRKGSLLNLSHSSQFLARVYQGFKQAIPWRRRQDNLPRMSSSNI >fgenesh2_kg.7__1437__AT4G27800.1 pep chromosome:v.1.0:7:6056335:6058660:1 gene:fgenesh2_kg.7__1437__AT4G27800.1 transcript:fgenesh2_kg.7__1437__AT4G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRPHLHRFHSNTLRYSASPSAEAGGGLVVYPTYGRHRCSAIAIDAPSSLTGVTPIRWGYTSVQGFRDEMEDDIVIRSDALDSFSYAAVFDGHAGSSSVKFLRDELYKECIGALQAGSLLNGGDFTAIKEALIKAFESVDRNLLKWLEANGDEEDESGSTATVMFIRNDVSFIAHIGDSCAVLSRSGQIEELTDSHRPYGSSKAAIQEVKRIKEAGGWIVNGRICGDIAVSRAFGDIRFKTKKDEMLKKGVDEGRWTEKFVSRIECKGDMVVATPDIFQVPLTSDVEFIILASDGLWDYMKSSDVVRYVRDQLRKHGNLACESLAQVALDRRSQDNISIIIADLGRTEWKNLPAQRQNVVVELVQAAATIGLVTVGIWMSSHLS >fgenesh2_kg.7__1440__AT4G27760.1 pep chromosome:v.1.0:7:6065663:6068277:-1 gene:fgenesh2_kg.7__1440__AT4G27760.1 transcript:fgenesh2_kg.7__1440__AT4G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forever young oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7MDR8] MSDETASSFSPAKKKQNLGWMEWMRGWSSVFGEILFQRITASHLENPLPLPSVNDLTCVVTGSTSGIGRETARQLAEAGAHVVMAVRNTKAAQELIHQWQNEWSGKGLPLNIEAMEIDLLSLDSVARFAEALNARLGPLHVLINNAGMFAMGEAQKFSEEGYEQHMQVNHLAPALLSVLLLPSLIRGSPSRIINVNSVMHSVGFVDPDDMNVVSGRRKYSSLIGYSSSKLAQIMFSSILFKKLPLETGVSVICLSPGVVLTNVARDLSRFLQALYAVIPYFIFSPQEGCRSSLFSATDPQIPEYWETLKNDDWPVCPFISQDCRPANPSEEAHNTETAQRVWEKTLELVGLPLDAVEKLIEGENIQCRYGAQHE >fgenesh2_kg.7__1444__AT4G27730.1 pep chromosome:v.1.0:7:6076991:6079703:1 gene:fgenesh2_kg.7__1444__AT4G27730.1 transcript:fgenesh2_kg.7__1444__AT4G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOPT6 [Source:UniProtKB/TrEMBL;Acc:D7MDS1] MGEIATDFTAVMDDDDRCVVPEVELTVPKTDDSSLPVLTFRMWVLGIGACIVLSFINQFFWYRTMPLSVTGICAQIAVVPIGHLMARVLPTRRFLEGTRFQFTLNPGAFNVKEHVLITIFANSGAGSVYATHILSAIKLYYKRPLPFLPAFLVMITTQILGFGWAGLFRKHLVEPGEMWWPSNLVQVSLFGALHEKEKKSRGGMSRTQFFLIVLVASFAYYIFPGYLFTMLTSISWVCWLNPKSILVNQLGSGEHGLGIGSIGFDWVTISAYLGSPLASPLFASVNVAIGFVLVMYIVTPVCYWLNIYDAKTFPIFSSQLFMGNGSRYDVLSIIDSKFHLDRAVYSRTGSINMSTFFAVTYGLGFATLSATIVHVLVFNGSDLWKQTRGAFQKDKKMDIHTRIMKKNYREVPLWWFLVILLLNIALIMFISVHYNATVQLPWWGVLLACAIAISFTPLIGVIAATTNQAPGLNIITEYVIGYIYPERPVANMCFKVYGYISMTQALTFISDFKLGHYMKIPPRSMFMAQVFGTLVAVVVYTGTAWWLMEEIPHLCDTALLPSDSQWTCPMDRVFFDASVIWGLVGPRRVFGDLGEYSNVNWFFLVGAIAPLLVWLATKMFPAQTWISKIHIPVLVGATAMMPPATAVNFTSWLIVAFIFGHFIFKYRREWWTKYNYVLSGGLDAGSAFMTILLFLALGRKGIEVQWWGNSGDRDTCPLASCPTAKGVVVKGCPVF >fgenesh2_kg.7__1447__AT4G27700.1 pep chromosome:v.1.0:7:6086802:6088219:1 gene:fgenesh2_kg.7__1447__AT4G27700.1 transcript:fgenesh2_kg.7__1447__AT4G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSIATPYPSSSQALRLKSSGNALFSAGVRSAAMGSGQKTLKIQCTSTKPAKPAAEVDWRQKRELLLEKRVRSVDVKEAQRLQKENNFVILDVRPEAEYKAGHPPGAINVEMYRLIREWTAWDIARRLGFAFFGIFSGTEENPEFIQSVEAKLDKEAKIIVACSSAGTMKPTQNLPEGQQSRSLIAAYLLVLNGYKNVFHLEGGIYTWGKEGLPVETIE >fgenesh2_kg.7__144__AT4G39340.1 pep chromosome:v.1.0:7:492966:493349:-1 gene:fgenesh2_kg.7__144__AT4G39340.1 transcript:fgenesh2_kg.7__144__AT4G39340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHTTFLFSTVTLLILFLNTTVSGRDLPAESSTNIAARLQSGGLMECWNVLYELKSCTNEIVLFFLNGETKLGVSCCEAVDIITTNCWPAMLTSLGFTPEEANVLRGFCQNPNSDDSSPSPSPKIA >fgenesh2_kg.7__1450__AT4G27680.1 pep chromosome:v.1.0:7:6091290:6093324:-1 gene:fgenesh2_kg.7__1450__AT4G27680.1 transcript:fgenesh2_kg.7__1450__AT4G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSETKILQELILYAASAAFSCLVLFAGLRHLDPNREASKKALEHKKEISKRLGRPLVQTNPYEDVIACDVINPDHINVEFDSIGGLETIKQALYELVILPLKRPELFAYGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRVSNLMSKWFGDAQKLVSAVFSLAYKLQPAIIFIDEVESFLGQRRSTDHEAMANMKTEFMALWDGFSTDPHARVMVLAATNRPSELDEAILRRLPQAFEIGIPDRRERAEILKVTLKGERVEPDIDFDHIARLCEGYTGSDIFELCKKAAYFPIREILEAERKGTPCLAPRPLSQLDFEKVLATSKKTQVAAGEYSLSSQSSAWRGSSEPDEVQAAISGISKLLLSQIINLQADSQDSWQRDPEEESR >fgenesh2_kg.7__1452__AT4G27660.1 pep chromosome:v.1.0:7:6102320:6103320:1 gene:fgenesh2_kg.7__1452__AT4G27660.1 transcript:fgenesh2_kg.7__1452__AT4G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKRREIVEITPDPCETKKKTKSKRRKRLSKKEEEEEEDDGIPLRGIFCLKKRQDMKIFEEKEDCFILDFDPNDSFDARKLSHSPECDDDDDVAIIHEKGQVACRDFPHPRHLCLKFPFESSQHGSHCNQCYCYVCDVAAPCANWTVSYATPHCEALENARWKPIRARRRVTTNK >fgenesh2_kg.7__1453__AT4G27657.1 pep chromosome:v.1.0:7:6107762:6108180:-1 gene:fgenesh2_kg.7__1453__AT4G27657.1 transcript:fgenesh2_kg.7__1453__AT4G27657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVVIITELLVEYTTALAKLTAGVLPRRQGDRNVLRIGGFSLPCPPRSSPVPDFSSHLVDF >fgenesh2_kg.7__1454__AT4G27652.1 pep chromosome:v.1.0:7:6112963:6113362:-1 gene:fgenesh2_kg.7__1454__AT4G27652.1 transcript:fgenesh2_kg.7__1454__AT4G27652.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVVIIAELLVEYTAALAKLTAGILPSRRRGDSNVVRIGGFSLPCPSPSSTSSRSSPIPDFSSHLVDF >fgenesh2_kg.7__1455__AT4G27650.1 pep chromosome:v.1.0:7:6115760:6120557:1 gene:fgenesh2_kg.7__1455__AT4G27650.1 transcript:fgenesh2_kg.7__1455__AT4G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein pelota homolog [Source:UniProtKB/TrEMBL;Acc:D7MDT6] MKIVRRDFVRNGPGSVKMVAEDSDDLWYAYNLIAVGDSVMAVTFRKVQREIPGGGRDSERVKLKLEVQVEEVDYDKDGSVLRIRGKNILENEHVKIGAFHTLELELKRPFVLRKEIWDSMALDTLKQASDPAASADLAVVLMQEGLAQIFLVGRSVTSSRARIETSIPRKHGPAIAGYESALKKFFENVLQAFVKHVDFSVVRCAVIASPGFTKDQFHRHLLLEAERRQLRPIIENKSRIILVHTNSGYRHSLGEVLHAPNVMNMIKDTKAAKEVKALNDFHTMLSNEPDRACYGPKHVEVANERMAIQTLLITDELFRNSDVKTRKKYVNLVESVKDSGGEAFIFSAMHVSGEQLAQLTGIAALLRFPLPELEDIEM >fgenesh2_kg.7__145__AT4G39350.1 pep chromosome:v.1.0:7:497125:502613:1 gene:fgenesh2_kg.7__145__AT4G39350.1 transcript:fgenesh2_kg.7__145__AT4G39350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:D7MGE1] MNTGGRLIAGSHNRNEFVLINADESARIRSVQELSGQTCQICGDEIELTVNGELFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVDGDDDEEEDIDDLEYEFNHGMDPEHAAEAALSSRLNTGRGGLDSAPPGSQIPLLTYCDEDADMYSDRHALIVPPSTGYGNRVYPAPFTDSSAPPQARSMVPQKDIAEYGYGSVAWKDRMEVWKRRQGEKLQVIKHEGGNDGRGVNNDDELDDPDMPMMDEGRQPLSRKLPIRSSRINPYRMLILCRLAILGLFFHYRILHPVNDAYGLWLTSVICEIWFAVSWILDQFPKWYPIERETYLDRLSLRYEKEGKPSGLAPVDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSDTAEFARKWVPFCKKFNIEPRAPEWYFSQKMDYLKNKVHPAFVRERRAMKRDYEEFKVKINALVATAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGHSGVRDTDGNELPRLVYVSREKRPGFDHHKKAGAMNSLIRVSAVLSNAPYLLNVDCDHYINNSKAIREAMCFMMDPQSGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGFDAPKKKKPPGKTCNCWPKWCCLCCGLRKKSKTKAKDKKNNTKETSKQIHALENVEEGVIVPVSNVEKRSEATQLKLEKKFGQSPVFVASAVLQNGGVPRNASPACLLREAIQVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHCHGWRSVYCMPKRAAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSLPLIVYCSLPAVCLLTGKFIVPEISNYAGILFMLMFISIAVTGILEMQWGGVGIDDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVNTNFTVTSKAADDGAFSELYIFKWTTLLIPPTTLLIINIIGVIVGVSDAISNGYDSWGPLFGRLFFALWVIVHLYPFLKGMLGKQDKMPTIIVVWSILLASILTLLWVRVNPFVAKGGPVLEICGLNCGN >fgenesh2_kg.7__1461__AT4G27610.2 pep chromosome:v.1.0:7:6136290:6139363:1 gene:fgenesh2_kg.7__1461__AT4G27610.2 transcript:fgenesh2_kg.7__1461__AT4G27610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRNGISKHQRADKFCGDRPNWILIAGGALLSTLSIRFGYKLKQSLDWKPQSNGSAGIKPNGISERQKSTSCCLHSNTSSCTHNNDYCCFRSIPGTENVEGKEVTNEQMISASDTSLPLAKVPAPSFSKENGIMWATSPDRLELPPKPYNHHSNCSDSPCVSETSSDIFSKREVIQKLRQQLKRRDDMILEMQEQILELQNSYNAQMSHSSHLQAQLDTLNRDLFESEREVQRLRKAIADHSVGCTGSNGKTSPVAPWSGHVDGFMDSESNYESQEKSLRDGERVEMLRKEVSELKEVIDGKEYLLRSYKEQKIELSQKVKELQQRLDSQLPNIL >fgenesh2_kg.7__1468__AT4G27580.1 pep chromosome:v.1.0:7:6160426:6161407:1 gene:fgenesh2_kg.7__1468__AT4G27580.1 transcript:fgenesh2_kg.7__1468__AT4G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCASKPKESDIVEGSVPTENAVVESKNAAIETDSTLTQEKKEESSEATKKDGETKEDSSEATKAEPTPESVKAEEKASSETEPPAQETTTPASKSDEAPLVIL >fgenesh2_kg.7__1469__AT4G27560.1 pep chromosome:v.1.0:7:6162204:6168941:1 gene:fgenesh2_kg.7__1469__AT4G27560.1 transcript:fgenesh2_kg.7__1469__AT4G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MEE3] MGGLRFHVLMYPWFATGHMTPFLYLANKLAEKGHMVTFLLPKKALKQLEHLNLFPHNIVFRSVTIPHVDGLPVGTETVSEIPVTSADLLMSAMDLTRDQVEVVLRAVEPDLIFFDFAHWIPQVARDLGIKTVKYVVVSASTIASMLVPGGELGVPPPGYPSSKVLLRKQDAYTMKNLEPTIDAGPNLLERVTTSLMNSDVIAIRTVREIEGNFCDYIEKHCRKKVLLTGPMFPEPDKTRELEERWVKWLSGYEPDSVVFCALGTQVILEKDQFQELCLGMELTGSPFLVAVKPPRGSSTIEEALPEGFKERVKGRGVVWGGWVQQPLILSHPSVGCFVSHCGFGSMWESLLSDCQIVLVPQLGDQVLNTRLMSDELKVSVEVVREETGWFSKESLRDAINSVMKRDSEIGNLVKKNHTKWRETLASPGLLSGYVDNFIESLQDLWQCVIIKICINQTSVF >fgenesh2_kg.7__146__AT4G39360.1 pep chromosome:v.1.0:7:503350:504043:1 gene:fgenesh2_kg.7__146__AT4G39360.1 transcript:fgenesh2_kg.7__146__AT4G39360.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEIVFSGESETAFSETEMEAAEQLVQLSEEDTLSCSSGGTGWSVCGYDGSEGNDTKRQEDVVSSKVRDHIVGKEQNDGVCRNKNVTNGQSFMKTIMETKTRINKKKKFRSLASIYRATKEMTKVE >fgenesh2_kg.7__1472__AT4G27540.1 pep chromosome:v.1.0:7:6175463:6176980:1 gene:fgenesh2_kg.7__1472__AT4G27540.1 transcript:fgenesh2_kg.7__1472__AT4G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7MEE5] MAFSPNPLSLSVPDPAFESWLRDSGYLELLDHRTSAAAAAASSSASVSSSAAATSAASDDVVSSITGGFFASLLSRLVTVSSLLTINPFSKLSADDFSGDTPPWTTGFFGNCDSYSFPSSSQQARMRVHENIKRFARNYATLFIVFFACALYQMPLALVGLLASLALWELFKFCSDRWKFDRHPSMRKFSIGIGQCVTAVLLTFLNVQMALFSALAISYSVMILHAGFRKLTPSKKPPRGR >fgenesh2_kg.7__1473__AT4G27530.1 pep chromosome:v.1.0:7:6177123:6177723:-1 gene:fgenesh2_kg.7__1473__AT4G27530.1 transcript:fgenesh2_kg.7__1473__AT4G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMFLAKRGGINIYRASSLQRDYNNGARSVAHGVITITNSRYFKSHGNNGGEKSKEEAMGTTTDGKAPNVTLSHAADTAKEGLKRATDAAIKKKNEDASKPKSVESDEGDDQENVAVGDDGTVKGQNQSAG >fgenesh2_kg.7__1476__AT4G27510.1 pep chromosome:v.1.0:7:6181556:6184440:1 gene:fgenesh2_kg.7__1476__AT4G27510.1 transcript:fgenesh2_kg.7__1476__AT4G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKRNSKKRPASKVSDSVNLFRSLASAITSSQVSQKPILKRLLCLLGPLSLTQPINWENCDVASHYWNIKFRGEQVELISFEDVCYLSDVLFTELDTSFKNLFATLFKQKAEACPTFASTEDSIELATLFLKSCMKIMSLLVPKQELVLEKAKTLLSILTRLVCARNGDCSFVFTQDGSFDPRHMFLCTGIEVFMDEILVNKSIRDLLFVVDSAFSSCRLFSKHDRAGVVEMASAHFIISTSDEKTNQMCVERLYWKQGNAFRTPQISMSAAVSLLLNPVMFSAPRMIHAYVVLLVSDAIGICSHPCIKGLDLQLIDHYIDAFEKSVVLYKIHMSKSAKGSSGKFGFLTSKSRVAFEHRVLPSTLTKVNDVTLKLKDSWDSYQSDNAKRKNNELVAYSVAYAKESLCIFDSSCSENMLSQTLTILGCVILRASSDDVVDSVLEKYNTSSMEDLYLLASTLKFMTCSMLQAIRVLRNWNWLRSEAVGDVRACKEYKAMMDVVQRFEQFSVHLPCQSFLRERLESHPHRNVKSKWMLMHFAGLLSVSFALKLDFLVKDSIFGIVISLYLFILEGGDLEALGDSVGHSENLSSSIPSSGSKNLAASGKADETAVDRKQSGAVALKFHKIRTLYMGKVSEAKDPENDPDSGVGVEEESCNGEKFLWCMTGKGNLRQTDVDELADFIACEPGKDYSDWLKGRERFRKQRWKSEKIANQRWNKKKKAWRESRGRVSKS >fgenesh2_kg.7__1478__AT4G27490.1 pep chromosome:v.1.0:7:6193695:6195711:-1 gene:fgenesh2_kg.7__1478__AT4G27490.1 transcript:fgenesh2_kg.7__1478__AT4G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPGAATPTYSPKLVGRTRLPIFKDSDLDWSRPDGRGFHQCRPALLQTGAVSSASGSAYAEFGNTKVIVSVFGPRESKKAMVFSDVGRLNCNVSYTTFASPTLGQGTDHKEYSSMLHKALEGVIIMETFPKTTVDVFALVLESGGSDLSVVISCASLALADAGIMMYDLITAVSVSCIGKSLMIDPVTEEEGCEDGSFMMTCMPSRYEITQLTVTGEWTTPNINEAMQLCLDACSKLGEIMRDLLKQAASASEE >fgenesh2_kg.7__1479__AT4G27480.2 pep chromosome:v.1.0:7:6197416:6199563:1 gene:fgenesh2_kg.7__1479__AT4G27480.2 transcript:fgenesh2_kg.7__1479__AT4G27480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 14 protein [Source:UniProtKB/TrEMBL;Acc:D7MEF2] MASSNSEKRWIFPLAMASLMFIFLIAASFNMGLISSVRSINSLIFSYNLSTTNETRAEFAESKINQSSHPPPVQPSPPRFAYLVSGSRGDLESLWRVLRTLYHPRNQYVVHLDLESPAEERLELAKRVSEDPVFSDVGNVHMITKANLVTYRGPTMVANTLHACAILLKQSKEWDWFINLSASDYPLVTQDDLIDTFSGLDRNLNFIDHSSKLGWKEDKRAKPLIIDPGLYSTKKSDVFWVTPRRTMPTAFKLFTGSAWMVLSRSFVEYCIWGWDNLPRTLLMYYTNFLSTPEGYFHTVICNAPEYSSTVLNHDLHFISWDRPPKQHPRTLNINDIEKMIASGSAFARKFRHNDPALDKIDKELLGRGNGNFTPGGWCAGEPKCSRVGDPSKIKPGPGANRLRVLVSRLVLTSKLTQRQCR >fgenesh2_kg.7__147__AT4G39380.1 pep chromosome:v.1.0:7:519502:522625:-1 gene:fgenesh2_kg.7__147__AT4G39380.1 transcript:fgenesh2_kg.7__147__AT4G39380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKTETATEPSKEIKARGKLTRAKKCMKTTTKKFHQSGKHNIGREEKTPSQCSAKPPSSQDQRLLHTDDQTQKVPVLDLHSSKEVQRSGKMKLQLFPLDAHTREGLEKDGLHPYLELTLSSRKKISSVLQRIHSKWGSSEIARGDPTLYPYDKSVLASGHKWVANSNITTGDVYEAIGAPFLFRLRYGWSFATENKNNEPPSPSTPGTGKQMCGSENPLTMMSSPNQVTDTPPLENLPPDGQVESTENKINDGSGPTLLFWDDGLTSLSIGGLLSEVSLKGNFGNHCINSNAGNANATLWEDNLTNISIGGLFSEASLQDRCHMNHEQEPAHNNNDGQTSGSIGGLLSEASSLGEGRFSDCNKTWETRRAIKQPPLHLISDSLDAFLVNQTRAPCPAPLPEPSHSSILDADDTCHAFSFRKRTTIIPKVHDQVSGEAEKEQQKDESNPAKPLLGSSVFNQDSSLGLSGIKWAESRGPFDFGLSSSRKFANGDSVGFGAVVKNLPEMEPLEKKIKLQKH >fgenesh2_kg.7__1481__AT4G27470.1 pep chromosome:v.1.0:7:6199864:6201276:-1 gene:fgenesh2_kg.7__1481__AT4G27470.1 transcript:fgenesh2_kg.7__1481__AT4G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MEF3] MEGNFFIRSDAQRAHDNGFIVKQKPNLITAPTGGQANESGCFDCNICLDTAHDPVVTLCGHLFCWPCIYKWLHVQLSSVSIDQHHNNCPVCKSNITITSLVPLYGRGMSSPSSTFGSKKQDAQSTDIPRRPAPSTLNSPITSASSLNPSLQHQTLSPSFHNHQYSPRGFTTTESTDLANAVMMSFLYPVIGMFGDMVYTRIFGTFTNTIAQPYQSQRMMQREKSLNRVSIFFLCCIILCLLLF >fgenesh2_kg.7__1484__AT4G27440.1 pep chromosome:v.1.0:7:6210468:6212228:-1 gene:fgenesh2_kg.7__1484__AT4G27440.1 transcript:fgenesh2_kg.7__1484__AT4G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAASLVSSAFSVRKDAKLNASSSSFKDSSLFGASIAEQIKSEHGSSSLRFKREHSLRSLAIRAQTAATSSPTVTKSVDGKKTLRKGNVVVTGASSGLGLATAKALAETGKWHVIMACRDFLKAERAAKSAGMPKDSYTVMHLDLASLDSVRQFVDNFRRTEMPLDVLVCNAAVYFPTAKEPTYSAEGFELSVATNHLGHFLLARLLLDDLKKSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSAMIDGGDFDGAKAYKDSKVCNMLTMQEFHRRYHEETGVTFASLYPGCIASTGLFRDHIPLFRALFPPFQKYITKGYVSETESGKRLAQVVSDPSLTKSGVYWSWNNASASFENQLSEEASDVEKARKVWEISEKLVGLA >fgenesh2_kg.7__1487__AT4G27430.1 pep chromosome:v.1.0:7:6214788:6218993:-1 gene:fgenesh2_kg.7__1487__AT4G27430.1 transcript:fgenesh2_kg.7__1487__AT4G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cop1-interacting protein 7 [Source:UniProtKB/TrEMBL;Acc:D7MEF8] MDPRTRLDYALFQLTPTRTRCDLVIFSGGENEKLASGIFQPFVTHLKSVRDQISRGGYSVTLRPSSVGVPWFTKVTLQRFVRFVTTPEVLERSVTLEKEIEQIEDSIQANAAAIAGEAEGNELRGTWTSQKSTALSKTKGETDGVTVEENSKVGLQRVLENRKAALCKEQAMAYARALVVGFEVDYMDDLLSFADAFGASRLREACAIFVDLCKRKDEDRMWVDQITAMQAFPRPELTFMGDSGIVLAGEENHLLNATNVKHGNNMDTSSQGSFETGQEGRAQMAMPWPNQFPQYMQNFQGHGYPPYMFPGMQGQSPYFPGNMQWPVNMGDVESNEKSSKKKKKNKNKKKSKQDESAEPSDNSSTETESEDGNEGKKQSRKVVIRNINYITSKRNGAKESDSDESEEEDGFVDGDSIKQQVEEAIGSVERRHKSTSRRQRKHKSHNVDDDLSNKETKGNDNWDAFQNLLLKDNDSEPEESLRTSSSALNMESEVVRKREPPSDDSFLVANGNEDWGRETSIEKFNAGENVRMIRKGNNYDDEMLNPGRSDESRSYSQAEMSVYDGKLRARNEAEEDWFIRNQAGLETDPGLVKTFVGDHFHLNKSSEGDVLTDDSFMIHSRVEGQVEESRLRTEIMDSDVYGTTQQENNAQENTPHEPDDLYMVLGREQDVKPTLLPWTPEIDFETNTLAQKTSKIDLETATKASAGEQTSDGKEKKSCGISKGKDAKSRASSRPDPASKAKRPAWGSRAAVSKSKSEMEEERKKRMEELLIQRQKRIAEKSSGGSVSSSSASKKTPPATKTVKSSLKSEKTPEAAQSKAKPVLRSSTIERLAVARTAPKEPQQKPVIKRTSKPSGNKTEKAQEKKSSKIGQSDAKSLELSRDPSLEIKETVVEGSQSYLSQKQVDALPAAASVDDFKDIKELHSLPSEETARVNNRPNEIIAEKVQDQTNINDQETDKKTSVSEDKQITTNHYSEDIEEIKASQEKPVSPKKSVTFSETNMEEKYYVSPAVSEIDISTPPATEADHSRKKWNSEETSPKATAKVLRKLLMFGRKK >fgenesh2_kg.7__1491__AT4G27410.3 pep chromosome:v.1.0:7:6230705:6231797:1 gene:fgenesh2_kg.7__1491__AT4G27410.3 transcript:fgenesh2_kg.7__1491__AT4G27410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVREKDPLAQLSLPPGFRFYPTDEELLVQYLCRKVAGYHFSLQVIGDIDLYKFDPWDLPSKQTCFTFLGDYYCKFSGKALFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKIITADGRRVGIKKALVFYAGKAPKGTKTNWIMHEYRLIEHSRSHESSKLDDWVLCRIYKKTSGSQRQAVTPVQACREEHSTNGSSSSSSSQLDDVLDSFPEIKDQSFNLPRMNSLRTLLNGNFDWASLAGLNPIPELAPTNGLPSYGSYDAFRAAEGEAESGHVNQQQNSGGLTQSYGYSSSGFGVSGQTFEFRQ >fgenesh2_kg.7__1495__AT4G27370.1 pep chromosome:v.1.0:7:6240666:6246480:1 gene:fgenesh2_kg.7__1495__AT4G27370.1 transcript:fgenesh2_kg.7__1495__AT4G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKISVKETLESLRQHDASERFKENSSSSLPSRSTFRAQMPSIRQSLPANFRNAIAPESETIEKEDKDWSTEQITQSPYTAEKEKTENEVVKISTPQMSPAKNSHDPEWINNAEYFIREKLCVWFRVANGQWHLGKIHSTSSDDVCVMLSTNDDVVKVSMEEIFPANPEILEGVEDLTQLSYLNEPSLLYNLRVRYSQELIYSKAGPVLIAVNPFKNVQIYGEEFLSAYQTKGLDAPHVYAVADAAYDEMMRGTRLSIPNAFCAHKKHDLTMHMWSGESGAGKTETAKYAMQYLEALGGGSFGVENEILKTNCILEAFGNAKTSRNDNSSRFGKLMEIHFSAKGKICGAKLETFSLNQSRVAQLCNGERSYHIFYQLCAGASPILKERLKLKAASEYDYLNQSNCLIMDRTDDAQKFHKLMEAFNIVQIPQEYQERVFALLAAVLWLGNVSFKVTDNENHVEVVADEAVTNVATLMGCNSKELMVVLSTCKLQAGRDCIAKRLTLRQATDMRDSLAKIIYASLFNWLVEKINISLEVGNSRTGRSISILDIYGFESFENNSFEQFCINYANERLQQHFNRHLFKLEQEEYEGDGIDWTKVEFKDNQECLNLIEKKPIGLVSLLDEESNFPKATDTTFANKLKQHLNANSCFKGERGQGFRIKHYAGEVLYNTNGFLEKNRDPLHVDLIQLLSSCKCQLLNLFSTKMRHEFLKPATFSDSMNQSVITKFKGQLFKLMNKLEDTTPHFIRCIKPNSNQLPGLYEENHVLQQLRCCGVLEIVRISRSGYPTRLTHQELAVRYGCLLLDTRISQEPLSTSNAILKQCNLPPEMYQVGYTKIYLRTGLIGVLEERRKYVLRGILGLQKQFRGYQARECFHNMRNAAVILQSYIRGENARRNYIVVKESAIVSTAITEELDAAIHLQYMVRKWLARKHLNSMQQKKKPRNEKKQPRRKSTRRVSEDKVVFFPDLCDPPCVLADLQSRVQKVEAAIMQKEDENTALQEELQRFEERWLENEARMKSMEETWQKHMSSMQMSLAAACKILAPDKTASQGNESEDTMSFGTPTKELKRSLSEVNNLSREFDQRSVIIHEDAKSLVEVNSQSSSRKQHAEELRRLKLRFEKWKKDYKARLRETKARIRSNGDEGRHRNWWCKKSC >fgenesh2_kg.7__1497__AT4G27350.1 pep chromosome:v.1.0:7:6248818:6250725:1 gene:fgenesh2_kg.7__1497__AT4G27350.1 transcript:fgenesh2_kg.7__1497__AT4G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLFACFGLKKSSTKPISGGNNSAAVSADIPAGDGPVLVQLFSSQGCKTSPAAEMLMSRLGRGDFDAQIRGEDGGSGSPAMVLVFHVDYWDYKGWKDPYGSSQWTVRQKAYVEALNLDTMFTPQLVVQGRSQLIGNEEETLLKSISEAPRFPSPAFRATFQRPTSETLQVSLTGALRMKVDGNGMDIMVAIYETGLVNDCARGENEGRMLSNDYVVRKMEKMCTVKDVTPKKPVSGTAHFTLWDGFNSSKCGVAVFLQNSSLQIFGTQSFKLPDEI >fgenesh2_kg.7__1498__AT4G27340.1 pep chromosome:v.1.0:7:6253664:6256877:1 gene:fgenesh2_kg.7__1498__AT4G27340.1 transcript:fgenesh2_kg.7__1498__AT4G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine(37)-N1)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MEG7] MVSKLSLFRANSLPFPVFSSYSARFIHKPYPKPKTLIFCVFSSNLSSTTVPYGPSLLKGKKPLVDDLRLASIGRDRDAHRSKIGDLDESIETGVLLNEDEFTRVFEISAIRVPAKDCFALENRLRGHLLNWPRIRNIARVPGDEIEEDVVKLLGRETEDEEEDSIVDSVDRRIRGKAEGDGERLSSVLHRDKLARTFNSTGYLKFRNLAKISRPKRKRKTEKATERKEKENGSRRNEMAVVEVVDARGGAEDFEGLLGEGYGSRGRWRGSTRLLLLDEKYSGEQVEDLPEAIKVLFAEAKITDVSLSFELVKCRVTLFYDYWPMIEILEAVLPKGIVVPSAFEMVGHIAHLNLRDEHLPYKRLIAKVVLDKNQPKIQTVVNKIDPIHNDFRTMQLEVLAGNHSLVTLVVENGLRFHVDLARVYWNSKLGTERQRLLLGFDQNDVVCDVFAGVGPIALAAARIVKRVYANDLNPHAVEFMEQNSVVNKLEKRIEIFNMDGRRFIKAMFSSEKGRKVTQVVMNLPKDAAESLDAFRGVYNDRPRDEGLSFPTIHVYGFSKAPDPEFDFHERIRIALSEVAVDVKMRKVRLVAPGKWMLCASFILPKSVAFSRKNLSYVD >fgenesh2_kg.7__1499__AT4G27330.1 pep chromosome:v.1.0:7:6261143:6262646:1 gene:fgenesh2_kg.7__1499__AT4G27330.1 transcript:fgenesh2_kg.7__1499__AT4G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLFFMATDQNSVGNPNDLLRNTRLVVNSSGEIRTETHKSRGRKPGSKTGQQKQKKPTLRGMGVAKLERQRIEEEKKQIAATVGETSAASISNNATRLPVPVDPGVVLQGFPSPLGGCRSNRIYCGGVGSGQMMIDPVCSPWGFVESSSTHELSSISNPQMYNASSNNRCDTCFKKKRLDGDQNNVVRSNGGGFSKYTMIPPPMNGYDQYLLQPDHHQRSQGFLYDHRIARSASVSASSTTINPYFNEATNHTVPMEEFGSYMEGNPRNGSGGVKEYEFFPGKYGERVSVVATSSSVIGDCSPNTIDLSLKL >fgenesh2_kg.7__1501__AT4G27300.1 pep chromosome:v.1.0:7:6294198:6297259:1 gene:fgenesh2_kg.7__1501__AT4G27300.1 transcript:fgenesh2_kg.7__1501__AT4G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTHSLFSLSLFLISSSFSVAMDYSVITPREFLKDGDTLSSPDQVFQLGFFSLDQDEQPQHRFLGLWYKEPFAVVWVANRNNPLYGTSGFLNLSSLGDLQLFDGEHKALWSSSSSTKASKTANNPLLKISCSGNLISSDGEEAVLWQSFDYPMNTILAGMKLGKNFKTQKEWSLSSWKTLKDPSPGDFTLSLDTRGLPQLILRKNGDSSYSYRLGSWNGLSFTGAPAMGRENSLFDYKFTSSEQEVNYSWTPRHRIVSRLVLNNTGKLHRFIQSNQHQWILANTAPEDECDYYSICGAYAVCGINGKNTPSCSCLQGFKPKSGRKWNISRGAYGCVHEIPTNCGKKDAFVKFQGMKLPDTSWSWYDAKNEMTLEDCKIKCSSNCSCTAYANTDIREGGKGCLLWFGDLVDMREYSTFGQDIYIRMGIAKIESKGREVVGMVVGSVVAIAVVLVVVFACCRKKIMKRYRGENFRKGIGEEDLDLPILDRKTISIATDDFSYINFLGRGGFGPVYKGKLEDGQEIAVKRLCANSGQGVEEFKNEVKLIAKLQHRNLVRLLGCCIQGEECMLIYEYMPNKSLDFFIFDERRSKELDWKKRMNIINGIARGLLYLHQDSRLRIIHRDLKAGNVLLDNDMNPKISDFGLAKSFGGDQSESSTNRVVGTYGYMPPEYAIDGHFSVKSDVFSFGVLVLEIITGKTNRGFRHADHDLNLLGHVWKMWVEDREIEVPEEELLEETCVVPEVLRCIHVALLCVQQKPEDRPTMASVVLMFGSDSSLPHPKKPGFFTNRNVPDISSSLSLRSQNEVSITMLQGR >fgenesh2_kg.7__1503__AT4G27280.1 pep chromosome:v.1.0:7:6302284:6302835:1 gene:fgenesh2_kg.7__1503__AT4G27280.1 transcript:fgenesh2_kg.7__1503__AT4G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MEH5] MASPKSPTRPSQQNPQPNFHDFLPAMAGNLGGEGLIGELCNGFELLMDREKGVITFESLRRNAAAVLGLGDLTDEDVRCMIKEGDFDCDGALNQMEFCVLMFRLSPDLMEASRCLVTEAIEEEFG >fgenesh2_kg.7__1504__AT4G27270.1 pep chromosome:v.1.0:7:6303495:6305247:1 gene:fgenesh2_kg.7__1504__AT4G27270.1 transcript:fgenesh2_kg.7__1504__AT4G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinone reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MEH6] MATKVYIVYYSMYGHVEKLAQEIRKGAASVDGVEAKLWQVPETLQEDVLSKMSAPPKSDAPIITPNELAEADGFVFGFPTRFGMMAAQFKAFLDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMENVKGGSPYGAGTFAGDGSRQPTELELEQAFHQGKYIAAISKKLKGPAAT >fgenesh2_kg.7__1505__AT4G27260.1 pep chromosome:v.1.0:7:6311800:6314425:-1 gene:fgenesh2_kg.7__1505__AT4G27260.1 transcript:fgenesh2_kg.7__1505__AT4G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3.5/WES1 [Source:UniProtKB/TrEMBL;Acc:D7MEH7] MPEAPKKVSLEVFDLTLDQKNKQKLQLIEELTSNADQFQRRVLEEILSRNADVEYLRRHYLNGRTDRETFKNVMPVITYEDIQPEINRIANGDKSPILSSKPISEFLTSSGTSGGERKLMPTIEEELDRRSLLYSLLMPVMSQFVPGLENGKGMYFLFIKSESKTPGGLPARPVLTSYYKSSHFKERPYDPYTNYTSPNETILCSDSYQSMYSQMLCGLCQHQEVLRVGAVFASGFIRAIKFLEKHWTELVRDIRTGTLSSLITDPSVREAVSKILKPNSKLAEFVEFECKKSSWQGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGVNLRPLCKPSEVSYTLIPSMAYFEFLPVHRNNGVTNSINLPKALTEKEQQELVDLVDVKLGQEYELVVTTYAGLCRYRVGDLLRVTGFKNKAPQFSFICRKNVVLSIDADKTDEVELQNAVKNAVTYLVPFDASLSEYTSYADTSSIPGHYVLFWELCLDGNTPIPPSVFEDCCLTVEESFNTVYRQGRVSDKSIGPLEIKIVEPGTFDKLMDYAISLGASINQYKTPRCVKFAPIIELLNSRVVNSYLSPKCPKWVPGHKQWGSN >fgenesh2_kg.7__1508__AT4G27230.1 pep chromosome:v.1.0:7:6334765:6335717:1 gene:fgenesh2_kg.7__1508__AT4G27230.1 transcript:fgenesh2_kg.7__1508__AT4G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:D7MEI1] MAGRGKQLGSGAAKKSTSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKSGPSKPTEED >fgenesh2_kg.7__1509__AT4G27190.1 pep chromosome:v.1.0:7:6340023:6342961:1 gene:fgenesh2_kg.7__1509__AT4G27190.1 transcript:fgenesh2_kg.7__1509__AT4G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLAPVIGETLRLMYVSTFSRVANAIKFKSNVKALNDSLERLTKLKGNMSEDHETLLTKDKPLRLKLMRWQREAEEVISKARLKLEERVSCGMSLRSRMSRKLVKILDEVKMLEKDGREFKELNMFEGSQLDGLISDKTQKIGVWGMGGVGKTTLVRTLNNKLREEAATQPFGLVIFVIVSKEFDPKGVQKQIAERLDIDTQMEESEEKLARRIYVGLMKERNFLLILDDVWKPIDLDLLGIPRREENKGSKVILTSRFLEVCRSMRTDLDVRVDCLLEEDAWELFCRNAGDVVKSDHVRSIAKAVSLECGGLPLAIITVGTAMRGSKNVKLWNHVLSKLSKSVPWIKSIEEKIFQPLKLSYDFLEGKAKFCFLLCALFPEDYSIEVSELVRYWMAEGFMEEQGSQEESMNEGIAIVESLKDYCLLEDGARRDTVKMHDVVRDFAIWIMSSSQDDCHSLVMSGTGLQDIRQDKFVSSLGRVSLMNNKLESLPDLAEESCVKTSTLLLQGNSLLKEVPIGFLQAFPALRILNLSGTRIKSFPSCSLLRLSSLHSLFLRECFNLVELPSLKTFAKLELLDLCGTHIHEFPRGLEELKSFRHLDLSRTLHLESIPARVVSRLSSLETLDMTSSHYRWSVQEETQKGQATVEEIGCLQRLQVLSIRLHSSPFLLNKRNTWIKRLKKFQLVVGSPYISRTRHDKRRLTISHLNVSQVSIGWLLAYTTSLALNHCKGIEAMMKKLVIDNRSFKNLKSLTIENAFINTNSWVEMVNTKTSKQSSDRLDLLPNLEELHLRRVDLETFSELQTHLGLRLQTLKIIEITMCRKLRTLLGKRNFLTIPKLEEIEISYCDSLQNLHKALIYHEPFLPNLRVLKLRNLPNLVSICNWGEAWECLEQVEVIHCNQLNCLPISSTCGRIKKIKGESSWWERLEWDDPSTLATVRPFFNPVDEFPLLILS >fgenesh2_kg.7__1512__AT4G27160.1 pep chromosome:v.1.0:7:6358729:6359416:-1 gene:fgenesh2_kg.7__1512__AT4G27160.1 transcript:fgenesh2_kg.7__1512__AT4G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2S seed storage protein 3 [Source:UniProtKB/TrEMBL;Acc:D7MEI5] MANKLFLVCATLALCFLLTNASIYRTVVEFEEDDATNPMGPRQRCQKEFQQSQHLRACQRWMQKQTRQGRGDEFDFEGPQQGHQLLQQCCNELRQEEPVCVCPTLKQAARAVRLQGQHGPFESRKIYQTAKYLPNVCKIQQVGECPFQSTIPFFPPYY >fgenesh2_kg.7__1514__AT4G27140.1 pep chromosome:v.1.0:7:6377416:6378065:-1 gene:fgenesh2_kg.7__1514__AT4G27140.1 transcript:fgenesh2_kg.7__1514__AT4G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLFLVCAALALCFLLTNASIYRTIVEFDEDDATNPIGPKVRKCQKEFQEEQHLRACQQLMRRQARQGRNDELDFEDDMENPQGPQQEEKLFQQCCNELRQEEPYCVCPTLKQAARAVRLQGQHQPMQVRKIFQTAKNLPKVCNIPQVDVCPFKI >fgenesh2_kg.7__1515__AT4G27130.1 pep chromosome:v.1.0:7:6379444:6381396:1 gene:fgenesh2_kg.7__1515__AT4G27130.1 transcript:fgenesh2_kg.7__1515__AT4G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDSQVPTAFDPFADANAEDSGAGTKEYVHIRVQQRNGRKSLTTVQGLKKEYSYSKILKDLKKEFCCNGTVVQDSELGQVIQLQGDQRKNVSTFLVQAGLVKKDNIKIHGF >fgenesh2_kg.7__1516__AT4G27120.2 pep chromosome:v.1.0:7:6381611:6384115:1 gene:fgenesh2_kg.7__1516__AT4G27120.2 transcript:fgenesh2_kg.7__1516__AT4G27120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIFALIVSMILIVAVIPLFFWKRRRDARSREQVAEPPQDQARENVARATGGRRMRRRPAATSGASSSSTSNVQVSGSEDEDEDEDEAGGSQARASKKKEKKRQEREAQRQAEEATRESRNTKQDWYAEMRRKKDEEREAEERKLEEEEKARQAKEEEAAALEFDKWKGEFSVDAEGTTEEVQGGNQDLLSEFVEYIKKQKCVPLEDLAAEFHLRTQECINRIASLESIGRLSGVMDDRGKYIYISMEEMNAVADYIKRQGRVSISHLASKSNQFIDLEPKVQHQLTEEISGMEEISVS >fgenesh2_kg.7__151__AT4G39404.1 pep chromosome:v.1.0:7:545702:546172:-1 gene:fgenesh2_kg.7__151__AT4G39404.1 transcript:fgenesh2_kg.7__151__AT4G39404.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M8J3] MKPTKHTWERYERERERERGRGQFGGDRKGTAAHGGRRPCMHGGPRAPYPPPSRVFRLIATHLFSLILYSLSACVYTCLCQCLV >fgenesh2_kg.7__1520__AT4G27100.1 pep chromosome:v.1.0:7:6388245:6391188:-1 gene:fgenesh2_kg.7__1520__AT4G27100.1 transcript:fgenesh2_kg.7__1520__AT4G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab GTPase activator [Source:UniProtKB/TrEMBL;Acc:D7MEJ1] MWGGAAEPADSYYQVRPECTDVPKTRFRIKPGKTLSVRKWRAVFVQEGSLDIGKTLRRIRRGGIHPSIRGEVWEFLLGCYDPMSTFEEREQIRQRRRLQYASWKEECKQMFPVIGSGRFMTAPVISENGQPNYDPLVLQEINLGTNSNGSDFFKELTSRGPLDKKVTQWLLTLHQIGLDVNRTDRALVFYEKKENLSKLWDILSIYAWIDNDVGYCQGMSDLCSPMIILLEDEADAFWCFERLMRRLRGNFRSTGRSVGVEAQLTHLSSITQIVDPKLHQHLDKLGGGDYLFAIRMLMVQFRREFSFCDSLYLWEMMWALEYDPDLFYVYEAHQCGSEKTEGLKGKPKSIKQCGKYERQNMRNGGKSAEGPLPISVFLVASVLKDKSYKLMTEARGLDDVVKILNDMTGNLDAKKTCSGAIKIHKRYLRKVISMFL >fgenesh2_kg.7__1521__AT4G27090.1 pep chromosome:v.1.0:7:6391528:6392795:1 gene:fgenesh2_kg.7__1521__AT4G27090.1 transcript:fgenesh2_kg.7__1521__AT4G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L14 [Source:UniProtKB/TrEMBL;Acc:D7MEJ2] MVFKRYVEIGRVALVNYGEDHGKLVVIVDVVDQNRALVDAPDMERIQMNFKRLSLTDIVIAINRVPKKKALIEAMDKADVKNKWEKSSWGRKLIVQKRRANLNDFDRFKIMLAKIKKAGVVRQELAKLKKEITA >fgenesh2_kg.7__1522__AT4G27080.1 pep chromosome:v.1.0:7:6393023:6397571:-1 gene:fgenesh2_kg.7__1522__AT4G27080.1 transcript:fgenesh2_kg.7__1522__AT4G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSKIKSVDFYRKIPRDLTEASLSGAGLSIIAALSMIFLFGMELNNYLAVSTSTSVIVDRSADGDFLRLDFNISFPSLSCEFASVDVSDVLGTNRLNVTKTIRKFSIDSNMRPTGSEFHVGEVLSLINHGDETGEEVVEDSVPLTGRNFDTFTHQFPILVVNFYAPWCYWCNLLKPSWEKAAKQIKERYDPEMDGRVILAKVDCTQEGDLCRRNHIQGYPSIRIFRKGSDLKDDNAHHDHESYYGDRDTESLVKMVVSVVEPIHLEPHNLALEDKSDNSSRTLKKAPSTGGCRIEGYIRVKKVPGNLMVSARSGSHSFDSSQMNMSHVVNHLSFGQRIMPQKFSELKRLSPYLGLSHDRLDGRPFINQRDLGPNVTIEHYLQIVKTEVVKSNGQALVEAYEYTAHSSVAHSYYLPVAKFHFELSPMQVLITENSKSFSHFITNVCAIIGGVFTVAGILDSILHHSMTLMKKIELGKNF >fgenesh2_kg.7__1523__AT4G27070.1 pep chromosome:v.1.0:7:6397881:6400247:-1 gene:fgenesh2_kg.7__1523__AT4G27070.1 transcript:fgenesh2_kg.7__1523__AT4G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase [Source:UniProtKB/TrEMBL;Acc:D7MEJ4] MATAGTAATFRPSVSASSKATRLRSPSKRPKFTPLPSSRSRSSSSFSVSCTIAKDPTVFMADTEAAGSDPTMWQRPDSFGRFGKFGGKYVPETLMHALSELETAFYSLATDEDFQRELAEILKDYVGRESPLYFAERLTEHYRRENGEGPLIYLKREDLNHTGAHKINNAVAQALLAKRLGKKRIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMRLLGAEVRGVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVRDFHAVIGKETRRQAMEKWGGKPDVLVACVGGGSNAMGLFHEFVDDAEVRMIGVEAAGFGLDSGKHAATLTKGDVGVLHGAMSYLLQDDDGQIIEPHSISAGLDYPGVGPEHSFLKDMGRAEYYSVTDEEALEAFKRVSRLEGIIPALETSHALAHLEKLCPTLSDGTRVVLNFSGRGDKDVQTAIKYLEV >fgenesh2_kg.7__1526__AT4G27030.1 pep chromosome:v.1.0:7:6413235:6414391:-1 gene:fgenesh2_kg.7__1526__AT4G27030.1 transcript:fgenesh2_kg.7__1526__AT4G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLQTKYPLRPITNIPTSYRPSLLRVRVTCSVTTIKPQPNREKLVVEQHIVKPPLSNNHTLQSSKPQPNREKLVVEQRLASPPLSNDPTLKSTWTHRLWVAAGCTTLFVSLAKSVIGGFDSHLWLEPALAGCAGYILADLGSGVYHWAIDNYGDESTPVVGSQIEAFQGHHKWPWTITRRQFANNLHALAQVITFTVLPLDLAFNDPVFHGFVCTFAFCIMFSQQFHAWAHGTKSKLPPLVVALQDMGLLVSRRQHAEHHRAPYNNNYCIVSGAWNSVLDESKVFEALEMVFYFQLGVRPRSWSEPNSDWTEETEISNDQA >fgenesh2_kg.7__152__AT4G39410.1 pep chromosome:v.1.0:7:549246:551666:-1 gene:fgenesh2_kg.7__152__AT4G39410.1 transcript:fgenesh2_kg.7__152__AT4G39410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAINQGISLFDESQTIINPINTNHLGFFFSFPSHTLSSSSSSPSSSPSSLGSLFLGQNSLNSFRHNNPSSFISHPQDPINFMANLPETLISSLSSSKQRDDHDGFLNLDHHRLTGGISSQRPLPNPWAWSSQAGYGSSQKNNHGSEIDVDDNDDEVGDGGGINDDDNGHHHDHDDTPRRHDKHNTASLGVVSSLKMKKLKTRRKVREPRFCFKTLSEVDVLDDGYRWRKYGQKVVKNTQHPRSYYRCTQDKCRVKKRVERLADDPRMVITTYEGRHLHSPSNHLDDDSLSSSHHSPLSNFFW >fgenesh2_kg.7__1530__AT4G26990.1 pep chromosome:v.1.0:7:6432003:6435433:1 gene:fgenesh2_kg.7__1530__AT4G26990.1 transcript:fgenesh2_kg.7__1530__AT4G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g26990/F10M23_330 [Source:UniProtKB/TrEMBL;Acc:D7MEK3] MGNARKVEDDDNNNSSSSSSSLLIAATMCIIGLQVHVHVKDGSVFSGIFFTASVDNGFGIVLKNARITKKGTSKSNVASGTVVDTLVILSSNIVQIVAEGVSLPSNVRTCNNEVGSATETLPSEPRLCAANVSTQGRGYNHKRQAGAKILKPSVQIPDIHQEDNIDIQSSSSSLDSISERVKPIEEDNLMPEPFSNGFHDASERPSSTDNSSSQSTTFDDTSELCRGRMASSTASVPIQAVKKAKEFKLNPEAKIFSPSYTKRLSPSPVAVPDVGNIAYIPSNTPMLPVPEAIYPGVGNNAYVPQAPPPSKFVPYGNLTAGHAVSGFQFPQHMIGPTVNRAQPQRFTSQYHSVQTAPMLVNPSPQVMVARSGQLVYVQSVSQDLVQGTPPLSPMLSRPLPTAQHVQYLKHHGVVAAGQLLPLCVSQPFTTGGPQPYGIPTQFPVMEQPPFPTNQPMTFAVANGFYTKFP >fgenesh2_kg.7__1531__AT4G26980.1 pep chromosome:v.1.0:7:6435820:6438077:1 gene:fgenesh2_kg.7__1531__AT4G26980.1 transcript:fgenesh2_kg.7__1531__AT4G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLPKSLKNLDLNRNRGPKKKILVSAYLSSSRIMSPLKSKPPSLVSLCLGVIGKHLEEMIPCLADISVIFPADIKMSIAAIARRKKLLDDDVITSLADSSWEILDVSGSDVTNSGLAKVAEMCKSLRAVDISRCNKISSMGVLELVQHCRSLETLRCGGCPSSESTARRSLSFFKPNLSNGEGETWEEIDTSEIGHGGQSLRWLVWPRIDKDSLEMLSLECPRIVVNPKPSLVAYRADEVPREALPDVAVDEPFVKDIDPKTWVVTGVVQKPTSFLLCNELPIAEKFRLAFAERDARLAPKRAKNARQRQRRAERDWMMSSDEAKAMVLASKATRSLHKS >fgenesh2_kg.7__1532__AT4G26970.1 pep chromosome:v.1.0:7:6437999:6443762:-1 gene:fgenesh2_kg.7__1532__AT4G26970.1 transcript:fgenesh2_kg.7__1532__AT4G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitate hydratase [Source:UniProtKB/TrEMBL;Acc:D7MEK5] MYRRATSGVRSASTRLSSSLTKIASTETASVSAPSAPLLLNQMNRSKSFSSALRSFRVCSASTRWSHGVSWGSPASLRAQSRNSAPVMEKFERTYATMASEHAYKDILTSLPKPGGGEYGNYYSLPALNDPRVDKLPFSVRILLESAIRNCDNYQVTKDDVEKILDWENTSTKQVEIAFKPARVILQDFTGVPVLVDLASMRDAVKNLGSDPSKINPLVPVDLVVDHSIQVDFARSEDAAQKNLELEFKRNKERFTFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNSNGFLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLDGKLKEGVTATDLVLTVTQILRKHGVVGKFVEFYGEGMSELSLADRATIANMSPEYGATMGFFPVDHVTLEYLKLTGRSDETVSMIESYLRANNMFVDYNEPQQERAYTSYLQLDLGHVEPCISGPKRPHDRVPLKDMKADWHACLDNPVGFKGFAVPKEKQEEVVKFSYNGQPAEIKHGSVVIAAITSCTNTSNPSVMIGAALVAKKASDLGLEVKPWVKTSLAPGSRVVEKYLDRSGLREYLTKQGFEIVGYGCTTCIGNSGNLDPEVASAIEGTDIIPAAVLSGNRNFEGRVHPQTRANYLASPPLVVAYALAGTVDIDFEKEPIGTGRDGKSVYLRDVWPSNEEVAQVVQYSVLPSMFKSSYETITEGNPLWNELSAPSSTLYSWDPNSTYIHEPPYFKNMTANPPGPRQVKDAYCLLNFGDSVTTDHISPAGNIQKTSPAAKFLMDRGVIPEDFNSYGSRRGNDEVMARGTFANIRIVNKLLKGEVGPKTVHIPTGEKLSVFDAASKYKTAEQDTIILAGAEYGSGSSRDWAAKGPLLLGVKAVIAKSFERIHRSNLAGMGIIPLCFKSGEDAETLGLTGHERYTVHLPTKVSDIRPGQDVTVTTDTGKSFVCTLRFDTEVELAYYDHGGILPYVIRSLSAK >fgenesh2_kg.7__1533__AT4G26965.1 pep chromosome:v.1.0:7:6444309:6447167:-1 gene:fgenesh2_kg.7__1533__AT4G26965.1 transcript:fgenesh2_kg.7__1533__AT4G26965.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 12 [Source:UniProtKB/TrEMBL;Acc:D7MEK6] MSRLWARVAGLFSSKSFIGVDKTGNKYFSRMEEIDGLVKEKRWVVFRREEDPTSIPVEWICWLNGQRKRAPTPEEMVELEARRERVKLNVALLKKEEEEKKAREGTGRKITIGKVDGPDLTSFVRQFPPDSKGGEPEEASEEADKSRAKEHEPEIVSAEPPEPKTTEPSGSGSSFRPGTWQPPS >fgenesh2_kg.7__1535__AT4G26960.1 pep chromosome:v.1.0:7:6447175:6447977:1 gene:fgenesh2_kg.7__1535__AT4G26960.1 transcript:fgenesh2_kg.7__1535__AT4G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MEK7] NCSPIESAPSGSKIRPGKRIFKYVRNNDKRISRSPVRRNDGFHRYLKPGALAQIRNSRINARSNSPLTRSLTDPVHPLSSSTESPVAAPPQTITMDQMPHLLSKIYGPYRIGRKKFGPARSAMMNLNPSQTLYLNLRMVIATS >fgenesh2_kg.7__1536__AT4G26950.1 pep chromosome:v.1.0:7:6450266:6450800:1 gene:fgenesh2_kg.7__1536__AT4G26950.1 transcript:fgenesh2_kg.7__1536__AT4G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNYMRHELWRDGDFQEDDVWDVLDAYQSPFMNSISNHTTKTSFSTQTLLPSEPRMIPERQRIEGMTPMRQQSAPVNVPDWSMVQRKKTEKVDDDENVSPEEYFLRRSRSSSSSVMEGVGRKLKGRDLSKVRNAILKQTGFLE >fgenesh2_kg.7__1537__AT4G26940.1 pep chromosome:v.1.0:7:6453267:6456160:1 gene:fgenesh2_kg.7__1537__AT4G26940.1 transcript:fgenesh2_kg.7__1537__AT4G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MEK9] MSLKHHHRGLELSASKSFVSKKWTLFLCIGFFCAGILFSDRMWPEPEANVVSRDTVASDERLRLESEDCDSSKKGLKRESKDILGEVYKSPDAIQTLDKTISNLENELAAARAAQESIMNGSPVSDDFKLPETVTKRKYLMVVGVNTAFSSRKRRDSVRATWMPPGEERKKLEEEKGIVMRFVIGHSATPGGILDRAIQAEESKHGDFLRLDHVEGYLELSAKTKTYFTTAFAMWDADFYVKVDDDVHVNIATLGAELARYRMKPRVYIGCMKSGPVLAQKGVRYHEPEYWKFGEEGNKYFRHATGQLYAISRELASYISINQNVLHKYVNEDVSLGSWFLGLDVEHVDDRRLCCGTTDCEWKAQAGNICVASFDWSCSGICRSADRMKDVHRRCGEGEKALLAASF >fgenesh2_kg.7__1539__AT4G26930.1 pep chromosome:v.1.0:7:6456819:6458173:-1 gene:fgenesh2_kg.7__1539__AT4G26930.1 transcript:fgenesh2_kg.7__1539__AT4G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVYGGGASEDGGGGRVVLKKGPWTVAEDETLAAYVREYGEGNWNAVQKKTWLARCGKSCRLRWANHLRPNLRKGSFTPEEERLIIQLHSQLGNKWARMAAQLPGRTDNEIKNYWNTRLKRFQRQGLPLYPPDISPSNHQQQMYPQQPNTPSSPLPSPTPASSFTFPLLQPPSLCPKRCYNTAFSPKASYTSSPTFLNTHFPLSSYQSTNPVYSMKHELSSNHIPFSTSLGVYQVSKFLDNGDCNQNLNTSLHTNTCQLLEDLMEEAEALADSFRAPKRRQLMAALQDNNNNFFSGSFGHCGSSNNLFPLQGLTPKEDECLQMNTMQDEDITKLLDWGSESEEISNGHSSAITTEDSLVLDDHQFALLFPVDDDTNNLPGIC >fgenesh2_kg.7__1540__AT4G26920.1 pep chromosome:v.1.0:7:6458922:6460956:-1 gene:fgenesh2_kg.7__1540__AT4G26920.1 transcript:fgenesh2_kg.7__1540__AT4G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQENLISEDESINQTEPWQFENALSRSDRETLTSFTMLSDFLGQQLPNFSSYSLNLMGVLACIVNEIIALATPESPLWSRSQCENIEMLNLNEYYSQFFPWYAKNVPRFFHEASRASAVIRVDASWLVRKLENPVRWVSIFPSLVGNVSIESSNDDVKMIDMEFLTLITPVIPTRKIKVLRYCHRIGNDTWIIADISMYLSSYSDDLRPEFLRFPSGFIIQHLPNGYSKVTILEHWVYKEDAILNRLRPYLSYGIGFGAKKWLVALQRYCSKTTYVPLMDITNQLVSSSKSFSVLFLIIVNSTGRDNLLEVSRHMVHLFCSGTCGVIGYQWRRLGAGRTFDVRVFTRESPDMIRHPCGIISASGLAKIHAKPEMLFPFIYGVKKREIFNHLRLSGNGLKQVLRITRDDTTPRNDVSLFSFRLNNSTEVFLLQEAYNEASSSMVIHSILDESSLRKIINGDSSFSITYPCGFTIMPGQNSGDEEAGCVVSVGFQAIVTEAIVANTMMSNVEKTLSDTFTNFENVLAANW >fgenesh2_kg.7__1541__AT4G26910.1 pep chromosome:v.1.0:7:6463114:6466560:1 gene:fgenesh2_kg.7__1541__AT4G26910.1 transcript:fgenesh2_kg.7__1541__AT4G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRAVIRRATTKGSSPSLFGKSLQSSRVAASSPSLLTGSETGAFLHCGNHARSFHNLALPAGNSGISRSASLVSSTLQRWVRPFSSESGDTVEAVVPHMGESITDGTLATFLKKPGERVQADEAIAQIETDKVTIDIASPASGVIQEFLVKEGDTVEPGTKVAIISKSEDAASQATPSQKIPETTDSKPSPPAEDKQKPKVESAPVAEKPKAPSSPPPPKQSAKEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRSQYKDAFYEKHGVKLGLMSGFIKAAVSALQHQPVVNAVIDGDDIIYRDYVDISIAVGTSKGLVVPVIRGADKMNFAEIEKTINTLARKANEGTISIDEMAGGSFTVSNGGVYGSLISTPIINPPQSAILGMHSIVSRPMVVGGSVVPRPMMYVALTYDHRLIDGREAVYFLRRVKDVVEDPQRLLLDI >fgenesh2_kg.7__1544__AT4G26900.1 pep chromosome:v.1.0:7:6466652:6471109:-1 gene:fgenesh2_kg.7__1544__AT4G26900.1 transcript:fgenesh2_kg.7__1544__AT4G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-HF [Source:UniProtKB/TrEMBL;Acc:D7MEL3] MEATAAPFSSIVSSRQNLPSSSSIRASSPASLFLFQKNIGNGNRKFKSPRSLSVRASSSSDSGVTLLDYGAGNVRSIRNALRHLGFSIKDVQTPGDILNADRLIFPGVGAFAPAMDVLNKTGMAEALCTYIENDRPFLGICLGLQLLFESSEENGPVKGLGVIPGIVGRFDSSAGIRVPHIGWNALQVGKDSEILDDVGNRHVYFVHSYRAIPSDENKDWISSTCNYGESFISSIRRGNVHAVQFHPEKSGEVGLSVLRRFLHPKLPATQKPMEGKASKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREQSNENEVRNLGKPVDLAGQYYKDGADEISFLNITGFRDFPLGDLPMIQVLRHTSKNVFVPLTVGGGIRDFTDASGRYYSSLEVAAEYFRSGADKISIGSDAVYAAEEFIKSGVKTGKSSLEQISRVYGNQAVVVSIDPRRVYVNHPDDVPYKVIRVTNPGPNGEEYAWYQCTVSGGREGRPIGAFELAKAVEELGAGEILLNCIDCDGQGKGFDIDLVKLISDSVGIPVIASSGAGTPRHFSEVFEKTNASAALAAGIFHRKEVPIQSVKEHLQEERIEVRI >fgenesh2_kg.7__1545__AT4G26890.1 pep chromosome:v.1.0:7:6473236:6474819:-1 gene:fgenesh2_kg.7__1545__AT4G26890.1 transcript:fgenesh2_kg.7__1545__AT4G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MEL4] MEINWKRGQIIGRGSTATVSVAISSSGEIFAVKSADLSSSSFLQKEQSFLSTLSSPHIVKYIGSGLTYENDRLVYNILMEYVSGGSLHDLIKNSGGKLPEPEIRSHTRQILNGLVYLHERGIVHCDLKSQNVLVEENGVLKIADMGCAKSVGKSGFSGTPAFMAPEVARGEEQRFPADVWALGCTVIEMMTGSNPWPELNDVVAAMYKIGFSGESPEIPGWISEKAKDFLNNCLKEDPKQRWTVEELLKHSFLNDEEESQTSDCLKNKTSSPSTVLDQRFWDSCETSKTHLISMDHEDPFADYSESWGSPAKRIEKLAGDEFLSLPDWVTEEDDGWIQVRGDEYEETEKRDGDDDVICVETTSSMEEDEDWISNQDSLFSEYSSDDIINNFYSNVAIQGNLIAFYYFSDEDKNVSIKNLFHTKKNNCFPNCKLIFNPLTKS >fgenesh2_kg.7__1546__AT4G26880.1 pep chromosome:v.1.0:7:6479999:6480459:1 gene:fgenesh2_kg.7__1546__AT4G26880.1 transcript:fgenesh2_kg.7__1546__AT4G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKLLVSIAITTAITIAVITTRTNTTTTTIREYTSFDALSAPTIRPNRFLAQKENPEICTLYGGGGSNSTMTCCNNKCIDVASDNDNCGACKNKCKFSQTCCRGQCVYVAYDKRHCGQCNHPCELGELCVYGLCNYA >fgenesh2_kg.7__1548__AT4G26850.1 pep chromosome:v.1.0:7:6489199:6491774:1 gene:fgenesh2_kg.7__1548__AT4G26850.1 transcript:fgenesh2_kg.7__1548__AT4G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIKRVPTVVSNYQKDDGADDSVGCGRNCLGACCINGARLPLYACKNLAKSGAVEKLVISNEAKEPPVAFLESLVLGEWEDRFQRGLFRYDVTACETKVIPGKYGFVAQLNEGRHLKKRPTEFRVDKVLQSFDGSKFNFTKVGQEELLFQFEAGEDGQVQFFPCMPLDPENSPSVVAINVSPIEYGHVLLIPRVLDCLPQRIDHKSLLLAVHMAAEAANPYFRLGYNSLGAFATINHLHFQAYYLAMPFPLEKSPSKKITTTVSGVKISELLSYPVRSLLFEGGSSMQELSDTVSDACVCLQNNNIPFNILISDCGRQIFLMPQCYAEKQALGEVSPEVLETQVNPAVWEISGHMVLKRKEDYEGASEDNAWRLLAEASLSAERFKEVIALAFEAIGCSNHEEDLEGTIVHQQNPSGNVNQKSNQTHGGPITNGTAAECLVLQ >fgenesh2_kg.7__1549__AT4G26840.1 pep chromosome:v.1.0:7:6492178:6493516:-1 gene:fgenesh2_kg.7__1549__AT4G26840.1 transcript:fgenesh2_kg.7__1549__AT4G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MEL8] MSANQEEDKKPGDGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMNSIAFLFDGRRLRAEQTPDELDMEDGDEIDAMLHQTG >fgenesh2_kg.7__154__AT4G39430.1 pep chromosome:v.1.0:7:561223:563217:1 gene:fgenesh2_kg.7__154__AT4G39430.1 transcript:fgenesh2_kg.7__154__AT4G39430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M8J8] MLGNVKLAEEGMLRVLFSAVYLLSHKNRNDNQISAVSRLLGLATRFATEMIRIYGLLEYQKDGYMLDSKPRTQILSLPPVSLHIDVMENSRRLSEMGYLLEITRNFQSRITRKFKKLGKVLYDINTNGKNEKSLNLVDPNSLQDDSQLEIVPDPASAESRQLDTSLFDTNGELALTPMGMMTAKAGQIIGERSYASGLVPQVVVEEKKVLPLENPKEMMARWKANNLDLKTVVKDALLSGRLPLAVLQLHLQHSKDVVEDGEHHDTFTEVRDIGRAIAYDLFLKGEPGVAIATLQRLGEDVEACLNQLVFGTVRRSIRYQIAEEMRKLGFLRPYEDNVLERISLIEHLKLDCGEVDGVVLGSWTKINESASEHAPDETDAVAGYWAAAAVWSNAWDQRTFDHVRS >fgenesh2_kg.7__1556__AT4G26790.1 pep chromosome:v.1.0:7:6501547:6503448:-1 gene:fgenesh2_kg.7__1556__AT4G26790.1 transcript:fgenesh2_kg.7__1556__AT4G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7MF53] MQRNRVLAFLLLAAQLLVQIPESCAKVPALIVFGDSTVDSGNNNQISTVLKSNFQPYGRDYFDGKATGRFSNGRIAPDFISEGLGLKNAVPAYLDPAYNIADFATGVCFASAGTGLDNATSAVLSVMPLWKEVEYYKEYQIRLRSYLGEENANEIISEALYLISIGTNDFLENYYLLPRKLRKYAVNEYQNFLIGIAADFVTDIYRLGARKMSWSGLSPFGCLPLERTTQLFYGSKCIEEYNIVARDFNTKMEMKVYQLNRELDGIQLVFSNPYDLVSEIIYHPEAFGFQNVRSACCGTGYYEMSYLCDKMNPFTCSDASKYVFWDSFHPTEKTNAIVASHVLKYDLSRFQ >fgenesh2_kg.7__1557__AT4G26780.1 pep chromosome:v.1.0:7:6504433:6506167:1 gene:fgenesh2_kg.7__1557__AT4G26780.1 transcript:fgenesh2_kg.7__1557__AT4G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:UniProtKB/TrEMBL;Acc:D7MF54] MLVSRILSRVTRNAGLRSYLSAVTLPARNQIPVFSSRFHSRDHEFSHKLVPAQVSMDSVALQRFSFSSTTSPESDEKKSNTEASKTSEEKPTAEANQPGLDTESIDSVTDSTKRKRKGAKGAASSESDSESDDDELSKDDLVKLVAEKEELLSEKEEEIKKMKDKVLRTYAEMENVMDRTRRDAENTKKYAVQNFAKSLLDVADNLGRASSVVKESFSKLDTSEDSAGAAPLLKTLLEGVEMTEKQLAEVFKKFGMEKYDPINEPFDPNRHNAVFQVPDASKPEGTVAHVLKYGYTLYDRVIRPAEVGVTQAGENQEEKKESDA >fgenesh2_kg.7__1558__AT4G26770.1 pep chromosome:v.1.0:7:6506186:6508936:-1 gene:fgenesh2_kg.7__1558__AT4G26770.1 transcript:fgenesh2_kg.7__1558__AT4G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MF55] MAMEKDLNPNSPRIRKLRDNSYPTTPTSRMSSNNQRDNHYPNIPNSPRDYNYTPSSPTARIRHRRRSSENLAEVNRSNVSRVSNLLLGDKNKYRSMWIRTCSSLWMLGGVVFIIYMGHLYIWAMVVVIQIFMAKELFFLRRRAHEERRLPGFWLLNWHFFFTAMLFVYGRIIQQQLVNTVSSDRFIYKLVSGLIKYQMVICYFLYIAGLIWFILTLKNKMYKYQFGQYAWTHMILIIVFTQSSFTVANIFEGIFWFLLPAALIAMNDVAAYFFGFYFGKTPLIKLSPKKTWEGFIGASVATIISAFIFGNVLGQFQWLTCPRKDLSTGWLHCDPGPLFRPEYYPLPSWIAPFSPWKGISTLPVQWHAFSLGLFASIMAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMVMAVFAYIYIQSFIVNQDYSVEMILDQISRSLGHEEQKMLYVKLGDILQHKLQGRF >fgenesh2_kg.7__1559__AT4G26760.1 pep chromosome:v.1.0:7:6509243:6512308:1 gene:fgenesh2_kg.7__1559__AT4G26760.1 transcript:fgenesh2_kg.7__1559__AT4G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule associated protein family protein [Source:UniProtKB/TrEMBL;Acc:D7MF56] MAVTEVENPLLGEITCGTLLQKLQEIWDEVGESDEERDKLLLQIEEECLNVYKKKVELAAKSRAELLQTLSDATVELSNLTTALGEKSYIDIPDKTSGTIKEQLSAIAPALEQLWQQKEERVRAFSDVQSQIQKICEEIAGGLNNGPHVVDESDLSLKRLDDFQRKLQELQKEKSDRLQKVLEFVSTVHDLCAVLGLDFLSTVTEVHPSLDEANGVQTKSISNETLARLAKTVLTLKEDKMQRLKKLQELATQLTDLWNLMDTPDEERELFDHVTCNISASVHEVTASGALALDLIEQAEVKVDRLDQLKSSRMKEIAFKKQSELEEIYARAHIEIKPEVVRERIMSLIDAGNTEPTELLADMDSQIAKAKEEAFSRKEILDRVEKWMSACEEESWLEDYNRDQNRYSASRGAHLNLKRAEKARILVSKITAMVDTLVAKTRAWEEDNSMSFEYDGVPLLAMLDEYTMLRQEREDEKRRLKEQKKQQEQPHTDQDSAFGSKPSPARPVSAKKTVGTRANGGGLNETPIRRLSMNSSQNGSKSKRDSLNKIASPSNLVANTKEDGASPVCRVDPVMASP >fgenesh2_kg.7__1560__AT4G26750.1 pep chromosome:v.1.0:7:6512588:6515139:1 gene:fgenesh2_kg.7__1560__AT4G26750.1 transcript:fgenesh2_kg.7__1560__AT4G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7MF57] MSNANEPARALLPYLQRADELQKHEPLVAYYCRLYAMERGLKIPQSERTKTTNSILMSLINQLEKDKKSLNLSPDDNMHVEGFALSVFAKADKQDRAGRADLGTAKTFYAATIFFEILSQFGPVPPDIEQKQKYAAWKAADIRKAIKEGRKPTPGDPVDDDNDLSIPSSGPSGSYDHGASDSNSSSHHRTEPDPSHDSNDDSSHHHFPEVPQHPLPPRFHDNPTNDYPIDVPPPPPSSYPSNDLHPPPTGPSDSPYQHPYSHQPYHQDPSQHMPPPQNYSAHEPSPNSLPNFQSYPSFSESSLPSTPPHYPSHYQNPEPYYSSPHSAPAPSSTSFSSAPPPPPYSSNGRINIAPVLDPAPSPAQKYHYDSSYQPGPEKVAEAHKAARFAVGALAFDEVSTAVEHLKKSLELLTNPSAGAGH >fgenesh2_kg.7__1562__AT2G16030.1 pep chromosome:v.1.0:7:6517523:6518762:-1 gene:fgenesh2_kg.7__1562__AT2G16030.1 transcript:fgenesh2_kg.7__1562__AT2G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNVEKMLNRVSVVFISIGTVLMVIMILQTPKTCISPEAPSKPHTHFPRSTCDSSPRQHLPLPKKNARIWSSKAWKSRLSSFSNYFLRFRDLGFLQKHTKALCLSAGAGHAPMALSNIGLADVTAVELVDSIPLVKRADPHNLPFFDGVFDFAFTAHLAEALFPWRFVEEMERTVRRGGFCVVAVDECGGDDVRDIARLFHKSKVVDVANVTLEGSKRTSILFKVQDSPT >fgenesh2_kg.7__156__AT4G39450.1 pep chromosome:v.1.0:7:565783:573608:1 gene:fgenesh2_kg.7__156__AT4G39450.1 transcript:fgenesh2_kg.7__156__AT4G39450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKLTFGPLGWLSFSGYPTGEYLLHRGVEFFINVNDPTEISAISWEAIIQKHIEEELHHTKTEGTELGLEHFLHRGRPLAAFNAFMEQRVEKLKLEDQSGFSTHGQRNMQSDVPLLLAPLTQSDESLLSSVIPLAITHFGDSVLVASCAFLLELCGLSASMLRIDVASLRRISSLYKSNDNADMAQQKSLKGSMFHRVSSEDDLMGSLARALANEYAYPDISSVSKHKQNPNSISGTQPGLPLMLVLHHLEQASLPEIGVDRKTSGYWLLTGDGDGSELRSQQTSASLHWSLVTLFCQMHKIPLSTKYLAMLARDNDWASKEFGDQRLKAHILTVLRYANSKKKATILYSDDPSRGFSCSSSEGGAYVSAELFRVLAYSEKLKNPGGYLLSKAKELSWSILALIASCFPDVAPLSCLTIWLEITAARETSFIKVNDITTKIAENIGAAVVSTNSLPTDARGVQFHYNRRNPKRRRLTAHTSVDLLASANSLNTSAGKTFCSLRTEAAENEKVEDSSVTNDSSDEHASLSKMVAVLCEQRLFLPLLKAFDLFLPSCSLLPFFRALQAFSQMRLSEASAHLGSFWARVKEESMHFQSNIAKDVNFGASWISRTAVKAADAVLSTCPSPYEKRCLLQLLAATDFGDGGSAATYYRRLYWKVNLAEPSLRENDLDLGNESLDDGSLLTALEKNRQWEQARNWAKQLETIGATWTSSVHHVTETQAESMVAEWKEFLWDVPEERIALWGHCQTLFIRYSFPALQAGLFFLRHAEVVEKDLPAREIYELLLLSLQWLSGLTTLSHPVYPLHLLREIETRVWLLAVEAESHVKNVGAFSSSSIGKDMVNGNSSNLIDRTASIITKMDSHISSATKNRIGEKHDSRAAGQGHQRNQDTSTSIFGASTKPKRRAKGNVPQIRHFVDSSDRNTDFEDSSSLLNIKSEFQLQEENTGLEISLSKWEECIEPAELERAVLSLLEFGQVTAAKQLQLKLAPGNLPSELIILDAVMKLAMLSTPCCQVPLSMLDDEVRSVIQSQSLKIDQPLIEPLQVLENLSYNLNEGSGRGLARKIIAVIKAANILGLTFTEAYQKQPIELLRLLSLKAQDSFEVACLLVQTHSMPAASIAQILAESFLKGLLAAHRGGYIDSQKEEGPAPLLWRFSDFLKWAELCPSEQEMGHALMRLVITGQEIPHTCEVELLILSHHFYKSSTCLDGVDVLVALAATRVEAYVAEGDFSCLARLITGVGNFHALNFILNILIENGQLDLLLQKFSAAAADANTGTAQAVRSFRMAVLTSLNLFNPNDHDAFAMVYKHFDMKHETATLLEARADQAAQQWFLRYDKDQNEDLLNSMRYYIEAAEVHTSIDAGNKARKACGQASLVSLQIRMPDSKWLCLSETNARRALVDQSRFQEALIVAEAYGLNQPSEWALVLWNLMLKPELAEDFVAEFVAVLPLQASMLLELARFYRAEMAARGDQSQFSVWLTGGGLPAEWAKYMWRSFRCLLKRTRDLRLRLQLATTATGFADMVDGCMNALDKVPENGGPLVLKKGHGGGYLPLM >fgenesh2_kg.7__1570__AT4G26690.1 pep chromosome:v.1.0:7:6537500:6541126:1 gene:fgenesh2_kg.7__1570__AT4G26690.1 transcript:fgenesh2_kg.7__1570__AT4G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRH5/SHV3 [Source:UniProtKB/TrEMBL;Acc:D7MF64] MRGLRASSLLLCGVVLIQLFAAQINAQKPKSPWPTLTGDPPFVIARGGFSGLFPDSSYDAYNFAMLTSVSDVVLWCDVQLTKDALGICFPDLTMSNASSIEVVYPTRQKSYPVNGVPTSGWFTIDFSLKDLKAVNLIRGILSRSEKFDGNSNPIMTVQSVATQMKPSFFWLNVQHDAFYAQQNLSMSSFLIAASKTVPIDFISSPEVNFFRKISGRFGRNGPSLVFRFLRQEEFEPTTNRTYGSILSNLTFVKTFASGILVPKSYILPLDDQQYLLPPTSLVQDAHKAGLEVFVSGFANDIDIAHDYSFDPVSEYLSFVDNGNFSVDGVLTDFPITASASLDCFSHIGRNATKQVDFLVISKNGASGDYPGCTDVAYEKAIKDGADVIDCSVQMSSDGTPICLGSIDLGNSTTVALTAFRNRSTTVPELGSVGGIYTFSLTWPEIQTLTPAISNPYGVSRLFRNPNQKNAGKLFSLSDFLNLAKNSTSLSGVLISVENAAYLRENQGLDVVKAVLDTLTHTGYSNNTATKVMIQSTNSSVLVDFKKQSQYETVYKVEENIRDILDSAIEDIKKFADAVVIQKSSVFPLAQSFITTQTNVVEKLQKSQLPVYVELFQNEFLSQPYDFFADATVEINSYITGAGINGTITEFPFTAARYKRNLCLGRKETIPYMAPAQPGALLTLVSPTSLPPAQAPNPVFTDADVTEPPLPPVTAKAPTSTPGTPSTNAQAPSGQTRITLSVLLSVFAMVLASLLLL >fgenesh2_kg.7__1572__AT4G26660.1 pep chromosome:v.1.0:7:6555881:6558999:-1 gene:fgenesh2_kg.7__1572__AT4G26660.1 transcript:fgenesh2_kg.7__1572__AT4G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPGILSESRFLGSITSSSIRNLLPKSISTKQKPIQNPENKIPRSNDENAIPVDPNKHPAALHFTKQSDTKDGETLTNFRELDEVNKMGQNNFAGDKQSPAKSPLRVESRSVLSKRTNSMPSEITEEEDDPLGEQIRELKEELIRTKSDGYKPDGSKSGHFARDSLSQLRVSISKSLLMSSPKRDESEGKEMNVDRDNDGEDVLELNKHIEKLHGSYDSVHSSFASASCYEADSMSEDDDDDVCSEDLDKPKHGNHKDADFVDDDPSQPDNVGFDTAGSSISIRSQLPTCILEEPIFSESPKFKNVQKSVAASTKFSASLRNVSESFNIGDMKVNEISPSMSKKLSGPTDSIAASLQRGLQIIDYHQGSSLSKSSSVSFSFGHMALKPCAEVDNLNASVQSFRKDKAPEGGLSSILLCLSCRKKVDQEAEVTQEAGSNEKHLKNMCMEQAAKIEELTRLLRKSGDGTEFIKATYETKQVSQEFGETNLEVSEKEALLKEIADLKSKLQPTKSTDNLRSSLLLRSIQMRKSIDVTKNGENSDVLAKEREMWTEMESEWISLTDDLRMDIDSHRGRAENLEIELKQERLATEELNDALTRAVLGHSRFIEQYTELQEKYNELGEKHSMMMAGITDVKKAASKAAMNGRHGKRFAKAFSDELSAIRAEKEIEREFLKKENKNLRTQLRDTAEAVQAAGELLVRLRESEQALQISEERFSVVEEEKERLKKQMEQLKSKHKTEIGTMKQYLAESKLPGSALLQPWYKDEEDEIEQVSEHGTGAVSFDEYEDDQAWRAEFGATYQDHHY >fgenesh2_kg.7__1574__AT4G26650.1 pep chromosome:v.1.0:7:6559807:6563157:-1 gene:fgenesh2_kg.7__1574__AT4G26650.1 transcript:fgenesh2_kg.7__1574__AT4G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MF72] MNLEEQKMESASDLGKLFIGGISWDTDEERLQEYFGKYGDLVEAVIMRDRTTGRARGFGFIVFADPSVAERVIMDKHIIDGRTVEAKKAVPRDDQQVLKRHASPMHLISPSHGGNGGGARTKKIFVGGLPSSITEAEFKNYFDQFGTIADVVVMYDHNTQRPRGFGFITFDSEESVDMVLHKTFHELNGKMVEVKRAVPKELSSTTPNRSPLIGYANNYGVVPNRSSANSYFNSFPPGYNNNNLGSAGRFSPIGSGRNAFSSFGLGLNQELNLNSSFDGNTLGYSRIPGNQYFNSASPNRYNSPIGYNRGDSAYNPSNRDLWGNRSDSSGPGWNLGVSVGNNRGNWGLSSVVSDTNGFGRTYGAGSGLSGLSFSGNTNGFDGSIGELYRGSSVYSDSTWQQSMPHHQSSNELDGLSRSYGFGIDNVGSDPSANASEGYPQNYNVRNRQTHRGIEA >fgenesh2_kg.7__1577__AT4G26630.1 pep chromosome:v.1.0:7:6580240:6585294:1 gene:fgenesh2_kg.7__1577__AT4G26630.1 transcript:fgenesh2_kg.7__1577__AT4G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDTQATIEPTANKTTSLERPSEAMAGKKEEENAEGKETQELTKDEDIAEPEKMEIDAEIKKDEEKCETEEKVSEIKKKEDNAETQKIEDKVEVTKDEGQAEATNMDEDADGKKEQTGDGVSVEDTVMEENVESKDNNNAKDDEKQETNSTEADHKNAGKEDIENEGEKANGTKDGNTGDIREEGTLVDKDKGTDRDEKVENVDDNEEVENVEEGKEKEDTDVNKTKEVEAAKAEVDEAKVEDEKEGSDDENNNEEERNDDKEDEKEDSKGSKKRGKGKSSGGKVRERTKNEEVKKEAEPRTPFSDRPVRERKSVERLVALIDKDSSREFHIEKGRGAYLKDIPNVANKVTRKKPDETLKLLHMILFGGRRGKAAQIKTNILGFSGFVWHGDEKKAKEKVKEKLEKCIKEKLWEFCDVLDIHITKATTKKEDIITKLIEFLEKPHVTSDSAVSEKEKSSKGAKRKRTPKQSSPTAGSSSSKRSVKSKRKSEEAAKGVKKSLAHSDDESEEEKEEEKQEEEKAEEKEENKEEENENGIPDKSEDEAPQPSESEEKDESEEHSEEETAKKKRSSRLSAGKKESAGRARNKKAAVAAKSSPPEKVTQKRSSSKRKKTDDDSDTSPKASSKRKKTEKLTKASPAPSTSASKEKPVKRAGKGKDKPSDKVLKNAIVEILKRVDFSTATFTDILKELAKEFTEDLTPRKSSIKMIIQEELTKLAEEEEEEEEKKEEDAEKEEAGGGEEVKA >fgenesh2_kg.7__1579__AT4G26620.1 pep chromosome:v.1.0:7:6585593:6588111:1 gene:fgenesh2_kg.7__1579__AT4G26620.1 transcript:fgenesh2_kg.7__1579__AT4G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRDRDDPLCFTSNPSSASSPVTVSDYLDTFLGEPTSRSGSFQSESLLGGESINDADFGFARPDFRSEQLAGTVQFYERHVFLCYKKPSVWPARIEAAEFDRLPRLLSAAVSARKSSMKKETRLTICEGHDGTETSNGDVLIFPDMIRYRRLTHFDVDTFVEEVLVKDGEWLPGNPELLKGSYVFVCSHGSRDRRCGVCGPSLVSRFREELEFHGLQGNVSISPCSHIGGHKYAGNVIIYRSNINREVTGHWYGYVTPEDVPILLEQHINKGKIVDRLWRGEMGLSEEDQKKTQEGRFQLNGAVHTVKINGKVSQESSVHSADVSCCQSRAAEPNGCCQQNGNSSSCCQDDTTLMLSLETSEGNQLESENNTEKLTPGRKIAEKTFFRINSDKGSSTRKVCGIPTWLESWEREDTYAALAVVCAAASVVVAYTCYKQL >fgenesh2_kg.7__157__AT4G39470.1 pep chromosome:v.1.0:7:578033:579623:-1 gene:fgenesh2_kg.7__157__AT4G39470.1 transcript:fgenesh2_kg.7__157__AT4G39470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast lumen common family protein [Source:UniProtKB/TrEMBL;Acc:D7M8K1] MCSIGVLALCSPAKFHHRREQLLIFTPTHLRNPNGFQFRWRKSRPFAQSVNFAPSFSQLPLIRSSTSLLSSKDFVQILARKAAILLVGSFVFLGFCSSKPALALPTATVVSQAELEDEKMFEKLLESEPENMEAMKAVVYKKMRRGKNEDAVKYVEKLMKLEPHEVEWKLLEALCYETMGQLSKAKRLYKDILKEQPLLIRALHGLAMVMHKTHDTSVFDMLMEAMEVARQGNRVTEERNIQVLIGQMHIVQGQFEEGLKIFQQMVNDNPRDFRPYLCQGIVYSLMDKKEEAAQQFENYWSLVPEEFPQKGFLDDVALAAQAKSRERLQNTFKAKFTQGK >fgenesh2_kg.7__1580__AT4G26610.1 pep chromosome:v.1.0:7:6588243:6591084:-1 gene:fgenesh2_kg.7__1580__AT4G26610.1 transcript:fgenesh2_kg.7__1580__AT4G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSGSGVLPENNKEKGDKETPEVSLSNSSQSVSVSTLADQVSSTLSFAPSSDSKTGVEVKLNEKSDLAESGKSNTCRPSTSSDISDESTCSSLSSNNKPHKANDVRWEAIQAVRTKHGVLGLNHFRLLKRLGCGDIGTVHLAELHGTRCYFAMKVMDKGALASRKKLLRAQTEREILQCLDHPFLPTLYSHFETEKFSCLVMEFCPGGDLHTLRQRQPGKRFSEQAAKFYVAEVLLAMEYLHMLGIIYRDLKPENVLVRDDGHVMLSDFDLSLRCTVSPTVVRSTVLASEGQRNSGYCAQPACIQQPSCISAPTTCFSPRFFSSKSKKDKKMKNETGNQVSPLPELIAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRSLLVKEPQHRLAYKRGATEMKQHPFFEGVNWALVRCASPPEIPKPVDIESAPATPAAATSTSVKSDQSNYLEFDFF >fgenesh2_kg.7__1581__AT4G26600.1 pep chromosome:v.1.0:7:6591935:6595909:-1 gene:fgenesh2_kg.7__1581__AT4G26600.1 transcript:fgenesh2_kg.7__1581__AT4G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTRNKKKVAHSQTPPLYKRTNSSPLKKAPKSQKPPLKKQRKGISEEKPEVSTDEKEEEEEENELSDQGDEGSESGSDFFSDDDDDDGEDTEPLAGDFIDGSDHEEGILGSDFGGSKLERKSRAIDRKRKKEEKDAEDEFKMNIKEIPDEFQLPTQKELEEEARRPPDLPSLQIRIREIVRVLSNFKDLRPKGDKHERTDFVEQLKADLGSYYGYNEFLIGTLIEMFPVVELMELIEAFEKKRPTSIRTNTLKTRRRDLADVLLNRGVNLDPLSKWSKVGLIVYDSQVPIGATPEYLAGFYMLQSASSFLPVMALAPREKERVVDMAAAPGGKTTYVAALMKNTGIIYANEMKVPRLKSLSANLHRMGVTNTIVCNYDGRELPKVLGQNSVDRVLLDAPCSGTGVISKDESVKTSKSADDIKKFAHLQKQLILGAIDLVDANSKTGGYIVYSTCSIMIPENEAVIDYALKNRDVKLVPCGLDFGRPGFSSFIEHRFHPSLEKTRRFYPHIHNMDGFFVAKLKKMSNAMQPSGNVEPDITMEQAQVSSSDDDDEKVEAIEESEKPPVTNGQPKRESNTRENKNKQKIPRSKEIDKGKRNKYTKTGSSNVEEPRKQKKKRSQWKNEIAQAREEKRKAMRENAKEKPKHRG >fgenesh2_kg.7__1582__AT4G26590.1 pep chromosome:v.1.0:7:6598200:6601188:1 gene:fgenesh2_kg.7__1582__AT4G26590.1 transcript:fgenesh2_kg.7__1582__AT4G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOPT5 [Source:UniProtKB/TrEMBL;Acc:D7MF80] MVGSLEVSKPPDQKFESKIVIADEEEEEENDSPIEEVRLTVPITDDPSLPVLTFRTWFLGMVSCVVLAFVNNFFGYRSNQLMVSSVVAQIVTLPLGKLMATTLPTTKLRLPGTNWSCSLNPGPFNMKEHVLITIFANTGAGGAYATSIITIVKAFYHRNLNPAAAMLLLQTTQLLGYGWAGMFRKFLVDSPYMWWPANLVQVSLFRALHEKEEKREGKQTRLRFFLIVFFLSFTYYIVPGYLFPSISSLSFVCWIWTRSVTAQQIGSGLHGLGIGSFGLDWSTVAGFLGSPLAVPFFAIANSFGGFVIFFYIILPIFYWSNAYEAKKFPFYTSHAFDHTGQRYNTTRILNHKTFDIDLPAYESYSKLYLSILFALIYGLSFGTLTATISHVALFDGKFIWELWKKATLATKDKFGDVHTRLMKKNYKEVPQWWFVAVLAVSFVLALYACEGFGKQLQLPWWGLLLACAIAFTFTLPIGVILATTNQKMGLNVISELIIGFLYPGKPLANVTFKTYGTVSMSQALNFVGDFKLGHYMKIPPRSMFLVQLVATIVASTVSFGTTWWLLSSVENICNTDKLPKSSPWTCPGDEVFYNASIIWGIIGPGRMFTSKGVYPGMNWFFLIGLLAPVPVWFFARKFPEKKWIQLIHIPLIFSGANAMPMAKAVHYWSWFVVGIVFNYYIFRRYKGWWARHNYILSAALDAGTAIMGVLIYFTLQNNNISLPDWWGNENTDHCPLANCPTEKGIVAKGCPVF >fgenesh2_kg.7__1583__AT4G26580.1 pep chromosome:v.1.0:7:6602128:6603537:1 gene:fgenesh2_kg.7__1583__AT4G26580.1 transcript:fgenesh2_kg.7__1583__AT4G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7MF81] MSTRFSSQLQSSAINSITVSSSSSLDSTSRDDNIHMAPESSPSSSFLMRLAMRVSRATWFIFLRRVFHYQNASRSDLGTNPFNSITWMISELIALLVQITVITSTLALSKKERPVWPMRLWITGYNVGCLLNLMLLYGRYRQQHTSQGNAFSFGDIELQQRSREETTRCSHLMNRCRTSLELFFAIWFVIGNVWVFDSRFGSFHYAPILHVLCISLLAWNALCYSFPFLLFLLLCCVVPLLSSFLGYNMNVGSSEKGASDDQISSLPSWKYKLIDEASDSAQASNDPECCICLAKYKEKEEVRKLPCSHRFHLKCVDQWLRIISCCPLCKQNLPN >fgenesh2_kg.7__1584__AT4G26570.2 pep chromosome:v.1.0:7:6604184:6606638:1 gene:fgenesh2_kg.7__1584__AT4G26570.2 transcript:fgenesh2_kg.7__1584__AT4G26570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCIDGFKHVCSSFFRCFDIDIYKQSGGLGDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRYQSQVFDLFDTKHNGILGFEEFARALSVFHPNAPIEDKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEIIESIIDKTFEEADTKHDGRIDKEEWRTLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVEDT >fgenesh2_kg.7__1586__AT4G26555.1 pep chromosome:v.1.0:7:6610208:6611932:1 gene:fgenesh2_kg.7__1586__AT4G26555.1 transcript:fgenesh2_kg.7__1586__AT4G26555.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7MF84] MEISHRFIGSSMALSVGKSRNGVSGISRVGLSSVSAVHVPRRMFMQLVGFGSVLTLLDFPGLAAPVPQMKEPQVIRTLKLPSGVRFQEIIEGEGREAHEGDLVELNYVCRRANGYFVHSTVDQFSGENSPVKLILDENDVIEGLKEVLVGMKAGGKRRALIPPSVGYINETLKPIPEEFGPRRSLLSHANEPLVFEIQLLKVL >fgenesh2_kg.7__1587__AT4G26550.1 pep chromosome:v.1.0:7:6612480:6614289:1 gene:fgenesh2_kg.7__1587__AT4G26550.1 transcript:fgenesh2_kg.7__1587__AT4G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein [Source:UniProtKB/TrEMBL;Acc:D7MF85] MSQGWFSMGGSSSGDQQQQQSGSSLLADWNSYAASRDFEESSGSFGFDIESAVRSANDTVSGTFSVVSKGVRDIPGSLQSATSSMPSGKALMYFGLLLASGVFFIFIAFTMFLPVMVLMPQKFAICFTLGCGFIIGSFFALRGPKNQLAHMSSMERLPSTLGFIATMVGTIYVSMVLHSYILSVLFSVLQVLALVYYCISYFPGGSSGMRFLSSALTSSALRVFGR >fgenesh2_kg.7__1590__AT4G26530.2 pep chromosome:v.1.0:7:6628618:6630571:-1 gene:fgenesh2_kg.7__1590__AT4G26530.2 transcript:fgenesh2_kg.7__1590__AT4G26530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:D7MF88] MSAFVGKYADELIKTAKYIATPGKGILAADESTGTIGKRFASINVENVESNRQALRELLFTSPGAFPCLSGVILFEETLYQKTSDGKPFVDLLMENGVIPGIKVDKGVVDLAGTNGETTTQGLDSLGARCQEYYKAGARFAKWRAVLKIGATEPSELSIQENAKGLARYAIICQENGLVPIVEPEVLTDGSHDIKKCAAVTETVLAAVYKALNDHHVLLEGTLLKPNMVTPGSDSPKVAPEVIAEYTVTALRRTVPPAVPGILFLSGGQSEEEATQNLNAMNKLDVLKPWTLTFSFGRALQQSTLKAWAGKTENLAKAQATFLTRCKANSDATLGKYTGGASADSAASESLYVKGYKY >fgenesh2_kg.7__1593__AT4G26520.1 pep chromosome:v.1.0:7:6631615:6633609:-1 gene:fgenesh2_kg.7__1593__AT4G26520.1 transcript:fgenesh2_kg.7__1593__AT4G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:D7MF89] MSAFVGKYADELIKTAKYIATPGRGILAADESTETIGKRFAGINVENIESNRQAYRELLFTSPGSYPCLSGVILFEETLYQKTSDGKPFVDLLMENGVIPGIKVDKGLVDLAGTNGETITQGLDSLGARCQDYYKAGARFAKWRAFFKIGATEPSVLSIQEDAKVLARYAIICQENGLVPIVEPEVLTGGSHDIKKCAAVTETVLAAVFKALNDHHVLLEGTLLKPNMVTPGSDSPKVAPEVIAEYTVTALCRTVPPAIPGIVFLSGVQREEQATLNLNAMNKLDVLKPWTLTFSFGGALQQSALKAWAGKTENVAKAQAKFLTRCKANSDATLGKYTGWASGDSAASESLVVIGYRY >fgenesh2_kg.7__1594__AT4G26500.1 pep chromosome:v.1.0:7:6640938:6642355:1 gene:fgenesh2_kg.7__1594__AT4G26500.1 transcript:fgenesh2_kg.7__1594__AT4G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSUFE/CPSUFE/EMB1374 [Source:UniProtKB/TrEMBL;Acc:D7MF91] MAAAMSSSCCASPLRLIPFKRTFFSSIHYPAKALILRPLKPSEIPSFRRTIITFQKISTGIVPPPSASSSSPSSSGDLQPIEELPPKLQEIVKLFQSVQEPKAKYEQLLFYGKNLKPLDSQFKTRENKVEGCVSQVWVRAFFDEQRNVVYEADSDSLLTKGLAALLVQGLSGRPVPEILRISPDFALLLGLQQSLTPSRSNGFLNMLKLMQKKALHLEVKGEEDSSSESSFVSTPEIEDEASVPEVDSESKPGLVEDLGTEKIDDSDSGSNGVALGSRGMRIRERLEEELNPVELEVEDVSYQHAGHAAVRGSAGDDGETHFNLRIVSDVFQGKSLVKRHRLIYDLLQEELKSGLHALSIVAKTPSEV >fgenesh2_kg.7__1597__AT4G26480.1 pep chromosome:v.1.0:7:6647452:6655522:1 gene:fgenesh2_kg.7__1597__AT4G26480.1 transcript:fgenesh2_kg.7__1597__AT4G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MF93] MNITATSLDSEDELCTKYMDAMDNINKLERYGCDIQHDVDVHTMSFDNSLSLQRYDRIVFNFPHAGSRFFGREFSSRAIESHKELVRGFLENAKEMLEEDGEIHITHKTTYPFSDWGIKKLAKGEGLKLLKKSKFELSHYPGYINKRGSGGRRSDDYFPVDVDPCDLKGFEVLEIYHPSMSDGFVNSVMVARKINQRLNKHECNGHETLLPNASHLIRSKLWLKHNRLTNPVSKISYKTEPNQFNKREIDRSGPGQVEVAVVVMMMMTSLGGGGGSGGGGRFVPYPPPLSVPPSAPQSPNFSGGLRSQPSFLVEQEKYLSELLAERHKLIPFLPVLPHVCRLMNQEILRVTTLLENALSQSRFDHPSPLASGGIFQNARADMNGWASQFPSERSVSSSPAPNWLNSPGSSSGLIVKRTIRVDIPVDKYPNYNFVGRLLGPRGNSLKRVEASTDCRVLIRGRGSIKDPIKEEMMRGKPGYEHLNEPLHILVEAELPIEIVDARLMQAREILDDLLTPVEETHDLYKKQQLRELALLNGSLREEGSPMSGSISPYNSLGMKRAKTRG >fgenesh2_kg.7__1599__AT4G26470.1 pep chromosome:v.1.0:7:6656014:6657319:-1 gene:fgenesh2_kg.7__1599__AT4G26470.1 transcript:fgenesh2_kg.7__1599__AT4G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7MF94] MGGAVTKSETLQKEWVPETKLEAKIIEAVQRRASRGTTMKSFNSIVLKFPKIDDGLRNCKAIFQEFDEDSNGSIDHTELKNCIKKLEISFDEEEINDLFKACDINEDMGITFTEFIVLLCLVYLLKDDSSTLQKKWTMGMPKLEPTFETLVDTFVFLDENKDGYVSREEMVRAIDESGERSSGRIAMKRFEEMDWDKNGMVNFKEFLFAFTQWVGIDENEDEEDNNEKA >fgenesh2_kg.7__1600__AT4G26450.1 pep chromosome:v.1.0:7:6660007:6667224:-1 gene:fgenesh2_kg.7__1600__AT4G26450.1 transcript:fgenesh2_kg.7__1600__AT4G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MF95] MHARQRNVGNGYRSGSIGMGMSGSRISPERPMRGHGFYEHQHRGFNRGYGRGRGRSKSYHNQLPPPLPPPPVQRRSSGGGGDVFMEAGRLATEYLVSQGVLPPTVLSSKWQNANFRKQAGEFQSSRSQEAARMDVSAPAADKRRYIDGYSSAGSRNSLKGRRSNRYDSDFGRSGSWSERTKAFETETGDDSVSGHQEEQPLAEDIASSVQRSASGEFLRKCEGAGDSESVLEKYNLQDEAQSKTGSSSAGKEIVHDCEISKVSEGSSSLSAGSGEMKGRSGGNGGENENQSAIEDGSIHQRSEDASIDQQCGADESFTKSGIDLATLCKFEKVPTRTRSSLTAKGPKLYLSQTIKDISHNSGLLEEDQTEHRCETRGQSSGKADSTGDENFNDQVEDLALVQYDENSKCHRSNSFPSSILRDNSEKDSGLELPNLHRSHSVGKVGEKRVGEGGSDLEEKAKRQRDWVPLPVPEANERFNIFKTSKTQRNPEEEEKTSSFNKRLIDGATGRRVSHESLVNNSTHNRTRTGKTGPGYAEEHQLFPASFKICDLNLGGASDVNDGKKESRQAVDFDLSISSSSKSLEFGTSTRMSNGKEIEVIDLDDDSPEVVKSSNDPGRKQEAAPYMGIDDVPDYNEGLMMVEFLDSFENIPPINQETNSVPQNNNAVSLQDREVLKTSQGAIGNDQVPNNTDDDSIFMSLGEIPLIFFVECVMDLESESSALESVDDNGMIQQSASNVADDGRSLDNGSCSDESVKLVSTSKSVEIGKPMNFDSPGGGGSYTPVLKGQGLRKWRRIRRDLVKDTSANIENSKALKRVLSAVGHSHGKQMQFQSPEVEQESQGSVGSVNMLKSTGDGFDILGSTGYDSRFVAGIGFSAGMDLEIDDDRSSKSSTAARAPKVIRYEKPMISSGQGGKICVENSKKQRGESVDIDKENSYSSLESDSRKQSGKMMDYNGENGETSMRKDDAGGEGGESINTNNLYSEELDPLTEAIDGFLALQDALEKEVQQFQEIGNEPMPQHHEQVSEASSPHSEIVALVNNVEQLENMLEETRSMLEVKESHIRELESTTNQNKHSWGGTETAVEDIFRQKIEAEIEYLIYTRSIDNLDSQMKLIDEQESLAEEQNHETLNKLGRVQTKAANLTNRAQDLQNECIEITGTIKKRAFNTNKAKTAVAAEISSEMRRGGVESAVEAFRKRRPIRNKFIMEGGEGRQQKDIPDMELEEEIDTNNAIRRKNNNISSMKLLLHEFETFSKK >fgenesh2_kg.7__1603__AT4G26430.1 pep chromosome:v.1.0:7:6672702:6675227:-1 gene:fgenesh2_kg.7__1603__AT4G26430.1 transcript:fgenesh2_kg.7__1603__AT4G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSGLTFKLHPLVMLNISDHFTRVKTQLSPPAASCATGNGSSNADATFLQNPRVYGCVIGLQRGRTVEIFNSFELLFDPTTDTLDRSFLEKKQELYKKVFPDFYVLGWYSTGSDATESDMHIHKALMDINESPVYVLLNPAINHAQKDLPVTIYESEFHVIDGVPQSIFVHTSYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRIRVLYQYIVAMQKGDIPCDNALFRQVSSLLRSLPAAESEKFHENFLMEYNDKLLMSYLAMITNCTSNMNEVVDKFNTAYDKHSRRGGGRNVFM >fgenesh2_kg.7__1608__AT4G26400.2 pep chromosome:v.1.0:7:6684198:6685981:1 gene:fgenesh2_kg.7__1608__AT4G26400.2 transcript:fgenesh2_kg.7__1608__AT4G26400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MFA0] MAARYWCHMCSQMVNPIIDAEIKCPFCQSGFVEEMSREINGGSSSSLREVQDPEIDFGTDRALSLWGPILLGMMSNPRRRRRFRRTEFGVDNDEVNGVADVDGNDSNVDNDRHHHRRQRHRQQGREIDLDREFESILRRRRRSSASILQLLQGIRAGIASEYESSDRDQFNQSAVVQGSTSLNQNRNNTSLSAIGDYFVGPSLDHLLEHLADNDSTRHGSLPARKEAVENLPTVKISESLQCSICLDDFDKGSEAKEMPCKHKFHIRCIVPWLELHSSCPVCRYELPPDDETKVDPVRPRTRTLEINISNENVEDNARDSNVSERRFSFPWPFSGLFSSSSSSSASASGSSHLGENS >fgenesh2_kg.7__1610__AT4G26370.1 pep chromosome:v.1.0:7:6691188:6693688:1 gene:fgenesh2_kg.7__1610__AT4G26370.1 transcript:fgenesh2_kg.7__1610__AT4G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Antitermination NusB domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MFA2] MEGTISPLCLRSSSSLCYFSSNISPDSHRSIGFTLVDSLRPTNLVSLRTGNKRSSSSLRLFLSPTRSALRTPTISAEEVKDVPMPKIDKSGRLSSPRAARELALVILYAACLEGSDPIRLFEKRINARREPGYEFDKTSLLEYNHMSFGGPPVKTETKEEEDELVRHDEKESKIEAEVLSAPPKLVYSKLVLRFAKKLLAAVVDKWDSHVVIIEKISPPDWKSAPAGRILEFSILHLAMSEVAVLETRHPIVINEAVDLAKRFCDGSAPRIINGCLRTFVKDRAATSTPQALELKQEVSV >fgenesh2_kg.7__1612__AT4G26340.1 pep chromosome:v.1.0:7:6697360:6698817:-1 gene:fgenesh2_kg.7__1612__AT4G26340.1 transcript:fgenesh2_kg.7__1612__AT4G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MFA3] MDRISQLSDDLLLRILSCVPTKDVVATSLLSKRWQFLWMLVPQLQYNDINHSGDYKNFSQFVYRSLLSNKASVIEHLHLNLGPECPAIDIGLWIGIALSRRLRELEINIRSSFENVSFSLPSSLYTSDTLETLRVINFVLLVVPSSVCLPSLKVLHLKTVDYEDDASLPNLLSGCPNLEELCLERHDQDSEMDFTVVVPSLRRLSMMDENYVKCGRYVIDVPSLKYLNITDEAVYNVRQIENMPELTEAYVEITQGVTHKFLRALTTVRHLSLCLSLSEVMCPSGMIFYQLVHLNLYTFAQGWWDLLTYMLQDSPKLRFLKLIDKHDSGLCGKETPIGWKLPSSVPECLLFSLEAFEWIGYKGRRGDREMATYVLKNAACLSTAKFSPESTDVGEKYHMLKELASVPTASTSSKLLFD >fgenesh2_kg.7__1614__AT4G26320.1 pep chromosome:v.1.0:7:6706361:6706695:1 gene:fgenesh2_kg.7__1614__AT4G26320.1 transcript:fgenesh2_kg.7__1614__AT4G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKMRLFVAVLVAAMAFSTVQQVAAVEAPAPSPTSDASLSIPAFFASVATLAFGFLF >fgenesh2_kg.7__1619__AT4G26270.1 pep chromosome:v.1.0:7:6718865:6722206:1 gene:fgenesh2_kg.7__1619__AT4G26270.1 transcript:fgenesh2_kg.7__1619__AT4G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:D7MFB1] MSTVESSKPKIINSSCGYVLEDVPHLSDYLPDLPTYPNPLQDNPAYSVVKQYFVDADDSVPQKIVVHKDGPRGIHFRRAGPRQKVYFESDEVHACIVTCGGLCPGLNTVIREIVSSLSYMYGVKRILGIDGGYRGFYAKNTVSLDSKVVNDIHKRGGTILGTSRGGHDTTKIVDSIQDRGINQVYIIGGDGTQRGASVIFEEIRRRGLKVAVVGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESIENGIGVVKLMGRYSGFIAMYATLASRDVDCCEGGLFEYIEKRLKESGHMVLVIAEGAGQDLMSKSMESMTLKDASGNKLLKDVGLWLSQSIKDHFNQKKMVMNLKYIDPTYMIRAVPSNASDNVYCTLLAQSAVHGAMAGYTGYISGLVNGRQTYIPFYRITEKQNHVVITDRMWARLLSSTNQPSFLGPKDVFDNKEKPMSALLDDGNCNGTVDVPPVTKEINK >fgenesh2_kg.7__161__AT4G39510.1 pep chromosome:v.1.0:7:587912:589524:-1 gene:fgenesh2_kg.7__161__AT4G39510.1 transcript:fgenesh2_kg.7__161__AT4G39510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP96A12 [Source:UniProtKB/TrEMBL;Acc:D7M8K5] MASISLLDASIAIICFLFFHFFIFKKPHDQVFRNWPVIGMIGFLMVLHRMYNFGVEALEISHLTFPFKGPWFAGMDMLFTVDPANIHYILSSNFSNYTKGADFKEVFDVFGEMIFSSDSELWKNQRKAAQFMLNHHEFQKLSMSATRTKLYDGLVPLFNQYCEEEKVVDLQQVFQRFTFDTTFFLVTGSDPKSLSIEMPEVEYAKALDDLGEGIFYRHIKPKFLWKLQNRFGLGQEKRMTEADATFDRVSAKYISAKREEIRSQGIDHHSNGQSEDLLTSHIKLDTTKYELLNPSDDKFLRDTILAFNLAGRDTMSSALSWFFWLLSENPQVVTKIRKEIISKNISKDGRNGQENLDKLVYLHAALYESMRLYPPVAFQRKSPIKPDLLPSGHKVDANSVIIIFLYGLGRMRAVWGEDATEFKPERWVSETGGLRHAPSFKFLSFNAGPRTCPGKQLAMTLMKTVVVEILQNYDIKVIKGQKIEPEPGLILHMKHGLKVTITKRCSA >fgenesh2_kg.7__1621__AT4G26250.1 pep chromosome:v.1.0:7:6734044:6735593:-1 gene:fgenesh2_kg.7__1621__AT4G26250.1 transcript:fgenesh2_kg.7__1621__AT4G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MFB4] MAQMIEKKIEDDVMMSPDGDKRAYVTFLAGNKDYWMGVVGLAKGLRKVKSAYPLVVAILPDVPEEHRQILVAQGCIIREIEPVYPPENKTGYSMAYYVINYSKLRIWEFVEYEKMIYLDGDIQVFSNIDHLFDTPRGYLYAVKDCFCEVSWSKTPQYKIGYCQQCPEKVTWPVESLGSPPPVYFNAGMLVFEPNLVTYEDLLRVVQITTPTYFAEQDFLNDYFRDIYKPIPSTYNLVMAMLWRHPEHVDLDQISVVHYCANGSKPWKFDEAEEHMDREDIKMLVKKWWDIYEDASLDYKNFVETEPKLSPITATLASKESVGDVLTSLAPSAA >fgenesh2_kg.7__1623__AT4G26230.1 pep chromosome:v.1.0:7:6738564:6739456:-1 gene:fgenesh2_kg.7__1623__AT4G26230.1 transcript:fgenesh2_kg.7__1623__AT4G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L31 [Source:UniProtKB/TrEMBL;Acc:D7MFB6] MSEKKGRKEEVVTREYTINLHRRLHSCTFKKKAPNAIKEIRKFAEKAMGTKDVRVDVKLNKQIWSKGIRGPPRRIRVRVARKRNDDEDAKEEFFSLVTVAEIPAEGLSGLGTKVIEEED >fgenesh2_kg.7__1625__AT4G26200.1 pep chromosome:v.1.0:7:6749203:6751138:-1 gene:fgenesh2_kg.7__1625__AT4G26200.1 transcript:fgenesh2_kg.7__1625__AT4G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-amino-cyclopropane-1-carboxylate synthase 7 [Source:UniProtKB/TrEMBL;Acc:D7MFB9] MMERSSNNNVVELSRVAVSDTHGEDSPYFAGWKAYDENPYDESHNPSGVIQMGLAENQVSFDLLETYLEKKNPEGSMWGSKGAPGFRENALFQDYHGLKTFRQAMASFMEQIRGGKARFDPDRIVLTAGATAANELLTFILADPNDALLVPTPYYPGFDRDLRWRTGVKIVPIHCDSSNHFEITPEALESAYQTARDANIRVRGVLITNPSNPLGATVQKKVLEDLLDFCVRKNIHLVSDEIYSGSVFHASEFTSVAEIVESIDDVSVKERVHIVYSLSKDLGLPGFRVGTIYSYNDNVVRTARRMSSFTLVSSQTQHMLASMLSDEEFTEKYIRINRERLRRRYEIIVEGLKKAGIECLKGNAGLFCWMNLGFLLEKKTKDGELQLWDVILKELKLNISPGSSCHCSEVGWFRVCFANMSENTLEIALKRIHEFMDRRRRF >fgenesh2_kg.7__1626__AT4G26190.1 pep chromosome:v.1.0:7:6766841:6770929:1 gene:fgenesh2_kg.7__1626__AT4G26190.1 transcript:fgenesh2_kg.7__1626__AT4G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPVETLSETGDCQTNPELSDKQKKRSGKKKRKSRKPEDELKINDSHISSQIGSADEAFSSHEKSTSEDQVQLSENLLSSLPAESCQRDSISCGEPGATLHHKDPISCEDSLPDANRKGSSRKKSKRREKKETEDASCDEKMLDDAQKITNEDQVHPPNNPLSNGIIQEGSHEHNQDSCEKPGAAQLCTDPNLSTWEDSQPDATNMTSSPKKKRKKKRGRNALKESGVDTNTTNAEVVVKYNTITEAEGSRSMSIEENSITSVLINSCPKSKVDTGEQLEGNDVKINETVSQTESPKAKKRKKKKTKTMDVCDQLGNTLPTSMKSGPVECVENNDGNKETDGTTEVKEDVLEVKYDIISEAEGSRSMTKEEKSAASVVISSCLKSKDDTVEQQECTDVKLNETVAQTQNPKAKRRKKRKTKTLEDCDPLGNTLSTSTKSGPVECVENNDGDGGRELISYSASQRENSVTGEESGFQNLGKTKEKETDENTEVKEDIFGAGICDVSSKKQKRKKKTSSADHKTADIEVCEPSGSVECLLDHSNEKVIQNCDENAGKEFRGEDKTSKIEESATREKSKVQKSGKRKEMTKDENIESNQDALGADDVSDVGGKRQKRKMKKKTNCESVATVDSESVQCLLHQSSREGVKNCDGNADVEIASKDLASNIEDSASKGESVQGVKNTKKKKKDKKGKVDQDALGAEGVSKVEVTTKKSKKKKNSLDHKTDKMEEDSQKENENKREVDQNSLGAEGVSKVEVKTMESKKKKNSLDHKTDDMEEKDDVSLPSKDAEPEFDREKLETSLSSSVLIQNNVAQGVASSETGDVPRCSCAGQHTRKLLVFDLNGILADIVQGFTGPFVPDGKVSYRSVFSTFALRDFMLRYGPPDACKLLELIKNSNALFFAVLSYIGLDYMVSIVMKNYSRNLLFCFDQNKCTTTKFKTQEKNDKPLFLKDLRTVWDGFGTCTSCGKRKYDETNTLLVDDSPDKALCNPPHTGIFPSPYQYTDRQDSALGREGELRKYLERLADAENVQKFVAENPFGQTAITETHESWEFYSKVVEAHK >fgenesh2_kg.7__1627__AT4G26180.1 pep chromosome:v.1.0:7:6771209:6773106:1 gene:fgenesh2_kg.7__1627__AT4G26180.1 transcript:fgenesh2_kg.7__1627__AT4G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7MFC1] MAEGEEKNGIIDSMPLFAKELIAGGVTGGIAKTAVAPLERIKILFQTRRDEFKRIGLVGSINKIGKTEGLMGFYRGNGASVARIVPYAALHYMAYEEYRRWIIFGFPDTTRGPLLDLVAGSFAGGTAVLFTYPLDLVRTKLAYQAQVKSFPMEQIVYRGITDCFSRTYRESGFRGLYRGVAPSLYGIFPYAGLKFYFYEEMKRHVPPEHKKDISLKLICGSVAGLLGQTLTYPLDVVRRQMQVERLYSAVKEETRRGTMQTLFKIAREEGWKQLFSGLSINYLKVVPSVAIGFTVYDIMKLHLRVPPREEPEAEAVTTQKRKVFT >fgenesh2_kg.7__1629__AT4G26160.1 pep chromosome:v.1.0:7:6775853:6777310:-1 gene:fgenesh2_kg.7__1629__AT4G26160.1 transcript:fgenesh2_kg.7__1629__AT4G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTTSLRSLSFSLYASSNSNPSPSPIEIRQLLSSSNRFYGLSSSSLTTSSPIGNLVFSSRKQFLSVKVQALAAETEQPKWWERKAGPNMIDITSTEQFLNALKDAGERLVIVDFYGTWCGSCRAMFPKLCKTAKEHPEILFLKVNFDENKSLCKSLNVKVLPYFHFYSGADGQVESFSCSLAKFQKLREAIERHNVGSFSDISSSASEKVEDSSE >fgenesh2_kg.7__1630__AT4G26150.1 pep chromosome:v.1.0:7:6777629:6779757:-1 gene:fgenesh2_kg.7__1630__AT4G26150.1 transcript:fgenesh2_kg.7__1630__AT4G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MFC4] MGSNFHYTIDLNEDQNHQPFFASLGSSLHHHHQQQHFHHQASSNPSSSMSLSLSYFPFLINSHQDQVGYNNNTFHDVLDTHLSQPLETKFVSDGGSSSSDQMVPKKETRLKLTIKKKYNHQDQTNLPQSPTKDKAGTNSLKWISSKVRLMKKKKAIITTTDSNKQHANNDQSSNLSYLERQHGYNNDCVIRICSDCNTTKTPLWRSGPRGPKSLCNACGIRQRKARRAAMATATATAVSDISPRLMKKKMQNKNKISNGVYKLSSPSALKVNMCKRMITLDETKAAEDLETQSNSTMLSPSSSSDKIYFDDLAIILSKSSAYQQVFPQDEKEAAILLMALSHGMVHG >fgenesh2_kg.7__1631__AT4G26140.1 pep chromosome:v.1.0:7:6787427:6792229:1 gene:fgenesh2_kg.7__1631__AT4G26140.1 transcript:fgenesh2_kg.7__1631__AT4G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:D7MFC5] MGLNFRDKAWILLGILWCSSLIYSVKAMVTYDRKAVIINGQRRILLSGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPSPGQYYFEDRYDLVKFIKLVQQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPDMVFRTDNEPFKAAMQKFTEKIVGMMKEEKLFETQGGPIILSQIENEYGPIEWEIGAPGKAYTKWVAKMAQGLSTGVPWIMCKQDDAPNSIINTCNGFYCENFKPNSDKKPKMWTENWTGWFTEFGGAVPYRPAEDIALSVARFIQNGGSFINYYMYHGGTNFDRTAGEFIATSYDYDAPLDEYGLPREPKYSHLKRLHKVIKLCEPALVSADPTVTSLGDKQEAQVFKSQSSCAAFLSNYNTSSAARVSFGGSTYDLPPWSVSILPDCKTEYYNTAKVQVRTSSIHMKMVPTNTLFSWGSYNEEIPSANDNGTFSQDGLVEQISITRDKTDYFWYLTDITISPDEKFLTGEDPLLNIGSAGHALHVFVNGQLAGTAYGSLEKPKLTFSQKIKLHAGVNKLALLSIAAGLPNVGVHYETWNTGVLGPVTLKGVNSGTWDMSQWKWSYKIGTKGEALSIHTVTGSSTVEWKQGSLVATKQPLTWYKSTFDTPAGNEPLALDMNTMGKGQTWINGQNIGRHWPAYTARGKCERCSYAGTFTENKCLSNCGEASQRWYHVPRSWLKPTNNLVVVLEEWGGEPNGISLVKRRAK >fgenesh2_kg.7__1633__AT4G26130.1 pep chromosome:v.1.0:7:6795393:6796602:1 gene:fgenesh2_kg.7__1633__AT4G26130.1 transcript:fgenesh2_kg.7__1633__AT4G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTSLTNWLTPTTLFLLLNFTIGTIFITNRFSSGSRKHNPNQDGFGSGHDQNHARFGRPPSLIDRVKSINFHLYNSPSPESEIHFSGSDPNQNPPPSLLQRVKSINVPYFKFPQHNSEGDYAAYELMTRPEETNRVDPIDKIPEDDVMTEPRFGAPSLLQRVKSIKLPSLYRSDPDPTPEEQTPARTKSESSKPATKKKKKATKKMMKSASERHIGREEETVEAVEKRRPETMRVERTTSIGDGGEEGVDDKASNFINKFKQQLKLQRLDSFLRYREMLKND >fgenesh2_kg.7__1636__AT4G26110.1 pep chromosome:v.1.0:7:6802105:6805090:-1 gene:fgenesh2_kg.7__1636__AT4G26110.1 transcript:fgenesh2_kg.7__1636__AT4G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDKDNFNVSDLTAALKDGDRADLVNALKNKLQNLAGQRSDVLENLTPIVRKRVDALRDIQSQHDELEAKFREERAILEAKYQKLYQPLYTKRYEIVNGTTEVELAAEDIKVDQGEEKTAEEKGVPSFWLTALKNNDVISEEVTERDEGALKYLKDIKWCKIEEPKGFKLEFFFDTNPYFKNTVLTKSYHMIDEDEPLLEKATGTEIDWYPGKCLTQKILKKKPKKGSKNAKPIIKTEDCESFFNFFSPPEVPDEDEDIDEERAEDLQNLMEQDYDIGSTIRDKIIPRAVSWFTGEAMEAEDFEIDGDEEDDIEEDDEEDEEDEDDEEDDDDEDEEDEEESKTKKKPSIRNKKGGRSQIVGDGERGERPPECKQQ >fgenesh2_kg.7__1637__AT4G26100.1 pep chromosome:v.1.0:7:6807807:6810850:1 gene:fgenesh2_kg.7__1637__AT4G26100.1 transcript:fgenesh2_kg.7__1637__AT4G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIHTNEEVAIKLESVKTKHPQLLYESKLYRILQGGTGVPNVKWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEYFHSKSFLHRDLKPDNFLMGLGRRANQVYIIDFGLAKKYRDSTTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYILMYFLKGSLPWQGLKAGTKKQKYERISEKKVSTSIEALCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLTAPPSRGLNPAVGTSAALPPGISNIERYTGEEEGRPHMESSRRRVSGALDNSGNISNQQTSSSARDSMIPSSSLFAQSAGSSRRVTAVSGSRDNFPGSEELLQRSRTSDVSRGVIPRNSPVEVGKRSSSSTRRHYESAVKGIDNLQVSDEHHPH >fgenesh2_kg.7__163__AT4G26870.1 pep chromosome:v.1.0:7:593728:596075:-1 gene:fgenesh2_kg.7__163__AT4G26870.1 transcript:fgenesh2_kg.7__163__AT4G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSEVLEESGEKISKKEASSLSLEEDESFSSNYGDVTTNELQLAVEGKELTDVSNLVEEIVGSEVSIRGRVHKNRLVGTKLFVILRESGFTVQCVVEETRVGANMIKFVKQLSRESVVELIGVVSHPKKPLTGTTQQKNVLPRRSLLNLPLVVEDAARSESDIEKSGKDGKPAARVLQDTRLNNRVLDIRTPANQAIFRIQCQVQIDIMICHYPNRVLEIHTPKLMAGSSEGGSAVFRLDYKGQPACLAQSPQLHKQMAICGDMRRVFEVGPVFRAEDSFTHRHLCEFVGLDVEMEIRMHYSEIMDLVGELFPFIFTKIEERCPKELESVKKQYPFQSLKFLPQTLRLTFAEGIQMLKEAGEEVDPLGDLNTESERKLGQLVLEKYKTEFYMLHRYPLAVRPFYTMPYENDSNYSNSFDVFIRGEEIMSGAQRIHDPELLEKRARECCIDVKTISTYIDAFRYGAPPHGGFGVGLERVVMLLCALNNIRKTSLFPRDSQRLTP >fgenesh2_kg.7__1640__AT4G26080.1 pep chromosome:v.1.0:7:6816332:6818730:1 gene:fgenesh2_kg.7__1640__AT4G26080.1 transcript:fgenesh2_kg.7__1640__AT4G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSPAIAGPLRPFSETQMDFTGIRLSKGYCNNNQYSTQDSENGDLMVSLPESSCSVSGSQHGSESRKVLISRINSPNLNMKESAAADIVVDISAGDEINGSDVPSEKKMISRTESRSLFEFKSVPLYGFTSICGRRPEMEDAVSTIPRFLQSSSGLMSDGRFDPQSTAHFFGVYDGHGGSQVANYCRERMHLALAEEIAKEKPMLCDGDTWLEKWKKALFNSFLRVDSEIESVAPETVGSTSVVAVVFPTHIFVANCGDSRAVLCRGKTALPLSVDHKPDREDEAARIEAAGGKVIQWNGARVFGVLAMSRSIGDRYLKPSIIPDPEVTAVKRVKEDDCLILASDGVWDVMTDEEACEMARKRILLWHKKNAVAGDASLLADERRKEGKDPAAMSAAEYLSKLAIQRGSKDNISVVVVDLKPRRKLKSRPLN >fgenesh2_kg.7__1647__AT4G26020.1 pep chromosome:v.1.0:7:6836984:6838891:1 gene:fgenesh2_kg.7__1647__AT4G26020.1 transcript:fgenesh2_kg.7__1647__AT4G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSDEQMNLLLSCFDQIYEDFKIGLNEINVYRSKSNVESSRREVLEISNKNLKQENERLKKLSTESLNNFADQLEHRTKCHSLKEELKRVIDENKSKELELGNALELLRQKHVTKVEELENKIRSLLVEKATNDMVIDRLRQDLTANKSHIQAMSKKLDRVVTEVECKYELEIQELKDCLLMEQEEKNDISNKLQSLQKELLISRTSIAEKQRDTTSNRQVETLKQKLMKLRKENEILKRKLSSS >fgenesh2_kg.7__1649__AT4G26000.1 pep chromosome:v.1.0:7:6845007:6847646:-1 gene:fgenesh2_kg.7__1649__AT4G26000.1 transcript:fgenesh2_kg.7__1649__AT4G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVADSVENNDTLNLPEIENLMPAGISVTALPEENSGAFPELNQPDSLAAAETTAPDTNDFAAERWPGWPGDCVFRMIVPVSKVGAIIGRKGDFIKKMCEETRARIKVLDGPVNTPDRIVLISGKEEPEAYMSPAMDAVLRVFRRVSGLPDNDDDDVQNAGSAFCSVRLLVASTQAINLIGKQGSLIKSIVENSGASVRILSEEETPFYAAQDERIVDLQGEALKILKALEAIVGHLRKFLVDHTVVPLFEKQYLARVSQTRQEEPLAESKSSLHTISSNVIEPDFSLLARRDPLFLDRDARVESRVQPTGVSIYSQDPVLSARHSPGLARVSSAFVTQVSQTMQIPFSYAEDIIGVEGANIAYIRRRSGATITIKESPHPDQITVEIKGTSSQVQTAEQLIQEFISNHKEPVSVSGGYARIDTGYVPAYPPQLSNRQEPLSNSYMGTETVQYRPTAYSQLGGPSTYTPSLNGQTYGSEYRPASDVGGYSSYNL >fgenesh2_kg.7__1653__AT4G25970.1 pep chromosome:v.1.0:7:6871165:6876565:-1 gene:fgenesh2_kg.7__1653__AT4G25970.1 transcript:fgenesh2_kg.7__1653__AT4G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase proenzyme 2 [Source:UniProtKB/TrEMBL;Acc:D7MFU8] MGNGNSTEAKESRRSKMRQKIQNFRSRRRLSRPGSGSVSGLVSQRSVSADDFAGIALLTLIGAEMKFKDKWLACVSFGEQTFRSQISDSTEKPIWNSEKKLLLEKNGPSLARISVFETNRLLKNNIVGYCELDLLEFVVQEPDSACKSFDLLDPASSNVVGSMFVSCSVEDPVETETCFAKRILSIVDYDEDGKLSFSEFSDLMNAFGNIVAANKKEELFKAADLNGDGVVTIDELAALLAVQQEQEPIINSCPVCGEALQLDKLNAMIHMTLCFDEGTGNQTMTGGFLTDRQASYGWMFKLSEWTHLSTYDVGLNTGSSASHIVVIDRKTKRLMEELIDSKIVMSMRAIYQSKIGLRLMDQGAKEILQNLSEKQGKKMNTVESAQNIPSFLEFFKDQINMAEVKYPLDHFKTFNEFFVRELKPGARPIACMDQDDVAVSAADCRLMSFQSVDDSTRFWIKGRKFSIKGLLGNDVQSDAFLDGSLVIFRLAPQDYHRFHSPVSGVIEKFVNVSGSLYTVNPIAVNSKYCNVFTENKRTVVIISTAEFGKVAFVAIGATMVGSITFVRQEGDHVKKGDELGYFSFGGSTVISVFEKDSIKIDEDLLANSARSLETLVTVGMQLGVSFPKIENCVIEP >fgenesh2_kg.7__1654__AT4G25960.1 pep chromosome:v.1.0:7:6878471:6884826:-1 gene:fgenesh2_kg.7__1654__AT4G25960.1 transcript:fgenesh2_kg.7__1654__AT4G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance protein 2 [Source:UniProtKB/TrEMBL;Acc:D7MFU9] MQPSGDPAPEKEKEMKQPKVSLLKLFSFADFYDCVLMTLGSVGACIHGASVPIFFIFFGKLINIIGLAYLFPKQASHRVAKYSLDFVYLSVAILFSSWLEVACWMHTGERQAAKMRRAYLRSMLSQDISLFDTEASTGEVISAITSDILVVQDALSEKVGNFLHYISRFIAGFAIGFTSVWQISLVTLSIVPLIALAGGIYAFVAIGLIARVRKSYIKAGEIAEEVIGNVRTVQAFTGEERAVRLYREALENTYKYGRKAGLTKGLGLGSMHCVLFLSWALLVWFTSVVVHKDIANGGKSFTTMLNVVIAGLSLGQAAPDISAFVRAKAAAYPIFKMIERNTVTKASAKSGRKLGKVDGHIQFKDVTFSYPSRPDVVIFDKLNLAIPAGKIVALVGGSGSGKSTVISLIERFYEPISGAVLLDGNNINEVDIKWLRGQIGLVNQEPALFATTIRENILYGKDDATAEEINRAAKLSEAISFINNLPEGFETQVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVVAHRLSTVRNADIIAVVHEGKIVEFGNHENLISNPDGAYSSLLRLQEASSLQRNPSLNRTLSRPHSIKYSRELSRTRSSFCSERESVTRPDGAEPSKKVKVTVGRLYSMIRPDWMYGVCGTICAFIAGSQMPLFALGVAQALVSYYNSWDETQKEIKKIAILFCCASIITLIVYTIEHICFGTMGERLTLRVRENMFRAILKNEIGWFDEVDNTSSMLASRLESDATLLKTIVVDRSTILLQNLGLVVTSFIIAFILNWRLTLVVLATYPLVISGHISEKLFMQGYGGDLNKAYLKANMLAGESVSNIRTVAAFCAEEKILELYSRELLEPSKSSFRRGQIAGLFYGVSQFFIFSSYGLGLWYGSTLMDKGLAGFKSVMKTFMVLIVTALAMGETLALAPDLLKGNQMVASVFEILDRKTQIVGETSEELTNVEGTIELKGVHFSYPSRPDVVIFRDFDLIVRAGKSMALVGQSGSGKSSVISLILRFYDPTGGKVMIEGKDIKKLDLKALRKHIGLVQQEPALFATTIYENILYGNEGASQSEVIESAMLANAHSFITSLPEGYSTKVGERGVQMSGGQRQRIAIARAILKNPAILLLDEATSALDVESERVVQQALDRLMTNRTTVVVAHRLSTIKNADTISVLHGGKIVEQGSHRKLVLNKTGPYFKLISLQQQQQP >fgenesh2_kg.7__1655__AT4G25950.1 pep chromosome:v.1.0:7:6888191:6888758:1 gene:fgenesh2_kg.7__1655__AT4G25950.1 transcript:fgenesh2_kg.7__1655__AT4G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit G [Source:UniProtKB/TrEMBL;Acc:D7MFV0] MDSLRGQGGIQMLLTAEQEAGRIVSAARTAKLARMKQAKDEAEKEMEEYRSRLEDEYQTQVSGTDQEAAAKRLDDETDARIKNLKESSSKVSKEIVKMLIKYVTTTGA >fgenesh2_kg.7__1656__AT4G25940.1 pep chromosome:v.1.0:7:6889999:6893216:1 gene:fgenesh2_kg.7__1656__AT4G25940.1 transcript:fgenesh2_kg.7__1656__AT4G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFNSFRKAVGAIKDSTTVSIAKVNSEFKDLDVAIVKATNHVESAPKERHIRKIFSATSVVQPRADVAYCIHALAKRLSKTRNWVVAIKVLIVIHRTLREGDPTFREELLNYSHRGHILRISNFKDDTSPLAWDCSAWIRTYALFLEERLECYRVLKYDIEAERLPKGSGASSKNGDFNASQTYRTRMLSDEELLEQLPALQQLLYRLIGCQPEGAAYSNYLIQYALALVLKESFKIYCAINDGIINLVDMFFEMSRHDAVKALNIYKRAGQQAENLADFYEYCKGLELARNFQFPTLRQPPPSFLATMEDYIKEAPQSGSVQKKLEYQEKEEEEEQEEEENSAQPEEDKEAENQNENTEGDQPLIEEEEEEDNEKIEEEDAKPSFLIDTDDLLGLNEINPKAAEIEDRNALALAIYPPGHEAPGPSNSLSLIETGGSGWELALVTPQNNNNNNPRPVPNTKLAGGFDNLLLDSLYEDDSARRQIQLTNAGYGHGGIDTTAAPPNPFQMQQDPFAMSNNIAPPTNVQMAMQQQQQQQMMMMQQSPYNFTHPHDHHHHQFSAGPSPSNPFGDHFLALPPPPGSAGPQQNNHHHMLL >fgenesh2_kg.7__1658__AT4G25920.1 pep chromosome:v.1.0:7:6912354:6913749:-1 gene:fgenesh2_kg.7__1658__AT4G25920.1 transcript:fgenesh2_kg.7__1658__AT4G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLIINRMFKPSVCKKFLRQTNVRLFSSATHTSGLESDDKSSKVNQPFSSKPAFPFLLIDYILNNPNSSSDGRVTTNDYCSNEKEVLIKDKDLKEEVCDAMTVGFSRDGLRVKLCDNYDDSLCSPTIFYKPTDPELEDVTVYLPPLATGSQIQSLAMSSLPKRDKNWVVVVKLLGSQLSMCRPFGSRKWINIKTKPQNINPLSSIMFSKKDKKFYIPTPGGNILCYLDPYSEDDDQIDFLDLDFDDLPESVFQELADVSTCSRTDHLVESPTGQLFLVKWYGEDFEDIDNCTLYHVTKKFMVFREEEQPSKYSKKMIYTEDIGDLCIFVGHSEAFCVPANSSPGLKPNCIYFVGYNFGVYDLTTKTCTMFLTEDENPLRKLEFPYWPPPASSPPASN >fgenesh2_kg.7__1659__AT4G25910.1 pep chromosome:v.1.0:7:6913969:6915255:-1 gene:fgenesh2_kg.7__1659__AT4G25910.1 transcript:fgenesh2_kg.7__1659__AT4G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSGQTRITTMNLSLSSAEKNPNFRLSLLNSKNAISDSLGVSSKCSTFLRGQFQRIHFSLVHHTRPLRTRSRSVFGHVSCVMPLTEENVERVLDEVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSSMTLKMGIESRLRDKIPEIMSVEQFLESETGGLELNDENIEKVLSELRPYLSGTGGGGLELVEIDGYIVKVRLSGPAAGVMTVRVALTQKLRENIPSIGAVQLLE >fgenesh2_kg.7__165__AT4G39540.2 pep chromosome:v.1.0:7:608484:610668:1 gene:fgenesh2_kg.7__165__AT4G39540.2 transcript:fgenesh2_kg.7__165__AT4G39540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shikimate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M8K9] MEAATVQMFQYSSWTDLRNFEGKTRGSLRYTQRTKEDKRLRVVALALDKRRDHRQRSVSDKNSSALLDTGSLLHSPFDEEQQLLQKKAEEVKPYLNGRSMYLVGMMGSGKTTVGKIMARALGYTFFDCDTLIEQAMNGTSVAEIFEHFGESVFREKETEALKKLSLMYNQVVVSTGGGAVIRPINWKYMHKGISIWLDVPLKALAHRIAAVGTGSRPLLHDDESGDTYTAALNRLSTIWDARGEAYTNASARVSLESMTLKLGYRSVSDLTPTEIAMEAFEQVRSYLDKEDGMARPDGF >fgenesh2_kg.7__1660__AT4G25900.1 pep chromosome:v.1.0:7:6915480:6917696:-1 gene:fgenesh2_kg.7__1660__AT4G25900.1 transcript:fgenesh2_kg.7__1660__AT4G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase family protein [Source:UniProtKB/TrEMBL;Acc:D7MFV7] MGNKGNLGFVIFLWALVVAVVATAIEHRPIERTKGINGLDKIIIRDRRGRSAEVYLYGGQVSSWKNEKGEELLVMSSKAIFQPPTPIRGGIPVLFPQYSNTGPLPSHGFARQRFWQVEAKPPPLPSISSAHVDLILRSSNDDLKIWPHKFEYRLRVALGHDGDLTLTSRVKNSDTKPFNFTFALHPYFAVSNISEVHVEGLHNLDYLDQQKNRTRFTDHDKVITFNAQLDRLYLSTPNKLRIVDHKKKKTIVVHKEGQVDAVVWNPWDKKVSDLGVEDYKRFVTVESAAVEKPITVNPGKEWKGILQVSVVPSNWKA >fgenesh2_kg.7__1661__AT4G25890.1 pep chromosome:v.1.0:7:6918953:6919842:1 gene:fgenesh2_kg.7__1661__AT4G25890.1 transcript:fgenesh2_kg.7__1661__AT4G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTFVCKNGGGAWTAKQHEGELEASASSTYELQRKLVQAALSADSSGGVQSSFSLVSPTSAVFQVIVGGGGGGGFAAGGAASGGGGAGESAAAPKEDEKKKEESEEEEGDFGFDLFG >fgenesh2_kg.7__1665__AT4G25870.1 pep chromosome:v.1.0:7:6936335:6938893:1 gene:fgenesh2_kg.7__1665__AT4G25870.1 transcript:fgenesh2_kg.7__1665__AT4G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETKKILQGPRHHTSLKKPLWLVLTVSVTSMLLICTHMYPRQGKSSSCHGLGSTRGCEDALSKWLPVHVRKFTDEEIAARAVARDILRTPPFITENSKIAFLFLTPGTLPFEKLWDQFFKGHEGKFSIYIHPSKERPVHISRHFSDREIHSDEVTWGRISMVDAEKRLLVSALEDPDNQHFVLLSESCIPLHTFDYTYRYLLYSSVSFIESFVDPGPHGTGRHMEHMLPEIAREDFRKGAQWFTMKRQHAIIVMADGLYYSKFREYCGPVIEADKNCIADEHYLPTFFNMIDPMGISNWSVTYVDWSERRWHPKTYGGNEISLEFMKNVTSEDMSVHVTSVGKHGDELHWPCTWNGITRPCYLFARKFHPDTLDTLVNLFPNYTSTAV >fgenesh2_kg.7__1668__AT4G25840.1 pep chromosome:v.1.0:7:6948451:6950438:-1 gene:fgenesh2_kg.7__1668__AT4G25840.1 transcript:fgenesh2_kg.7__1668__AT4G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTPTRFVALIRIPFRSSIKFPISIAHFPKGYPQKTVIRALSNLQTMSTPDAAVSATVTDAGRGSITHVIFDMDGLLLDTEKFYTEVQEKILARYNKTFDWSLKAKMMGRKAIEAARLFVDESGISDSLSAEEFIVERESMLQDLFPTSDLMPGASRLLRHLHGKGVPICIATGTHTRHFDLKTQRHRELFSLMHHIVRGDDPEVKQGKPAPDGFLAASRRFEDGPVDPQKVLVFEDAPSGVQAAKNAGMNVIMVPDPRLDKSYCNVADQVLASLLDFKPEEWGLPSFQDSHN >fgenesh2_kg.7__1673__AT4G25800.2 pep chromosome:v.1.0:7:6975010:6980078:-1 gene:fgenesh2_kg.7__1673__AT4G25800.2 transcript:fgenesh2_kg.7__1673__AT4G25800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein [Source:UniProtKB/TrEMBL;Acc:D7MFW9] MKRNLEGNGDDKPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLAPARLNTSSGSSPKRIRGLDGRNLQLHFKSRLSLPLFTGGRVEGDQGAAIHVVLIDANTRRPVTVGPEASLKLEVVVLGGDFNNEDDEDWTQEEFESHVVKEREGKRPLLTGDLCVVLKEGVGTLGEIVFTDNSSWIRSRKFRLGLRVPSGYCDGIRIREAKTEAFSVKDHRGELYKKHYPPALNDEVWRLEKIGKDGAFHKRLTAAGIVTVEGFLRKLVRDSAKLRAILGSGMSNKMWELLVEHAKTCVLSGKLYIYYTEDSRNVGVVFNNIYELSGLISGDQYISADSLSESQKVYVDGLVKKAYENWNQVVEYEGKSLLNFNQPERLDISQTDPVTALASYSTVPLSQFPEFAIEGYNQTLATSQPHNPQAQFDFVSQQDQFMGIQQPQSQPTIENENVTGLVLGPPYSSTGGYQDIKSSVDQENLNPFEDWINPNENDLFAEEEIRQRSHDMLANEDMQQLLQLFSMGGGNGEDGFAFPSFMHNTPMMQGYNDEDRGRSGKAVVGWLKIKAAMRWGFFIRRKAAERRAQIVELDDGE >fgenesh2_kg.7__1674__AT4G25790.1 pep chromosome:v.1.0:7:6982170:6983264:1 gene:fgenesh2_kg.7__1674__AT4G25790.1 transcript:fgenesh2_kg.7__1674__AT4G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSTQATVFAIALFLVAIQAVHADYYRPRLPTNPSPPPYVAKPKPLPTPSPKPILYHPPPTYQPPTGSFEQQFLDPHNTVRGNLGLPPLVWDVKIASYATWWANQRRYDCSLTHSTGPYGENLFWGSGSDFTSTFAVESWTVEAKSYNHMTNTCEGDGMCGHYTQIVWRETRRLGCARVVCENGAGVFITCNYDPPGNYVGEKPY >fgenesh2_kg.7__1675__AT4G25780.1 pep chromosome:v.1.0:7:6983514:6984375:-1 gene:fgenesh2_kg.7__1675__AT4G25780.1 transcript:fgenesh2_kg.7__1675__AT4G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYLRVILLLGALNVVVSLSITKSLITKSATLGQVFRICKNLCPGCDHDSLQFLFRHNLVRAARFEPPLIWDRRLQNYAQGWANQRRGDCALRHSFSNGEFNLGENIYWGYGANWSPADAVVAWASEKRFYHYGSNTCDPGQMCGHYTQIVWKNTRRVGCARVVCNNGGIFMTCNYDPPGNYIGQKPY >fgenesh2_kg.7__1676__AT4G25770.1 pep chromosome:v.1.0:7:6985864:6988449:1 gene:fgenesh2_kg.7__1676__AT4G25770.1 transcript:fgenesh2_kg.7__1676__AT4G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASFSRFQILIPDLIPSSPRFLRPSQHQFRFGLDLRFPAQPKNLDQMEWMNRLGIGCFAANRSKRELKTEIDNGGEDFFDADVMESAEKPDHLVVMVNGIVGSAADWKYAAEQFVKKFPDKVLVHRSESNSATLTFDGVDKMGERLANEVLAVVKHRSGLKKISFVAHSLGGLVARYAVGKLYELRVEVDSLDSPSKERSTRGGEIAGLEPMNFITFATPHLGSRGHRQFPILCGLPFLERTASQTAHLAAGRTGKHLFLVDNDDGNAPLLIRMATDSDDLKFISALHAFKRRVAYANVNFDSMVGWRTSSIRRPNELPKPNLLATDPNYPHIVYVERGNVDNGSCQSTSTVVTEQNTDLEEEMIHGLSQLSWERVDVSFHNSKQRYVAHSTIQVKTYWLHSDGKDVVFHMMDHFCL >fgenesh2_kg.7__1677__AT4G25760.1 pep chromosome:v.1.0:7:6989062:6989740:-1 gene:fgenesh2_kg.7__1677__AT4G25760.1 transcript:fgenesh2_kg.7__1677__AT4G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRQYNYQDSINRSSSMVVPHSPWHSPVPYLFGGLAAMLALIAVALLILACSYWRLSGSAQSDLEAGDDAKPDNDTNKSKPMAMPEKFLVIMAGDVKPTYLATPATRSEQSCTCGDHNAEEGRGG >fgenesh2_kg.7__167__AT4G39550.1 pep chromosome:v.1.0:7:610844:612129:-1 gene:fgenesh2_kg.7__167__AT4G39550.1 transcript:fgenesh2_kg.7__167__AT4G39550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M8L0] MSSPEKKRKRRKTTKKPSPTPQSTTPNPSLPDDLVLSCLARVSRLDYTTLSLVSKSFRSLVASPELYKIRSSLGRTEGCLYVCLQEKDSDPNPRWFTLCRKPNRTLTNDITDKKRKKKSSGYALAAIPVLYSRPAHWSGLVAVGSNIYNIGGPTDKEHSSIVSILDCQSHTWGEAPSMRVERRYPAANVLDGKIYVTGGCKDCSNPSNWMEVFDPKTQTWEPVSSPGAEIGGCSMHKSAVVEGEILFANSHGLIYQPKEGRWKRMEWDMDIGWVWYSYCVVEDVLYYYYKGDFKWYDTKARLWRNLKGVKGLPRFARCGGKMVDYGGKMAVFWDKIVTSDGCKNKMILCAVIALERRNSEEIWGKVEWHDAVLTVPISYDVVYALSPTV >fgenesh2_kg.7__1680__AT4G25740.2 pep chromosome:v.1.0:7:6999120:7000439:1 gene:fgenesh2_kg.7__1680__AT4G25740.2 transcript:fgenesh2_kg.7__1680__AT4G25740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIISENNRREICKYLFKEGVCFAKKDFNLAKHPLIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWFLTNEGIEFLRTYLNLPSDVVPATLKKSAKPGGRSFGGPHGGDEKGGAPADFQPSFQGGGGRPGFGRGAGGYSAAAPSGSGFP >fgenesh2_kg.7__1682__AT4G25730.1 pep chromosome:v.1.0:7:7001519:7005417:1 gene:fgenesh2_kg.7__1682__AT4G25730.1 transcript:fgenesh2_kg.7__1682__AT4G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative rRNA methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MFX6] MGKVKGKHRLDKYYRLAKERGFRSRASYKLLQLDAKYSLLHSSHAVLDLCAAPGGWMQVAVEKVPVGSLVLGIDLVPILPVRGCVTMTQDITRSECKSKIKQVMEQHGVSAFNLVLHDGSPNVGGAWAQEAMSQNALVIDSVRLATEFLARNGNLITKVFRSRDYNAVLYCLGRLFEKVEVFKPPASRSASAETYLVGLKYLAPAKIDPRLLDYRHLFKEAAEPTRKVVDVLGGSKQKRNRDGYEDGESILRRVASAADFIWSENPLEVLGTVTSISFDDQASLPLKEHDLTTEEIKILCDDLPVLGKNDFKHILKWRMQIRKALTPEKKEVAKPEPDVGKEDEENEDDKLLNELEELTNTVDRKKKQAKKILAKRRAKDKTRKATNPQMDVLEDGYVDNELFSLAAIKGKKDLMAVDNDDDDDGNADDSENEDRGEGASDDSKDSDIDSDEERQKYTEQMEEIFDEAYERYMVKKEGSAKQRKRARQAHAEKLEEGDGDEEMKIDYDSDLNEEKDEANPLVVPLDDGEVQTKEEISNQWFSQNIFAEAVEEGDLGKDDGEDETPIEKKSKNLSKPDKSKQKASKASLLSDQSLPNSSKKEEDFEVVPAPATDSDSDSSSDDDVHTKAEILACAKKMLRKKQREQMLDDAYNKHMFVDEGLPKWFVDDEKQHRQPMKPITKEEVNAMKAQFKEINARPAKKVAEAKARKKRAAQKRLEKVRKKANTISDTADISDRSKDKMIDKLYKKAAEPRKPRKELVVSKKGVGVKVGKGQKRVDRRMKSDARKRGGGKPGRNGQKGTGKAGQKGKRPAGKPRGRKPG >fgenesh2_kg.7__1683__AT4G25720.1 pep chromosome:v.1.0:7:7005713:7008439:1 gene:fgenesh2_kg.7__1683__AT4G25720.1 transcript:fgenesh2_kg.7__1683__AT4G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine cyclotransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MFX7] MASRLPYKRQTKRSMIQSLPASSASRRRFISRKTIAMMLPLALFSGAVFLFFMPFNSWGQSSGSLDLSHRIHEIEVVAEFPHDPDAFTQGLLYAGNDTLFESTGLYGKSSVRKVDLRTGKVEVIEKMGNSYFGEGLTLLGERLFQVAWLTNTGFTYDIRDLSKVKPFKHHMKDGWGLATDGEVLFGSDGTSTLYRMDPRTMKVTNKHTVRYNGYEVRYLNELEYINKEVWANVWQSDCIARISPKDGSLLGWILLPKLRQGLLKSGHGGIDVLNGIAWDSDKQRLFVTGKLWPKLYQIKLKQASAKSGKYIEQQCLV >fgenesh2_kg.7__1685__AT4G25710.1 pep chromosome:v.1.0:7:7025527:7026929:1 gene:fgenesh2_kg.7__1685__AT4G25710.1 transcript:fgenesh2_kg.7__1685__AT4G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLISGDTTNKKRKTTSSSNKKRKTTPSTPESTPNPSLPDDLLLLIIARVPILFYPILSLVSKSFRSLLASPRLYKARSLLGRRESRLYVCINMYPYKNGPSWFTLCRKPDRTTSSNKEQDKSSGYVLARVPIPHSPLSQNNSLVAVGSDIYNIGVVRSCEASSSSVWILDCRSHTWRQAPSLPVELFSVTVSVLDQKIYVAGICLEDGSDSSTQNSLTVLDTKTQVSARVPIPCSVAQGKEIFLSTCVGGKVNLVTGREVVDYNPVEGSWEEVGETMCQFMFAECFCVVDNVLYSCAIDRVFRWYHAEVRTWRNLEGLVGLPELSPDAFVRLADYGGKLVVLWNRGYDRWKMLCCAEISLERRNTCEIWGKVEWFDHVLSVPGLVSLYNALSATL >fgenesh2_kg.7__1687__AT4G25700.1 pep chromosome:v.1.0:7:7029049:7031126:1 gene:fgenesh2_kg.7__1687__AT4G25700.1 transcript:fgenesh2_kg.7__1687__AT4G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-carotene hydroxylase [Source:UniProtKB/TrEMBL;Acc:D7MFY1] MAAGLSTAVTFKPLHRSFSSDFRLRHPKSLSGFSPSLRFKGFSVCYVVEERRQNSPIENDERPESTSSTTAIDAEYLALRLAEKLERKKSERSTYLIAAVLSSFGITSMAVMAVYYRFSWQMEGGEISMLEMFGTFALSVGAAVGMEFWARWAHRALWHASLWNMHESHHKPREGPFELNDVFAIVNAVPAIGLLSYGFFNKGLVPGLCFGAGLGITVFGIAYMFVHDGLVHKRFPVGPIADVPYLRKVAAAHQLHHTDKFNGVPYGLFLGPKELEEVGGNEELDKEISRRIKSYKKVSGSGSTS >fgenesh2_kg.7__1688__AT4G25690.1 pep chromosome:v.1.0:7:7033553:7035189:1 gene:fgenesh2_kg.7__1688__AT4G25690.1 transcript:fgenesh2_kg.7__1688__AT4G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTVCKQVVQPVTRKVKKHGKDEFDRIKQAEKKKRRLEKALATSAAIRAELEKKKQKRLEEQQRLDEEGAAIAEAVALHVLLGEDSDDSSRAMLGEEKGFTMDLFRDERTNYVPRQSCASYAVQGIGFVSNGYGLGDSNWSVSYKPFMKDVWDSNMVISADLIAAQAVSSLQISEDADRNAFVFETMFQG >fgenesh2_kg.7__1691__AT4G25680.1 pep chromosome:v.1.0:7:7035304:7037318:-1 gene:fgenesh2_kg.7__1691__AT4G25680.1 transcript:fgenesh2_kg.7__1691__AT4G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVVLHIYDVTNSGSEKTNNTIVQINRFFKDGIGLGGIFHSAIQVYGGDEWSYGYCEQGTGVFSCPSGKNPMYTYREKIVLGKTDCTIFMVNQMLRELSREWPGHTYDLLSKNCNHFCDVLCDRLGVPEIPGWVNRFANAGDTALEVAGNTAMRMKQAKTELVSASKVAYRFLSNVTSNVTNGSNGSPQRSGTLNNSDSGNLRLQGSWLKGLLNTAKPSTSTEIGNKEEDANHAITSQKKQSRDSDVLLFQ >fgenesh2_kg.7__1692__AT4G25670.1 pep chromosome:v.1.0:7:7038049:7039892:1 gene:fgenesh2_kg.7__1692__AT4G25670.1 transcript:fgenesh2_kg.7__1692__AT4G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTVCKQVQPVVRKARKKHGKDEFDRIKQAEKKKRRLEKALATSAAIRAELEKKKQKRLEEQQRLDEEGAAIAEAVALHVLLGEDSDDSSRAILGEEKGFTIDLFRDERTNYVPRQSCASYAVQGIGFVSNGYGLGDSNWSPFTRNAWDNNMGISADLIAAQAVSALQISENADGNAFVFNGMFRG >fgenesh2_kg.7__1695__AT4G25650.1 pep chromosome:v.1.0:7:7042694:7045060:1 gene:fgenesh2_kg.7__1695__AT4G25650.1 transcript:fgenesh2_kg.7__1695__AT4G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALAACALPSLRILKTKPRFKCSFSNPRLPISSNSLIIGKSTRFTTAVSSPTSSTTTSPDSETRFESGSDKFDWYANWYPVMPICDLDKKVPHGKTVMGIDLVVWWDRNEKQWKVMDDTCPHRLAPLSDGRIDQWGRLQCVYHGWCFNGSGDCKLIPQAPPDGPPVHTFKQACVAVYPSTVQHEIIWFWPNSDPKYKNIIETNKPPYIPELEDPSFTKLMGNRDIPYGYDVLVENLMDPAHVPYAHYGLMREGGKPLEINVKKLDSKGFFSKQEWGYSNFIAPCVYRSSTDPLPEQEHEYPAPAASDKAALSKRRLSLIFICIPVSPGRSRLIWTFPRNFGVFIDKIVPRWVFHIGQNTILDSDLHLLHVEERKILERGPKNWQKACFIPTKSDANVVTFRRWFNKYSEAQVDWRGKFDPSLLPPTPPREQLFDRYWSHVENCSSCKKAHKYLNALEVILQIASVAMIGVMAVMKQTTMSNVARIAVLVAAVLSFAASKWLSHFIYKTFHYHDYNHALV >fgenesh2_kg.7__1697__AT4G25630.1 pep chromosome:v.1.0:7:7062185:7064473:-1 gene:fgenesh2_kg.7__1697__AT4G25630.1 transcript:fgenesh2_kg.7__1697__AT4G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLTGSGGFSGGRGRGGYSGGRGDGGFSGGRGGGGRGGRGFSDRGRGRGRGPPGRGTRGGRGPPGRGGMKGGSKVIVEPHRHAGVFIAKGKEDALVTKNLVPGEAVYNEKRISVQNEDGTKVEYRVWNPFRSKLAAAILGGVDNIWIKPGAKVLYLGAASGTTVSHVSDLVGPEGCVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPSKYRMLVGMVDVIFSDVAQPDQARILGLNASYFLKSGGHFVISIKANCIDSTVPAEAVFQTEVKKLQQEQFKPAEQVTLEPFERDHACVVGGYRMPKKAKAATAA >fgenesh2_kg.7__1698__AT4G25620.1 pep chromosome:v.1.0:7:7069914:7072325:1 gene:fgenesh2_kg.7__1698__AT4G25620.1 transcript:fgenesh2_kg.7__1698__AT4G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7MFZ0] MRSVDTVNAAASAIVSAESRTQPSSVHKKWGSWWSLYLCFGSKKNNKRIGHAVLVPEPAASGAAVAPVQNSSSNSTSMFMPFIAPPSSPASFLPSGPPSVSHTPDPGLLCSLTVNEPPSAFTIGPYAHETQPVTPPVFSAFTTEPSTAPFTPPPESPSSPEVPFAQLLTSSLEKARRNIGGGMHHKFSAAHYEFKSHQVYPGSPGGNLISPGSGTSSPYPGKCSIIEFRIGEPPKFLGFEHFTARKWGSRFGSGSITPAGQGSRLGSGALTPDGLTPLEGSLLDSQITEVASLANSDHGSSRHNDEAAVVPHRVSFELTGEDVARCLASKLNRSGSHEKASGEHLRPNGCKTSGETESEQSQKLRSFSTGSSKEFKFDNTNEEMIEKVRSEWWANEKVAGKGDHSPRNSWTFFPVLRSGFT >fgenesh2_kg.7__1699__AT4G25610.1 pep chromosome:v.1.0:7:7072506:7076303:-1 gene:fgenesh2_kg.7__1699__AT4G25610.1 transcript:fgenesh2_kg.7__1699__AT4G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MFZ1] MELVKQDGNDSVDMLIRRAVGKDPFLSFHRPENSPVQLFQLLHTLERPGWPLLTPLKIQMQKCEKCSREFCSPVNFRRHNRMHRRQRKPEKDSGKERDALGAFWNKLSATDAKEILSLKSMMLEDVPGASVESGLMSLIEKPGYTALPQYYLRAGSGLLDILQARPPRFPISSQELFSILDDASEKTFLSSEAAPMQKYIFDGEIGKTVLEAKNVVACVSFLLEQPLIKAWLADKDVEALRCQNLLVEEEEAAQRRQAELLERKKRKKLRQKEQREKDQKKDDKEEESTTSEEQQYPAESSSPLSVASDAEAQRPDSIPIDDSSSLEDPQVLETNNGRNVETQAPMVDDNGLGHGQNMERRSGRRQMQRSQQGMLNGFHVNHAPKLGGMRKNGTNRDARVNTTKVWSRKSDNPKLISQHAAVTQQDQTEISEFRIGSVSVTIRNSGEHNQTKCSEGEGRTKTVEAKPTSEQSTVKIWRPVSSQGRKISTVDENTDKEDKKSNSTLPKAKTAHHISLQFNNHEAKAFLAKRWKEATTAEHVTLVLSQETNISGNNTHESSNGVITARPELRTKPEKGTKVKYVPKQRIP >fgenesh2_kg.7__1700__AT4G25600.1 pep chromosome:v.1.0:7:7077098:7079097:-1 gene:fgenesh2_kg.7__1700__AT4G25600.1 transcript:fgenesh2_kg.7__1700__AT4G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ShTK domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MFZ2] MACLSETFLILIIIVSSSSSPFCSGGSRKELRDKENMGKSDTQASYVLGSKFVDPRRVLQLSWQPRVFLYRGFLSEEESDHLISLRKDTSEVTSGDADGKTQLDPVVAGIEEKISAWTFLPRENGGSIKVRSYTSEKSGKKLDYFGEEPSSVLRESLLATVVLYLSNTTQGGELLFPNSEVKPKKSCSEDGNILRPVKGNAVLFFSRLLNASLDETSTHLICPVVKGELLVATKLIYAKKQARNEENGECSDEDENCERWANLGECKKNPVYMIGSPDYYGTCRKSCNAC >fgenesh2_kg.7__1701__AT4G25590.1 pep chromosome:v.1.0:7:7079722:7080936:1 gene:fgenesh2_kg.7__1701__AT4G25590.1 transcript:fgenesh2_kg.7__1701__AT4G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin binding protein [Source:UniProtKB/TrEMBL;Acc:D7MFZ3] MANAASGMAVEDECKLKFLELKSKRNYRFIIFRIDGQQVVVEKLGNPEETYGDFTASLPANECRYAVFDFDFITDENCQKSKIFFIAWSPDSSRVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSFDIIKSRAL >fgenesh2_kg.7__1702__AT4G25580.1 pep chromosome:v.1.0:7:7081100:7083553:-1 gene:fgenesh2_kg.7__1702__AT4G25580.1 transcript:fgenesh2_kg.7__1702__AT4G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQAQLQRPHGHHQAEEPIRIHHPEEEGHHEKGPSKVLKKVKEKAKKIKNVLTKHGHGHEHGRGEHIPDDHDLDQEDDEDDYQGQQLHGGAPARGKAHNPVKEEIVPPGTKAFPVVSSSHTKHSEPIRGVGQEAVSHPVRPSGVPDKEERRGAATLTPHNTPVSLLSATEDVTRTFVPGEDKSRDQRKVNMERPRGLEQDPAAPGSHGQVSNDQSKVTDPIGKSTGKIGAATTVSALGRLGGLDTKSAAPGSHVGMSNYQSKVTDHAGKEIGEQPRVAAFGRGEKSRGLDTKSRPEMGKHLPAGDYGSSLGKESPERSQEFDLGKDLLTRTQGIQKPAGFDSKGQERGDEMQQPNQSSYTDKIALATSVVADKAVAAKNAVASKLGYSGEAGHENRVEGAETPSSAGGYGSTVAGMVTPVYEKVKETGASVMTKLPFSGTGTEQGQDKGVSAKEYLTEKLSPGEEDKALSEVVAEKLHLGGGGGEPKKGIVTQSEEVEKRLGRFKDPSSEAATKHGEEYAEEGEGGMAEKLRGAVTSWLAGTTEEVTQKSTESVQDSTQSLGSTVGNKMGISGSGGEEAGNGGSVPLQRRFQESGK >fgenesh2_kg.7__1705__AT4G25550.1 pep chromosome:v.1.0:7:7088956:7091956:-1 gene:fgenesh2_kg.7__1705__AT4G25550.1 transcript:fgenesh2_kg.7__1705__AT4G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSQVVNTYPLSNYSFGTKEPKLEKDTSVADRLARMKINYMKEGMRTSVEGILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEVDGLKRKLTSKLGGNSAALVPDWKVGECVATWWRPNFETMMYPYCPPHITKPKECKRLFIVHLSEKEYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFHFNMISS >fgenesh2_kg.7__1706__AT4G25540.1 pep chromosome:v.1.0:7:7092153:7097786:1 gene:fgenesh2_kg.7__1706__AT4G25540.1 transcript:fgenesh2_kg.7__1706__AT4G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQTISRFFAPKPKSPTQEPNPVPESSTPPPKISATVSFSPSKRKLLSDHLAAASPKKPKLSPHTQNPIPDPNLHQRFLQRFLEPSPEESVPETSSSSRKYTPLEQQVVELKRKYPDVVLMVEVGYRYRFFGEDAEIAARVLGIYAHMDHSFMTASVPTFRLNFHVRRLVNAGYKIGVVKQTETAAIKSHGANRSGPFFRGLSALYTKATLEAAEDISGGGGGEEGFGAQSNFLVCVVDERVNTETGIEMSFDVRVGVVGVEISTGEVVYGEFNDNFMRSGLEAVILSFSPAELLLGQPLSQKTEKFLLAHAGPTSNIRVERASLDRFGNGNAVDEVISLCEKISASNLEDDKEIKVEAAEEGMSCLTVHTIMNMPHLTVQALALIFCHLKQFGFERILYQGASFRSLSSSTEMTLSANTLQQLEVVRNNSDGSESGSLFHNMNHTLTVYGSRLLRHWVTHPLCNRNLISARLDAVSEIAACMGSHSSSQNSDELVEDGSERTIVSPEFYLVLSSVLTALSRSSDIQRGITRIFHRTAKATEFIAVMEAILLAGKQFKRLGIKQDCEMRSMQSATVQSSLLKKLISVAASPAVVDNAAKLLSALNKEGAVRGDLLDILITSSDQFPELAEARQAVLVIKEKLDSLISSFRKKLAIRNLEFLQVSGITHLIELPVDAKVPMNWVKVNSTKKTIRYHPPEIVAGLDELALATEHLAIVNRASWDSFLESFSRYYTDFQAAVQALAALDCLHSLATLSKNKKYVCPVFVDDCEPVEINIQSGRHPVLETLLQDNFVPNDTSLHAEGEYCQIITGPNMGGKSCYIRQVALISIMAQVGSFVPASSVKLHVLDGVFTRMGASDSIQHGRSTFLEELSEASHIIRTCSSRSLVILDELGRGTSTHDGVAIAYATLQHLLLEKRCLVLFVTHYPEIAEISNGFRGSVGTYHVSYLTSQKKKSGFDHDDVTYLYKLVRGLCSRSFGFKVAQLAQIPSSCIRRAISMGAKLEAEVGARERNTRMGEAEGHEEHGAPGDWTGAEESISALGDLFADLKFALSEEDPWKAFEFLNHAWKIAAKSN >fgenesh2_kg.7__1707__AT4G25520.1 pep chromosome:v.1.0:7:7103793:7107913:1 gene:fgenesh2_kg.7__1707__AT4G25520.1 transcript:fgenesh2_kg.7__1707__AT4G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTAVSGALESSFSLTDALGTEALNMQRSSGINNNMRIPTSPMSFSSNSGNMPGSLVLDGSASMQHLPQQQQQQQLLQQQAGQGSVPMRENNYSHVDKKPRLQVKQEDMLQQQILQQLIQRQDPTGRNPQFQALLQQQRLRQHQQMLQSMSPSQRLQLQQQQQLRQQLQQQGTQQIPPNVRPYEVGVCARKLMMYLYHLQQRPAENCITYWRKFVAEYFSPRAKQRLCLSQYESAGHHALGMFPQAAPDMWQCDLCGTKSGKGFEATFDVLARLIEIKFASGIIDELLYLDHPRENRFPNGLMMLEYRKAVQETVHEQFRVVREGHLRIIFSQDLKILSWEFCARRHEELLLRRLIAPQVNQLLQVAQKCQSTISESGSEGVSQQDLQSNSNMVLGAGRQLAKFMELQSLNDLGYPKRYIRTLQISEVVKSMKDLMNFTGEHKIGPIEGLKRLLEQTVTVKLQRQKMQEMEQFGNSESMNGPAQAQMALTSGTMNGSTGNNANKNHQIVGRGAMSGPAQAQMALSAGMMSGSTANNNSSNHQQIVGGGAMNGSAQAAAALTNYQSMLMRQNAMNNPNSNTGKQEGFSSQNPTPNSNQSPSSSSHQRQNLVTGGFPSSPQMQQQQRTMNGASNMLPQNHPRQLQSPQSHGNTPEQQMLHQLLQEMSDNGASVQQQQAFSGQSGSNSNAERNTTASTSNISGGGRAPSRNNSFKAASNSNLHFSEDISITDHDFSEDGFFNNSDIYGGL >fgenesh2_kg.7__170__AT4G39570.1 pep chromosome:v.1.0:7:657570:659028:-1 gene:fgenesh2_kg.7__170__AT4G39570.1 transcript:fgenesh2_kg.7__170__AT4G39570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M8M6] MSSPERKRKRVMSTKKPSLRKKNLSPVLPPTPIPSLPDDLFVSIFARVTSTLLCSPELYETRSLLGRTESCLYLCLQEGNPDPNPLWFTLCLKPERNLKNGTKKKRKKSSGNLLIPIPVPNPPLAHWSGHASVGSDIYYFGGYMEENVPSSRVVILDCRSHTLLEAPSMQMARLDPSASVIDGKIYVAGGVDDDDSDSLYPIEVFDIKTQIWDQMRIPYWEKDWGGLSRSAYIDGKFHLMIGWKVMAYDPKESRWDFVGYQMGPRWSWSCNCVIENVLYCYIGAFRWYDTKLRLWKDMKGLKGLPKLSRNVYVKMADYGGKMAIFWDNRVPSASNKNKTIRCAVIALERPNSEEIWGTVEWHEAVLTVPVSYEFEHALAVTV >fgenesh2_kg.7__1711__AT4G25500.1 pep chromosome:v.1.0:7:7113681:7116268:-1 gene:fgenesh2_kg.7__1711__AT4G25500.1 transcript:fgenesh2_kg.7__1711__AT4G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVFCGNFEYDAREGDLERLFRKYGKVERVDMKAGFAFVYMEDERDAEDAIRALDRIEFGRKGRRLRVEWTKSEREGDRRSGGGSRRSSSGMRPSKTLFVINFDADNTRTRDLERHFEPYGKILNVRIRRNFAFIQYEAQEDATRALDATNNSKLMDKVISVEYAVKDDDARGNGHSPERRRDRSPERRRRSPSPYKRERGSPDYGRGASPVAAYRKDRTSPDYGRRRSPSPYKKSRCGSPEYGRDRRGNDSPRRRERVASPKYSRSPNNKRERMSPNHSPFKKESPRNGVGEVDSPIVRRERSRSSPENGQVESPGSIGRRDSDGGYDGAESPMQKSRSRSPPADE >fgenesh2_kg.7__1712__AT4G25470.1 pep chromosome:v.1.0:7:7120070:7132282:1 gene:fgenesh2_kg.7__1712__AT4G25470.1 transcript:fgenesh2_kg.7__1712__AT4G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSDYESSVSSGGDYSPTLATSCPKKPAGRKKFRETRHPIYRGVRRRNSGKWVCELREPNKKTRIWLGTFQTAEMAARAHDVAAIALRGRSACLNFADSAWRLRIPESTCAKEIQKAAAEAALAFQDEMCDMTTDHGLDMEETMVEAIVTAEQSDAFYLDDEAIFGMSSLLDNMAEGMLLPSPSVQWNYNFDVEGDDDLSLWSY >fgenesh2_kg.7__1714__AT4G25450.1 pep chromosome:v.1.0:7:7133401:7137675:1 gene:fgenesh2_kg.7__1714__AT4G25450.1 transcript:fgenesh2_kg.7__1714__AT4G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTLLFHHGSTRVLVARRRCQASVLKPCGLKPLISFRSLPSSTVPFRRSLRLKSDGLARAYVTGAPPIVDEPDPKIEESKSEAESKDLISWGLVWSLMSKHKLRLSVCLLTLLGCSTCTLSMPVFSGRFFEVLIGVRPEPLWRLLSKIAVLYSLEPIFTIAFVTNMTAIWENVMATLRAQIFRRVLIQKAEFFDKYKVGELTGLLTSDLGALNSIVNDNISRDRGFRAFTEASHFFTMQILNRSLVLCLMFLWSSINDREYLVASQECPVFGTICILFTLSPQLAPVLGLLMLAVSVLVAVYKRSTVPVYKSHGLAQATMSDCVSETFSAIRTVRSFSGEKRQMSLFGSQILAYKLSGLKLGTFKSINESITRVAVYISLLALYCLGGSKVKTGELAVGTVVSFIGYTFTLTFAVQGLVNTFGDLRGTFAAIDRINSILNAVDIDEALAYGLERDIHTKKVQDENLKLFLSAGPNVNILHLDNYYMSNLKSTNNLRTLTWAGDVCLDDVHFAYPLRPNVKVLDGLSLTLNSGTVTALVGSSGAGKSTIVQLLARFYEPTKGRITVGGEDVRMFDKSEWAKVVSIVNQEPVLFSLSVAENIAYGLPNEHVSKDDIIKAAKAANAHDFIISLPQGYDTLVGERGGLLSGGQRQRVAIARSLLKNAPILILDEATSALDAVSERLVQSALNRLMKDRTTLVIAHRLSTVQSAHQIAVCSDGKIIELGTHSELVAQKGSYASLVGTQRLAFE >fgenesh2_kg.7__1720__AT4G25420.1 pep chromosome:v.1.0:7:7164311:7165787:1 gene:fgenesh2_kg.7__1720__AT4G25420.1 transcript:fgenesh2_kg.7__1720__AT4G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFVTTSPEEEEAKPKLGLGNIQTPLIFNPSMLNLQPNIPNQFIWPDDEKPSIDVPELDVPLIDLQNLLSAPSSTLEASRQISEACKKHGFFLVVNHGISEKLISDAHEYTSRFFDMPLSEKQRVLRKPGESVGYASSFTGRFSTKLPWKETLSFRFCDDKSRSKSVQDYFCDALGHGFEPFGKVYQEYCEAMSSLSLKIMELLGLSLGVNRDYFRDFFGENDSIMRLNYYPPCQKPDLTLGTGPHCDPTSLTILHQDHINGLQVFVENQWRSIRPNPKAFVVNIGDTFMALSNDRYKSCLHRAVVNSESERKSLAFFLCPKNDRVVKPPTELLDSITSRRYPDFTWSMFLEFTQKHYRADMNTLQAFSDWLTK >fgenesh2_kg.7__1722__AT4G25400.1 pep chromosome:v.1.0:7:7177475:7178489:-1 gene:fgenesh2_kg.7__1722__AT4G25400.1 transcript:fgenesh2_kg.7__1722__AT4G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVHKEVEKQRRQEMASLYTSLRSLLLLEFIQGKRSTADQVNGAVNYIEYLQRNIKDISSKRDDLVLLSGRSFGSSNEQDWNQISNHVVIIRPCLVGIEIVFSVLQTPFSSVLKVIREHGLCVLGCISSSVNDKTHSHSTG >fgenesh2_kg.7__1726__AT4G25370.1 pep chromosome:v.1.0:7:7196833:7198609:-1 gene:fgenesh2_kg.7__1726__AT4G25370.1 transcript:fgenesh2_kg.7__1726__AT4G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp amino terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MG14] MASYTVVSFIPLTVSNPRIFVTRQNGSSSSSSRIPLTSSLSGKKLVATQPSHRCFVPKLRCLTSASTVLNVPTAQPENGSSDKIPKWSARAIKSLAMGELEARKLKYPSTGTEAILMGILVEGTSTVAKFLRGNGVTLFKVRDETISLLGKSDMYFFSPEHPPLTEPAQKAIAWAIDEKNKSGVDGELTTAYLLLGIWSQRDSAGRQILEKLGFNEDKAKEVEKSVNEDVDLSFKKQGQ >fgenesh2_kg.7__172__AT4G39590.1 pep chromosome:v.1.0:7:661157:662525:-1 gene:fgenesh2_kg.7__172__AT4G39590.1 transcript:fgenesh2_kg.7__172__AT4G39590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSRSSAATNRKDPPGKKNKQMTTEPNSIGSLSNDLLLNCFARVSRMYYPALSRVSKRFRSIVTSPEIYHTRSLLNRTEKCLYLCLRFPFDNNTHWFTLYQNPNRSVSNKSSGKVLVQIPSPEYPLTQSSNLVAVGSNIYKIGGTVGDDFCPLGWDRKPSSKVSVLDCRSHTWRDGPRMRLDRKSSTTSVVDGKIYVTGGTKDTDNPSNWIEVFDPKTQSWGSVTNPRIVRLWEEESYRRVVKSIGHEGKLYLFGDEFVVYNPEEGIWNPVGEDRLIGCALKSSYCVIDNILFYWDQGVFKWYDSKVPSWKELKGLEGLPDFSHREYCRLVDFGGKMAVLWDIWECTSEAYMAIWCAEISLEKRDGDEIWGKVEWFDTVLAVGASCSLINANALSASV >fgenesh2_kg.7__1730__AT4G25340.1 pep chromosome:v.1.0:7:7210173:7213232:1 gene:fgenesh2_kg.7__1730__AT4G25340.1 transcript:fgenesh2_kg.7__1730__AT4G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FK506-binding protein [Source:UniProtKB/TrEMBL;Acc:D7MG16] MGFWGLEVKPGKPQAYNPKNEQGKIHVTQATLGAGLSKEKSVIQCSIGDKAPISLCSLLPNKIECCPLNLEFDDDDEPVEFSVNGDRSIHLSGFLEYYEENEDDYEHDDDDSDGIDVGESESDDSCEYDSEEDDQLDEFEDFLDTNLEMYRKAAAPKSGVIIEEIEDEEKPAKDNQTKRTKKKSQASEDENAKKQIVAIESAHVPVLESEEEDEDGLPIPKGKAPELETESGEKMDLDNDEQGSNKKRKAKATEQESANKSKKKKNQKEKKKGENASNEEADEQVPTGNVLKKQETSQISSNTKAQNGTADNAMSESSKNPDKSAEKKKKNKKKKNSSEEAAVGSKTIPGTVEKQTQEDSKSSQVRTYPNGLIVEELSMGKPNGKRADPGKTVFVRYIGKLQKNGKIFDSNIGKSPFKFRLGVGQVIKGWDVGVNGMRVGDKRKLTIPPSMGYGPKGVGGGQIPPNAWLTFDVELINVQ >fgenesh2_kg.7__1731__AT4G25330.1 pep chromosome:v.1.0:7:7214255:7215122:-1 gene:fgenesh2_kg.7__1731__AT4G25330.1 transcript:fgenesh2_kg.7__1731__AT4G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSLLSYQSGATSPTIFSGEFADDVEWGDENWPELEFRSPEDEAWYAVEVSDICDALMISFNGFSYEHDEFYPADDFTTSDEIQEFEERFRACSEQMQDVECPKVHEGTKVCATCPSVTGEVKFYDAIVVTVERMKHERDEEGNEVCGCDFKLFWKQGPYINQVTTAKVGDICLRAEDNRMNPKVVSFLKEARRKLHGEPCNQGEETEWQKILEKVNSAIRNNLSVDG >fgenesh2_kg.7__1733__AT4G25315.1 pep chromosome:v.1.0:7:7221987:7223745:1 gene:fgenesh2_kg.7__1733__AT4G25315.1 transcript:fgenesh2_kg.7__1733__AT4G25315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSENEDSSAVRRRVSCTKCFDALWFCYWMSRWSNITSNGDDTGKDLAPFYQMQQYYRVGKLDDCTKKFSDLFDCLSLKTKRASEVEKIMEEQEKAAAEKHIWIMRTQEEAVSHWNETFGHLDDPNS >fgenesh2_kg.7__1736__AT4G25300.1 pep chromosome:v.1.0:7:7223931:7227933:-1 gene:fgenesh2_kg.7__1736__AT4G25300.1 transcript:fgenesh2_kg.7__1736__AT4G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7MG20] MEGQRATQSSIIVPSVQEMVKENMITAVPPRYVRSDQDKAEIAVDSGLRNQIPIIDMCLLCSPTCMDSETDKLDFACKEWGFFQLVNHGMDSSFLDKFKTEIQDFFNLPMEEKKKLWQQPGDIEGFGQAFVVSEEQKLDWADMFFLTMQPVQLRKPHLFPKLPLPFRDTLDMYSAEVKSIAKVLFGKIASALKIKPEEMEKLFDDELGQRIRMNYYPPCPEPDKVIGLTPHSDATGLTILLQVNEVDGLQIKKDGKWVSVKPLPNAFVVNVGDILEIITNGTYRSIEHRGVVNSEKERLSVATFHNTGMGKEIGPMRSLVERHKAAFFKNVTTEEYFNGLFSRELDGKAYLDVMRI >fgenesh2_kg.7__1737__AT4G25290.1 pep chromosome:v.1.0:7:7228552:7232032:1 gene:fgenesh2_kg.7__1737__AT4G25290.1 transcript:fgenesh2_kg.7__1737__AT4G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyribodipyrimidine photolyase family protein [Source:UniProtKB/TrEMBL;Acc:D7MG21] MALLALPHFIPHRLRRNQCRCCLSSATNEGSTAVVWFKHDLRVDDHPGLLASSKHSSVIPLYVLDRRILSRYTTDTLELAIIALEDLRKTLKKQGSNLMLSYGNAENVIADLVKEVRAHSVFVEEEVEYHLCDVLDGVKKKLEGFSLSGEQPRIVFWRTPFYESQNLTDLPQSWEEFKKLKLPISLPVPAARFSSPGSELQWGSVPTLDDLKDYLKESLCEKENSWREMAEASAERVLMERLGNLKESSMEPIVDGSLGKKVDNSAFVTSKRDTVGGGNEAVLNALAGYLRRSVHYEAIEYEKERNAGFISPFGYSAATVSAATDAVRSMEYTVVGNEGPAVLLVHGFGAFLEHYRDNVDNIVNSKNRVWTITVLGFGKSEKPNIIYTELLWAELLRDFMIEVVGEPAHCVGNSIGGYFVALMAFLWPALVKSVVLVNSAGNVVPGYSPLPISRERGVPFGAQLGARLLLFFLQFNVKRLLKDCYPVGMKDPISDPKKKVALLKELCPAMVIKQIVYISFFLTLVSASIGHCPHDEIPEEVNPIICEWIVKATLDSN >fgenesh2_kg.7__173__AT4G39610.1 pep chromosome:v.1.0:7:669759:670694:-1 gene:fgenesh2_kg.7__173__AT4G39610.1 transcript:fgenesh2_kg.7__173__AT4G39610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSPSSSIPPSSPSPSPTTTTTPPRQHLLLQPPSSKKKKNRTNVFRVLRTVFRSFPIFTTPSVACKIPVIHPGLGLPDPHHNTSRITGTLFGYRKGRVSLSIQESPKCLPSLVVELAMQTTTLQKELSTGMVRIALETEKQPRADNNNNTTEKKTDILEEPLWTMYCKGEKTGYGVKREATEEDLNVMELLRPVSMGAGVLPGNSESEGPDGEMAYMRAYFERVIGSKDSETFYMLSPEGNNGPELSFFFVRV >fgenesh2_kg.7__1740__AT4G25240.1 pep chromosome:v.1.0:7:7240806:7244251:-1 gene:fgenesh2_kg.7__1740__AT4G25240.1 transcript:fgenesh2_kg.7__1740__AT4G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCSVFLLCFALLSGVSFAADPFVSYDFRVSYITASPLGVPQQVIAVNGQFPGPLLNATTNYNVVVNVFNHLDEPLLLTWPGIQMRRNSWQDGVLGTNCPIPPRWNFTYQFQVKDQIGSFFYSPSLNFQRASGGFGPIVINNRDIIPIPFPQPDGELIFIIGDWYTQDHKALRRILDSGKELGMPDGVLINGKGPYKYNSSVPDGIDYLTFHVEPGKTYRIRVHNVGISTSLNFRIQNHNLLLVETEGHYTSQANFTDFDVHVGQSYSFLVTMDQNATSDYYIVASARFVNETVWQRVTGVAILHYSNSKGPVSGPLPVPKTDVSSPWSAMNQPKAIRQNTSASGARPNPQGSFHYGQINITNTYILRSLPPTTINGALRATLNGISFVNPSTPVRLADRNKVKGAYKLDFPDRPFSRPPRLDRSMINATYKGFIQVIFQNNDTKIQSFHVDGYSFFVVGMDFGIWSEDKKGSYNNYDAISRSTIKVYPGGWTAVLISLDNVGVWNIRVENLDRWYLGEETYMRITNPDEDGKTEMDPPDNVLYCGALKNLQKEQHHSAATSILNGRLKLMLMVLLASVMTFC >fgenesh2_kg.7__1742__AT4G25230.2 pep chromosome:v.1.0:7:7245599:7251051:-1 gene:fgenesh2_kg.7__1742__AT4G25230.2 transcript:fgenesh2_kg.7__1742__AT4G25230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKYLPLSVASTALSFVGLQVWTEFSLDRLRADGLIAKNVSLGDSEHALELLLASYFTIALLTNFVLNVYILLVLSLKTLFFGDLYDVETKKLVERLANYIIYKGTFLPLVIPPTIFQGVLWTVWLTVLCTLKMFQALARDRLERLNASPSSTPWTYFRVYSVLFLVLSVDMFWIKLSLMTYNTIGSSVYLLLLFEPCSIAFETLQALLIHGFQLLDMWINHLAVQNSDCQRSKFIDSMTAGSLLEWKGLLNRNLGFFLDMATLVMALGHYLHIWWLHGIAFHLVDAVLFLNIRALLSAILKRIKGYIKLRIALGALHAALPDATSEELRAYDDECAICREPMAKAKRLHCNHLFHLGCLRSWLDQGLNEVYSCPTCRKPLFVGRTESEVNPHTVEVSSDEQLARQLERQNNPVRALATGLFPAEVPNSVENDTSRNLGLDPSWLQTWSGQGSDVAGPSTASRSVGLGRVQMMMRHLASVGESYAQTALDDAAWSLWPMNPSQASTSSTTVPPGTGGRTGGLHLRTVSSTTNESLANILAMAETVREVMPHVPDEIIFQDLQRTNSVAVTVNNLLQM >fgenesh2_kg.7__1743__AT4G25225.1 pep chromosome:v.1.0:7:7251748:7252047:1 gene:fgenesh2_kg.7__1743__AT4G25225.1 transcript:fgenesh2_kg.7__1743__AT4G25225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRSSFSFITGTVCGIYIAQNYNVPNIKKLSHCAVSMAKQVEEKYRKPKSRDDV >fgenesh2_kg.7__1744__AT4G25220.1 pep chromosome:v.1.0:7:7252113:7254059:-1 gene:fgenesh2_kg.7__1744__AT4G25220.1 transcript:fgenesh2_kg.7__1744__AT4G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWTSSQFLYEETKPWGIQFLERFKRSGRLSFKQYQALVFILTFVAYVAFHAARKPNSIVKGTLSASTIKGGWAPFDGPDGPALLGQIDLVFLSVYAVGMFVAGHLGDRLDLRTFLTIGMIGTGLFTALFGVAFWADFHSFYYFLAVQVMAGWFQSIGWPCVVAVLGNWFDKKRRGMVMGVWSAHTSLGNIAGSLIASGLLRYGWGWSFLGPALLMTFLGIVVYLFLPVNPPTVEAERDGTEIDSTMRLGDTITESFLESRMSTGFDRKAVGFMAAWKIPGVAPFAFCLFFTKLVSYTFLYWLPFYVSHNMIGGEYLSEETSGNLSTIFDVGGVVGGVLAGYMSDQLNGRAITAAGFMYLAIPALFLYRVLGHISLTINVILMFISGVFIIGPFALITTAVSADLGTHKSLKGNARALATVTAIIDGTGSVGAAIGPVLTGYISAISWDAVFYMLMTAALISGLLLTKLIIAEVKALLFGSEEEVAASSSSPASRAPIDVLL >fgenesh2_kg.7__1746__AT4G25200.1 pep chromosome:v.1.0:7:7257822:7258813:-1 gene:fgenesh2_kg.7__1746__AT4G25200.1 transcript:fgenesh2_kg.7__1746__AT4G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLALKRLLSSSIVPRSRSVLSPSVSSRLFNTNAVRSYDDDGENGQGVDFDRRSVPRRRGDFFSDVFDPFSPTRSVSQVLNLMDQFMENPLLSATRGMGASGARRGWDIKEKDDALYLRIDMPGLSREDVKLALEQDTLVIRGEGKYEDDDGEEEDQGGNRRFTSRIGLPEKIYKIDEIKAEMKNGVLKVVIPKMKEQERNDVRQIEIN >fgenesh2_kg.7__1748__AT4G25190.2 pep chromosome:v.1.0:7:7259716:7261593:1 gene:fgenesh2_kg.7__1748__AT4G25190.2 transcript:fgenesh2_kg.7__1748__AT4G25190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKTTGRRLRPPSPNINRSRTISSSISLPVSLNASLSSSTSSSSSSSPSNSSKRVMITRSHSTTRSSRPTGSSNPKSGENIIPARNSASRSHEINNGRSREAFAQYLDQRERGSPRNNASSRGVKPGASSPSAWALSSGRVSTMKTYLSSSAPANSMCMTPPESPVSKAKIRSGGGGAVAGVLKYFMAQKKVSPVQEEDYHRFRVLQNRLLQWRFVNARTEATMAKLKINVEDQLFWVWLRIYKMRNYVVENLIEVQRLRQEIKLGEVLSLQMPLLNDWSKLEAKNSEALSKLTRKLHALSVRLPFVHGATMDVVSIHEEMVIAIQVMDEIEDVIIKFLPRQVEIILYELTELIGLFNQELLYFEEMDKSLFSIPLFAAKERSLKVHILQKSEEQRKKKLYNNSKVRTCN >fgenesh2_kg.7__1749__AT4G25180.1 pep chromosome:v.1.0:7:7261867:7264146:1 gene:fgenesh2_kg.7__1749__AT4G25180.1 transcript:fgenesh2_kg.7__1749__AT4G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:D7MGI8] MNSGDQVPRKSKRRFQPRPPKPSLRPIAPTSKTEAEAEEEENRKAARQLAKRIGTGQRRPKTETKASSPEVAFQPSLSSLAIRSFSVPKEDDKPSSDVYPSSSAGILPPVSSITPQEDEEEVHNLVTRTGEDYVEPWDYRNSYYPTVLPLRKPNSGDPELLDQEEFGEVAKHRDYEENTINSAEELGLTSVQHCKNQMFFFKIPDCLPVMKQSTGATTKRSVREYSSGRSNPFEGLPEGFMGKMLVYKSGAVKLKLGDVLFDVLPGPNAKFHNDVAAIDTKGRNCCRIGSSAKFVTVTPDVEALLNSASDMETHK >fgenesh2_kg.7__174__AT4G39620.1 pep chromosome:v.1.0:7:671130:673487:1 gene:fgenesh2_kg.7__174__AT4G39620.1 transcript:fgenesh2_kg.7__174__AT4G39620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2453 [Source:UniProtKB/TrEMBL;Acc:D7M8N4] MDYLLTSPSSLRFSDFISSFPQETDHKWLRLSMNLGVTRRSTKTRIICGAISSRRKLAERESAERENRVLVRSLMSRISDKEPLVKTLDKYVKVVRCDHCFLLFEELGKSDKWLQCLEVFRWMQKQRWYIPDNGVYSKLISVMGKKGQTRMAMWLFSEMKNSGCRPDASVYNALITAHLHTRDKAKALEKVRGYFDKMKGMERCQPNVVTYNILLRAFAQSGKVDQVNALFKDLDMSPVSPDVYTFNGVMDAYGKNGMIKEMEAVLTRMRSNECKPDIITFNVLIDSYGKKQEFEKMEQTFKSLMRSKEKPTLPTFNSMIINYGKARMIDKAEWVFKKMNDMNYMPSFITYECMIMMYGYCGSVSRAREIFEEVVDSERVLKASTLNAMLEVYCRNGLYMEADKLFHNASAFRVHPDASTYKFLYKAYTKADMKEHVQILMKKMEKDGIFSGQDYLVRILKTGNNLVRAGHETVLRTCPFLSHDDDSTVIARVSADISMFSDLFKIAEENCRTNYLENLSGHDKVSRDESRKLSQEKQPLFASDQNNMISKRKKKLFTRNL >fgenesh2_kg.7__1751__AT4G25160.1 pep chromosome:v.1.0:7:7269006:7272994:1 gene:fgenesh2_kg.7__1751__AT4G25160.1 transcript:fgenesh2_kg.7__1751__AT4G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MGJ0] MSRSPDNLALPPPPPPPPSRTVVVALSGSSKSKYVVTWALEKFAPEGNVGFKLLHIHPMITSVPTPMGNAIPISEVRDDVVTAFRQEILWQSEEMLKPFTKLFVRKKVAVEVLVIESDNLAAAIAEEVTRDSIDRIVIGGSSRSFFSRKADMCSAISALMPNFCTVYVVSKGKLSCVRPSDSDGNATIRDDGSERTNSSSGSSGPTSDVMSSVHDSQSRALSLPVRRMQNFPTIARQASVPMETSSVGSDETRCMSLDAEEARDVSSINRSSTDTTSRWTPRLRDYEERKEAMSSSSSNREYGNIGSRFSWTGMGVDNTHSRASQQASNMSDALSEQSYTDSQVNLNFEVEKLRAELRHVQEMYAMAQTETFDASRKLGELNQRRLEEAIKLEELKLKEYEARELAEREKQNVEKARRDAESMRERAEREIAQRREVERKSARDTKEREKLKGTLGSPQLQYQHFAWEEIMAATSSFSEELKIGMGAYGAVYKCNLHHTTAAVKVLHSAESRLSKQFQQELEILSKIRHPHLVLLLGACPEQGALVYEYMENGSLEDRLFQVNNSPPLPWFERFRIAWEVAAALVFLHKSKPKPIIHRDLKPANILLDQNFVSKVGDVGLSTMVQVDLLSTKFTIYKQTSPVGTLCYIDPEYQRTGMISSKSDVYSFGMIVLQLLTAKPAIALTHFVESAMDSNDEFLKILDQKAGNWPIEETRELTALALCCTELRGKDRPDLKNQILPALENLKKVAEMARNSLSGVSTQPPTHFICPLLKDVMNEPCVAADGYTYDRRAIEEWLEEHDTSPMTDSPLHSKNLLPNYTLYTAIMEWRSTR >fgenesh2_kg.7__1752__AT4G25140.1 pep chromosome:v.1.0:7:7274988:7276117:-1 gene:fgenesh2_kg.7__1752__AT4G25140.1 transcript:fgenesh2_kg.7__1752__AT4G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:D7MGJ2] MADTGRTTHHDIIGRDQYPMIGRDRDQYQMSGRGSDYSKSRQIAKAATAVTAGGSLLVLSSLTLVGTVIALTVATPLLVIFSPILVPALITVALLITGFLSSGGFGIAAITVFSWIYKYATGEHPQGSDRLDSARMKLGSKAQDLKDRAQYYGQQHTGGEHDRDRTRVGQHTT >fgenesh2_kg.7__1753__AT4G25130.1 pep chromosome:v.1.0:7:7276577:7278155:1 gene:fgenesh2_kg.7__1753__AT4G25130.1 transcript:fgenesh2_kg.7__1753__AT4G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-methionine-s-oxide reductase [Source:UniProtKB/TrEMBL;Acc:D7MGJ3] MQVIVASPPLISAASLSKPLHSLSKAALSFSRAKPLCPFPQTSRPISVYKSPMNNLFNRLGFGSRPQAQVDPTSAAIAQGPDDDVPSPGQQFAQFGAGCFWGVELAYQRVPGVTKTEVGYSHGLMHNPSYEDVCTGTTGHNEVVRVQYDPKECSFETLLDVFWNRHDPTTLNRQGGDVGTQYRSGIYYYTDEQERIAREAVEKQQKILNKKIVTEILPATKFYRAENYHQQYLAKGGRMGLRQSAEKGCKDPIRCYG >fgenesh2_kg.7__1756__AT4G25110.1 pep chromosome:v.1.0:7:7287002:7289813:1 gene:fgenesh2_kg.7__1756__AT4G25110.1 transcript:fgenesh2_kg.7__1756__AT4G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVDCSSCRTPLHLPPGATRIRCAICHAFTLIAPEPRLQSHASASPFPFPNSSPVTPAPSAFIYPPPSPSPFTHAPPAPSPFNHAPPEHYPFTPAPSAPSPFNHAPPGPPPPVHGQKRAVIVGVSYKNTKDELKGCINDAKCMKFMLMKRFQFPESCILMLTEEETDPMRWPTKNNITMAMHWLVVSCKPGDSLVFHFSGHGNNQMDYNGDEVDGFDETLLPVDHRTSGVIVDDEINATIVRPLPYGVKLHAIVDACHSGTVMDLPYLCRMDRLGNYEWEDHRPPSGMWKGTSGGEVFSFTGCDDDQTSADTPQLSGSAWTGAMTYAFIQAIERGHGTTYGSLLNAMRSTVHEIFDKNKGRELVEVEGADLLSTLLGLLILGASPLDEEEEVNQAPQKTQEPQLSANGAFDVYEKPFSL >fgenesh2_kg.7__1757__AT4G25100.2 pep chromosome:v.1.0:7:7290555:7292764:1 gene:fgenesh2_kg.7__1757__AT4G25100.2 transcript:fgenesh2_kg.7__1757__AT4G25100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Source:UniProtKB/TrEMBL;Acc:D7MGJ5] MAASSAVTANYVLKPPPYALDALEPHMSKQTLEFHWGKHHRAYVENLKKQVLGTELEGKPLEHIIHSTYNNGELLPAFNNAAQAWNHEFFWESMKPGGGGKPSGELLALLERDFTSYEKFYEEFNAAAATQFGAGWAWLAYANDKLKVVKTPNAVNPLVLGSFPLLTIDVWEHAYYLDFQNRRPDYIKTFMTNLVSWEAVSARLEAAKAASSSSS >fgenesh2_kg.7__1760__AT4G25090.1 pep chromosome:v.1.0:7:7293806:7298859:1 gene:fgenesh2_kg.7__1760__AT4G25090.1 transcript:fgenesh2_kg.7__1760__AT4G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVSFEVTDTEAEKSSSETVPLSGAGALPSTFKNPAMENVGNAADDGPSVKNNPKLNAQKQNGLVKLLASVSNQLMKCLTLVTRESKTPRLDRSKSTAGQALKGLKLISKTDGNAAWTVVEKRYLKITANTDGLLLRSKFGECIGMNSKEFALELFDALARKSHLKGDVITETELKKFWEQINDKSFDSRLITFFDLMDKDADGRLTEDEVREIINLSSSANHLSCIQKKADEYAAMIMEELDPDHMGYIMMESLKKLLLQAETKSLSTTNSEERKELSDMLSESLKPTRDPNHLRRWYRQLRFFVLDSWQRIWVIALWLAIMAILFAYKYIQYKNRAVYEVLGPCVCLAKGAAETLKLNMALILLPVCRNTITWLRNKTSWLGVFVPFDDNLNFHKVIAVGITIGVGIHSVAHLACDFPRLIAATPAQYMPLEKFFGEEQPKRYLHFVKSTEGITGLVMVLLMVIAFTLAMPWFRRGKLEKKLPGPLKKLASFNAFWYTHHLFVIVYILLVLHGYYLYLSKEWYKKTTWMYLAVPVALYAYERLIRAFRSSIRTVKVLKVAAYPGKVLTLQMSKPTNFKYKSGQYMFVNCPAVSPFEWHPFSITSTPQEDYLSVHIKSLGDWTEAIQGVFSEVSKPPPVGDMLHGANSPGFPKIMIDGPYGAPAQDYKKYEVVLLIGLGIGATPMISIIKDIINNTETKEQLSQMEKGAPQEQQVKKETFKTRRAYFYWVTKEQGTFDWFKNVMNEIAERDKSKVIELHNHCTSVYEEGDVRSALIRMLQSLNYAKNGLDIVAGTRVMSHFARPNWKNVYKQIAMDHPGANVGVFYCGAPVLTKELRHLALEFTHKTSTRFSFHKENF >fgenesh2_kg.7__1761__AT4G25080.3 pep chromosome:v.1.0:7:7299488:7301243:-1 gene:fgenesh2_kg.7__1761__AT4G25080.3 transcript:fgenesh2_kg.7__1761__AT4G25080.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-protoporphyrin ix methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MGJ7] MPFAPSLLSSSSSVSQFLPRFPNATRFNVTPRSRVATVVSASVTDLAGVDSTTIAVLGGGSVAALAAMVSLTDPERRRKLQAEEVGGGDKEVVREYFNSTGFERWRKIYGDTDEVNRVQKDIRLGHAKTVENTMLMLTEDRSLAGVTVCDAGCGTGLLSIPLAKEGAIVSASDISAAMVTEAEMKAKSQLPPENLPRFEVNDLESLSGKYDTVVCLDVLIHYPQNKADGMIAHLASLAEKRVILSFAPKTFYYDILKRIGELFPGPSKATRAYLHSEADVERALRKVGWKISKRGLTTTQFYFSRLIEAVPM >fgenesh2_kg.7__1765__AT4G25070.1 pep chromosome:v.1.0:7:7301658:7305726:-1 gene:fgenesh2_kg.7__1765__AT4G25070.1 transcript:fgenesh2_kg.7__1765__AT4G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MGJ8] MARRPGSSASSSPTMSPSHMMNSGGGGISGKSTLRKQNAAELLAKVMEKRDYDYDYDDEDGGEDLYQVHLPPLTQSRRRDDKRIGKGSVNEKKAPAKKPVILPPPKFDDESEDDEIRADVPRNPVIVPKKNARDPIKAPATKPVVLPPPKFDDGDEIIADLRRIPVDISGRNARGRKEAPTVKPVVNTPKFDDEYDSDEITADVSRNPVEIRVPVRGKVAPAVKPVVLPTRFDDEYDSDEITAEVSRNPVGSKGPVRGKVAPAVKPVVLPTKFDDELDSDEIAADVPRKQVEIPQKNGKGALRVRVPAYSRRNPLDEFEQNGEEKQNVQFDVPAKQSEAQLKYKKRFHTADILASNNSNQQEDDREASALRDELDMLQEENDNIMDKLQRAEERREAAETRAKELEKQIASLGEGANFDVKLLKRKEAALRQREAALRAAEQKRDGINRESNALSSEFQSLKDEAEKAMEQLQEVEAETKSLRTMIHRTILTQEEMEEVVLKRCRLARYWELAVQHGICEDIATSRYEHWSALAPLPSEVVLSAAQKSEDSWQTGGSDRTWSKVVSNFSDLNGEGNIESMLAVETGLREIASLKVEDAVMLALARYRQTNVARQAITDPRVQGEPKFSETFELSHDEQQDILFKEAWLLYFWKRAKIHGVESDIAEERLQFWINRLGQHSSSHDAVDVERGMRELRKLGIEQQLWETSRKELIDSSFLPSYSVSDYNDE >fgenesh2_kg.7__1766__AT4G25040.1 pep chromosome:v.1.0:7:7311662:7312988:-1 gene:fgenesh2_kg.7__1766__AT4G25040.1 transcript:fgenesh2_kg.7__1766__AT4G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 1F1 [Source:UniProtKB/Swiss-Prot;Acc:D7MGK0] MMGDNEGRRTPLLNLGVQVSMRVLIIGAAMASMWVMITNREVASVYGIAFEAKYSYSSAFRYLVYAQIAVCAATLFTLVWACLAVRRRGLVFALFFFDLLTTLTAISAFSAAFAEGYVGKYGNKQAGWLPICGYVHVYCSRVTISLAMSFASFVLLFILTVLTASSARHY >fgenesh2_kg.7__1768__AT4G25030.1 pep chromosome:v.1.0:7:7314833:7316980:-1 gene:fgenesh2_kg.7__1768__AT4G25030.1 transcript:fgenesh2_kg.7__1768__AT4G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCTGENPMASQLNILRCPFLRNINEPTNLSFSSSLPFPMPARAGKGPIFEDGPNFDTAFRLFHGQDGVVPLSDTALAEAQKPLPVFHPLAAKAATISLSSFGPGGPFGFDAFSDMFKNQKNKSDSSNNKGGNHEAMGDEWLKTGNCPIAKSYRAVSGVAPLVAKILQPPPGMKFKCPQAIVTARAAISKTPFAKNLRPQPLPAKVLVIGMLGMALNVPLGVWREHTEKYSAAWFVALHAAVPFIGILRKSVLMPKTAMVFTIAASVLGQVIGSRAERRRLKSVAEKKLTLEVPNPSSVEANQMQFAGVSSDGRCSDKVVMKWNPMMLDVASPVSSGAATVVC >fgenesh2_kg.7__176__AT4G39640.1 pep chromosome:v.1.0:7:679359:682637:1 gene:fgenesh2_kg.7__176__AT4G39640.1 transcript:fgenesh2_kg.7__176__AT4G39640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRTVTIVLFIIAFLQNAAAQKRQQSIVKSRGAVATDDGRCSVIGMNVLREGGNAIDASVAAALCLGVVSPASSGIGGGAFTVVKIAGGKEIAYDSRETAPLSATENMYGGNVELKKKGALSVGVPGEVAGLFTAWKQHGKLPWKRLVSPAEKLAEGFKISKYLYMQMNATRSDILADKGLSDLFVSNGELKKPGTICHNPNLALTLRLIGEYGPKAFYNGTVGVNLARDILKSGGIITLKDLQSYRVKINEPLAADILGYRVLGMPPPSSGGAAMMLVLNILSQYGIPSGVSGPLGVHRLIEALKHAFAVRMNLGDPDFTDITKVVSDMLSPKFAQDLKRKINDEKTFDPKYYGGMWNQIDDHGTSHLSIIDRERNAVSMTSTINGYFGALMLSPSTGIVLNNEMDDFSIPMKSNGNLDVPPPAPANFIRPGKRPLSSMSPTIVLKDGKVKAAVGASGGANIIAGTTEVYLNHFFLKMDPFSSVLAPRIYHQLIPNRVSYENWTTVFNDHFEIPKATRVVLEKKGHVLSPIAGGTIAQFIVQESGRNSGGRSELVAVSDPRKGGFPSGY >fgenesh2_kg.7__1770__AT4G25010.1 pep chromosome:v.1.0:7:7337640:7339705:1 gene:fgenesh2_kg.7__1770__AT4G25010.1 transcript:fgenesh2_kg.7__1770__AT4G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:D7MGK4] MALTHNVMAVTFGVMGNIISFIVFLAPVPTFVRICKKKSIEGFESLPYVSALFSAMLWIYYALQKDGAGFLLITINAVGCFIETIYIILFITYANKKARISTLKVLGLLNFLGFTAIILVCELLTKGSNREKVLGGICVGFSVCVFAAPLSIMRVVIRTKSVEFMPFSLSLFLTISAITWLFYGLAIKDFYVALPNILGAFLGAVQMVLYVIFKYYKAPVVDETEKPKTVSDHSINMVKLSSTPASGDLTVQPQTILDVSHPVQTHGGDLEDQMDKKMSN >fgenesh2_kg.7__1771__AT4G25000.1 pep chromosome:v.1.0:7:7340561:7342554:1 gene:fgenesh2_kg.7__1771__AT4G25000.1 transcript:fgenesh2_kg.7__1771__AT4G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:D7MGK5] MTSLHTLLFTSLLFFIVFPAFTFSSTLLFQSFNWESWKKDGGFYNSLHNSIDDIANAGVTHLWLPPPSQSVAPEGYLPGKLYDLNSSKYGSEAELKSLIKALNQKGIKSLADIVINHRTAERKDDKCGYCYFEGGTSDDRLDWDPSFVCRNDPKFPGTGNLDTGGDFDGAPDIDHLNPRVQKELSEWMNWLKSEIGFHGWRFDYVRGYASSVTKLYVQNTSPDFAVGENWNDMKYGGDGKLDYDQNEHRSGLKQWIEEAGGGVLTAFDFTTKGILQSAVKGELWRLKDSQGKPPGLIGINPGNAVTFIDNHDTFRTWTFPSDKVLLGYVYILTHPGIPCIFYNHYIEWGLKESISKLVAIRNKNGIGNTSSVTIKVAESDLYVANIDDKVIMKIGPKQDVGTLVPSNFALAYSGLDFAVWEKK >fgenesh2_kg.7__1772__AT4G24990.1 pep chromosome:v.1.0:7:7343012:7344923:1 gene:fgenesh2_kg.7__1772__AT4G24990.1 transcript:fgenesh2_kg.7__1772__AT4G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:D7MGK6] MPEEESIDIKFRLYDGSDIGPFRYSAASTVDFLKQRVVSDWPKGKTVVPKGINEVKLISSGKILENNKTVGQCKTPFGEIAGGVIVMHVVVQPSLAKTKTEKKVDKAPKAVICTCTIL >fgenesh2_kg.7__1773__AT4G24970.1 pep chromosome:v.1.0:7:7354502:7359475:-1 gene:fgenesh2_kg.7__1773__AT4G24970.1 transcript:fgenesh2_kg.7__1773__AT4G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIHVKREIPPPSTSPAGFPGRESVTVVDLCSSDDDSDIGEIAADRRYNSGNNLKRARDTYGGGSSEVDRNNVKKVTPLDELAVVLPEGFGQSNPPEVLTHAIPANPCNVFRPMPPPPPPQPPYAGTSGRIGGCKQFWKAGDYEGAPGANWDLSSGGFDHVRVHPKFLHSNATSHKWALGAFAELLDNALDEVASGATYVKVDMLENKKAGNRMLLIEDNGGGMDPEKMRQCMSLGYSAKSKLANTIGQYGNGFKTSTMRLGADVIVFSRCPGKDGKSSTQSIGLLSYTFLRSTGKEDIVVPMLDYERREPEWSKIIRSSLSDWDKNVETIIQWSPFSSEEDLLHQFDLMKDRGTRIIIYNLWEDDQGMLELDFDADPYDIQLRGVNREEKNIKMASQFPNSRHFLTYKHSLRSYVSILYLRIPPGFRIILRGKDVEHHSVVNDMMQTEQITYRPQSESYGVVTNMSAIVIIGFVKDAKHHVDVQGFNVYHKNRLIKPFWRIWNATGSDGRGVIGVLEANFVEPAHDKQGFERTTVLSRLESRLVQMQKTYWSTNCHKIGYAPRRHQKSAYGYDNRDSSPENDRDGPAPSSIKTPTPASDKFYSSSYPNHKGENGVSGKDSAQLQEELRREKERRKALEVEVQLARQKIEEMKKEQESLIEIFSEERDRRDGEEETLRNKLEEASNTIDDLLNKIKKLEGSKGPNWRR >fgenesh2_kg.7__1776__AT4G24950.1 pep chromosome:v.1.0:7:7364994:7365464:-1 gene:fgenesh2_kg.7__1776__AT4G24950.1 transcript:fgenesh2_kg.7__1776__AT4G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEREENRHEGLQQQLRELEEEWTAMKTGKHSSAVSWITVEEALEYVENSPRNLMLSLQHKPEAEMIHERSPLRRKLFHDFDDDDQTKKTTSFSHSSCWSSNVTSSSDTRKSKKKTTIERIVSVTMVLLLSWVLYVLMNGFDHLFMNTHINTLVPT >fgenesh2_kg.7__1777__AT4G24940.1 pep chromosome:v.1.0:7:7365578:7368243:-1 gene:fgenesh2_kg.7__1777__AT4G24940.1 transcript:fgenesh2_kg.7__1777__AT4G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sumo-activating enzyme 1A [Source:UniProtKB/TrEMBL;Acc:D7MGL4] MDGEELTEQETALYDRQIRVWGANAQRRLTKAHIFVSGIKGTVAEFCKNIVLAGVGSVTLMDGRLVNEEALNANFLIPPDQNAYSGKTVAEICCESLKDFNPMVRVSVEKGDLSTLGTDFFEQFDVVVIGYGSPATKKYVNEKCRKLVKRVAFYTVDCRDSCGEIFVDLQDYKYMKKKLEETVECELNFPSFQEAISVPWKPIPRRTAKLYFAMRVIEVFEESEGRKHGECSLLDLARVLEIKKQLCEANSVSESHIPDSLLGRLITGTTEFPPACAIVGGILAQEVIKAVSGKGDPLKNFFYYDGEDGKGVMEDISNSFTS >fgenesh2_kg.7__1779__AT4G24920.1 pep chromosome:v.1.0:7:7370906:7372188:1 gene:fgenesh2_kg.7__1779__AT4G24920.1 transcript:fgenesh2_kg.7__1779__AT4G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVVDPLRDFAKDSIRLVKRCHKPDRKEFTKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGAT >fgenesh2_kg.7__1781__AT4G24900.1 pep chromosome:v.1.0:7:7374623:7377314:-1 gene:fgenesh2_kg.7__1781__AT4G24900.1 transcript:fgenesh2_kg.7__1781__AT4G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSKKSEIEFCKVCRLHHDQGSRHKYFPRHKSSLSSLLDRFRSKIADVRFFLKNPSVLRPQEQSQNRVWCVFCDEEIVELGSSFACSKAITHFASSDHLKNIKQFLSKNGPAMDCVDDFRISEADVAKWEKKCQSLGNEDASSFKGSCGQLFGTSNDIHTKLAFETMDRIEKVPAHHINSHNSNVVMPLQYNTNEYQISLSEIPGVTHYGSYLNMGASHLPLCHELGNGFGKHSIPCRSKDDSGYGNYCTQENYQVSQDKKQIEGNYNPPGVVGMSSISSSHSTDAGGNVHSGAPPPWLDANDGNVSNIQLNQSDMACVQAKVPGKNRKLNPNRVGAAWAERRKIEIEMEKKSGHATNSNIDADWLPNFGRVWQSGTRKESRKEFEKEKRKLVKTESVSTGSEPVKIQPYISKRARRESGE >fgenesh2_kg.7__1782__AT4G24890.1 pep chromosome:v.1.0:7:7377685:7380712:1 gene:fgenesh2_kg.7__1782__AT4G24890.1 transcript:fgenesh2_kg.7__1782__AT4G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7MGL9] MGRGIVVLLCLLALFSSSLCLDHANGRGDQALAQINIYETSLALDTSVKLHASPQVLGSQGEDTEWVDLAISNPKPSSDDWIGVFSPAKFDSGNCWPTTGGKEKTPYICSSPIKYMYCNSHPDYMKSGNVILKFQIINQRADISFALFSSGVQEPHLLGVSNPVAFVNPKAPLYPRLALGKNWDEMTVTWTSGYNIDEAVPFIEWSAKGLPARRSPAGTLTFNRNSMCGNPARGVGWRDPGFFHTSFLKELWPNREYTYRLGHDLVNGSTIWSKNYTFVSSPYPGQDSKQRVIIFGDMGKGERDGSNEYNDYQPGSLNTTDQVIKDLKDIDIVFHIGDLTYSNGYLSQWDQFTAQVEPIASTVPYMIASGNHERDWPDTGSFYAGTDSGGECGVPAETMFYFPAENRAKFWYRTDYGMFRFCVADSEHDWREGTEQYKFIENCLATVDRKTQPWLIFIAHRVLGYSTNDWYGKEGTFEEPMGRESLQKLWQKYKVDLAFYGHVHNYERTCPIYESQCVNNDKDHYSGTFKGTIHVVVGGAGSHLSPFSSLVPKWSLVRDYDFGFVKLTASDHSSLLFEYKKSSTGQVYDSFNISRDYRDVLACTHDSCEPTTSAS >fgenesh2_kg.7__1783__AT4G24880.1 pep chromosome:v.1.0:7:7380830:7383591:-1 gene:fgenesh2_kg.7__1783__AT4G24880.1 transcript:fgenesh2_kg.7__1783__AT4G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHEIRRPFKRRPISDQQKRRELSLLRQTQHRSDAQQRARNLASSVISLQSSSPDVDPEILSEAELNEGTELESSSFDVRQASRLRGPEARKWFAKQLMLPEWMIDVPDNLSQDWYVLARPAGKRCFVVSSDGTTVSRVRNGSILHHFPSALPGGARKKGASGPANSYSILDCIFHESDQTYYVIDMVCWRGYSLYECTAEFRFFWMQSKLAETGACDPPSFYHKFRFSAVPFYNCDQSGLHSAYTGSLPYVKDGLLFYNKHAHYHTGNTPLVLIWKDESCSQYVIDTDNNGEVPHQQHIVLELQEEGKLVTSDDPPVPFSCLNADFVKQSGLSSGSLIRFAIGNGGLKCVDGRFEKADLQYISVSNRARAFADSYSKIMFQYMARHSPLKVEDLASTISHENQQDKPPEVEMSD >fgenesh2_kg.7__1785__AT4G24840.1 pep chromosome:v.1.0:7:7391403:7396103:1 gene:fgenesh2_kg.7__1785__AT4G24840.1 transcript:fgenesh2_kg.7__1785__AT4G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLVATSPSPSSAPRSATDFFSDPYDSHPLWFKPSLFLSPNFDSESYISELRTFVPFDTLRSELRSHLASLNRELVDLINRDYADFVNLSTKLVDIDAAVVRMRAPLLELREKITGFRGSVEAALFSLRNGLQQRSDAAAAREVLELLLDTFHVVSKVEKLIKVLPSTPSDWQNEDANSMGRSSMNYENSTQQDGTTMRETQSMLLERIASEMNRLKFYMAHAQNLPFIENMEKRIQSASVLLDASLGHCFIDGLNNSDTSVLYNCLRAYAAIDNTNNAEEIFRTTIVAPFIQKIITHETSTDAAGTSGDELENDYKQIKHFIAKDCKMLLEISSTDKSGLHVFDFLANSILKEVFWAIQKVKPGAFSPGRPTEFLKNYKASLDFLAYLEGYCPSRSAVTKFRAEAICIEFMKQWNVGVYFSLRFQEIAGALDSALTSPSLVFIQDSDKRSSLNLILRQSDTLLECLRSCWKEDVLVFSAADKFLRLTLQLLSRYSIWVSSALNTRKSNASSSPGSEWAVSATAEDFVYVIHDVNCLVSEVCGDYLGHISQYLSSCSTEVLDVVRMSIEQGGASLEKVLPLVTKTIIDVIVDKSVEDLRQLKGITATYRMTNKPLPVRHSPYVVGLLRPIKAFLEGDKARHYLTHETREALLLGTVTEITRRYYELAAELVSVARKTESSLQKLRQNAQRRAGAASGVSDQNVSETDKMCMQLFLDIQEYGRNISALGLKPADIPAYCSFWQCVAPADRQNTISV >fgenesh2_kg.7__1789__AT4G24820.1 pep chromosome:v.1.0:7:7399689:7402282:1 gene:fgenesh2_kg.7__1789__AT4G24820.1 transcript:fgenesh2_kg.7__1789__AT4G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAEGSQQPHLILAHKLFLLTHPDVPDIEKVQLKSEVLDFIKSDGMAPLYETLTASSVLDLDQSLLDSMRAANEEELKKLDEKIADAEENLGESEVREAHLAKALYFIRISDKEKALQQLKLTEGKTVAVGQKMDLVFYTLQLAFFYMDFDLVSKSIEKAKKLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAASLFLDSISTFTTYEIFPYETFIFYTVLTSIITLDRVSLKQKVVDAPEILTVLGKIPFLSEFLNSLYECQYKAFFSAFAGMAEQIKFDRYLYPHFRFYMREVRTVVYSQFLESYKSVTVEAMAKAFGVSVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >fgenesh2_kg.7__1790__AT4G24810.2 pep chromosome:v.1.0:7:7402976:7406040:1 gene:fgenesh2_kg.7__1790__AT4G24810.2 transcript:fgenesh2_kg.7__1790__AT4G24810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7MGM5] MHAIDFKEIQEKLSDGFRPWQRSFQFWARATDIYTGYKVFQLRMNFVKDVNKHEDMWERQHELAAHKIYSMCSDLGGFFLKIAQILGKPDLAPAAWVRKLVTLCDQAPATSFDAIRVVLEKELGKSIEDVFETFDEKPLGSASIAQVHRARVKGGKRDVVVKVQHPGVEKLMMVDIRNLQIFALYMQKTDIKFDLFSMTKEIEKQIGYEFDFKREANAMEKIRRFLYDNNRKSPVLVPRVLPNLVTRKVLVMDYMNGIPILSLGDEMAKRGINPHGKVAEAAKFNILNSLSQAYGQMILKSGFFHADPHPGNILISKGSEVALLDYGQVKELPDHLRLGYANLVIAIADNNASLALQSLRELGIATVAKCKNEQQELLQLAKTMFDTEMPPGTKTLQPFSVDSSIKKISVEAFPEELFSVLRTVVMLRGLSVGIGINYSCAQHWRSMAEEALLASGRLSTGRKHKRRCNSLRRLYPGRKES >fgenesh2_kg.7__1797__AT4G24780.1 pep chromosome:v.1.0:7:7429194:7431071:1 gene:fgenesh2_kg.7__1797__AT4G24780.1 transcript:fgenesh2_kg.7__1797__AT4G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7MGM9] MQTKKLFITIVSFLLYAPLFLSSPVPDPESVVEEVHKSINASVAGRRKLGYLSCTTGNPIDDCWRCDPHWEQHRQRLADCAIGFGKNAIGGRDGRIYVVTDSGNDNPVSPKPGTLRHAVIQDEPLWIIFQRDMTIQLKEELIMNSFKTIDGRGASVHISGGPCITIQYVTNIIIHGIHIHDCKQGGNAMVRSSPRHFGWRTISDGDGVSIFGGSHVWVDHCSFSNCEDGLIDAIMGSTAITLSNNHMTHHDKVMLLGHSDTYSRDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFLAPNIRFSKEVTKHEDAPESEWKRWNWRSSGDLLLNGAFFTPSGGAASSSYAKASSLGAKPSSLVGPLTSTSGALNCRKGSRC >fgenesh2_kg.7__1798__AT4G24770.1 pep chromosome:v.1.0:7:7434104:7436094:1 gene:fgenesh2_kg.7__1798__AT4G24770.1 transcript:fgenesh2_kg.7__1798__AT4G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein cp31 [Source:UniProtKB/TrEMBL;Acc:D7MGN0] MASSIVTSSLKPLAMADSSSSTIFSHPSISSTISSSRIRCSNVSLLTGHINLPLSFSRFSRFSLSLKSKTHLKKSPFVSFVAQTSDWAEEGGEGSVAVEENEDSFESQDAEGDVSEGAEFPEPSEEAKLFVGNLAYDVDSQALAMLFEQAGTVEIAEVIYNRETDQSRGFGFVTMSTVEEAETAVEKFNRYDLNGRLLTVNKAAPRGSRPERAPRVYEPAFRVYVGNLPWDVDNGRLEQVFSEHGKVVEARVVYDRETGRSRGFGFVTMSNENELNDAIAALDGQNMEGRAIRVNVAEERPRRGF >fgenesh2_kg.7__1799__AT4G24760.1 pep chromosome:v.1.0:7:7440272:7442678:1 gene:fgenesh2_kg.7__1799__AT4G24760.1 transcript:fgenesh2_kg.7__1799__AT4G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSMAAKLAFFPPNPPSYKLVRDEATELFLMDPFPHRENVDILRLPTRRGTEIVAMYIRYPMAVTTLLYSHGNAADIGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPTEQNTYADIEAAYKCLEENYGAKQENIILYGQSVGSGPTVDLAARLPRLRASILHSPILSGLRVMYPVKRTYWFDIYKNIDKITLVRCPVLVIHGTADDVVDFSHGKQLWELCQEKYEPLWLKGGNHCDLELFPEYIGHLKKFVSAVEKSASKRNSSFSRRSMEGCEQPPRHSVDAPRKSKDGREKPRKSIDRLRFQGYKLSHIEKPEKLKVPFEEMERSRRSVDIYRDKSQPMERARKSVDWLDRSRATE >fgenesh2_kg.7__179__AT4G39660.1 pep chromosome:v.1.0:7:686681:692948:1 gene:fgenesh2_kg.7__179__AT4G39660.1 transcript:fgenesh2_kg.7__179__AT4G39660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQRQLLKRASSGIYHRREISLLRADFSTSPSIADAPPQIPPFDYQPRPYKGPSADEVFQKRKKFLGPSLFHFYQKPLNIVEGKMQYLFDESGRRYLDAFAGIVTVSCGHCHPEILNAIVEQSKLLQHATTIYLHHAIGDFAEALAAKMPGNLKVVYFVNSGSEANELAMMMARLYTGSLEMISLRNAYHGGSSNTIGLTALNTWKYPLPQGEIHHVVNPDPYRGVFGSDGSLYAKDVKDHIEYGTSGKVAGFIAETIQGVGGAVELAPGYLKSVYEIVRNAGGVCIADEVQTGFGRTGSHYWGFQTQDVVPDIVTMAKGIGNGLPLGAVVTTPEIASVLASKILFNTFGGNPVCSAGGLAVLNVIDKEKRQEHCAEVGSHLIQRLKDLQKRHDIIGDVRGRGLMVGIELVSDRKDKTPAKAETAVLFEQLRELGILVGKGGLHGNVFRIKPPMCFTKDDADFLVNALDYSISRL >fgenesh2_kg.7__1800__AT4G24750.1 pep chromosome:v.1.0:7:7443042:7445727:1 gene:fgenesh2_kg.7__1800__AT4G24750.1 transcript:fgenesh2_kg.7__1800__AT4G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSLPVLNPLLASSSNLFRNQSSRMTSSMVSSLKPPFGGTSLSTVHRFRVGDVRMQAVDEDIDLKQMRDMAAAKKRWDGLLREGKVKLLTPREAGYAISLSNKPLLDVRPSSERNKAWVKGSTWVPIFDNDDNLDAGTLSKKVTSFAMGGWWSGAPTLSFNRLFLSKVEEKFPKDSELIVACQKGLRSLAACELLYNAGYENLFWVQGGLESAQDEDLVTEGVQPLKLAGIGGFSEFLGWTDQQRAQAAKEGWRYRLVYTARLFGVVLAADALFVGAQQLGHYIQELRGH >fgenesh2_kg.7__1803__AT4G24730.2 pep chromosome:v.1.0:7:7449856:7451304:1 gene:fgenesh2_kg.7__1803__AT4G24730.2 transcript:fgenesh2_kg.7__1803__AT4G24730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAARQPLFSFGVIADVQYADIPDGRSFLGVPRYYRNSFLVLQRAVETWNQHGNLKFVINMGDIVDGFCPKDQSLAATKKLVREFEKFNGPVYHMIGNHCLYNLPREELLPLLKIPGRDGNAYYDFSPTPEYRIVVLDCYDISALGWPQDHPNTIAALKILEEKNPNSEKNSPAGLEDVERRFVKYNGGVGEKQLQWLDSVLQDATNSNQRVIVCGHVPMSPGVASKAALLWNFDEVMNIIHKYDSVKVCLSGHDHKGGYFVDFHGVHHRSLEAALECPPGTYSFGYIDVYENKLSLVGTDRMPSTDFES >fgenesh2_kg.7__1804__AT4G24710.1 pep chromosome:v.1.0:7:7456688:7461912:1 gene:fgenesh2_kg.7__1804__AT4G24710.1 transcript:fgenesh2_kg.7__1804__AT4G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAEQNPMVEDTIPIPLPNASMEVSHQNPIEATTIPEQIAVAEPVATPNPPPCLHENKFLVSVEVCLKPSSTARLEDVQRAVERMLETRSMSYADGLVLIPADDLFLVDNVQRICICDTEEWVKNNDVLLFWQVKPVVHAFQLFEEGPCEDLCADGQPASFNEWILPAKEFDGLWESLIYESGLKQRLLRYAASALLFTQKGVNPNLVSWNRIILLHGPPGTGKTSLCKALAQKLSIRCNSRYPHCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEDGNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQMDKLKSAPNVIILTTSNITTAIDVAFVDRADIKAYVGPPTLHVRYEILRSCVEELISKGIISSFQGCDGLSIPSFSSLKEKANESEVHDINTVPWFCKQLIEAAKGCEGLSGRSLRKLPFLAHAALADPYSHDPSNFLCTMIETAKREKSEQPE >fgenesh2_kg.7__1805__AT4G24700.1 pep chromosome:v.1.0:7:7462999:7463497:1 gene:fgenesh2_kg.7__1805__AT4G24700.1 transcript:fgenesh2_kg.7__1805__AT4G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKQEAKDEREEEEEKKKRLELMKAAAQAWLSHSQTSKRTVSEFEAQRKHAFVKGKASRFKTEALSTKHHPSFLDWEFGQSLWDPYEILSVSKKLERELTLEEQTFSSSANGLKKKKKNRDSRNNLRSLFNRSSSKRF >fgenesh2_kg.7__1806__AT4G24690.1 pep chromosome:v.1.0:7:7463754:7467333:-1 gene:fgenesh2_kg.7__1806__AT4G24690.1 transcript:fgenesh2_kg.7__1806__AT4G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated /TS-N domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MGN8] MESTATALVVKVSYGGVLRRFRVPVKANGQLDLEMAGLKEKIAALFNLSDADLSLTYSDEDGDVVALVDDNDLFDVTYQRLKFLKINVQLNSGIPTNPITPESSGSSTPAGMPNSQNPVSKIQKGINDVLMSVPNPMLDTISKVYMDLASKAATSSPVVGEMLDCISKLGQLSVPQESSPCSPVTKPGSSGPSLSRDVPYAAEKKDSSERTQTGRKPANLNEPTGFADPKTSGHVPTSSGLGANFNECPFSGSTVNYSCPNPVNLNKHARRVCHSKKSTNGDYWTSLGVFHKGIRCDGCGVLPITGPRFKSKVKEDYDLCTICYSVMGNEGDYSRMDKPVSVQHLHPFRGQFTQFPNPWSIHPVPRTTNGSSPSRCTRPKLDSRFVLDVNVVDGTVVAPSAPFTKIWKMRNSGSLVWPQGTQIVWIGGDRFCNSLSVDLQIPKEGVPIYSELDVKVDFVAPELPGRYISYWRMASSDGAKFGQRVWVLIHVDASLKNSVVNEFHGLNLNASPSLDENFSSEFPGIINYESAQPGSTNVNPGTVKSADLEGEEIGESQVLEKENTLIGEVRLAIPRSRSPSSSSSSFNMVEFPSMPAVEVVSGGSSSTKDVPVPLQEDLEKNDVEITMLKELEEMGFKEIDLNKEILRDNEYNLEQSVDALCGVSEWDPILEELQEMGFCDDVTNKRLLKKNNGSIKGVVMDLLTGEKEA >fgenesh2_kg.7__1807__AT4G24670.2 pep chromosome:v.1.0:7:7480716:7484114:1 gene:fgenesh2_kg.7__1807__AT4G24670.2 transcript:fgenesh2_kg.7__1807__AT4G24670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alliinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MGP0] MGQIPRVLSWRNMLVLSLAINFSLILKILKGDRERGDSWDRKAYVSVWPVVSTTASGSSSLSSASCNYSKIEEDDDRIINLKFGDPTVYERYWQENGEVTTMVIPGWQSLSYFSDENNLCWFLEPELGKEIVRVHKVVGNAATQDRFIVVGTGSTQLYQAALYALSPHDDSGPINVVSTAPYYSSYPLITDCLKSGLYRWGGDAKTYKEDGPYIELVTSPNNPDGFLRESVVNSSKGILIHDLAYYWPQYTPITSAADHDVMLFTASKSTGHAGMRIGWALVKDRETARKMIKYIELNTIGVSKDSQLRVAKVLKVVSDSCGNETAKSFFDHSYDAMYERWKLLKQAAKDTKRFSVPDFASQRCNFFGRVFEPQPAFAWFKCGEEIVDCEKFLREEKKILTKSGKHFGDELSYVRISMLDRDTNFNIFLHRISSSSNSTL >fgenesh2_kg.7__1809__AT4G24660.1 pep chromosome:v.1.0:7:7486429:7487335:1 gene:fgenesh2_kg.7__1809__AT4G24660.1 transcript:fgenesh2_kg.7__1809__AT4G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHB22/MEE68 [Source:UniProtKB/TrEMBL;Acc:D7MGP1] MSGVNPPGGYDSLSGEGATSSGGGGGGGRNKGVGGKLRYRECLKNHAVNIGGHAVDGCCEFMPSGEDGTLDALKCAACGCHRNFHRKETESIGGRAHRVPTYYNRPPQPHQPPGYLHLTSPTATGQPIRLPVASADEENTSNPSSSGGTTAKRFRTKFTAEQKEKMLAFAERLGWRIQKHDDVAVEQFCAETGVRRQVLKIWMHNNKNSLGKKP >fgenesh2_kg.7__1811__AT4G24640.1 pep chromosome:v.1.0:7:7496505:7497733:-1 gene:fgenesh2_kg.7__1811__AT4G24640.1 transcript:fgenesh2_kg.7__1811__AT4G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSVLSTFAATAITLQLLLSPASASPHMKYIDAICDRAHDQAFCVKTLTTNPPTAAPIGLLPLSEAVINLATSHAEKTAIFVDETAKKDPTVKTAFTECHKAYLAVAADLKSANVKLKASPDTANYDVRASSDNMRRVNELVGKNTDKASTTLKEMTVQMEKLLDLAAGAADAVDDDDENIRLRV >fgenesh2_kg.7__1814__AT4G24620.1 pep chromosome:v.1.0:7:7501877:7506007:1 gene:fgenesh2_kg.7__1814__AT4G24620.1 transcript:fgenesh2_kg.7__1814__AT4G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:D7MGP5] MASLSGLYSSSPSLKPSKNLSFKALPALSRDSFSFPHTSKPTNLPLTLSSARSVARDISHADSKKELLKDPDALWKRYLDWFYQQKELGLYLDISRVGFTDEFVAEMEPRFQTAFKAMEDLEKGSIANPDEGRMVGHYWLRNSKLAPKPTLKTLIENTLDSICAFSDDIISGKIKPPSSPEGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFREAGLNFAKQGVAITQENSLLDNTARIEGWLARFPMYDWVGGRTSIMSAVGLLPAALQGINVREMLTGAALMDEATRTTSIKNNPAALLAMCWYWASNGVGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNTVNQGLTVYGNKGSTDQHAYIQQLRDGVHNFFATFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANGRESISVTIEEVTPRSVGALIALYERAVGLYASIVNINAYHQPGVEAGKKAAAEVLALQKRVLSVLNEATCKDPVEPLTLEEIADRCHAPEEIEMIYKIIAHMSANDRVLIAEGNCGSPRSIKVYLGECNVDDLYA >fgenesh2_kg.7__1815__AT4G24610.1 pep chromosome:v.1.0:7:7506931:7514286:1 gene:fgenesh2_kg.7__1815__AT4G24610.1 transcript:fgenesh2_kg.7__1815__AT4G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNGELRLPAPKVRDGRVSPPAPIPVTRTLVADTDATSDDDDMSTNSEDVSLDSSPENSRVSSAVGRSYGRNSSYYTYSEVSSSRETLVGAREQTGPRFDGDTEEDESTDSASSSQFSPPPAAGRINGGVPQEFDETFPSEEVSDIPSAPPFSGAAEESEEIKPATSSVQVSEVKTEDCVESRKTGHFTRPSAASESSGAPDQHPARLPTFHASSRGPWHAVVSYDACVRLCLHAWSTGCMEAPMFLENECALLREAFGLQQLLLQSEEELLAKRSSQAPHEGVAPKSKKNIGKMKVQVRRVKTVMDGPTGCSISSLKPSLIKFEKIRIHFSNMSTRLFSGWRALRKIHVRVPANGSSLPRQSLAYVHASTQYLKQVSGLLKTGVTSLRNNSTSYDVVQETYSCKLKLKSLAEDDAIMMQPGSGESHVFFPDSHGDDLIVEILDPMGKDFGRVLVQLANISEDSYVSNDSNEETIQAEKLRWWSVFREPEHQHVGKLQLYIDYSASFDDNSHLKCASVAETVAYDLVLEVALKMQRFQQRNLLLYGSWKWLLEEFATYYGISDVYTKLRYLSYVMDVATPTSDCLHLVHDLLTPVIMKGNGKSALSHQENRILNEIKDQIEQILKLVFENYKSLDESSFSGMIDVVSSASGVPAPALTPAVKLYTLLHDVLSPEDQTNLCHYFQAAAKKRSRRHMGETDEFVANNSEPNFWDTSAMSAAYQKMTMACKNVKNEIYTDIEIQNEDILPSFLDLPNLSASIYSTDLCNRLRAFLVACPPSGPSPTVAELVIATADFQRDLSSWNISPIQGGVDAKELFHLYIMIWIQDKRLSLLESCKLDKVKWSGVRTQHSTTPFVDEMYKRLNETIQDYQVIISRWPEYIFVLESAIADVEKATVEALEKQYADVLSPLKENLAPKKLSFKYVQKLTKRSVIPYIVPDELGILLNSMKRMLDVLRPNIEAKFKAWSSCIPDGGNAAPGDRLSEVTVMLRAKFRSYLQAVVEKLVENSKLQKTTMLKKILQDSKESVGESDIRSKMNNLKEQLTNTVNHLHSVCETHVFIALSRGYWDRMGQVTIVLSFLENRKENRAWYKGSRVAVSILDDTFAAQMQQLLGNSLREQDLEPPRSIMEVRSILCKDTADNKAKSFYY >fgenesh2_kg.7__1816__AT4G24590.1 pep chromosome:v.1.0:7:7519619:7521300:-1 gene:fgenesh2_kg.7__1816__AT4G24590.1 transcript:fgenesh2_kg.7__1816__AT4G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEVSCSTHDADNKGNRIDLDCIRVKRKTLQNLLEDCQRALQLLELPGDENGGEQSESPEEEEEEESDREESSSSDPGDPEADKFYDLIKSRVECHDFREKIELAQVSVPQDLAEDSSSWDVVSEDDLWDEECMGQMEDDYVVVREEDIADGIACFMATYLSSLKQTKDISPDQLQKALSTMFSVKKRKGKLRKAWEGSKVIYNVASWSATAIGIYQNPMILSIASKAFWMSCQAISKLV >fgenesh2_kg.7__1819__AT4G24560.1 pep chromosome:v.1.0:7:7537832:7543442:1 gene:fgenesh2_kg.7__1819__AT4G24560.1 transcript:fgenesh2_kg.7__1819__AT4G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 16 [Source:UniProtKB/TrEMBL;Acc:D7MGQ1] MLLVLDLGISTLVLVISLVLPLIGLFVRHKWRVAAQRREEIRRLLIHASQEAARAELEASVEFSSVAISNIFHCPVCYCIATTRCSRCKSVRYCSGKCQIIHWRQGHKDECRPASIVYDSEDEKSDSDLRFREENERNTLEETLLLDPQPVIIPIGETILSNPARSPEDGNGDIADNKDDLIDKEEAVSVAETSGSSFSGFSSSPRNDSGDEISLCESFSSSESERSESLLDAHVSVEPEDTCFSTIDDAPSKLLSPKFVHLVESVDNLANLPKLSVHKPGGDTGQNQSQSRSLHSLVTDRHPVSTDPSPLKSSDFWGTALGSAERVTESCDKSKSGRPGNSSLHFSFGSSRDTSAAKVSEHKRSILKEAPSAALGTGNNLKERNAKIFDEAEIALPTSSSTDAPSPLDTSNLPHVTLQKLKSASSENGCMLAPLKVGEVQLLASKASNTKECADLMKHSPLGAKSVRVLDHQKQNGAAVHRISSLNGRSGLKASVLKVVDQWTRPKSENEMAGRHGHKGLFPYEVFAKLYTNKIEFQPCGLINVGNSCFANVVFQCLMFTPPLTTYFLQQLHSRACTKKEQCFTCGFEKLVLKAKEGKSPLSPNGLLSQLQNIGIFLGNGKEEDAHEFLRFVVDTMQSVCIKASEYDMPKSSKLEDTTLIGLTFGGYLRSKIKCMKCQVKSELREKMMDLTVEIDGDISTLDDALRRFTRTEILDGDNKYRCGSCKSYERAKKKLKITDPPNVLTIALKRFQAGKFGKLNKLIRFPETLDLAPYVSGGSEKSHDYKLYGVIVHLDIMNAAFSGHYVCYIRNQNKWYKADDSTVVTSDVERIMTKGAYMLFYARCTPMPPKLAVCTKTEATNKRSRVPVPKAKEKSTISMSRSVSTSSPVLSSNTPGGRSGNIQSFYSSFQRLQKILEDDSASDSSSLFDSNSDECSCSTDSTSMDDFADFIFGDHQGRAHGQSEAPSPTSSSSSSSPPFTRHSPLSRSSLETYGTSRHQLPLGGER >fgenesh2_kg.7__181__AT4G39675.1 pep chromosome:v.1.0:7:700784:701190:1 gene:fgenesh2_kg.7__181__AT4G39675.1 transcript:fgenesh2_kg.7__181__AT4G39675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGASYAHLQVMQKKQKEKMKKREKERNFGHDETHSRDVETPFASGRISNKIYPSRLSYEQVEIKSQK >fgenesh2_kg.7__1822__AT4G24540.1 pep chromosome:v.1.0:7:7549545:7552743:1 gene:fgenesh2_kg.7__1822__AT4G24540.1 transcript:fgenesh2_kg.7__1822__AT4G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIRIKKIDNITARQVTFSKRRRGIFKKADELSVLCDADVALIIFSATGKLFEFSSSRMRDILGRYSLHASNINRLMDPPSTHLQLENCNLSRLSKEVEDKTKQLRKLRGEDLDGLNLEELQRLEKLLESGLSRVSEKKGECVMSQISSLEKRGSELVDENKRLRDKLETLERAKLITFMEALETESVTTNVSSYDSGAPLEDDSDTSLKLGLPSWE >fgenesh2_kg.7__1824__AT4G24520.1 pep chromosome:v.1.0:7:7562300:7566639:1 gene:fgenesh2_kg.7__1824__AT4G24520.1 transcript:fgenesh2_kg.7__1824__AT4G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase [Source:UniProtKB/TrEMBL;Acc:D7M8T4] MTSALYASDLFKQLKSIIGTDSISDDVVLVIATTSLALVAGFVVLLWRKTTADRSQELKPLMIPKSLMAKDEDDDLDLGSGKTRVSIFFGTQTGTAEGFAKALSEEIKARYEKAAVKVIDLDDYAADDDQYEEKLKKETLAFFCVATYGDGEPTDNAARFYKWFTEENEREIRLQQLAYGVFALGNRQYEHFNKIGIVLDEELCKKGAKRLIQVGLGDDDQSIEDDFNAWKDSLWSELDKLLKDEDDKSVATPYTAVIPEYRLVIHDPSFTTEKSRDLNVANGNTAIDIHHPCRVDVAVQKELHTHESDRSCIHLEFDISRTGITYETGDHVGVYAENHVEIVEEAGKLLGHSLDLVFSIHADKEDGSPLGSAVPPPFPGPCTLGTGLARYADLLNPPRKSALVALAAYATEPSEATKLKHLTSPDGKDEYSQWIVASQRSLLEVMAAFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRLAPSRVHVTSALVYGPTPTGRIHKGVCSTWMKNAVPAEKSHECSGAPIFIRASNFKLPSNPSTPIVMVGPGTGLAPFRGFLQERMALKEDGEELGSSLLFFGCRNRRMDFIYEDELNNFVDQGVISELIVAFSREGAQKEYVQHKMMEKAAQVWNLIKEEGYLYVCGDAKGMARDVHRTLHTIVQEQEGVSSSEAEAIVKKLQTEGRYLRDVW >fgenesh2_kg.7__1825__AT4G24510.1 pep chromosome:v.1.0:7:7567291:7569061:-1 gene:fgenesh2_kg.7__1825__AT4G24510.1 transcript:fgenesh2_kg.7__1825__AT4G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPVTSVRLSSVVPASVVGENKPRLFTPMDLAMKLHYVRAVYFFKGIRDFTVADLKNTMFTILQSYHHVSGRIRMSDNDPSAPAIPYIRCNDSGMRIVEANVEEFTVEKWLDLDDRSIDHRFLVYDHVLGPDLTFSPLVFVQITQFKCGGLSIGLSWAHVLGDLFSAATFMKTLGQLMSGHGPTKLVYPETPELTSHAHNGEAISIEKIESVGQYWLLTNKCKMGRYIFNFSLNQIDRLMAKYTTRDQPFSEVDILYALIWKALLNIRGKTNTNVITICDRNKSSTCWNDDLVISVVEKNDEMIGISELAALIAGGKREENGVIKRMIEEDKGSSDFITYGANLTFVNLDMIDMYEIEIKGGKPDFVNYTIHGVGDKGVVLVYPKQNFARIVSVVMPEEDLAKLKEEVTNMIM >fgenesh2_kg.7__1829__AT4G24490.2 pep chromosome:v.1.0:7:7571535:7574961:1 gene:fgenesh2_kg.7__1829__AT4G24490.2 transcript:fgenesh2_kg.7__1829__AT4G24490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7M8T7] MHGRPRKASKPEEEAASAAKAVKLRSLQSQFMTNHHDKIYTKEAIELSTKLLEINPEAYTAWNYRKLAVEDTLSRIESDPNLVNSIFDEELRVVENALRQNFKSYGAWHHRKWVLSKGHSSIGNELKLLDKFQRLDSRNFHAWNYRRFVVELTKRSEQDELQYTDDMINNNFSNYSAWHNRSVLLSSLLAQNADGFMPNEKIPDEYDFVHSAIFTDPDDQSGWFYHLWLLDQTLNVETPLLTSAWPSHGSTIILSGAGCLNSSSSKFTTFCSESGSFPLILYSDQAVGGVSSSTVTIDSELKGNEDLVWEPISNKNSQVSCVWVTHLKYVSSDPCEYKVKIRVGNSPGIISSRGYNFSAPYEFVFTAHVHDTVEDSQEGIVSWTDGFDIWDAKSKDLKSLVTLDRLEAEIDFEWRQEAIDSEVECFGILADSKIGKLTLARLLMAREVMVSDDAVKGVRYEEILQLYNELMALDSSHYQYYKDEHSVAFLHKVTSSSESLSRHLFRYRGMNNLVCLRLNNLSLSRIASVKKLLFVQMLDLSHNELHSTEGLEAMQLLCCLNLSHNRIRSFSALDSLRHVKQLKVLDVSHNHIGKHSVDTTRYLCSSPLSNSEWSQDGVGRQNPGLVTKYWDAYFVLRDLNLKQLDIAGNEISGDEFSSFVLQVVPKLVWLDGQKLGN >fgenesh2_kg.7__1830__AT4G24480.1 pep chromosome:v.1.0:7:7575268:7580256:-1 gene:fgenesh2_kg.7__1830__AT4G24480.1 transcript:fgenesh2_kg.7__1830__AT4G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTTYFFPRQFPDRGFDSLSLKNDHEKISSSNVSENFGFQRENKSNGVSEDSNKDKETTVFSSNSLLSKSSAVSDLFSGGVDDRKSEKKHQQQLAAFYDWLAEKKANLSRSSSTTAHGRAVKPTRFSMSSDADEEREHLLLSPADPAPLPATSSPDSVIAASSSSARTVNINERNIDRGFDREVSLPRMSSESSFAGSFFSGTTVDGNFSNFSSHTDARETSTTTRVSVTKDEEEVEVREEGKEQSLAQKSKEGYYLQVTLVKRLSSQANLACESVHIQSTETVSYRFWVSGCLSYNDKISDGFYSILGMDPYLWLMCNNSEEGKRIPSLLLLKETEPNDTSLEVVLIDRREDSRLKELEDKAHELYCSSDNMLVLVEKLGRLVAVYMGGNFQVEQGDLQKRWKLVSNRLKEFRKCIILPIGSLTMGLCRHRAILFKKLADYIGLPCRIARGCRYCRESHQSSCLVKIDDDRKLSREYVVDLIGEPGNVHDPDSSINGETQCQIPSPLQMSHLTDFSRPCVHSTSPCHTVESKASRALSENIQRSGSQGHVHKEFELPDNAATICCAHVDQTCCAKASSMVLPESVLRALPLNIPNLSEDKIQPQETSKEETVLLEDPIEKIAIKQPNLSVEPEIVEADTRKDKKGRLPVDAVSPYLTIEPSLASDWLEVSWNELHIKERVGAGSFGTVHRAEWHGSDVAVKILSIQDFHDDQFREFLREVAIMKRVRHPNVVLFMGAVTERPRLSIITEYLPRGSLFRLIHRPASGELLDQRRRLRMALDVVCGLSHYAKGLNYLHCLNPPVVHWDLKSPNLLVDKNWTVKVCDFGLSRFKANTFIPSKSVAGTPEWMAPEFLRGEPTNEKSDVYSFGVVLWELITLQQPWDGLSPAQVVGAVAFQNRRLIIPPNTSPVLVSLMEACWADEPAQRPAFSGIVNTLKKLLKSPVQLIQMGGDKGVIPTK >fgenesh2_kg.7__1832__AT4G24470.2 pep chromosome:v.1.0:7:7582545:7585029:-1 gene:fgenesh2_kg.7__1832__AT4G24470.2 transcript:fgenesh2_kg.7__1832__AT4G24470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger protein expressed in inflorescence meristem [Source:UniProtKB/TrEMBL;Acc:D7M8T9] MFGRHSQSVIIPNNQIGTASASAGEDHVSASATSGHIPYDDMDEIPHPDSIYGTASDLIPDGSQLVAHRSDGSELLVSRPPEGANQLTISFRGQVYVFDAVGPDKVDAVLSLLGGSTELAAGPQVMELAQQNHMPVVEYQNRCNLPQRAQSLDRFRKKRNSRCFEKKVRYGVRQEVALRMARNKGQFTSSKMTDGAYNSGTDQDSVQDDGHPEISCTHCGISSKCTPMMRRGPSGPRTLCNACGLFWANRGTLRDLSKKTEENQLALIKPSDGVSVADAANNLNSEAATVEEHTSMVSLANGDNSHLLGDH >fgenesh2_kg.7__1833__AT4G24460.1 pep chromosome:v.1.0:7:7585268:7587722:-1 gene:fgenesh2_kg.7__1833__AT4G24460.1 transcript:fgenesh2_kg.7__1833__AT4G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLMATTQPIRYLHASIPTVSRSPAIYQVSCRSSRLLSYRSTTMSTCFLRRSDLRSRFLSTTFPMRHGFSVNASTEQSTIPSNRNHIVVNSVVIVALAVANRVLYKLALVPMKQYPFFMAQLTTFGYVFIYFTILYTRRRLGIVTNEMMAVPKWRFAIIGFLEAIGVATGMAAAAMLPGPVIPILNQTFLVWQLLFALLILGRRFLLNQIAGCFLVAVGVVVAVASGSGADTTLSGIGFLWPAVLVASAAFQAGASIIKEFVFNDAAKRLEGKPLDLFVVNSFGSGFQALFVFLLLPFLSNLKGIPFASLPSYLKDGAGCFFNTGAKISGCDGAPILPLLYIATNLAFNISLLHLVKISSAIVSSLAVMLSVPLSVYVMSKPLPYLPGGSSLSSNFTMGCIVLVLGLLLYNIPTTPTKQHTKTS >fgenesh2_kg.7__1834__AT4G24450.1 pep chromosome:v.1.0:7:7588015:7595494:-1 gene:fgenesh2_kg.7__1834__AT4G24450.1 transcript:fgenesh2_kg.7__1834__AT4G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATGWD2/GWD3 [Source:UniProtKB/TrEMBL;Acc:D7M8U1] MATSKAQQFQLIEGMELQITVTGLPNGSSVRAEFHLKNCTRTWILHWGCIYQGNNNWFVPSEHSSKQGALQTPFVKSGNAYVVILELRDPRVRAIEFVLKDGSHNRWLKQHNGNFRVEIPWNDLHVHHQIPKNLIERRAHKIWDRKGRPQSSAREQQIDYDNAVRELQTELARGISLDDLQANSTVPVEKEVTSEPHQTMNNQSYRRKHDVQKWLQKYAEPINRNGSVKSSTLVELSKRSVGQENVISQKSFHVRNYEITVLQRNVKGGCRLWVATNMAGPTVLHWGVAKSSAGEWLIPPPDVLPEKSKFVHGACQTHFTDMSSREHNYQFIDINLKRGGFVGIQFVIWSGGYWLNNNGANFIVNLKSADSTSDKLDVDGKYILKWLLDEISEREIEAERSLMHRFNIATELTDRCKDEGEGGCIGIMVWMRFMATRHLTWNKNYNVKPREISEALERFTNLMEKIYLQQPNKREIVRLTMALVGRGGQGDVGQRIRDEILVIQRNNHCKSGMMEEWHQKLHNNSSADDVIICEALLNYVRSDFRIDAYWQTLKTNGLTKERLASYDRPIVSEPRFRSDAKEGLIRDLTMYLKTLKAVHSGADLESAIDTFLSPSKGHHVFAVNGLSPKLQDLLNLVKRLVREENTESLIEKLVDARIQLHPALRAPRTRAKDLLFLDIALESCFKTTIEKRLISLNFNNPPEIIFVICVVLENLCLSTVNNEEIIFLNFILFCVQDWYRISEAYKPHDVQWALQTKAVLDRLQLVLADRCQHYFTLIQPSARYLGQLLRIDKHGIDVFTEEVIRAGPGAVLSSLINRFDPSLRKIANLGCWQVISSADAYGFLVCVNELIVVQNKVYSKPTVIIASKVTGEEEVPAGVVAVLTPSMIDVLSHVSIRARNSKAFLLMQICFATCFDQNVLSNLKSKEGRAISIHTKSTGLVISDGNNSDVYVRHVYISSVPRGVISKGKKFCGHYVISAKEFTDERVGSKSYNIKFLRERVPSWIKIPTSAALPFGTFENVLSDDSNKEVARRISTLKDSLNRGDLTKLKAIQEAILQMCAPMALRNELMTKLRSERMPYHGDESGWNQSWVAIKKVWASKWNERAYVSCKKTKLDHDEVCMAVLIQEVICGDYAFVIHTNNPVSGDSSEIYTEIVKGLGETLVGAYPGRAMSFITKKTNLKSPMVISYPSKRIGLYSKPSIIFRSDSNNEDLEGNAGAGLYDSVIMDEAEEVVVDYSREPLIMDKSYQVRLFSAIAEAGNVIETLYGCPQDIEGVVKGGHIYIVQARPQV >fgenesh2_kg.7__1841__AT4G24390.1 pep chromosome:v.1.0:7:7630681:7633691:1 gene:fgenesh2_kg.7__1841__AT4G24390.1 transcript:fgenesh2_kg.7__1841__AT4G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDVERYLKLNPPCSSAVASRTFKSSTPPCPDHVLENVLENVLQFLTSRCDRNAVSLVCRSWYRVEAQTRFEVFIGNCYSLSPARLTHRFKRVRSLVLKGKPRFADFNLMPPNWGAQFAPWVAATAKAYPWLEKLHLKRMFVTDDDLALLAESFPGFKELTLVCCEGFGTSGIALVANKCRQLKALDLMESEVTDDEVDWIFCFPEGETHLESLSFDCVESPINFKALEGLVVRSPFLKKLRTNRFVSLEELHQLMVRAPQLTSLGTGSFSPDNVPQGEQLPDYASAFRACKSIVCLSGFREFRPEYLLAISPVCANLTSLNFSYANISPHMLKPIIRNCHNIRVFWALDSIRDEGLQAVAATCKELRELRVFPFDPREDSEGPVSGVGLQAISEGCRKLESILYFCQRMTNKAVTAMSENCPQLTVFRLCIMGRHRPDHVTGKPMDEGFGAIVKNCQKLTRLAVSGLLTDEAFSYIGEYGKLIRTLSVAFAGNSDKALRYVLEGCPKLQKLEIRDSPFGDVGLRSGMHRYCNMRFVWLSSCVLSRGGCRDVAHALPNVVVEVFGSDGDDDDDTVTGDYVETLYLYRSLDGPRKAPKFVTIL >fgenesh2_kg.7__1844__AT4G24370.1 pep chromosome:v.1.0:7:7634698:7635346:1 gene:fgenesh2_kg.7__1844__AT4G24370.1 transcript:fgenesh2_kg.7__1844__AT4G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGGKEEDEVEQLLQAAQDEMILKLSVDSHTSRSSSDYLDPDLHSRFLALKSQKKKDQQQQQHKRRPRSPKKSKDVVEETPDDLMLRFAALRTSLPSASSSSSVLLQDGIGEDGDETGEDAEVEKLIQWAIDAARLDPSPPSDDDQSQSSDSDDENNSTKDVKP >fgenesh2_kg.7__1845__AT4G24350.1 pep chromosome:v.1.0:7:7635323:7637292:-1 gene:fgenesh2_kg.7__1845__AT4G24350.1 transcript:fgenesh2_kg.7__1845__AT4G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDHNLYLLPLLIIIIISSFHVLPVSLTPSHKLKSAITIRKLNLRGPYIGLVTVIETEENAFLRSVEFRPDPTHPFLDLSGRRFRIGKVHGKKVVYVRCGRGMVNGAAATQQMIDVFNVKGIVHFGIAGNMNNSMSIGDVSIPKQITNAGLWDWLNPDKAKGGEDLVYLDVGNYNVPKGDGNNELGSIGYSYEQLYSVTGRINIPENVFWINTTQEWLHLAADLEKIELSQCVNASLCLPKKPKLVVGLKAATADIFVDNAAYRNFLYDTFGVSSSDMESSAVAMTCASNGYPVIVIRGLSDLAGVGGDNTVHKFGSLAATNTAKAVLEFIKKLPPS >fgenesh2_kg.7__184__AT4G39690.1 pep chromosome:v.1.0:7:704636:708976:1 gene:fgenesh2_kg.7__184__AT4G39690.1 transcript:fgenesh2_kg.7__184__AT4G39690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKSVLELSSRLSIKRFPRNLGAQRFHLSSSRNASTSGKNGLPGAKPVGKPYASKVDPPKVTPPPPPVGKPSESKGNSSKVVIGGVAIAGAFLVAYQTGYLDQYLGKEQQKLSERIHSDAVTNKVEEAHHLNVPSGVEDSTEKDGQVETQPEVTHSEASGGVQSDIEVQPESDLSSDRFTYISSNQGETTPQESVIDRAERNLPISESEDSGFKSDMPSEIISEAENVKLEAVPKPGDSPIVSAQSISVHRESETESATPKDPSAEKAPEDGIEREVQIPGSLLKEYNLEGSDTESTGSPSIGEQLTKETEGLPNSTEGLKDGYMTEDGKLVLDFLAAIHAAEKQQAHLDAQVFAEELRALKEKYENELRDLRARELMRIEEAAILDKELKRERTKAAAAIKAIQERMEDKLKTEIEQKETEAQLALSKVEELAKAEMISEIAKEKAAQIEKMAEADSNIKALSMAFYARSEEARQSHSVHKLALGALALDDTLSKGLPIQKEINMLQTYLEGTQKDSILGLVLSSLPEEARSNGTDTVLQLNQKFDTLKGTLRHFSLIPPGGGGILAHSLAQVASWLKFKEVDHSNGGIESVIKKVDNYLAEGKLAEAAAALEEGVKGSKAEEIVSDWVRRARNRAITEQALTLLQSYATCVSLT >fgenesh2_kg.7__1851__AT4G24320.1 pep chromosome:v.1.0:7:7647113:7648266:1 gene:fgenesh2_kg.7__1851__AT4G24320.1 transcript:fgenesh2_kg.7__1851__AT4G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTKLGRALQQRRTFVNARVKWVSDYYLDEAVQREKNLKQVLSLKDRMVSSPSKSLPLSSLSLLKPLVNLHITAAAFFQKYPSVFTTFQPSRSHPLHVRLTPQALALHKEEETIHISPPHRNVTVKRLTKFLMLTGAGSLPLNVVDRFRFDLGLPHDYITSLIGDYPDYFEVTEIKDRLTGEKTLALTISSRRNNLPVSEMERREATFDGCRVKKGLRIRYSMNFPKGYELDKRVKNWVEQWQNLPYISPYENAFHLGSYSDQAEKWAVAVLHELLCLLVSKKTETDNNALVHHPGIFYMSHKIRTQTVVLREAYHKVFLLEKHPLMGMRHQYIYLMSKSGRGKKRDSVHGIESRKQPKKESNQSL >fgenesh2_kg.7__1852__AT4G24310.1 pep chromosome:v.1.0:7:7649152:7649885:-1 gene:fgenesh2_kg.7__1852__AT4G24310.1 transcript:fgenesh2_kg.7__1852__AT4G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSLTQRNPTSSQEQSESVPQLRRQTSQHAAMSQTLTSAANLANLLPTGTLLAFTLLIPVFTSNGSCDYPTRVLTAGLLTLLSISCFLSSFTDSVKAEDGNVYYGFATRKGMWIFDYPDPDGLGLPDLSKYRIRIIDWIHAVLSVLVFGAVALRDKNAVSCFYPAPEQETKKVLDIVPMGVGVICGLLFLVFPARRHGIGYPVTGDGGRR >fgenesh2_kg.7__1853__AT5G28550.1 pep chromosome:v.1.0:7:7651748:7654020:1 gene:fgenesh2_kg.7__1853__AT5G28550.1 transcript:fgenesh2_kg.7__1853__AT5G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFCLILVIGYIDSSTSWQAIPQAKVILRIYSAGLWTPDKERLGTDFHKTLLNVAMAAFIISMRTQRKVEITNRLVEDVIASPWISPPELKYLSASFHDIGVDFYKIKHLKMASMAFKICIRTVWTCVRLLCQIYVNKSDLSEDCLPEEAIVDFVSEACSKSAFYLDVLQQHGAREIDKLLVFILENWSAAEDLIKKLSDPTPIIKQWVKAWYISFSWDVNKGVGMIYLYHLDVPDISGIL >fgenesh2_kg.7__1854__AT4G24290.2 pep chromosome:v.1.0:7:7663990:7667649:-1 gene:fgenesh2_kg.7__1854__AT4G24290.2 transcript:fgenesh2_kg.7__1854__AT4G24290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPASKAAEVAIGSIGCGYDLAIDVRLKYCKGGSKDSRLLDIKEGDENCEIVLPGGISIPNVSKSIKCDKGERMRFRSDILPFQQMAEQFNQELSLAGKIPSGLFNAMFEFSSCWQKDAAYTKNLAFDGVFISLYTVALDKSQVLLREHVKQAVPSTWDPAALARFIDIYGTHIIVSVKMGGKDVIYAKQQHSSKLQPEDLQKRLKEVADKRFVEASAVHNMASERVQASSKVETKEQRLRFAETSSLGSYANKEDIVFMCKRRGGNDNRNLMHNEWLQTVQMEPDVISMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQSCASLQFSFFGPKLYVNTTPVDVGKRPITGMRLYLEGRRSNRLAIHLQHLSSLPKIYLLEDDLNKSVRQEAHDRRYYEKVNWKNYSHVCTEPVESDDDLSVVTGAQLHVESHGFKNVLFLHLCFSRVVGATLVKNSEWDEAVGFAPKSGLISTLISHHFTAAQKPPPRPADVNINSAIYPGGPPVPTQAPKLLKFVDTSEMTRGPQESPGYWVVSGARLLVEKGKISLKVKYSLFTPILGDEVSEEPYEG >fgenesh2_kg.7__1856__AT4G24280.1 pep chromosome:v.1.0:7:7668472:7671949:-1 gene:fgenesh2_kg.7__1856__AT4G24280.1 transcript:fgenesh2_kg.7__1856__AT4G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cphsc70-1 [Source:UniProtKB/TrEMBL;Acc:D7M8V6] MASSAAQIHVLGGIGFASSSSSKRNLNGKGGTFMPRSAFFGTRTGPFSTPTSAFLRMGTRNGGGASRYAVGPVRVVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVAEESKQVSYRVVRDENGNVKLECPAINKQFAAEEISAQVLRKLVDDASRFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFDRKSNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLATEFKKDEGIDLLKDKQALQRLTEAAEKAKIELSSLTQTNMSLPFITATADGPKHIETTLTRAKFEELCSDLLDRVKTPVENSLRDAKLSFKDIDEVILVGGSTRIPAVQELVRKVTGKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVSAVDKGTGKKQDITITGASTLPKDEVDQMVQEAERFAKDDKEKRDAIDTKNQADSVVYQTEKQLKELGEKIPGEVKEKVEAKLQELKDKIGNGSTQEIKDAMAALNQEVMQIGQSLYNQPGAGAPGAGPSPGGEGASSADSSSSKGADGDDVIDADFTDSK >fgenesh2_kg.7__1857__AT4G24275.1 pep chromosome:v.1.0:7:7673331:7673852:-1 gene:fgenesh2_kg.7__1857__AT4G24275.1 transcript:fgenesh2_kg.7__1857__AT4G24275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDQKLEPDHPVDPQTQKPDLEPVEMKPKLSRNRSVSASAQAVPSPVKMSMRRSSSVSERYCRIYDQSSATTWPLPFHEGDEDVEDDDKEKVHKKKKSNNFKKIAFVKACKRFFGIS >fgenesh2_kg.7__1858__AT4G24270.2 pep chromosome:v.1.0:7:7674494:7680086:1 gene:fgenesh2_kg.7__1858__AT4G24270.2 transcript:fgenesh2_kg.7__1858__AT4G24270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M8V8] MDDDTELVVSSSDQKMDDDASTENPAAPRPDPPSSDDSGESDSDSEDEAESNQQIQTLESELSANPYNYDAYVQYIKLLRKTANLEKLRQAREAMSAIFPLSPSLWLEWARDEASLASSENVPEVVMLYERGLSDYQSVSLWCDYLSFLLEFDPSVRGYPSEGISKMRSLFERAIPAAGFHVTEGNRIWEGYREFEQGVLATIDEADLEERNNQIQRIRSIFHRHLSVPLENLSSTLIAYKAWELEQGIDLDIGSDDLSKVSHQVAAANKKAQQMYSERAHLEEHISNKDLSDTEKFQEFMNYIKFEKTSGDPTRVQAIYERAVAEYPVSSDLWIDYTMYLDKTLKVGKAITHAYSRATRSCPWIGDLWARYLLALERGSASEKEIYAIFEKSLQCTFSSFEEYLDLYLTRVDGLRRRMLSTRMVEALDYSLIKETFQQASDYLTPHMQNTDSLLHLHAYWANLELNIGKDLAGARGVWDSFLKKSGGMLAAWHAYIDMEVHLGHIKEARSIFRRCYTRKFDGTGSEDICKGWLRFEREHGDLEGFDLAVQKVMPRLEELQLIRLQQESTPVKPSAGLKEHNSQKRKAEPNVEEESLAKRQKRKGQKEMDVGGQSATVPNTKNVKAENGKTADSNKEETEDAKPLKPKIYTDECTAFISNLSVKAQEEDIRKFFGDDGGVDSIRILHHKDTGKPRGLAYADFVDDEHLAAAIAKNRKIFFGKKISIARSNPKKGKKEFTRRGNVDGSGNSKEPSLISEKAKAPLGGEMEDVRQGNEVEVRGKNTFTVPRQVKPLGYTTPKPSADETPKSNDEFRNMFLKK >fgenesh2_kg.7__1860__AT4G24265.1 pep chromosome:v.1.0:7:7680754:7681306:1 gene:fgenesh2_kg.7__1860__AT4G24265.1 transcript:fgenesh2_kg.7__1860__AT4G24265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKFWSRKKRKRKQACPSQPHHCSYEYSSAAAAAVLVEPTAPPLPLWFDESQSLCQPETSAFPWHTPHQQVETIVEATPLLLSQVSDLHIYQSYQQYMVPNPTSDVPVVEPATAKRSVGIFGCVIELSSSLVRCFIP >fgenesh2_kg.7__1865__AT4G24230.1 pep chromosome:v.1.0:7:7693696:7696124:1 gene:fgenesh2_kg.7__1865__AT4G24230.1 transcript:fgenesh2_kg.7__1865__AT4G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFFEMLLTAVVALLFSFLVAKLVSVATVENRGNDLSSDQAEKHEIGVGVEFVTEEVRLGMKMDARVLESERNFHVVEGNVELVDRFETEADRVYDVEEAATLNAKIRGNREAESSPAVSSENNVIAEEVIVRGQDEQSDELMVPTTEAESTASFSPENVVAEEIKSQGQEESTELGRSACVEKEEGGGDVVVAESEELRVEESSDTVEESETEAENEEKTDLTIEEDDDDDWEGIERSELEKAFAAAAKLMEESGKVEEIGAEARMELFGLHKIATEGSCRETQPMAVMVTARAKWNAWQKLGNMSQEEAMEQYLALVSKEIPGFMNAGHTVRKMSEMETSVGSPPNSGSLEDPTNLDTTNVDESSKNVSGER >fgenesh2_kg.7__186__AT4G39710.1 pep chromosome:v.1.0:7:713190:714713:-1 gene:fgenesh2_kg.7__186__AT4G39710.1 transcript:fgenesh2_kg.7__186__AT4G39710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7M8P6] MAMSTLTLTQSLYTRSFRPTIFSSSSSSSSFSCLCSSPNCEPKLSVKKRVFGVGLGLLAASILSLTPLDADATRIDYYATVGDPLCEYSYAKSGLGFCDLDVGFGDEAPRGVLVNIHYTARFADGTLFDSSYKRARPLTMRIGVGKVIRGLDQGILGGEGVPPMRVGGKRKLQIPPKLAYGPEPAGCFSGDCNIPGNATLLYDINFVEIYPGSNTR >fgenesh2_kg.7__1872__AT4G24210.1 pep chromosome:v.1.0:7:7698321:7699177:-1 gene:fgenesh2_kg.7__1872__AT4G24210.1 transcript:fgenesh2_kg.7__1872__AT4G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSATDSDLDGDARNETHKKMKSTEEEDSEIGFSNLDENLVYEVLKHVDAKTLAMSSCVSKIWRKTAQDERLWELICTRHWTNIGCGQNQLRSVVLALGGFRRLHSLYLWPLSKPNPRARFGKDELKLTLSLLSIRYYEKMSFTKRPLPNSN >fgenesh2_kg.7__1873__AT4G24200.1 pep chromosome:v.1.0:7:7702107:7706412:1 gene:fgenesh2_kg.7__1873__AT4G24200.1 transcript:fgenesh2_kg.7__1873__AT4G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEIKDGLTATSRVEELVSVMQSNKDSVLKNNGDASRQWTAVASTIAATKNRDCLDVFVNLDGLVYLSSWLAEAQMLANDSVDRSVEESILALLEAVENLGVDSSKLVSSGIWVAVKKLVDHGSSRVQDQARKLFGSWKDKDVYDHSEHDIESCNKIHEDEMRVVAASMESSGQKSAVTLCSTQSNNEKHCPEIADEALLSGCSEGNIPDQDKGLELQNDKAGFDSNVNSHCSNTMTETETFNGSSTDDIMKEVQEKLSVKEKSSMKGTGGPTIFGTMPTGSSSLLYLERDSAEGPSNAPLGAEIPKEKQMANYFLEKLGEAGTSSATGYVAVSSDSMIASLELEKNSLLQSSLDSNEVSKNASETICGSHDASVAHNSKQVSSLTHITDNQDSDSSSRLSGGLGRSRKFESDNLNALADNEGKDDMGHSDKKRRVKRRKKRSSSRSMTISQRLGAIDKTTTDIDLGILDALEVATKVAQEVAREVDSGEPSHSSSEELSDESGQSGSQDSRDDDVHTGSPSKGLSVGENHSFEEPNVVDDDVMDEKDDKPESDDVEESHLAAAAKSEVGREKSPCGFDLNQDICPDETDVIMSSISTAPTPMSVSFSVSSSGMPAAAPLQLERSLSGKGSAATSVFHPTLLHKVPSGDLREKQVISRGIDLNVAELGDDQVEDLTPWKQFPFSSSNSRGGESSHEASLRGSSRFNLDLNCMNEDDEMPPPSELKMETRLFLSHNGQQSASPVSSSSVAQQSGKEVNFDLNDRPQFFIDSRDQGPYYGRHPWSTASYGGHKLEEPGISILGTKVDVDRKESVSQMASFLSNGKSLEPAAGLYMGRTGTSLGLAPGVSFSPAPIYGYNGMSGPPGLSMSSPMYVPGTAIPYMVDSRGTPVMMPQIIGSTPYVQPPFPQQHMFMSLAGGSPSMNGSVRPNFDQNSGFGLEIGNRESINLRQFLSPSQSGAMGEHSGANVEPSSSSSISIGGKRKEPETRWEFPPWR >fgenesh2_kg.7__1874__AT4G24190.1 pep chromosome:v.1.0:7:7707101:7711297:1 gene:fgenesh2_kg.7__1874__AT4G24190.1 transcript:fgenesh2_kg.7__1874__AT4G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRTLVSVLFLFSLLFLLPDQGRKLHANAEDSSDEVTDPPKVEEKIGGHGGLSTDSDVVHRESESMSKKTLRSNAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLALTDKDVLGEGDTAKLEIQIKLDKAKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQSSGDLNLIGQFGVGFYSAYLVADYIEVISKHNDDSQYVWESKADGKFAVSEDTWNEPLGRGTEIRLHLRDEAGEYLEESKLKDLVKRYSEFINFPISLWASKEIETEVPVEEDESTDEETETPSTEEEKEEDAEEEDGEKKQKTKKVKETVYEWELLNDVKAIWLRSPKEVTEEEYIKFYHSLSKDFTEEKPMAWSHFNAEGDVEFKAVLYVPPKAPHDLYESYYNSNKANLKLYVRRVFISDEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEIHDDDKKDVEKSGENDEKKGQYTKFWNEFGKSIKLGIIEDAANRNRLAKLLRFETTKSDGKLTSLDQYIKRMKKGQKDVFYITGSSKEQLEKSPFLERLIKKGYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKVGKDSKDKELKEAFKELTKWWKGNLASENVDDVKISNRLADTPCVVVTSKFGWSANMERIMQSQTLSDANKQAYMRGKRVLEINPRHPIIKELKDRIASDPEDESVKETAQLMYQTALIESGFLLNDPKDFAARIYNSVKSSLKISLDAVVDEEVEAAEEPETSEATETKSDDLAGGLNIEAEPVEQQEENTKDEL >fgenesh2_kg.7__1876__AT4G24180.1 pep chromosome:v.1.0:7:7711715:7712656:1 gene:fgenesh2_kg.7__1876__AT4G24180.1 transcript:fgenesh2_kg.7__1876__AT4G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITVLHSPVSFYFIILSFLFFHALHLVGSDGATITIVNRCSFTVWPGILSNSGSGDIGTTGFELVPGGSRSFQAPASWSGRFWARTGCNFNSDTGQGTCITGDCGSNQVECNGAGAKPPATLAEFTIGSGPEDPARKQDFYDVSLVDGYNVPMLVEASGGSEGTCQTTGCVTDLNQKCPTELRFGSGSACKSACEAFGSPEYCCSGAYASPTECKPSMYSEIFKLACPRSYSYAFDDATSTFTCTDADYTITLCPYLPR >fgenesh2_kg.7__1877__AT4G24175.1 pep chromosome:v.1.0:7:7714570:7716479:-1 gene:fgenesh2_kg.7__1877__AT4G24175.1 transcript:fgenesh2_kg.7__1877__AT4G24175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLIRPIRQLSPQCNHHFRNLRHLFSKKLPNPPSSIPTLLLFSSFSTAKSPPHRRIRPAPPEALTPTVIAEDDGDSDGSESDSLKSRNQRKRDARRAVKWGMELASFSGDQVKRILKAASLGEEVYDALMLAKRLGSDVREGKRRHFNYIGKLLREVEPDLMDTLINATKQGDHTTLQTLISSAKDVADDVGGSYDDDTETESEDGEEGSDEYIAMAARWFDGLISQNVELTKEVYSLQSVDFDRQELRKLIRKVQLVHEQRKGTTEEKQKEVEAALVTAENSLKQFLCSMAKQMQSEQTDLYL >fgenesh2_kg.7__187__AT4G39720.1 pep chromosome:v.1.0:7:716774:717819:-1 gene:fgenesh2_kg.7__187__AT4G39720.1 transcript:fgenesh2_kg.7__187__AT4G39720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M8P7] MESGNSSSMQSSSGGGGGGEEEYDSRAADQSISAFFDQHNHHVSSLPPPQQNHLNLLHFDHNNNNSLIPPNYFNNNNNTFLPVNQQLDPISQPDLRTFSSTSSLPPPNNIGVIKKTKKRSRASRRAPTTVLTTDTSNFRAMVQEFTGIPAPPLFNNSVVNTTRLNTFLGLSSSSPNTYNTNSLLLRPFAQKLTSTSPLLSGSQIQQYQNPNNGFENVNLQALLQAQISNPRSNEHDQFGLGMMQSPSTNPPTTTAAANGNITTGDTGSYGGSDHDHNNDGTWLCSSS >fgenesh2_kg.7__1882__AT4G24140.1 pep chromosome:v.1.0:7:7731871:7737030:1 gene:fgenesh2_kg.7__1882__AT4G24140.1 transcript:fgenesh2_kg.7__1882__AT4G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7M8X4] MAVMKIRGAATVAGTWLNEAVSFVVFCVLDIVDSFLCLLYKAADYLFEAEWKPCYCLSDKEPITTTRGKILLSHNNGESKILTLSPLQELGGRSKIELEDISETLYTRPSLISDLSNISVNELNKRFVKVTRSESECSGHHEKTKNKRRRSLTKSSLTVNFTVVEMLRGKIRPQNLSHDISRWSDCDCGFCTSWASTSDKDHSLFVKTQIPNGVTAKEDVLFIHGFISSSAFWTETVFPSLSASSSAHRLFAVDLLGFGKSPKPADSLYTLREHVEMIEKSVLHKHNVKYFHIVAHSLGCILALSLAARHGSLIKSLTLLAPPYYPVPKGETKPRQYVMKKVAPRKVWPPIALGASMACWYEHISRTICLLICKHHRVWQFIARVLTRNNRTVNFLIEGFMCHTHNAAWHTLHNIICGTGSKLDTYLDIVRDKLKCNVTIFHGEDDELIPVECSYNVKERIPRARVKVIEHKDHITMVVGRQDEFARELQEIWKTSSC >fgenesh2_kg.7__1883__AT4G24130.1 pep chromosome:v.1.0:7:7738736:7739567:-1 gene:fgenesh2_kg.7__1883__AT4G24130.1 transcript:fgenesh2_kg.7__1883__AT4G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGVVVVGGEREGAEIVYGAEECYKQSLELLEELGFPKGVMPLKNLVECGRVRATGYVWMKQDTPYEHFFEATNTRVSYGLEVTAYVDKCCMKKMTGVKSKQMFLWVPIVEMSMEEPKSKKIYFKTPMGIGKSFPVTAFMDEEEKRNFYLENPKN >fgenesh2_kg.7__1884__AT4G24120.1 pep chromosome:v.1.0:7:7740015:7744712:-1 gene:fgenesh2_kg.7__1884__AT4G24120.1 transcript:fgenesh2_kg.7__1884__AT4G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEQRKIMKREGEEEEDNNQLSLQEETPETEEEMSGRTIEPWTKQITVRGVLVSIVIGVVFSVIAQKLNLTTGIVPNLNSSAALLAFVFVQTWTKILKKSGFVAKPFTRQENTMIQTSAVACYGIAVGGGFASYLLGLNHKTYVLSGVNLEGNSPKSVKEPGLGWMTAYLFVVCFIGLFVLIPLRKVMIVDLKLTYPSGLATAVLINGFHTQGDAQAKKQVRGFMKYFSFSFLWGFFQWFFSGIEDCGFAQFPTFGLKAWKQTFYFDFSMTFVGAGMICSHLVNLSLLLGAILSYGLMWPLLDKLKGSWFPENLDEHNMKSIYGYKVFLSVALILGDGLYTFIKIIFVTIVNVNARLKNKPNDLDDVGHKKQRKDLKEDENFLRDKIPMWFAVAGYLTFAAVSTVVIPLIFPQLKWYYVIVAYIFAPSLAFCNAYGAGLTDINMAYNYGKIGLFVIAAVTGRENGVVAGLAGCGLIKSVVSVSCILMQDFKTAHYTMTSPKAMFASQMIGTVVGCIVTPLSFFLFYKAFDVGNPNGEFKAPYALIYRNMAILGVQGFSALPLHCLQMCYGFFGFAVLVNVVRDLTPAKIGRFMPLPTAMAVPFLVGAYFAIDMCVGTLIVFVWEKMNRKKAEFMVPAVASGLICGEGLWTLPAAVLALAGVKPPICMKFLAS >fgenesh2_kg.7__1887__AT4G24090.1 pep chromosome:v.1.0:7:7755166:7756939:-1 gene:fgenesh2_kg.7__1887__AT4G24090.1 transcript:fgenesh2_kg.7__1887__AT4G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLSLQSLPWKPNSVALPEIYLLNPKQVTASNSPYRRTRNWKIRSSSEENVANSSDGGDLKKSLSGIVGNQVEELFSREENKNLLDGLEKASLRVEIAKRELEEIERQESEAKLLQDYVNQLESRAAEIAECQQEIVAARSMVEEAERALSLADTEAIGSSEKGYSIDKDKERLESAKAAVIAAAVGTIAELPFALSQVSSIEQLVLPLGIAFASCALFGVTFRYAVRRDLDDSHLKSGAVAAFGFVKGLGMLSRGPPLELSWESLFSHGIDGAILVSQSVLIFAFASIGLDFCFKTKLLRPFPSSD >fgenesh2_kg.7__188__AT4G39730.1 pep chromosome:v.1.0:7:720847:721587:1 gene:fgenesh2_kg.7__188__AT4G39730.1 transcript:fgenesh2_kg.7__188__AT4G39730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid-associated family protein [Source:UniProtKB/TrEMBL;Acc:D7M8P8] MARRDVVLPFLLLLATVSAVAFAVDDPDCVYTFYLRTGSIWKAGTDSIISARVYDKYGDYIGIKNLQAWGGLMGPDYNYFERGNLDIFSGRAPCLPSPICALNLTSDGSGDHHGWYANYVEVTTAGVHAQCSTQDFEIEQWLATDTSPYELTAVRNNCPVKLRDSVSRVGSEIRKKLSWVV >fgenesh2_kg.7__1890__AT4G24050.1 pep chromosome:v.1.0:7:7770953:7774102:-1 gene:fgenesh2_kg.7__1890__AT4G24050.1 transcript:fgenesh2_kg.7__1890__AT4G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7M8Y3] MIETGKYLLGAAGASGFGSKSTAEEVTENCDLRSVTVIITGATSGIGAETARVLAKRGARLIFPARNVKAAEEAKERIVSEFPETEIVVMELDLSSIASVRNFVADFESLDLPLNLLINNAGKLAHEHAISEDGIEMTFATNYLGHFLLTNLLLKKMIQTAEETGVQGRIVNVTSGIHGWFSGDLIEYLRLISQPKCQFDATRAYALSKLANVLHTKELSSRLQKIEANVTVNCVHPGVVRTRLTRDREGLLTDLVFFLASKLVKTVPQAAATTCYVATNPRLVNVSGKYFTDCNETTPSGLGSNSSDATKLWAASEILVAQHSKASFDPCS >fgenesh2_kg.7__1892__AT4G24030.1 pep chromosome:v.1.0:7:7785691:7786011:1 gene:fgenesh2_kg.7__1892__AT4G24030.1 transcript:fgenesh2_kg.7__1892__AT4G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGESQRTVMGLVVVVVVFIIMRGGEIDAGATFEGGEESGDDGGADPARGVVDLLHGSRRANVGGDADAFKTTKKRRSWDGSISYKNNKLGDF >fgenesh2_kg.7__1893__AT4G24020.1 pep chromosome:v.1.0:7:7788990:7793241:-1 gene:fgenesh2_kg.7__1893__AT4G24020.1 transcript:fgenesh2_kg.7__1893__AT4G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWP-RK domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M8Y6] MCEPDDHSARNGVTTPPSRSRELLMDVDDLDLDGSWPLDQIPYLSSSNRMISPNFVSSSSEQPCSPLWAFSDGGGNGFHHATSDGDDEKISSASGVPSFRLAEYPIFLPYSSPPAAENTTEKNNSFQFPSPLMSLVPPENTDNYCVIKERMTQALRYFKESTEQNVLAQVWAPVRKNGRNLLTTLGQPFVLNPNGNGLNQYRMISLTYMFSVDSESDVELGLPGRVFRQKLPEWTPNVQYYSSKEFSRLDHALHYNVRGTLALPVFNPSGQSCIGVVELIMTSEKIHYAPEVDKVCKALEAVNLKSSEILDHQTTQICNESRQNALAEILEVLTVVCETHNLPLAQTWVPCQHGSVLANGGGLKKNCTSFDGSCMGQICMSTTDMACYVVDAHVWGFRDACLEHHLQKGQGVAGRAFLNGGSCFCRDITKFCKTQYPLVHYALMFKLTTCFAISLQSSYTGDDSYILEFFLPSSITDDQEQDSLLGSILVTMKEHFQSLRVASGVDFGEDDDKLSFEIIQALPDKKVHSKIESIRVPFSGFKSNATETLLLPQPAVQSSDPVNEKINVPNVNGVVKEKKKTEKKRGKTEKTISLDVLQQYFTGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKIKKVNRSITKLKRVIESVQGTDGGLDLTSMAVSSIPWTHGQTSAQPLNSPNGSKPPELPNTNNSPNHWSSDHSPNEPNGSPELPPSNGHKRSRTVDESAGTPTSHGSCDGNQLDEPKVPNQDPLFTVGGSPGLPFPPYSRDHDVSAASFAMPNRLLGSIDHFRGMLIEDAGSSKDLRNLCSTAAFDDKFLDSNWINNDNNSNNLYAPPKEEAIANVAREPSGSEMRTVTIKASYKEDIIRFRISSGSGIMELKDEVAKRLKLDAGTFDIKYLDDDNEWVLIACDADLQECLEIPRSSHTKIVRLLVHDVTTNLGSSCESTGEL >fgenesh2_kg.7__1894__AT4G24015.1 pep chromosome:v.1.0:7:7802815:7804306:1 gene:fgenesh2_kg.7__1894__AT4G24015.1 transcript:fgenesh2_kg.7__1894__AT4G24015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPQSPITPHLYPQAIQLKLYQAFIFSIPILFSIILFLLFYLFYLKRRASSLSSPSPMILPVSSSHQTSSHLPSVCLLDVKVELKDKLHVVLFNEELGTRDSLCCVCLGEFELKEELVEMPLCKHIFHLDCIHLWLYSHNTCPLCRSSVSISSTKTSVGDDNDHPDSPQTSPV >fgenesh2_kg.7__1896__AT4G24000.1 pep chromosome:v.1.0:7:7812680:7818428:-1 gene:fgenesh2_kg.7__1896__AT4G24000.1 transcript:fgenesh2_kg.7__1896__AT4G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase isolog [Source:UniProtKB/TrEMBL;Acc:D7M8Z0] MEPRRKYSTALHTCHPCRRAIPYRIYAVIHTCGIIALMYHHVLSILTANNTLITCLLLLSDIVLAFMWVTTTSLRLNPVHRTEYPEKYAAKPEDFPKLDVFICTADPYKEPPMMVVNTALSVMAYEYASDKISVYVSDDGGSSLTLFALMEAAKFSKRWLPFCKNNNVQDRSPEVYFSSESQSQSEEAENLKLMYEDMKSRVENVAESGKVETAFITCDQFRGVFDLWTDKFTRHDHPTIIQVLQHNETDEMMPNLIYVSREKSKVSPHHYKAGALNTLLRVSAVMTNSPIILTLDCDMYSNNPTTPLHALCYLSDPKINFGLGFVQFPQKFQGINKNDIYASELKRPFDINTIGFDGLTGPVHMGTGCFFNRRAFYGPPASLILPEIEKLGPNRIVDMSIKNQDILALAHDVAGCNYERNTNWGSKIGFRYGSLVEDYYTGFMLHCEGWRSVFCSPKKAAFYGESPKCLTDIIGQQIRWSVGLLEVTFSRYNPITYGLKSLSLLMSLGYCHYAFWPFWSIPLVVYGLLPQVALIHGFSVFPKASDPWFWLYISLFLGGYGQDLSDFLLEGGTYRKWWNDQRMWMVRGLSSFFFGFTEFTLKTLNLSTQGFNVTSKANDDNEQMKRYEQEIFDFGPSSSMFLPMTTVAIVNLLAFVWGIYVIFTWGEGPVLELMLASFVVVNCLPIYEAMVLRIDDGKLTSRICFLAGLLTFVLTGSCYFLLK >fgenesh2_kg.7__189__AT4G39740.1 pep chromosome:v.1.0:7:723794:725685:-1 gene:fgenesh2_kg.7__189__AT4G39740.1 transcript:fgenesh2_kg.7__189__AT4G39740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transport SCO1/SenC family protein [Source:UniProtKB/TrEMBL;Acc:D7M949] MLPCRRLVLSCKNGASNFLRRSGPSKRIQSFNYSKSTRQGHEIPDVNPLFPMGGGTQASSRSRARYAVPAIVLGFAGFITFLHYNDERRAVPRGQASSNSGCGCGSNTTVKGPIIGGPFTLMSTENKIVTENDFCGKWVLLYFGYSFSPDVGPEQLKMMSKAVDKLESKHNQKILPVFVTLDPQRDTPSHLHAYLKEFDSRILGLTGAASAMRQMAQEYRVYFKKVQEDGDDYLVDTSHNMYLMNPKMEIVRCFGVEYNPDELSQELLKEVTSVSQ >fgenesh2_kg.7__1902__AT4G23940.1 pep chromosome:v.1.0:7:7841023:7845860:-1 gene:fgenesh2_kg.7__1902__AT4G23940.1 transcript:fgenesh2_kg.7__1902__AT4G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDTIFSLGTRFPETPRKSIWSVAAKTRWRAPILRRSFTVLCELKSRSNETNNPPPADDFVTRVLKENPSQLEPRYRVGDKLYNLKEREDLSKGANAATGAFEFIKRKFDSKTKTETEKSQESVYLSDILREYKGKLYVPEQVFAPELSEEEEFEKTVKDLPNLSLEDFRKAMENDKVKLLTSKEVSGVPYTSGYRDFIVDLKEIPGVKSLQRTKWSMKLEVGEAQALLKEYTGPQYEIERHMTSWVGKVTDFPNPVASSISSRVMVELGMVTAVIAAAAVVVGGFLASAVFAVTSFAFVTTVYVVWPIAKPFLKLFVGIFFGVLEKSWDYLVDFLGDGGIFSRISDFYTFGGVSSSLEMLKPILLVVMTMVLLVRFTLSRRPKNFRKWDLWQGIAFSQSKAEARVDGSTGVKFADVAGIDEAVDELQELVKYLKNPDLFDKMGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKVNKPSVIFIDEIDALATRRQGIFKENSDQLYNAATQERETTLNQLLIELDGFDTGKGVIFLGATNRRDLLDPALLRPGRFDRKIRVRPPNAKGRLDILKIHASKVKMSDSVDLSSYASNLPGWSGAKLAQLVQEAALVAVRKTHSSILQSDMDDAVDRLTVGPTRIGLELGHQGQCRRATTEVGVAITSHLLLRYENAKIERCDRVSIIPRGQTLSQVVFHRLDDESYMFGRLPQLLHRLQVFLGGRAAEEVIYGSDTSKASVDYLSDASWLARKILTIWNLENPMVIHGEPPPWRKRAQFVGPRLDFEGSLYDDYDLVEPPINFNMDDEVAQRSEELISQMYNKTVSLLTQNQTALLKTVKVLLNQKEISGEAIDFILDQYPPQTPLNSLLQEQNPGSLPFVPEHLQRESGDFVLVNHSTDVNAQA >fgenesh2_kg.7__1904__AT4G23930.1 pep chromosome:v.1.0:7:7846316:7846894:1 gene:fgenesh2_kg.7__1904__AT4G23930.1 transcript:fgenesh2_kg.7__1904__AT4G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSCSNLASCAVATLFIAFLIIAALTVYLTVFRPRDPEISVTNVKVPSFSVANSSVSFTFSQFSTVRNPNRAAFSHYNNIIQLFYYGNRIGYTFVPAGEIRSGRTKRMLATFSVQSFPLAVASNSQISAAEFQNFGSGSTVEIESKLEMAGRVRVLGLFTHRIAAKCNCRIAISTSDGSIVAVRC >fgenesh2_kg.7__1905__AT4G23920.1 pep chromosome:v.1.0:7:7849053:7851823:-1 gene:fgenesh2_kg.7__1905__AT4G23920.1 transcript:fgenesh2_kg.7__1905__AT4G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-glucose/UDP-D-galactose 4-epimerase 2 [Source:UniProtKB/TrEMBL;Acc:D7M8Z8] MAKNILVTGGAGYIGSHTVLQLLEGGYSAVVVDNYDNSSAASLQRVKKLAGQNGNRLSFHQVDLRDRPALEKIFSETKFDAVIHFAGLKAVGESVEKPLLYYNNNLVGTITLLEVMAQYGCKNLVFSSSATVYGWPKEVPCTEESPISATNPYGRTKLFIEEICRDVHRSDPEWKIILLRYFNPVGAHPSGYIGEDPLGVPNNLMPYVQQVAVGRRPHLTVFGTDYKTKDGTGVRDYIHVIDLADGHIAALRKLDDLKISCEVYNLGTGNGTSVLEMVAAFEKASGKKIPLVMAGRRPGDAEVVYASTEKAERELNWKAKYGIEEMCRDLWNWASNNPYGYNSSSNGSSS >fgenesh2_kg.7__1906__AT4G23910.1 pep chromosome:v.1.0:7:7854382:7857181:-1 gene:fgenesh2_kg.7__1906__AT4G23910.1 transcript:fgenesh2_kg.7__1906__AT4G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETPLIAETIALTEKKVAMALDDIIKLAKRKTNVNKGKKPRRGKNKNQNFNGAARNNTSNVRHHISAVRQGSVGKRRSRFQGNQFPVTTNIARKAATAAPLDARGRAFNGGRMTSAYQSRRIAPPVQNISVQPRVNAKRQEVDEKVEHRGWKRKTLDSRFASMKEQRMTINNYGVTVQVPRLPPWARARRFFH >fgenesh2_kg.7__1907__AT4G23900.1 pep chromosome:v.1.0:7:7857203:7859032:-1 gene:fgenesh2_kg.7__1907__AT4G23900.1 transcript:fgenesh2_kg.7__1907__AT4G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:D7M900] MSSQICRSASRAARSLLSSAKNARFFSEIFCEGLQIRPSHVCSNSVLAAEVIVAKPNTYRYILLLRLSLLSLSHWISLFQSDGTHFHRYQTRWGAARTGLLLISEIILRFERKGYKLVGIKVMVPSKGFAQKHYHDLKERPFFNGFLISVPVVAMVWEGEGVIRYGRKLIGATDPQKSLCCCWEYVLVCFLIDYRNIIHGSDGPETAKDEISLWFKPEELVSFTGNAEKWIYGQN >fgenesh2_kg.7__1909__AT4G23895.1 pep chromosome:v.1.0:7:7859148:7861474:-1 gene:fgenesh2_kg.7__1909__AT4G23895.1 transcript:fgenesh2_kg.7__1909__AT4G23895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGTPKKLNILPDHFSAPSSTAESSQDDTPSFSQTKDDISLRSRSQSRTRRNLKRAATMLNLFTLRRLPWVSDGQEKVELSAAELESLRSELSDLEEREAYLKAQLEHVDEVLRSARLSGYLFIRSRWAALPGEPPPIDDTEVDDWLPRFVVLQGPCLFFYLLSTDLSPQDSTLLADIVEVGSLPSYTREFDETHYCFYILTRQGLRFECSSTSKTQVDSWLSVLRLDCKSEPEERLSNGSSEAPQ >fgenesh2_kg.7__190__AT4G39745.1 pep chromosome:v.1.0:7:726860:727714:1 gene:fgenesh2_kg.7__190__AT4G39745.1 transcript:fgenesh2_kg.7__190__AT4G39745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPPLPPTSTSTGNDNENKTGNASSTWHRPTTSLPQLPSSGSQVSSPPQHLRNHSLNLSPHLSSSTPPPPPDPIPAIETYVVQVPRDQVYWTPPPDNARIVERRRNSELGRNNKSFCSKRCIWLFISPEPPVFNVKKFEKSRHFEIMLTSKNPTSTMWVTYKGLVSLMYKNKNLGQGNFPELSLAVSGSHTVNLKLEGSKNAALLPPEVVSLVLTMGLNAGFGTGLVKRDKEVAVTCDIKVKGLLDAQKVEIVSESCESEFIK >fgenesh2_kg.7__1911__AT4G23882.1 pep chromosome:v.1.0:7:7865623:7866936:-1 gene:fgenesh2_kg.7__1911__AT4G23882.1 transcript:fgenesh2_kg.7__1911__AT4G23882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSPPVRTCVLRVGIKCCKGCQTKAKRKLLNVSGVDAVEYNAEQGLLTVSGDVNPTTLLHKLTKWGKKAELVSFLGDNSSFVPRTPEQNQNKTMEKKEEKPTKCCLLMCFGKRSKNTKVEPMAMPNWNYRGIGTENGNATPFINAAMSPPMVYPPPQAVPGFKTPIPYPPPNYFGARQPPPYTGAGMFQSAPPQSPPYFPVPNSRLHYPHH >fgenesh2_kg.7__1912__AT4G23880.1 pep chromosome:v.1.0:7:7868246:7869012:1 gene:fgenesh2_kg.7__1912__AT4G23880.1 transcript:fgenesh2_kg.7__1912__AT4G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAKCFNRLQERYDNVLEAKPIYRDDLDITLPLNESEVSVESTKRSRCLQRAKRIDKSLRFEAEEEDDEQKQKPLAKPRKVVRFQLENNKIFEPKKRVSFNDDHELKPKEKPLEEKESLNIVEKKEEVVRVKIKMTKQEAQRLLSKSKNDSVFDLEHFVDQIAHVPVHQLQVAMVMVGCNNERQGNGCWLSQLE >fgenesh2_kg.7__1913__AT4G23870.1 pep chromosome:v.1.0:7:7869708:7870338:-1 gene:fgenesh2_kg.7__1913__AT4G23870.1 transcript:fgenesh2_kg.7__1913__AT4G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLMGGNNVAKMVQEDEVMKKDYKRKDRKVKIVLRRDELEKLILFQLNANGNVDGKGETTLASFGDFLRELEAERSAGEAAAKAAEEEEESRRRCRKWRPSLERITEWPEETLS >fgenesh2_kg.7__1916__AT4G23860.3 pep chromosome:v.1.0:7:7873261:7875571:-1 gene:fgenesh2_kg.7__1916__AT4G23860.3 transcript:fgenesh2_kg.7__1916__AT4G23860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDFEDEAEGTVTINEYIESLDAEELAADLVLGGDEGDECTYPKGYMKRQAIFSCITCTPDGNAGICTACCLSCHDGHELLELWTKRNFRCDCGNSKFGTLACKLLPSKDVENSENSYNHNFKGFYCTCDRPYPDPNVEEQVEMIQCCLCEDWFHEEHLGLKPSDSVGSQIPKDEEGEPIYEDFICQNCSPVCSFLTLYPEKLWVVAKVESTGSANACSETIESSKTHMDSEPCQPENGSDAEKSVVGKCSEKIDDSEPGQPENGTEAEKSVVRKCSEKIDESEAGQPENSTEAEKFVVRKCSEKIDDSGGPVPATGCVIRTDLNLCPEFEKKPLFLTKNWRNILCKCEKCLEMYKQRGVSYLLDAEDTIVEYEKKAKEKRTEKLEKQEGEALDLLNNLDHVSKVELLHGIKDFQDELQGLMESAGPSKAITAADIEQMFSKLKNKRKRME >fgenesh2_kg.7__1917__AT4G23850.1 pep chromosome:v.1.0:7:7876688:7881473:1 gene:fgenesh2_kg.7__1917__AT4G23850.1 transcript:fgenesh2_kg.7__1917__AT4G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-fatty-acid--CoA ligase [Source:UniProtKB/TrEMBL;Acc:D7M908] MSQQKKFIFQVEEGKEGSDGRPSVGPVYRSIYAKDGFPEPIEGMDSCWDVFRMSVEKYPNNPMLGRREIVDGKPGKYVWQTYQEVYDIVIKLGNSLRSVGVKDEAKCGIYGANSPEWIISMEACNAHGLYCVPLYDTLGASAVEFIISHSEVSIVFVEEKKISELFKTCPNSTEYMKTVVSFGGVSREQKEEAETFGLVIYAWDEFLKLGEGKQYNLPIKKKSDICTIMYTSGTTGDPKGVMISNESIVTLIAGVIRLLKSANVALTVKDVFLSYLPLAHIFDRVIEECFIQHGAAIGFWRGDVKLLLEDLGELKPTIFCAVPRVLDRVYSGLQKKLSDGGFLKKFLFDSAFSYKFGHMKKGKPHAEASPLCDKHVFGKVKQALGGNVRIILSGAAPLASHVESFLRVVACCHVLQGYGLTESCAGTFVSLPDELDMLGTVGPPVPNVDIRLESVPEMEYDALASTPRGEICIRGKTLFSGYYKREDLTKEVLIDGWLHTGDVGEWQPNGSMKIIDRKKNIFKLSQGEYVAVENIENIYGEVQAVDSVWVYGNSFESFLVAIANPNQQILERWAAENGVSGDYNALCQNEKAKEFILGELVKMAKEKKMKGFEIIKAIHLDPVPFDMERDLLTPTFKKKRPQLLKYYQSVIDEMYKTTNEKLASRG >fgenesh2_kg.7__1918__AT4G23840.1 pep chromosome:v.1.0:7:7881769:7884740:-1 gene:fgenesh2_kg.7__1918__AT4G23840.1 transcript:fgenesh2_kg.7__1918__AT4G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLVRLCIEEACKSGDAVERWRLQRRSLERLPPHLADALLRRLLHKRLLFPSLLEGFKHSVENIDLRGESSINAEWMAYIGGFVNLVSLNLSDCQRINSSTLWPITGLTSLTELDLSRCFKVTDAGIKHLQSVVNLKKLWISQTGVTKVGISLLASLQKLSLLDLGGLPVTDHNLIALQELTKLEYLDIWGSNVTNQGAISILQFSNLSFLNLSWTSVTQTPNIPHLECLHMNMCTIVSEPKTHCSLASLKKLVLSGANFSAETEALSFTNKSSITYLDVSKTSLQNFSFIETMINLEHLDLSSTAFGDDSVGFVACVGENLKNLNVSDTKITSAGVGNLAGHVPQLETFSLSQTFVDDLSILLISTMMPCVKALDLGMTSIREEQAEPSLAALQSLTSLKTLSLEHPYLGDTALSALSSLTGLTHLSLRSTSLTDSTLHHLSSLPNLVSLGVRDAVLTSNGLEKFRPPKRLRTLDLKGCWLLTKDDIAGLCKRYPHIKVRHEHDDSSSLDQNQFLPRSSTPQSFGKVPRRSNNQRPESSVAVPRSFLDQRVKYNREELVALQNSPLSQLLPRKELVSVPDILADSVI >fgenesh2_kg.7__1919__AT4G23820.1 pep chromosome:v.1.0:7:7884976:7888160:1 gene:fgenesh2_kg.7__1919__AT4G23820.1 transcript:fgenesh2_kg.7__1919__AT4G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7M910] MWRLSVSIFLFSCLAVSSSSYGDSEATCSGIVPLRYRYDKISITDYGGVGDGRTVNTKAFRAAIYRIQHLKRRGGTLLYIPPGVYLTESFNLTSHMTFYLAKGAVIRAVQDTWNWPLIDPLPSYGRGRELPGGRYMSFIHGDGLRDVVITGQNGTIDGQGEVWWNMWRSRTLKYTRPNLIEFKDSKEIIISNVIFQNSPFWNIHPVYCSNVVIHHVTILAPQDSPNTDGIDPDSSYNVCIEDSYISTGDDLVAIKSGWDEYGIAYGRPSSNITIRRITGSSPFAGIAIGSETSGGIKNIVAEHITLSNMGVGVNIKTNIGRGGYIKNIKISDVYIDTAKYGIKIAGDTGDHPDENYNPNALPIVKGIHIKNVWGVNVRNAGSIQGLKGSPFTGICLSEINLHGSLNSYKTWKCSDVIGTSLKVSPWPCSELRTTGGSYSCSSTF >fgenesh2_kg.7__1920__AT4G23810.1 pep chromosome:v.1.0:7:7891901:7893536:1 gene:fgenesh2_kg.7__1920__AT4G23810.1 transcript:fgenesh2_kg.7__1920__AT4G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 53 [Source:UniProtKB/TrEMBL;Acc:D7M911] MEGKDLLSWEQKTLLSELINGFEAAKKLQARIGEAPSPSSSFLSPATAVAETNEILVKQIVSSYERFLLLLNWSSSPTVQLIPTPATVVPVANSGSVPESPASINGSPRSEEFADGGGSSESHHRQDYIFNPKKRKMLPKWSEKVRISPERGLEGPQDDVFSWRKYGQKDILGAKFPRSYYRCTHRSTQNCWATKQVQRSDSDATVFEVTYRGTHTCSQVITPPPPPASPEKKQEDTRVRPAITQKPKDLLESLKSNLTVRTDGLDDGKDVFSFPDTPPFYNYGTINGDFGHVESSTPIFDVVDWFNPMVEIDTTFPTFLNESIYY >fgenesh2_kg.7__1922__AT4G23790.1 pep chromosome:v.1.0:7:7896177:7898108:-1 gene:fgenesh2_kg.7__1922__AT4G23790.1 transcript:fgenesh2_kg.7__1922__AT4G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLSSISMNHKNVLLIKLISAILITFFAFRLFILHSSEFSPIFASVTGKFEARFLPPEVIVPENEDLIPQDIEVEKCDLFAGKWIPDSVGPIYTNNSCGSLIDGHQNCITNGRPDLDFLYWKWKPHDCLLPRFDPRRFLQLMRNKSWALIGDSISRNHVESLLCMLSTVEEPVEVYHDKEYKSKRWHFPLHNLTISNIWSPFLVQAAIFEDSNGVSTASVQLHLDRLDETWTNLMPSLDYAIISTGKWFLKSAIYHENGKSVGCHNCQEKPHIIELGFDYAYNASLRNVMDFLAGEDNSKGTVFFRTSTPDHFQNGEWHSGGTCKQTEPVSDEEIEIKDVHKILKDIEIGQFKRAVREKSGQDGGNLKLLDFTGMLLTRPDGHPGAYRQFRPFDKDKNAKVQNDCLHWCLPGPFDYLNDVILETIVNG >fgenesh2_kg.7__1924__AT4G23750.1 pep chromosome:v.1.0:7:7906817:7908486:-1 gene:fgenesh2_kg.7__1924__AT4G23750.1 transcript:fgenesh2_kg.7__1924__AT4G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKKMVLPRIKFTEHKTNTTTIVPDLTNNHQTRVLRISVTDPDATDSSSDDEEEEHQRFVSKRRRIKKFVNEVALDAGGVTGSCSQMESEKRQKRAVKSETAVSPVVSATTTTTTTEKKFRGVRQRPWGKWAAEIRDPLKRVRLWLGTYNTAEEAAMVYDNAAIQLRGPDALTNFSVSPTTTEKKPPPPSPVKKKKTSKVKKSVTASSSISRSSSNDCLCSPVSVLRSPFAVDEFSGISSPPPAAVVVKEEPSMTTVSETFSDFSAPLFSDDDVFDFRSSVVPDYLGGDLFGEDLFTADICTDMNFGFDFGSGLSSWHLEDHFQDIGDLFGSDPLLAV >fgenesh2_kg.7__1928__AT4G23720.1 pep chromosome:v.1.0:7:7932255:7933354:-1 gene:fgenesh2_kg.7__1928__AT4G23720.1 transcript:fgenesh2_kg.7__1928__AT4G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKSLICCVVFLLHTILFSSTSQSSLIHFNSTTSILNVTLPHSLSQSLEDFALKTLTTQHHTGALYRAILPENLSGIEVSVVRLTGKSLWNSGAKFSSVLIPERSVSVPPARRVAIVYQNLGNWSNHWYTVPGYRLITYVLGFKVLDVSDQDNVKEISLRMKNPVEVSFMDLPKETDEEMLSRVRCVSFKAQTEDEKVTHISRMVLPGVCYGSSHGDYSVVEPLENYKKNVESWWTWWWLWIVGFISGFGVLGFLWFLLTMGIRVSRAKKIQVMMERDANDGEVFENRWIGGSKMPSAAVTRTLPEPESGFERVF >fgenesh2_kg.7__1929__AT4G23710.1 pep chromosome:v.1.0:7:7942895:7944317:-1 gene:fgenesh2_kg.7__1929__AT4G23710.1 transcript:fgenesh2_kg.7__1929__AT4G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit G [Source:UniProtKB/TrEMBL;Acc:D7M920] MESTGIQQLLAAEHEAQQIVNAARTAKMTRLKQAKEEAETEVSEHKTSTEQGFQRKLEATSGDSGANVKRLEQETNAKIEQLKNEASRISKDVVDMLLKNVTTVNN >fgenesh2_kg.7__1930__AT4G23700.1 pep chromosome:v.1.0:7:7949965:7953551:1 gene:fgenesh2_kg.7__1930__AT4G23700.1 transcript:fgenesh2_kg.7__1930__AT4G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCHX17 [Source:UniProtKB/TrEMBL;Acc:D7M921] MGTNGTTCPGPMKATSNGVFQGENPLDHALPLLILQICIVLLLTRLLAFLLRPLRQPRVIAEIVGGILLGPSALGKSSKFINTVFPPKSLTVLDTLANLGLIFFLFLVGLELDPKSLKRTGKRALSIALAGITLPFILGIGTSFALRSSIADGASKAPFLVFMGVALSITAFPVLARILAEIKLLTTDIGKIALSAAAVNDVAAWILLALAVALSGEGSSPLTSLWVFLSGCGFVLFCIFVVQPGIKLIAKRCPEGEPINELYVCCTLGIVLAASFVTDLIGIHALFGAFVIGVIFPKEGNFANALVEKVEDLVSGLFLPLYFVSSGLKTDVATIQGAQSWGLLVLVIFNACFGKIVGTVLVSLYCKVPLDQSLALGFLMNTKGLVELIVLNIGKDRGVSLKDQVFAIMVLMAIFTTFMTTPLVLAVYKPGKSLTKGDYKNRTVEETNRSNKPLCLMFCFQSIMNIPTIVNLIEASRGINRKENLSVYAMHLMELSERSSAILMAHKVRRNGLPFWNKDKTGNNSSSSDMVVVAFEAFRRLSRVSVRPMTAISPMATIHEDICQSAERKKTAMVILPFHKHVRLDRTWETTRNDYRWINKKVMEESPCSVAILVDRGLGGTTRVASSDFSLAITVLFFGGNDDREALAFAVRMAEHPGITLTVVRFIPSEEFKPENVMVEIIEDQVGSGETRLIDIEAITELKAKIKEQESSRSNSDSESQIVYEEKIVKCYEEVIEVINEYSRSNLFLVGKSPEGLVASGVNLERSDTPELGPIGNLLTASESISSVASVLVVQQYTSSRPVVGISKNVTIEESLVEDSESP >fgenesh2_kg.7__1933__AT4G23680.1 pep chromosome:v.1.0:7:7958760:7960156:1 gene:fgenesh2_kg.7__1933__AT4G23680.1 transcript:fgenesh2_kg.7__1933__AT4G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGTYVTEVPLKGSAEKHYKRWKNENHVFPDAIGHHIQDVIVHEGEHDSHGSIRSWNYTWDGKEEVFKERREIDDENKTLTLRGLEGHVMEQLKVYDVIYQFIPKSEDSCVCKITLIWEKRNDESPEPSGYMKFVKSLVADMEEHVIKT >fgenesh2_kg.7__1935__AT4G23660.1 pep chromosome:v.1.0:7:7965683:7969294:1 gene:fgenesh2_kg.7__1935__AT4G23660.1 transcript:fgenesh2_kg.7__1935__AT4G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxybenzoate polyprenyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7M925] MAFFGLSRVSRRLLKSSVSVTPSSSFAVLQSQHKSLSNPVTTHYTNPFTKYYPLWNDNHQVWSKGKELHQEKFLGVGWNYRLVGGMSSSSSVLEGKPKKDDKEMSDGVKEASWIDLYLPEEVRGYAKLARLDKPIGTWLLAWPCMWSIALAADPGSLPSFKYMALFGCGALLLRGAGCTINDLLDQDIDPKVDRTRLRPIASGLLTPFQGIGFLGLQLLLGLGILLQLNNYSRVLGASSLLLVFSYPLMKRFTFWPQAFLGLTINWGALLGWTAVKGSIDPAVVLPLYLSGVCWTLVYDTIYAHQDKEDDVKVGVKSTALRFGDNTKLWLTGFGTASMGFLALSGLSADLGWQYYASLAAASGQLGWQIGTADLSSGADCSRKFVSNKWFGAIIFSGVVLGRSFQ >fgenesh2_kg.7__1936__AT4G23650.1 pep chromosome:v.1.0:7:7969555:7972181:1 gene:fgenesh2_kg.7__1936__AT4G23650.1 transcript:fgenesh2_kg.7__1936__AT4G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 6 [Source:UniProtKB/TrEMBL;Acc:D7M926] MGHRHSKSKSSDPPPSSSSSSSGHHVQPVGERRGSSGAGTGGSRSTTTSTQQNGRILGRPMENVRGTYEFGRELGRGQFGVTYLVTHKETKQLFACKSIPTRRLVHKDDIEDVRREVQIMHHLSGHRNIVDLKGAYEDRHSVNLIMELCEGGELFDRIIAKGLYSERAAADLCRQMVMVVHSCHSMGVMHRDLKPENFLFLSKDENSPLKATDFGLSVFFKPGDKFKDLVGSAYYVAPEVLKRNYGPEADIWSAGVILYILLSGVPPFWGENETGIFDAILQGQLDFSADPWPALSNGAKDLVRKMLKYDPKDRLSAAEVLNHPWIREDGEASDKPLDNAVLSRMKQFRAMNKLKKMALKVIAENLSEEEIIGLKEMFKSLDTDNNGIVTLEELRTGLPKLGSKISEAEIRQLMEAADMDGDGSIDYLEFISATMHMNRIEREDHLYTAFQFFDNDNSGYITMEELELAMKKYNMGDDKSIKEIIAEVDTDRDGKINYEEFVAMMKKGNPELVPNRRRM >fgenesh2_kg.7__1938__AT4G23620.1 pep chromosome:v.1.0:7:7980592:7982727:1 gene:fgenesh2_kg.7__1938__AT4G23620.1 transcript:fgenesh2_kg.7__1938__AT4G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRATTLPKTLQNLRLFSPAANAALSLDHTQETQLTYLPGFPRPDPKHAETILAVPRSVSGKSISAKERKAGRVPSIIFEQEDGQHGGNKRLISVQTNQIRKLVNHLGYSFFLSRLFDVEVRAEIGSDEVIEKVRALPRAIHLHSGTDAPLNVTFIRAPPGALLKVDIPLVFIGDDVSPGLKKGASLNTIKRTVKFLCPAEIIPPYIEVDLSQLDIGQKLVMGDLKVHPALKLIKSKDEPIVKVAGGRVSDTQKK >fgenesh2_kg.7__1941__AT4G23590.1 pep chromosome:v.1.0:7:7989915:7992616:-1 gene:fgenesh2_kg.7__1941__AT4G23590.1 transcript:fgenesh2_kg.7__1941__AT4G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase class I and II family protein [Source:UniProtKB/TrEMBL;Acc:D7M931] MGSHGCVDWQFSGSDAAEKAAAASLGTYSSEIFGLCDPQGKPILPPLSEEAETSHTAEKAVVKAVLCGTGNAYAPSIGLPVAKRAVAEYLNRDLDNKLTGDDVYMTVGCKQAIELAVSILAKPKANILLPRPGFPWDIVHSIYKNLEVRRYEFIPERDFEIDFDSVTEMADENTFAIFIINPHNPNGNYYTEAHLKQLATLARELGIMVVSDEVYRWTVFGSNPFVPMGKFSSIVPVITLGSISKGWIVPGWRTGWLALHDLNGVLRSTKVLKAAKEFLEITSKPPTVIQAAIPTILEKTPQEFFDSRQSFLKDKVDFGYSKLKHIPTLTCYMKPEACTFLWTKLDPLHFVDIEDDHDFCRKLAKEENLVVLPGIAFGQKNWLRHSIDMETPRLEDAFDRLKSFCERHSVIVEASLKGVNGVN >fgenesh2_kg.7__1942__AT4G23570.3 pep chromosome:v.1.0:7:7994930:8012072:-1 gene:fgenesh2_kg.7__1942__AT4G23570.3 transcript:fgenesh2_kg.7__1942__AT4G23570.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGT1A [Source:UniProtKB/TrEMBL;Acc:D7M933] MAKELADKAKEAFVDDDFDVSVDFYSKAIDLDPNCAEFFADRAQAYIKLESFTAEAVADANKAIELDPSLTKAYLRKGTACMKLEEYRTAKTALEKGASMAPSESKFKKLIDECDFQITEEEKDLVQPVPSTLPSSSTAPPVSELDLTPAAKYRHEFYQKPEEVVVTVFAKGIPKQNVNIDFGEQILSVVIDVPGEEAYYLQPRLFGKIIPDKCKYEVLSTKIEIRLAKADIITWASLEHGKGPAVLPKPNISSEVSQRPAYPSSKKVKDWDKLEAEVKKQEKDEKLEGDAALNKFFREIYQNADEDTKRAMSKSFVESNGTVLSTNWQEVGTKKIESTPPDGMELKKWEI >fgenesh2_kg.7__1945__AT4G23560.1 pep chromosome:v.1.0:7:8018358:8021068:1 gene:fgenesh2_kg.7__1945__AT4G23560.1 transcript:fgenesh2_kg.7__1945__AT4G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7M934] MGKLLVLMLVMMFLAFESLEALDYGDALNKSILFFEGQRSGKLPTNQRVKWRADSALSDGSLANVNLIGGYYDAGDNVKFVWPMSFTTTLLSWAAIEYQNEISSVNQLGYLRSTIKWGTDFILRAHPSPTMLYTQVGDGNSDHSCWERPEDMDTPRTLYSISSSSPGSEAAGEAAAALAAASLVFKSVDSTYSSTLLSHAKSLFEFADKYRGSYQASCPFYCSYSGYQDELLWAATWLYKATGEKSYINYVISNKDWSQAVNEFSWDNKFAGAQALLASEFYNGTNDLAKFKTDVESFVCALMPGSSSQQIKPTPGGLLFIRDSSNLQYVTTATTVLFHYSKTLTKARVGSIQCGSTQFTLSQIRNFAKSQVDYILGNNPMKMSYMVGFGTKYPTQPHHRGSSLPSIQSKPEKIDCNGGYSYYNSDTPNPNVHTGAIVGGPNSSDQYSDKKSDYSHAEPTTYINAAFIGPVAALISSSG >fgenesh2_kg.7__1947__AT4G23550.1 pep chromosome:v.1.0:7:8021855:8023128:-1 gene:fgenesh2_kg.7__1947__AT4G23550.1 transcript:fgenesh2_kg.7__1947__AT4G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 29 [Source:UniProtKB/TrEMBL;Acc:D7M935] MDEGDLEAIVRGYSGSGSAFSGESSSGFSPSFCLPMETSSFYEPEIETTGLDELGELYRPFYPFSTQTILTSSVSLPEDSRSFRDDKKQRSHGCLLSNGSRVDHIRIPETKSKKSKKNQQKRVVEQVKEENLLSDAWGWRKYGQKPIKGSPYPRSYYRCSSSKGCLARKQVERNPQNPEKFTITYTNEHNHELPTRRNSLAGSTRAKTSQTKQSLTKKSGKEVVVSSPTSNPMIASADESSVAIQEMGVVEISTYQAAGEIQGMSNGLPSDLMSGSGTFPCFTGDFDELLNSQEFFSGYLWNY >fgenesh2_kg.7__1953__AT4G23500.1 pep chromosome:v.1.0:7:8061393:8064222:-1 gene:fgenesh2_kg.7__1953__AT4G23500.1 transcript:fgenesh2_kg.7__1953__AT4G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7M940] MPTSLSTAGYLLFLLLISYDGGFIGAADAEHDLRATDLHNHRHRRHRSHRRGEEFEYSAISCRAYSASLDEFGAVGDGVTSNTAAFRDAVSQLSRFADYGGSLLFVPAGRWLTGSFNLTSHFTLFLHRDAVILASQEESDYEVIEPLPSYGRGRDTDGGRFISLLFGSNLTDVVITGENGTIDGQGEPWWGKFKRGELKYTRPYLIEIMHSDGIQISNLTFLNSPSWHIHPVYSRNIFIQSLTILAPVTVPNTDGINPDSCTNTRIEDCYIVSGDDCIAVKSGWDQYGINYGMPTKQLLIRRLTCISPDSAVIALGSEMSGGIEDVRAEDIVAINSESGIRIKTAIGRGGYVKDVYVRGMTMNTMKYVFWMTGSYGSHPDEHYDPKALPVIQNINYQDMVAENVTMPAQLAGISGDQFTGICISNVTITLSKKPKKVLWNCTDVSGYTSGVTPQPCQLLPEKQPGTVVPCNFPEDPIPIDEVKLQRCYSRRRNM >fgenesh2_kg.7__1954__AT4G23496.1 pep chromosome:v.1.0:7:8072146:8072873:1 gene:fgenesh2_kg.7__1954__AT4G23496.1 transcript:fgenesh2_kg.7__1954__AT4G23496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSFGGGQSSLGYLFGSDNEIPKTPPPVAPKPAPPYGVDSTEDNEADKKPMISNNNYQRAQGQNSGNFVTDRPTTKVKSVPGGGSSLGYLFGDK >fgenesh2_kg.7__1955__AT4G23493.1 pep chromosome:v.1.0:7:8073470:8074402:1 gene:fgenesh2_kg.7__1955__AT4G23493.1 transcript:fgenesh2_kg.7__1955__AT4G23493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFPALIEKLKPIFTVSGSNGKVVRTIVPKKPVNENISESETMKTMEETVEPMVAFSRPPPFSPFVGPVLVYSLLQSWSSRDEDG >fgenesh2_kg.7__1956__AT4G23490.1 pep chromosome:v.1.0:7:8076530:8079491:1 gene:fgenesh2_kg.7__1956__AT4G23490.1 transcript:fgenesh2_kg.7__1956__AT4G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNQKDSSEKPIWDRSSSSTSIPGMWSLTRPGPKLMVWLICFIVFTYIIYMLKLVSTSRSCDDSTSLTTVSALSTNVSSNVSSLSTSLASRRREWEEEGEDTVVDKLTDLNHVVFGIAASSKLWKQRKEYIKIWYKPKHMRGYVWLDKEVRKSVSDDDDDEKLLPPVKISGGTASFPYTNKQGQRSALRISRIVSETLRLGPKNVRWFVMGDDDTVFVTDNLIRVLRKYDHEQMYYIGSLSESHLQNIFFSYGMAYGGGGFAISYPLAKALSKMQDRCIQRYPALYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLFGLLAAHPVTPFVSMHHLDVVEPIFPNMTRVRALKKLTQPMKLDSAGLLQQSICYDKHKSWTISVSWGYAVQIFRGIFSPREMEMPSRTFLNWYKRADYTAYAFNTRPVSRNPCQKPFVFYMSSTKFDQQLNTTVSEYTIHRVSHPSCRWKMTNPAEINTIVVYKKPDPHLWERSPRRNCCRVLQTKRNNTLWINVGVCRAGEVTEVK >fgenesh2_kg.7__1958__AT4G23470.1 pep chromosome:v.1.0:7:8079575:8081925:-1 gene:fgenesh2_kg.7__1958__AT4G23470.1 transcript:fgenesh2_kg.7__1958__AT4G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMELRKNFRNVWHTDLTHSIQNDTPYCCFALWCAPCASYLLRKRALYDDMSRYICCAGYMPCSGRCGETKCPQLCLATEVFCCFPNSVASTRFLLQDEFQIQTTKCDNCIIGFMVCLSQVACIFSIVACIVGIDELSEASQLLSCCSDMVYCTVCACMQTQHKMEMDKRDGKFGPQPMAVPPAQQMSRFDQAIPPAVGYPPQQGYPPSGYPQHPPQGYPPSGYPQHPPPSAYSQYPPGAYPPPAYPK >fgenesh2_kg.7__195__AT4G39780.1 pep chromosome:v.1.0:7:751429:752550:-1 gene:fgenesh2_kg.7__195__AT4G39780.1 transcript:fgenesh2_kg.7__195__AT4G39780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDMFNSNTDFSDPFQEELMKALQPYSNTVSSSSSPSPYSNTVFGFNQTTPLGLNQLTPYQIHQIQNQINQRRNIISPNLVPKPVPMKNMATQKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAAMAYDLAAYKLRGEFARLNFPQFRHEDGYYGGGSCFNPLHSSVDAKLQAICQSLRKTEDVDLPCSETELFPPKTEYQESEYGFLRSDENSFSDESQVESSSPESGITTFLNFSDSGFDEIGSFGLEKFPSVEIDWDAISKLSES >fgenesh2_kg.7__1960__AT4G23460.1 pep chromosome:v.1.0:7:8082086:8087441:1 gene:fgenesh2_kg.7__1960__AT4G23460.1 transcript:fgenesh2_kg.7__1960__AT4G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-adaptin-like protein [Source:UniProtKB/TrEMBL;Acc:D7M945] MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQKCLKDDDPYVRKTAAICVAKLFDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQENSTGPIFEINSTTLTKLLTALNECTEWGQVFILDALSRYKAADPREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVIRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLENFPEEPAQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVITDDSNQLEPSLLDELLANISTLSSVYHKPPEAFVTRLKTTVQKTEDEDYVEGSETGYPEASGNPVDGAASPPATTGYVPKSAAAPAPVPDLLGDLMGSDIAAIVPVDEPTAPSGRPLPVVLPASKGQGLQISAQLTRQDGQVFYSMLLENNSQSLLDGFMIQFNKNSFGLAAVGPLQVPPLQPGASARTMLPMVLSQNMSAGPTSSVLQVAVKNNQQPVWYFEDKIVLHALFSEDGRMERGTFLETWRSLPDSNEVQKEFSGITITSVESTLDLLAASNMFFIAKRKNGNQDVLYLSAKVPRGIPFLIELTAMVGQPGLKCAVKTPTPEIAPLFFEAVEILFKA >fgenesh2_kg.7__1962__AT4G23440.1 pep chromosome:v.1.0:7:8090276:8093333:-1 gene:fgenesh2_kg.7__1962__AT4G23440.1 transcript:fgenesh2_kg.7__1962__AT4G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor [Source:UniProtKB/TrEMBL;Acc:D7M947] MSSSSSAAFFSANQSPFFSPRSPKIQQELSESTRSDAQCDSFDPLSSSSGFQEPEHAFLTAPNQCQSLEAADRIASSSVISCTPSRYGRGHESSSYTQTSSVSVSYNRLRCCDVFIGLYGQKPSLLRFADWLRAELEFQGMSCFMSDRGRCRSSRKQRIVERAMDGASFGVIILTRKAFKNPYTIEELRFFANKKNLVPVFFDLSPGDCLVRDIVEKRGDLWEKHGGELWVLYGGIEKEWKEAVHGLSRVDDWKLEAHEGNWRDCVFRAVTLLAMRLGRRSIVERLTKWRDKAEKEEFPYPRNENFVGRKKELSELEFVLFGDVAKDSERDYFELKARPTRRKKNITLGWNKSGSAEERRKKGKEKVVWKESEKEIEMQNTELPSRSQVKVGRNTRRKRSMKIVYGKGVACISGESGIGKTELLLEFAYRHHQRYKMVLWIGGESRYIRQNYLNLYQYLEVDIGIENSSDKTRMKSFEEQEDAAVSKIRKELMRNIPFLVVIDNLESEKDWWDSKLVMDLLPRFGGGTHILISTRLSQVMNMEPLKLSYLSGAEAMTLMQGNVKDYPVSEMDALRTIEDKLGRLTLGLAVVGAILSELPINPSRLLDTINRMPLREMVSSGRDGNLLRKNAFLLQLFEVCFSIFDHADGPRSLATRMVVASGWLAPAPVPASLLALAAHKLPEKHRGPKRLWRRLRRAITCGFTSSNSKRSGAEAASMLLRFNIARTSSIKLGFIQIHELVKLYARNRVLVNDNAPAMVQAVISRGSTVETAEQIWAVCFLLFGFSNESPTIQLKITELLILVKQVILPLAIRTFISFSRCTAAVELLRVCTNALEAADQTLVTPVEKWLDKSLCWRPVQTSAQLNPILWEELALARATVLETRAKLMLRGGQFGLADDLIRKAIFIRTSISGEDHPGTVSARETLSKLTRLLSNVHQIHNTSP >fgenesh2_kg.7__1964__AT4G23430.1 pep chromosome:v.1.0:7:8101574:8105709:-1 gene:fgenesh2_kg.7__1964__AT4G23430.1 transcript:fgenesh2_kg.7__1964__AT4G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7M948] MWFFGSKGASGFSSRSTAEEVTHGVDGTGLTAIITGASSGIGVETARVLSLRGVHVVMAVRNTGSGAKVKEDIVKQVPGAKLDVMELDLSSMESVRKFASEYKSAGLPLNLLINNAGIMACPFMLSKDNIELQFATNHLGHFLLTKLLLDTMKNTSRESKREGRIVNLSSEAHWFSYPEGVRFDKINDKSSYSSMRAYGQSKLCNVLHANELAKQLKEDGVNITANSVHPGAIMTNLGRYFNPYLAGAVGAVAKYILKSVPQGAATTCYVALNPQVAGVTGEYFADSNIAKPLPLVKDIELAKKVWDFSTKLTDSQSGESSS >fgenesh2_kg.7__1969__AT4G23400.1 pep chromosome:v.1.0:7:8110083:8111701:-1 gene:fgenesh2_kg.7__1969__AT4G23400.1 transcript:fgenesh2_kg.7__1969__AT4G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIP1_5/PIP1D [Source:UniProtKB/TrEMBL;Acc:D7MDU0] MEGKEEDVNVGANKFPERQPIGTAAQTESKDYKEPPPAPFFEPGELKSWSFYRAGIAEFIATFLFLYVTVLTVMGVKRAPNMCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYIVMQCLGAICGAGVVKGFQPGLYQTNGGGANVVAHGYTKGSGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKGHAWDDHWIFWVGPFIGAALAALYHQIVIRAIPFKSKT >fgenesh2_kg.7__1970__AT4G23390.1 pep chromosome:v.1.0:7:8112593:8114719:1 gene:fgenesh2_kg.7__1970__AT4G23390.1 transcript:fgenesh2_kg.7__1970__AT4G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSNKLILLTLSTMALILVGESHKSVPSDEEEEEMEKLLNYLNKPALKSFQTEPGYILDCIDIQKQLAFDHPLLKNHSIKLKPTIIPKWTKDNNTSHKSSSLPFRQDGISCPVGTVIVKRIILEDLIQAQRLKSLGFNYPGQISSKDKKIDLTGHHFATISYKDYHYGAKGNINVWNPNVSPDQFSLAAMTVSGNEGFQSISAGWIVYPGLYHNNQSRLFTYWTADGNNKTHCYNTLCPGFVHVSTKYAIGMLVQPVSIYDGQQYQLEVSIYQDHVTGDWWFVLNNEPIGYWPKSLFKPQGLADGASAVFWGGEVYSSVKEKSPSMGSGHFPQEGYKKAAYVNGFKIITDITKEVSSPLASALKTVADSPNCYNVKKILGVGEYWSRAILFGGPGGCTF >fgenesh2_kg.7__1972__AT4G23370.1 pep chromosome:v.1.0:7:8119108:8126165:1 gene:fgenesh2_kg.7__1972__AT4G23370.1 transcript:fgenesh2_kg.7__1972__AT4G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSDKLLLLTFSALSLILVVESHRPILLEDNENELVRLLNYINKPPIKSFQKFPTSSNFFKRGAYLYVSSKSKNIDLTGFHFAVAQYKNSHYGAKGNLNLWEPEVSPNQFSLASMLISRGLNEQFQGIRAGWIVYQWLNRNHTRLYTYWTTDGFKKTGCYNTLCPGFIQHQAINYQNFNCYFEKFLEMSLIHQQLRLLGPVKEKSPSMGSGHFPEEGFKKAAYVSGIEVVEDTKLEGSMGPPLHSLTTFSSTPNCYKAIKKPGIGELWDNAIFFGGPGGSIALILVSESYRTKQLEEEENELERLLKNINKPAIKSFRTKHGDTLDCIDIHKQLAFDHSLLINHSIQLRPTTIPKWTISNNNNSEKGGSLPFRQDGISCPLGTVIVKRTTLEDLIQARSLKSMGFKSSRYVSSNGKNIDLSGYHFAVAQYKKFHYGAKGNLNIWEPEVSPNQFSLASITIAAGSNEQFQGIRAGWIADGFEKTGCYNTLCPGFVQVSTDIPLGYLLQPVSIYGGKQYEVGINMYKDHITGNWWLVAFNDNYVGYWPKSLFTAVGLGHGGSLASWGGEVYSPVKEKSPRMGSGHFPEKRSYTKVAYMNDFVVYNDLGSVATKERRAIQTKAEMKEMERQLKAINKPAIKSFKTEHGDIFDCIKIHKQLAFDHPLLKNHSVQLKPTTVPEWITGNNISGTFDLLQEGISCPDGTVIVKRTTMQDLMHAKRLKSMGFNGPRSFLKERNNTNSNGKFYFAKADFGPDSFSGVKGNINIWKPKILLDQVSFAFISVGGGPKEKFASISAGWMVNPSLYYGDYVRLYASWTVSFDLSTSQGLISIHGSQTGCYDMSCPGFVQVSKTTPISAILQPISIYDGPQYELRLSLYQDRVKGDWWFAFKDENIGYWPASLLKSWRESNNANYASWGGQVYSPVTEKALVMGSGHWPIGGLKKAAYVSGIKIIDRSGTVFDPEVGSVKVHESRPNCYKAIYVHEDDEPWLRAVYFGGPGGCIGR >fgenesh2_kg.7__1973__AT4G23340.1 pep chromosome:v.1.0:7:8130842:8132133:1 gene:fgenesh2_kg.7__1973__AT4G23340.1 transcript:fgenesh2_kg.7__1973__AT4G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELQSSLQLPVLDLTQPIESSVLSSLSEACKEWGFFYVTNHGISKEMFSKICSLSRDVFKVPLELKLKLGPFSYTPRYIASPYFESLVVSGPDFSGSAKASADVLFQDHHKPELREKLQEYGAKMAELSKRLIKILLMITLGDETGKIFYQTEFSNCHGYLRLVNYTPPHDVEKQKDLVEGLGMHTDMSCITIVYQDSVGGLQMRSKEGKWIDINPCEDLLVVNIGDLMQAWSNGRLRSSEHRVVLRKLVNRVSLAFFLCFEDEKVILAPEEIVGEGNCRSYKSFKCSDYLKFRQSNEVGKFEKIGYTVTDFAGLTLAQPDDP >fgenesh2_kg.7__1975__AT4G23260.1 pep chromosome:v.1.0:7:8146728:8152365:1 gene:fgenesh2_kg.7__1975__AT4G23260.1 transcript:fgenesh2_kg.7__1975__AT4G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSCELVLCFSYFFVICFSAISVSAQTCENTTGTFIPNSPYDKNRGLILSPLASNVTAHEGYFIGSTGISPDQVFAMGMCAPGSERDVCFLCIRTTSESLLQSCPNQADAFFWSGEETLCLVRYSNRPFSGLLVMDPLGAIFNTGELNTNQTEFDIVWNNLTSSMIAGITSFSSGANNSSKYYSDDIALVPDFKNISALMQCTPDVSSEDCNTCLRKNVVDYDNCCRGHQGGVLSRPNCFFRWEVYPFSGAIDQINLPKSPPPSITPPSPIINITKKGKISGGTIAAIVVVIVVTIILVVLGLVISNRRKQKQDMDLPTESVQFDLKTIESATSNFSERNKIRIFKGMLMNGTEIAVKRLSKTSGQGEVEFKNEVVVVAKLQHINLVRLLGFSLQGEEKLLVYEFVSNKSLDYFLFDPTKRNQLDWTMRRNIIGGITRGILYLHQDSRLKIIHRDLKASNILLDADMNPKIADFGMARIFGVDQIVANTGRVVGTFGYMSPEYVTHGQFSMKSDVYSFGVLILEIISGKKNSSFYQMDGLVNNLVTYVWKLWENKSLHELIDPVIKEDCKSDEVIRYIHIGLLCVQENPADRPTMSTIHQVLTTSSITLPVPQPPGFFFRNGPGSNPSSQGLVPGQSSSKSFTSSVDEATITYVTPR >fgenesh2_kg.7__1976__AT4G23220.1 pep chromosome:v.1.0:7:8202342:8212659:1 gene:fgenesh2_kg.7__1976__AT4G23220.1 transcript:fgenesh2_kg.7__1976__AT4G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MDV6] MVRYSNSSFFGSLKAEPHFYIHNQDDIRSNLTEFDQVWEELARRMIANTTSPSSKSKYYAADIAALTDFQIIYALMQCTPDLSLEDCHICLRQSVGDYESCCNGKQGGIVYRASCVFRWELWPFSEAFSRISLAPPPPSPVILSPPAGNKTNTTTKKGSITISIGIIGAIVIPTVIIIFLVLLALGFVVYRRRKLYQGSSTDITITHSLQFDFKAIEDATNKFLESNIIGRGGFGEVFKGVLNGTEVAIKRLSKASRQGAREFKNEVVVVAKLHHRNLVKLLGFCLEGDEKILVYEFVPNKSLDFFLFDPTKQGQLDWKKRYNIIRGITRGILYLHQDSRLTIIHRDLKASNILLDADMNPKIADFGMARIFGIDQSGANTKKIAGTRGYMPPEYVMQGQFSTKSDVYSFGVLVLEIICGRNNRFVHQSDTTVENLVTYAWRSWRNGSPLEMVDPTISENCDTEEVTRCIHIALLCVQHNPTDRPSLSTIYMMLTNNSHILPDPQQPGFYFPDKSNQEQDGIESSQSTNKSNSQTINDVTITDLEPR >fgenesh2_kg.7__1977__AT4G23210.2 pep chromosome:v.1.0:7:8217899:8220523:1 gene:fgenesh2_kg.7__1977__AT4G23210.2 transcript:fgenesh2_kg.7__1977__AT4G23210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MDV8] MKQRSFLSILCFVLLTFGVASVSAQTCIQNRKYFIPNGTYDSNRLLLLSTLPNNTASQDGFYYGSIGEEQDRVYALGMCIPRSTPSDCSNCIKGAAGWLIQDCVNQTDAYYWALDPTLCLVRYSNISFSGSAAFWETTPQYLVLNTATIASDLTEFKTIWEDLTSRMITAASAARRTPSSSDNHYRVDFANLTKFQNIYALMQCTPDISSDECNSCLQQGVLEYQSCCGNNTGGYVMRPICFFRWQLFTFSKAFDNITLATPPSPPLSPPPLQRPVVASQPPSAKDRPKTTDNSKSMQSYDLFKDSAGRGNISMKTIVAIVVVVLVIVIILALLACRFARKGKPYQEVELNQTGITTVRSLQYKFKTIKSATKKFSDKIGQGGFGSVFKGMLPDGKEIAVKRLSKSSEQGEKEFKNEVVVVAKLQHRNLVRLLGFSVKGEEKILVYEFVPNKSLDCFLSDPIKQRELDWTKRYMIIGGIAKGIQYLHHGSRIKIIHRDLKAENILLDGDMNPKISDFGMARIFGMDQTRANTRRIVGTE >fgenesh2_kg.7__1979__AT4G23180.1 pep chromosome:v.1.0:7:8228931:8233335:-1 gene:fgenesh2_kg.7__1979__AT4G23180.1 transcript:fgenesh2_kg.7__1979__AT4G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYASFFFLFLFSFLTSFRVSAQDPTYVYHICSNTANYSSNSLYNTNLRTLLASLSSRNASYSTGFQNATVGQAPDRVTGLFNCRGDLSTEVCLCRRCVTFAVNDTLSRCSNEKEVTIYYDQCMLRYSNGNILSTLSTGGGVFMWNPQNVTSNQLDQFRDLVGTTMNQAAAEAASNSRKFDARKANFTALQKLYGLVQCTPDLTRQDCLSCLQQSINQLPTDKIGGRFMMQSCSSRFELYAFYNESAITTPSPPPPPPVSTPPVSAPPRPGKGGNSTVLVIAIVVPIIVAVLLFIVGYCFIAKRAKKTYDTTSAFDGDDITTADSLQLDYRKIQTATDDFAESNKIGQGGFGEVYKGTLSDGTEVAVKRLSKLSGQGEAEFKNEVVLVAKLQHRNLVRLLGFCLDGEERVLVYEYVPNKSLDYFLFDPAKQSQLDWTRRYKIIGGVARGILYLHQDSRLTIIHRDLKASNILLDADMNPKIADFGMARIFGLDQTQENTSRIVGTYGYMSPEYAMHGQYSMKSDVYSFGVLVLEIISGKKNSSFYQTDGAHDLVSYAWGLWSNGRPLELVDPAIVDNCQRSEVVRCVHIGLLCVQEDPAERPTLSTIVLMLTSNTVTLPVPRQPGLFFQSRIGKDPLDTDTTSKSLLGSVDDASITDVYPR >fgenesh2_kg.7__1980__AT4G23150.1 pep chromosome:v.1.0:7:8248820:8251022:-1 gene:fgenesh2_kg.7__1980__AT4G23150.1 transcript:fgenesh2_kg.7__1980__AT4G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MDW3] MSSLTTFIFLFLFSFLTTFTVSAQEPNDLAYWCPNRTTYSSNSTYFTNLKTLLSSLFSPNASYSTGFQNATVGQAPDRVTGLFLCRGDLSPEVCRNCVAFSVNETLTRCPNQREVVFYYDECMLRYSHKNILSTANTNEGEYIMRNPNNISPIQNQTNQFIILVLSNMNQAATEAANSSRKFSTIKTELTALQTLYGLVQCTPDLSRENCMSCLTSSINRLPFSRIGARHLWPSCNSRYELYAFYDETAIGTPPPPLPPLASPSLPGKSGNSNLLVVAIVVPIIVAVLLLIAGYCFYAKRAKKTYGTAPALDEDDKTTIESLQLDYRAIQATTNDFSENNKIGRGGFGDVYKGTFSNGTEVAVKRLSKTSEQGDTEFKNEVVVVANLRHKNLVRILGFSIEGEERILVYEYVENKSLDNFLFDPAKKGQLYWTQRYYIISGIARGILYLHQDSRLTIIHRDLKASNILLDADMNPKIADFGMARIFGMDQTQQNTSRIVGTYGYMSPEYAMRGQFSMKSDVYSFGVLVLEIISGRKNNSFNETDDAQDLVTH >fgenesh2_kg.7__1981__AT4G23130.2 pep chromosome:v.1.0:7:8257080:8259647:1 gene:fgenesh2_kg.7__1981__AT4G23130.2 transcript:fgenesh2_kg.7__1981__AT4G23130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich rlk5 [Source:UniProtKB/TrEMBL;Acc:D7MDW5] MSAYASLNSLFLLTFFIASLRASALLQDPTYVGDVCTNRISRNSTYFSNLQTLLTSLSSNNAYFSLGSHSLTKGQNSDMVFGLYLCKGDLSPEACRDCVIFATKDTRSRCPGGKEFLIQYDECMLGYSDRNIFMDTVTTTTIITWNTQNVTVEQSDRFNDAVVSLMKKSAEEAANSTSKKFAVEKSDFSSSQSLYASVQCIPDLTSEDCVRCLQQSIKELYFNKVGGRFLVPSCNSRYELYPFYKETVEGTVLPPPVSSPPLPPVLTPPFPPGKGKNSKVIIIAVVVPVAICVLLFVAVFSFHVRKRAKKPSDTEVANDGEDDITTAGSLQFDFKVIEAATDKFSMCNKLGQGGFGQVYKGILSNGVQVAVKRLSKTSGQGEKEFKNEVVVVAKLQHRNLVKLLGFCLEREEKILVYEFVSNKSLDYFLFDSRMQSQLDWTTRYKIIGGIARGILYLHQDSRLTIIHRDLKAGNILLDADMNPKVADFGMARIFEMDQTEAHTRRVVGTYGYMSPEYAMYGQFSMKSDVYSFGVLVLEIISGKKNSSLYQMDASFGNLVTYTWRLWSDGSPLELVDSSFLENYQRNEVIRCIHIALLCVQEDTENRPTMSAIVQMLSTSSIALDVPQPPGFFFRSKHEEAGPSIDKSSLCCSIDAASITIVAPR >fgenesh2_kg.7__1985__AT4G23100.1 pep chromosome:v.1.0:7:8270827:8274662:1 gene:fgenesh2_kg.7__1985__AT4G23100.1 transcript:fgenesh2_kg.7__1985__AT4G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSQAGGSYTVVPLGCSKTGTKSVSGGVRNLDVLRMKEAFVSSYSRSLSTKSMLLRSVKRSKREHQLIVAASPPTEEAVVATEPLTREDLIAYLASGCKTKDKYRIGTEHEKFGFEVNTLRPMKYEQIAELLNGIAERFEWEKVMEGDKIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKWRREDIPIMPKGRYDIMRNYMPKVGTLGLDMMLRTCTVQVNLDFSTEADMIRKFRAGLALQPIATALFANSPFTEGKPNGFLSMRSHIWTDTDKDRTGMLPFVFDDSFGFEQYVDYALDVPMYFAYRKNKYIDCTGMTFRQFLAGKLPCLPGELPSYNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDDDSLQAILDLTADWTPAEREMLRNKVPVTGLKTPFRDGLLKHVAEDVLKLAKDGLERRGYKEAGFLNAVDEVVRTGVTPAEKLLEMYNGEWGQSVDPVFEELLY >fgenesh2_kg.7__1989__AT4G10220.1 pep chromosome:v.1.0:7:8293413:8301145:-1 gene:fgenesh2_kg.7__1989__AT4G10220.1 transcript:fgenesh2_kg.7__1989__AT4G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMILACYIICCSLLMSHCHGVVEETKTLKSKEDLEIEQKLKLFNKPAVKIIKSINGERYGCVDFYKQPGLDHPSMKNHTFHYKMRMSHLERSKMKRETHSNKTFGYFWENGVGCPIGTVPILRVTKDALLKIKSIDSDNSNPQSSWGKTYKPTSSIDNHHFAVVRTTKGKPKSYNGASMNINTFIPSVGPMQFSASRMHFQIGNEFIQVGWIVHPQLYHDFNSRLFVFTNAGGHECYNLFCPDGSGMILVSEDFTPGLLAEQKSIDFAIMKDKINGNWWLLMGTSWEEIGFWPSSRFKESSGTRVEWGGEVYSPSPPNPPMGNSHYPKGSPIVDSYVRLITTVDENYNTDKTVKNTERYSDSCYKVRDATETFFTHVGHLIIYGGPGCK >fgenesh2_kg.7__1990__AT4G23070.1 pep chromosome:v.1.0:7:8312749:8314159:1 gene:fgenesh2_kg.7__1990__AT4G23070.1 transcript:fgenesh2_kg.7__1990__AT4G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:D7MDX3] MLSTAAEEDPEGGRRRDPNNGGETTTATTPDLRWRSWIIPIVVIANVVVFIVVMYFNDCPHKSHHCLAKFLGRFSFESFKSNPLLGPSSSTLEKMGALAWGKIVHKRQVWRLFTCMWLHAGVIHLLANMCCVAFIGVRLEQQFGFVRVGTIYLVSGFCGSILSCLFLQNAISVGASSALFGLLGAMLSELLINWTTYDNKGVALVMLLVIVGVNLGLGTLPPVDNFAHIGGFLGGFLLGFLLLIHPQFEWEENRVSLMPGTIVKPKYNTCQLVLCIIASIVFVAGFTSGLVILFRGDNLNRYCKWCHKLSYSSKSQWT >fgenesh2_kg.7__1991__AT4G23060.1 pep chromosome:v.1.0:7:8314274:8317661:-1 gene:fgenesh2_kg.7__1991__AT4G23060.1 transcript:fgenesh2_kg.7__1991__AT4G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 22 [Source:UniProtKB/TrEMBL;Acc:D7MDX4] MGKASRWFRSLFGVKKPDSGYPDPSVETPSRSTSSNPKRRWSFVKSKREKETATTNQVPPTPPLPNTTPPPPSHLQSSPRRRRKQKPMWEDEGGEDSDKHAIAVAAATAAVAEAAVAAANAAAAVVRLTSTSGRSTRSPVKARFSDGFDDVVAHVSKFYGDGREELAVIKIQSTFRGYLAKRALRALKGLVRLQAIVRGHIERKRMSVHLRRMHALVRAQARVRATRVIVTPESSSSQSNNTKSSQFQNPGPPTPEKLEHSISSRSSKLGHSHLFKRNGSKASDNNRLYTAHRETFSATDEEEKILQIDRKHISSYTRRSRPDMFYSSHLILDNGGLSEPVFATPFSPSSSHEEITSQFCTAENSPQLYSGTSRSKRSAFTASSIAPSDCTKSCCDGDHPSYMACTESSRAKARSASAPKSRPQLYYERASSKRFGFVDVPYCGDTKSGPQKGSALHTSFMNKAYPGSGRLDRLGMPIGYRY >fgenesh2_kg.7__1994__AT4G23040.1 pep chromosome:v.1.0:7:8327088:8330364:1 gene:fgenesh2_kg.7__1994__AT4G23040.1 transcript:fgenesh2_kg.7__1994__AT4G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MDX6] MATPTQEAIDTFMTITGASNAVAVRKLEEYRGNLNRAVNAYFTHGDQNLLHEAPANIPQDDAMDIDDGVTPVLSEARTTVPFPLRDPNFGTSLFDNDPRMPRPPFVSHPREVRQIPIEVKDSNGPSGQSNDAPTIEDVTETSQAHGPAAQEAVIIDEVSDDDIQSAPTGQSRHGVPVGSAHNNLQYYNDIEEQMIRAAIEASKMETGDDVTKPVTVQSAEEEVLRSEGWKASSSEREATEVVSIPVQQCTRASNGRFAAPSSLSEDDDDDDDPDYVEEEEPLVRHRPRRAVSGSRSSLNDDLPRSPEAEGATIHSPDAGNGFPSEWGGISSEEHDEAIMLEAAMFGGISESEYGVPYAHYPQRTQRPPSPSLTAQRLIREQQDDEYLASLEADRVKAEARRLEEEAARVEALEEAKRKEEEARRKVEEEQELERQLVSKEASLPQEPPAGEENAITLLVRLPDGTRHGRRFLKSDKLQSLFDFIDICRVVKPNTYRLVRPYPRHAFGDGECSSTLNDVGLTSKQEALFLELI >fgenesh2_kg.7__1996__AT4G23020.1 pep chromosome:v.1.0:7:8340477:8344247:1 gene:fgenesh2_kg.7__1996__AT4G23020.1 transcript:fgenesh2_kg.7__1996__AT4G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSISSSDHRLPVSKKRLKPLMLRDFLLDDLSSCLSNGFKSFPRLLNTEIKRSGMFHHNRRITCGLAFTHAVHKASTALLTAVKLLPFPSSVKYPSCKRDNKKGLFSRSFWKKLPRRELNVDGENERKTEDREQEIQRCRSFAEFLRESQDQPSDLMSCFHTTDSFSGEATLSNDVVGDSSSFSSENSEATQKKDKIFRRTQLLDQTIQEQILFFLAIATFLSDSVYSALVVMRSGDCVGSHVSDGSSLNDNTEVNEKKLMRKSRRFESLVRLEPVDLEKRIEQYVERQDYNSHIIETEGDQSEIRANRLFALVKSRIIEERNQLLASHVVDNVLLDFFKENGNNETRDEDKLVKIVEEWVMRKQDEEYIMFMSWEVREKREIYTKEMKWGCINGDEKEYVVEELGNGFFTSLIDELIHDLSL >fgenesh2_kg.7__1998__AT4G23010.2 pep chromosome:v.1.0:7:8347970:8350583:1 gene:fgenesh2_kg.7__1998__AT4G23010.2 transcript:fgenesh2_kg.7__1998__AT4G23010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose transporter 2 [Source:UniProtKB/TrEMBL;Acc:D7MDY0] MKEEQTRSLFGISLSDKPTWQQFLICTSGFFFGYLVNGVCEEYVYNRLQFSFGWYFTFIQGFVYLFLIYLQGFTTKHIVNPMRTYVKLSAVLMGSHGLTKGSLAYLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPVHEYISAFLLVLGLILFTLADAQMSPNFSMIGIMMITGALIMDAFLGNLQEAIFTMNPETTQARNSKKKTDSCNVFVQMEMLFCSTVVGLPFLFVPMVLTGEVFRAWTACAQHPYVYGVLVFEAMATFIGQVSVLSLIALFGAATTALITTARKGVTLLLSYLIFTKPLTEQHGSGLLLIAMGIVLKMVPMDSKAPTKIPARPAVRIAGGDGDREEEEERKSLV >fgenesh2_kg.7__1__AT4G38120.1 pep chromosome:v.1.0:7:2747:10237:-1 gene:fgenesh2_kg.7__1__AT4G38120.1 transcript:fgenesh2_kg.7__1__AT4G38120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAAASSSAGRWRTAFLYLRDEIATTPPPPVPLLLQDLLFSQSHSLVSAVSHLPPHELTSDCLFLLDLVSKADGPDWIPVSRHTCQLIHDVCARLLFQLNSSSWPFLLHSFASVLEFLLRQPMPSSPYSTAYFSRIEPVIQCFETLRRLAAMHPENIHLVKFLLRIIPLLHQDLVLSYGFSNQNPPPTLDLEKKLPQQNSLWDFMALAFDMFGRAFSVSESLFPTDVCQCSLEVLRKVMDVLASKGQLVENRFMWRYVLRALQLCLWFCGDYNLLLFFLVYIRLVAPLAALRMFFCFGLTGPPQLSHSDVVHKDKHLNVKLSTLISGVSKNAKNTPYRPPHLRKRDDLNTKQPVYCDWRRLSAHDSCSSDVISSDSDFSDSDGSVPDSYFAQSSKVRIAAIVCIQDLCQADSKSFTTQWVTLFPTSDVLKPRKFEATLMTCLLFDPHLKVRIASASALATMMDGPSPIFLQVAEYKESTKYGSFMPLSNSLGLILMQLHTGILHLIHSDNHGRLLIQLFKILLLLISSTPYSRMPGELLPKVIISLHARINEGFPFKNDKTGLLVAAIGCLTAAFSTFPPQMKVHYMLLDETSAGFDGCEWNSGVLSTLFRFAEQFADASTCIEALQVLRAVALNYPTLVPAYWERVSVLVYKLLQSAVVEDSPTTWKASVRESVGYNGDKVLDGCLRAISGFKGTEDLQYDRLMDTPFTSDCIRSIRISSAPSYGFDNTQEPIFQAGCDQWSEAIRKHIVLVLHHGSAVVRSTTVTCFAGITSSIFAAFNKQEKDFITSSIITAALHDKTPSVRSAACRAIGVISCFPETSLSAEIYEKFIIAVEANTRDSLTSVRITASWALANVCDALRYRVDDRSFEGLKTTSQVVDALIECALRLTEDGDKVKSNAVRALGSISKYVNLRCMTSIKSVDQDVLPFPHQHSSNSHHLSCAGDTRWLERTVQAFLSCVTTGNVKVQWNVCHALSNLFSNETLKLQDMDWAPSVFSILLLLLRDASNFKIRIQAAAALAVPATPLAYGRSFPDVVKGVEHTLQSLHSDRETTPTNFKYKRSLENQLTSTMLHLLSLVSSCHFEALTDFLIRKAAFLEEWLRGLCVTLKEEDNVSGSSGTSTSGGKLKKELISRAIRSLARSLRAGHSSEMALKLQELESNELTEQAMLLDLVNLAL >fgenesh2_kg.7__2001__AT4G22980.1 pep chromosome:v.1.0:7:8367465:8369186:1 gene:fgenesh2_kg.7__2001__AT4G22980.1 transcript:fgenesh2_kg.7__2001__AT4G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHFIQEASETCFNGCCSSPFSSHSMSEKQEELEFSVITTGTSFLTRETKFTSQESLPRLRTSFYDLITAFPDYLQTNQADHLRSTEYENLSSSSHVFGQQQPLFSYSQFREISELESDSLFTLSYKQVSSGKELLSFEGESRFQSRMRKRITSFMNLEESEYHMILTQDRSSAFKIVAELYSFKTNPNLLTVYNYEDEAVEEMIRISEKKGVKPESAEFSWPSTEILSEKLKRRIRISKRRGSKRGLFVFPLQSLVTGASYSYSWMSLAHENDWHVLIDTSALGSKDMETLGLSLFQPDFLICSFTEVLGQDDPSGFGCLFVKKSSSSALSEEPTNPSNLTVVKAEPSWKWKTEYQAGYDEITPVDHEDHKAASTSGSEIVEIESSSEQDKAMIEFRGLDHADSLGLILISRRSKSLTLWLLRALRTLQHPGYHQTEMPLVKIYGPKTKPSRGPSISFNIFDWQGEKVDPLMVERLAEREKIGLRCAYLHKIRIGNKRRSEEAMSLRLSVVSVRLGGFMTNFEDVFKVWEFVSRFLDADFVEKEKWRKKALEKNK >fgenesh2_kg.7__2002__AT4G22970.1 pep chromosome:v.1.0:7:8370422:8380697:1 gene:fgenesh2_kg.7__2002__AT4G22970.1 transcript:fgenesh2_kg.7__2002__AT4G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDDLRLLSLIESSDAGDDIFSSFSDYLKPFSTLSTSRKKQDRATTIRALAKQFLPFLNKSISLLPKRLSVAKSDKEARESALDLFRAYELCLDCLELVSAQLACKPHTVQSQRLRMVYCLDVWGFYENMYSEAFKVLEKLRGSDSKSRNCRLLPEVQDGEADMALVVVDAVAAIFRAVAMGQQLDDKRYRKVLLLLEEVGGWFRVLDAKAYEKFHRAIVTNLGKCSVSLVREAERFDGDLVISFCDLTVKEHYKSAFSKDRIYKFAREVLSVLFRFKDKKMSVTIDISMSLLRSLTCQFEDESNENLMEFVDLVAYCAHKFRAAGDMYCAKVSKKLNEMAAIFLEAIPQLNLVLRLYSTGLSITVCDSKLGESKVKDSTDDWKIQAMFDDDARWQSLVSLLGLVDSYSGDVGNQTGSSLIGGHKNYNNKTHGSCTDKNKKTCWPQYLDALQFLCQPLADLIYSVKRKIVLETEMSCASAHLTTIHDAFLQFCDGCLFLQRCTSDKGDSETDNNKALLNVAMGAFIVSLKTQLKLQISAHLVEDVIASPWIRSQELKYLIATLYNIGIVLYRNKELNKACEALKLCSKASWRCVELHCQMFVNQSSSSDNDMSEDAIMDFVGEACNRCAFYLDILQKCSRHKIRQNIVHILQNWLSAEHLIRRLPGPEAIVKQWVKIERECHTDLDAADSCTTLYSLLSSSQKKSKRGIGKILEQELLAYDRVLPLRSNLGQQTRIKITDILLKDVYVTEDMHIERARILIWKAQMTRTSGTEHITECICFLSEAISILGVLHHGPNKEGSPSSPMLPIAYCLRAFCTQEAEPNSKKVFQDISTSLNLWLKILSLDDSGDSLPTENIIPLLYNMIDLMSVKGCTELHHHIYQLIFRLFKWKKVKLEVCLAMLWECRRLSHALCPSPISDAFIQTLSENCGDKSTCIDFWMDCLKDSKAKLIGFQQNFHDLHNKDEGPFQSDFTIGDIKDAASELISSASLSGNSSFVAAYLYYDLCERLISFGKLSEALSYAKEAYRIRTLIFQEKFKYTAEKKFVKHNDAGKISEIRTFGIKNFQVYRLFATDFWPCGNFLWDINCCYLSPWSVLQCYLESTLQVGILNELIGNGLEAETILSWGKAFSCSQSLFPFIVAFSSALGNLYHKKQCLDLAEIELQNAKEILIANQRDFSCFKCKLKLEVTLDKQLGDISRKKIDRVSQTDGFLHAESLFSAALGKVCCSAWKSCIRSHGEEIAEEIVVDRNGGDGLGHKSSKTKLNIKEPPGNRGSRRGRIANQTCLSKDQDLISEPTSRLTRSMRHSLKEQCQNRSNVPEVVSKKPNFCDRSVGCRGERVLLDTKNAVRGFCICYKEKCQQCFSEGVTESGSLNNLVSLKWKLCHRKLASSILVSLGKCLADSGRVHLAHEALLHSISVLFKSNWSSHNQPSVYQLLEFIGKEVTRDVFAVDRAIILYNLCWLNLRNYHCRDSRSICCDLFHIPFTKLVSWLMLAFVLSREVPILFQKVSRLLASLYLLSSSSAEFSFEYDGSELSASHWVSFFHQASLGTHISYHFISKLSQKHKSRCLSDKECTEATCSSCMVPEDLDLPRLAPERTQDLVQFAKEFFINLPSSTIICISLLGGALNQLLQELMHIRSPVCAWVLISRLNPESQPVATLLSVDSILEDMSDNSANLSSTEATQVKSLKGPWLCPWGATVVDEVAPAFKSILEESYSSSSTPEEDTIESRGLWWKKRKKLDHRLGIFLRNLEASWLGPWRCLLLGEWSNYKLPASVQKKLVNDLKSKCKMEVNEMLLKVILGGGTDNFKGEACVAQLSLRNGCYVGRGGYLYEEDSCKTPTAASNISESRHELALKLIHDAASKLGEQDGHENREPIILVLDPEVQMLPWENIPILRKQEVYRMPSVGCISAVLKKRSEGEPARSHFVSFPLIDPLDSFYLLNPGGDLTDTQDKFESWFRDQNFEGKAGSEPSAIELTEALKTHDLFLYFGHGSGAQYIPRREIEKLDNCSATFLMGCSSGSLWLKGCYIPEGIPLSYLLGGSPAIVATLWDVTDRDIDRFGKALLEAWLQERSDSCSEGGCSQCESLANELAAMNLKGNNNTKRSRKPSSRNKPAQSNVDGSGKIECNHKHRRKIGSFIAAARDACTLQYLIGAAPVCYGVPTGITRKKGIDALLPSTSSSC >fgenesh2_kg.7__2003__AT4G22950.1 pep chromosome:v.1.0:7:8390702:8394227:1 gene:fgenesh2_kg.7__2003__AT4G22950.1 transcript:fgenesh2_kg.7__2003__AT4G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALVIFSPRSKLYEFSSSSIAKTIERYQRRIKEIGINHKRNDNSQQARDETSGLTKKIEQLETSKRKLLGEGIDACSIEELQQLENQLDRSLSRIRAKKYQLLREEIEKLKEQERNLVKENKELKEKWLGMGAPTIASSQSTLSSSEVNIDDNMEVETGLFIGPPDTRQFMKISPQN >fgenesh2_kg.7__2005__AT4G22930.1 pep chromosome:v.1.0:7:8397795:8400070:-1 gene:fgenesh2_kg.7__2005__AT4G22930.1 transcript:fgenesh2_kg.7__2005__AT4G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial dihydroorotase [Source:UniProtKB/TrEMBL;Acc:D7MDY8] MIKTLVSPCTSGFGSHKLKFDRSCNKVKTRAVRMELTITQPDDWHLHLRDADLLHAVVPHSASIFKRAIVMPNLKPPVTTTAAAVAYRDSIMKALPYGSSFDPLMTLYLTDKTQPDEIKLARESGVVYAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVKQNMPLLVHGEVTDPSIDVFDREKIFIETVLQPLIQRLPQLKVVMEHITTMDAVNFVESCKEGSVGATVTPQHLLLNRNALFQGGLQPHNYCLPVLKREIHREAIVKAVTSGSKKFFLGTDSAPHERTRKESSCGCAGIYSAPVALSLYAKVFDEAGALDKLEAFTSFNGPDFYGLPRNSSKVTLKKSPWKVPDVFNFSFGEIVPMFAGETLQWQPLK >fgenesh2_kg.7__2006__AT4G22920.1 pep chromosome:v.1.0:7:8403247:8405242:1 gene:fgenesh2_kg.7__2006__AT4G22920.1 transcript:fgenesh2_kg.7__2006__AT4G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLSANLLLPTKLKPAYSDKRSNSSSSLLFFTNRRSKKKNQSIVPVARLFGPAIFESSKLKVLFLGVDEKKHPSTLPRTYTLTHSDITAKLTLAISHSINNSQLQGWANRLYRDEVVAEWKKVKGKMSLHVHCHISGGHFLLDLFAKFRYFIFCKELPVVLKAFVHGDGNLLNTYPELQEALVWVYFHSNVNEFNKVECWGPLWEAVSPDGHKTETLPDARCAEECSCCFPTVSSIPWSHSLSNEGVNGYSGTQTEGIATPNPEKL >fgenesh2_kg.7__2008__AT4G22890.3 pep chromosome:v.1.0:7:8412273:8414570:-1 gene:fgenesh2_kg.7__2008__AT4G22890.3 transcript:fgenesh2_kg.7__2008__AT4G22890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKMLFSLTSPRLFSAVSLKPTSSFSPSSSRTQWAQLTPAKSISLRRRVFLLPAKATTEQSGPGGGDNVDSNVLPYCSINKAEKKTIGEMEQEFLQAMQSFYYDGKAIMSNEEFDNLKDELMWEGSSVVMLSSDEQRFLEASMAYVSGKPILNDEEYDKLKLKLKIDGSEIVCEGPRCSLRSKKVYSDLAVDYFKMLLLNVPATVVALGLFFFLDDITGFEITYIMELSEPYSFIFTWFAAVPVIVYLALSITKLIIKDFLILKGPCPNCGTENTSFFGTILSISSGGKTNAVKCSNCGTAMVYDSGSRLITLPEGSQA >fgenesh2_kg.7__200__AT4G39820.1 pep chromosome:v.1.0:7:779974:781907:-1 gene:fgenesh2_kg.7__200__AT4G39820.1 transcript:fgenesh2_kg.7__200__AT4G39820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7M959] MDPDLPESETRPEFNPASTDSPVTTNSGTVQTAMDSDSIEARPEATTFELASSQATSVADLPPERFNSLDELTHDLGSLHELSTLGSWQAILEKISQARALFLLTKPHEHLTYLTYQVMALAKLRRSDEAAHELNSLHDFDGTHYRYESFPEIYPNRRGSMVPFSLRWLYALIPTKLGNRQEGLDRLYVLLDFVRDRIREKESQSLDGSVELWKKRETFVMNCLLGFHLGHKEFGVSLDLMKELITRDPLDPVLISKLGSVQMQFGDIEGAKTTFDRVEKMLNEGKSNGLLNEIQFKNLVGRNKALVHVVAKDYVSAVREYDKCIERDNSDIIAVNNKALCLMYLRDLSDAIKVMESALERVPTAALNESLVVNLCSMYELAYVNHTDVKRTLNNWIARVAPDDFDSSCTRV >fgenesh2_kg.7__2013__AT4G22880.1 pep chromosome:v.1.0:7:8415520:8416806:1 gene:fgenesh2_kg.7__2013__AT4G22880.1 transcript:fgenesh2_kg.7__2013__AT4G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVERVESLAKSGIISIPKEYIRPKEELESINDVFLEEKKEDGPQVPTIDLQNIESDDEKIRETCIEELKKAALDWGVMHLINHGISVDLMERVKKAGEEFFGLSVEEKEKYANDQATGKIQGYGSKLANNACGQLEWEDYFFHLVYPEDKRDLSLWPKTPSDYIEATSEYAKCLRLLATKVFKALSIGLGLEPDRLEKEVGGLEELLLQMKINYYPKCPQPELALGVEAHTDVSALTFILHNMVPGLQLFYEGKWVTAKCVPDSIVMHIGDTLEILSNGKYKSILHRGLVNKEKVRISWAVFCEPPKDKIVLKPLPETVSDESPAKFPPRTFAQHIEHKLFGKEQEELVSEKKN >fgenesh2_kg.7__2016__AT4G22860.1 pep chromosome:v.1.0:7:8416964:8421376:-1 gene:fgenesh2_kg.7__2016__AT4G22860.1 transcript:fgenesh2_kg.7__2016__AT4G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDEDMEIESMVFEVKEIDLEYEFDAARWYDFTREELPAESQVAEFWFHSAPSYAPSPFVTKLLLREEVFDDKTEASTRSEDEEVAPDVCDKYKGIFQQPNHLASDLNKTGSRMRSGIFSSQQCSNLKKVPNQPIWKGPTVSNHKHTDKPKFRAKSSIRPTPRSSTLMRPTASQLAKQNNASKFHMQVDQSHDKGSCGTSGTEVQASKRQKLDGGLLRKVADTKQEMSFVHKIPKKDTTLDRNLQHVRTKITIPQEPDFATSQRAHRIRHKNDAKLEQDSTTVYRFKARPFNRKIFEAPSLPIRKKSTPKLPEFQEFHLKTSERAMQHSSAVTTSSHAYKELDKSILTDMLDGDNKESKRPSVMDISKHDVSEGSHVFKARPLNKKILSSRGDMGIFKNSKRETTVPLEFSFHSEKRVQPDLPTDLFSKLSIKSELQPNDGSRTRLFQPKVSKENRMNSFQARNEVPRLAAVKPVSSAGQQIQFGNSGITPETNQQWTARRYLGIR >fgenesh2_kg.7__2018__AT4G22850.1 pep chromosome:v.1.0:7:8422831:8425109:-1 gene:fgenesh2_kg.7__2018__AT4G22850.1 transcript:fgenesh2_kg.7__2018__AT4G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPEDEKPVLELRVRVEDDDNDEKKGPYVKLSEGLKKKQEEEEEEKIDSSRFWFWVKLSLLFAFLATLAVVGYIWIGPLIMDKELIPLIQWEIRTFTHPVCGLLVFASVAIFPTILLPSTPSMWIAGMTFGYGYGFLLIISAAAVGVSLPYFIGQLFCHKIQGWLERYPDQAAVLRAAGEGNWLHQFRLVTLIRISPFPYILYNYCSVATRVKYGPYITGSLLGMVPEVFVAIYTGILVRTLAEASSAEKQGLSVTQVILNILGFLATVATTILITKYAKRQLETMKKEEEVLLQ >fgenesh2_kg.7__2021__AT4G22810.1 pep chromosome:v.1.0:7:8441900:8443469:-1 gene:fgenesh2_kg.7__2021__AT4G22810.1 transcript:fgenesh2_kg.7__2021__AT4G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:D7ME02] MDHGTQNSLPAPFHARDFQLHLQQQQQEFFLHHHQQQRNQTDDDQQGGSGGNRQIKMDREETSDNIANNSGSEGKDIDLHGGSGEGGGGSGGDHQMTRRPRGRPAGSKNKPKPPIIVTRDSANALRTHVMEIGDGCDLVESVATFARRRQRGVCVMSGTGNVTNVTIRQPGSHPSPGSVVSLHGRFEILSLSGSFLPPPAPPTATGLSVYLAGGQGQVVGGSVVGPLLCAGPVVVMAASFSNAAYERLPLEEDEMQTPVHGGGGGGGGSMESPPMMGQQLQHQQQAMSGHQGLPPNLLGSVQLQQQHDQSYWSTGRPPY >fgenesh2_kg.7__2022__AT4G22790.1 pep chromosome:v.1.0:7:8451669:8453373:1 gene:fgenesh2_kg.7__2022__AT4G22790.1 transcript:fgenesh2_kg.7__2022__AT4G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7ME03] MSETSKSESLDPEVSVSEGFCSKTLMQSIFHELNLQMRIGLPLVVMNLLWFGKMTTTSVFLGRQGELNLAGGSLGFSFANVTGFAVLYGISAAMEPICGQAFGAKNFKLLHKTLFMAVLLLLLISVPISSLWLNVHKILIGFGQRKDISFIAKRYLLYLLPELPILSLLCPLKAYLSSQGVTLPIMFTTAAATSLHIPINIILSKAKGMEGVAMAVWITDFIVVILLTGYVIVAERLKENKWKEGGWLDQSAQDWLTLIKLSGPCCLTVCLEWWCYEILVLLTGRLPNPVQAVSILIIVFNFDYLLYAVMLSLGTCVATRVSNELGANNPKGAYRAAYTTLIVGVISGCIGALVMITCRGVWGSLYTHHDQIILNGVKKMMLIMAVIEVVNFPLVVCGEIVRGTAKLSLGMYANLTGFYLLALPLGATLAFKAKQGLEGFLIGFLVGISVCLSILLIFIARIDWEKEAGKAQILTCNTVEEQTSQGS >fgenesh2_kg.7__2023__AT4G22780.1 pep chromosome:v.1.0:7:8461194:8463982:1 gene:fgenesh2_kg.7__2023__AT4G22780.1 transcript:fgenesh2_kg.7__2023__AT4G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSDCSNEYEKLVVRMNMPRVVIDNGVCPNSTVVKIDSARSPGILLESVQLLTDMNLWIKKAYISSDGKWNMDVFHVSDLNGNKLTDENLIRYIEKSIETSHYCKTEGYTGLTALELTGTDRVGLLSEVFAVLADLECDVVEAKAWTHNGRIASMIYVKDGNSGTPIDGDSDRVQRVEGQLRNLLKADDGYQNDTRTCVSYGGNTHMERRLHQRMFMDRDYEKKFDIEKSPIVSVQNLPKRGYSVVNLQCKDRLKLLFDVVCTLTDMAYIVFHAAIRTVGETAFLEFYVRHSDGHPVSSEPERQRLIQCLQAAIERRTVKGVRLELCTADRPGLLAEVTRVLRENGLNIARAEISTKDGVARNVFYVTDANGNLIDPEIIQSIREKIGIDDLSVKEPFPISCREAVEKEQHQEAQDHQGRYGGGTVLVSLGSLVMRNLYHLGLIKSYF >fgenesh2_kg.7__2029__AT4G22730.1 pep chromosome:v.1.0:7:8505407:8508213:-1 gene:fgenesh2_kg.7__2029__AT4G22730.1 transcript:fgenesh2_kg.7__2029__AT4G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSATLLILLSIFFSTPSNVRGNAELKALMELKSSLDPENKLLRSWTFNGDPCDGSFEGIACNQHLKVANISLQGKRLAGKLSPAVAELKCLSGLYLHYNSLSGEIPQEITNLTELSDLYLNVNNFSGEIPADIGSMDGLQVMDLCCNSLTGKIPKNIGTLKKLNVLSLQHNQLTGEVPWSLGNLSMLSRIDLSFNNLLGLIPKTLANIPQLETLDLRNNTLSGFVPSGLKKLNGSFQFENNTGLCGMDFPSLRACSAFDNANIEQFKQPPGEIDTDKSALHNISESVYLQKHCNKTQCKKSSSKLPQVALISSVITVTITLLGAGILTFFRYRRRKQKISNTPEFSEGRLSTDLQKDFRASPLVSLAYTKEWDPLGDSRNGAEFSQEPHLFVVNSSFRFNLEDIESATQCFSEANLLSRNSFTSVFKGVLRDGSPVAIRSINISSCKNEEVEFMNGLKLLSSLSHENLMKLRGFCCSRGRGECFLIYDFASKGKLSNFLDIQEHETNQVLDWPARISIIKGIAKGIAYLHGSDQQKKPTIVHRNISVEKILLDEQFNPLIADSGLHNLLADDMVFSALKTSAAMGYLAPEYVTTGKFTEKTDIFAFGVIILQILSGKLMLTSSLRIAAENGEHSGFIDEYLREEFDKPEAIAMARIGISCTQEIPNNRPNIETLLEDINCMKSE >fgenesh2_kg.7__202__AT4G39840.1 pep chromosome:v.1.0:7:789948:791846:1 gene:fgenesh2_kg.7__202__AT4G39840.1 transcript:fgenesh2_kg.7__202__AT4G39840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLKYLCFGKVLLLLLYSSLFLFSSSSFFASSVDDHELQQIDPHFRVRRLLVKDLDSTGDDDESNLPPPPKKKKLITDSVSSSSSSSGTKKNQTKLIKPISSSSSSTKNQTKLAKTSMGTSHKLNSTKSSSNTTKTSPELKKLNSGTKSTNSTSSIKKSADLSKSSSPKNKTTTKSKLSSPPPSEKKSPPSSKPVTKSKQPEKEIKPFWLDDEEDEDFVSEFRDLPTKFQRSLIPDLERISTTSKNYINKANKEITKNFKPYFGNKYAPTIASVVSFVFILVPLLLVSLIFNRFKAYFSLQKILIFIQIYLSIYFSILCLSSLVTGIEPLKFLYATSSSTYVCLQILQTLGYVFYLLLLLMYLVLVFSTDCGLGLKVLGLAQTFVGFAVGLHYYVAVFHRVVLRQPPKTNWKIHGVYATCFLLICLLSNAERRKKEYLEEGGDEGKKN >fgenesh2_kg.7__2034__AT4G22680.1 pep chromosome:v.1.0:7:8530579:8532386:1 gene:fgenesh2_kg.7__2034__AT4G22680.1 transcript:fgenesh2_kg.7__2034__AT4G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTAEEDKKLINFILTNGHCCWRALPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLSHDEEQLVIDLHAHLGNKWSKIASRLPGRTDNEIKNHWNTHIKKKLLKMGIDPMTHQPLPQEPSNIGNSKTISSNPADAISVEPKTTNTKDVEISGTTTEEESSSTVTDQNSSMDSENHLIDNIYNDDELFSYLWSDETTKAEASWSDSNFGVGGTLYDNNISGADADFPIWSPERINDEKMFLDYCQDFGVHDFGF >fgenesh2_kg.7__2035__AT4G22670.1 pep chromosome:v.1.0:7:8533600:8536230:-1 gene:fgenesh2_kg.7__2035__AT4G22670.1 transcript:fgenesh2_kg.7__2035__AT4G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTKLSELKVFIDQCKSDPSLLTTPSLSFFRDYLESLGAKIPTGVHDEEKDTKTRSFVVEESDDDMEETEEPKPKVEEEEEDDEIVESDVELEGDTVEPDNDPPQKMGDSSVEVTDENREAAQEAKGKAMEALSEGNFDEAIEHLTQAITLNPTSAIMYGNRASVYIKLKKPNAAIRDANAALEINPDSAKGYKSRGMARAMLGEWAEAAKDLHLASTIDYDEEISAVLKKVEPNAHKLEEHRRKYDRLRKEREDKKAERDRLRRRAEAQAAYDKAKKEEQSSSSRPSGGGFPGGMPGGFPGGMPGGFPGGMGGMPGGFPGGMGGMPGGFPGGMGGGMPAGMGGGMPGMGGGMPGAGGGGGMPGGMDFSKILNDPELMTAFSDPEVMAALQDVMKNPANLAKHQANPKVAPVIAKMMGKFAGPQ >fgenesh2_kg.7__2037__AT4G22666.1 pep chromosome:v.1.0:7:8542780:8543640:-1 gene:fgenesh2_kg.7__2037__AT4G22666.1 transcript:fgenesh2_kg.7__2037__AT4G22666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIYQISAVVFLAVAIAPLLADPQSPMFPDMTPECATVMPDLLEKCFATGSVTPTEDCCTDLKSATSTQVTCLCDNYIANPAVANITGPYSKAITTKCGVFDKFSCDGTSKGGEEKKGGSSSSNGKGNGTSQGNGGTTNKVAALMAMFGLVASLVFVMF >fgenesh2_kg.7__2038__AT4G22640.1 pep chromosome:v.1.0:7:8545715:8546178:-1 gene:fgenesh2_kg.7__2038__AT4G22640.1 transcript:fgenesh2_kg.7__2038__AT4G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTNKVTAAATVATVVLFLAVTVVDAQSMPPMPKLNPVCALAELPNIVQLCYFNLDLVPSEECCNDLKSSSNIQVNCLCDNFIAHPSNGNITRARYDLVNSACGVADKFACKGMF >fgenesh2_kg.7__2039__AT4G22620.1 pep chromosome:v.1.0:7:8549254:8549700:-1 gene:fgenesh2_kg.7__2039__AT4G22620.1 transcript:fgenesh2_kg.7__2039__AT4G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7ME23] MKKLRGFKIGHRFVKIFKWIIRSRRIQTGKRQCLTGILNPVTKIYSLARRCIRRGANRLCGGKKQVQLGNEPKTPSVPKGHLVVHVGESGDDTRRVVVPVIYFNHPLFGELLEQAERVYGFDQPGRITIPCRVSDFEKVQMRIAAWDH >fgenesh2_kg.7__203__AT4G39850.1 pep chromosome:v.1.0:7:793487:802205:1 gene:fgenesh2_kg.7__203__AT4G39850.1 transcript:fgenesh2_kg.7__203__AT4G39850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal abc transporter [Source:UniProtKB/TrEMBL;Acc:D7M963] MPSLQLLQLTERGRGLVASRRKSILLAAGIVAAGGTAVYLKSRVSSPRPDSSRHCNGQSDDDEALEKLTGNDKNAKITTKKKKGGGLKSLQVLTAILLSQMGKMGARDLLALVATVVFRTALSNRLAKVQGFLFRAAFLRRAPLFLRLISENIMLCFMLSTLHSTSKYITGALSLRFRKILTKIIHSHYFENMVYYKISHVDGRITHPEQRIASDVPRFSSELSDLILDDLTAVTDGILYAWRLCSYASPKYIFWILAYVLGAGTAIRNFSPSFGKLMSKEQQLEGEYRQLHSRLRTHSESIAFYGGEAREESHIQQKFKNLVSHMSHVLHDHWWFGMIQDFLLKYLGATVAVILIIEPFFSGHLRPDDSTLGRAEMLSNIRYHTSVIISLFQALGTLSISSRRLNRLSGYADRIHELMAVSRELSGDEKSSFQRNRSRNYLSEANYVEFSDVKVVTPTGNVLVEDLTLRVEQGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYMAVGTLRDQLIYPLTSDQESESLTEIGMVELLKNVDLEYLLDRYQPEKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFAAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWSVHYKRDDSALLTDAEIDSAKISDTDRQNDAMVVQRAFAAARKESATKSKAQSYQTQLIARSPVVDKSVVLPRFPQPQTSQRALPSRVAAMLNVLIPTIFDKQGAQLLAVACLVVSRTLISDRIASLNGTTVKYVLEQDKAAFVRLIGLSVLQSGASSIIAPSLRHLTQRLALGWRIRLTQHLLRNYLRNNAFYKVFHMSGNSIDADQRLTRDLEKLTSDLSGLLTGMVKPSVDILWFTWRMKLLTGQRGVAILYTYMLLGLGFLRRVAPDFGDLAGEEQLLEGKFRFMHERLNTHAESIAFFGGGAREKAMVDAKFRALLDHSLMLLRKKWLYGILDDFVTKQLPNNVTWGLSLLYALEHKGDRALVSTQGELAHALRYLASVVSQSFMAFGDILELHKKFLELSGGINRIFELDEFLDASQSGVTLENHTSRLDSQDLLSFSEVDIITPAQKLMASKLSCEIVSGKSLLVTGPNGSGKTSVFRVLRDIWPTVCGRLTKPSLDIKELGSGNGMFFVPQRPYTCLGTLRDQIIYPLSKEEAKKRAAKLYTNGESATEAGSILDAHLKTILENVRLVYLLERDVGGWDATTNWEDILSLGEQQRLGMARLFFHRPKFGVLDECTNATSVDVEEQLYRVARDMGVTFITSSQRPALIPFHSLELRLIDGEGNWELRSIEQTTE >fgenesh2_kg.7__2042__AT4G22592.1 pep chromosome:v.1.0:7:8563771:8566733:1 gene:fgenesh2_kg.7__2042__AT4G22592.1 transcript:fgenesh2_kg.7__2042__AT4G22592.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:D7ME25] MDLNINKTSPVLSDPTTPVNKSRLGSSFPSGRFMMNSRKKIPKLDDVRSNGWLDAMISSSPPRKRLVKDFNIEIAPEDDFAQRAWMLKYPSAITSFSHIAAQAKNKKIAVFLDYDGTLSPIVDDPDRAIMSDAMRAAVKDVAKYFPTAIISGRSRDKVYQLVGLTELYYAGSHGMDIMTPVNPHGSPEDPNCIKSTDQQGEEVNLFQPAKEFIPVIEEVYSSLVEITKCIKGAKVENHKFCTSVHYRNVDEKDWPLVAQRVHDHLKKYPRLRLTHGRKVLEVRPVIEWNKGKAVEFLLESLGLSNNDEFLPIFIGDDKTDEDAFKVLREGNRGFGILVSSVPKESNAFYSLRDPSEVKKFLKTLAKWGKVENSTSF >fgenesh2_kg.7__2044__AT4G22580.1 pep chromosome:v.1.0:7:8571790:8573396:1 gene:fgenesh2_kg.7__2044__AT4G22580.1 transcript:fgenesh2_kg.7__2044__AT4G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7ME27] MAFKSTVTTLTIFFFFFVEPRVVSQQISAVDTECTNRWIHIRTLPSRFNLDLLSTCNRYPITDDLCPYLANHGLGPKTHTRTRSWYRTDPLLLELIFHRRILEYPCLTPDPDLASAVYLPYYAGIDSLRYLYGPDLNSSADHGSDLLEFLTRDQPEIWSRRSGHDHFLVMARPAWDFSQPLTVDPPIWGTSFLERREFFNLTALTLESRFWPWQEQAVPYPTSFHPHSLPFLESWIRRVRRSRRTSLMLFAGGGGTSSSPNIRRSIRLECTNVNATESELKSDKICDFVDCSNGICEHDPIRFMRPMLQSSFCLQPPGDTPTRKATFDGIIAGCIPVFFEDQTAKMQYNWHLPESEFAEFSVTIPKEDVVFRGVRIQDVLMSIPKEEVTRMRERVIEMMPRVMYRRHGASMGLMNKKDAVDIAIDGVLEKIKSRV >fgenesh2_kg.7__2045__AT4G22570.1 pep chromosome:v.1.0:7:8579498:8582794:1 gene:fgenesh2_kg.7__2045__AT4G22570.1 transcript:fgenesh2_kg.7__2045__AT4G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNKEEEDPRIHGIKTKIRVVPDFPKKGIMFQDITTVLLDPKAFKDTIDLFVERYRDKNISVVAGIEARGFLFGPPIALAIGAKFVPLRKPKKLPGETIFEEYELEYGNDRLEMHIGAVEAGDRALVVDDLIATGGTLCAAINLLERVGAEVVECACVIELPELKGRQRLKGKPLCMLVEYR >fgenesh2_kg.7__2046__AT4G22560.1 pep chromosome:v.1.0:7:8584091:8584804:-1 gene:fgenesh2_kg.7__2046__AT4G22560.1 transcript:fgenesh2_kg.7__2046__AT4G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSYNCSRKSSHCVKYVIDTRFGKKNPKPDPNLRRLKSCRTETELLNPQTRTRRSKSARTSDALTELPDGHPSRNVVEIIFQSSWSSDEFPGRIEMIFKVENGSRTVTRFEEYREIVKSRAGLNEGTCEEEDARCLADGNEMMRFYPVLDGFNGGAWVFAGGKGQAVCTFSGSGEAYVSGGSGGGRKAMMICRVIAGRVDDRIGFGSDSVAGRDGELFVFDTRAVLPCFLIIFRL >fgenesh2_kg.7__2047__AT4G22550.1 pep chromosome:v.1.0:7:8587609:8588363:1 gene:fgenesh2_kg.7__2047__AT4G22550.1 transcript:fgenesh2_kg.7__2047__AT4G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7ME31] MSPSTAAIIVGPILAVDATVSRAIHTAAKPFLPPFLLLLLEISADFRFSFPVSLSLLLSPPLRSFLIPFLLGLLLDLILIALVKLIFRRARPAYNHPSMSAAVSADHYSFPSGHASRVFFVAASVHFFSAAAEATMVDPSYSFLDGWIRDNHDGDVKPEVVVVVWIWATVTAFSRILLGRHYVLDVAAGACFGIVEALFALRFLRF >fgenesh2_kg.7__2048__AT4G22540.1 pep chromosome:v.1.0:7:8604845:8611000:1 gene:fgenesh2_kg.7__2048__AT4G22540.1 transcript:fgenesh2_kg.7__2048__AT4G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7ME32] MRVKELHPLCCITLESPHGINNNQSPEEPVTTALTRSRSLPAKSLIGGSKVGSESETVAGILYKWTNFGKGWRSRWFLLRNGILSYSKIRRPENLNLLSPSDDVRLIGDISGERLSRMDSCSGRRKQQKTVGIVHLKVSSFRESKSDDRKFYIFTATKTLHLRTDSISDRAAWLQALASTKCIFPLRSLNGDFSFIPPKDLSISTERLKKRLHEEGMNETLVKECEQIMLSEFSEMHGQVKLLHEERSNLLDALRQLEAANLEVSASGKHEFSSLGRGKYSECSTTASSDDKQEFEDVSEEDEPSFHDTKEFFNEPNVGSESNLAISGHADIKRRTKLPDPAEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEHGKSGNGLLRALNVAAFAVSGYASTEGRHCKPFNPLLGETYEADFPEKGIRQSPSNCYPPCPCEGKGWKFWGDTNLRSKFWGRSIQVEPVGVLTLEFDDGEVFQWSKVTSTIYNIILGKLYCDHHGVMQIRGNRQYSCTLKFKEQSILERNPHQVNGFVEDVAGQKAATIFGKWDDSLYYVAGDGISKSKVSDPASNASLLWKRTKPPPNVTRYNLTSFAITLNELTPGLQEILPPTDSRLRPDQRHLENGEYEKANLEKQRLERRQRMSRQLQESGWRPRWFERQGESETFKYTGGYWEARGHRNWDDCPDIFGEFTEELADSA >fgenesh2_kg.7__2051__AT4G22530.1 pep chromosome:v.1.0:7:8612875:8614102:1 gene:fgenesh2_kg.7__2051__AT4G22530.1 transcript:fgenesh2_kg.7__2051__AT4G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVYDNQADIYLDARPTYPADWYSKLAARSHRHNLAWDAGTGNGQAAIGIAEHYERVVATDVSETMLKLGKPHPKVTYHHTPPSMTEDEMVNLIGGGENSVDLITVATAVHWFDLPRFYAIANRLLRKPGGIIAVWSYNTDMVVSPEFDSVMTRFNAETMPYCKFPESQYFLDGYKTLPFPFESVDDETVSFEGFLRMLRSWSAVGAAKEKGVDLLSDNVVKELETAWGGYELVRTIVYKTFMLAGTVR >fgenesh2_kg.7__2055__AT4G22490.1 pep chromosome:v.1.0:7:8624787:8625420:1 gene:fgenesh2_kg.7__2055__AT4G22490.1 transcript:fgenesh2_kg.7__2055__AT4G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7ME38] MASKSSTTISLIIILLISLAEADLLSSPSPTNNFGTCPRNPLQLGVCANVLGLANVTAGDPRARQCCTAINGLADVQVADCLCFIFRPLPLVFAIDEAVREIFFACNRVFPIGFQCPPPTVTTP >fgenesh2_kg.7__2058__AT4G22380.1 pep chromosome:v.1.0:7:8666203:8667154:1 gene:fgenesh2_kg.7__2058__AT4G22380.1 transcript:fgenesh2_kg.7__2058__AT4G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 family protein [Source:UniProtKB/TrEMBL;Acc:D7ME47] MAGEAVNPKAYPLADSQLSITILDLVQQATNYKQLKKGANEATKTLNRGISEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRSVIACSVTSNEASQLKSQIQHLKDAIEKLLI >fgenesh2_kg.7__2060__AT4G22360.1 pep chromosome:v.1.0:7:8668956:8671447:-1 gene:fgenesh2_kg.7__2060__AT4G22360.1 transcript:fgenesh2_kg.7__2060__AT4G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQDLAKGVETLLRQSDPSSLTSLTSVVQQLEAKLGLDLTEKTTFIRDQINILLRSHQIPSASVASASSVQQSHPPPPSSQQNLHSGVNVPVAKGHFTLSHPSQFSVSQSQQYPPHFALQPPYHSYDLNFRQPYPVYMPPQQHQHQHQHQSPRQQSSVMLSQGANQAPKESAPAGTKRKGGPGGLNKVCRVSPELQVVIVRQLWAYIRKNNLQDPSNKRKIICDDALRVVFETDCTDMFKMNKLLAKHILPLDPSKDSGQAKKAKAEVETKTETTEPVNSTAVSSAAVSSTVALSEPLAKFFGTGEAEMTDKEIIRRVWEYIKLNNLEDPVNPMAIQCDEKLRDLLGCESISAVGINEMLRRHMYKQS >fgenesh2_kg.7__2062__AT4G22350.1 pep chromosome:v.1.0:7:8672071:8675397:1 gene:fgenesh2_kg.7__2062__AT4G22350.1 transcript:fgenesh2_kg.7__2062__AT4G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7ME50] MKGEREVKNGVSEDEREVKRKRVMERSDSPPPPLGEGNGNKVRGEVVDDEDDDDDDDEASKGRGKHSRHVEVRRDCPYLDTVNRQVLDFDFERFCSVSLSNLNVYACLVCGKYFQGRSQKSHAYTHSLEAGHHVYINLLTEKVYCLPDSYEINDPSLDDIRHVLNPRFSRAQVEELDKNRQWSRALDGSDYLPGMVGLNNIQKTEFVNVTIQSLMRVTPLRNFFLIPENYQHCKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGQQSDPVEFMSWLLNTLHMDLKTSKDASSIIHKCFQGELEVVREYQGNENKEISRMPFLMLGLDLPPPPLFKDVMEKNIIPQVALFDLLKKFDGETVTEVVRPKLARMRYRITKSPPYLMFHMVRFKKNNFFKEKNPTLVNFPVKDMELRDYIPSLPRAPEGEKVCSKYNLIANIVHDGKPEDGYFRVFVQRKSQELWYEMQDLHVAETLPQMVELSEAYMQIYEQQDE >fgenesh2_kg.7__2064__AT4G22340.3 pep chromosome:v.1.0:7:8692177:8695029:1 gene:fgenesh2_kg.7__2064__AT4G22340.3 transcript:fgenesh2_kg.7__2064__AT4G22340.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:D7ME51] MQKEIAGDAPSAPTTRVRHRKRNSDVGAGAGKPNGSHLLVNDSKKYKSFLIRAYSTVWMIGGFALIVYLGHLYITAMVVVIQIFMARELFNLLRKTHEDKQLPGFRLLNWHFFFTAMLFVYGRILSQRLVNTVTPDKVLYRLVTSLIKYHMAICYSLYISGFVWFILTLKKKMYKYQFSQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIFAYICGFFFGRTPLIKLSPKKTWEGFIGASITTVISAFLLANIMGRFLWLTCPREDLSTGWLHCDPGPLFKQETHALPGWISDWLPWKEISILPVQWHALCLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVVPEVLSVDKLLDQIITNLTLEEQQALFMKLGQMLQEKVIGS >fgenesh2_kg.7__2067__AT4G22330.1 pep chromosome:v.1.0:7:8695189:8697329:-1 gene:fgenesh2_kg.7__2067__AT4G22330.1 transcript:fgenesh2_kg.7__2067__AT4G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCES1 [Source:UniProtKB/TrEMBL;Acc:D7ME52] MADGISSFWGPVTSTIECCEINYAYSSYIAEFYNTISNVPGILLALIGLVNALRQRFEKRFSILHISNMILAIGSMLYHATLQHVQQQSDETPMVWEILLYMYILYSPDWHYRSTMPTFLFLYGAAFAIVHAYLRFGIGFKVHYVILCLLCIPRMYKYYIHTEDTAAKRIAKWYVATILVGSICWFCDRVFCKTISQWPVNPQGHALWHVFMSFNSYCANTFLMFCRAQQRGWNPKVKYFLGVLPYVKIEKPKTQ >fgenesh2_kg.7__2068__AT4G22320.1 pep chromosome:v.1.0:7:8699433:8701055:1 gene:fgenesh2_kg.7__2068__AT4G22320.1 transcript:fgenesh2_kg.7__2068__AT4G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRSSTRYGPATVFTGPVRKWKKKWVHVSHSTKKDNNSSSGSAAVAASVVNGGSNSDGSNGSHLLLYKWAPLSQNGNGNEDGKSESNSPSEDTVTTAAEDPPRRRFKYVPIAVLEEQKKEITEIEEDDKIEEDDKIEEDNKVEQEEKVDEEKTVEESSEKKTEVEEKPDINDVPMEDIQDKEKIVQDDEEKVVRQDLNESTVDLGLNLNANDADAENAPKEDKPLEE >fgenesh2_kg.7__2069__AT4G22310.1 pep chromosome:v.1.0:7:8701113:8702692:-1 gene:fgenesh2_kg.7__2069__AT4G22310.1 transcript:fgenesh2_kg.7__2069__AT4G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:D7ME54] MATSKLQAIWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEKLSYPQQIAVTCTGVIWSRYSMVINPKNWNLFSVNVAMAGTGIYQLARKIKHDFATEAEPAVANE >fgenesh2_kg.7__206__AT4G39870.1 pep chromosome:v.1.0:7:810703:813221:1 gene:fgenesh2_kg.7__206__AT4G39870.1 transcript:fgenesh2_kg.7__206__AT4G39870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHKSFRSKAVHFVTDLTAGLLNPISDKPSSARPLPDEEDESKRNQLESVTAEQPKDLVDEPDTSSFSAFLGSLLSSDPKEKKNDKDQEDEEEEEEEDSEAETSDTSSSSSANPTRTMKEATSGAAKKSFLSKYKQHLRNFYQAVKFPGVKERKGNSDVTPDAEESEYDGLEMKPMQNNNVKEEATIVQAIIPEISEPSLLLSDQSRCSLYTSLPALVQGRKWILLYSTWRHGISLSTLYRKSLLWPGLSLLVVGDRKGSVFGGLVEAPLIPTDKKYQGTNSTFVFTNKSGQPTIYRPTGANRFYTLCSKEFLALGGGGRFALYLDSELLSGSSAYSETYGNSCLANSQDFDVKEVELWGFVYGSKYDEILAHSKTMEPGLCRWS >fgenesh2_kg.7__2070__AT4G22300.1 pep chromosome:v.1.0:7:8703064:8706746:1 gene:fgenesh2_kg.7__2070__AT4G22300.1 transcript:fgenesh2_kg.7__2070__AT4G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:D7ME55] MARTFILWLHGLGDSGPANEPIQTQLKSPELSNASWLFPSAPFNPVTCNNGAVMRSWFDVPELPFKVGSPIDEGSVLEAAKNVHAIIDQEIAEGTNPENVFICGLSQGGALTLANVLLYPKTLGGGAVLSGWVPFSSSVISQFPEEAKKTPILWSHGTDDRMVLFEAGQAALPFLKEAGVTCEFKSYPGLGHSISNKELKYIESWIKRRMKHKKKIDNSKLIIWFGLQCPSGISVIALLFGYFVAEYIRVCDCIDLNYTSSPTETKTFLRDRSPKKNGEGMRTSSRLKKPIVLLTIALLSSVFFFVIFFQNKSDLSSTSGFTSSSRNPDSMARTFILWLHGLGDSGPANEPIKTLFRSPEFRNTKWLFPSAPPNPVSCNYGAVMPSWFDIPELPLTAGSPKDESSLLKAVTNVHAIIDKEIAGGINPENVYICGFSQGGALTLASVLLYPKALGGGSVFSGWIPFNSSITNQFSEDAKKTPILWSHGIDDKTVLFEAGQAALPFLQQAGVTCEFKAYPDLGHSISNKELQYLELWIKQRMQSSSSSS >fgenesh2_kg.7__2071__AT4G22290.1 pep chromosome:v.1.0:7:8708738:8711616:1 gene:fgenesh2_kg.7__2071__AT4G22290.1 transcript:fgenesh2_kg.7__2071__AT4G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin thiolesterase [Source:UniProtKB/TrEMBL;Acc:D7ME56] MAGRIQSHQLPNGLYVSGKLEQPKERPPTMAARAVPYTGGDIKKSGELGRMFDISVVDSASFQGPPPLIVGGNSSGGASRIQAPPRVSGSSSNPNSGSVRSGPNSGSVKKFSGPLSQLQPTGLITSGSLGSSGPILSGSRRSGQLDHQLSNLASSKPKYGSSVTSLNVDPVRVGFKVPKAMVWAVLIVAAMGLLVGAFLTVAVKKPVVIAAVLAAVCPAIVVLIWNCVWRRKGLLSFIKRYPDAELRGAIDGQFVKVTGVVTCGSIPLESSYQRTPRCVYVSTELYEYKGFGGKSANPKHRCFSWGSRHAEKYVSDFYISDFQSGLRALVKAGYGSKVSPFVKPATVANVTTQNKDLSPSFLKWLSDRNLSADDRVMRLKEGYIKEGSTVSVMGMVRRHDNVLMIVPPAEAVSSGCRWWHCLFPTYADGLIITCDDNQNADVIPV >fgenesh2_kg.7__2072__AT4G22270.1 pep chromosome:v.1.0:7:8716716:8719392:-1 gene:fgenesh2_kg.7__2072__AT4G22270.1 transcript:fgenesh2_kg.7__2072__AT4G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNASSEHLLPETTRPSAIINKQPEFPASRFTFMSLVLWFDQSNRGTALLSWSVFFLLVVIVPIISHFLLVCSDCDFHHRRPYDAVVQLSLSIFGGISFVSLSIWSRKFGMRRFLFLDKLWDASDKVRIEYEAEIQRSLKRLMIFVLPSLTLEAIYRIWWYISGSHEIPYIINPVLSHVVACTLQLSSWLYRTSLFIIVCILYKITCHLQTLRLDDFARCFASEITDVRSALGEHQKIRRNLRIVSHRFRRFILLSLILVTATQFMALLTTTRASVAVNIYEVGELALCSLSLVTGVFICLRSATKITHKAQSVTSLAAKWNVCATVDSFDHLDGETPTGSMIESQVSPCGNAMDTSDDEEGEGDDDLDNTKIHPIYANTISYQKRQALVTYLENNKAGITVYGFLVDRSWLHTIFGIELALLLWLLNKTIVNIP >fgenesh2_kg.7__2073__AT4G22260.1 pep chromosome:v.1.0:7:8720177:8722757:1 gene:fgenesh2_kg.7__2073__AT4G22260.1 transcript:fgenesh2_kg.7__2073__AT4G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:D7ME58] MAAISGISSGTLTISRPLVTLRRSRAAVSYSSSHRLLHHRPLSSRRLLFRNIDRVQATILQDDEEKVVVEESFKAETFTGKEPLEEPNMNSSSSSAFESWIIKLEQGVNVFLTDSVIKILDTLYRDRTYARFFVLETIARVPYFAFMSVLHMYETFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNSWWFDRFLAQHIATFYYFMTVFLYILSPRMAYHFSECVESHAYETYDKFLKTSGEELKNMPAPDIAVKYYTGSDLYLFDEFQTSRAPNTRRPVIENLYDVFVNIRDDEAEHCKTMRACQTLGSLRSPHSILEDGDGEGESGCVVPEAAHCEGIVDCIKKSITS >fgenesh2_kg.7__2074__AT4G22250.1 pep chromosome:v.1.0:7:8723950:8724889:1 gene:fgenesh2_kg.7__2074__AT4G22250.1 transcript:fgenesh2_kg.7__2074__AT4G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELELGTSQRMAETHRTTTTFLDLLRRQMSGHDLTRRKRTLKERLRFKCIGCCGPTWSLRLTNNTTTLHSPSSRDDEIEELQIETGLVPESDHGSGTGMNLATALEAERYNRGEPTEAEVDMTPRRVSLMRLLEETAERIVDEDGKETEILTASIGTLTDNDSVCCVCMGRKKGAAFIPCGHTFCRVCSRELWLNRGSCPLCNRPIIEILDIF >fgenesh2_kg.7__2077__AT4G22217.1 pep chromosome:v.1.0:7:8734006:8734386:-1 gene:fgenesh2_kg.7__2077__AT4G22217.1 transcript:fgenesh2_kg.7__2077__AT4G22217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLKLRTVFVAAIVVCLSILFLSPTEVEGSCDFPFGACTPFRDCKESCIKFKTRAGQTFFDGKCRPRDRPSVWTACFCCYYDSIGAQ >fgenesh2_kg.7__2078__AT4G22200.1 pep chromosome:v.1.0:7:8736497:8740693:1 gene:fgenesh2_kg.7__2078__AT4G22200.1 transcript:fgenesh2_kg.7__2078__AT4G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKYAASHCNLSSDMKLRRLHQHRGKGREEEYDASSLSLNNLSKLILPPLGVASYNQNHIRSSGWIISPMDSRYRCWEFYMVLLVAYSAWVYPFEVAFLNSSPKRNLCIADNIVDLFFAVDIVLTFFVAYIDQRTQLLVREPKQIAVRYLSTWFLMDVASTIPFDAIGYLITGTSKLNLTCNLLGLLRFWRLRRVKHLFTRLEKDIRYSYFWIRCLRLLSVTLFLVHCAGCSYYLIADRYPHEGKTWTDAIPNFTETSLSIRYIAAIYWSITTMTTVGYGDLHASNTIEMVFITVYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIEAASNFVNRNRLPPRLKDQILAYMCLRFKAESLNQQHLIDQLPKSIYKSICQHLFLPSVEKVYLFKGVSREILLLMVSKMKAEYIPPREDVIMQNEAPDDVYIIVSGEVEIIDSEMERESVLGTLRCGDIFGEVAALCCRPQSYTFQTKSLSQLLRLKTSFLIETMQIKQQDNATMLKNFLQHHKKLSDLDIGDLRTQQNGENNGFVPLNIASNLIAVMTTGNAALLDELLKAKLSPDITDSKGKTPLHIAASRGYEDCVLVLLKHGCNIHIRDVNGNTALWEAISSKHYAIFRILYHFAAISDPHIAGDLLCEAVKQNNVEVMKALLKQGLNVDTEDHQGVTALQVALAEDQMDMVNLLAMNGADVVGVNTHDEFTPLEKLRVVEEEEERGRVSIYRGHPLERRERGCNEAGKLILLPPSLDDLKKIAGEKYGFDGSDETMVTNEDGAEIDSIEVIRDNDKLYFVENKII >fgenesh2_kg.7__207__AT4G39880.1 pep chromosome:v.1.0:7:813308:813915:1 gene:fgenesh2_kg.7__207__AT4G39880.1 transcript:fgenesh2_kg.7__207__AT4G39880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M967] MGSRLGGRVVHFANLPIKLLMPAKLTNIHEFALKTIPSASKIEIKRVLESLYGFDVEKVNTLNMDGKKKKRGGLLIAKADYKKAYVTLRTPLSISRDLFPVKFIEEDRKSKVKGSSFVEEEDDKKSHWLDRKEKREIGGYGKGKGRRGGERANSPTRAGAAAAAGMAKFPWSNM >fgenesh2_kg.7__2080__AT4G22180.1 pep chromosome:v.1.0:7:8765542:8766776:-1 gene:fgenesh2_kg.7__2080__AT4G22180.1 transcript:fgenesh2_kg.7__2080__AT4G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7ME68] MGKGHEPSSPKRLRRDTPNSWSELPLDLLTSLFERLSFANFQRAKSVCSSWHSASRQSVPIQIPWLILFPEEDNNNNNSCTLFNPEEKGKVYKTKDLGVEFAKSVCTATYGSWLLMRDPPYNLYILNLFTRERINLPPFESQLGMTKIERTIDDQFRISPHYNGREYHKRIRIQSPVFWIDEKTKDYVVIWGLGSLCVVYSKKGDKCWNQIPESSHCHHMVYKDHKLYLSKSNYKYEFRIFDFSREIPQQTFQGCVIMQGLTLNHHPGQPGYPFSTIDTKLVVTVTGDVLKVDRIVERNTRICRFFDVYKVYSSVSYKKYEKVESLGNEAILLDLGITMLANDIVGLEKNSIYFSGTHTKSEVINDTFIFSLETQKMDLCSKVLTKFHTNLIVHMLFF >fgenesh2_kg.7__2082__AT4G22160.1 pep chromosome:v.1.0:7:8782842:8783483:-1 gene:fgenesh2_kg.7__2082__AT4G22160.1 transcript:fgenesh2_kg.7__2082__AT4G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSARFRRSQTSSSENNPTDDVLTGKDSSDDPYSSDSDTESNLSSGTESNMSCDSATSTGLSAIIRVLSDSLLRTELAEMEMIKAREAARLEAEKRRLEMEVDLTQMVLQTHLQATTSLLVGEHKISPAQRKRKRSDVEELESSTTR >fgenesh2_kg.7__2083__AT4G22150.1 pep chromosome:v.1.0:7:8783850:8785461:1 gene:fgenesh2_kg.7__2083__AT4G22150.1 transcript:fgenesh2_kg.7__2083__AT4G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 3 [Source:UniProtKB/TrEMBL;Acc:D7ME74] MSSKDKKPAKPTSGRTGGIRTLSDLNRRSEPDSDSDSDGPQEYYTGGEKSGMLVQDPTKEPKHDDVDEIFNQARQLGAVEGPLERPSSSRSFTGTGRLLSGESVPTALQQPEPVIHNIIFWSNGFTVDDGPLRKLDDPENASFLDSIRKSECPKELEPADKRAPVHVNLMRRDEKCPEKEKLKVAFQGVGRTLGGASSSAASSLDNLTDVAAVSSPSQSLVVDETLPSTSIQLRLADGTRMVAKFNNHHTVNDIRGFIEFSRPGNPMNYTLQVMGFPPKPLTDPSQTIDQAGLANSVVIQKF >fgenesh2_kg.7__2091__AT4G22120.2 pep chromosome:v.1.0:7:8806201:8810188:1 gene:fgenesh2_kg.7__2091__AT4G22120.2 transcript:fgenesh2_kg.7__2091__AT4G22120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIGVSAGINILSAFVFFIIFAILRLQPFNDRVYFSKWYLKGLRSSPARGGAFAQRFVNLDFRSYMRFLNWMPEALKMPEPELIDHAGLDSVVYLRIYWLGLKIFAPIAVLAWAVLVPVNWTNNTLEMAKQLRNVTSSDIDKLSVSNIPEYSMRFWTHIVMAYAFTIWTCYVLMKEYETIANMRLQFVASEARRPDQFTVLVRNVPPDADESVSELVEHFFLVNHPDHYLTHQANVVCNANKLADLVKKKKKLQNWLDYYQLKYARKNSQRIMVKLGFLGLWGQKVDAIEHYIAEIDKISKEISKEREEVVNDPKSIMPAAFVSFKTRWAAAVCAQTQQTRNPTQWLTEWAPEPRDVFWSNLAIPYVSLTVRRLIMHVAFFFLTFFFIIPIAFVQSLATIEGIVKAAPFLKVIVEDQFMKSVIQGFLPGIALKIFLAFLPSILMVMSKFEGFTSISSLERRAAFRYYIFNLVNVFLASVITGAAFEQLNSFLNQSPNQIPKTIGVAIPMKATFFITYIMVDGWAGVAGEILMLKPLIMFHLKNAFLVKTDKDREEAMDPGSIGFNTGEPRIQLYFLLGLVYAPVTPMLLPFILVFFALAYIVYRHQIINVYNQEYESAAAFWPDVHGRVIAALVISQLLLMGLLGTKHAALAAPFLIALPVLTIGFHHFCKGRYEPAFIRYPLQEAMMKDTLESAREPNLNLKGYLQNAYIHPVFKGDEDDDDIDDKLGKFEDEAIIVPTKRQSRRNTPAPSRISGEDSPSLPFSGKLV >fgenesh2_kg.7__2096__AT4G22110.2 pep chromosome:v.1.0:7:8812283:8816791:1 gene:fgenesh2_kg.7__2096__AT4G22110.2 transcript:fgenesh2_kg.7__2096__AT4G22110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASSFSIHEGKPIRCKAAISRKPGEALVIEEIHVDPPQAYEVRIKIICTSLCHTDVSFWKVESGPLVRFPMILGHEAVGVIESIGEPVNGFQQGDVVLPVFHPHCEECRDCKSSKSNWCARFADDFASNTRRYGMTSGFKDSSGEDINHFLFVSSFSEYTVVDIAHLVKMSPDIPVDKAALLSCGVSTGIGAAWKVANMEQGSTIALFGLRAVGLAVSILRGAGKIIGVDLNAEKFDLGKKFGFTDFINPTLCGKKKISEIYIIIIETFGLIQEMTGGGVDYSFECVGLPSLFDRSFQQHPHGFRKNALIFLEGSLDLLRGRHVCGSLFGGLKPKLDIPILVDHYLKKLNLDSFITHELKFEEINKAFDLLVQGKSLRCILWMNKVK >fgenesh2_kg.7__2097__AT4G22100.1 pep chromosome:v.1.0:7:8819376:8821950:1 gene:fgenesh2_kg.7__2097__AT4G22100.1 transcript:fgenesh2_kg.7__2097__AT4G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7ME83] MELILSLFTTFLFLALSGRCSDKNDFPEGFTFGSATSAYQWEGAFDEDGRKPSVWDTFLHSRNRSNGDITCDGYHKYKMCRSWWKLAEMPSDSPFLRLGLYRVRKVLLIPRVYSSIRTSFKNSIEPHVTLFHYDHPQYLEDDYGGSINRRIIQDFTAYADVCFREFGHHVKFWTTINEANIFTIGGYNDGITPPGRCSSPGRNCSSGNSSTEPYIVGHNLRLAHASASRPYKQKYKDMQGGSIGLSLFALGFTPSTSSKDDDIAIQRAKDFYSGWMLEPLIFGDYPDEMKRIVGSRLPVFSKEESEQVKGSSDFVGIIHYLAASVTNIKIRPSISGNPNFYSDMGVSMTWTVLGKFSAFEYDVAPWAMESVLEYIKQSYGNPPIYILENGTPMKQDLQLQQKDTPRVEYLHAYIGAVLKAVRNGSDTRGYFVWSFMDLYELVKGYEFSFGLYSVNFSDPHRKRSPKLSAHWYSAFLKGNNTFLGSQGITQLQSNFSSSSFS >fgenesh2_kg.7__2098__AT4G22080.1 pep chromosome:v.1.0:7:8822563:8824708:1 gene:fgenesh2_kg.7__2098__AT4G22080.1 transcript:fgenesh2_kg.7__2098__AT4G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7ME84] MTLFTVSCLLVALFLCQSLVHAVNNGYYGYTPTVANYLPEKPQNIMNPVDSCWRLKSDWAVNRKDLANCVVGFGSSTLGGKKGKLYVVTNPNDNAQNPQPGSLRYGVIQAKPLWITFAKDMVITLENELMVNSYKTIDGRGAKVEIAYGPCITIQDVTNVIVHGISIHDCKPGKYGMVRSSPTHVGHRQGSDGDAIAIFGSSNVWIDHCYLASCTDGLIDVIHASTGITISNNYFTQHDKVMLLGHNDDFVQDVNMKVTVAFNHFGPGLVERMPRVRRGYAHVANNRYDKWIMYAIGGSADPTIFSEGNYFIASDKSNSKEVTKREVKGGWNNWRWRTSKDVFKNGAYFVPSGYGSISLPYSSAQRFTVAPGNLVPSLTADAGPLNCNRNGPCY >fgenesh2_kg.7__2102__AT4G22000.1 pep chromosome:v.1.0:7:8881331:8882601:1 gene:fgenesh2_kg.7__2102__AT4G22000.1 transcript:fgenesh2_kg.7__2102__AT4G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAAEAVAKTVTGFQYPWRAKLDKYRTELTKGVWGYWEMGAWKPLGISARRRAMLRKEVLTNGEDWPYDPERKAMRTKRKGHKCDRISAEKRENTAKLMLKMPQMLLDYKKRRWEKKMKEEEKAKEDK >fgenesh2_kg.7__2105__AT4G21970.1 pep chromosome:v.1.0:7:8888172:8888956:-1 gene:fgenesh2_kg.7__2105__AT4G21970.1 transcript:fgenesh2_kg.7__2105__AT4G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEEFQEDEVWSVLRESETSGPEMKMSKSNNLFSASSSSSARYIPKGNEVSGGVKQSSAPMNIPDWSKVYGFSKKNTRSHLHSWAIDDDDDEGSMVPPHELVAKRLARTQISSFSMCEGIGRTLKGRDLSKTRNAILRRTGFLESNITSSSTTSPP >fgenesh2_kg.7__2106__AT4G21960.1 pep chromosome:v.1.0:7:8894457:8896731:1 gene:fgenesh2_kg.7__2106__AT4G21960.1 transcript:fgenesh2_kg.7__2106__AT4G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7MEA1] MGGKGVMMVAILCLWALSATSEAVTEEAPGLMMNFYKDTCPQAEDIIREQVKLLYKRHKNTAFSWLRNIFHDCAVESCDASLLLDSTRRELGEKEHDRSFGLRNFRYIEEIKEALERECPGVVSCSDILVLSAREGIEAVGGPYIPLKTGRRDGLKSRTDMLESYLPDHNESISVVLDKFKSIGIDTPGLVALLGSHSVGRTHCVKLVHRLYPEVDPSLNPDHVPHMLHKCPDSIPDPKAVQYVRNDRGTPMVLDNNYYRNILDNKGLLLVDHQLAHDKRTRPIVKKMAKDQAYFFKEFTRAIQILSENNPLTGSKGEIRKQCNLANKNH >fgenesh2_kg.7__2108__AT4G21930.1 pep chromosome:v.1.0:7:8966689:8967358:-1 gene:fgenesh2_kg.7__2108__AT4G21930.1 transcript:fgenesh2_kg.7__2108__AT4G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRSLPISRSERFLGSHQQSVDGVTTVELELMEEDVWSVVEPDEPTEVGAWITRSLEGTDNEWRRNGGRVSDLTVSSEGRRKRHVATSAPVKVPDWSKILKVESIKSMHNNNNDDADAAVFNWGSEIVPPHEYVAARSRNGDGSSSVFLGVGRTLKGRDMRRVRDAVWRQTGFYG >fgenesh2_kg.7__2109__AT4G21920.1 pep chromosome:v.1.0:7:8972450:8972836:1 gene:fgenesh2_kg.7__2109__AT4G21920.1 transcript:fgenesh2_kg.7__2109__AT4G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCICVTEKTTTSWSGDDSGSYNKRRSRRRSTVVHHDDDGEKLLGETSNVTTSSSSSSCGRREIKIRMTKKELEDLMRNIGLKSLTAEEILSKLIFDGGDQIGFSAVDISNHHQPWKPALQSIPEID >fgenesh2_kg.7__210__AT4G39910.1 pep chromosome:v.1.0:7:820915:823553:-1 gene:fgenesh2_kg.7__210__AT4G39910.1 transcript:fgenesh2_kg.7__210__AT4G39910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 3 [Source:UniProtKB/TrEMBL;Acc:D7M971] MGAAGSKLEKALGDQFPEGERYFGFENFGNTCYCNSVLQALYFCVPFREQLLEYYTSNKSVADAEENLMTCLADLFSQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNYLLNEVVDILEKEAKATKTEHETSSSSSPEKIANGLKVPQANGVVHKEPIVTWVHNIFQGILTNETRCLRCETVTARDETFLDLSLDIEQNSSITSCLKNFSSTETLHAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEPYADVEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIEESAVQTFFGSSQEYSSNTDHGYILFYESLGPAK >fgenesh2_kg.7__2115__AT4G21890.1 pep chromosome:v.1.0:7:9015621:9016479:1 gene:fgenesh2_kg.7__2115__AT4G21890.1 transcript:fgenesh2_kg.7__2115__AT4G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MEM8] MDLHLKNLFGRFQDQFGSGPGLGPGSGVCLMKVEGISSNIIQSIFRASASLYRSEPWKRLRPGHLFGVRVGKDSDWSGKRQPFQCVQFIGGDGGDIAIYMYRSMSCALKMTDDDSWEMARVPNVEVFRVTYESESFMLPSNKRMVKSLSLEVSGTDRFPVIDVARCMTSGELQFRSPTLEELRLVFAVMKALSLVHPLLRQEEKQVRGLPRMIKFSPFIETVDVQWPSEMFKGHDFVAVTVSHPPGQSYEQKREDDELEIVSGM >fgenesh2_kg.7__2116__AT4G21880.1 pep chromosome:v.1.0:7:9017120:9022948:-1 gene:fgenesh2_kg.7__2116__AT4G21880.1 transcript:fgenesh2_kg.7__2116__AT4G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MEM9] MALKAKKSLSALFRFAMRNAAKTAEAEAKAIAGDSVTSETGLVSLSLVPDLLSSLKSSDDSSITGQDLGEPALAGQVSSALDVKSIGNSLIQERNGDNGFSKNALETSDFLNRKIVSSQKPKQASRGRVQTENYQKKQSEIMEKLAKGCVRKLGTETMFEVLTKMGKEAGEKEYNAMTKLCIERARRSNDAEYALDQIGKAIEHLKEMRQLGFSIGEGAYGPFFKYLVDMEMVEEFQILKDFIKEACPESSGRLVYYEMLLWIQVNDEEKIHILCNTIDDSGLSLSNLQDGVETVSNLIFSYATCIPNSTVEDAIFKFNKLHEELDVVPSSTSYENLVSYLCGSNEVVTALDIVENMCEAGLVISANILHSLLQAVEQILEFNLVQRIYSIMSNKSVKPNSETFRRSINLCIRIKDTLEIKYECAVSHRCNFSQPIGSVQFEGAYTMLGNLKNFNLAPNSSMYNSIMAGYFREKNVNSALKVLKEMKEADVKPDSVTFSYLINYCGEEATIAKYYKEMKKAGVEVNKHVYMSLIKAYASCGQSEKAKQVLVDLEVPAKDHNELKSVLIYALASNGNIIDALSIYEEMKNLGCPVEPKAIISLIDNSDSNAELRTLVELTHELRDYKFWIDGFFKIIVFAVRNNRSSSILDLLEQTKNHLSKDDIAVEYWFEEVFKSIAETESNDVKLGLDLVSFMKEELELCPSRKCLDFLLHACVNAKDKQNALLVWKEYQFAELPRKLSSGERVMYNEFLVIWFHRMYQVLVAAGDSKSAEALVSKIPNDDKDVKCIVKESLKKPGMPILFTVSTAPAQLECPSRSQFKATTISGEVRYITSSWYKPFTKLTLTLLFVFEVVDVVPDKSDGTSGPIIMICTVPKPLSK >fgenesh2_kg.7__2117__AT4G21870.1 pep chromosome:v.1.0:7:9023608:9024149:1 gene:fgenesh2_kg.7__2117__AT4G21870.1 transcript:fgenesh2_kg.7__2117__AT4G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQTVQVMPWEYVLSSQALSGYQENHVRWSQSPDSHTFSVDLPGFRKEEIKVEIEDSIYLIIRTEATRPDQPVKSFKRKFRLPESIDMIGISAGYEDGVLTVIVPKRITTRRFIEPSDVPESLQLLARAA >fgenesh2_kg.7__2118__AT4G21865.1 pep chromosome:v.1.0:7:9026794:9028036:-1 gene:fgenesh2_kg.7__2118__AT4G21865.1 transcript:fgenesh2_kg.7__2118__AT4G21865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKVKADNEACNYKQRSSCLNSNYLQTRVSENNQEDDFQIHVAKIPKIYIPSVLMSESESKEMNKRIRGADIEVKPTTKASPILRPRAVVSSPDNDAMIGNINMIEERKAKKGLKSDDHIRSRASQPKNIDTNVKISHRIVAKQSGVNSRDHK >fgenesh2_kg.7__2119__AT4G21860.1 pep chromosome:v.1.0:7:9028341:9030015:1 gene:fgenesh2_kg.7__2119__AT4G21860.1 transcript:fgenesh2_kg.7__2119__AT4G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine sulfoxide reductase domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MEN2] MAFNIITPGRVYSATSLTFASTIKSAFVKRSLSLTTTTGFASSPSHFLRRGFHGGRIVAMGSSAPESVNKPEEEWRAILSPEQFRILRQKGTEYPGTGEYNKLFDDGIYCCAGCGTPLYKSTTKFDSGCGWPAFFDGLPGAINRTPDPDGRRIEITCAACGGHLGHVFKGEGFPTPTDERHCVNSISLKFTPENPTL >fgenesh2_kg.7__2123__AT4G21840.1 pep chromosome:v.1.0:7:9043180:9044078:1 gene:fgenesh2_kg.7__2123__AT4G21840.1 transcript:fgenesh2_kg.7__2123__AT4G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMTTAAVPATGSFQKQDEEWRAVLSPEQFRVLRLKGTDKRGKGEYTKKFEEGTYSCAGCGTALYKSTTKFDSGCGWPAFFDAIPGATKQTPEAGGRRMEITCAVCDGHLGHVFKGEGYSTPTDQRHCVNSVSLKFAPADSSH >fgenesh2_kg.7__2125__AT4G21820.1 pep chromosome:v.1.0:7:9050071:9057775:-1 gene:fgenesh2_kg.7__2125__AT4G21820.1 transcript:fgenesh2_kg.7__2125__AT4G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENEPPCVSPAPPRNLTSSLLSDISNFKTPRRSSVVNSNFSKSPYPHFFTASKQTPKSSSSNFRRPSMVPSYTSRSKVSTSSRRLKAFELQQSQSSRKAELTKEKSLRSLAKSLTVWLNFLFENPVNCGCDPFENDSGVGNLGKGKRDSGEALGNSKSVGVDTMWRSPKRLRNLGWCGEKKGSEIGSSLTGSKYSTLRESLRDVCSLDDLKQRMQFHLSLGSCKEIFDVMTRVTKNIDEGRIKMKSQCPLVTDFGMKEKAIKALMCYNQVWLRLGLYIIFGGDSFLSDSEVNSDQEMAFLKMVINKQFFSHDGLARAYAYNKMVEGLYRPGYYEALGNVILKRILLLVLVIDRAKSQSCLSLKYGIDGIDGGSPLMFSEKSSIKSSHQLICVFLLFFMFTAANFPFSALNIELLSSDVMHGEGNLLAHLVIIGYKIPYQQFDLMRLLIKQKMVVPSDNRKKNLVNCRIALQYLRDAGVSLKDDEGMMITGEDVADGDRELTISLLWNIFVHLQLPLLINGRLLTEEIYKVQGLEQNNQITMSTPPLEMLLNWIQDSGGEQGPQSVMSNTDYHDAVQNFILSQKLTALLGSFPEIGDLLEHNAVVSNQSVIILLAFLSSKLIVKENLVGYLTNSVFSQEKLNFHKLLCSSCQAQEKRYSRISCSSSEAVTNEEPDRENGEDTTKSFQAIKAWWQDMANQNQNSVGKASNDTLQSSLSSKCTTDSQRAYLLLTEIAAVVIQSNIKGLHARRKFRKKMRAICFLQASVRTWLSVKNIQVLEKLNVEEVTLHLSERSANLKPVARYVKFIVERSRFIKLRKSVSVIQKAVRRHQSNLHHELKAALKIQLAWRSYKEKVISSITIQSYVRGWITRRTNWTYKFSSILIQLTFSSFIYPYISQSHWRGYLTRKASKAQVLDLRVRMQTSAANIDDKKRLINKLLSALSELLSMKNVHNILHETLSGSVVYGYYLFWEGSFRSAPSLTSGLWRESITPAMSLESLRSSKEGPLVIAGAWRWCRCCRIPPGADLRFESATKYSDKCCEEIVAAGAIDKLLTLIRSASRSIPDQQVSKHALSTLGHLARYPHMADELIDTKGSIQTIFWELLRSSFSSQVFSSRLKNKEEAYFIASDVLKKICSSHKGVEAVRKLPALVKRLHALVEELTRKANIEKRNVKGQTGKEKSERRLKEAIELLKLITRR >fgenesh2_kg.7__2126__AT4G21810.1 pep chromosome:v.1.0:7:9058080:9060155:1 gene:fgenesh2_kg.7__2126__AT4G21810.1 transcript:fgenesh2_kg.7__2126__AT4G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin [Source:UniProtKB/TrEMBL;Acc:D7MEN9] MAQAVEEWYKQMPIITRSYLTAAVVTTVGCSLEIISPYNLYLNPTLVVKQYQFWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGKTADFLYMLLFGATVLTGIVLIGGMIPYLSVSFSKIIFLSNSLTFMMVYVWSKQNPYIHMSFLGLFTFTAAYLPWVLLGFSILVGASAWGDFLGMIAGHAYYFLAFVYPRMTDRRPLKTPSFLKALFADEPVVIARPEDVRFAHAPFDEIHQD >fgenesh2_kg.7__2128__AT4G21800.1 pep chromosome:v.1.0:7:9060160:9062469:-1 gene:fgenesh2_kg.7__2128__AT4G21800.1 transcript:fgenesh2_kg.7__2128__AT4G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7MEP0] MDPMESSSEQDIVEESQKLVDSMDNLRVSAASSSSNFKKKPIIIIVVGMAGSGKTSFLHRLVCHTFDSKSRGYVVNLDPAVMSLPFGANIDIRDTVKYKEVMKQYNLGPNGGILTSLNLFATKFDEVVSVIEKRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVTYVVDTPRSSSPITFMSNMLYACSILYKTRLPLVLAFNKTDVADHKFALEWMEDFEVFQAAIQTDNSYTATLANSLSLSLYEFYRNIRSVGVSAISGAGMDGFFKAIEASAEEYMETYKADLDMRKADKERLEEERKKHEMEKLRKDMESSQGGTVVLNTGLKDRDATEKMMLEEDDEDFQVEDEEDSDDAIDEDDEDDEMKHYYL >fgenesh2_kg.7__2129__AT4G21790.1 pep chromosome:v.1.0:7:9062837:9065523:-1 gene:fgenesh2_kg.7__2129__AT4G21790.1 transcript:fgenesh2_kg.7__2129__AT4G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGLMMPAEIAGFLTTAITTWWDDVNESTQWQDGIFFALCGAYALVSAVALVQLIRIQMRVPEYGWTTQKVFHLMNFVVNGVRAVLFGFHMQVFLVHPKALCWVLLDLPGLLFFSAYTLLVLFWAEIYHQARSLPTDKLRITYISVNVAVYLAQIGIWAYIWVNDNSTVELVGKIFIAVVSFIAALGFLLYGGRLFFMLRRFPIESKGRRKKLHEVGSVTAICFTCFLIRCVVVAVSAFDKDLTLDVLDHPVLNLIYYMVVEVLPSALVLFILRKLPPKRVSAQYHPIQ >fgenesh2_kg.7__2130__AT4G21780.1 pep chromosome:v.1.0:7:9069557:9070045:-1 gene:fgenesh2_kg.7__2130__AT4G21780.1 transcript:fgenesh2_kg.7__2130__AT4G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIAIGTRGTIGSLVRKEIDYFKNFTTCHPQFDPRRGNSEENTDTFQQRRERSSSRLSSWFSKTNWRKKKRQTRGGAGKFLPSMCAAVEVSGENRVPGFNYRILKSDREKGLRV >fgenesh2_kg.7__2132__AT4G21760.1 pep chromosome:v.1.0:7:9073380:9076098:-1 gene:fgenesh2_kg.7__2132__AT4G21760.1 transcript:fgenesh2_kg.7__2132__AT4G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 47 [Source:UniProtKB/TrEMBL;Acc:D7MEP5] METKSSMYISQFRLWLLCFIIITLVSLSSSSRWYDDHISLRKINAEENFHFPKNFLFGTASSAYQYEGAYLTDGKTLSNWDVFTNISGKIADGSHGKVAVDHYHRYPGDLDLMEDLGVNSYRLSLSWARILPKGRFGDVNMGGIDHYNRMINDILMRGIEPFVTLTHYDIPQELESRYGSWLNPQIREDFEHYANICFRYFGDRVKFWATFNEPNVQVILGYRTGTYPPSRCSNTFGNCSCGDSYIEPLVAAHNIIRSHVAAVTLYRTKFQEQQSGKIGIVMNTIWFEPVSDSLADRLAAERAQAFYLTWFLDPVVFGRYPREMREILGEDLPEFTTDDLKSSKNTLDFIGINQYTSRYAEDCLDSVCEPGKGGSRAEGFVYAKALKDGLPLGEPTGVNWFSVYPQGMEEMLMYATKRYKNIPLYVTENGFGENNTGVLLNDYRRLKFMSNYLDALKRAMRKGADVRGYFAWSLLDNFEWISGYTIRFGMYHVDFNTQERTPRLSASWYKNFIFQHRAQSKDD >fgenesh2_kg.7__2135__AT4G21745.1 pep chromosome:v.1.0:7:9087428:9089285:-1 gene:fgenesh2_kg.7__2135__AT4G21745.1 transcript:fgenesh2_kg.7__2135__AT4G21745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIYKSFKSISLISKERDLEIGHPTEVKHVAHIGWEGSSGSAPAWMSDFKAGAEFLSPRASSFSHARHSDSFFTTSDSTDQGSTQLNISDRIRDVPPIPVGLSKIHTKSKNRRKKPSSTSSPRSRPSPKSSRSMGLSKSSHKSMVSRLHSNA >fgenesh2_kg.7__2136__AT4G21710.1 pep chromosome:v.1.0:7:9110305:9116998:1 gene:fgenesh2_kg.7__2136__AT4G21710.1 transcript:fgenesh2_kg.7__2136__AT4G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:D7MEQ0] MEYNEYEPEPQYVEDDDDEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQSDFAETIYKISFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSAPLYVDVTKRVIKKGHDGEEVTETQDFTKVFIGKVPIMLRSSYCTLFQNSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVGEVRSMAENQNRPPSTMFVRMLARASAKGGSSGQYIRCTLPYIRTEIPIIIVFRALGFVADKDILEHICYDFADTQMMELLRPSLEEAFVIQNQLVALDYIGKRGATVGVTKEKRIKYAKDILQKEMLPHVGIGEYCETKKAYYFGYIIHRLLLCALGRRPEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKEVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPSVIPQATKIFVNGMWVGVHRDPDMLVKTLRRLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVDNQRLLIKKRDIYALQQRESAEEDGWHHLVAKGFIEYIDTEEEETTMISMTISDLVQARLRPEEAYTENYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAISCYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRGSTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTTPISQDEAQGQSSRYTRRDHSISLRHSETGMVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGVTPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFERMYNGHTGRPLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCEVCGLIAIANLKKNSFECRGCKNKTDIVQVYIPYACKLLFQELMSMAIAPRMLTKHLKSAKGRQ >fgenesh2_kg.7__2137__AT4G21705.1 pep chromosome:v.1.0:7:9119317:9121186:1 gene:fgenesh2_kg.7__2137__AT4G21705.1 transcript:fgenesh2_kg.7__2137__AT4G21705.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MEQ2] MNVLKRFPATLIASRYYYTNRVKKTTLYSKISPLGDPKSSVYPELQNWVQCGKKVSVAELIRIVHDLRRRKRFLHALEVSKWMNETGVCVFSPTEHAVHLDLIGRVYGFVTAEEYFENLKEQYKNEKTYGALLNCYVRQQNVEKSLLHFQKMKEMGFVSSSLTYNNIMCLYTNIGQHEKVPGVLDEMKEENVAPDNYSFRICINAFGAMSDLERIGGILRDMERRPDFTMDWNTYAVAAKFYIDGGDCDRAVELLKMSEYRLEKKDGEGYNHLITLYARLGNKIEVLRLWELEKEACKRRINQDYLTVLQSLMKIDGLKEAEVVLTEWESSGNCYDFRVPNTVIRGYTGKSMEEKAEAMLEDLARRGKTTTPESWGLVATAYAEKGALGNAFKCMKTALGIEVENRKWRPGLKLVTSVLSWLGGEGSLKEVESFVASLRNCIGVNKQMYHALVKADIREGGSNIDTLLKRMKDDQIETDEETTVILSTRSPC >fgenesh2_kg.7__213__AT4G39950.1 pep chromosome:v.1.0:7:836152:838137:1 gene:fgenesh2_kg.7__213__AT4G39950.1 transcript:fgenesh2_kg.7__213__AT4G39950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP79B2 [Source:UniProtKB/TrEMBL;Acc:D7M975] MNTFTSNSSDLTTTATETSSFSTLYLLSTLQAFVAITLVMLLKKLMTDPNKKKLTLPPGPTGWPIIGMIPTMLKSRPVFRWLHSIMKQLNTEIACVRLGNTHVITVTCPKIAREMLKQQDALFASRPLTYAQKILSNGYKTCVITPFGDQFKKMRKVVMTELVCPARHRWLHQKRSEENDHLTAWVYNMVKNSGSVDFRFMTRHYCGNAIKKLMFGTRTFSKNTAPDGGPTVEDVEHMEAMFEALGFTFAFCISDYLPMLTGLDLNGHEKIMRESSAIMDKYHDPIIDERIKMWREGKRTQIEDFLDIFISIKDEQGNPLLTADEIKPTIKELVMAAPDNPSNAVEWAMAEMVNKPEILRKAMEEIDRVVGKERLVQESDIPKLNYVKAILREAFRLHPVAAFNLPHVALSDTTVAGYHIPKGSQVLLSRYGLGRNPKVWADPLSFKPERHLNECSEVTLTENDLRFISFSTGKRGCAAPALGTALTTMMLARLLQGFTWKLPENETRVELMESSHDMFLAKPLVMVGELRLPEHLYPTVK >fgenesh2_kg.7__2140__AT4G21680.1 pep chromosome:v.1.0:7:9138095:9140329:1 gene:fgenesh2_kg.7__2140__AT4G21680.1 transcript:fgenesh2_kg.7__2140__AT4G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7MEQ6] MDQKVRQFEVCTQDGSVDRHGNPAIRANTGKWLTAILILVNQGLATLAFFGVGVNLVLFLTRVMGQDNAEAANNVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQASFVAGLVMLSLSTGALLLEPSGCGVEESPCKPHSTFKTVLFYLSVYLIALGYGGYQPNIATFGADQFDAEDSVEGHSKIAFFSYFYLALNLGSLFSNTVLGYFEDQGEWPLGFWASAGSAFAGLVLFLTGTPKYRHFTPRESPWSRFCQVLVAATRKAKIDVDHEDLNLYDSETQRTGDKKILHTKGFRFLDRAAIVTPDDEAEKVESGSKYDSWRLCSVTQVEEVKCVLRLLPIWLCTILYSVVFTQMASLFVVQGAAMKTNIKNFRIPASSMSSFDILSVAFFIFAYRRFLDPLFARLNKTEPNKGLTELQRMGIGLVIAIMAMISAGTVEIYRLKNKEPESSTSVSSSSSLSIFWQVPQYMLIGASEVFMYVGQLEFFNSQAPTGLKSFASALCMASISLGNYVSSLLVSIVMKISTTDDVPGWIPENLNKGHLERFYFLLAGLTAADFVVYLICAKWYKYIKSEASFSESVTDEEEV >fgenesh2_kg.7__2141__AT4G21670.1 pep chromosome:v.1.0:7:9140298:9146703:-1 gene:fgenesh2_kg.7__2141__AT4G21670.1 transcript:fgenesh2_kg.7__2141__AT4G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNNRVEVFHGDGRIGEMEIYPPRELQQQQEDDVMKQRKKKQREVMEEAKMGIRINHFSQSGERCTPLAVLTTISSCGLCFKLEASPSLAQEPLSLLYSSCLMDNKTAVMLLGGEELHLVAMYSEHIKNDRPCFWAFSVAPGVYDSCLVMLNIRCLGIVFDLDETLVVANTMRSFEDKIDGLQRRINNEMDPQRLAVMVAEMKRYQDDKNLLKQYVESDQVVENGEVIKVQSEIVPALSDNHQPLVRPLIRLQEKNIILTRINPMIRDTSVLVRMRPSWEELRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEGNLINTNDLLARIVCVKSGLKKSLFNVFLDGTCHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYSPQAEAAATPVLCVARNVACGVRGGFFRDFDDSLLPRIAEISYENDVEDIPSPPDVSHYLVSEDDTSGLNGNKDPLSFDGMADAEVERRLKEAISASSVVLPAVNIDPRIAAPVQYPMASTSSVSVPVPIPGPVVQQALQPSAMAFPSFQQPTSIAKHLVPSEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPAPSEPSFPQRPPVQAPAPHVQSRNGWFPVEEEMDPAQIRRAVSKEYPLDSEMIHMEKHRPRHPSFFSKIDNSTQSDRMLHENRRQPKESLRRDEQLRPNNNLPGSHPFYGEEASWNQSSSRNSDLDFLPERSVSATESSADVLHGIAIKCGTKVEYRPSLVASTNLRFSVEAWLSNEKIGEGIGKSRREALHKAAEASIQNLADVYIHANGDPGPSHRDASPFTNGNMIMGNASALDNQPFARDETAMPVSSRPTDPRLEGSMRHTGSITALRELCASEGFEMSFQSQRPLPSDMVHRDELRAQVEIDGRVVGEGVGSTWDEARMQAAERALCSVRSMLGQPVHKRQGSPRSFAGMSNKRLKPDFQRSLQRMPSSGRYS >fgenesh2_kg.7__2143__AT4G21650.1 pep chromosome:v.1.0:7:9168664:9172166:1 gene:fgenesh2_kg.7__2143__AT4G21650.1 transcript:fgenesh2_kg.7__2143__AT4G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MER3] MDNSLQSAKLVLLLAIALVLFLNTELNFHIAAGALDSDSKVYIVYLGEREHDDPELVTASHHQMLESLLQSKEDARNSLIYSYQHGFSGFAALLTSSQAKKISEHPAVIHFIPNRILKLKTTRTWDHLGLSPIPTSFSSLSSVKGLLHDTNLGREAIIGVIDSGIWPESKALNDQWLGPIPKRWRGKCEPGEQFNATIHCNNKLIGAKYYLNGAVAAIGGKFNRTIIQDFKSTRDANGHGTHTATIAGGSFVPNVSIYGLARGLVRGGAPRARIASYKACWNVMGDEGGGTDGRCTTADMWKAFDDAIHDGVDVLSVSIGGAIPEDSEVDKLDYIAAFHAVAKGITVVTAAGNEGPGAQTVNNVAPWLLTVAATTLDRSFPTKITLGNKQTLFAESLFTGPEISTGLVFLDSDSDDNVDVKGKTVLVFDSATPIAGKGVAALILAQKPDDLLARCNGLGCIFADYELGTEILKYIRTTRSPTVRISAARTLTGQPATTKVAAFSCRGPNSVSPAILKPDIAAPGVSILAAISPLNPEQQNGFGLLSGTSMSTPVVSGIIALLKSLHPNWSPAAMRSALVTTEPIFAEGSNKKLADPFDYGGGLVNPEKAAKPGLVYDMGIDDYINYMCSAGYNDSSISRVLGKKTKCPIPEPSMLDINLPSITIPNLEKEVTLTRTVTNVGPIKSVYKAVIEPPLGITLTVNPTTLVFKSAAKRVLTFSVKAKTSHKVNSGYFFGSLTWTDGVHDVIIPVSVKTRYSM >fgenesh2_kg.7__2147__AT4G21610.1 pep chromosome:v.1.0:7:9182149:9183547:-1 gene:fgenesh2_kg.7__2147__AT4G21610.1 transcript:fgenesh2_kg.7__2147__AT4G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQTQKKEEQKHRENEEEEEEGPPPGWESAVLAPPIATVTAAVNPNPTTVEIPEKAQMICGSCRRLLSYLRGSKHVKCSSCQTVNLVLEANQVGQVNCNNCKLLLMYPYGAPSVRCSSCNSITDISENNKRPPWSEQQGPLKSLSSIR >fgenesh2_kg.7__2148__AT4G21605.1 pep chromosome:v.1.0:7:9183710:9184398:-1 gene:fgenesh2_kg.7__2148__AT4G21605.1 transcript:fgenesh2_kg.7__2148__AT4G21605.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MER8] MDCRTHTWGEAPRMQVARVFQSTCVFDGKIYVIGGRGTLDSTKWMEVFDTKTQTWEFLQFPSEEKICEGYKYESIVYEGTVYVRSHDQNVTYKLHKGRWIEADLAMNNGWSCSSSFCVIENVFYCCNRNGNGMIDWYDSEKKVWATLKGLKRLPKLYGNVKLADYGGKMMVLWKRVSYVMT >fgenesh2_kg.7__2149__AT4G21600.1 pep chromosome:v.1.0:7:9185272:9186988:-1 gene:fgenesh2_kg.7__2149__AT4G21600.1 transcript:fgenesh2_kg.7__2149__AT4G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MER9] GYFEDDTIAAVKKLLPESADGDLGDFCSWPDEIKKLSQWQWTSALHYVNTPEDRCNYEYCRDCHDTHKHKGWCVTGAIFNYTNQLKSASENSQNLFTNLCAKNLTEALLFLSHYMGDVHQPLHTGFLGDLGGNTIIVSWYHNETNLHHVWDNMIIDSALETYYNSSLPRMIQALQAKLKNGWSNDVPLWKSCHFHQKACPNRYASESIDLACKYAYRNTTPGTTLGDEYFLSRLPVVEKRLAQGGIRLAATLNRIFSAKPKLAGL >fgenesh2_kg.7__214__AT4G39952.1 pep chromosome:v.1.0:7:844412:846754:1 gene:fgenesh2_kg.7__214__AT4G39952.1 transcript:fgenesh2_kg.7__214__AT4G39952.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M977] MLRRACRRLLKPKSVVTLRTIASSSSSSYVDRHISVILCDQSLSLESLRKHNALIITGGNSENIFVASKLISSYASYGKPNLSSRVFDLVTRRDVFLWNSIIKAHFSNGDYARSLGFFFSMLLSGQSPDHFTAPMVVSACAELLWFDVGSFVHGFVLKHGGFERNTAVGASFVYFYSKCGFLQDACLVFDEMPERDVVAWTAIISGHVQNRESERALGYLCKMHTVGSDVDKPNPRTLECGFQACSNLGALKEGRCLHGFAVKNGLASSNVVQSSIFSLYSKSGNPAEAYLSFRELGDQDMFSWTSIIASLVRSGNVEESFDMFWEMQNKGMQPDGIVISCLISELGKKMLVPEGKAFHGFVIRHCFSLDSTVCNSLLSMYCKFEFLSVAEKLFCKISEEGNTEAWNTMLKGYGAVLLGKSLHCYVVKTSLDLTISVVNSLIDLYGKMGDLTVAWRMFCEADTNIVTWNAMIASYVYCEQPDKAIALFDRMVSENFKPSSITLVTLLMACANTGSLERGQMIHRYIIETEHEMNLSLSTALIDMYAKCGHLEKSRELFDAASQKDAVCWNVMISGYGMHGHVESAIALFDQMEESDVKPTGPTFLALLSACTHAGLVEHGKNLFLKMHQYDVKPNLKHYSCLVDLLSRSGNLQEAETTVMSMPFSPDGVIWGTLLSSCMTHGEFEMGIRMADRAVASDPQNDGYYIMLANMYSAAGKWEQAERAREMMRESGVGKRAGHSVV >fgenesh2_kg.7__2151__AT4G21590.1 pep chromosome:v.1.0:7:9193372:9195572:-1 gene:fgenesh2_kg.7__2151__AT4G21590.1 transcript:fgenesh2_kg.7__2151__AT4G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIVSILVLTQLVHGALCWGDDGHYAVCKIAQGYFEEETVVAVKKLLPESANGELAAVCSWPDEIKKLPQWRWTSALHFADTPDYKCNYEYSRDCPKDWCVTGAIFNYTNQLMSASEISQSIVRYNLTEALMFLSHYMGDIHQLLHEGFIGDLGGNKIKVHWYNQETNLHRVWDDMIIESALETYYNSSLPRMIQALQAKLKNGWSNDVPSWESCQLNQTACPNPYASESIDLACKYAYRNATAGTTLGDYYFVSRLPVVEKRLAQGGIRLAATLNRIYSTKSKLARA >fgenesh2_kg.7__2152__AT4G21585.1 pep chromosome:v.1.0:7:9199930:9201910:-1 gene:fgenesh2_kg.7__2152__AT4G21585.1 transcript:fgenesh2_kg.7__2152__AT4G21585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLRLWFATVLVLTQLINGALCWGKEGHYTVCKIAESYFEEETVAAVKKLLPESADGDLASVCSWPDEIKHHWQWRWTSPLHYVDTPDYRCNYEYCRDCHDTHKHQDRCVTGAIFNYTMQLMSASENSHTIVHYNLTEALMFLSHFIGDIHQPLHVGFLGDEGGNTITVRWYRRKTNLHHVWDNMIIESALKTYYNKSLPLFIQALQTNLTHGWSNDVPSWESCQLNQTACPNPYASESINLACKYAYRNATPGTTLGDDYFLSRLPIVEKRLAQGGIRLAATLNRIFSSKPKHAGS >fgenesh2_kg.7__2153__AT4G21580.1 pep chromosome:v.1.0:7:9202251:9204085:-1 gene:fgenesh2_kg.7__2153__AT4G21580.1 transcript:fgenesh2_kg.7__2153__AT4G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7MES2] MKAIVISEPGKPEVLQLRDVADPEVKDDEVLVRVLATALNRADTLQRLGLYNPPPGSSPYLGLECSGTIESVGKNVSRWKVGDQVCALLSGGGYAEKVSVPAGQIFPVPAGISLKDATAFPEVACTVWSTVFMMGRLSAGESFLVHGGSSGIGTFAIQIAKHLGVRVFVTAGNEEKLAACKELGADVCINYKTEDFVAKVKAETDGKGVDVILDCIGAPYLQKNLDSLNFDGRLCIIGLMGGANAEIKLSSLLPKRLTVLGAALRPRSPENKAVVVAEVEKNVWPAIEAGKVKPVIHKYLPLSQAAEAHSLMESSKHIGKILLET >fgenesh2_kg.7__2155__AT4G21570.1 pep chromosome:v.1.0:7:9218157:9220233:1 gene:fgenesh2_kg.7__2155__AT4G21570.1 transcript:fgenesh2_kg.7__2155__AT4G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLTKLKPPQITFYCSAFSVLLTVHFTIQLVSQHLFHWKNPKEQKAILIIVLMAPIYAVVSFIGLLEVKGSETFFLFLESIKECYEALVIAKFLALMYSYLNISMSKNIVPDGIKGREIHHSFPMTLFQPHVVRLDHHTLKLLKYWTWQFVVIRPVCSTLMIALQLIGFYPSWLSWTFTIIVNFSVSLALYSLVIFYHVFAKELAPHNPLAKFLCIKGIVFFVFWQGIALDILVAMGFIKSHHFWLEVEQIQEAIQNVLVCLEMVIFAVVQKHAYHAGPYSGETKKKLDKKTE >fgenesh2_kg.7__2158__AT4G21560.1 pep chromosome:v.1.0:7:9221593:9223340:1 gene:fgenesh2_kg.7__2158__AT4G21560.1 transcript:fgenesh2_kg.7__2158__AT4G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 28 homolog [Source:UniProtKB/TrEMBL;Acc:D7MES4] MEVKLWNDKREREMYENFAELYAIIKATEKLEKAYIRDLISPSEYETECQKLIVHFKTLSASLKDMVPNIERFAETYKMDCSAAVYRLVTSGVPATVEHRAAASASTSSSASVVAECVQNFITSMDSLKLNMVAVDQVYPLLSDLSASLNKLSILPPDFEGKIKMKEWLLRLSKMGASDELTEQQARQLHFDLESSYNSFMAALPNAGN >fgenesh2_kg.7__2163__AT4G21540.1 pep chromosome:v.1.0:7:9229630:9250029:-1 gene:fgenesh2_kg.7__2163__AT4G21540.1 transcript:fgenesh2_kg.7__2163__AT4G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-erythro-sphingosine kinase [Source:UniProtKB/TrEMBL;Acc:D7MES6] MKLLPLCPLQLSTTQTPTAIPMSLSFYKNELSEDTPCQSGYTDYISFQVPEETFPEIPNCVGIAKGFKQNANNEKNGYTSLEAVLLSVPNGYTCVDLSLYKDKELVLLLNKTSADSEGSGEACMMVVQTCDLPFISISRSSSLNQWEIEDLKVLLISVILIDGSIVNLEIENEKVRKVPHSVIAPLAVSASRGVACVFAERRRALVYILEEDEDEEISDDKAFTHVGSATRQVKRVVVTFPMIRQSRTKVSFGSKTKLSLSLYLSSSPSRRLSCLMENDQMISPTDIITGIVFIDGELAMLTLTADGELRWTEYGLRQQLSMKKDVLGFVVQGKRIRVKVVVEKEAGICCGQFDGDFVRKDFVFEPLIDQNGWCFKLRQYLDSLGRPKKLLVLVNPFGGKKLAREIFVKEVKPLFEDADVQLEIQETKYQLHAKEIVKSMDVSKYDGIVCVSGDGVLVEVLNGLLERADWRTALKLPIGMVPAGTGNGMIKSLLDTVGLRCCANSATISIIRGHKRFVDVATISQGNTKFFSVLMLAWGLIADIDIESEKFRWMGSARIDFYVLVKLLALQRIICLRQYNGRILFLPAPGFESYGQPASCSLYKEPPVSDKELGYQGPETKFEDLEWREIKGPFVTVWLHNVPWGAENTLTAPAAKFSDGFLDLIVLKNCPKLVLLSLMRQTSSGTHVESPYITYLKVKAFVLEPGGLVDEPEKEGIIDSDGEVLARGKRTYNCDQKALMSYDKLQVTVDQGNENQTNVLKIVVRVISDFILSFNSHQRRNSSSSLLPMDRQPERENDELPSPVIISDRVLVNGVVTPLTLTADGELRSTESGRRKSTVAKEILSFVVEGNKVRVKTLVERRGGICCGGSGGDYARNDFVFEPLSDESRKLWSDKLHQHLDSLGRPKKLLVFVNPFGGKKSARKIFQEEVKPLFEDANIQLEIQETKYQLHAKEIVRSMDVSKYDGIVCVSGDGILVEVVNGLLERADWKTALKLPIGMVPAGSGNGMIKSLLEPVGLPCSATSATISIIRGRTRSLDVATISQGTTKFFSVLMLAWGLVADIDIESEKFRWMGGARFDIYGLQRIICLRQYYGRILFVPAPGFESYGQRASCSVDKELSGSDKALVYQGPDTKLEDLDWREMKGPFVSVWLHNVPWGAENTLAAPDAKFSDGFLDLIVMKDCPKLALLSLMTKLSDGTHVQSPYVSYLKVKAFVLEPGARIDEPDKEGIIDSDGEVLARGRKSYKCDQKALMSYDKLQISVDQGLATLFSPE >fgenesh2_kg.7__2165__AT4G21520.1 pep chromosome:v.1.0:7:9254161:9263580:1 gene:fgenesh2_kg.7__2165__AT4G21520.1 transcript:fgenesh2_kg.7__2165__AT4G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7MES7] MGEEEAVAEENGGLKVESGEQNSSWPTMRFDVSPYRTHHFSKQFRTARNPNNFLKGLKWSPDGSCFLASSEDNTLSLFHLPQDGGDSNGYGVPVPEEDSYGASLLVNEGESVYDFCWYPYMSVSDPLTCVFATSTRDHPIHLWDSTSGELRCTYRAYDAMDEITAAFSVGFNPDGTKIFAGYNSFIRVFDLHRPGRDFGQYSTLQKNKEGQAGILSTLAFSPTNSGMLAVGSYGQTTGIYREDNMELLYVLHGQEGGVTHVQFSKDGNYLYTGGRKDPYILCWDIRKSVEIVYKLYRATENTNQRVFFDIEPCGRHLATGGQDGLVHMYDLQTGNWVSGYQAASDTVNAFSFHPYLPMAATSSGHRRFAIPDDDDEDKNDLQLKADENCVSLWSFYEENNTYDENNGVTSKSHLQNVTGEEEIALT >fgenesh2_kg.7__2167__AT4G21490.1 pep chromosome:v.1.0:7:9273063:9275443:1 gene:fgenesh2_kg.7__2167__AT4G21490.1 transcript:fgenesh2_kg.7__2167__AT4G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MET0] GGGLIVYSEANASYSNNGVETETRKKKVVLLGTGWAGASFLKTLNNSSYEVQVISPRNYFAFTPLLPSVTCGTVEARSVVEPIRNIGRKNVEMSFLEAECVKIDPRSKKVYCRSKQGVNSNGKREFDVDYDYLVIATGAQSNTFNIPGVEENCHFLKEVEDAQRIRSTVIDSFEKVSLPGLNEEERKRMLHFVVVGGGPTGVEFASELHDFVNEDLVKLYPKAKNLVQITLLEAADHILTMFDKRITEFAEEKFTRDGIDVKLGSMVVKVNDKEISAKTKGGEVSTIPYGMIVWSTGIGTRPVIKDFMKQIGQGNRRALATDEWLRVEGCDNIYALGDCATINQRKVMEDIAAIFKKADKENSGTLTMKEFQEVMGDICDRYPQVQLYLKSKGMHGITDLLKEAQAENGSNKSVELDIEELKSALCQVDSQVKLLPATGQVAAQQGTYLAKCFDRMEVCEKNPEGPIRIRGEGRHRFRPFRYRHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKQVSWRTRVLVVSDWMRRFIFGRDSSRI >fgenesh2_kg.7__216__AT4G39960.1 pep chromosome:v.1.0:7:852773:855579:1 gene:fgenesh2_kg.7__216__AT4G39960.1 transcript:fgenesh2_kg.7__216__AT4G39960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:UniProtKB/TrEMBL;Acc:D7M979] MALIQFGSSCVAQWGILRPHFAVKAFYPSRLESHQDNCISQINCLGASRSSMFAQGSLPFLSLTGVSRNTHSRRGARFTVRADADFYSVLGVSKNATKAEIKSAYRKLARSYHPDVNKDAGAEDKFKEISNAYEILSDDEKRSLYDRYGEAGVKGAGMGGMGDYSNPFDLFESLFEGMGGMGGMGGGMGSRGSRSRAIDGEDEYYSLILNFKEAVFGIEKEIEISRLESCGTCNGSGAKAGTKPTKCKTCGGQGQVVASTRTPLGVFQQVMTCSPCNGTGEISKPCGACSGDGRVRRTKRISLKVPAGVDSGSRLRVRGEGNAGKRGGSPGDLFAVIEVIPDPVLKRDDTNILYTCKISYVDAILGTTLKVPTVDGEVDLKVPAGTQPSTTLVMAKKGVPVLNKSKMRGDQLVRVQVEIPKRLSKEEKKLVEELADMSKNKVANSRR >fgenesh2_kg.7__2171__AT4G21450.1 pep chromosome:v.1.0:7:9281168:9283579:-1 gene:fgenesh2_kg.7__2171__AT4G21450.1 transcript:fgenesh2_kg.7__2171__AT4G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane family protein [Source:UniProtKB/TrEMBL;Acc:D7MET3] MTMTEEKPTSDGKGGWGFFKIPFRNSSGHGNAASSAATSPFPSGASSSSTSSHLHNHHQHQHQQHHHHHHHQHHHQLGYNGPHGDGSGQNQHPTPSPSVSSVAKSFLPTKRRLKLDPSEKLYFPYEPGKQVRSAIKIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGETIIATVFKFVEPPENNEKPMDQRSRVKFKIMSLKVKGPMDYVPELFDEQKDDVSKEQILRVIFLDPERPNPALDKLKRQLAEADAAVEARKKPPEETGPKIIGEGLVIDEWKERRERYLAQQQGEGADSV >fgenesh2_kg.7__2172__AT4G21445.1 pep chromosome:v.1.0:7:9285282:9286317:-1 gene:fgenesh2_kg.7__2172__AT4G21445.1 transcript:fgenesh2_kg.7__2172__AT4G21445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPTYYRHSNFILNPHIDKTFARTRQARSNNITFMTRTQGRRRKMRLCLVRASAETGEEESSDQSKPERRSFLSLAEAGLVEISGLGAHEKFLCRLTISSLNLLRVISEQEGCSIEELNAGKICDWFLKDKLKREHNIESAVLQWDDPDFPF >fgenesh2_kg.7__2173__AT4G21440.1 pep chromosome:v.1.0:7:9294150:9295572:1 gene:fgenesh2_kg.7__2173__AT4G21440.1 transcript:fgenesh2_kg.7__2173__AT4G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKNGLKKGPWTSEEDQKLVDYIHKHGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSFLGNKWSAIAARLPGRTDNEIKNFWNTHIRKKLLRMGIDPVTHSPRLDLLDISSILASSLYNSSSHHLNMPGGLMMDTHRRHHQQHPLVNPEILKLATSLFSQNQNQNLVVDHDSRTQQKQTVHNQTGVNQYQTDQYFENTVTQELQSSMPPFPNEAHVNDMDHHFNGFGGQTLASTSTTSLQDCNNLSFNDYSSSNFVLDPSYSDQSFNFANSVLNTPSSSPSPTTLNSSYINSSSCSTEDEMESYCSNLMKFDIPDFLDVNGFII >fgenesh2_kg.7__2174__AT5G34837.1 pep chromosome:v.1.0:7:9299427:9300228:-1 gene:fgenesh2_kg.7__2174__AT5G34837.1 transcript:fgenesh2_kg.7__2174__AT5G34837.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MET6] MAHQIFSKDYGCKFTCEHAWRELRYDQKWIAQSTHGKAKRRKCEADSDSVGVEDKEARPIGVKAAKAAAKAKGKAKL >fgenesh2_kg.7__2176__AT4G21410.1 pep chromosome:v.1.0:7:9313820:9316515:1 gene:fgenesh2_kg.7__2176__AT4G21410.1 transcript:fgenesh2_kg.7__2176__AT4G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MEU0] MEHVRVIFFFFACFLTLVPFHAFAQVDSYEFDPDFNCVDRGNFTANSIFAGNLNRLVSSLSSLKSKAYGFYNLSSGDSSGERAYAIGLCRREVKRDDCLSCIQTAARNLTEQCSRSKQAVVWYTHCMFRYSNRTIYGRKETNPTKAFIAGEEISANRDDFERLQRGLLDRLKGIAAAGGPNRKYAQGNGSASGGYRRFYGTVQCTPDLSEQDCNDCLVFGFENIPSCCDAEIGLRWFSPSCNFRFETWRFYEFDADLEPDPPAIQPADSPPSAARTERTGKGKGGSKVIIAIVIPIVLVALLAICLCLVLKWRKNKSGDRNKVLGKSPLSGSIAEDEFSNTESLLVQFETLKTATDNFSSENELGRGGFGSVYKGVFPHGQEIAVKRLSGNSGQGDNEFKNEILLLAKLQHRNLVRLIGFCIQGQERILVYEFIKNASLDQFIFDTEKRQLLDWVVRYKMIGGIARGLLYLHEDSRFRIIHRDLKASNILLDQEMNLKIADFGLAKLFDTGQTMTHRFTSRIAGTYGYMAPEYAMHGQFSVKTDVFSFGVLVIEIITGKRNNNGGSNGDEDAEDLLSWVWRCWREDTILSVIDPSLTAGSRNEMLRCIHIGLLCVQESAATRPTMATVSLMLNSYSFTLPTPSRPAFVLESVIPSNVSSSTEGLQMSSNDVTVSEFSPR >fgenesh2_kg.7__2177__AT4G21400.1 pep chromosome:v.1.0:7:9317142:9329177:1 gene:fgenesh2_kg.7__2177__AT4G21400.1 transcript:fgenesh2_kg.7__2177__AT4G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MEU1] MEHVRVIFFFFACVLTFVPFICSAQTDKYEFPPSFNCVASGGNFTANSTFADNLNGLVSSLSSLTSKPYGFYNLSSGDSSGERAYAIALCRREVKRDDCLSCIQIAARNLIEQCPRTKQAVVWYTHCMFRYSNMIIYGRKETTPTLSFQAGKNISANRDEFDRLQIELLDRLKGIAAAGGPIENTLKGAVRVWRGTRNSTEDCNDCLVFGFERIPRCCDGQVGLRWFFPSCSFRFETWRFYEFDSDLEPDPPAIQPADPPTSAARTERTGKGKGGSKVIIAIVVPIVLVAGRRTSLEVESKVTQSSSSCYTNLLTKNKLFILRTTDEFSDSLLVDFETLKAATDNFSPENELGRGGFGSVYKGVFSGGQEIAVKRLSCTSGQGDIEFKNEILLLAKLQHRNLKESLSMSSSRTLVLTISSSVIFFCRLLHMMILPFCFPLSVDLCAVADIEKRQLLDWGVRYKMIGGVARGLLYLHEDSRYRIIHRDLKASNILLDQEMNPKIADFGLAKLFDTDQTSTHRFTSKIAGTYGYMAPEYAIYGQFSVKTDVFSFGVLVIEIITGKGNNNGRSNNDEDAENLLSWVWRCWREDIILSVIDPSLTTGSRSEILRCIHIGLLCVQESAASRPTMDSVALMLNSYSYTLPTPSRPAFVSESVMPSIVSSSTEPLLMSLNDVTVSELPYKKSPH >fgenesh2_kg.7__2180__AT4G21340.1 pep chromosome:v.1.0:7:9380891:9382642:-1 gene:fgenesh2_kg.7__2180__AT4G21340.1 transcript:fgenesh2_kg.7__2180__AT4G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEFDTTGVCNGTWWSSSNGMFSGCSLPRSAEIVVDLGGFEWQNIDTLDAKTYNENYLDTSTFLGNTNLDTTSQIYVSSQSNIHEEERYNQINSFLEGLFDSIPDCPKPELFESFHFFDDVFSNESRMISVFDHDKPKDDMQASKSLITCKRASEKTEEHEDIESSQPLKRPRLETPSHFPSFKVRKEKLGDRITALQQLVSPFGKTDTASVLHDAIEYIKFLQEHITEKVSSSPHLNSKGSGEQKQWSDKSSNNTHNQDCSPRLDLPSRGLCLMPISSTFSTPPQHLDASSFWN >fgenesh2_kg.7__2185__AT4G21310.1 pep chromosome:v.1.0:7:9395645:9396641:1 gene:fgenesh2_kg.7__2185__AT4G21310.1 transcript:fgenesh2_kg.7__2185__AT4G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVGFFICILILAMDVSAGILGIEAEIAQNKVKHLKMWIFECRDPSYTAFKYGLAACILLVLAHVTANFLGGCLCVASRQDLEKSSANKQLAVASLIFSWWIILAIAFSMLIVGTMANSRSRKNCGISHHRVLSIGGILCFIHGLFAVAYYISATASTREQTSAGHA >fgenesh2_kg.7__2186__AT4G21300.1 pep chromosome:v.1.0:7:9396651:9399212:-1 gene:fgenesh2_kg.7__2186__AT4G21300.1 transcript:fgenesh2_kg.7__2186__AT4G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MEV2] MSISSVAKRFVPYKKSLPLRNSYRFLEETLPRRLSLLLQDCSNLTLLRQGKQVHAFVIVNRISGDSYTDERILGMYAMCGSFSNCGKMFYRLDSRLSSIRPWNSIISSFVRMGLLNQALAFYFKMLCFGVSPDVSTFPCLVKACVALKNFKGIEFLSDTVSSLGMDCNEFVASSLIKAYLEYGKIDVAGKLFDRVLQKDCVIWNVMLNGYAKCGASDSVIKGFSLMRMDQISPNAVTFDCVLSVCASKLLIDLGVQLHGLVVVSGLDFEGSIKNSLLSMYSKCGRFDDAIKLFRMMSRADTVTWNCMISGYVQSGLMEESLIFFYEMISSGVLPDAITFSSLLPSVSKFENLEYCRQIHCYIMRHSISLDIFLTSALIDAYFKCRGVSMAQKIFSQCNSVDVVVFTAMISGYLHNGLNIDALEMFRWLVKVKISPNEITLVSILPVIGGLLALKLGRELHGFIIKKGFDNRCNIGCAVIDMYAKCGRMNLAYEIFGRLSKRDIVSWNSMITRCAQSDNPSAAIDIFRQMGVSGICFDCVSISAALSACANLPSESFGKAIHGFMIKHSLALDVYSESTLIDMYAKCGNLKAAMNVFDTMKEKNIVSWNSIIAAYGNHGKLKDSLCLFHEMVEKSGNRPDQITFLEIISLCCHVGDVDEGVRFFRSMTQDYGIQPQQEHYACVVDLFGRAGRLSEAYETVKSMPFPPDAGVWGTLLGASRLHKNVELAKVASSRLMDLDPWNSGYYVLISNAHANTGEWESVTKVRSLMKEREVQKIPGYSWIEINKITHLFVSGDVNHPESSHIYSLLNSLLEELRLEGYIPQPYLPLHPESSRKVNPVSRFIEKGMRDPDKV >fgenesh2_kg.7__2188__AT4G21280.2 pep chromosome:v.1.0:7:9400550:9402006:-1 gene:fgenesh2_kg.7__2188__AT4G21280.2 transcript:fgenesh2_kg.7__2188__AT4G21280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSBQ/PSBQ-1 [Source:UniProtKB/TrEMBL;Acc:D7MEV3] MASIGGLHGASPAVLEGSLKINGSSRLNGPGRMAVAQRSRLVVRAQQSEETSRRSVIGLVAAGLAGGSFVQAVLADANPIKVGPPPPPSGGLPAGTDNSDQARDFALALKDRFYLQPLPPTEAAARAKESAKDIINVKPLIEKKAWPYVQNDLRSKASYLRYDLNTIISSKPKDEKKSLKELTTKLFDTIDNLDYAAKKKSPSQAEKYYAETVSALNEVLAKLG >fgenesh2_kg.7__2190__AT4G21250.1 pep chromosome:v.1.0:7:9407287:9409058:-1 gene:fgenesh2_kg.7__2190__AT4G21250.1 transcript:fgenesh2_kg.7__2190__AT4G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALFVLFLLLLCVFAINANQEEENQPQSHHNLLHKVQQWRTSLKESSSAELKLSSAIIVAGVLCFLAALISSAGGIGGGGLFIPIMTIVAGLDLKTASSFSAFMVTGGSIANVISNLFGGKALLDYDLALLLEPCMLLGVSIGVICNRVLPEWLITALFAVFLAWSSLKTCRSGVKFWKIESEIARGKGHERPEKGQGEIEEDNLKAPLLEAQVNRNKSKIPWTKLGVLVIVWASFFVIYLLRGNKDGKGIITIKPCGVEYWILLSLQIPLALIFTKLALSRTESRQEQSPNNQKNQEGTRMDQSMRLKFPAMSFLAGLLGGIFGIGGGMLISPLLLQSGIPPQITAATTSFMVFFSATMSAVQYLLLGMQNTDTAYVFSFICFLASLLGLVLVQKAVAQFGRASIIVFSVGTVMSLSTVLMTSFGALDVWTDYMAGKDMGFKLPC >fgenesh2_kg.7__2191__AT4G21240.1 pep chromosome:v.1.0:7:9413560:9414613:-1 gene:fgenesh2_kg.7__2191__AT4G21240.1 transcript:fgenesh2_kg.7__2191__AT4G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRREEEETESEEDESRIGKIFELIPLDLTPDILLRLPAKSAVRFRVASKLRSSITTRPDFIRSFAFHSSTRLCLMACVKARDKRLFISLRHGLVCFGDFYKIVVWNPSMRQHVTLPEPKPRVMYFIRSCLGHDPVEDKYKVLSISGYHKGHHDPLVFTLGPQESWRVVQNSPLHIPLPTMGRVGICINGHVYYEAEIRFKVDDTFEFERILMLNYHGKLAWFCYGFSSIRFWVLVDGDKQEWSLINFVLPFPTFPQSDPIFECSLELTGVTHDTGEFIFIYYDPKRERERRIEYEGIGNKEFWIHNGILDNNRGLTIDWFPNHNESLMSLVNVLIKAD >fgenesh2_kg.7__2192__AT4G21230.1 pep chromosome:v.1.0:7:9414947:9417503:1 gene:fgenesh2_kg.7__2192__AT4G21230.1 transcript:fgenesh2_kg.7__2192__AT4G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MEV7] MASTSIMLSSLFSIFFLTFFVTYAQQNVTVHTICYYDGGNFTSNSSYSLNLNRLISSLPDLTPTINGFYNISTNGEVNAIALCRGDVKPNQDCITCITTAAKQLVESCPNIIEADIWLEKCMFRYTSRIILGQMEPVPFSYTSSNLSVTDKEGFSKGLGELLDSLGEKIDTANETEEIKFAAGVTGSIYALAQCTPDLSESDCRICLAQIFAGVPTCCDGKTGGWWTNPSCYFRFEQYPFFDLSVISEQIQPLSPHNNNTRRSDQGNSKDRSKTLIFAVVPIVAIVLVFIFLFIYLMRRKKKKTLKDNAENEFESADSLHFDFETVRVATDDFALTNKIGEGGFGVVYKGHLPDGQEIAVKRLSIHSGQGNAEFKTEVLLMTKLQHNNLVKLFGFSIKESERLLVYEFIPNTSLDRFLFDPIKQKQLDWEKRYNIIVGISRGLLYLHEGSEFPIIHRDLKSSNVLLDEQMLPKISDFGMARQFDFDRTQAITRRVVGTYGYMAPEYAMHGRFSVKTDVYSFGVLVLEIITGKRNSGLGLGEGTDLPTFAWQNWIEGTSMELIDPVLLESYNKKQSMQCLEIALSCVQENPSKRPTMDSVVSMLSSEPESLQLPKPSQPGFFRRSASFSISLNDVSLTDLSAR >fgenesh2_kg.7__2193__AT4G21215.2 pep chromosome:v.1.0:7:9422970:9426554:1 gene:fgenesh2_kg.7__2193__AT4G21215.2 transcript:fgenesh2_kg.7__2193__AT4G21215.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGGTIPGQEIGSGFQKPVNPDGFVTIDVESFSNVIHKDFSSSSPRITLQRNVSRKGSPRSNNERKLQFDANGNDKETSFPQSPLRGSSTPEKPSIVGPTEHAGTATSAATAVSASPLHQITITTAATAAGNMITDQNRERRFGFSRKSSFKRSHTSWMLDPKKIVLFFATLSSMGSILLIIFTLSISKSNPGDMPLD >fgenesh2_kg.7__2195__AT4G21210.1 pep chromosome:v.1.0:7:9428598:9430280:-1 gene:fgenesh2_kg.7__2195__AT4G21210.1 transcript:fgenesh2_kg.7__2195__AT4G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein phosphatase/ protein kinase [Source:UniProtKB/TrEMBL;Acc:D7MEW0] MAFLSAMKLQGRPPSISSNLNPNSKPAGSDSVSLNTSEPGSERKPRKFSSQLNRWNRARTLKSGAKLDRTIANGSNSTNGPMRPIESSNRSDVSTLDSDLSSSSNGDNETDMTAAKSIYIVSDGTGWTAEHAVNAALGQFDYCLVDRGCPVNTHLFSGIEDGEKLMEIIKQAAREGAMVIYTLADPSMAEATMRACKLWKIPSLDILGPITDSISSHLGTNPSGLSRGVTNSSLNEDYFKRIEAIEFTIKHDDGALPENLEKADIVLVGVSRTGKTPLSTYLAQKGYKVSNVPIVNGVDLPKTLFEIDPRKVFGLMINPVVLQGIREARAKSLGLGSSFKTKYSELGSVKEELELAKRIFAENPTWPVIEVTERAIEETAAVVLRLYDERQSNRAMPRISKSY >fgenesh2_kg.7__2199__AT4G21180.1 pep chromosome:v.1.0:7:9445909:9449298:-1 gene:fgenesh2_kg.7__2199__AT4G21180.1 transcript:fgenesh2_kg.7__2199__AT4G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MFE4] MAESEENSVLFPIFILTMMAIPLVPYTFVKLSRAFSKKQRSIHCQCLECDRSGKYKRSISQRISSFTSCSNLTVVLLWIVMIFLIYYTKNISRESQLFEPFGILGLEPGASDSEIKKAYRRLSIQYHPDKNPDPEANKYFVESIAKAYQALTDPLSRENFEKYGHPDGRQGYTMGIALPQFILNMNGDSGGVLLLCTVGLCILLPLVIASVYLWRSSKYTGNHVKLQTRQAYFELLQPTLTPSKVMEIFIKADEYAEIPVRKTDDESLQKLYTSVKNELNLDPKKLKQEEAKFWKKNPAIIKTELLIQKQLTRESSVLSLTLQRDFRRVLEFAPRLLEDLMKMAVIPRNEQGRGWLRPALGVMELSQCIVQAVPLSARKSSSEDIAPFLQLPHFNESIAKSIALQVKSFQKFQELSLEERSKLLREVASLSEPDVQDIEKVLEMIPSLKIGITCKTEGEEGIQEGDIMTVQSWITLKRPNGLIGAIPHSPYFPFHKEENFWVLLADSNNVWFFQKVSFMDEAGAIAAASNAITETMEPLGASVKETNDAVKEAVEKVKSGSRLVMGRLLAPGEGTYNLTCFCLSDTWIGCDQKTSLKVKVLKRTRDLEGENAEEGLEDDEDEIEEEDYESEYSEDEEDKKRGSKKKVNKKESSSEESGSDEE >fgenesh2_kg.7__219__AT4G39990.1 pep chromosome:v.1.0:7:861789:863237:1 gene:fgenesh2_kg.7__219__AT4G39990.1 transcript:fgenesh2_kg.7__219__AT4G39990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGYGGASGKVDYVFKVVLIGDSAVGKSQLLARFARDEFSMDSKATIGVEFQTRTLSIEQKSIKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRETFEHIPRWLEELRAHADKNIVIILIGNKSDLEDQRAVPTEDAKEFAEKEGLFFLETSALNATNVENSFNTLMTEIYNTVNKKNLASVENQGDSNNPGSLAGKKIVIPGSGQDIPAKTSTCCSSS >fgenesh2_kg.7__21__AT4G38240.1 pep chromosome:v.1.0:7:54943:58460:-1 gene:fgenesh2_kg.7__21__AT4G38240.1 transcript:fgenesh2_kg.7__21__AT4G38240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMSCDLRFLLIPAAFMFIYIQMRLFQTQSQYADRLGSAIESENHCTSQMRGLIDEVSIKQSQIVALQDMKNRQDQELVQLKDLIHTFEKKGIATLIEAGQMPVAAVVIMACSRADYLARTVKSVLTYQSPVASKYPLFISQDGSDQAVKSKASSYNQLTYMQHLDFEPVITERPGELIAYYKIARHYKWALDQLFYKHKFSRVIILEDDMEIAPDFFDYFEAAANLMDRDKTIMAASSWNDNGQKQFVHDPSALYRSDFFPGLGWMLKRSTWDELSPKLKENHKGRQFIRPEVCRTYNFGEHGSSLGQFFSQYLEPIKLNDVKVDWKAKDLGYLTEGNYTKYFSGLVRQARPIQGADLVLKAQNIKGDVRIRYKDQAEFERIAGEFGIFEEWKDGVPRTAYKGVVVFRIQTTRRVFLVGPDSVMQLGIRNS >fgenesh2_kg.7__2203__AT4G21160.1 pep chromosome:v.1.0:7:9451640:9454210:-1 gene:fgenesh2_kg.7__2203__AT4G21160.1 transcript:fgenesh2_kg.7__2203__AT4G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zac [Source:UniProtKB/TrEMBL;Acc:D7MFE6] MSYSKSGSGKRRIRDLLTQSDNRVCADCGAPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWSDEEVDSMIEIGGNASANSIYEAFIPEGSSKPGPDASHDQRMRFIRSKYEHQEFLKPSLRITSVRGSSTKSTPYLSSSISKKIIDSFRTNSSSQQPQLEGMVEFIGLLKVTIKKGTNLAVRDMMSSDPYVVLTLGQQKAQSTVVKSNLNPVWNEELMLSVPHNYGSVKLQVFDYDTFSADDIMGEAEIDIQPLITSAMAFGDPEMFGDMQIGKWLKSHDNALIEDSIINIADGKVKQEVQIKLQNVESGELELEMEWLPLDQ >fgenesh2_kg.7__2205__AT4G21150.1 pep chromosome:v.1.0:7:9454646:9459858:-1 gene:fgenesh2_kg.7__2205__AT4G21150.1 transcript:fgenesh2_kg.7__2205__AT4G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin II family protein [Source:UniProtKB/TrEMBL;Acc:D7MFE7] MMAGGGVRFLVLILVVAICGAASVFQPISDSHRSAALDVFVPVDGSYKSLEEAYEALKTLEILGIEKKSDLSSATCEYVVKVLGSSSSTLKDAFYALNVNGILKCKIGEAGPKDIVSQLQAGVKDAKLLLDFYYSVRGLVLVKEQFPGTDISLGDAEAIFRSIKALSQSDGRWRYSSNNPESSTFAAGLAYETLAGVISLAPSELDPSLIQTLKKGIVKLFDSIQKFGMHDGTFYFDEKSVDASQGPISTTASVIRGLTSFAASESTGLNLPGDKIVGLAKFFLGVGIPGDAKDFFNQIDALACLEDNKFSVPLILSLPSTVISLTKKEPLKVKVSTVLGSKAPALSVKLTQALSSKSVDSSVINNQELKFDADSATYFLDSFPKNFDVGKYTFVFEILLDDSAHEKVYITEAQTKVPIAATGVISIENAEIAVLDSDIGSVESQKKLDLTKDGAVSLSANHLQKLRLSFQLTTPIGNAFKPHQAFFKLKHESQVEHIYLVKTSGKKAELVLDFLGLVEKLYYLSGKYEIQLTIGDASMENSLLSNIGHIELDLPERPEKAPRPPQQSTEPYSRYGPKAEISHIFRIPEKLPAKQLSLVFLGVIVLPFIGFLIGLTRLGVNIKSFPSSTGSAISALLFHGGIGAVLLLYVLFWLKLDLFTTLKALSLLGVFLLFVGHRTLSQLAAASNKLKSA >fgenesh2_kg.7__2206__AT4G21140.1 pep chromosome:v.1.0:7:9460078:9460855:1 gene:fgenesh2_kg.7__2206__AT4G21140.1 transcript:fgenesh2_kg.7__2206__AT4G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFARPKPIFDSVSHNLLQKCYASGTPKGKSKLKTGQPLKRNKLTIKKGGGGDAAVKGKGRMITDEQQKLYDQCINAPCPVRYLRPKEREREARREKLGLISKARQKEIDFQKKGGSFAMGVTTEPMRIGTPGLDYISLGIFTEDELPKYKVTVEDGIRLAKEYSRVLMREHRARRVAEINLVKLRKAAIEALPENLKKGALERDLTPFPVNRGLATLTPPIEGYLEKIMNAAAKKSSRICLCVI >fgenesh2_kg.7__2207__AT4G21130.1 pep chromosome:v.1.0:7:9462013:9464161:-1 gene:fgenesh2_kg.7__2207__AT4G21130.1 transcript:fgenesh2_kg.7__2207__AT4G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2271 [Source:UniProtKB/TrEMBL;Acc:D7MFE9] MKFKNEKKKGFGAKRIGKKSSIDRDPFFEEETKKRGKFNYNDDDIESVESEEEGKVGEEIEDKFAYETVGEKRKRFLEETSDRIEEAKRKEREEDDEEDDGVRDSLVVKTLMQEQLEKSGRVRRAIASRVQEPRSSDEFRIIVKHQQSVTAVALSDDDSRGFSVSKDGTILHWDVSSGKSDEYKWPSDEVLKSHGLIFQESWNTRHNKQSLALAVSSDGRYLATGGVDCHVHLWDIRTREHVQAFTGHRGIVSSLCFREGTAELFSEDRIYIDSSFGHQSEILSIDALRRERVLSVGRDRTLQLYKVPESTHLIFRASESNLECCCFVSSDEFLAGSDNGSISLWSILNKKPVFIVKNAHHVIADHDSVNHNCTSACSWVSSVAVCRGSDLAASGAGDGCVRLWGVESGSRAIQPLYELPLPGFVNSLAFAKSGQFLIAGVGQEPRLGRWGCLKSAQNGVTIHPLRLS >fgenesh2_kg.7__2209__AT4G21110.1 pep chromosome:v.1.0:7:9470749:9472489:-1 gene:fgenesh2_kg.7__2209__AT4G21110.1 transcript:fgenesh2_kg.7__2209__AT4G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G10 family protein [Source:UniProtKB/TrEMBL;Acc:D7MFF1] MPKVKTNRVKYPEGWELIEPTLRELDAKMREAETDSHDGKRKCETLWPIFKVSHQRSRYVYDLYYRREEISKELYEFCLDQGYADRSLIAKWKKSGYERLCCLRCIQPRDHNYGTTCVCRVPKHLREEKVVECVHCGCQGCASGD >fgenesh2_kg.7__2210__AT4G21100.1 pep chromosome:v.1.0:7:9474380:9480995:1 gene:fgenesh2_kg.7__2210__AT4G21100.1 transcript:fgenesh2_kg.7__2210__AT4G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWNYAVTAQKPTCVTHSCVGNFTSPQELNLIVAKSTRIEIHLLSPQGLQTILDVPLYGRIATLELFRPHGEAQDFLFVATERYKFCVLQWDYESSELITRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCTKPTIAVLYQDNKDARHVKTYEVSLKEKDFVEGPWSQNNLDNGADLLIPVPSPLCGVLIIGEETIVYCSANAFKAIPIRPSITKAYGRVDLDGSRYLLGDHSGLIHLLVITHEKEKVTGLKIELLGETSIASSISYLDNAVVFVGSSYGDSQLIKLNLQPDATGSYVEILEKYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRIVRNGIGINEQASVELQGIKGMWSLKSSIDEAFDTFLVVSFISETRILAMNIEDELEETEIEGFLSQVQTLFCHDAVYNQLVQVTSNSVRLVSSTTRELRNKWDAPAGFAVNVATANASQVLLATGGGHLVYLEIGDGTLTEVKHVLLEYEVSCLDINPIGDNPNYSQLAAVGLWTDISVRIFVLPDLTLITKEQLGGEIIPRSVLLCAFEGISYLLCALGDGHLLNFQLDTSSGKLRDRKKVSLGTQPITLRTFSSKSATHVFAASDRPAVIYSNNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAREGELTIGTIDDIQKLHIRTIPIGEHARRICHQEQTRTFAICCLRNQPSAEESEMHFVRLLDAQSFEFLSTYPLDAFEYGCSILSCSFTDDKNVYYCVGTAYVLPEENEPTKGRILVFIVEEGRLQLITEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLRDDGTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNANWMAAVEILDDDIYLGADNCFNLFTVKKNNEGATDEERARMEVVGEYHIGEFVNRFRHGSLVMRLPDSEIGQIPTVIFGTVSGMIGVIASLPQEQYAFLEKLQTSLRKVIKGVGGLSHEQWRSFNNEKRTAEAKSYLDGDLIESFLDLSRGKMEEISKGMDVQVEELCKRVEELTRLH >fgenesh2_kg.7__2212__AT4G21090.1 pep chromosome:v.1.0:7:9481372:9483488:1 gene:fgenesh2_kg.7__2212__AT4G21090.1 transcript:fgenesh2_kg.7__2212__AT4G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHRLSRLGSRIVRELPRGIFMPVFGMRILHRSYGQYLQSSPMLQRQTRSLKEALFSNNRKFCTSFSTTSEKGGEETEKINVIFVDKDGEEIHIKVPIGMNILEAAHENDIELEGACEGSLACSTCHVIVMDTEYYNKLEEPTDEENDMLDLAFGLTATSRLGCQVIAKPELDGVRLAIPSATRNFAVDGFVPKPH >fgenesh2_kg.7__2213__AT4G21080.1 pep chromosome:v.1.0:7:9484829:9485373:1 gene:fgenesh2_kg.7__2213__AT4G21080.1 transcript:fgenesh2_kg.7__2213__AT4G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLNVFANEDNQVNGVKPTPPPRVCARCDSDNTKFCYYNNYSLLQPRYFCKNCRRYWTHGGTLRNVPIGGSSRAKQARVNQPSVARMVPVEIQPVNHQPFLNVQENINFVGPFGASSSSSDAAVGNHFGSLSDIHGGMVTNVHPTRTFRPNHRLAFPDGSFEQDYYDVGSDNLMVNQQVG >fgenesh2_kg.7__2216__AT4G21065.1 pep chromosome:v.1.0:7:9492206:9494015:-1 gene:fgenesh2_kg.7__2216__AT4G21065.1 transcript:fgenesh2_kg.7__2216__AT4G21065.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MFF6] MSPFSETSVLLLPMVEKCINLLQTYGVSSLTKLRQIHAFSIRNGVSISDAELGKHLIFYLVSLPSPPPMSYAHKVFSKIEKPINVFIWNTLIRGYAEIGNSVSAVSLYREMRASGFVEPDTHTYPFLLKAVGKMADVRLGETIHSVVIRSGFGSLIYVQNSLLHLYANCGDVASAYKVFDKMPEKDLVAWNSVINGFAENGKPEEALALYTEMDLKGIKPDGFTIVSLLSACAKIGALTLGKRFHVYMIKVGLTRNLHSSNVLLDLYARCGRVEEAKTLFDEMVDKNSVSWTSLIVGLAVNGLGKEAIELFKNMESKEGLLPCEITFVGILYACSHCGMVKEGFEYFRRMSEEYKIEPRIEHFGCMVDLLARAGQVKKAYEYILKMPMQPNVVIWRTLLGACTVHGDSDLAELARMKILQLEPNHSGDYVLLSNMYASEQRWSDVQKIRKQMLRDGVRKVPGHSLVEVGNRVHEFLMGDKSHPQNDMIYAKLKEMTDRLRLEGYVPQISNVYVDVEEEEKENALVYHSEKIAIAFMLISTPERWPIRVVKNLKVCADCHLAIKLVSKVYNREIVVRDRSRFHHFKNGSCSCQDYW >fgenesh2_kg.7__2218__AT4G21020.1 pep chromosome:v.1.0:7:9517278:9518569:-1 gene:fgenesh2_kg.7__2218__AT4G21020.1 transcript:fgenesh2_kg.7__2218__AT4G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MFG0] MAAMQLTRTALFGLSKAFPGIKAPPTLVASTRKVSRVCFASSVSQNEGRDPLDNARDSRADSSYGSKKWREDTGENYAQSAKDKANEGASKAADKAYETKEQAKDKAYETKEQAKDTAYNAKEKAKDYAERTKDKVNQGASKAADKAEDTKERAKDYAEDTMDNAKEKARDAKEKVKEYGEDTKERAEGFKETVKGKAEELGEKTKETVKGAWESTKNAAQTVTEAVVGPEEDAKKARADIDKGVEDHTKKKKAEKDQKEDDFITFN >fgenesh2_kg.7__2222__AT4G20980.1 pep chromosome:v.1.0:7:9525745:9528032:-1 gene:fgenesh2_kg.7__2222__AT4G20980.1 transcript:fgenesh2_kg.7__2222__AT4G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit D [Source:UniProtKB/TrEMBL;Acc:D7MFG4] MVAEAFEFVAVPFNSDGWGPPDASDVSSSASSTSVAAANLLPNVPFASFSRSDKLGRVADWTRNLSNPSARPPTGSKSDPSAVFDFSAFAIDEGFGLTSSGGNPDEDAAFRLVDGKPPPRPKFGPKWRFNPHHNRNQLPQRRDEEVEAKKRDAEKERARRDRLYNNNRNNIHHQRREAAAFKSSVDIQPEWNMLEQIPFSTFSKLSFTVQEPEDLLLCGGLEYYNRVFDRTTPKNERRLERFKNRNFFKVTTSDDPVIRRLAKEDKATVFATDAILAALMCAPRSVYSWDIVIQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKDDINSAYSLGVEAAYINQNFSQQVLVRDGKKETFDEANPFANEGEEIASVAYRYRRWKLDENMHLVARCELQSVAELNNQRSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNGNKLAKWTAQALLANADMMKIGFVSRVHPRDHFNHVILSVLGYKPKDFAGQINLNTSNMWGIVKSIVDLCMKLSEGKYVLVKDPSKPQVRIYEVPPDAFENDYVEEPLPEDEQVQPTEGNAEGAEASVAAAKETEDKKADEIQA >fgenesh2_kg.7__2224__AT4G20960.1 pep chromosome:v.1.0:7:9531693:9533376:-1 gene:fgenesh2_kg.7__2224__AT4G20960.1 transcript:fgenesh2_kg.7__2224__AT4G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein [Source:UniProtKB/TrEMBL;Acc:D7MFG6] MQITCLPISIPSITPRTSIPLLSSLSSNPSRIFNLTSLQNPNHSFFKTLHKSQTGFSNTVLASMRREEGVEVDDSFYMRKCVELAKRAIGCTSPNPMVGCVIVKDGDIVGQGFHPKAGQPHAEVFALRDAGELAENATAYVSLEPCNHYGRTPPCTEALIKAKVKRVVVGMVDPNPIVSSSGISRLKDAGIDVTVGVEEELCKKMNEGFIHRMLTEKPFLALRYSMSVNGCFLDKIGEGASDSGGYYSKLLQEYDAIILSSSLSDELSSISSQEATNVSIQPIQIIVARNAQQSPILASSNTVEESGPKVVLFTAKELLAESGISSSGVETVVLEKINLDSILDYCYNRGLCSVLLDLRGNVKDLEVLLRDGFEQKLLQKVIIEVLPEWSTKDERQIASMKWLESKQVKDLQSKQLGGNVLLEGYL >fgenesh2_kg.7__2228__AT4G20910.1 pep chromosome:v.1.0:7:9550169:9554582:1 gene:fgenesh2_kg.7__2228__AT4G20910.1 transcript:fgenesh2_kg.7__2228__AT4G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKHTPTPKAIIHQKFGAKASYRVEEVNDSSQSGCPGLAIPQKGPCLYRCHLQLPDFSVVSNVFKKKKDSEQSAAELALEKLGIRPQNDDLTVDEAWDEIVGRIKYIFSDEFLSAEHPLGAHLRAALRRDGERCGSVPVSVIATFDAKINSRCKIIDPSVESDPFLAISYVMKASAKLSDYIVVSQHALRRKNAYPSEIVEALATHVSDSLHRREVAAVYIPCIDEEVVELDTLYISSDRHYLDSIAERLGLKDGSQVMISSRTFGKASCGSECRLYSDIPKKSSDNSSEASGSSNEDSSQIKKSRNARASYICGQDIHGDAILASVGYRWKSDDLNYDDVTVNSFYRICCGMSPNGIYKISRQALIAAQLPFSFTTKSNWRGPLPREILCLFCHQHRLAEPIISSSTAPVKSLSDIFRSHKKLKVSGVDDANENLSREKEDTPGLGHGFRCEVKIFTKSQDLVLECSPRKFYEKENDAIQNASLRALLWFSKFFADLDVDGEQPCDTDDDQDIKSPSPNVFAAPPISQNEHSSESKTTNVLSAEKHVQSITNGSVVSICYSLSLAVDSEDSSDGESPREDIESNEDMESEGDAEYSANCEPSIDLIESNEEIEFEVGTGSMNPHIESAVTQMTVGEYSSFSITPPDAAEALILAVASDTVRIRSLLSERPSLNYSILLLGVKGPSEERMEAAYFKPPLSKQRVEYALKHIRESSASTLVDFGCGSGSLLDSLLDYPTSLQTIIGVDISPKGLARAAKMLHIKLNKEACNVKSATLYDGSILEFDSRLHDVDIGTCLEVIEHMEEDQACEFGEKVLSLFHPKLLIVSTPNYEFNTILQRSTSETQEEDKSGSQLPKFRNHDHKFEWTREQFNNWASNLAKRHNYGVEFSGVGGSGEVEPGFASQIAVFRREASSVENVAESSMQPYKVIWEWKKDGDKKD >fgenesh2_kg.7__2230__AT4G20890.1 pep chromosome:v.1.0:7:9556802:9558791:-1 gene:fgenesh2_kg.7__2230__AT4G20890.1 transcript:fgenesh2_kg.7__2230__AT4G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:D7MFH3] MREILHIQGGQCGNQIGAKFWEVICGEHGIDHTGQSCGETDLQLERINVYFNEASGGKYVPRAVLMDLEPGTMDSLRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLANPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYSALSVPELTQQMWDAKNMMCAADPRHGRYLTASAVFRGKMSTKEVDEQMMNVQNKNSSYFVEWIPNNVKSSVCDIAPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATVGEEEYEVDEEEEEEA >fgenesh2_kg.7__2231__AT4G20880.1 pep chromosome:v.1.0:7:9560396:9562082:1 gene:fgenesh2_kg.7__2231__AT4G20880.1 transcript:fgenesh2_kg.7__2231__AT4G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPWKKSKSSRISRFVSDLQQSPKHGGSLVVETGFPTSLIDLFVKNRDRLKKQSSKRNNKNNKAQTTAQTQTVPTKRRVLSPPPPPLSLQRRMSLPSKLDPALVTEDPPLVSKIEESFIPENRHDGEDDGGNGGNRGGGGGGCVLMVVVFKVFMVAVLALSTKKLAVGITLSAFSLLFLELAVARVFTYLNLCPDAQIRIDSLIDKLIGKRHKEKLEEEEESLSTPDRRNNVSSEIIEEPREEIRVVPQSDSSTLEKAKPLVEEKKDVQTIRDVVFKTEKSKSAKLKSKIVKKIVPKKLRSYKKKKKMKIKEKEEEEAEVETEEEGSVTEVSSLFSDERIGSEISERDDLSSNPPLLESCTEIEEEEEEEIGSKGDLTKAMVLIVIILVGLLSGKVFAIGLTLSWCLILTVFCCKSQTSL >fgenesh2_kg.7__2234__AT4G20850.1 pep chromosome:v.1.0:7:9574189:9583378:1 gene:fgenesh2_kg.7__2234__AT4G20850.1 transcript:fgenesh2_kg.7__2234__AT4G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLQLQVHGALIKGPSCPSYWASSSSSLSLLPRGFISSSPFLLHRRLRRSCSRSRGIRLRRSGFSAMPCSSSDTLTASRVGCGGGGGGGGGGGADNASVANFKLNESTFLASLMPKKEIRADRFIEAHPEYDGRGVVIAIFDSGFDPSAAGLHVTSDGKPKVLDVIDCTGSGDIDTSTVVKANEDGQIRGASGAPLVVNSSWKNPTGEWRVGSKLVYQLFTDDLTSRVKKERRKSWDEKNQEEIAKAVNNLYDFDQKHSKVEDAKLKKTREDLQSKVDFLKKQADKYEDKGPVIDAVVWHDGEVWRVALDTQSLEEDPDSGKLADFSPLTNYRIERKYGVFSRLDACSFVANVYDEGKVLSIVTDSSPHGTHVAGIATAHHPEEHLLNGVAPGAQIISCKIGDSRLGSMETGTGLSRALIAALEHNCDLVNMSYGEPALLPDYGRFVDLVTEAVNKRRLIFVSSAGNSGPALTTVGAPGGTTSSIIGVGAYVSPAMAAGAHSVVEPPSEGLEYTWSSRGPTSDGDLGVCISAPGGAVAPVPTWTLQRRMLMNGTSMASPSACGAIALLLSAMKAEGIPVSPYSVRRALENTSTPVGDLPEDKLTTGQGLMQVDKAYEYLKEFQDYPCVFYQIKVNLSGKTIPTSRGIYLREGTACRQSTEWTVQVDPKFHEGASNLKELVPFEECLELHSTDEGVVRVPDYLLLTHNGRSFSVVVDPTNLGDGVHYFEVYGIDCKAPERGPLFRIPVTIIIPKTVANRPPVISFQQMSFISGHIERRYIEVPHGATWAEATMRTSGFDTTRRFYIDTLLLCPLRRPIKWESASTFASPSAKSFAFPVVSGQTMELAIAQFWSSGLGSREPTIVDFEIEFHGVGVDKEELLLDGSEAPIKVEAEALLASEKLVPIAVLNKIRVPYQPIDAQLKTLSTGRDRLLSGKQILALTLTYKFKLEDSAEVKPYIPLLNNRIYDTKFESQFYMISDANKRVYAMGDVYPESSKLPKGEYKLQLYLRHENVQLLEKLKQLILFIERNMGEIRLNLHSEPDGPFTGNGAFKSSILMPGVKEAFYLGPPTKDKLPKNTPQGSVLVGEISYGKLSFDDKEGKNPKDNPVSYPISYVVPPNKPEEDKKAASAPNCSKSVSERLEEEVRDTKIKFLGNLKQETEEERSEWRNLCACLKSEYPKYTPLLAKILEGLLSRSDAGDKISHHEEIIEAANEVVRSVDVDELARFLLDKTEPEDAEAEKLKKKMEMTRDQLADALYQKGLAMARIENLKGEKGDEGEEESGQRDKFEENFKELTKWVDVKSSKYGTLTVLREKRLSRLGTALKVLDGLIQNENETANKKLYELKLGLLEELGWSHLVTYEKQWMQVRFPTSLPLF >fgenesh2_kg.7__2235__AT4G20840.1 pep chromosome:v.1.0:7:9589564:9591183:-1 gene:fgenesh2_kg.7__2235__AT4G20840.1 transcript:fgenesh2_kg.7__2235__AT4G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MFH8] MIATQTFVSVLFFVLFLISLPFSTFAAPPSSDSTYESFVQCFSDKTKSPQTQITDNVFSQTNPSFSSVLRAYIRNGRFNTSFTPKPTIIVTPRSDSHVSAAVTCSKTLNFLLKIRSGGHDYEGLSYISDKPFFILDMSNLRDVSVDIADQSAWISAGATLGEVYYRIWEKSKVHGFPAGVCPTVGVGGHVSGGGYGNMLRKFGLSVDNLIDAKIVDVNGQVLDRKAMGEDLFWAICGGGGASFGVVLGYKVKLVPVPETVTVFRVEKYMDSGAVDMVHKWQSVGPKTDRNLFLRMLIQPATRKKVKTVRATVVALFLGRADEVVALLRKEFPELSLKKENCIEMSWFQSALWWDNRVNATQIDPKVFLDRNLDKANFGKRKSDYVASEIPRDGIESLFKKMIELGKIGLVFNPYGGKMAEVTVNSTPFPHRNKLFKVQYSVTWQENSAEIEKGFLNQANVLYSFMSGFVSKNPRNAYLNYRDVDIGVNNHGANSYEEGEVYGRKYFGDNFDRLVKVKTAVDPDNFFMHEQSIPTLLSKA >fgenesh2_kg.7__2236__AT4G20830.1 pep chromosome:v.1.0:7:9592092:9594413:-1 gene:fgenesh2_kg.7__2236__AT4G20830.1 transcript:fgenesh2_kg.7__2236__AT4G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MFH9] MLTTPPRTFVSVPFFFFLLFFSLPLSSLSQPSPSSSNSVYNSFLKCFSEKTKSPQSQITDNVFSQSNPSFSSVLRAYIRNARFNTSSTPKPTIIITPRSESHVSAAVTCSKTLNFLLKIRSGGHDYDGLSYISDKPFFILDLSNIRDVSVDIASNSAWISAGATLGEVYYRIWEKSKVHGFPAGVCPTVGVGGHLSGGGYGNMLRKFGLSVDYVEDAKIVDVNGRVLDRKAMGEDLFWAITGGGGGSYGVVLGYKVKLVPVPSIVTVFRVEQYMDSGAVDMVYKWQSVGPKTDRNLFMRMLIQPVTRKKVKTVRASVVALFLGRADTVVALLRKEFPELGLTKENCSEMTWFQSALWWDNRVNATQEDPKVFLDRNLDTASFGKRKSDYVATAIPRKGIESLFKKMIELGKIGLVFNPYGGKMAEVAVNATPFPHRNKLFKIQYSVNWKENSAEIEKGYLNQAKVLYSFMTGFVSKNPRSAYFNYRDVDIGVNDHGVNSYKEGEVYGRKYFGENFDRLVKIKTAVDPGNFFRNEQSIPTLKNAKGTLLPEPGKAKRWSRAGGATVVATVVLHVF >fgenesh2_kg.7__2239__AT4G20800.1 pep chromosome:v.1.0:7:9606215:9607852:-1 gene:fgenesh2_kg.7__2239__AT4G20800.1 transcript:fgenesh2_kg.7__2239__AT4G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MFI2] MKEVVYVLLLVLLVSVSDANETKPNTENFLRCLRNRTSPKNPITEALYTHENSTFVSSYVSYTKNKRYSNPNDTKLIAIVAAKHESHVQATVVCAKVNGVQIRIRSGGHDYEGLSYISSVPFVILDMHDLRSITIDVFRKQAWVDAGATMGELYTKIAEASKTLAFAGGVCPTLGAGGHISGGGYGNLIRKYGISVDHVVDARIVDVNGNILTGATLGRDLLWAIRGGGGASFGVILSWKINLVDVPKTVTVFKVNKTLEQGVTDVLYKWQLVSSKLPRDLFLRAMPQVINGAVPSEKTIAAVFYAQFLGSARRLMAIMNKNLPELGLKREDCYEMSWINTTMFWQNYPVGTSTSLLLARPSDPPGAFFKSKSDYVKKPIPKEGMEKIWKTMLKFNNMWMQWNPYGGVMDKIPADATAFPHRKGNLFKIQYFALWTDANATDANLGLMKEIYDEMEPYVSSNPREAFLNYRDIDVGSNISGKTNVEEAAEIYGSRYFLGNFKRLMEVKAKYDPQNFFRFEQSIPPVRAM >fgenesh2_kg.7__2243__AT4G20760.1 pep chromosome:v.1.0:7:9622259:9624123:-1 gene:fgenesh2_kg.7__2243__AT4G20760.1 transcript:fgenesh2_kg.7__2243__AT4G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MFI6] MNTLVKSGGAWLLSPSLAVVALRKMRVSALATSSSSSFPKWEGGVSMVQGASRGIGLEFVRQLLGNNEKGYVVATCRNPKEATSLSDLKNRFSERLFIQKLDVTDETTIEESAESVRERYGSLNLLINAAGILSIPSVLQPETTLNKVEKSSLMLAYEVNAVGPILVMKHMWPLLKAGGGSGTEREVAVVANLSARVGSIGDNRLGGWHSYRASKTALNQLTKNVSVELGRRKDPVVCILLHPGTVDTDLSRPFQKNVPEGKLFTREYSVQKLLHIINNAKKQDNGKFFAWDGQEIPW >fgenesh2_kg.7__2248__AT4G20440.3 pep chromosome:v.1.0:7:9641633:9643308:1 gene:fgenesh2_kg.7__2248__AT4G20440.3 transcript:fgenesh2_kg.7__2248__AT4G20440.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein-associated protein [Source:UniProtKB/TrEMBL;Acc:D7MFJ0] MSMSKSSKMLQFINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKINEEREDRRTLGLVLLRGEEVISMTVEGPPPPEESRAKAGSAAAVAGPGIGRAAGRGVPTGPLVQAQPGLSGPVRGVGGPAPGMMQPQISRPPQLSAPPIIRPPGQMLPPPPTFGGQGPPMGRGPPPPYGMRPPPQQFSGPPPPQYGQRPMIPPPGGMMRGPPPPPHGMQGPPPPRPGMPPPPGGFAPPRPGMPPHNQQQ >fgenesh2_kg.7__2251__AT4G20420.1 pep chromosome:v.1.0:7:9652949:9653608:-1 gene:fgenesh2_kg.7__2251__AT4G20420.1 transcript:fgenesh2_kg.7__2251__AT4G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRVCLCLIFLTFVASPLVLCSRSPKLAAPSAAIGKKLGKGHVHSPAMLVSESPKVDSSSSMTKIDEPATNSAIAGFFRYRFPFQGWPFHKYAPFPMGTPTNPSSPVSATPSSGSAAAEEEESEKVPSSPSKGNRDGGNA >fgenesh2_kg.7__2254__AT4G20400.1 pep chromosome:v.1.0:7:9657019:9662164:-1 gene:fgenesh2_kg.7__2254__AT4G20400.1 transcript:fgenesh2_kg.7__2254__AT4G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji family protein [Source:UniProtKB/TrEMBL;Acc:D7MFJ7] MDQLASLAESVAMEEDSEKQSIKRESSLEPDSSPSSPKITARWNPSEACRPSVDDAPIFYPTNEDFDDPLGYIDKLRSKAESYGICRIVPPVAWRPPCPLKEKKIWENSKFPTRIQLIDLLQNREPIKKSTKTKKRKRRRISKIGYTRRRRDSGCDTASSSDSEGKFGFQTGPDFTLEEFQKYDEYFKECYFQAEDHPGSRASENKKFKPKVKDIEGEYWRIVEQATDEVEVYYGADLETKKFGSGFPKYTPGYPKSEADQYSECGWNLNNLSRLPGSVLAFESCDISGVIVPWLYVGMCFSTFCWHVEDHHLYSLNYLHTGDPKVWYGIPGNHAASFEDVMKKRLPDLFEEQPDLLHQLVTQLSPRILKEEGVPVYRAVQRSGEFILTFPKAYHSGFNCGFNCAEAVNVAPVDWLVHGQNAVEGYSKQRRKTSLSHDKLLLGAAMEATYCLWELSLSKKKNPVIARWKRVCSEDGLLTKAVKKRVQMEEERLHLLQDGFSLRKMEGDFDIKRERECFLCFYDLHMSASSCKCSPNRFACLTHAKDLCSCESKERFILIRHTLDELWALVRALEGDLDAIDLWASKCRDQYPSQHPRAREYSYLKTTSCLKSRSSSKVQQREQNNLQLVSERLQSDLTTNKEVQLKQDGDQDVNHHGHESERNHVHGITDKSAVTDVKLGEGVKFDEKKISVESQNPHSVSDVGCSELAKKVEGKDQDASTNRLSLSVELLNSGSLVVKKLWCSKQAIYPKGFKSRVKFLSVLDPTKLTNYISEVLDAGLLGPLFRVSVEDNPTENFSNVSAEKCWQMVTQRLKLEIIKKCDQPVSSLTSLQPLESINGLEMFGFLSPHVIKVVEALDPKHQLEEYWNQKAVKLFGAEPPKEGEKDDTEKGGASDPSLDRDTRLLRGLLKKATPEELVMMHGLLCGETRNTELKEELSTLVDKMEKSP >fgenesh2_kg.7__2255__AT4G20390.1 pep chromosome:v.1.0:7:9662800:9663839:-1 gene:fgenesh2_kg.7__2255__AT4G20390.1 transcript:fgenesh2_kg.7__2255__AT4G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 1B2 [Source:UniProtKB/Swiss-Prot;Acc:D7MFJ8] MAREKIVVAGGSTKSWKLLLGLRVFAFMATLAAAIVMSLNKETKTLVVATIGTLPIKATLTAKFQDTPAFVFFVIANVMVSFHNLLMIVLQIFSRKLEYKGVRLLSIAILDMLNATLVSAAANAAVFVAELGKNGNKHAKWNKVCDRFATYCDHGAGALIAAFAGVILMLLVSSVSISRLLINSKHLSTTATTTAVV >fgenesh2_kg.7__2262__AT4G20380.4 pep chromosome:v.1.0:7:9664162:9666249:-1 gene:fgenesh2_kg.7__2262__AT4G20380.4 transcript:fgenesh2_kg.7__2262__AT4G20380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQLVCHGCRNLLMYPRGASNVRCALCNTINMVPPPPPPHDMAHIICGGCRTMLMYTRGASSVRCSCCQTTNLVPAHSNQVAHAPSNQVAQINCGHCRTTLMYPYGASSVKCAVCQFVTNVNMSNGRVPLPTNRPNGSACPGTMPSSTPPSQTQTVVVENPMSVDESGKLVTNVVVGVTTDKK >fgenesh2_kg.7__2264__AT4G20362.1 pep chromosome:v.1.0:7:9675516:9676608:1 gene:fgenesh2_kg.7__2264__AT4G20362.1 transcript:fgenesh2_kg.7__2264__AT4G20362.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MFK1] QNGKADFFHNWDLSSSCLVLASKQDSLPRAGRRMRSYQTNGEIDAGPSFSGRGGGRIP >fgenesh2_kg.7__2268__AT4G20330.1 pep chromosome:v.1.0:7:9683885:9685608:1 gene:fgenesh2_kg.7__2268__AT4G20330.1 transcript:fgenesh2_kg.7__2268__AT4G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIE subunit beta [Source:UniProtKB/TrEMBL;Acc:D7MFK5] MALKEQLDKFNKQQVKCQSTLSSIASSRERTGSSRQSVPLPAAISQKKPDAAPVKFSNDTERLQNINNIRKAPVGAQIKRVIDLLFEKRLALTPEQINELCYIDMHANKAVFDSLRKNPKAHYDGRRFSYKATHDVKDKNQLLSLVSKYPDGIAVVDLKDAYPNVIEDLKALSASEDIWLLSNSQEDIAYPNNFKCEIKVDDEFKALFRDIDIPSDMLDVEKELLKIGLKPATNTAERRAAAQTHGISNKPKDKKKKKQEISKRTKLTNAHLPELFQSLNASSSRN >fgenesh2_kg.7__226__AT4G40060.1 pep chromosome:v.1.0:7:883964:885522:1 gene:fgenesh2_kg.7__226__AT4G40060.1 transcript:fgenesh2_kg.7__226__AT4G40060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHB16 [Source:UniProtKB/TrEMBL;Acc:D7M9I7] MKRLSSSDSMCGLISTSTEEQSPRGYGSNYQSMLEGYDEDTTLMEEYSGNHHHMGLSEKKRRLKVDQVKALEKNFELENKLEPERKTKLAQELGLQPRQVAVWFQNRRARWKTKQLEKDYGVLKSQYDSLRHNFDSLRRDNDCLLQEISKIKAKINGEEDNNNNKVATESVKEEEFHKTNSIPSSPLQFLEHSSGFNYRRSFTDLRDLLPNSTVVEAGSSDSCDSSAVLNDETSSDNGRLTPPVTVTGGSFLQFVKTEQTEDHDDFLSGEEACGFFSDEQPPSLHWYSASDHWT >fgenesh2_kg.7__2273__AT4G20310.1 pep chromosome:v.1.0:7:9715805:9717850:-1 gene:fgenesh2_kg.7__2273__AT4G20310.1 transcript:fgenesh2_kg.7__2273__AT4G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloendopeptidase/ protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7MFK9] MEISGRRVRRFRRDHLAGGDNIESEASCCYCDFKISNLNEPIFRLGRRFSGVMKVWFSIGLGFGVASLILVTVFLLLQFHPNPLFSNRLSSAVFGFSPSTRVSLSGIAYVLVSTVITVSVHELGHALAAASEGIQMEYIAVFIAAIFPGGLVAFDNDVLQSLPSFNALRIYSAGIWHNAVLCALCVFALFLLPVMFSPFYKHGESLTVVDVPSMSPLFGYLSPGDVIVSLDGIQVHKPSEWLELAAILDKENSEKSNASLYLGGSRRFHHGKGYCVPISLIEEGLKGNMVENQFVCPGDLTAFRTMPCSNAALREVSVCLDAKDIVKLSKCGDGWVTTSDTDSKSDCVCPQQCNLQAYHGQRSPIKKTHHRIVLDLVSILIPQIVLARLFLLVI >fgenesh2_kg.7__2277__AT4G20270.1 pep chromosome:v.1.0:7:9724414:9727825:-1 gene:fgenesh2_kg.7__2277__AT4G20270.1 transcript:fgenesh2_kg.7__2277__AT4G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKIFTFFLILSSISPLLCSSLISPLNLSLIRQAKVLISLKQSFDSYDPSLDSWNIPNFNSLCSWTGVSCDNLNQSITRLDISNLNISGTLSPEISRLSPSLVFLDVSSNSFSGQLPKEIYELSSLEVLNISSNVFEGELESRGLSQMTQLVTLDAYDNSFNGSLPPSLTTLTRLEHLDLGGNYFDGEIPRSYGSFLCLKFLSLSGNDLRGRIPNELGNITTLVQLYLGHFNDYRGGIPADFGRLINLVHLDLANCSLKGSIPAELGNLKNLEVLFLQTNELTGSVPRELGNMTSLKTLDLSNNFLEGEIPLELSGLQRLQLFNLFLNRLHGGIPEFVSQLPDLQILKLWHNNFTGTIPPKLGTNGKLIEIDLSTNKLTGLIPESLCFGRRLKILILFNNFLFGPLPEDLGECEPLWRFRLGQNFLTSRLPKGLIYLPNLELLELQNNFLTGEIPEEEAGNARFSSLTQINLSNNRLSGPIPGSIRNLRSLQILFLGGNRLSGQIPGEIGTLKSLLKIDMSRNNFSGKFPPEFGDCLSLTYLDLSHNQIAGQIPVQISQIRILNYLNVSWNLLNQSLPNELGYMKSLTSADFSHNNFSGSVPTSGQFSYFNNTSFLGNPFLCGFSSNPCNGSQNQSQSQLLNQNNTKSHGEIFAKFKLFFGLGLLGFFLVFVVLAVVKNRRMRRNNPNLWKLTGFQKLGFRSEHILECVKENHVIGKGGAGIVYKGVMPNGEEVAVKKLLTITKGSSHDNGLAAEIQTLGRIRHRNIVRLLAFCSNKDVNLLVYEYMPNGSLGEVLHGKAGVFLKWETRLQIALEAAKGLCYLHHDCSPLIIHRDVKSNNILLGPEFEAHVADFGLAKFMMQDNGASECMSSIAGSYGYIAPEYAYTLRIDEKSDVYSFGVVLLELITGRKPVDNFGEEGIDIVQWSKIQTNCNRQGVVKIIDQRLSNIPLEEAMELFFVAMLCVQEHSVERPTMREVVQMISQAKQPNTF >fgenesh2_kg.7__227__AT4G40050.1 pep chromosome:v.1.0:7:898196:901188:1 gene:fgenesh2_kg.7__227__AT4G40050.1 transcript:fgenesh2_kg.7__227__AT4G40050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDVSSNFRALVENADRKFARVRDLPAFGRAQSHYFQKVFKAYMKLWNYQQSYRSKLVESGLNRWEIGEIASRIGQLYFSQYMRTSEARFLLEAYVFYEAILRRRYFDEAQGKDLGARFKELRFYARFLLVSLIVDRKEMVLHLAEKLRVLVDDSKSNFRETNFKEWRLVVQEITRFIKSDTNLTYVRPLRYCAMLDSYPASQTYLARFHAKKLFKFRDALLASYHRNEVKYAEVTLDTYRMMQCLEWEPSGSFYQKRPVVEAKENGFVIDHTLTSGLIDMKLAADMADPSLPPNPRKAILYRPTVSHLLAVLAMICDELSPETVMLLYLSASGGPARENVAQPENSVGSSRTSKSKLLARASQEQKSYKSEPHSNGKMSSGDYYEDHLWLGPRGGSGSNNLYPGDLIPFTRKPLFLIIDSDTSRAFKAVTVLGGAERGEPVAMLLSPLKPSFENPSTDDDTEALNGSQFTFFLTAPLQAFCQMLGLSNSNPDPEVYDEAESILSASFSEWETILLTSKVLNLVWAQVLPDPFLRRLILRFIFCRSVLTSFIRTEDHDLYLPQCHPNLPESISPVSKPVQSSVQRLADHLGVAKSFHFTKS >fgenesh2_kg.7__2282__AT4G20190.1 pep chromosome:v.1.0:7:9743276:9744471:1 gene:fgenesh2_kg.7__2282__AT4G20190.1 transcript:fgenesh2_kg.7__2282__AT4G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MFL4] MATDVLSFRDDSSLEDWHDFEVLSRGDEPKILIKKTSMQSLSERRISVDPQSLLSRNGSFDMIVSRPRDIDDMPLDHQMKTKFVSCSLPNSAATSPRHSSIHNWKDRTTEQVLDLMLVQDAATAFRRSKSCGEGRACTPSLDFDMLLHKSRNGHHNPNNNHRHHGYSSSNSKSLSHKSSGNNSFFSKTESNKSNRSNTNTNTANSKSINSFEDGFKCSALCLYLPGFSKGKPVRSSRKGDSSFTRTTTMTSSQSMARTASIRDTTMLSARASLERFECGSWTSSAMIYDDNADLGGHFFDLPSELIKGGPGGNDQDDPVSAAFVFDKEPNLEKEIKGVLKTSGSKSRRSMESPRHVRFSTSSPVSYPTSPTHSITPRLLQATEDFSSFLEAQAV >fgenesh2_kg.7__2284__AT4G20170.1 pep chromosome:v.1.0:7:9748014:9750322:-1 gene:fgenesh2_kg.7__2284__AT4G20170.1 transcript:fgenesh2_kg.7__2284__AT4G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVKEKEQNTKDKKLLVGVIWNFSAELKLTFMALLVLCTLATLLPFIPSSFSLSTSDFRFCISRFSSAIPVTTTVEESSSSSPDRVLDNGVIKRTFTGYGSAAYNFVSMSAYRGGVNSFAVIGLSSKPLHVYGHPSYRCEWVSFDPTQDPISTTGSKILTDWGYGRIYTTVVINCTFSSISAVNPQNSGGTLILHATTGDPNLNLTDSIPVLTESPKSVDLNLYNSTKKKYDYLYCGSSLYGNLSPQRIREWIAYHVRFFGERSHFVLHDAGGIHEEVFEVLKPWIELGRVTLHDIRDQERFDGYYHNQFMIVNDCLHRYRFMTKWMFFFDVDEFLHVPVKETISSVMESLEEYSQFTIEQMPMSSRICYSGDGPARTYRKWGIEKLAYRDVKKVPRRDRKYAVQPENVFATGVHMSQNLQGKTYHKAESKIHYFHYHGSISQRREPCRHLFNDSRVVFENTPYVLDTTIRDVGLAVRTFELRTIGDRLLRTRQ >fgenesh2_kg.7__2289__AT4G20130.1 pep chromosome:v.1.0:7:9768121:9771016:-1 gene:fgenesh2_kg.7__2289__AT4G20130.1 transcript:fgenesh2_kg.7__2289__AT4G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC14 [Source:UniProtKB/TrEMBL;Acc:D7MG35] MASSVSLQYLTNTFISKPQGFCNGIVSAPRPRSNFLRDRQNGVRPIKVASLETQPFPLFQSPASEESSSSELEPADPDFYKIGYVRSVRAYGIEFKEGPDGFGVYASKDIEPRRRARVIMEIPLELMITIRQKHPWMFFPDIVPIGHPIFDIINSTDPEIDWDIRLACLLLFSFYRDDHFWRLYGDFLPAADECSSLLLATEEDLAELQDPDLVSTIRQQQKRVLEFWEKNWHSGVPLKIKRLAEDPERFIWAVSIAQTRCISMQTRIGALVQELNMMIPYADMLNHSFEPNCFLHWRPKDRMLEVMSNAGQAIKKGEEMTINYMPGQKNNMLMERYGFSTPVNPWDAIKFSGASRIHLNSFLSVFNIFGLPEEYYHDSELSGGDTFVDGAVIAAARTLPTWSDIDLPPIPSAERKAVKELQDECRKMLAEYPTTADQDQKLLDSMSEARTTFATAVKYRMHRKMFIGKIIKALDIYQERLLF >fgenesh2_kg.7__2290__AT4G20110.1 pep chromosome:v.1.0:7:9771173:9774760:-1 gene:fgenesh2_kg.7__2290__AT4G20110.1 transcript:fgenesh2_kg.7__2290__AT4G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVNGRASLTFLLAALTIIAMVVDARFVVEKESISVLNPEEMRSKRDGSIANFGLPDYGGFLIGSVVYPDSKSDGCSAFGKTFKPKFPRPTILLLDRGGCYFALKAWHAQQAGAAAVLVADNVDEPLLTMDSPEESKDADGFIEKLTIPSVLIDKSFGDDLRKGFQKGKNIVLKLDWRESVPHPDQRVEYELWTNSNDECGARCDEQMDFVKNFKGHAQILEKGGYTAFTPHYITWFCPFQFINSPHCKSQCINHGRYCAPDPENNFREGYEGKDVVLENLRQLCVHRVANESSRPWVWWDYVTDFHSRCSMKEKKFSIECAESIIKSLNLPIEKIKKCIGDPEADTENQVLRTEQVSQIGRGNRGDVTILPTLVINNAQYRGRLERTAVLKAICAGFNETSEPPICLNTGLETNECLENNGGCWQDTKANITACQDTFRGRLCECPVVKGVQYKGDGYTSCTPYGPARCTINNGGCWSDTRNGLTFSACSDSVSTGCKCPEGFQGDGFTCEDINECKERSVCQCSGCRCKNSWGGYKCSCSGDRLYINDQDTCIERSGSKTAWWLTLLILAIVAVAGLAGYLFYKYRFRSYMDSEIMTIMSQYMPLESQRAREVPSEAEPFTL >fgenesh2_kg.7__2294__AT4G20095.3 pep chromosome:v.1.0:7:9776419:9791972:1 gene:fgenesh2_kg.7__2294__AT4G20095.3 transcript:fgenesh2_kg.7__2294__AT4G20095.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGMWNQEARDLTEEPSQSNEKLEDQRPQESSFSIPRHVWVHRREEFDSYKYYKQQRADIAKYGPHPKLVLFGRVGLHCYNLRKGTNLQYLSVLNFDRYQWVTSIFSYCITLEVMDPVDNSIYEFEAKVRHAVENKGCLSAITTGCRLKPKTPEEEDKYFLWNKDLVDDFFKGDMPEWIREDALTGSDKLQYYEMKESELEEEKEWLHLYAELALFSKWKSKLDALEHAKPFKMKKIVVRTKENAESKKKVKAENAIFYISFKTRCGRECNFIIRKTTDGKPDHFSLEVKCFM >fgenesh2_kg.7__2295__AT4G20090.1 pep chromosome:v.1.0:7:9792467:9794443:1 gene:fgenesh2_kg.7__2295__AT4G20090.1 transcript:fgenesh2_kg.7__2295__AT4G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1025 [Source:UniProtKB/TrEMBL;Acc:D7MG39] MPKCPIPIRISFFSYFLNESRLLSSNPVKFSIHLRFSASSVSVSPNPSMEVETPLEAPISEQMFKSAPKMGSFKLGDSTLSSMIENYANLGDFASVEKLLSRIRLENRVIIERSFIVVFRAYGKAHLPEKAVDLFHRMVDEFRCKRSVKSFNSVLNVIINEGLYHRGLEFYDYVVNSNMNMNISPNGLSFNLVIKALCKLGFVDRAIEVFRGMPEKKCLPDGYTYCTLMDGLCKEERIDEAVLLLDEMQSEGCSPSPVIYNVLIDGLCKKGDLSRVTKLVDNMFLKGCFPNEVTYNTLIHGLCLKGKLDKAVSLLERMVSSKCIPNDVTYGTLINGLVKQRRAMDGARLLISMEERGYRLNQHIYSVLISGLFKEGKAEEAMTLWKKMAEKGCRPNIVVYSAVIDGLCREGKPNEAKEILNGMISSGCLPNVYTYSSLMKGFFKTGLSEEAIQVWREMDETGCSRNEFCYSVLIDGLCGVGRVKEAMMVWSKMLTIGIKPDTVAYSSMIKGLCGIGSMDAALKLYHEMLCQEEPKSQPDVVTYNILLDGLCMQKDVSRAVDLLNCMLDRGCDPDVITCNTFLNTLSEKSDSCEEGRSFLEELVARLLKRQRVSGACKIVEVMLGKYLAPKTSTWAMIVPEICKPKKINAAINKCWRNL >fgenesh2_kg.7__2296__AT4G20080.1 pep chromosome:v.1.0:7:9795130:9797460:-1 gene:fgenesh2_kg.7__2296__AT4G20080.1 transcript:fgenesh2_kg.7__2296__AT4G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MG40] MAANGTGNGNGNSNGDFSLKETCPNIGNGGGKGGEKLTSSFDLVEAMHFLYARIVRARALPVNDSFVAVKIGSYKGRTKQSLNSNPNPEFHETFAFTKTRLQGNILEVVVRNRDNANEDDIVGKCRFDVAEIPTRVPPDSPLAPQWYRLEDRNGVKIGGEIMLSVWIGTQADEVFSEAWHSDSATVTGENVVNTRSKVYLSPRLWYLRVNVIEAQDLVPLHQNRINPEILIKGFLGNVVVRSRISQTRSVNPVWNEDMMFVAVEPFEDSLILSVEDKVGPREECLGRCEIKLSQVERRVIPGPVPALWYNVEHIGETGEMRRFAGRIHLRVSLDGGYHVLDESIQYSSDYRASAKLLWTPAIGVLELGVLNATGLVPMKSRDGRGTTDAYCVAKYGTKWVRTRTIVDTFDPKWNEQYTWEVYDPYTVITIGVFDNLNLFGAGNQNRLINDSRIGKIRIRLSTLVTSKIYTHSYPLVVLKPDGVKKMGEIQLAVRFTATSMIDMLQKYSEPLLPEMHYISPLSIYQLDSLRHQATHILCIKLGRNEPALGRDVVEYMLDVGSNIWSLRRGRANFERLVSFFDGWMDAWRWFDEICKWKSPVTTVLIHIVFLFIVFLPKYCVFSMLLYCFVFGLYRFGLRPRHPPHMDIKLSKADSALPDELDEEFDVFPSAKSGDILKKRYDRLRGIAGRMMIVLGDLATQGERVKSLLSWRDPRATSLFLAFCFVSCGVICFVSMKLLLTVLAFYVMRHPRVRVFDIPSIPQNFFRRLPSRADSIL >fgenesh2_kg.7__2299__AT4G20050.1 pep chromosome:v.1.0:7:9815492:9819194:1 gene:fgenesh2_kg.7__2299__AT4G20050.1 transcript:fgenesh2_kg.7__2299__AT4G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRKTQVAMPVFLAIMSLMVSQVVFAEKYSGSMSPHDRKLAEMQALKASLVRRNLPALVSPPPTPPQAVPGPRVYQVISYGADPTGKLDSTDAILKAMEDAFDGPNHGVLMQGINDLGGARIDLQGGSYLISRPLRFPSAGVGNLLISGGTLRASNDFPVDRYLIELKDESSKLQYIFEYITLRDLLIDCNYRGGAIAVINSLRTSIDNCYITRFGDTNGILVKSGHETYIRNSFLGQHITAGGDRGERNFSGTAVNLMGNDNAVTDTVIFSARVGVMISGQANLLSGVHCYNKATGFGGTGIYLRLPGLTQNRIVNSYLDYTGIVAEDPVQLQISGTFFLGDAFILLKSIAGVVRGVNIVDNMFSGSGHGIQIVQLDQRNTAFEDVDQVVVDRNSVNGMGERSTVARGSVDGNGTSWTVDFNPVLLFPDLIKHVQYTLVAREAGAFPLHAVRNVSGNRVVVETNAPVTATVYVTVNQGV >fgenesh2_kg.7__22__AT4G38250.1 pep chromosome:v.1.0:7:58585:60238:1 gene:fgenesh2_kg.7__22__AT4G38250.1 transcript:fgenesh2_kg.7__22__AT4G38250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFENEPSSSSSSYTLKIPPPAREDTPLLGKGPPLSSQFKTFANVFIAVVGAGVLGLPYAFKRTGWLMGVLLLVSVSVLTHHCMMLLVHTRRKLDSFNAGLSKIGSFGDLGFAVCGSFGRIVVDLFIILSQAGFCVGYLIFIGTTLANLFDPDSPTSLRHQFTRLGSEFLGVSSKSLYIWGCFPFQLGLNSIKTLTHLAPLSIFADVVDLGAMAVVIVEDSMIILKQRPDVVAFGGMSLFLYGMGVAVYSFEGVGMVLPLESEMKDKDKFGKVLALGMGFISLIYIAFGFLGYLAFGEDTMDIITANLGAGLVSTVVQLGLCINLFFTFPLMMNPVFEIVERRFSRGMYSAWLRWLLVLAVTLVALFVPNFTDFLSLVGSSTCCVLGFVLPALFHLLVFKEEMGWMQWSSDTAIVVLGVVLAVSGTWSSLSEIFSVKV >fgenesh2_kg.7__2301__AT4G20040.1 pep chromosome:v.1.0:7:9820000:9821813:1 gene:fgenesh2_kg.7__2301__AT4G20040.1 transcript:fgenesh2_kg.7__2301__AT4G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKETLSLRRDMTKLLKFQEKIQERLAVTPTLSPVSSPSSQSPKMVGKVIYPIGYGADPTGGQDSSDAILEALTDAFQLQTGLEMLPRVADLGGLVIDLQGGSYMIGKPLRFPSSGGGNLVVKGGTFRASEVFPGDRHLVELVASNSRKTMKMSPEESFSDQKDQSSGIFYEDVTFQDVLFDSRFRGGGILVIDSARIRITNCYFLHFTTQGIKVQGGHETYISNSFLGQHSTVGGDREERGFSGTGIDISSNDNAITDVVIFSAGIGISLNGGANMVTGVHCYNKATWFGGIGILVKSHLTRIDNCYLDYTSIVIEDPVHVHVTNALFLGDANIVLRSVHGKIFGVNIVNNMFSGTAKNNFPIVKLEGEFHDIDQVVIDQNNAEGMMLKSTTGKAKVSANGTRWIADFSSVLVFPNLINHYQHSFFSQSGQIPANAVTNVSNNVVVVETDRAVTGTVSIIVYQ >fgenesh2_kg.7__2302__AT4G20030.1 pep chromosome:v.1.0:7:9823790:9824772:-1 gene:fgenesh2_kg.7__2302__AT4G20030.1 transcript:fgenesh2_kg.7__2302__AT4G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSATLSFPSFVASSSALPNYRNRRFPKSKASLFNYPLASKIMVRNLPFSTSEDFLKREFSAFGEIAEVKLIRDESMKRSKGYAFIQFTSQDDAFLAIETMDRRMYNGRMIYIDIAKPGKRDFQGLPRTSGPPEKTDVPEEAGNDEVADCWY >fgenesh2_kg.7__2304__AT4G20020.2 pep chromosome:v.1.0:7:9824964:9827008:1 gene:fgenesh2_kg.7__2304__AT4G20020.2 transcript:fgenesh2_kg.7__2304__AT4G20020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSHRIRRALLTATSYVNRSIGTSIAPASDFPSVSAAVLQRSVLGRSTEVATRAPARLYSTRQYKLYKEGDEITEDTVLFEGCDYNHWLITMDFSKEETRKSPEEMVSAYEETCALGLGISVEEAKKRMYACSTTTYQGFQAIMTEQESEKFKDLPGVVFILPDSYIDPQNKEYGGDKYENGVITHRPPPIQSGRTRPRPRFDRSGGGGGGFQNFQRNTQYGQQPPMQGGGGSFGPQQGYGTPGQGQGTQAPPPFQGGYNQGPGSPPPPYQASYNQGQGSPVPPYQGPQSSYGQGGSGNYSQGPQGGYNQGGPRNYSPQGAGNFGPAPGAGNFGPAPGTGNPGYGQGYSGPGQEQNQTFPQANQRNPDWNNNNPAGQPGSDQFPQGRRY >fgenesh2_kg.7__2305__AT4G20010.2 pep chromosome:v.1.0:7:9827032:9829133:-1 gene:fgenesh2_kg.7__2305__AT4G20010.2 transcript:fgenesh2_kg.7__2305__AT4G20010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLISKSLTRIEISPFFHPGASEITTGKRITSPQIRFYTAAAGGGSTGNGVKKQRAKPPKKTPEALTPVKTPEIAPVTATKRPNEIAYETEVANWVNLIGFVDQPVQFEASSDGKFWAGTVISQRSASDSSGFWIPIIFEGDLAKTAAQNINKDDQIHVSGKLFIDSPPPNMTYAQANVQVLVQNLNFIQPMSPSPSPLMVMSSSEKEESGIKKQPGIAKKDIVIDEASDSWNHLIENPKEWWDHRENKVNGLVKPRHPDFKSKDSSLSLWLNKAPNWVLPKLEGLKFDVLVPKGRVVKQLKGEESWKDLVQNPDKWWDNRIDKRNAKAPDFKHKETGEALWLNESPTWVLPKLPPVKKKQESIV >fgenesh2_kg.7__2309__AT4G19985.1 pep chromosome:v.1.0:7:9853070:9854832:1 gene:fgenesh2_kg.7__2309__AT4G19985.1 transcript:fgenesh2_kg.7__2309__AT4G19985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAVCVGNSSLVSMELRWARRRKSDNAASALPWSIPIYISTLKKDINLEELRNLYSLCNHSSNRLSEYGSNVEKIVDMKKLRVAISRSDVVVSVFCKPRHADVDDAVLYSEENLSSSLYHSEFGRQNKDESSLGDLFQNVLPLTPSNGQLVGFGRAYSDYGLTASIHDLMVLPSLQRMGIGKLIVNRIVRLLTSRDIYDIAALCFEDERPFFKACGFGDDRMGSTTMMFTKSLEA >fgenesh2_kg.7__2310__AT4G19980.1 pep chromosome:v.1.0:7:9856708:9857085:1 gene:fgenesh2_kg.7__2310__AT4G19980.1 transcript:fgenesh2_kg.7__2310__AT4G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSRHIRDAKTATATAMTKKSDVPTTSPNCCVTCLVRLIRKLKRKGRLLLATSARRQGSSFQCRYDPLSYSLNFDGGACGTLPDDEDYYLRLYAFSSRYVTTNTIKKRPPLPRESFSSASHEFV >fgenesh2_kg.7__2312__AT4G19960.1 pep chromosome:v.1.0:7:9870051:9873671:-1 gene:fgenesh2_kg.7__2312__AT4G19960.1 transcript:fgenesh2_kg.7__2312__AT4G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:D7MG56] MAERVEASVTEGENTIEEREVGAMWELEQKLDQPMDEEANKLNNMYSREKGLSMLMLLRLSFQSLGIVYGDLGTSPLYVFYNTFPDGIDDSEDVIGALSLIIYSLLLIPLIKYVFIVCKANDNGQGGTLAIYSLLCRHAKVKLIPNQHRSDEDLTTYSRTVSAEGSFAAKTKKWLEGKDWRKRALLVIVLLGTCMMIGDGILTPAISVLSATGGIKVIKPNMSGDIVVLVSIVILVGLFSMQHYGTDKVGWLFAPIVLIWFLFIGATGLYNICKHDTSVLRAFSPTYIYLYFKRRGLDGWISLGGILLSITGTEALYADIAYFPLLAIQLAFTFFVFPCLLLAYCGQAAYLVNHKEHYQDAFYASIPNSVYWPMFVVATGAAIVGSQATISGTYSIIKQAVAHGCFPRIYCPDINWILMLGCIAVTASFKNQSQIGNAYGTAVVLVMLVTTLLMVLIMLLVWHCHWILVLIFTVLSLFVELSYFSAVIFKIDQGGWVPLIIAAISLLVMSVWHYATVKKYEFEMHSKVSMSWILGLGPSLGLVRVPGVGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKTFRMFRCVARYGYKDLHKKDDDFENKLLTNLFSFIRIETMMEPASNSSIYSSTYSVNHTQDSTVDLIHNNNHNSNNNNMDMFSSMVDYTVSTLDTIVPAGSPQNGVSFSQDNTIEEEADELEFLKTCKESGVVHIMGNTVVKARNGSWLPKKIAIDYVYAFLAKVCRENSVILHVPHETLLNVGQVFYV >fgenesh2_kg.7__2313__AT4G19910.1 pep chromosome:v.1.0:7:9899267:9900081:1 gene:fgenesh2_kg.7__2313__AT4G19910.1 transcript:fgenesh2_kg.7__2313__AT4G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toll-Interleukin-Resistance domain-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MG62] MAIQARPLVFINHGENDLSDGFIRHLASALRDEGFNVFIDSDERRGRGMEHIFRAIDNSKVALVIFSDRYTASELCLHEAVRIYDRRREGKLVLIPVFYRVSTDDVNMFNGRFGESFLETLKIQGISDHPFAEHWMRNVNFICTDTGYISEDYSSNDTSLVVEIVRGIKRRLQGKKLPRRVQDENLPSIFKMFMPKQPNTQEGFGKELLYVAIPALLCYFILPLVFTDLDVFSIRQLLVAVL >fgenesh2_kg.7__2317__AT4G19860.1 pep chromosome:v.1.0:7:10008614:10011518:1 gene:fgenesh2_kg.7__2317__AT4G19860.1 transcript:fgenesh2_kg.7__2317__AT4G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol acyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MG79] MSLLLEEIIRSVEALLKLRNRNQKPYVDPNLDPVLLVPGIAGSILNAVDHENGNEERVWVRIFGADHEFRTKMWSRFDPSTGKTISLDPKTSIVVPQERAGLHAIDVLDPDMIVGRESVYYFHEMIVEMIGWGFEEGKTLFGFGYDFRQSNRLQETLDEFAKKLETVYKASGEKKINVISHSMGGLLVKCFMGLHSDIFEKYVQNWIAIAAPFRGAPGYITSTLLNGMSFVNGWEQNFFVSKWSMHQLLIECPSIYELMCCPYFKWELPPVLELWREKESNDGVGTSDVVLESYRSLESLEVFTKSLSNNTADYCGESIDLPFNWKIMEWAHKTKKVLASAKLPTQVKFYNIYGTNLETPHSVCYGNEKMPVKDLTNLRYFQPTYICVDGDGTVPMESAMADGLEAVARVGVPGEHRGILNDHRVFRMLRKWLNVGEPDPFYNPINDYVILPTTFEMGKYQENGLEVASVKESWDIISDDNNIGTAGSTVSSISVSQPGDDQNPQAEARATLTVQPQNDGRQHVELNAVSVSVDA >fgenesh2_kg.7__2318__AT4G19850.1 pep chromosome:v.1.0:7:10011520:10012102:-1 gene:fgenesh2_kg.7__2318__AT4G19850.1 transcript:fgenesh2_kg.7__2318__AT4G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAWLEVVGNFETEKLTPNSLYEVVFVVKLIDSAKGWDFRVNFKLVLPTGETKERREHVNLLERNQWVEIPAGEFTTLPEHLSGKIEFSMLEVKSGQWKSGLIVKGVAIRPKN >fgenesh2_kg.7__2319__AT4G19840.1 pep chromosome:v.1.0:7:10017062:10018587:-1 gene:fgenesh2_kg.7__2319__AT4G19840.1 transcript:fgenesh2_kg.7__2319__AT4G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNQESKYLIPVQKEASPVTTLPMKASPVKSPHNCEAILRDADPPISVSVNLSEKLRSGVFLKPTKQIKYWVDERNSNCFMLFAKNLSITWSDDVNYWTWFTDKESPNETVEAVGLKNVCWLDITGKFDTRNLTPGIAYEVFFKVKLEDPAYGWDTPVNLKLVLPNGKEQPQEQKVSLRELPRYKWVDVRVGEFVPEKSAAGEITFSMYEHEAGVWKKGLSLKGVAIRPKQ >fgenesh2_kg.7__2320__AT4G19830.1 pep chromosome:v.1.0:7:10019168:10026360:1 gene:fgenesh2_kg.7__2320__AT4G19830.1 transcript:fgenesh2_kg.7__2320__AT4G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7MG82] MIRCFARIPLIGAPFISTVHFTSPPSIRIFASRSPSSSSSSSSSSSTVAASRRSISLTIIAVTSSVVSSVSFSSPALAEFSEIPNSGGVKALDLRTGDGEVPIEGDQIEIHYYGRLAAKQGWRFDSTYDHKDSNGEAVPFTFILGSSKVIPGIETAVRSMKVGGIRRVIIPPSQGYQNTSQEPLPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLVFDIELVSTRSLHQ >fgenesh2_kg.7__2321__AT4G19820.1 pep chromosome:v.1.0:7:10026392:10027601:1 gene:fgenesh2_kg.7__2321__AT4G19820.1 transcript:fgenesh2_kg.7__2321__AT4G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKLIISITFFLSLLLRFSSAQTAVKATYWFAESESPVTAIDSSLFTHLFCAFADINPLTYQVIVSSRNKPKFSTFTQTVQRRNPTVRTLLSIGGDFTYNFAFASMASNPTSRKLFIASSINLARSYGFHGLDLYWKYPSTTTEMDDFGKLLQEWRWAVIAEARSSGKPRLLLMAAVFYSYSVLHPVQAIADSLDWVNLVAYDFYDSGSSRVTCSPAPLHDPITTGPSCDAGVRAWTQAGLPAKKAVLGFPYYGYAWRLTDSKNHNYYANSSGPAISPDVGNKATTVYNSTLVQNYCYAKKTWIGYDDNQSIVTKVRYAKQRGLLGYFSWHIGADYNSLLSRAGSVLSQFYISKIFVTFLMGNEKVNF >fgenesh2_kg.7__2323__AT4G19760.1 pep chromosome:v.1.0:7:10050882:10053008:1 gene:fgenesh2_kg.7__2323__AT4G19760.1 transcript:fgenesh2_kg.7__2323__AT4G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHTEILRTSPIVKASYWFVKPENDFPAVIIDSTLFTHLFCAFADLDSSTYEVTISPAYLCEFSSFTKTVQEKNTNVQTLLSIGGKCADTSVFASMASNTKNRKAFIDSSIDIARKKGFYGLDLAWEYPSNDVEMSNFGKLLKEWREAVVEESDKTNQLPLLLTAAVYYSPHYYGEEYPVQAIADNLDFVNIMAYDFYGPGWSPVTGPPAALYDPSNPSGRSGDSGLSQWLQAKLPAKKAVLGFSYSGWAWTLEDAENNGYDAATDGAAISPDGSITYEKIRNYIVDNGAATFHDPAVVGFYCYFGTTWIGYDDNQSIVSKVRYAKLKGLLGYFSWHVGADYNCGLSRAASIAWDTTELSTGHGNEAAAG >fgenesh2_kg.7__2324__AT4G19710.2 pep chromosome:v.1.0:7:10059326:10064538:-1 gene:fgenesh2_kg.7__2324__AT4G19710.2 transcript:fgenesh2_kg.7__2324__AT4G19710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AK-HSDH/AK-HSDH II [Source:UniProtKB/TrEMBL;Acc:D7MG88] MATLKSSFTVSPPNSNPIRFGCFPPQCFLRVPKPPCLRQRLVFPRFRRTIGGGGGGLIRCELPDFHLSATATTVSGVSTVISVDQVQIPKGEMWSVHKFGGTCVGNSQRIRNVAEVIINDNSERKLVVVSAMSKVTDMMYDLIRKAQSRDDSYLSALEAVLEKHRLTARDLLDGDDLASFLSHLHNDISNLKAMLRAIYIAGHASESFSDFVAGHGELWSAQMLSYVVRKTGLECKWMDTRDVLIVNPTSSNQVDPDFGESEKRLDKWFSSNPSKIIIATGFIASTPQNIPTTLKRDGSDFSAAIMGALLRARQVTIWTDVDGVYSADPRKVNEAVILQTLSYQEAWEMSYFGANVLHPRTIIPVMRYNIPIVIRNIFNLSAPGTIICQPPEDDYDLKLTTPVKGFATIDNLALINVEGTGMAGVPGTASDIFGCVKDVGANVIMISQASSEHSVCFAVPEKEVNAVSEALRSRFSEALQAGRLSQIEVIPNCSILAAVGQKMASTPGVSCTLFSALAKANINVRAISQGCSEYNVTVVIKREDSVKALRAVHSRFFLSRTTLAMGIIGPGLIGATLLDQLRDQAAVLKQKFNIDLRVMGITGSKTMLLSDIGIDLSRWRELLNEKGTEANMDKFTQQVHGNHFIPNSVLVDCTADSAIASHYYDWLRKGIHVITPNKKANSGPLDQYLKLRDLQRKSYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGICSGTLSYLFNNFVGDRSFSEVVAEAKNAGFTEPDPRDDLSGTDVARKVIILARESGLKLDLSDLPIRSLVPEPLKGCTSAEEFMEKLPQYDGDLAKERLEAENSGEVLRYVGVVDAVNQKGTVELRRYKKEHPFAQLAGSDNIIAFTTTRYKDHPLIVRGPGAGAQVTAGGIFSDILRLASYLGAPS >fgenesh2_kg.7__2327__AT4G19690.2 pep chromosome:v.1.0:7:10085961:10087474:-1 gene:fgenesh2_kg.7__2327__AT4G19690.2 transcript:fgenesh2_kg.7__2327__AT4G19690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe(II) transport protein IRT1 [Source:UniProtKB/TrEMBL;Acc:D7MG91] MASTPALLMKTIFLVLIFVSFAISPATSTAPEECGSESANPCVNKAKALPLKIIAIVAILIASMIGVGAPLFSRNVPFLQPDGNIFTIIKCFASGIILGTGFMHVLPDSFEMLSSICLEENPWHKFPFSGFLAMLSGLITLAIDSMATSLYTSKNAVGIMPHGHGHGPANNVTLPIKEDDSANAQLLRYRVIAMVLELGIIVHSVVIGLSLGATSDTCTIKGLIAALCFHQMFEGMGLGGCILQAEYTNMKKFVMAFFFAVTTPFGIALGIALSTVYQDNSPKALITVGLLNACSAGLLIYMALVDLLAAEFMGPKLQGSIKMQFKCLVAALLGCGGMSIIAKWA >fgenesh2_kg.7__2329__AT4G19680.2 pep chromosome:v.1.0:7:10093471:10094816:-1 gene:fgenesh2_kg.7__2329__AT4G19680.2 transcript:fgenesh2_kg.7__2329__AT4G19680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-responsive transporter 2 [Source:UniProtKB/TrEMBL;Acc:D7MG93] MATTKLVYILLILFTFSVSPAIATAPEHCDSGSENPCINKAKALPLKIVAIVAILTTSLIGVTSPLFSRYISFLRPDGNGFMIVKCFSSGIILGTGFMHVLPDSFEMLSSKCLSDGPWHKFPFAGFVAMMSGLVTLAIDSITTSLYTGKNSVGPVPDEYSIDQEKAIHIVGHNHSHGHGVVLSTKDDGQLLRHRVIAMVLELGILFHSVVIGLSLGATNDACTIKGLIIALCFHHLFEGMGLGGCILQADFTNVKKFLMAFFFAGTTPCGIFLGIVLSSIYRDNSPTALITIGLLNACSAGMLIYMALVDLLATEFMGSMLQGSIKLQIKCFMAALLGCAVMSVVAVWA >fgenesh2_kg.7__2331__AT4G19670.2 pep chromosome:v.1.0:7:10096022:10098797:1 gene:fgenesh2_kg.7__2331__AT4G19670.2 transcript:fgenesh2_kg.7__2331__AT4G19670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MG94] MENQEDSCGCEELPLNHIGDEEEFRSCCGDEEVWLKESDDTVKVAEEEKKDELDDEFSVKVFFKGVSISERGDSGSGYSGIGVVLERSGDFELIQVQKKLDFYVEESVANYLALMDGLEVALQNNLRSVVAVTDSELLYNQITCDEQLEIPLLVALRERLLEKTSNLDGFVLKLAPFCDLDEALSLAQVAVGIVSTNLDGDKPTENCSICCEDRQSEIMLTLKCSHKFCSHCMKTYVEGKVQSSEVPIRCPQVQCKHYLSATECKSFLPVATFKSFEEANVRSKNNGKIYCPYPNCSFLLDPQECLSSGRASSSSSTQSENSCCVECPVCERFVCVDCGVPWHSSMSCEEFQILPVDERYPDDITLHRLARYKRWRRCQQCRIMIELAQGCNHMTCRCGHEFCYSCGAEYREGQQTCTCAFWDDDEEDQENSVEENRIQEVEQWPWDTFNSIPTVMDAYSEQERSQLALIQRFLAGGGFSLSDHHTSYQSPPPPCTESSYVAAAMKDLHQLPWLERFVSVISDDYYEEYNSQ >fgenesh2_kg.7__2335__AT4G19645.1 pep chromosome:v.1.0:7:10114716:10116461:1 gene:fgenesh2_kg.7__2335__AT4G19645.1 transcript:fgenesh2_kg.7__2335__AT4G19645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKSYQTQAEDFVEHYLLADPFLPYTSVVAGIFLCKLVYDLTELFSSIHIKSYSALTKIKRIEWNNRGISTVHAIFISFMALYFVFFSDLFSDQRSLESLTVFRSSPLSNFGLGVSVGYFLADLGMIFWLYPSLGGSEYIFHHCLSGTAVAYSLFSGEAQLYTYMVLISEVTTPEINLRWYLDIAGLKRSKAYLINGIAIFFAWLTARILLFIYMFYHVYIHYDQVVQMHTFGYLLVFVVPIALSVMNLMWFGKIVKGLKKTLEKTQ >fgenesh2_kg.7__2337__AT4G19640.1 pep chromosome:v.1.0:7:10116993:10119354:1 gene:fgenesh2_kg.7__2337__AT4G19640.1 transcript:fgenesh2_kg.7__2337__AT4G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGNKSINAKLVLLGDVGAGKSSLVLRFVKDQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVFDVTNQASFERAKKWVQELQAQGNPNMVMALAGNKSDLLDARKVTAEEAQTYAQENGLFFMETSAKTATNVKEIFYEIARRLPRVQPTENPTGMVLPDRAMDRAVSSSCCA >fgenesh2_kg.7__2339__AT4G19600.1 pep chromosome:v.1.0:7:10133404:10137728:-1 gene:fgenesh2_kg.7__2339__AT4G19600.1 transcript:fgenesh2_kg.7__2339__AT4G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein [Source:UniProtKB/TrEMBL;Acc:D7MGA4] MAGVLAAGDCSFGESGISSYSRNSNEKQDEVARWYFGRKEIEENSPSRLDSIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFIRQSHARNDRRTIATVCMFLAGKVEETPRPLKDVIVVSYEIIHKKDPTTAQKIKQKEVYEQQKELILSGEKIVLSTLGFDFNVYHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEDVSNQMLELYEQNRVPASHGSEVESSVGGGSAHHVGSRPISARPTHEHSNSDNHGGSSKATQNRSNDNGSGEAGSVITEQKGERDIETKDSMHTENHPAHKSRSGVEASGEEKTAKAGAHFLEDDKSRIVGTGDTPVSQSPKDIKLLRDKVKAKLEAKKVQGEKTRKKDLVDEDDLIERELEDVELAVEDEKDIQNKSSMGTEHGEILDGNNLVVNTEEGEMVDDVSSTVPVPSRKRKMGSPCEKQLGEGKRRHNNSENVEEGHKTNRGGSSHSHGDREPRRHSQER >fgenesh2_kg.7__233__AT1G26290.1 pep chromosome:v.1.0:7:920534:921153:-1 gene:fgenesh2_kg.7__233__AT1G26290.1 transcript:fgenesh2_kg.7__233__AT1G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKDYSRQNEQRRLLLSKEEERIRDELEMEIERNLEGEFKDGIYNLALKLRRLYEQRREREELFDVSMRKSKRVLEVNISIKMEGDTKIEITERKKEVDNEKTKKAENSDKRKKFIPAGEDKTGKEKMKNQTRAHELRWKW >fgenesh2_kg.7__2340__AT4G19590.1 pep chromosome:v.1.0:7:10138163:10139178:-1 gene:fgenesh2_kg.7__2340__AT4G19590.1 transcript:fgenesh2_kg.7__2340__AT4G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAKRKVAETDYNGAKLFANKAQDLYPKLDGLRQVMMLIDVYISAGNKIIGGESDWYGILGVDPLADEEVVKKQYKRLALLLHPDKNNCEGAEGAFKLVLAAWCLLSDKVKRIAYDQKRKLNEVKPKRSRKQKQPPKKPPKQPKQPPNQQKQPPNQQKQPPNQPKQPPNEQKQPPNQPEQPPNQPKQPPNQPSTNGRARSKKPTAKVPTFWTMCNKCGTQYEYVRATEKEKTATEKKETPSEKEETWQATNKNTNGASSCDRDSSLTVNVGSKREVRESEEEAGRGIANSDLKVEERVFKKLRTDNYAESSSGIK >fgenesh2_kg.7__2341__AT4G19580.1 pep chromosome:v.1.0:7:10149102:10149908:-1 gene:fgenesh2_kg.7__2341__AT4G19580.1 transcript:fgenesh2_kg.7__2341__AT4G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAEKKLSENDYDGAKKFISKAQALYPKLDGLEQVLMMIDVYISATNKINGEANWYGILSVDPLADDEAVKKQYKKLALLLHPDKNRFNGAEGAFKLVLQAWDLLSDAYDQKRKPKQVKRKRSRMHESEPEPDSSWKQKKPRKPKEVITFWTVCKNNKCNTHCKLGKAEVIPEMINGRPVYSFSAKFQPTSKSTSDASSSTTSASDSANQEQDRESQEEIVPANASPAARGNANEA >fgenesh2_kg.7__2342__AT4G19560.1 pep chromosome:v.1.0:7:10158315:10168330:-1 gene:fgenesh2_kg.7__2342__AT4G19560.1 transcript:fgenesh2_kg.7__2342__AT4G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent protein kinase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MGQ9] MEEAEALPGNASGNESDVSSVTSNLHDDEIIPWFFSREDIEKNSPSRRDGIDLNKETRLRHSYCIFLENLGKRLKVPQVTIATAIVFCHRFFVRQSHAKNDSRTIATVCMLLAGKVEETPVPLKDVIIASYERMHKNDLAGAQRKEVYEQQKELVLIAEELVLSTLNFDLFIHHPYKPLVKAIKKYMVEDAKTRLAQFAWNLVNDCLRTTLCLQYKPHHIAAGAILLAAELLTVDIQSYGEVLCQEFDIKPCQLKDIRGQILELYERKNIPTSQESIVESSGNVAVVHQPISRDMASTEKCSSSDIEEGSSQVNLSQSDDHSVHDGSRPEGIGEENSESEAEKNRDDHSVGTIMVETSDDVGVIQLEKDLQLHQEEVEAKQEKDKKSSDKNIKKMELMDEKDLTEREAEDEDNKTMQTRRQSFMKVKDPGENTSVEHSEILDANHSGDDGELVADTCLIDDKDL >fgenesh2_kg.7__2344__AT4G19540.1 pep chromosome:v.1.0:7:10170579:10172303:-1 gene:fgenesh2_kg.7__2344__AT4G19540.1 transcript:fgenesh2_kg.7__2344__AT4G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVALLRSLRRREFHAAPISAYKFSSASAGRRATELRLHGVKDIIAVASGKGGVGKSSTAVNLAVALATKCELKIGLLDADVYGPSVPIMMNINQKPQVNQDMKMIPVENYGVRCMSMGLLVEKDAPLVWRGPMVMSALAKMTRGVDWGDLDILVVDMPPGTGDAQITISQNLKLSGAVIVSTPQDVALADANRGISMFDKVRVPILGLVENMSCFVCPHCNEPSFIFGKEGARRTAAKKGLKLIGEIPLEMSIREGSDEGVPVVVSSPGSIVSKAYEDLALNVVKGLKELRDNPDNEIQMKLNVPHSSPS >fgenesh2_kg.7__2346__AT4G19490.1 pep chromosome:v.1.0:7:10187647:10195095:-1 gene:fgenesh2_kg.7__2346__AT4G19490.1 transcript:fgenesh2_kg.7__2346__AT4G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7MGR5] MDSHPSQMGRSITNSNRSSLDLGRPSSSSSSSPSPLTKSISDASSQSLSSILNNPHGGKSGVYGSDASWVGWWSSSTFVAPSEFAPVASTKLPGSELTRSDFHGYVSSISESHGRFEDIRKHTREESSGFDQESHVSGLAACLREVPSLYFKEDFALEDGATFRSACPFSSLNENLALQEKLSQYLDVVELHLVKEISVRSDSFFEAQGQLQDLNVKIVEGCSRIRELKETIRLLDRNLVDSARQIQELSSTRINMLELQRKLRLILYVNQALSALKLLVASADCAGALDITDDLQNLLAGDELTGLYCFRHLRDHVTSSIDSINSILTSEFMRISIHDTGEIDVLILSAAKIRGSISSNGKTGEEVKLEEEDTSTLCDRLLPLVIGLLRTAKFPSVLRMYRDTLTSEMKNAIKKAVAELLPILVARSLESDFSHGERSVDVENILIPSAYTGGGLSLASKLRTLSSEAFVNLLTAIFKIVQAHLVRASEVKKAIEWILCNIDGHYAADSVAAAIAVGAVAAETAQETGFQGGSLMSSPLGKATSKAPPLQGKLSDASSLMNMSRNFRADVLRENTEAVFAACEVTHGRWAKLLGVRSLLHPKLKLPEFMSIYDLTQEFITATEKIGGRLGSSIRGTLQSQAKAFVDSQHEARMTKLKAVLDQETWDEIDVPEEFQSIISSLFASQQLISGKVDDADLKTYHSNRLPLNGSLTSGTGDQNTELRNQKSESSEGPAVSDAQVKSTVSPESLERSKAGVSSVTNNQSNQKAHGKSNLFYQGVGYHMVNCGLILLKMLSEYIDMNNSLPALSSEIVRRVVEVLRFFNTRTCQLVLGAGAMQVSGLKSIKAKHLALASQVIDFTYTIIPETRRILFSKVPETRKPLLSVEMDKVAQDYRIHRDEIYTKLVQIMRERLLAHLHGLPKVVEGWNRPPDTNKQTKEFAWPLTREVGYLHRVLSETLHEADVQAIFRQVISVIHTQTSQTLTNLEISSPEAKKRLKLHVELILKCIRSLPSDNANQSGIPNWGQLDEYFEQHFKEEEAGEAE >fgenesh2_kg.7__2348__AT4G19460.1 pep chromosome:v.1.0:7:10199045:10200686:1 gene:fgenesh2_kg.7__2348__AT4G19460.1 transcript:fgenesh2_kg.7__2348__AT4G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTKIKKPNSHFSLCTFLFFTVLFTIPALFLLRTSSCSSSTAAVSSSSDTNQPPWSGDLQTAQFAWNRLDFSLTNPPPKTLKLAVFSRKWPTGPNPGGMERHAFTLYTALARRGHRVHVFTSPLDQSPETNKIPPVSDQILYPIIHSHGDAEPGKWRYNKAWELYQEENKREPFDAVHSESVALPHWIAREVPNLAVSWHGIALESLQSSIYQDLIRKPDEPRSQGFNASLYGAVLPKILDEIRFFHNYAHHIAISDSCGEMLRDVYQIPEKRVHVILNGVDENGFTSDKKLRSLFRSKLGLPENSSAIVLGAAGRLVKDKGHPLLFEAFAKLIETHSNVYLVVAGSGPWEQRYKELGEKVSILGSLNPHELKGFYNGIDLFVNPTLRPQGLDLTLMEAMLSGKPVMASRYASIKRSIVVNDEFGFMFAPNVEALTAVMEVAVAEGTERLAERGRKCKEYAAEMFTASKMALAYERLFLCINDQKFCIYH >fgenesh2_kg.7__2349__AT4G19450.1 pep chromosome:v.1.0:7:10202139:10206227:-1 gene:fgenesh2_kg.7__2349__AT4G19450.1 transcript:fgenesh2_kg.7__2349__AT4G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSRKWMILVATIWIQAFTGTNFDFSAYSSDLKSVLGISQVQLNYLAVASDLGKVFGWSSGLALMYFPLWTVLFAAAFMGFVGYGVQWLVITHFISLPYIMVFLCCLLAGLSICWFNTVCFVLCISNFPANRSLALSLTVSFNGVSAALYTLAYNAINPTSPELYLLLNALIPLIVSFTAIIPILRQPPFEPLPPDGVRRDSLMFLLLNILAALNGVYLLLFGSNSTDLTSARLLFGGAIVLLIFPLCIPGLVIARNWYNRTIHTSFRLEGSGFILVDPDDLELHKGMLAHEANREGYQLLNDDVVQNPVKTVAVEEDDSDESCCKKLITRDQLEGLGIEHSLSLLLRRSDFWLYYIAYFCGGTIGLVYSNNLGQIAQSLGQSSNTTTLVTLYSAFSFFGRLLSATPDYIRAKVYFARTGWLAIALLPTPFALFLLASSGNASALQAGTALMGLSSGFIFAAAVSITSELFGPNSVGVNHNILITNIPIGSLIYGFLAALVYDSHGFTGTKSMTAESVVCMGRDCYYLTFVWWGCLSLFGLGSSLVLFIRTRRAYQRFEQARISSNVIDS >fgenesh2_kg.7__2350__AT4G19440.1 pep chromosome:v.1.0:7:10207768:10211367:1 gene:fgenesh2_kg.7__2350__AT4G19440.1 transcript:fgenesh2_kg.7__2350__AT4G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRLSRTSYLFTRRLKSIAKARKSFHTTRYLQQCVHRLDKSEEISSDRHLHERLSSVLSKRSLDYEQCKQLITVLSPHEFDRLFPEFRFKVNPKTALDFFRLASDSFSFSFSLRSYCLLIGLLLDANLSSPARVVLIRLINGNVPVLPCGNGGLRDSRVAIADAMASLSLCFDEEIRRKMSDLLIEVYCTQFKRDGCYLALDVFPVLANKGMFPSKTTCNILLTSLVRATEFQKCCEAFHVVCKGVSPDVYLFTTAINAFCKGGKVEEAIELFSKMEEAGVVPNVVTYNTVIDGLGMSGRYDEAFMFKEKMVERGVEPTLITYSILVKGLTKAKRIGDAYCVLKEMTEKGFPPNVIVYNNLIDSLIEAGSLNKAIEIKDLMVSKGLSLTSSTYNTLIKGYCKSGQADIAERLLKEMLSIGFNVNQGSFTSVICLLCSHHMFDSALRFVGEMLLRNMSPGGGLLTTLISGLCKHGKHSKAVELWLKFLNKGFLVDTKTSNALLHGLCEAGKLEEGFRIQKEILGRGFVMDRVSYNTLISGCCGNKKLDEAFMFMDEMVKKGLKPDNYTYSILIRGLLNMNKVEEAIQFWGDCKRNGMIPDVYTYSVMIDGCCKAERTEEGQKLFDEMMSNNLQPNTVVYNHLIGAYCRSGRLSMALELREDMKHKGISPNSATYTSLIKGMSIISRVEEAKLLLEEMRMEGLEPNVFHYTALIDGYGKLGQMVKVECLLREMHSKNVHPNKITYTVMIGGYARDGNVTEASRLLHEMREKGIVPDSITYKEFIYGYLKQGGVLQAFKGSDEENYAAIIEGWNKLIL >fgenesh2_kg.7__2351__AT4G19430.1 pep chromosome:v.1.0:7:10212865:10213578:1 gene:fgenesh2_kg.7__2351__AT4G19430.1 transcript:fgenesh2_kg.7__2351__AT4G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFVILTQIHRPKTLISTSKSRRCSRNKPKPSKSKSENMLTNVFSGKTLTEIYHNKTNSHPLTNPLLFIEDQSLKDEETNQQEHGKVSIKDGKSITASKYGDLRRDVARQSLLWYMKCSISHILRRARAFYNEFCCDTYVESNTMAVVDPYFSIPVIY >fgenesh2_kg.7__2352__AT4G19420.1 pep chromosome:v.1.0:7:10223426:10226719:1 gene:fgenesh2_kg.7__2352__AT4G19420.1 transcript:fgenesh2_kg.7__2352__AT4G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:D7MGS1] MKTEGLFVNITYVRNAVAKGAVCLDGSPPAYHLDRGSGTGINSWLIQLEGGGWCNNVTNCVSRMHTRLGSSKKMVENLAFSAILSNKKQYNPDFYNWNRVKVRYCDGSSFTGDVQAVNPATNLHFRGARVWLAVMQELLAKGMINAENAVLSGCSAGGLASLMHCDSFRALLPMGTKVKCLSDAGFFLNTRDVSGVQYIKSYFEDVVTLHGSAKNLPRSCTSRLTPAMCFFPQYVARQIRTPLFILNAAYDSWQIKNILAPRAADPYGKWQSCQLDIKNCHPSQIKVMQDFRLEFLSAVIGLGRSSSRGMFIDSCYTHCQTETQTSWFWQDSPILNRTTIAKAVGDWVYDRTLFQKIDCPYPCNPTCHHRVFTPLDAPPI >fgenesh2_kg.7__2354__AT4G19410.1 pep chromosome:v.1.0:7:10237198:10240966:1 gene:fgenesh2_kg.7__2354__AT4G19410.1 transcript:fgenesh2_kg.7__2354__AT4G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:D7MGS6] MGRLKQCWSSLLVLAVVVIGTGAVPITYLQSAVAKGAVCLDGSAPAYHFDKGFGSGVNNWIVHMEGGGWCTDVASCNERKGTMKGSSKFMNKDFGFSGILGGKQSTNPDFYNWNRIKVRYCDGSSFTGNVEAVNPANKLFFRGARVWRAVIDDLMAKGMKNAQNAILSGCSAGALAAILHCDTFRAILPRTASVKCVSDAGYFIHGKDITGGSYIESYYSKVVALHGSAKSLPVSCTSKMKPELCFFPQYVVPSMRTPLFVINAAFDSWQIKNVLAPTAVDKGKQWKNCKLDLKKCSAAQLKTVQGFRDQMMRALSPVHSTPSRGLFLDSCHAHCQGGSAASWSGDKGPQVANTRIAKAVGNWFYGRSAFQKIDCPSPTCNPTCPAISTED >fgenesh2_kg.7__2356__AT4G19390.1 pep chromosome:v.1.0:7:10249232:10250799:1 gene:fgenesh2_kg.7__2356__AT4G19390.1 transcript:fgenesh2_kg.7__2356__AT4G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRTINANAIAAPSSSGLIFNGFRDFVPIDKRLVISSSRGLKLPLRTTKTVTSSAWRWSYRSPGRLSASASTSVAVTSNSANRFEVLEEGIEKVIYSCRFMTFLGTLGSLLGSVLCFIKGCMYVVDSFLQYSVNRGKVIFLLVEAIDIYLLGTVMLVFGLGLYELFISNLDTSESRSHDIVSNRSSLFGMFTLKERPQWLEVKSVSQLKTKLGHVIVMLLLIGLFDKSKKIVITSVTDLLCISVSIFFSSACLFLLSRLNGSH >fgenesh2_kg.7__2357__AT4G19370.1 pep chromosome:v.1.0:7:10259680:10261469:1 gene:fgenesh2_kg.7__2357__AT4G19370.1 transcript:fgenesh2_kg.7__2357__AT4G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLFLNSVVLSLGLVSFITCFTAEFKRTKKEDIRWDTERNCYVPESHAFGLGSAAVFCFCLAQIVGNVVVFRNHRTRTKREDGYKITDLTLPTVLLLLSWSNFVVVILILSTAISMSRAQAYGEGWLDEDCYLVKDGVFAASGCLAILGLGALTISATRIKLKKQQQQLVQVVIKDQNQEQRRSMEEEQNHDEHQKNKSETVIHLVEEVSSTNISRI >fgenesh2_kg.7__2358__AT4G19230.2 pep chromosome:v.1.0:7:10294594:10297117:-1 gene:fgenesh2_kg.7__2358__AT4G19230.2 transcript:fgenesh2_kg.7__2358__AT4G19230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP707A1 [Source:UniProtKB/TrEMBL;Acc:D7MGT5] MDFSALFLTLLAGSLFLYFLRCLISQRRLGSSKLPLPPGTMGWPYVGETFQLYSQDPNVFFQTKQRRYGSVFKTHVLGCPCVMISSPEAAKFVLVTKSHLFKPTFPASKERMLGKQAIFFHQGDYHAKLRKLVLRAFMPESIRNMVPDIESIAQDSLRIWEGTMINTYQEMKTYTFNVALLSIFGKDEVLYREDLKRCYYILEKGYNSMPVNLPGTLFHKSMKARKELSQILARILSERRQNGSPHNDLLGSFMGDKEELTDEQIADNIIGVIFAARDTTASVMSWILKYLAENPNVLEAVTEEQMAIRTDKEEGEALTWGDTKKMPLTSRVIQETLRVASILSFTFREAVEDVEYEGYLIPKGWKVLPLFRNIHHSADIFSNPGKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEMSIMIHHLTTKYRFGQLLERATGFSMGHSRFPKTDCPLCWPGSRRLKCRMTELPFSFPYLERRD >fgenesh2_kg.7__2360__AT4G19220.1 pep chromosome:v.1.0:7:10330842:10333658:1 gene:fgenesh2_kg.7__2360__AT4G19220.1 transcript:fgenesh2_kg.7__2360__AT4G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MGT8] MVKNSKINLRIYCSGHVIYSLRHSIFRREYRSSNFHFSKIKHERHFTSSVLSPVTPSVYNLFDEMPKRDNRTVEASFMFLRDVLRSFMMRIETETPRSIHCFALKCGFLQDLATSSKLLTIYGRTGDLVSSLGLFGELKEKDVIVWNSMITCLNQNGRYIAAVGLFVEMIHKGNEFDSTTLLLAVSALSSLHLSKKCPMVHCLAIETGLVSDSSLCNALMNLYAKGEDLSSAECVFTHMEHRDIVSWNTIMTKCLANGYPRNSLIYFKSMIGSGQEADNVTFSCVISACSCLEELPLGESLHGLVIKSGYSPEAHVSVANSIISMYSKCGDIEAAETVFEELLCKDVISWNAILNGLSANGMFEEAFGILKEMQSVDKIQPDISTVVSITSICGDFCLSREGRAIHGYTVRREMQSRALEVINSVIDMYGKCGLTTQAEFLFKTTTHRDLVSWNSMISAFAQNGFTQEAKNLFREVVSEYTCSKFSLSTVLAILTSCDSSDSLIFGKSVHCWLQKLGDLTSAFLLLEMIFETRDLTSWNSVIYGCASSGHHLESLRAFQAMSREGKIRHDLITLLGTISASGNLRLVLQGRCLHGLAIKSLRELDTQLQNTLITMYGRCKDTESAVKVFGLISDPNLCSWNCVISALSQNKAGREVFQLFRNLKLEPNEITFVGLLSASTQLGSTSYGMQAHCHLIRRGFQANPFVSAALVDMYSSCGMLETGMKVFRNSGVKSISAWNSVISAYGFHGMGEKAMELFKEMSSGNSGMEPNKSTFISLLSACSHSGFINEGLRYYNQMEEKFGVKPVTEHRVCIVDMLGRAGKLKEAYEFIIGIGEPQKAGVWGALLSACNYHGDTKLGTEVAEVLFEMEPDNASYYISLANTYVGLGGWDEAVRLRKIVEDNALKKLPGYSVIDVSVG >fgenesh2_kg.7__2364__AT4G19185.1 pep chromosome:v.1.0:7:10347714:10350205:1 gene:fgenesh2_kg.7__2364__AT4G19185.1 transcript:fgenesh2_kg.7__2364__AT4G19185.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7MGU1] MTAPMILSGGGSAAERDARMAHTAMAFVQLFNGGYHVITKVALNVGVNQLVFCVCRDLLALSILAPLAYFRESKIRTPMNKSLLLSFFFLGLAGVFGNQLLFLIGLTYTNPTYAAAIQPSIPVFTFLLAVMMGTERVNLLRIEGQTKVGGTLVCVMGAVFMVLFRGPALLGDKDADFAMNNEISAKGQPEPTGWLVSGFLDLGFETWHIGVLCLIGNCMCMATFLAIQAPLLKKYPANLSVAALSYFFGTVLMCTTAFFMVKEPLDWRLTQSEVLAVIYAGVIASALNYGLLTWSNKIIGPALVALYNPLQPAASAFLSRIFLGSPIYLGSVVGGFFIILGLYMVTWASFRERKTAGSGIGIASHGLKTSEPLIFNGTVNRLGQLFSGLPSSSVKSAD >fgenesh2_kg.7__2365__AT4G19180.1 pep chromosome:v.1.0:7:10351447:10354033:1 gene:fgenesh2_kg.7__2365__AT4G19180.1 transcript:fgenesh2_kg.7__2365__AT4G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7MGU2] MVFGRITELFTAASSRFSAGSQSSVPYMPTGSSPDVGTSAADSISIGNGGRKNSLKHSASLQDFSSYHGFDPEESILAREAISWGLNGSSFSKEKGSVPNGTNPSTRRKWIRAVMIVLCLFLFAFLVYIASMYIYTNWSRGASRYYVVFDCGSTGTRAYVYQASINYKKDSSLPIVMKSLTEGISRKSKGRAYDRMETEPGFDKLVNNRTGLKTAIKPLIQWAEKQIPKHAHRTTSLFVYATAGVRRLRPSDSSWILGNVWSILAKSPFTCRREWVKIISGTEEAYFGWTALNYQTSMLGALPKKATFGALDLGGSSLQVTFENEERTHNETNLNLRIGSVNHHLSAYSLAGYGLNDAFERSVVHLLKRLPNVNKSDLIEGKLEMKHPCLNSGYNGQYICSQCASSVQGGKKGKSGVPIKLVGAPNWGECSALAKNAVNSSEWSNTKHGVDCDLQPCALPDGYPRPHGQFYAVSGFFVVYRFFNLSAEASLDDVLEKGREFCEKAWQVARTSVSPQPFIEQYCFRAPYIVSLLREGLYITDKQIIIGSGSITWTLGVALLEAGKALSSTLGLKSYEILSMKINPIALISILLFSFLLLLCALSRVSNCLPRFFRKSYLPLFRHNSASASSVLNIPSPFRFQRWSPMSTGVKTPLSPTVRGSPRRPFSFGSSIQLMESSSLYSSSSCVMHSCSSDSLGDMQYDSTSSFWSSPRRSQMRLQSRRSQSREDLSSSLADSHMLKM >fgenesh2_kg.7__2368__AT4G19160.2 pep chromosome:v.1.0:7:10359253:10362083:-1 gene:fgenesh2_kg.7__2368__AT4G19160.2 transcript:fgenesh2_kg.7__2368__AT4G19160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MGU4] MLCASSSFALLGPASSAMASSPVSRFLGFGHHTTLRYDLDQRRRRCSGLEKKIPFPRNRTASASAYPLFLSQLNKDSPPRPKNYQEVTKSARQMFAREISIQSKDNEISIAKVLFYIAAEDEAFLAINRERDAQSLMKERESVQDQSDPSETDSEELLQLDGKSISEWVSEIDAISKDVEAELVSRDIGCHLVQVLEAVNTVLFDLRGFKRTSITLDPENSYLHSVLNCRCSTAFLISVIYIEVCKRLNVPIVGSPVGEDFLIWPKTEYPEELFKATSGQSLFSIVNGSCVDDPGSMASDLTAKSLQDLDIATNRDIIGIALANLIRLHWRRASKSSRGLMLTSPLSQLNNISSSNFPLLRPQDLRLAIAAAERLLILQPHNWALRRDLGMMLYYDRQYGEAVQELSICMAFAPPEEEAVLEPFVERLHLLRLISSLKPLGSDRLTVP >fgenesh2_kg.7__236__AT4G38090.1 pep chromosome:v.1.0:7:948214:949237:1 gene:fgenesh2_kg.7__236__AT4G38090.1 transcript:fgenesh2_kg.7__236__AT4G38090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGVPVAAGTTVAVVLRRRIPVIVAASGKSSMASNSGSDSSFTTIKEIVSVEKEIKKSKFIAIAGPISTEQSAQMFFSQVRDSRASHNCWAYKIGDQHHRCSDDGEPSGTAGKPIQSAILSSGLDRVMVVVIRYFGGIKLGTGGLVRAYGGVTSDCLKTAPTCLVKSKVQMGVEVTFDLLGVLYNQLQSCHAEDIKEDYDTGKDGTAMVSFKVEFDLVDKLEDAIKSNCRRDLVFYKT >fgenesh2_kg.7__2372__AT4G19140.1 pep chromosome:v.1.0:7:10374756:10376482:-1 gene:fgenesh2_kg.7__2372__AT4G19140.1 transcript:fgenesh2_kg.7__2372__AT4G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEETTSSVTTTKKQKRVFSSLALRFCTVLVFSIISFVVLSFLLGILVIFIGELWVYSFSSSSASLHSRCKIVSSSVDLRSSKVCGIGLLNIKAQHVFYPFERDKFRCRYDYYWASVFKVVEYKDNLMGQTRLAFSEAPNEALPPECRPNFGAALLTKDNFKVNETYDCWYTLGIPKIKLYRDSFFGCQANDLSFNDIVKQYSVVISKLLQSWFNGEATPKYWKYDVIAGTVSGFATSIIIVFIVRILRHAKSWFPRAFCSVKSQFSKVNLLVQVKRACLLLVYFSVLGWMATQYLKILFPKA >fgenesh2_kg.7__2376__AT4G19112.1 pep chromosome:v.1.0:7:10388101:10392836:1 gene:fgenesh2_kg.7__2376__AT4G19112.1 transcript:fgenesh2_kg.7__2376__AT4G19112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYKLIKEVGDGTFGSVWRAINKQTGEVVAIKKMKKKYYSWDECINLREVKSLRRMNHPNIVKLKEVIRENDILYFVFEYMECNLYQLMKDRQKLFAEADIKNWCFQVFQGLSYMHQRGYFHRDLKPENLLVSKDIIKIADFGLAREVNSSPPFTEYVSTRWYRAPEVLLQSYVYTSKVDMWAMGAIMAELLSLRPIFPGASEADEIYKICSVIGTPTEETWLEGLNLANTINYQFPQLPGVPLSSLMPSASEDAINLIERLCSWDPCSRPTAAEVLQHPFFQSCFYVPPSLRPKPSVARTPPAWGSFEHQSVKRHPVSLANTKPFNSYVSPKSNAAFGSGVQRKLEMANQDGTRNTKPVRSSVRDSKYRPPGKKSPQKAAAASLNKNRVTRSVSETADKLANMTIGATGSRRHSVSVVGQHQQLKPPAMKAGWVGQTRDMFLRPTQPTTNAYSRKVAG >fgenesh2_kg.7__2380__AT4G19100.1 pep chromosome:v.1.0:7:10393089:10394013:-1 gene:fgenesh2_kg.7__2380__AT4G19100.1 transcript:fgenesh2_kg.7__2380__AT4G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPCSFKLSAHPRSSSKHDGNYKQCSSLVERLRDKTKSQVPKSITCNNRLEILRISPLHATMNSPRGFGPPPKKIKKSKKPKPGNQSDKEDDDEDEDDDDDEDERERGVIPEIVTNRMISRMGFTVGLPLFIGLLFFPFFYYLKVGLKVDVPTWVPFIVSFVFFGTALAGVSYGIVSSSWDPLRKGSLLGWNEAKKNWPVFWQSFRNSSDKR >fgenesh2_kg.7__2382__AT4G19060.1 pep chromosome:v.1.0:7:10411424:10412725:1 gene:fgenesh2_kg.7__2382__AT4G19060.1 transcript:fgenesh2_kg.7__2382__AT4G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAKKFISEIDEKLEPKSEIDKELEKIKSSFNEEYEKWSCGKQSGSSSKHGNQSTDGDSSPTRNSSKKGRPKANRVETSSELPDHPIHGFINEKLFLKNFFLKQKESEEFKTRAIVGKYGVGKTTLCQDVFNDEDVKQVYFPRIWVSMYSKETKDPKIDVVKRILRLLGVEDEMFEHIKTEAEEEKRIKDEAGEGGEETVKQKELARLLYALHLHLIGKKYLIVLDDVWEDNEWDQRLDDEKQQEKSHLSCGFPKGFGGRVIITSRDERLAKEIVGEEENLQRLFPRSDAESLWKIYIDAVPTKVDDATATNAGDAAATNAAATNAGDAVAPKVNPRYPGRYKQELMDKSCGIPLAARMLAKIEPVKVDEIGNIDRKQS >fgenesh2_kg.7__2383__AT4G19050.1 pep chromosome:v.1.0:7:10414241:10419988:1 gene:fgenesh2_kg.7__2383__AT4G19050.1 transcript:fgenesh2_kg.7__2383__AT4G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mob1/phocein family protein [Source:UniProtKB/TrEMBL;Acc:D7MGV6] MDNKQEKQDQIGRDEILKKIRDSLGQDGVPSKTVLVGEAGIGKTWLAKQVAQEKSSSYNVLWLHLNKRIEDEKSLYENLAAQLSIIYEFEEGEEPEEFDYSLESLKEKITDWMIKNKKDNLLLILDDEGSMTTEKHVMEEIYLQEFSTPGTKKINLQDFLKGYSTVKTLVTRRDEREEKESTTIKVGPLTEKESLKLLHDAEGLLASASFTTEDWSVLLQRLCDNGEIKESSLMSCILSKSKGLPAAIVVLVKSLKSIKSLSAKQRKIFEELILSSKSLDAAAASKNAKDCSRYNPVYNPVYNPVLRLCYELLKPDETVKRPVIACFWHILDFYKYSGCAYYRDLIVHWMLEGYFDPVKSVEKAYQEGHSILMDFMDRGILKIQEDNMVVPEFSMNNLLDLQDCGFFGRSSLGFDRVYGGDKRKGLGKIILIDDMIQTIQSKKKNITTIIASGNRLRREVPGKFFERPEMQDLEVVVLFEPTFHELVQSLSKLKKLRVLVIRDCDLIDNIDKLTGLEGLHVLEVSGASSLVNIPDDFFKNMTQLQSINLSGLAIKSSPSTIENLSMLRCFILRHCSELQDLPNFNVETKKLEVIDIHGARKLESYFDRVKDWKDYKGKNKNFAHLQQLEHLDFSETKIIRLPIFHTNDFRTMPILTRLLLRNCTRLKRLPQLRHLTKLQVLDACGATSLVEMLEVCLEEKEELRILDISKTSLPELADTIADVVHLNKLLIRNCSQIEELPSIEKLTHLEVFDVSGCNKLKKIDGSFGKMSYLHEVNISETNLAELPDKISELSNLKELIIRNCTKLKALPNLEKLTHLEIFDVSGSTELETIEGSFENLSCLHKVNLSGTNLCELPNKISELSNLEELIVRNCTKLKALPNLEKLTHLEIFDVSGCTDLDKIEGSFENMSYLRESILCSSKRIVLADSSCLERDQWSQIKECLKMKSEGSSFSNVAEKTREKLLYHGNRYRVLDPEVPLNIDIVDIKRATDLKTESFANAEYVSIAENGSKSVSSIFDECQMRSVKGCWVERCKNMDVLFVSDEQQEKEKSSSLSSLENLWISNLPLLTSLCSSKGGFIFKNLKKLSVDCCPRITSLFPEIPDNLEILRVKFCDKLERLFEVEAGELSKLRKLQLLDLPVLSVLGANFRNLEKCTIEKCPKLKARQDEVTRRTTDEISEDHQPHNKTIGPETQTPTQPTKDTDTTNLMELINQKTFRPKKSAPSGTKGAQLRKHIDATLGSGNLREAVKLPPGEDLNEWLAVNTVDFFNQVNLLFGTLTEFCTPENCSTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIETQLDDETIFPQKLGKTFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTHEFVLIDKKELAPLQELIESIIAPY >fgenesh2_kg.7__2384__AT4G19040.2 pep chromosome:v.1.0:7:10426345:10432821:1 gene:fgenesh2_kg.7__2384__AT4G19040.2 transcript:fgenesh2_kg.7__2384__AT4G19040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVVYEGWMVRYGRRKIGRSYIHMRYFVLEPRLLAYYKKKPQDYQVPIKTMLIDGNCRVEDRGLKTHHGHMVYVLSVYNKKEKSHRITMAAFNIQEALMWKEKIESVIDQHQESQVPNGQQYVSFEYKSGMDTGRTASSSDHESQFSAPEDEEDSRRSLMRRTTIGNGPPESVLDWTKEFDAELANQNSNNQAFSRKHWRLLQCQNGLRIFEELLEVDYLPRSCSRAMKAVGVVEATCEDIFELLMSMDGTRYEWDCSFQYGSLVEEVDGHTAVLYHRLLLDWFPMIVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGPQPGCVRAHLESGGYNISPLKPRNGRPRTQVQHLIQIDLKGWGAGYLPAFQQHCLLQMLNSVAGLREWFSQTDERGVPTRIPVMVNMASSSLSLTKSGKSLHKSAFSLDQTNSVNRNSVLMDEDSDDDDEFQIAESEQEPETSKTETDVKRPEEEPAHNIDLSCFSGNLKRNENENARNCWRTSDGNNFKVRGKNFSVEKRKIPAGKHLMDLVAVDWFKDSKRIDHVARRKGCAAQVAAEKGLFSMVVNVQVPGSTHYSMVFYFVMKELVPGSLLQRFVDGDDEFRNSRLKLIPLVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPTYLEIDVDIGSSTVANGVLGLVIGVITSLVVEMAFLVQANTAEEQPERLIGAVRVSHIELSSAIVPNLESE >fgenesh2_kg.7__2386__AT4G19030.1 pep chromosome:v.1.0:7:10448156:10450205:1 gene:fgenesh2_kg.7__2386__AT4G19030.1 transcript:fgenesh2_kg.7__2386__AT4G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADISGNGYGNAREVVMVNLKEEVEHQQEMEAIHNPKPLKKQDSLLSVSVPFLQKLIAESLGTYFLVFTGCASVVVNMQNDNVVTLPGIAIVWGLTIMVLIYSLGHISGAHINPAVTIAFASCGRFPLKQVPAYVISQVIGSTLAAATLRLLFGLDHDVCSGKHDVFIGSSPVGSDLQAFVMEFIVTFYLMFIISGVATDNRAIGELAGLAIGSTVLLNVLIAAPVSSASMNPGRSLGPAMVYGCYKGIWIYIVAPTLGAIAGAWVYNTVRYTDKPLREITKSGSFLKSVRIGSS >fgenesh2_kg.7__2387__AT4G19020.1 pep chromosome:v.1.0:7:10453110:10459588:-1 gene:fgenesh2_kg.7__2387__AT4G19020.1 transcript:fgenesh2_kg.7__2387__AT4G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromomethylase 2 [Source:UniProtKB/TrEMBL;Acc:D7MGW3] MLSPAKCESEEAQAPLDLHSSSRSEPECLSLVLWLPNPEDAAPSSSRELTKLPDDGEMRLRRSARLKCNSPVDNGGEGGVSQRKSSRGKTQPLLMLTNGCQLRRSLRFRPVDANFDSVCSVPVTGKFGSRKRKSNSALDKKESSDSEGLTFKEIAVIAKSLEMEIISECQYKNSVAEGRSRLQDSAKRNVDSDTLLSSRINSSKQSLGSNKRMRRSPRFMKGTENEGEENLGNSKKQGKSLASGSPFRRSPRLSGIVEIGHTETLNSIKDCSPALCGAEQVRGTEKLVQISENDNCCAAIKKCEGDGLVSSKQELLVFSSGCFKKTLNGCRDRTLGKPRSSDLNADDIQTSSLKISKNVTSNGLTMTTALVEQEAMESLLQGETSACGAADKGTTREMHVNSTVIYLSDTDEPSSIEYVYMKSSNGDNLTQIESGTTLSSGGNEGIVSLDLNSPTKSTKRKGKRVTRTAVQEQNKRSICFFIGEPISCEEAQERWRWRYDLKERKSKSRGQQSEDDEDKIVANVECHYLQAKVDGHTFSLGDFACIKGEEEETHVGKILEFFKTTDEESYFRVQWFYRATDTVMKQQATNHDKKRLFYSTVMNDNPVDCLISKVTVLQVSPMAGLKPNSIKSDYYFDMEYCVEYSTFQTLKNPKSSENKLECCADVVPTESTESILKKKIFSGELPVLDLYSGCGGMSTGLSLGAKISGVDVVTKWAVDQNMAACESLKLNHPNTQVRNDAAGDFLQLLKEWDKLCKRYVFNNDQRTDTLRSVNSIKETSESSSSRDDDSDSEEYEVEKLVDICFGDPDKTGKNGLKFKVHWKGYRSDEDTWELAEELSNCQDAIREFVTSGFKSKILPLPGCVGVICGGPPCQGISGYNRYRNVDSPLNDERNQQIIVFMDIVEYLKPSYVLMENVVDILRLDKGSLGRYALSRLVNMRYQARLGIMTAGCYGLSQFRSRVFMWGAVPNKNLPPFPLPTHDVIVRYGLPLEFERNVVAYVEGQPRKLEKALVLKDAISDLPHVSNDEDREKLPYESPPETDFQRYIRSTKRDLTGSATDNSNKRKMLLHDHRPFHINEDDYARVCQIPKRKGANFRDLPGLIVRNNTVCRDPSMEPVILPSGKPLVPGYVFNFQQGKSKRPFARLWWDETVPTVLTVPTCHSQALLHPEQDRMLTIRESARLQGFPDYFQFCGTIKERYCQIGNAVAVSVSRALGYSLGMAFRGLASGEHLIKLPQNFSHSTYPQLQETIPH >fgenesh2_kg.7__2388__AT4G19010.1 pep chromosome:v.1.0:7:10463223:10466088:1 gene:fgenesh2_kg.7__2388__AT4G19010.1 transcript:fgenesh2_kg.7__2388__AT4G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHLHISPNDKTQTSHQNPPFWFSPKTGIYSSKFPSVHLPVDPNLDAVSALFSHRHGGDAALVDSLTGFSISHTELQIMVQSMAAGIYHVLGVRQGDVVSLVLPNSVYFPMIFLSLISLGAIVTTMNPSSSLGEIKKQVSECSVGLAFTSAENVDKLSSLEVRVIIVPESYDFDSIRIENPKFYVIMKESFGFVPKPLIKQDDVAAIMYSSGTTGATKGVLLTHRNLIVSMELFVRFEASQYEYPGLSNVYLAALPLCHIYGLSLFVMGLLSLGSTIVVMRRFDASDVINVIERFKITHFPVVPPMLMALTKKAKGVSGEVFKSLKQVSSGAAPLSRKFIEDFLQTLPHVDLIQGYGMTESTAVGTRGFNSEKLSRYSSVGLLAPNMQAKVVDWSSGCFLPPGNRGELWIQGPGVMKGYLNNPKATQMSIVEDSWLRTGDIAYFDEDGYLFIVDRIKEIIKYKGFQIAPADLEAVLVSHPLIIDAAVTAAPNEECGEIPVAFVVRRQETTLSEQDVISYVAAQVAPYRKVRKVVMVSSIPKSPTGKILRKELKRILTNSVSSRL >fgenesh2_kg.7__238__AT4G38080.1 pep chromosome:v.1.0:7:949348:949996:-1 gene:fgenesh2_kg.7__238__AT4G38080.1 transcript:fgenesh2_kg.7__238__AT4G38080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKNSFVTSLVIALTFTSFFTSLSAHRHLLQSTPVTQPPALTFPPLPKTTMPPLPSLPTPAQQTLPQPQPTLPQPTGLPMPPIPSTQIPSLPNQVQPTIPNIPQVNFPSNFPFNFPFNIPFLTPPPSK >fgenesh2_kg.7__2392__AT4G19000.1 pep chromosome:v.1.0:7:10470315:10472216:-1 gene:fgenesh2_kg.7__2392__AT4G19000.1 transcript:fgenesh2_kg.7__2392__AT4G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELDDSNDDWVKELEEVLEDNEESKFTGRRLVKKSISVPELVDEVDEDLNDFMEPEDDFSDKVGKKRQRKKNESVLEKTKKKKQNSAEVQEMWDSITNDNNSQDGDKVVVKRPKKKDEDAEEIAKLFSLRKKKSKSKSDKNAMEIAMQVEQVMANLEIAVEDDVILNREGKPAINKLMKLPLLNETLSKKPLQAEFLDHGVLNLLKNWLEPLPDGSLPNINIRTAVLMILNDFRIDLDQDSRREQLIKSGLGKVIMFLSKSDEETTPNRRLANDLINKWGRIIYNKSTRYDKMFSQEELEEQRQMLLRRQIKTAPKVSETKARNFDTDLDLYVLGPWTGRARAKIPTALSMDFKIRPPPKVDKEPEACAKWEMDKRHKNKQRKIIRKKGMQAFKLSVDGRTMLKYL >fgenesh2_kg.7__2394__AT4G18980.1 pep chromosome:v.1.0:7:10477330:10477888:-1 gene:fgenesh2_kg.7__2394__AT4G18980.1 transcript:fgenesh2_kg.7__2394__AT4G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M9C7] MSEEFQESEVIFSDESFTRKHNKSHNNENYERKSTEKDKYSSPVRIPSRTIFRCTEDVEEEGEMTPPHVIIEKRRKEAQMAFSFCTLKGRDLSRHRNTVLRMTGFLE >fgenesh2_kg.7__2397__AT4G18970.1 pep chromosome:v.1.0:7:10486452:10488288:1 gene:fgenesh2_kg.7__2397__AT4G18970.1 transcript:fgenesh2_kg.7__2397__AT4G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7M9C9] MARVCVMMMAMAMAMAMNIAMGDPIAPCYFIFGDSLVDSGNNNRLTSLARANYFPYGIDFQFGPTGRFSNGKTTVDVITELLGFDDYITPYSEARGEDILRGVNYASAAAGIREETGRQLGARITFAGQVANHVNTVSQVVNILGDENEAANYLSKCIYSIGLGSNDYLNNYFMPVYYSTGSQYSPDSYANDLINRYTEQLRIMYNNGARKFALVGIGAIGCSPNELAQNSRDGVTCDERINSANRIFNSKLVSLVDHFNQNTPGAKFTYINAYGIFQDMVANPSRYGFRVTNAGCCGVGRNNGQITCLPGQAPCLNRDEFVFWDAFHPGEAANVVIGSRSFQRESASDAHPYDIQQLALL >fgenesh2_kg.7__2399__AT4G18950.1 pep chromosome:v.1.0:7:10504143:10507075:-1 gene:fgenesh2_kg.7__2399__AT4G18950.1 transcript:fgenesh2_kg.7__2399__AT4G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDYLQPRFTIGRQSSLAPEKIPEPSVHSEEEVFEDGEEIDGGVRLMYLANEGDIEGIKELLDSGIDANYRDIDDRTALHVAACQGLKDVVELLLDRKAEVDPKDRWGSTPFADAIFYKNIDVIKILELHGAKHPMAPMHVKTAREVPEYEIGPSELDFTQSKEISKGTYCMAMWRGIQVAVKKLDDEVLSDDDQVRKFHDELALLQRLRHPNIVQFLGAVTQSNPMMIVTEYLPRGDLRELLKRKGQLKPATAVRYALDIARGMSYLHEIKGDPIIHRDLEPSNILRDDSGHLKVADFGVSKLVTVKEDKPFTCQDISCRYIAPEVFTSEEYDTKADVFSFALIVQEMIEGRMPFSEKEDSEASEAYAGKHRPLFKAPSKHYPHGLKTLIEECWHEKPAKRPTFREIIKRLESILHHMGHKRQWRMRPLTCFQNFEHKKKQNWDLSSHDGSSSGSHL >fgenesh2_kg.7__2400__AT4G18930.1 pep chromosome:v.1.0:7:10508948:10510172:-1 gene:fgenesh2_kg.7__2400__AT4G18930.1 transcript:fgenesh2_kg.7__2400__AT4G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic phosphodiesterase [Source:UniProtKB/TrEMBL;Acc:D7M9D2] MEEVKKDVYSVWALPDEESEPRFRKLMEALRSEFTGPRFDPHVTVVGATNLTEDEAKKMFKSACDGFKSYRATVDRVSTGTFFFQCVFLLLQSTPEVTEAGEHCKNHFNCSTTTPYMPHLSLLYAELTEEEKKKAQEKAYTLDSSLDGLSFRLNRLALCKTDTEDKTLESWETVAVCNLNP >fgenesh2_kg.7__2402__AT4G18910.1 pep chromosome:v.1.0:7:10519001:10521437:-1 gene:fgenesh2_kg.7__2402__AT4G18910.1 transcript:fgenesh2_kg.7__2402__AT4G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISGNGHGADARDGAVVVNLKEEDEHQQQKEAIHNPKPMKKQDSLLSISVPFLQKLMAEVLGTYFLIFAGCAAVAVNTQHDKAVTLPGIAIVWGLTVMVLVYSLGHISGAHFNPAVTIAFASCGRFPLKQVPAYVISQVIGSTLAAATLRLLFGLDQDVCSGKHDVFVGTLPSGSDLQSFVIEFIITFYLMFVISGVATDNRAIGELAGLAVGSTVLLNVIIAGPVSGASMNPGRSLGPAMVYSCYRGLWIYIVSPIVGAVSGAWVYNMVRYTDKPLREITKSGSFLKTVRNGSSR >fgenesh2_kg.7__2403__AT4G18905.1 pep chromosome:v.1.0:7:10525566:10528969:-1 gene:fgenesh2_kg.7__2403__AT4G18905.1 transcript:fgenesh2_kg.7__2403__AT4G18905.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7M9D5] MITAVSWIPKGNLKAVPDVAEPPSKEELKELIESGAFARSNDDEEEIEEDGEEISEVDHAKAVAEAFGKSSKSKSASSSMEVDEVAQGLKELDMDNYDEEDDGIELFSSGMGDLYYPSNEMDPYLKDVDDEDDEEDIDDTTVKPTDSVIICARNEDDVSHLEVYVYEESSGSPNMYVHHHIIIPEFPLCTAWIDCPLKGGEKGNFVAIGSKESPTIEIWDLDVRDEVLPCIQLGGTEEMIVSKKKKNKKDKICLWQTYKEGSHTDSVLGLAWNKEFRNILASASADKKVKVWDVATGTCKITMEHHTKEVQAVAWNHYAPEVLLSGSFDQTVVLKDGRQPSHSGFKWSVMSDVESLAWDPHSEHSFVVSLEDGTVKGFDIRAAQSGSDSDLKPSFTIQAHDQDKGVSSISYNISSPNLLATGSMDKTVKLWDLSNNEPSCLATHKPKAGAVFSISFAVDNPFLLAIGGSKGELHVWDTLLDANVARKYGSNRS >fgenesh2_kg.7__2404__AT4G18890.1 pep chromosome:v.1.0:7:10533007:10535945:-1 gene:fgenesh2_kg.7__2404__AT4G18890.1 transcript:fgenesh2_kg.7__2404__AT4G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRTPTWKERENNKRRERRRRAIAAKIFAGLRIHGNFKLPKHCDNNEVLKALCNEAGWTVEDDGTTYRKGCRPMDRMELMNGSTSASPCSSYQHSPRASYNPSPSSSTFPSPTNPFGDANSLIPWLKNLSSNSPSKLPFFHGNSISAPVTPPLARSPTRDQVTIPDSGWLSGMQTPQSGPSSPTFSLVSRNPFFDKEAFKMGDCNSPMWTPGQSGNCSPAIPAGVDQNSDVPMADGMAAEFAFGCNAIAAIGMVKPWEGERIHGECVSDDLELTLGNSRTK >fgenesh2_kg.7__2405__AT4G18880.1 pep chromosome:v.1.0:7:10542031:10543973:1 gene:fgenesh2_kg.7__2405__AT4G18880.1 transcript:fgenesh2_kg.7__2405__AT4G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-HSFA4A [Source:UniProtKB/TrEMBL;Acc:D7M9D8] MDESNHGGTSSSLPPFLTKTYEMVDDSSSDSIVSWSQSNKSFIVWNPPEFSRDLLPRFFKHNNFSSFIRQLNTYGFRKADPEQWEFANDDFVRGQPHLMKNIHRRKPVHSHSLPNLQAQQNPLTDSERLRMNNQIERLTKEKEGLLEELQKQDEEREVFERQVKELKERLQHMEKRQKTMVSFVSQVLEKPGLALNLSPCVPETNERKRRFPRIGFFPDEPMLEDNQTCVVVREEGSTSPSSHTTEHQVEQLESSIGIWENLVSDSCESMLQSRSMMTLDVDESSTCPQSPPLSCIQLSIDTRPKSPPSQRIIDMNSEPDFSKEQNTVAAAPPPVAGANDVFWQQFFTENPGSTEQREVQSERKDDKDEAIRSEKCWWNSRNVNTITEQLGHLTSSERS >fgenesh2_kg.7__2409__AT4G18830.1 pep chromosome:v.1.0:7:10580368:10581774:-1 gene:fgenesh2_kg.7__2409__AT4G18830.1 transcript:fgenesh2_kg.7__2409__AT4G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOFP5/OFP5 [Source:UniProtKB/TrEMBL;Acc:D7M9E7] MMRWGRKKPVSSSSSSSSGLSRALPVSWFSKLSGSSDLKPVKEKKQDEKASQNMSAKTSLSSTKHRSDIHENSKRFQRVSVEKENATTRSADKESNEKFEEIMSSVRKKVRDFQKETCGFLEVEAIDRNKGTVIMTPRIQVNKDKQRCERRDQRLLEQKPKRSEQDAEVKVKKPARRTGTGSYNREDSVNLGHTVTKPAHQWQKLKEVKLREVKLKADQQRKSLYLKRELNRLGTKENNKVRVFSPRASEKCRVKAIEDLKKAKLRAREHELLIETADGGMENESFAVVKCSSDPQKDFKDSMIEMIMENGINHPEELKELLVCYLRLNTDEYHDMIITVFQQVHNDFNFH >fgenesh2_kg.7__2410__AT4G18820.1 pep chromosome:v.1.0:7:10586661:10590816:-1 gene:fgenesh2_kg.7__2410__AT4G18820.1 transcript:fgenesh2_kg.7__2410__AT4G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:TAIR;Acc:AT4G18820](projected from arabidopsis_thaliana,AT4G18820) MTTTTTTTTRVASSSSTRNNRILKDANGDIGEHLRNHIHLTNCIHLKNHMHKQSPVLTDRALMRDLIVLQRSRSLRDPSASPPAWNTPPSVVDLLPKKGDLVEGGRRSVDHKKSSRRLSALSGSSPVVNFGTSKXXXXXXXXXXXXXXXXSGRRVKRDESSRKSYRIGDDYQNVNEVVSHGSGSKASRRLSRVNDAIVKTLSDQLNEVVVGDSDDVVSSNVRPLVRYGGGGGSNTRGCSGGMSRPKRRKFRGTRRVRGKSRDTGGGKSEMSVASNTLPQGEKHEGEKDGFGEQNMTKACGIPFNWSRIHHRGKNFLDKAGRSLSCGMSDSKGRKGETNERNGSDMMMIQSDDDSSSFIRSDGEALPLLVDSAENDGWVHDYSGELGIFADSLLKNDEDSDLASEGRSGEKKHKKKSHVNARHRHQQQHQSLTEKYTPKTFRDLLGQNLVVQALSNAVARRKLGLLYVFHGPNGTGKTSCARIFARALNCHSMEQPKPCGTCSSCVSHDMGKSWNIREVGPVGNYDFENIMDLLDGNVMVSSQSPRVFIFDDCDTLSSDCWNALSKVVDRAAPRRVVFILVCSSLDVLPHVIISRCQKFFFPKLKDADIVYSLQWIASKEEIEIDKDALKLIASRSDGSLRDAEMTLEQLSLLGQRISVPLVQELVSTVLSPHYVKQLKVGLVSDEKLVDLLDLALSADTVNTVKNLRTIMETSVEPLALMSQLATVITDILAGSYDFTKDRHKRKFFRRQPLPKEDMEKLRQALKTLSEAEKQLRVSNDKLTWLTAALLQLAPDQNYLLQRSSTADNGGRESSDHHLDPSSDPAGGRSSGFDRRRGDSRKNRPAVEEIWLEVIEKLRVNGLREFLYKEGRIVSLNLGSAPTVHLMFSSPLTKSTAEKFRGHIMQAFEAVLESPVTIEIRCEAKKDPRNNVHHHHQHPPVKDKSLPQSLALIGHDYNIDGSGRSEIVEVTESNGKRRQQQEQKQQEEERTERVGSSALARARRKHLEASQSQNQSQSIVRGKVSLAHVIQQADGCTLQNGWSKRKAVSIAEKLEQENLRLEPRSRSLLCWKSSRGTRRKATRLKVRTRRARPHSLLKLVSCGKCLSTRSPTR >fgenesh2_kg.7__2411__AT4G18810.1 pep chromosome:v.1.0:7:10597038:10600376:1 gene:fgenesh2_kg.7__2411__AT4G18810.1 transcript:fgenesh2_kg.7__2411__AT4G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional repressor [Source:UniProtKB/TrEMBL;Acc:D7M9E9] MEVSSSLKLSSSLSPSIINLQGSSSSIDVKFSKYTSLPKPFLQLDDKSRRLRDQQASHSLNLRSYRKRVTAKSGSQGWDFGRFIKTLYFFNGAPSPLKFVSSVFEKLTNGSTEEPVTEMGTSGIILVAGATGGVGRRVVDILRKRGLPVKALVRNEEKARKMLGPDIDLIVADITKENTLVPEKFKGVRKVINAVSVIVGPKEGDTPERQKYNQGVRFFEPEIKGDSPELVEYIGMKNLINAVRDGVGLENGKLLFGVGDNTFKDLPWGALDDVVMGGVSESNFLVDLTAGENGGPTGIFKGIVSTTNNGGFTSVRTKNFPEAENVSAYDGLELRLKGDGLRYKLIVRTSQDWDTVGYTASFDTSPGQWQSVRLPFSSLRPVFRARTVTDAPPFNASSIISLQLMFSKFEYDGKLNPTFKEGPFELPLSSIRAYIQEPVTPRFVHVGSAGVTRPERPGLDLSKQPPAVRLNKELDFILTYKLKGEDLIRESGIPFAIVRPCALTEEPAGADLIFEQGDNITGKVSRDEVARICIAALESPYALNKTFEVKSTVPFSEPFTVDPENPPPEKDYNEYFKNLKDGITGKEALEQSTVAV >fgenesh2_kg.7__2412__AT4G18800.1 pep chromosome:v.1.0:7:10601288:10603114:1 gene:fgenesh2_kg.7__2412__AT4G18800.1 transcript:fgenesh2_kg.7__2412__AT4G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLNVNEKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLRELRDHTDPNIVVMLVGNKSDLRHLVAVQTEDAKSFAENESLYFMETSALESTNVENAFSEVLTQIYHVVSKKAMEAGQDSGNVPSKGEKIDVDVSAVKKTGCCSN >fgenesh2_kg.7__2413__AT4G18790.1 pep chromosome:v.1.0:7:10603342:10605167:1 gene:fgenesh2_kg.7__2413__AT4G18790.1 transcript:fgenesh2_kg.7__2413__AT4G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Natural resistance-associated macrophage protein 5 [Source:UniProtKB/TrEMBL;Acc:D7M9F1] MTDSTVSRQENSPKRPNDSNGEFKRLLVPETSQPEDERRESPPENQVLNVEEDRDKTYDSVPPFSWAKLWKFTGPGFLMSIAFLDPGNIEGDLQAGAVAGYSLLWLLLWATLMGLLMQLLSARIGVATGRHLAEICRNEYPSWARILLWFMAEVALIGADIQEVIGSAIALQILTRGFLPIWVGVIITSFDCFLISYLEKCGMRKLEGLFAVLIATMALSFAWMFNETKPSVEELFIGILVPKLGSKTIREAVGVVGCVITPHNVFLHSALVQSRKTDPKEINRVQEALNYYTIESSAALFVSFMINLFVTAVFAKGFYGTKQADSIGLVNAGHYLQEKYGGGVFPILYIWGIGLLAAGQSSTITGTYAGQFIMEGFLDLQMEQWLSAFITRSFAIVPTMFVAIMFNTSEGSLDVLNEWLNILQSMQIPFAVIPLLTMVSNEHIMGVFKIGPSLEKLAWTVAVFVMMINGYLLLDFFMAEVEGFLVGFLVFAGIVGYISFIIYLVSYRSSQSSSWSSLEMSQRVVSTET >fgenesh2_kg.7__2414__AT4G18780.1 pep chromosome:v.1.0:7:10619638:10623899:1 gene:fgenesh2_kg.7__2414__AT4G18780.1 transcript:fgenesh2_kg.7__2414__AT4G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:D7M9F2] MESRSPICNTCGEEIGVKSNGEFFVACHECSFPICKACLEYEFKEGRRICLRCGNPYDENVFDDVETKTSKTQSIVPTHINNTPQVDSGIHARHISTVSTIDSDLNDEYGNPIWKNRVESWKDKKSKKKKKDAKATKAEEHDGRIPSQQHMEDMPSNTEAGATDVLSVVIPIPRTKITSYRIVIIMRLIILALFFNYRITHPVDSAYGLWLTSVICEIWFAVSWVLDQFPKWSPINRETYIDRLSARFEREGEQSQLAAVDFFVSTVDPLKEPPLITANTVLSILALDYPVDKVSCYVSDDGAAMLSFESLVETADFARKWVPFCKKYSIEPRAPEFYFSLKIDYLRDKVQPSFVKERRAMKRDYEEFKIRMNALVAKAQKTPEEGWTMQDGTSWPGNNTRDHPGMIQVFLGYSGARDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPVVGQDVCFVQFPQRFDGIDKSDRYANRNIVFFDVNMRGLDGIQGPVYVGTGTVFRRQALYGYSPPSKPRILPQSSSSSCCCLTKKKQPQDPSEIYKDAKREELDAAIFNLGDLDNYDEYERSMLISQTSFEKTFGLSAVFIESTLMENGGVPDSVNPSTLIKEAIHVISCGYEEKTEWGKEVGWIYGSITEDILTGFKMHCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGCSGGRLKLLQRLAYINTIVYPFTSLPLVAYCTLPAICLLTGKFIIPTLSNLASMLFLGLFISIILTSVLELRWSGVSIEDLWRNEQFWVIGGVSAHLFAVFQGFLKMLAGLDTNFTVTSKTADDLEFGELYIVKWTTLLIPPTSLLIINLVGVVAGFSDALNKGYEAWGPLFGKVFFAFWVILHLYPFLKGLMGRQNRTPTIVILWSILLASVFSLVWVRINPFVSKTDTTSLSQNCLLIDC >fgenesh2_kg.7__2418__AT4G18740.1 pep chromosome:v.1.0:7:10633062:10634014:1 gene:fgenesh2_kg.7__2418__AT4G18740.1 transcript:fgenesh2_kg.7__2418__AT4G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M9F6] MDLALHCPCAYPLIKQGFRRAKSANHLLVRDTPSLLFALRFEQSLSRTTINGDRRIWFHEKGGSSFTSMGRSNKGYVCCKKTSNPSKSNQEEIISLLRRIQSSISKGESRGIEEKKNSDESSKEKPLTKAILDVLEKSRKKTEGDISVKKKPPKGPVEVSQPPSNFAKKTPIPSASGPRGKLPLSNSNKALGEMNVKEEKASLMETMKLAELKEVAKNRGIKGYSKL >fgenesh2_kg.7__241__AT4G38060.1 pep chromosome:v.1.0:7:958170:959008:1 gene:fgenesh2_kg.7__241__AT4G38060.1 transcript:fgenesh2_kg.7__241__AT4G38060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPIPSWPWWLLGGRKEKEREALKFPKNITRVNKRKELELESFGSSGSESVAFVACDGPEWSVGWTEPHGPDFQSDDEGDDGGFLVLVPCYRAVAEGSSNNNQLLSAVKNLPNGLPPGMNHDDHP >fgenesh2_kg.7__2423__AT4G18690.1 pep chromosome:v.1.0:7:10649614:10650655:-1 gene:fgenesh2_kg.7__2423__AT4G18690.1 transcript:fgenesh2_kg.7__2423__AT4G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSCGIEQLQKGCYYEWMSVQATHIVDLKEALTSHRSKDDHKLEELVGKIVNDFQKYTEKRSELSRRSCSSYFAPSWNSSLENGLLWMGGCRPSSFIRVIYSLCGSQAETQLSQYLLKVDENVEENQGGSMSDLNATQLAKINDLHIQVIEKEDQITKKSANLQEDVADMPIAIAAYATDLVDGDMLVEDALDKHEEGMAVLMTEADKLRFETLRKIVEVVTPVQAAEFLLAGKRLHISLHEWGRVREERRFGCARTDAAAATGGAGTKKSKRSATC >fgenesh2_kg.7__2424__AT4G18680.1 pep chromosome:v.1.0:7:10655930:10656637:-1 gene:fgenesh2_kg.7__2424__AT4G18680.1 transcript:fgenesh2_kg.7__2424__AT4G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MCP4] MGLQTKHIEDLKEALMCQQNNDHKVEDLVGKIVNDFQTYARKRSELSHRCCANYFAPSWNSPIENSMLWMGGCRPSSFIRLIYALCGSQAETLLTQNLLHIDENFDVNHGGFMSDLTATQLEKINELHMKVIKKEDKITKTSANFQDDVADMPIADVVVHGDAAVEDALDKHEEGMAVLLAEADKLRFETLRKIVEVMTPVQAAEFLLAGKRLHFSLHDRGRARADVCGGVGDDAV >fgenesh2_kg.7__2425__AT4G18670.1 pep chromosome:v.1.0:7:10660919:10663560:1 gene:fgenesh2_kg.7__2425__AT4G18670.1 transcript:fgenesh2_kg.7__2425__AT4G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKMMMKKTSQIFVLLFTLFFFFTSLTHSLPLTFNGDLSDNQVRLITQRQLLYFRDELGDRGENVVVDPSLVFENPRLRNAYIALQAWKQAILSDPNNFTTNWIGSDVCSYTGVYCAPALDNRRIRTVAGIDLNHADIAGYLPQELGLLTDLALFHVNSNRFCGTVPHRFNRLKLLFELDLSNNRFAGIFPTVVLQLPSLKFLDLRFNEFEGPVPRELFSKDLDAIFINHNRFRFELPDNLGDSPVSVIVVANNHFHGCIPTSLGDMKNLEEIIFMNNGFNSCLPPQIGRLKNVTVFDFSFNELVGSLPASIGGMVSLEQLNVAHNRFSGKIPASICQLPRLENFTFSYNFFTGEPPVCLGLPGFDDRRNCLPSRPAQRSPVQCAAFSSLPPVDCGSFGCGRSTRPPVVVPSPPTTPSPGGSPPSPSTVPSPPTTPSPGVSPPSPSISPSPPITAPSPPSTPSNPPIILPSPPSTPPTPISPGQHSPPVIPSPPFTGPSPPSSPSPPSPPIIPSPPGLGPSSPYPGPPSPPVVPRYSPPSQPPTYSPSPSPPPPYSPSTSPSPPPTYSPFPSPPPPPPQTYYPPQPSPPTPPQTPIYYTPPPSPPPHSPSSPQFSPPPPVPYYYSSPPPHSPPPPPPTPLHPPPPPSPQPCIEYSPPPPPTVHYNPPPPPTPAHYSPPPSPPVYYYNSPPPPPAVHYSPPPPPVIHHSPPPPTPIYEGPLPPIPGISYASPPPPPFY >fgenesh2_kg.7__2428__AT4G18630.1 pep chromosome:v.1.0:7:10699191:10701057:-1 gene:fgenesh2_kg.7__2428__AT4G18630.1 transcript:fgenesh2_kg.7__2428__AT4G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKLNLYAPLPSIRRIPSMIERSSELENKKTTITRPELRSCPSTKQETPVFVLPDQSFDHLTEPASIPFMWEQIPGKPKDDMATLIQESGLLETDDEEEEDEEDLDTVSSNVSFSVNCSTSGVSEIEKTGERSDCDDVSRESLDLMMSRFLPAAKAMALQTHQKHQSSYNSSEQKLITQNREALLIRQRSQLVAEHEHFAIVQSLYDDLNIDDDTDDDDDDDYDDNSGDGDHKIYPEVTKKVCGFLPRLCAKNSFKFLNPVPLDSVSNRSKKHSGDQTGLPNWSTRRLSGFISPYRTSCSDSGFLGTPEKPESFKRLHRGISKSQELYPTRTRREVLPNYSNSGEIRMFRNSVSTPTRIQRTTIHDSRFLVEEVNRRRNDRSGHLLKTSSEYTPALSPPPLPETPSRSWLGRTLLPPLNPRQHGVVLGQVGNKKLNQEVLESTKWEMIVKTSYVHNDHARYSQELIVYPSRQQNT >fgenesh2_kg.7__2431__AT4G18600.1 pep chromosome:v.1.0:7:10718167:10725797:1 gene:fgenesh2_kg.7__2431__AT4G18600.1 transcript:fgenesh2_kg.7__2431__AT4G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRFKIRNELSLGGPEIQRSAAVEDEEPKAILGAVEVAGLIGILRQLGDLAEFSAEVFNGLQEEVTVTASRCQKLTSRVRRIESALSPLEKAVLSQTSHIHFAYTAGSEWHPRIRNGHSHFVQSDLPLCVMESYEQCRDPPPLHLLERFAEGGPGSCLRKYSDPTFFRKELSNPSKTDDIKVQRDQAHRKRKKKRLPQRNICRSNAVSTSDETSGAHLSNLTDDRQTTSQSTSTVDMPRSSNMQDLSDTVDQSHLQGQSDAQERSEAQVQSDFQESSKARDSITGSGYIEYVINQSPVNEPDVKLVEGFLSGSLQPADRIGSAVPAGCIEVVDDNILYSPSEDLHVPCASIVCDEKKETLESMVEKSSEDDEPSEIHESKFGPVTPDRVRQNQRDFDRTYILFDEVDIVGEKQSKSQANNINGTLGIENEGENKSEQESEADEFLDARNTIESESESDIDGVPKPKLEHYFGDISTYCSEDANSENNDGSEDIQYEEMANYPRHENSEDESCSGSYFFEDSNVSCCMSDPVCEKTLSHDENSQKPWEFFASCPSLLAEKAFPDVTILREEPVAAHPLLAGDWANEKISSEEPIFPYPSLKDAIPAEKILPEEHLVNYPSLAEAIPREKISPGESIAKYPSFAEIVPQEKILSEKSLEEAVPDNMTPAGEPDATHPSFPEAVQDKKLSPEVLDSKFFSVPEAVSQEPISLEEFDGIYPCLAEAVHDERFLTEEPSTTCLSLTKAIPIEKVLPEKPLESSQYLAELTKEEILQEKTVDSTHPSCAKAAAETNLSQEVLDALNLSVTEAVPQEKISLEEFVGINPCLVETVPDERLLPEEPVTTYLSLTKAVAIEKVLSEEILETYHSLAELPEEEISQEETDDATHTSEAVSDEGISPEVSDSTNLSLENALPQEQISLEEFVGINQCLAEAVPDERFLPEKPFETYSSLAELQEEKILHEEADDGMRPCLSEAFTDEQISPKVLDYTNSPVAEAVPHEQTTLEDFVGRDPCLVEAVHDKRVLPEEQVTSCIYLTNVVPIEEVLPEEPLDVCASLGKLPKDKISQEKSDEAIHPSCAKTESHENFSLQVLDSSNLSVAEAIPREQTTLDEFVGVDPCLGEAVLDERVLPEEIDTTCLSLTKAPPIKTIFPEEPPEVYPSLEELPEEKISQEKEAAHPYFSEAVCDEKISPLEDPGSTHPSLEESDTFEETSHEELVGTNPFLELAVPNEMGFPDEPGVTYRSVAEAVSKGKNLPEEPLPTYPSWADVVPDEKISGEELHSTNPSSAEAVFKEKIPGSEASCSTTETVPHNKTFPEEPFAMENSLNEAVFDEKISGSEASSSTTETNPHNKTFTEEPFATENSLNEADFDEKIPGSEAPGSATETGPHNKSFLEEPVARDISLNEAVFDEKIPGSEASCSTRETVPHNETFPGEPVATDLSLVKAVFDERIPGSEDSSSSIETGLHNKTFPGEPVATELSLTEAGFDEKIPGSGAPSSTTETGSHNKTFSEEPVPNENILPKEPAAAYLALAEGIPDQKVFLDDAALLLFAEAIFDQKFSPEFPDSTYPSLKEPEMHVAAPSLVTDLPAKNIKVKDGEVHNEPYSASDVSMNQKSGLLEPESTERTIPSSGGTDTISPDTQKSLPNGTSVESISIWSNGGLLGLAPLKPPVFAEPNAGSQHIQNEINEASVLSTRTQESSSRSVETTEKSSLPLVVSDPTSQQHSNMSRLSPMQSTGTSFRVFGLSHRLLMAGFGGNSSSTCKFESVPSSSYVTGVASIEDKTQQTPGGSSFEEQLDYESSLFGSPTSSPPVEHMKISFNPIEASPVPKLKLRIPCQPRYNGENADMFPSFQLVPEASNSEDDDDNSDTFCQSSPCASDNCLSDSELWESDESPRKSVSSLKQVEERSRHGDMGSFSGSFLDLPCYDAVDHQSTSSRLEPEQVPEYKPSVSEIIRHWPPNQPKSSPSNEANLDANTVLKKTQDQSLGFVATDDDGGDSVCLDEHKTRRI >fgenesh2_kg.7__2432__AT4G18596.1 pep chromosome:v.1.0:7:10725902:10726662:-1 gene:fgenesh2_kg.7__2432__AT4G18596.1 transcript:fgenesh2_kg.7__2432__AT4G18596.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen ole e 1 allergen and extensin family protein [Source:UniProtKB/TrEMBL;Acc:D7MCQ3] MASKAIFFFFFVSAVCLSSLARVAIADADDFDRFQIQGSVYCDTCRVQFVTRLSKFLEGAKVKLECRSRTNGTVTLTKEAVTDKTGSYRMEVTGDHEEEVCELVLVESPDSGCSDVSKEAYLRNAAKISLTANDGIVSHETRIVNPLGFMVKTPSPECPAAFKELGIVPDVTF >fgenesh2_kg.7__2433__AT4G18593.1 pep chromosome:v.1.0:7:10726883:10728205:-1 gene:fgenesh2_kg.7__2433__AT4G18593.1 transcript:fgenesh2_kg.7__2433__AT4G18593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELQMEVEVETNSSLQESIPKPQVMYRCKKCRRIVAIEENIVPHEPGKGEECFAWKKRSGNSEQVQCSSIFVEPMKWMQTIHDGLVEEKLLCFGCNGRLGYFNWAGMQCSCGAWVNPAFQLNKSRIDECKSEPNSNLNME >fgenesh2_kg.7__2435__AT4G18580.2 pep chromosome:v.1.0:7:10729741:10731152:1 gene:fgenesh2_kg.7__2435__AT4G18580.2 transcript:fgenesh2_kg.7__2435__AT4G18580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPGHAVSYSWKRGGCNGVPLPYLIRPRRIFPPIDTFPFYNDYSSDDDDEAAGHSDDEYVVVDKAEAIMTNSKTEKPGAIQMMELSKDTVGDEESEAKDAGYSSDEWVVV >fgenesh2_kg.7__2436__AT4G18570.1 pep chromosome:v.1.0:7:10731126:10734528:-1 gene:fgenesh2_kg.7__2436__AT4G18570.1 transcript:fgenesh2_kg.7__2436__AT4G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKVRVTMGFHKSPSTKKTKDMPSPLPLPPPPPPPPLKPPSSGSATTTKPPINPSKPGFTRSFGVYFPRASAQVHATAAAASHNGVVSELRRQVEELREREALLKTENLEVKLLRESVSVIPLLESQIAEKNGEIEEFRKETARLAEENERLRRESEEMRRESDRREKEMEAEMLELRKLVSSESDDHALSVSQRFQGLMDVSAKSNLIRSLKRVGSLRNIPDPSPNQENNKGISSSGDADGDIYRKDEIERYSRSNNSEELTESPSLSAVRSRVPRVPKPPPKRSFSLGDSPENRADPPPQKSIPPPPPPPPPLLQPPPPVSKAPPPPPPPPPPKSLSIASAKVRRVPEVVEFYHSLMRRDSTNSRRDSTGGGNAAAEAILASSNARDMIGEIENRSVYLLAIKTDVETQGDFIRFLIKEVENAAFSDIEDVVPFVKWLDDELSYLVDERAVLKHFEWPEQKADALREAAFCYFDLKKLISEASRFREDPRQSSSSALKKMQALFEKLEHGVYSLSRMKESAATKFKSFQIPVDWMLETGITSQIKLASVKLAMKYMKRVSAELEAIEGGGPEEEELIVQGVRFAFRVHQFAGGFDAETMKAFQELRDKARSCHVQCQSQTHQHKLCFRSTPC >fgenesh2_kg.7__2437__AT4G18550.1 pep chromosome:v.1.0:7:10743639:10745491:1 gene:fgenesh2_kg.7__2437__AT4G18550.1 transcript:fgenesh2_kg.7__2437__AT4G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7MCQ9] MKRKKKEKEEKLIVSREFAKRWRDLSGQNHWKGMLQPLDQDLREYIIHYGEMAQAGYDTFNINTDSQFAGASIYSRKDFFAKVGLEKAHPYTKYKVTKFLYATSEIHVPESFLLFPVSREGWTKESNWMGYVAVTDDQGTALLGRRDIVVAWRGSVQPLEWVNDFEFGLVNAKKIFGEKNDQVQIHQGWYSIYMSQDERSPFTKANARDQVLRELGRLLEKYKDEEVSITICGHSLGAALATLNATDIVANGYNRPKSRPDKSCPVTAFVFASPRVGDSDFKKLLSILEDMRVLRTRNLPDVIPIYPPIGYSEVGDELPIDTRKSQYMKSPGNLATFHCLEAYLHGVAGTQGTAKADLFRLDVKRAIGLVNKSVDGLKDECMVPGKWRVLKNKGMVQQDDGSWKLLDHEIDDNEDLDF >fgenesh2_kg.7__2438__AT4G18540.1 pep chromosome:v.1.0:7:10751383:10753200:1 gene:fgenesh2_kg.7__2438__AT4G18540.1 transcript:fgenesh2_kg.7__2438__AT4G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENASTVRFSSCRGVAFEIKPHANPFAVVTTDQNRYANAEREGTSSRFRLPWDFMRNASKVFPTSIQHSMSRASSHFCDLDSDNEEEEEKDDIFYLEEGGIKEGNEEHSETDEKAILASSASKHSEKPQQPPVPKKRASRLSIILLDQGLFTVYKRLFVLSLFLNVLALVLAATGNFVYARNRAALFSIANILALTLCRSEAFLRIVFYLTVKLLGNSVVPLRIKIAVTSLLQSLGGIHSGCGISSIAWLIYALVLTLKDRDNTSTAIIVVASTILSLLCLTSAAAFAGWTALGLVWAFIILTISYDPKSRSYTDNLGSKLIKTQEFWFTLTITVAILLPWLTVRRVPVDVSSLSGHASLIKFRGGVKAGILGRISPSPLSEWHAFGIISDGKTSHMMLAGAVGDFTKSLVSKPPTHLWVRTVNFAGLPYLVNLYDKVLLVATGSGICVFLSFLMQQSKAEIVNRIKDYPHQDRIIVHDTAILGRPNVSEMSVEASKKFGAQVVIVTSNPEGSRDVVNACKASGVPAFGPIWDS >fgenesh2_kg.7__2439__AT4G18530.1 pep chromosome:v.1.0:7:10754110:10757309:-1 gene:fgenesh2_kg.7__2439__AT4G18530.1 transcript:fgenesh2_kg.7__2439__AT4G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MCR1] GSMNRSCLCSVLITTALICGAYFIGNAYLAKDFKEKLLKWEITDKMHNITDKMQNATSTSTCQRRPNHSMSLLAMAVGIKQKELVNKVIQKIFAFLCQSMIKIAVISVSSSRFREYEYIFLWDEDLGVGYLSIVKEEGLQISQPALDTSKSEVHHPITARRKKSKFHRRMYKYKGSGRCDDHSTNPPCIGWVEMMAPVFSRAAWRCSWYMIQNDLIHAWGLDTQLGYCAQGDRKKNVGVVDAEYIIHYGLPTLGVVETASSSLRNETDPKSTESSESREVDNRPEVRMKSFVEMKRFKERWKKAVRDDRCWVDPY >fgenesh2_kg.7__2441__AT4G18510.1 pep chromosome:v.1.0:7:10768724:10769303:-1 gene:fgenesh2_kg.7__2441__AT4G18510.1 transcript:fgenesh2_kg.7__2441__AT4G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSFSLCFLLVLLLSSIAAGSRPLRRAPVGVKVRGLSPSIEATSPTASDDQAAGSSSSHGKSPERLSPGGPDPQHH >fgenesh2_kg.7__2442__AT4G18501.1 pep chromosome:v.1.0:7:10776232:10776725:-1 gene:fgenesh2_kg.7__2442__AT4G18501.1 transcript:fgenesh2_kg.7__2442__AT4G18501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYSKPQHDNKENISLSPSEMVTISVKPLDSSSIDKDKTQIRIRRKRSRKPLQDITNLFVSSSPLSSSFLIRHIPSSPSLSLDPKCMKRRSGLSLKPATSSSTFSCRNFR >fgenesh2_kg.7__2443__AT4G18490.1 pep chromosome:v.1.0:7:10777671:10782342:-1 gene:fgenesh2_kg.7__2443__AT4G18490.1 transcript:fgenesh2_kg.7__2443__AT4G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAKRSSTDTQEKDLMLDKDMENDTWNFKSMTDDDPMDFGFDSPAKTKKNAFKLDMGFDLDGDFGSSFKMDMPDFDFSSPAKKTTKTKESSDDKPSGNSKQKKNPFAFSYDFDALDDFDLGSSPPKKGSKTTTKTMDCKEISASRKVDKSDDLDFGLDLPITRQAPSKANTDVQAKASAEKENQNSKTTDTIVVNKSTHSSQAALESMGDFEAVESPQGSRKKTSQTHTLCVQPQSVDTSPLKTSCSMVEEMDEPCPSNETVAPSPLHASEIAHTAVNRETSPDIHELCRSGTKEDCPRDPENANKKMISTMESSYEKIEQTSPSISSQLCSDKIEHQQEEMSTDTQAELQDNTKGALCDPDAGHSLTTLSGKISPGTRPSQTAKVQDLSEKLPLDPSHSMPGLNNLRAMQNKDSGLIRSRFFNKPEKPESHVLESSPIQTEIQPVTRENIGSSLNPTNDRSSSHEKIIHKAHSNAKTVENVAGQMDHLKLQAKNTTREKSILQINISSKLDASSLTQKLSKHLSSGAESLQKPKMISLERPKLGNITADLRAVKTQRNVEELTHRAVQGKVRFTNRSVIIIIIRRRSFLCQESRIDNSTTKEPVKESPQTKSHYQNMNMANLEIPITENADNIEKAEAYTKELDNVSVYKYKQSPLLDHEHSQYSRTFICNILKKKHEEAKELLVRAVVNNNKLLMLNHPLYEDQISFS >fgenesh2_kg.7__2447__AT4G18470.1 pep chromosome:v.1.0:7:10793863:10796562:-1 gene:fgenesh2_kg.7__2447__AT4G18470.1 transcript:fgenesh2_kg.7__2447__AT4G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKETKANNTSRVMSSYGGGLEANTLAMLDSTGAKDNRDANEDRLQYLEAVRSASLVPENGVPPTNKMYQAIFRILRFGKTLELITASFQLLTQLHQRFPWVYVSDSSDQLEIVDEAWSPFNFGSDVDSDEKDISVRSLFFQQLIQNMNKRVSEPEESNLKTLGNMFLFKYLAHVLKLDFTPRNQVYEETKNWSLLKESSLNLLLASRKVNFKLLMKDYLSTMCAPIDADEKSISLVELHKDTLSAMKELLVMIIELDSSKKKADLEGITSRGDGVRTPAMEIILDELTYNGYLLSNFLQVFDDPKWKLEIILQYLTKYIPKPSVRTRRTTVSQAEDSKTLNGILKTFSNGLNAKNITKKIGPDIVQILIGHAFLARLTFSDPNEEDSITEICNRIISAFTSLKRVDQKIEILPFGKEVLFTAGMVLKAKA >fgenesh2_kg.7__2449__AT4G18440.1 pep chromosome:v.1.0:7:10803480:10806204:1 gene:fgenesh2_kg.7__2449__AT4G18440.1 transcript:fgenesh2_kg.7__2449__AT4G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate lyase [Source:UniProtKB/TrEMBL;Acc:D7MCS1] MELNFSSMALTNPKIPSFGFTPTGIFLNPSKSVRLASHHRLPRVSCSVSTTDSPKLVTSRKVVAMAGVSSRDLEMSNLTALSPLDGRYWGKVKDLASSLSEFGLIYFRVFVEIKWLLKLSNIPEVTEVPSFSKEAQSYLQGIIDGFSIDDALEVKNIERITNHDVKAVEYFLKQKCESQPEIAKVLEFFHFACTSEDINNLSHALMLQEALSSVILPSMDELIKSISLMAKNFAYVPMLSRTHGQPATPTTLGKEMAIFAVRLSEERRYLSETKIKGKFAGAVGNYNAHISAYSNIDWPHVAEDFVTSLGLTFNPYVTQIEPHDYMARLFNNISQFNNILIDFDRDIWSYISMGYFKQASTTKAGEIGSSTMPHKVNPIDFENSEGNLGKANAELTFLSMKLPISRMQRDLTDSTVLRNMGGALGHSLLAYKSAIQGIGKLQVNEARLKEDLDDNWEVLAEPIQTVMRRYGVPEAYEKLKELTRGKAVNEESIRTFIKGLELPAEAKDQLLELTPHTYVGAAAALALAVDEALHLGH >fgenesh2_kg.7__244__AT4G38040.1 pep chromosome:v.1.0:7:963654:965742:-1 gene:fgenesh2_kg.7__244__AT4G38040.1 transcript:fgenesh2_kg.7__244__AT4G38040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7M9K9] MTIVKPSQFSFSGGGSSSLCSLKGSLLTVAILTFGSLFYLSLNSMRTSPPSPVIVVTPIHVPQTFVNEDKTDNDDGAAPTTEAETYSDVYHSPEAFRLNYAEMEKRFKVYIYPDGDPNTFYQTPRKVTGKYASEGYFFQNIRESRFRTLDPEEADLFFIPISCHKMRGKGTSYENMTVIVQNYVDGLIAKYPYWNRTLGADHFFVTCHDVGVRAFEGSLLLIKNTIRVVCSPSYNVGFIPHKDVALPQVLQPFALPAGGNDVENRTTLGFWAGHRNSKIRVILARVWENDTELDISNNRINRATGHLVYQKRFYRTKFCICPGGSQVNSARITDSIHYGCIPVILSDYYDLPFNDILNWRKFAVVLREQDVYNLKQILKNIPHSEFISLHNNLVKVQKHFQWNSPPVKFDAFHMIMYELWLRHHVIKY >fgenesh2_kg.7__2451__AT4G18430.1 pep chromosome:v.1.0:7:10807103:10809490:1 gene:fgenesh2_kg.7__2451__AT4G18430.1 transcript:fgenesh2_kg.7__2451__AT4G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRADDDYDYLFKLVLIGDSGVGKSNLLSRFTRNEFSIESKSTIGVEFATRSVHVDEKIIKAQLWDTAGQERYRAITSAYYRGAVGALLVYDITRHITFENVERWLKELRDHTDANVVIMLVGNKADLRHLRAVPTEEARSFSERENMFFMETSALDATNVEQAFTHVLTQIYRVMSRKALDGTGDPMSLPKGQTIDIGNKDDVTAVKSSGCCSG >fgenesh2_kg.7__2452__AT4G18400.1 pep chromosome:v.1.0:7:10845797:10847214:1 gene:fgenesh2_kg.7__2452__AT4G18400.1 transcript:fgenesh2_kg.7__2452__AT4G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEKRTSPEPPLPSPPESSPQPKDPPPESSTSPARFDPSRMIGIIKRKALIKDLAAAYHAECLALCRELLELQKRKDEPFLDTKATEDMRKETVRSSSKRAKKKR >fgenesh2_kg.7__2455__AT4G18390.1 pep chromosome:v.1.0:7:10854643:10856402:1 gene:fgenesh2_kg.7__2455__AT4G18390.1 transcript:fgenesh2_kg.7__2455__AT4G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDLMKNNNGDVVDSGNNNRLSRWHHNSSRIIRVSRASGGKDRHSKVLTSKGPRDRRVRLSVSTALQFYDLQDRLGYDQPSKAVEWLIKAAEDSISELPSLNNTNFPTDDENHQNQTLARTVAAANSLSKSACSSNSDTSKNSSGLSLSRSELRDKARERARERTAKETKERDHNHTSSFTDLLNSGSDPVNSNRQWMASAPSSSPMEYFSSGLILGSGQQTHFPISTNSHPFSSISDHHHHHHHPHQEFSFVPDHLISPAGSNGGAFNLDFNMSTPSGAGAAVSAASGGGFSGFNRGTLQSNSTNHHQHQSFLANLQRFSSSENGGGPQFLFGALPAENHHHNHQFQLYYENGCRNSSDHKGKGKN >fgenesh2_kg.7__2458__AT4G18375.2 pep chromosome:v.1.0:7:10870765:10873107:-1 gene:fgenesh2_kg.7__2458__AT4G18375.2 transcript:fgenesh2_kg.7__2458__AT4G18375.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MCT4] MVERKKRKQNQRNNNESNRNQKRRISNNGEKINRDELVIYRILCPIDVVGGVIGKSGKVINAIRHNTKAKIKVFDQLHGCSQRVITIYCSVKEKKEEEIDFMKSETEPLCCAQDALLKVYDAIVASDEENIKIDRDDKKECLLLVPSSQSFSLIGKAGENIKRIRSTTRASVKVVSKDVSDPSHVCAMDYDNIVVISGEPESVKKALFAVSAILYKINPREHIPLDSTGQDVPASIIVSSDLSNSVYPQTGFYSNQDHILQQRAGVPSYFNALSVSNFQGYAETAANPMPVFASSLPVTHGFGGSSRSKELVLKVLCPLSNITRVIGKGGSTIKRIRETSGSCIEVNDSRTKCGDDECVIIVTATESPDDMKSMAVEAVLLLQEYINDEDAEKVKMQLFVSSKDIGCVIGKSGSVINEIRKRTNANICISKGKKDDLVEVAGEISSVRNAIIQIVLRLREDVLGDRDSVAASRKPPARTDNYSLFSGSSNAGYTLPSFMSSASSSGFHGYGSFPAGDNVFGSVSPYSFGRLPSSSALEILIPANAMSKVMGKGGGNLENIRRISGAMIEISDSKTSQGDHIALLSGTLEQMRCAENLVQAFIMST >fgenesh2_kg.7__2460__AT4G18370.1 pep chromosome:v.1.0:7:10874682:10876495:-1 gene:fgenesh2_kg.7__2460__AT4G18370.1 transcript:fgenesh2_kg.7__2460__AT4G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASSKAFSSIFYALTPFNPSNYVLACSGSNHVNVVDRRRRIVIFGSSLALASSLIGSNQKRLPMESAIALEQLKEKEDELEEEEERNVNLFQKTSPSVVYIEAIELPKTSSGEFSDEENAKIEGTGSGFVWDKLGHIVTNYHVIAKLATDQFGLQRCKVSLVDATGTRFSKQGKIVGLDPDNDLAVLKIETEGRELKPVVLGTSNDLRVGQSCFAIGNPYGYENTLTIGVVSGLGREIPSPNGKSIREAIQTDADINSGNSGGPLLDSYGHTIGVNTATFTRKGSGMSSGVNFAIPINTAVRTVPYLIVYGTAYRDRF >fgenesh2_kg.7__2463__AT4G18350.1 pep chromosome:v.1.0:7:10897613:10899394:-1 gene:fgenesh2_kg.7__2463__AT4G18350.1 transcript:fgenesh2_kg.7__2463__AT4G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nine-cis-epoxycarotenoid dioxygenase 2 [Source:UniProtKB/TrEMBL;Acc:D7MCT9] MSMCGTKTWPQAQIDLGFRPIKRQPKIIKCTVQIDVTELTQKRQLFTPRITATPPQHNSLRLNIFQKAAAIAIDAAERALISREQDTPLPKTADPRIQIAGNYSPVPESPVRRNLTVEGTIPDCIDGVYIRNGANPMFEPTAGHHLFDGDGMVHAVKITNGSASYACRFTKTERLVQEKRLGRPVFPKAIGELHGHSGIARLMLFYARGLCGLINNQNGVGVANAGLVYFNNRLLAMSEDDFPYQLKITQTGDLQTVGRYDFDNQLKSAMIAHPKLDPVTKELHALSYDVVKKPYLKYFRFSPDGVKSPELEIPLETPTMIHDFAITENFVVIPDQQVVFKLGEMISGKSPVVFDGEKVSRLGIMPKDATEVSQIIWVNSPETFCFHLWNAWESPETEEIVVIGSCMSPADSIFNERDESLRSVLSEIRINLRTRESTRRALLVNEDVNLEIGMVNRNRLGRKTRFAFLAIADPWPKVSGFAKVDLCTGEMKKYIYGGEKYGGEPFFLPGSSGNGEENEDDGYIFCHVHDEEKKTSELQIINAVNLNLEATIKLPSRVPYGFHGTFVDSSELVDQL >fgenesh2_kg.7__2464__AT4G18340.1 pep chromosome:v.1.0:7:10919041:10921081:1 gene:fgenesh2_kg.7__2464__AT4G18340.1 transcript:fgenesh2_kg.7__2464__AT4G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7MCU0] MTPRTFTRLSNLIHIFLLLSLVFSGNILERVTSLGINYGQVGNNLPSPDKVINLLRSLRITKTRIYDTNPQILSAFANSNIEIIVTIENQVLPLLQDPQQAIQWVNSRIRPYVPATRITGIMVGNELFTDDDSSLIGYMMPAMINIHKALVQLGLDRYIQVSSPSSLAVLGESYPPSAGSFKPEVSSVMQQLLEFLEATKSPFWINAYPYFAYKDNPQEIPIDYVLFNRNIGMTDPNTRLHYDNMMYAQVDAVAFAAAKLGYRNIEVRVAETGWPSKGDVGEIGASPMNAATYNRNLMMRQFAGEGTPARRNARLDVYIFALFNEDMKPGPTSEKNYGIFRPDGSLAYNLGFSTMSTTTANSESVTYSSSATKAKRSLEYWTILILAMVQVVMLRLL >fgenesh2_kg.7__2465__AT4G18335.1 pep chromosome:v.1.0:7:10921736:10922215:-1 gene:fgenesh2_kg.7__2465__AT4G18335.1 transcript:fgenesh2_kg.7__2465__AT4G18335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVLMKQSLLKSFIVSLILTLVFLISPSSSSSNQETRVSSTQKTEAYLNGNESPHQLVYIKKTTPFILNRRLQRNHHHRRRRYDHHYYFYYRHTLRRRADYFKMMAKKSNGLNRPVRPDTFSVMLPKGFVPPSGSSPCHNQNPNSATTLFCDLSTQP >fgenesh2_kg.7__2467__AT4G18330.2 pep chromosome:v.1.0:7:10922399:10924621:-1 gene:fgenesh2_kg.7__2467__AT4G18330.2 transcript:fgenesh2_kg.7__2467__AT4G18330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQSVLISRNKGLAEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTIVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDKCPRPMCYKSYGSGKEDNPNCDVPGFEKCKMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANETCPQPQTAEHLASVDMMRLKHIIILQNKIDLINEKAATEQHEAIQKFITNTNAEDAPIVPVSAQLKYNIDVVSEYIVKKIPIPVRDFVSPPKMIVIRSFDVNKPGSAGHEMKGGVAGGSILQGVLKVNQLIEIRPGITGKDELGNSKCTPIYSRITSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEMGTLPDVFVELEVSFQLLTRLIGVRTKEKEKQMKVSKLTKEEILMVNIGSMSTGAKVIGVKKDMVKLQLTSPVCTTIGEKVALSRRVDRHWRLIGKGQILAGTTIDVPPPPF >fgenesh2_kg.7__2468__AT4G18300.1 pep chromosome:v.1.0:7:10927934:10930069:-1 gene:fgenesh2_kg.7__2468__AT4G18300.1 transcript:fgenesh2_kg.7__2468__AT4G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eIF4-gamma/eIF5/eIF2-epsilon domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MCU4] MGAQKKPAVARVRDDSEDLTRQRLQAIVLADSFTTQFRPITLERPKVLLPLVNIPMIDYTLAWLESAGIEDIFVFCCVHSSQVIDYLEKSEWYSRSNPNLLVRTIVSPKSTSVGDALRYIYEQQTETSQIQGDFVLVSGDTVSNMPLADLIQEHRDRKKKDEKAIMTMVIKKQSRLGLGSDQLFIAVDPLTKQLVRYEEGNARIGDVCLDKSLLDSTVLLCNDMQDCYIDICSPEVLSLFEDNFDYQHLRRHFVNGLLVDDIMGYKIFTHEIQSSFYATRIENLRSYDMVSKNIIQRWTYPFVPDINFSGKCSLMLGRQGIYRASDAVQSRSADIGASTVIGYGTRIGNGGKIFNSVIGNGCSIGSNVVIQGSYIWNNVTIEDGCEIRNAIVCDGVKIRAGAVLQPGVVLSFNVVVGRDFVVPTYSKVSLLQQPTTEDSDEEMEYAGSSSGTADLLSGVHNLQIESKASELGPDGTGYIWEVCDSAHDEEWKHSVVPIPMDKLADITRAMDDDDIEDESVVPPAGELKSDTDSINTDANDDYGYFEREVEETFLMAIIKDDVDHVIRLINSRRFAYNMASADCAGAVFYSMMRLAVNTPHNSASELYRNATTIITKWKGLLGFYLKQIDEQIEVIMKFEEMCEESEELGPLFAQIVHFLYDKDVVQEGAILRWGEEKAGADECDKVYLNQCEAFIQWLKEASEEEEEEEDS >fgenesh2_kg.7__2470__AT4G18282.1 pep chromosome:v.1.0:7:10948871:10949035:-1 gene:fgenesh2_kg.7__2470__AT4G18282.1 transcript:fgenesh2_kg.7__2470__AT4G18282.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MCU6] MQLQLHFTILRFNWLSYIGSTLVLDLLMEHLLSFHPRFVCGCGLYEVQILITVLH >fgenesh2_kg.7__2473__AT4G18260.1 pep chromosome:v.1.0:7:10960140:10963672:1 gene:fgenesh2_kg.7__2473__AT4G18260.1 transcript:fgenesh2_kg.7__2473__AT4G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISKSLRTVLISILFYLTSAPPFVICSSLEVTIDNHSPSNLNNKGSQEQDKLSHQMINSIKLHGILLWVSMGFLMPLGIIFIRMTNKAHANGIKVKVFFYLHVIFQILAVVLATIGAILSLRTLENSFDNNHQRLGLALYAAMWLQFLTGIFKPSRGSKRRLRWFLLHWILGTIVSIVGIINIYTGIRAYQKKTTSSRYSSLWTILFTAQVTCLVFFYLYQDKWEHFQKQRVVLDESDQQNNNTNGSNNNQSIQVVTRNDHEQKVMVPQPCRKSNALVNYNTDFFEYQISYIYLENEREEKKMVGEEETKKRVVTESLGWLTESSIMPKKHRVIEGVGPSSIMELKAQLYKSQEEAKHTKDFTGSDAQYHRAKERIAAKDSFSAKNSGVESRNLKDKLELKAVKDGAVSYAALEKKAQLYDKLVRGELSDEEGEEKYCVDFSKKGIQHEDPKSSRTYTSSISAPPEDFKQDGEDDGLLFSTKFAGLGHAIGTADVSQHVRMVREVHEEVNQAREKATELKQRRQEQATNRREKLKQAYLRKQLEKLKAQQQQDEQKT >fgenesh2_kg.7__2474__AT4G18250.1 pep chromosome:v.1.0:7:10967445:10974290:1 gene:fgenesh2_kg.7__2474__AT4G18250.1 transcript:fgenesh2_kg.7__2474__AT4G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MCV0] VLSMSILTIENKCDYTVWPVIFSWKLPISPNGFALTSGEARTVHAESSWYGLISGRTLCSTNSRGNFSCATGDCQSGNIECPDEYEWSAVTYVYLRIDDGGINSYTISLEYGYNIPLMVVPSHSSGTCISSGCMVDLKKTCPDDLELFTGEKQIGCISACRKYGTWEICCTHDFSSKQTCKPTQYTQNFEQACPSAYSYAYNNNNSTFICPNSTDFVITILTIENKCSNTIWPVIFSWRSQVSTTGFTLKTGEARAIEAPSSWYGLISARTLCSNSTGNFSCATGDCESGEIECPGAYSWSPVTYIVFRIDDGGINSYIISLEYGYNLPLTVVPSSPACSSSGCMVDLNKTCPNDLKKFSKGDLVACNSACQESKSQENCCTHYFKSKQTCKPTQYVQNFDRACPFAYSYPFHGNNSTFTCTNSTDYMITFCPSSIPNTTRSSMAPLPEPKHNSLRKLKPILGGSAALAVLIILVVIAAVVRAKNAKRKNELNDENIEAVVMLKRYSFEKVKKMTNSFDHVIGKGGFGTVYKGKLPDASGRDIALKILKESKGNGEEFINELVSMSRASHVNIVSLFGFCYEGSQRAIIYEFMPNGSLDKFISENMSTKIDWKTLYNIAVGVARGLEYLHNSCVSKIVHFDIKPQNILIDEDFCPKISDFGLAKLCKKKESIISMLDARGTVGYIAPEMFSKNYGGVSHKSDVYSYGMVVLEMIGATKREEVETSTTNKSSMYFPDWVYEDLDRKETMRLLEDHRIEDEEEKIVKKMTLVGLWCIQTNPLDRPPMRKVVEMLEGSLEALQVPPKPLLNLHVVTDWETAEDSQGTSTLSTQSLLNRKTLSNRHYTLSVSKEVA >fgenesh2_kg.7__2476__AT4G18230.1 pep chromosome:v.1.0:7:10979802:10981477:1 gene:fgenesh2_kg.7__2476__AT4G18230.1 transcript:fgenesh2_kg.7__2476__AT4G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGCSCIYSRMASRFSIGLLMILAIVLLMVRVLYVIYRCGKPLPKGVSQSFTTLIVLGSGGHTAEMLSLLSVLRKDRFTPRFYIAAATDNMSLQKARSFEDSVAEKPAVKEASSQFMQIYRSREVGQSYVTSVWTTIVAIVHALWLMIRIRPQVILCNGPGTCIPLCVIAFVFKVLGIRWSSIFYVESVARVKKLSLSGVLLYKLRIADQFFVQWPQLQNKYPRAHYVGCLM >fgenesh2_kg.7__2479__AT4G18210.1 pep chromosome:v.1.0:7:10984083:10985764:-1 gene:fgenesh2_kg.7__2479__AT4G18210.1 transcript:fgenesh2_kg.7__2479__AT4G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPUP10 [Source:UniProtKB/TrEMBL;Acc:D7MCV3] MTADQELQVIVQQGKEPNPTVQDERNSVSSSQTEVSRSNTYKRWLRVSLYTFFVISGQTVATILGRLYYDNGGNSKWLATVVQLVGFPVLLPYYLMSIKTHATTHRDGKRTSPRNRVLVYVVLGLLVGADCYLYSIGLLYLPVSTYSLICASQLAFNAFFSYFLNSQKLTPIILNSLFLLTISSTLLAFNNEESNSTKVTKGEYVKGFICTVAASAGYGLVLSLQQLAFLKVLKRQTFSEVMDMIIYVSLVASGVSVVGLFASSEWKTLSSEMENYKPGKVSYIMNLVWTAVTWQVFSIGGTGLIFELSSLFSNAISVLGLPVVPILAVIIFHDKMNGLKVISMILAIWGFTSYVYQQYLDDKNLKKSHGITTTKSPDPPEAEESSWQSK >fgenesh2_kg.7__247__AT4G38010.1 pep chromosome:v.1.0:7:973553:975232:1 gene:fgenesh2_kg.7__247__AT4G38010.1 transcript:fgenesh2_kg.7__247__AT4G38010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M9L2] MYLTEKPVLLELISRCSSLRVFKQIQTQLISRDILRDELFINKVVTFLGKSADFATYSSVILHSIRSVLSSFSYNTLLSSYAVCDKPRMTIFVYRVFVSNGFSPDMFTFPPVFKACGKFSGIREGKQIHGTVTKMGFYDDIYVQNSLVHFYGVCGESRNACKVFDQMPVRDVVSWTGIITGFTRTGLYKEALDTFSKMDVEPNLATYVCALVSSGRVGCLSLGKGIHGLILKRASLISLETGNALIDMYVKCEQLSDAMTVFGELQKKDKVSWNSMISGLVHCERSNEAIELFSMMQTSSGIKPDGHILTSVLSACASLGAVDYGRWVHEYVLSAGIKWDTHIGTAIVDMYAKCGYIETALKIFNGIRRKNVFTWNALLGGLAIHGHGHESLRYFEEMVKLGFKPNLVTFLAILNACCHTGLVDEGRRYFHKMKTREYNLSPKLEHYGCLIDLFCRAGLLDEALELIKAMPVKPDVRICGAVLSACKSRGTLMELPKEILDSFLEMEFEDSGVYVLLSNIFAANRRWDDVSRIRRLMKVKGISKVPGSSSIEKFMTLDQ >fgenesh2_kg.7__2483__AT4G18170.1 pep chromosome:v.1.0:7:11003793:11005263:-1 gene:fgenesh2_kg.7__2483__AT4G18170.1 transcript:fgenesh2_kg.7__2483__AT4G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 28 [Source:UniProtKB/TrEMBL;Acc:D7MCV8] MSNETRDLYNYQYPSSFSLHEMMNLPTSNPSSYGNISSQNGLYPSTYSFTDCLQSSPGAYESLLQKSFGLSPSSSEVFNSSIDQEPNRDVTNDVINGGACNETETRVSASNSSSSEADHPGEDSGKSRRKRELVGEEDQSSKKVGKTKKNEVKKQREPRVSFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQRCNVKKRVERSFQDPTVVITTYEGQHNHPIPTNLRGSSAAAAMFSADLLTPRSFAHDMFRTAAYTNGGSAAAAALDYGYGQSGYGSVNANPSSHQEYHQGGEYELLREIFPSIFFKQEP >fgenesh2_kg.7__2486__AT4G18140.1 pep chromosome:v.1.0:7:11034112:11036928:1 gene:fgenesh2_kg.7__2486__AT4G18140.1 transcript:fgenesh2_kg.7__2486__AT4G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPFLKMKSKISKDSFREKRVLGVCKKLPHKDLKNSCFDSKKSERMEHLVTASQNCHNNEEQDLGLDSSTRDVETNEPEDLLVEDTSNNASNMDTIFSPVLDDELYSETGRVFVEGNNVQWEMPRWGADESSSNNQSCNDSDYFLSDVLIASLPFYESGNVDFFTEISPLPRCIFPEPSVLLDVAEQYMVLPYLEDGSASSDDVKSYEDSGGNVDKHDLFMAFNWSRSQNLEAADAHAEAEQTEDFDPQLFLRNQPELADVVSNYFPDMQQPRESPKRKAVTLVLDLDVTLQKLWSIRLWEPAEIQTFPSESLSICKRTLFT >fgenesh2_kg.7__2489__AT4G18120.1 pep chromosome:v.1.0:7:11047074:11051272:-1 gene:fgenesh2_kg.7__2489__AT4G18120.1 transcript:fgenesh2_kg.7__2489__AT4G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPNGTFSRSDHFHASSDASLFSSSLPVLQHQNLNPRASYHQSVDEIASGLNQYNGGTGKMLDDGESHPIGSMLPDDEEELFSGLMDDLNLSSLPATLDDLEDYDLFGSGGGLELETDPYDSLNKGFSRIGFADSNVDNVIPQNIFQNGAGSIAGEHPYGEHPSRTLFVRNINSNVEDSELQALFEQYGDIRTLYTACKHRGFVMISYHDIRKLIKRRKLDIHFSIPKDNPSEKDVNQGTLVVFNLAPSVSNRDLENIFGAYGEIKEVRETPNKRHHKFVEFFDVRSADAALKALNRTDIAGKRIKLEHSRPGGARRNMMLQMNPELEHDDYHSYPNHVESPLASSPLGNKWYNSPVDHPLQSFSKSPVFGNLSPTKNIRYPEFSSIMHSQEANLIKAASVNNGQEGRRFSHLDHLFSSSSYNSASHKASTFQQPQSFGSVSSFGSLNSHPSHVETLSGSEFLWGSPSSSAWPVNPFSSNVKNNRFPYSAQNGSLHQLHHVGSAPSGFFPRSPETSSMAFRGTSGHMNSQRNLRETSSPSFKMLSSPRFSQLFMGNDSYHLPVPTMASIDDPFEVGRNQQFNSNVNQVDIKIQFQLDLSKIMRGEDPRTTLMIKNIPNKYTRNMLLAAIDEKNSGTYDFLYLPIDFKNKCNVGYAFINMVSPKFIIALYEVFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDRRCQPIVFDGSESKYPIIRENSQLEASNSTVSHPVVSESTHQS >fgenesh2_kg.7__248__AT4G38000.1 pep chromosome:v.1.0:7:975451:976369:-1 gene:fgenesh2_kg.7__248__AT4G38000.1 transcript:fgenesh2_kg.7__248__AT4G38000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M9L3] MMTSSHQSNTTGFKPRRIKTTAKPPRQINNKEPSPATQPVLKCPRCDSVNTKFCYYNNYSLSQPRHYCKNCRRYWTRGGALRNVPIGGSTRNKNKPCSLHVISSPHLFSNVTSSSSHELVRNHPSTAMMMRSSGGFSGYMFPLDPNYNLASSSIESLSSFNQDLHQKLQQQRLVTSMFLQDSLPVNQKPDMFQNVELIPPSTATTDWVFDRSATGGGTTSGNHEDNGDGEGNLGNWYHNVNNNALL >fgenesh2_kg.7__2491__AT4G18100.1 pep chromosome:v.1.0:7:11052518:11053574:1 gene:fgenesh2_kg.7__2491__AT4G18100.1 transcript:fgenesh2_kg.7__2491__AT4G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L32 [Source:UniProtKB/TrEMBL;Acc:D7MCW8] MAVPLLTKKVVKKRSAKFIRPQSDRRITVKESWRRPKGIDSRVRRKFKGVTLMPNVGYGSDKKTRHYLPNGFKKFVVHNTSELELLMMHNRTYCAEIAHNVSTKKRKSIVERASQLDIVVTNRLARLRSQEDE >fgenesh2_kg.7__2498__AT4G18050.1 pep chromosome:v.1.0:7:11063225:11068376:-1 gene:fgenesh2_kg.7__2498__AT4G18050.1 transcript:fgenesh2_kg.7__2498__AT4G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:p-glycoprotein 9 [Source:UniProtKB/TrEMBL;Acc:D7MCX2] MEEKSPKKNDGGNQKVSFFKLFSFADKTDVVLMTVGTIAAMGNGLTQPLMTLIFGQLINAFGTTDPDHMVREVWKVAVKFIYLAVYSGVVAFLQVSCWMVTGERQSATIRGLYLKTILRQDIGYFDTETNTGEVIGRMSGDTILIQDAMGEKVGKFIQLAMTFFGGFVIAFSKGWQLTLVLCSCIPLIVIAGAAMSLIMSKMAGRGQVAYAEAGNVVEQTVGAIRTVVAFTGEKQATEKYESKLEIAYKTVVQQGLISGLGLGTMLAVIFCSYGLAVWYGAKLIMEKGYNGGQVINIIFAVLTGGMSLGQTSPSLNAFAAGRAAAFKMFETIKRTPKIDAYDMSGSVLEDIRGDIELKDVYFRYPARPDVQIFAGFSLFVSNGTTVALVGQSGSGKSTVISLIERFYDPESGQVLIDNIDLKKLQLKWIRSKIGLVSQEPVLFATTIRENIAYGKEDATDQEIRTAIELANAAKFIDKLPQGLDTMVGEHGTQMSGGQKQRLAIARAILKNPKILLLDEATSALDAESERIVQDALVNLMSNRTTVVVAHRLTTIRTADVIAVVHQGKIVEKGTHDDMIQNPEGAYSQLVRLQEGSKEEANESERPETSLDVERSGSHRLSSAMRRSVSRNSSSSRHSFSLASNIFFPGAVNINQTDEIEDEEKTVRHKKVSLKRLARLNKPEIPVLLLGSIAAMVHGTLFPIFGLLLSSSINMFYEPAKILKKDSHFWALIYIALGLANFFMIPIQNYFFGIAGGKLIKRIRSMCFDKVVHQEISWFDDTANSSVRSLVGDALALIVQNIATVTTGLIIAFTANWMLALIVLALSPFIVIQGYAQTKFLTGFSADAKAMYEEASQVANDAVSSIRTVASFCAEGKVMDLYQQKCDGPKKNGVRLGLLSGAGFGFSFFFLYCINCVCFVSGAGLIQIGKATFGEVFKVFFALTIMAIGVSQTSAMAPDTNKAKDSAASIFDILDSKPKIDSSSDEGTTLQNVHGDIEFRHVSFRYPMRPDVQIFRDLCLTIPSGKTVALVGESGSGKSTVISMIERFYNPDSGKILIDQVEIQTFKLSWLRQQMGLVSQEPILFNETIRSNIAYGKTGGATEEEIIAAAQAANAHNFISSLPQGYDTSVGERGVQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLTTIKNADVIAVVKNGVIAEKGRHETLMKISGGAYASLVTLHMSAN >fgenesh2_kg.7__2499__AT4G18040.1 pep chromosome:v.1.0:7:11075663:11077328:1 gene:fgenesh2_kg.7__2499__AT4G18040.1 transcript:fgenesh2_kg.7__2499__AT4G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDTPKSVVTEEANPNSIENPIDRYHEEGDDAEEGEIVGGDGDGNVDESSKSAVPQSHPLEHSWTFWFDNPSVKSKQTTWGSSLRPVFTFSTVEEFWSLYNNMKHPSKLAHGADFYCFKHNIEPKWEDPICANGGKWTMNFPKEKSDKSWLYTLLALIGEQFDHGDEICGAVVNIRGKQERISIWTKNASNEAAQVSIGKQWKEFLDYNNSIGFIIHEDAKKLDRNAKNAYTA >fgenesh2_kg.7__2500__AT4G18030.1 pep chromosome:v.1.0:7:11079997:11083500:1 gene:fgenesh2_kg.7__2500__AT4G18030.1 transcript:fgenesh2_kg.7__2500__AT4G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7MCX6] MGSKHNPPGNNRSRSTLSLLVVVGLCCFFYLLGAWQKSGFGKGDSIAMEITKQAQCTDIVTDLDFEPHHNTVKIPRKADPKPVSFKPCDVKLKDYTPCQEQDRAMKFPRENMIYRERHCPPDNEKLRCLVPAPKGYMTPFPWPKSRDYVHYANAPFKSLTVEKAGQNWVQFQGNVFKFPGGGTMFPQGADAYIEELASVIPIKDGSVRTALDTGCGVASWGAYMLKRNVLTMSFAPRDNHEAQVQFALERGVPAIIAVLGSILLPYPARAFDMAQCSRCLIPWTANEGTYLMEVDRVLRPGGYWVLSGPPINWKTWHKTWNRTKAELNAEQKRIEGIAESLCWEKKYEKGDIAIFRKKINDRSCDRSTPVNTCKRKDTDDIWYKEIETCVTPFPKVSSEEEVAGGKLKKFPERLFAVPPSISKGLINGVDEESYQEDINLWKKRVTAYKRINRLIGSTRYRNVMDMNAGLGGFAAALESPKSWVMNVNPTINKNTLSVVYERGLIGIYHDWCEGFSTYPRTYDFIHANGVFSLYQHSCKLEDILLETDRILRPEGIVIFRDEVDVLNDVRKIADGMRWDTKLMDHEDGPLVPEKILVATKQYWVAGDDGNSSPSSSSSDNSEEE >fgenesh2_kg.7__2503__AT4G18020.2 pep chromosome:v.1.0:7:11093150:11097338:1 gene:fgenesh2_kg.7__2503__AT4G18020.2 transcript:fgenesh2_kg.7__2503__AT4G18020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 2 [Source:UniProtKB/TrEMBL;Acc:D7MCX7] MVITANDLSKWENFPKGLKVLLLLHGGDSDRDGSSAAETRSKLESMDYIVTTFTDETEALSAVVKNRESFHVAIVEVNTRAENESFKFLEGAKDVLPTIMISTDHCITTTMKCIALGAVEFLQKPLSPEKLKNIWQHVVHKAFNDGGTNVSVSLKPVKESVVSMPHLDTDMTSDEKDPAPSTPQLKQISRLLGDCQENINFSMENVNSSIEKDNIEDQDIGESKSVDTTNHKLDDDKVVVKEERGDSEKEEEGETGNLISEKTDSVDIHKKEDETKPINKSSGIKNVSGNKTSRKKVDWTPELHKKFVQAVEQLGVDQAIPSRILELMKVGTLTRHNVASHLQKFRQHRKNILPKDDHNHRWIQSRENHRPNQHNYNGFQQQHRPVMAYPVWGLPGVYPPGAIPPLWPPPLQSIGQPPPWHWKPPYPTVSGNAWGCPVGPPVTGSYITPSNTTAGGFQYSNGAETGFKIMPATQPDEEMIDQVVKEAISKPWLPLPLGLKPPSADSVLAELSRQGISAVPSSSCLINGSHRLR >fgenesh2_kg.7__2507__AT4G17970.1 pep chromosome:v.1.0:7:11148432:11150750:-1 gene:fgenesh2_kg.7__2507__AT4G17970.1 transcript:fgenesh2_kg.7__2507__AT4G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKVHVGSIEMEEGLSKTKWMVLEPSEKIKRIPKKLWSVGKEDPRRVIHALKVGLSMTLVSLLYLMEPLFKGIGSNAIWAVMTVVVVLEFSAGATLCKGLNRGLGTLIAGSLAFFIEFVANDSGKVLRAIFIGTAVFVIGAAATYIRFIPYIKKNYDYGVVIFLLTFNLITVSSYRVDSVINIAHDRFYTIAIGCGICLFMSLLVFPIWSGEDLHKTTVGKLQGLSRSIEACVNEYFEEKEKEKTDSKDRIYEGYQAVLDSKSTDETLALYANWEPRHTLRCHRFPCQQYVKVGAVLRQFGYTVVALHGCLQTEIQTPRSVRALFKDPCVRLAGEVCKALTELADSISNHRHCSPEILSDHLHVALQDLNSAIKSQPKLFLGSNLHRNKHQNGSVSSNKHQNDTVSQRNNSNIGKDLNGDVSLQNTETGTRKVTETGARQGQNGAVSLSSFRTDTSALMEYRRSFKNSNSEMSAAGERRMLRPQLSKIAVMTSLEFSEALPFAAFASLLVEMVARLDNVIEEVEELGRIASFKEYDNTRDPTADDARCEKPANVTISVGAAE >fgenesh2_kg.7__250__AT4G37980.1 pep chromosome:v.1.0:7:981944:984100:-1 gene:fgenesh2_kg.7__250__AT4G37980.1 transcript:fgenesh2_kg.7__250__AT4G37980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elicitor-activated gene 3 [Source:UniProtKB/TrEMBL;Acc:D7M9L6] MGKVLEKKAFGLAVKDESGILSPFSFSRRETGEKDVRFKVLFCGICHTDLSMAKNEWGFTTYPLVPGHEIVGVVTEVGAKVTKYNAGDKVGVGYMAGSCRSCDSCSDGEENYCPKMVLTSGAKDYDDTMTHGGYSDHMVCAEDFIIRIPENLPLDGAAPLLCAGVTVYSPMKYHGLDKPGMHIGVVGLGGLGHVAVKFAKAMGTKVTVISTSERKRDEAVNRLGADAFLVSRDPKQMEDAVGTMDGIIDTVSATHPLLPLLGLLKNKGKLVMVGAPAEPLELPVMPLIFGRKTVVGSMVGGIKETQEMVDLAGKHNITADIELISADYVNTAMERLAKADVKYRFVIDVANTMKPTS >fgenesh2_kg.7__2510__AT4G17940.1 pep chromosome:v.1.0:7:11159857:11161081:-1 gene:fgenesh2_kg.7__2510__AT4G17940.1 transcript:fgenesh2_kg.7__2510__AT4G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLQNRFISGGSSRKMTPISRNNSVESLSSYGERFTGGKISIEVKANVGMRRVLSESDVIRSERMLKSVGSKPSPAKIPEDDEAEEEEIRFGDGWGSLISGGLPVEEKGFSGGGCGGGSGFSGGYGNGGGGYEDKSKIGDYYREMLKSNPNNSLLLMNYGKFLYEVEKDAERAEEYYGRAILENPGDGEALSMYGRLIWETKKDEKRAQGYFDQAVNASPNDCMVLGSYAHFMWEAEDDDDDEEELMAASPVMISAV >fgenesh2_kg.7__2515__AT4G17900.1 pep chromosome:v.1.0:7:11190894:11193312:-1 gene:fgenesh2_kg.7__2515__AT4G17900.1 transcript:fgenesh2_kg.7__2515__AT4G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7MDD4] MAIEDQENTIREIKPKNRRIMGAGGPEEEENRWPPWLKPLLKEQFFVHCKFHGDSHKSECNMYCLDCTNGPLCSLCLAHHKDHRTIQIRRSSYHDVIRVNEIQKYLDIAGIQTYVINSAKVVFLNERPQPRPGKGVTNTCKVCYRSLVDDSFRFCSLGCKIAGTSRGFEKGRENLLMETEDSSSSIAIGKNITNLQSFSPSTPPLTSNCRIVKRRKGIPHRSPMG >fgenesh2_kg.7__2520__AT4G17880.1 pep chromosome:v.1.0:7:11206909:11209135:1 gene:fgenesh2_kg.7__2520__AT4G17880.1 transcript:fgenesh2_kg.7__2520__AT4G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7MDD6] MSPTNVQLTDYHLNQSTNGTTNLWSTDDDASVMEAFIGGSDHSSLFPPLPPPPPPPVNEDNLQQRLQALIEGANESWTYAVFWQSSHDFAGEDDGVRTNNNNTTLLGWGDGYYKGEEEKSRKKKSNPASAAEQEHRKRVIRELNSLISGGGGGGGDEAGDEEVTDTEWFFLVSMTQSFVNGIGLPGQAFSNSNTIWLSGSNALAGSSCERARQGQIYGLQTMVCVATGNGVVELGSSEIIHQSSDLVDKVDTFFNGGGESGSWAFNLNPDQGENDPGLWISEPNNNGDESGLVAAPVMMNNGGNDSTSNSDSQPISKLCNGSSVENQVLKSGEMVRVKNGMENGFSGQSRFMEEDKRSPVSNNEEGMLSFTSVLPRPAKSGDSNHSDLEASVAKEAESNRFVVEPEKKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYSLRAVVPNVSKMDKASLLGDAISYINELKSKLQKAESDKEELQKQFDGMIKEAGNSKSSVKDRRCLNQESSVLIEMEVDVKIIGWDAMIRIQCSKRNHPGAKFMEALKELDLEVNHASLSVVNDLMIQQATVKMGNQFFTQDQLKVALMEKVGECP >fgenesh2_kg.7__2524__AT4G17830.1 pep chromosome:v.1.0:7:11238555:11241047:-1 gene:fgenesh2_kg.7__2524__AT4G17830.1 transcript:fgenesh2_kg.7__2524__AT4G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein [Source:UniProtKB/TrEMBL;Acc:D7MDE1] MASYSKALVEFIGSLDKDSYVSLLSKLIGESKFVQNNPPELIPQEDLIVKHVLESLRPYSTETGGGPLVINHVAYHSGRGNLIVEYPGSVPGKILSFVGMHMDVVTANPDDWEFDPFSLSIDGDKLRGRGTTDCLGHVALVTELMKRLGQTKPSLKSTVVAVFIASEENSSIPGVGVDMLVKDKLLDKLKSGPLYWIDTADKQPCVGTGGMIPWKIHFTGKLFHSGLAHKAINAMELAMEGLKEIQARFYRDFPPHPQEKVYGFATPSTMKPTQWSYPAGGINQIPGECTVSGDVRLTPFYEVKEVITKLQEYVDDINGNIEKLETRGPVSKYVLPDENLRGRLTLSFDEASAGVACNLDSPGFHVLCKATEEVVGHVKPYSITGTLPLIRDLKDEGFDVQTSGYGLMATYHAKNEYCLLTDMCQGFDVFVRIISQLEEQD >fgenesh2_kg.7__2525__AT4G17810.1 pep chromosome:v.1.0:7:11245301:11246117:-1 gene:fgenesh2_kg.7__2525__AT4G17810.1 transcript:fgenesh2_kg.7__2525__AT4G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7MDE2] MNGGAWMWNPNKIEELEDDDDSWEVKAFEQDTKGNISGTTWPPRSYTCNFCRREFRSAQALGGHMNVHRRDRASSRAHQGSTVAAAARSGHGGTLLNSCAPPLPTTTLIIQSTTSNIEGLSHFYQLQNPSGIFGNSGDMVNLYGTTSFPSSNLPFSVLNSPVEAPPRLIEYSTGDDESIGSMKETTGTSVDELDLELRLGHNPP >fgenesh2_kg.7__2526__AT4G17800.1 pep chromosome:v.1.0:7:11271336:11272697:1 gene:fgenesh2_kg.7__2526__AT4G17800.1 transcript:fgenesh2_kg.7__2526__AT4G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTAFRYVNHQLHRPDLHLHHNSSSDDVTPGAGMGHFTVDDEDNNNNNNHQGLDLASGGGSGSSGGGGGHGGGGDVVGRRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVTNGCDVFDCVATYARRRQRGICVLSGSGTVTNVSIRQPSAAGAVVTLQGTFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGSVVGELTAAGPVIVIAASFTNVAYERLPLEEDEQQQQLGGGSNGGGNLFPEVAAGGGGGLPFFNLPMNMQPNVQLPVEGWPGNSSGRGPF >fgenesh2_kg.7__2528__AT4G17785.1 pep chromosome:v.1.0:7:11289044:11290890:1 gene:fgenesh2_kg.7__2528__AT4G17785.1 transcript:fgenesh2_kg.7__2528__AT4G17785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDQDKGVKKGPWLQEEDDKLTAYINENGYGNWRSLPKLAGLNRCGKSCRLRWMNYLRPDIRRGKISDEEESTIVRLHALLGNKWSKIACHLPGRTDNEIKNYWNTHMRKKLLQMGIDPMTHEPRTNDLSPILDVSQMLAAAINNGQFGNNNLFNNNTALKDLLKLQLIHKMLQIITPKAIPNINSFNASSVNPKPEPVVNSFNTNSVNPKPNPPVGLFINQSGIAPDATSDFIPSYENVWDGFEDNQLPGLVTVSQDSLNTAKPGTSTTTEVNDHTRTGMIPGYYGDQLLEIPSSGSISVSPETTSLNHPGTAQQSSGSSDLLEDWEKFLDDETSDSCWKSFLDLTSPTSSPVPW >fgenesh2_kg.7__252__AT4G37970.1 pep chromosome:v.1.0:7:985078:987500:-1 gene:fgenesh2_kg.7__252__AT4G37970.1 transcript:fgenesh2_kg.7__252__AT4G37970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSGEKEQSVEAFGWAARDSSGHLSPFVFSRRKTGEEEVRVKVLYCGICHSDLHCLKNEWHSSTYPLVPGHEIVGEVSEIGSKVSKFNLGDKVGVGCIIDSCRTCQSCREDQENYCTKAVATYNGVHHDGTINYGGYSDHIVVDERYAVKIPHTLPLASAAPLLCAGISMYSPMKYFGLAGPDKHIGIVGLGGLGHIGVRFAKAFGTKVTVISSTTGKSKDALETLGADGFLVSTDEDQMKAATGTMDGIIDTVSASHSISPLIGLLKSNGKLVLLGATEKPFDISAFSLILGRKSIAGSGIGGMKETQEMIDFAAEHGIKAEIEIISMDYVNTAIDRLAKGDVRYRFVIDIANTLAATRS >fgenesh2_kg.7__2533__AT4G17750.1 pep chromosome:v.1.0:7:11319710:11321458:1 gene:fgenesh2_kg.7__2533__AT4G17750.1 transcript:fgenesh2_kg.7__2533__AT4G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor [Source:UniProtKB/TrEMBL;Acc:D7M9G0] MDGVTGGGTNISEAVTAPPPRNPHPATLLSANSLPPPFLSKTYDMVEDPATNAIVSWSPTNNSFIVWDPPEFSRDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTISRRKSAQGHGSSSNPQSQQLSQGQSSMAALSSCVEVGKFGLEEEVEQLKRDKNVLMQELVKLRQQQQTTDSKLQVMVKHLQAMEQRQQQIMSFLAKAVRNPTFLSQFIQTQTDSNMHVTEANKKRRLREDTTDAATAENYSRSSDASDGQIVKYQPLRNDSVMWNMMKTDDKYPFLDGFSSPNRVSGVTLQEVLPTTSEQSQAYAPVPSGQPLSYLPSTSTSLLDTVMPEISQMPQLTRESINDFPTENYMDTETNAPDTFISPSPFLDGGSVPIQLDGIPEDPEIDELMSNFEFLEEYPPESPVLGDATTVENSNNTNGRHMDKFIEELGLLTPETE >fgenesh2_kg.7__2537__AT4G17730.1 pep chromosome:v.1.0:7:11324278:11326247:-1 gene:fgenesh2_kg.7__2537__AT4G17730.1 transcript:fgenesh2_kg.7__2537__AT4G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M9G2] MSFQDLEAGRGRSLASSRNINGGGRQDTTQAVASGIFQINTSVSTFHRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKEASETDHQRGVNQKKKIVDAKLAKDFQAVLKEFQKAQRLSAERETVYAPLVHKPSLPSRYSYTIDDVNGDKHPEQRALLVESKRQELVLLDNEIALNEAIIEEREQGIQEIQQQIGEVHEIFKDLAVLVHDQGTMIDDIGTHIDNSYAATAQGKSHLAKASKTQRSNSSLV >fgenesh2_kg.7__2538__AT4G17720.1 pep chromosome:v.1.0:7:11326677:11328809:1 gene:fgenesh2_kg.7__2538__AT4G17720.1 transcript:fgenesh2_kg.7__2538__AT4G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M9G3] MTTVKVSNVSLGATERDLKEFFSFSGDILYLETQSETDRTKLAYVTFKDLQGAETAVLLSGATIVDSSVIVSMAPDYQLSPEALASLEPKDCNKSPRAGDSVLRKAEDVVSSMLAKGFILGKDAIAKAKSVDEKHQLTSTASAKVASFDKKIGFTDKINTGTVVVGEKVREVDQKYQVSEKTKSAIAAAEQTVSNAGSAIMKNRYVLTGATWVTGAFNKVAKAAEEVGQKAKEKVGMAEEEDKRKVVDEFARVHLSESPKAASSTQEAEHESKLSESPKAKKESEHLEPQPQPLQQQSPPPMAPAPAPAQP >fgenesh2_kg.7__253__AT4G37940.1 pep chromosome:v.1.0:7:1001586:1004757:1 gene:fgenesh2_kg.7__253__AT4G37940.1 transcript:fgenesh2_kg.7__253__AT4G37940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIQRIDDSTSRQVTFSKRRKGLIKKAKELAILCDAEVGLIIFSSTGKLYDFASSSMESVIDRYNKSKIEQQQLMNPASEVKFWQREAAVLRQELHALQENHRRQMMGEQLNGLSVNELNSLENQLEISLRGIRMKKEQMLTQEIQELSQKRNLIRQENLDLSRKVQRIHQENVELYKKAYTENTNGFIHHELAVADDESHTQIRLQLSQPEHSDYETPHQD >fgenesh2_kg.7__2541__AT4G17695.1 pep chromosome:v.1.0:7:11341941:11344638:1 gene:fgenesh2_kg.7__2541__AT4G17695.1 transcript:fgenesh2_kg.7__2541__AT4G17695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPSQPDLYLKISQRREEEQEKENQELQEQEVERRLGFQSKASDSDRKSSDNLIHTHQFTSNNEATKINNNQEHKESRDQDLRSMLMMRPIRGIPLYQNQVLDHYYYSSTSPTPFFFSEVNGQHASSRLITNPNCSFNIHHRNRRQAQPQPPRFTAKRGVRAPRMRWTTTLHAHFVHAVQLLGGHERATPKSVLELMDVQDLTLAHVKSHLQMYRTIKSTEKPTTSSGHSDTCENGSQVNSERESRNLQGLWNNSSSEARFHLKAKASSAVDISSNENEWKNQRCPSNERLSSDSSSLTGTRPETETPNLDFTLATPNLSP >fgenesh2_kg.7__2545__AT4G17650.1 pep chromosome:v.1.0:7:11363058:11364930:-1 gene:fgenesh2_kg.7__2545__AT4G17650.1 transcript:fgenesh2_kg.7__2545__AT4G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFMSGLRAVSSLISCRNAISRNLVSRSGIRRGSFVSDQIRRFGSLSGVERCSSNWLMSSDDARVSFGRLPSSVSLLQRRHFLGCGDGEEGGGELSKIYEERRVLGYSPEQLFNVVAAVDLYHGFVPWCQRSEVLKEYPDGSFDAELEIGFKFLVESYISHVESERPKWIKTTARDTGLFDHLINLWQFKPGPIPGTCDLHFHVDFKFNSPLYRQVASMFLKEVATRLVGAFSDRCRLVYGPGVRVDENAYEQRA >fgenesh2_kg.7__2546__AT4G17640.1 pep chromosome:v.1.0:7:11365347:11367354:-1 gene:fgenesh2_kg.7__2546__AT4G17640.1 transcript:fgenesh2_kg.7__2546__AT4G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit beta (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M9H3] MVGSKSEVVDRKRINEIHDNRPSHSMSQPVNGKGKVTATSVLMGKQQLHDRDSRSGSISKTNISDAVDISDTDSEESEVSGSDGEDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSHQVPYYDYALDLILDVESSHGEMFTEEQNELIESAAEMLYGMIHARYILTSKGLASMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRASTVKIYCPKCEDVYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKASQSYTPRVFG >fgenesh2_kg.7__254__AT4G37930.1 pep chromosome:v.1.0:7:1005474:1008690:1 gene:fgenesh2_kg.7__254__AT4G37930.1 transcript:fgenesh2_kg.7__254__AT4G37930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M9M1] MAMAMALRRLSSSIDKPIRPLIRSTSCYMSSLPSEAVDEKERSRVTWPKQLNAPLEEVDPEIADIIEHEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFRLDPEKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQMEKSATLFRPKLIVAGASAYARLYDYARIRKVCNKQKAVMLADMAHISGLVAANVIPSPFDYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKQGKEVLYDFEDKINQAVFPGLQGGPHNHTITGLAVALKQATTSEYKAYQEQVLSNSAKFAQTLMEKGYELVSGGTDNHLVLVNLKPKGIDGSRVEKVLEAVHIASNKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVAEYFDKAVTLALKVKSEAQGTKLKDFVSAMESSSTIQSEIAKLRHEVEEFAKQFPTIGFEKETMKYKN >fgenesh2_kg.7__2550__AT4G17610.1 pep chromosome:v.1.0:7:11384261:11393680:1 gene:fgenesh2_kg.7__2550__AT4G17610.1 transcript:fgenesh2_kg.7__2550__AT4G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7M9H6] MDSSVITSLSLSFKQVPPAAVPAFLDCVLSSTGVSSSTLFESLIEQFPFRLEDTVNGDKRFDSDDCNHIASLVAGLCHLLRNFGFGTADNHNALQLFVWRVFIPLMKMVRAYDLDMLNKIVESFFDVVIETSVLGVSLVPFLLRSIGVSMGMLQHEESDFIKWGDLLLRDSLNTTNMDENYIANLSESFPIPLSCHLLNLILNAAFQSRQAAPEMESFAAGMLWDLCNMTERLLSQSLKHRSCAVSFLLPAIFKAFSSQSSLKILHQENMYILSRNGFKKRIWECCKKLFSVGSIERRDAYSVLSLCLSSGSWTDETESFVSEKEAVEFDLRSEQEFWDEIKIGLVVDESLVRKQSLHILKSVLSISEVSKTSSEKKPEGNSGNRSMTRKDTWAEKEAKSLGVGELYGSVDSGLTSQQGWQAFLLLYEMLEEYGTHLVEAAWSNQIDLLIKSSLRYDGTLKSDCNNSHHGHMETPDEEAKIFNWLEVLWNRGFRHDNPLVRCTVMESFFGIEWRRYKTCTQSMSQTFVLGPFIEGLNDPTHHKDFGLKGIYTSRTIEGAAQYVCAYTSCLNPRNRVGFLINLASLAKKQSFCRAGFMALVQCIVSTAYVVGGYGDKEMGHLEDKFSGTAHEPSCEHLSQDDMTHILDVLKFVAESSRQHFNHKYQLIIRMWNYNFVASLMILNTLKVLLSIVFFFFHFLVYQKVLETAASAVNPCNVPLGTLLQFVSAIPREFTDHDGSLRKMMLEWLQGCNRKISNSLCTDGTRLLASLYEYLKGFISDNVESFDDEDLEAWDSQTKRWARVLFLIINKEKYLTDIIMFVQNSGLSFFQEKNHLERTPAKFLIFILSMLLELQNMQDGISELSSSVKRKSCIGSNEETGKQIVGNASNIKKKFAVVLLSILKELIQFADSSCSIFWSHTTVENGALPGSVTGKLGGPSQRRLSIPTTTAVLEAVLSVKTIGLISSYCAQFTSGVGELKLALGFFWKFTQHTISPQICNSEAAAEIYLAAFEALAAVLNAFVSLCSAGAFNLLENDNTLLTMVDGEFWLQVSVPAFLHNINHLLTAGVLVRSRRAVLLSWKWLCVESLLSVMHILDARRTPGDRKSFFSDDTVKSIFQDIVESLENAGEGSALPMLKSVRLALGILASGNSSLDGFSGVDTQTMWQLVKSCWILHISCKKRRVAPIAALLSSVLHSSLFSNKDMHIAEDGQGPLKWFVEKVLEEGQKSPRTIRLAALHLSGLWLMYPRTIKYYIRELRLLTLYGSVAFDEDFEAELSDNTDARTEVSLLAKSPDPELTELFINTELYARVSVAGLFQKLANLAYMVEPASQNQGCQDALVAGKLFLLELLDAAVHDKDLAKELYKKYSAIHRRKIRAWQMICIMSRFVSNDIVGQVMDSVHICLHVSLQEQTERNNLPAVRQYLETFAINIYLKFPALVKEQLVPILKNYDSKAQMFSSANVILHAEKIAQQTHLRELLPPIIPLLTSHHHSLRGFAQLLVHRVLFRLSPPVESTSSHTISLEKLSFENLKSYLDKNPDCSRLRASMEGFLDAYDPSTSATPAGVFVNRVEESEFECVPTCLMDNVLSFLNDVREDLRASMANDVVTIKNEGFKIDEGPNRRLIISTTDEERISEPSSLDFQRKITLSKHEKQDASSTSVLRNGETYKRLFEMEKEDELVTQLLRSRSMEVERLKSGRQSLILVASLVDRIPNLAGLARTCEVFKASNLAVADANIIHDKQFQLISVTAEKWVPIMEVPVNSLKLFLEKKKREGFSILGLEQTANSVSLDKYQFPKKTVLVLGREKEGIPVDIIHILDACIEIPQLGVVRSLNVHVSGAIALWEYTRQQRIQ >fgenesh2_kg.7__2551__AT4G17600.1 pep chromosome:v.1.0:7:11394000:11395134:-1 gene:fgenesh2_kg.7__2551__AT4G17600.1 transcript:fgenesh2_kg.7__2551__AT4G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lil3 protein [Source:UniProtKB/TrEMBL;Acc:D7M9H7] MALFSPPISSSSLQNPNFIPKFSFSLLSTNRFSLLSVTRASSDSGSTSPTSATAVEAPEPVEVIIKEAPQSTPPVTKEEITTAKNVAVEGEEMKTTETVIKFQDARWINGTWDLKQFEKDGKTDWDSVIVAEAKRRKWLEENPETTSNDEPVLFDTSIIPWWAWIKRYHLPEAELLNGRAAMIGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLFIRKNEDVDKLKNLFDETTLYDKQWQAAWKNDDDESLGSKK >fgenesh2_kg.7__2552__AT4G17580.1 pep chromosome:v.1.0:7:11397526:11398934:1 gene:fgenesh2_kg.7__2552__AT4G17580.1 transcript:fgenesh2_kg.7__2552__AT4G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor-1 family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M9H9] MEANGTIWSHDSLRNFHEFTPAVQNHLKRFCIVLDSRCCVLDLYLTLFFALLASAFGAYLHMVWNIGGNVTTLGFTGTMIWLRFTPYAAKRLFLLYLSALLKGASFGPMIMLVIDFDSSVLVTAFVGTAVVFVCFSAAAMLATRREYLYLGASLSCSMSILWWVKIASSIFGGSTTVVKFELYFGLLIFVGYIVVDTQMIIEKAHHGDMDYVQHSFTFFTHFASLFVRILVLNMFRKMKKGRKDRR >fgenesh2_kg.7__2553__AT4G17570.1 pep chromosome:v.1.0:7:11402949:11406572:1 gene:fgenesh2_kg.7__2553__AT4G17570.1 transcript:fgenesh2_kg.7__2553__AT4G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M9I0] MGKQGPCYHCGVTNTPLWRNGPPEKPVLCNACGSRWRTKGTLVNYTPLHARADGDENDDQHRFQRMKSISVSYKNKETKMLKRKAIQENIIMKRPVLEFNYGLKKAVIEEDASNRSSSGSAVSNSESCAQFSSADGSPSQSNAWDTTVPCKRRTCVGRPKSSSVEKLTKDLYNILQEQQSSCLSVSSEEDLLFENEMTMVSVEIGHGSVLMKNPHSFSREEESEASSLSSIENKSSISEAYSHSVKRVEIGAERGSYYGGQTIKQEQFNRNKSQTERVHVLGSHGSPLCSIDLKDVFNFNEFIEQFTEEEQKKLMKLLPQIDSDDLPDSLRIMFESAQFKDNFSLFQQLIADGVFDVSSSSGAKLEDIRTFKKLALADFNKSRLVESYNLLKEREKGTGDSVTTTSKSSIPNVPKNIVTIKRRCEDQTQLKSESRGLMRSPKRVMKMKASHETENNVSCFRPRSLASVFAQEGGSAVFGYEGNCSSDQDLLLLDLPSNGSFPQAELLHQL >fgenesh2_kg.7__2555__AT4G17540.1 pep chromosome:v.1.0:7:11421258:11423271:-1 gene:fgenesh2_kg.7__2555__AT4G17540.1 transcript:fgenesh2_kg.7__2555__AT4G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGGGSNGVVMKKEGLGFTNGGMKIENPFAFKALQVFTGFGVGCGVGIGSGAPLNLGSIPMVGEIMSSARGATNVFSGATHHVNGALRKLGAKNIRAGVGCGVGFGHGFGVGIAVKPSAIHKLQASIMGTASNLMTKLGRTSETTSSQTEIEDQVSPSVTGHKKHMDTKAAFKNSGSSTDSRTFGTRTEKVINSFLDNPILKQQDTTAVEQQVTQLESESLMLQVVLKHQKLIDEVMEENEKLRRIIIEDLKVSPEKLKLVSSYKYETPPCKDCFECCRKQRRNRGRKL >fgenesh2_kg.7__2557__AT4G17520.1 pep chromosome:v.1.0:7:11425618:11427866:-1 gene:fgenesh2_kg.7__2557__AT4G17520.1 transcript:fgenesh2_kg.7__2557__AT4G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNPFDLLDDDAEDPSQIVAAKPLKVAAPVQPAKSGKMPTKPPPPAQAVREAKNAPVGGRGGGRGGGYDRGGRGGYNRDSRNNDAPPANENGYGGGYRRSEEGDGARRGGPVGGYRGGDRRGGYSNGDSGDFERPRKNYERHSRTAHGNEVKRDGAGRGNWGTTQDDIAPVTEETSAVVDKNLTVEKQGGEGEATDANKETPAEVQAEEKPEDKEMTLEEYEKVLEEKKKALQATKVEERKVDTKAFEAMQQLSSKKSNNDEVFIKLGTEKDKRVTEREEKTRKSLSINEFLKPADGKNYRPRGGREGRDGRGPRGEGNQKDGGGNQRDGGRNPREGGRNQRDGGAAARAPAPAPAPAIGDTAQFPTLGK >fgenesh2_kg.7__2558__AT4G17510.1 pep chromosome:v.1.0:7:11432291:11434052:1 gene:fgenesh2_kg.7__2558__AT4G17510.1 transcript:fgenesh2_kg.7__2558__AT4G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MBX7] MATASESYSAKRWLPLESNPDVMNQYLWGLGLAPDAAECNDVFGLDDELLEMVPKPVLAVLFLYPITTKSEEERIEQDKEIKEKVHSDKVYFMKQTVGNACGTIGLLHAIGNITSEIKLSEGSFLDRFFKSTANMTPMERAKYLENDSQIEDAHSVAVSAGDTAASDDAVTHFICLACVEGELYELDGRKAGPISHGASSPATLLKDATIVIKKMIEKNPDSLNFNLIAISKRT >fgenesh2_kg.7__2559__AT4G17500.1 pep chromosome:v.1.0:7:11436349:11437346:-1 gene:fgenesh2_kg.7__2559__AT4G17500.1 transcript:fgenesh2_kg.7__2559__AT4G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MBX9] MLVYGILNDAFHGGWEPSSSSSDEDHSSFPVVKIETPEIFAAVDSVPVKKETTSPVPAAKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDRAAFRMRGSRALLNFPLRVNSGEPDPVRIKSKRSSSSPSSENGAPKKRRMVAAGGGTDKGLTVKCEVVEVTRGDHLL >fgenesh2_kg.7__2560__AT4G17490.1 pep chromosome:v.1.0:7:11447300:11448239:1 gene:fgenesh2_kg.7__2560__AT4G17490.1 transcript:fgenesh2_kg.7__2560__AT4G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNEVSALFLIKKYLLDELSPLPTATTNPWMNDFTSFDQTGFEFSEFEIKPEIIDLVTPKPEISDFELKPIIDSQMKSEIPLESNDSFTFQSNPARVIVKSNRKPPLKIAPPNRTKWIQFATGNPKPELPVPVVAAEEKRHYRGVRMRPWGKFAAEIRDPNRRGTRVWLGTFETAIEAARAYDKAAFRLRGSKAILNFPLEVGKWNPRAEDGHGLNNKRKRDGEGEEVTVVKKVPKTEESYAVSGGENVESATSGLTAFDDWDMTEFLSMPLLSPLSPHPPFGYPQLTVV >fgenesh2_kg.7__2561__AT4G17486.1 pep chromosome:v.1.0:7:11450845:11452821:1 gene:fgenesh2_kg.7__2561__AT4G17486.1 transcript:fgenesh2_kg.7__2561__AT4G17486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPTLSSSSSSSDEREESSGEAGLTPVYLNVYDLTPVNNYLYWFGIGIFHSGIETHNLEYCYGAHEYPTSGVYEVEPRSCPGFIFRRSVLLGTTSMSRSDFRSYMEKLSRKYHGDTYHLIAKNCNHFTEEVCLQLTGKPIPGWINRLARVGTFCNCLLPESIQLTAVSVLPERLEFSDEEESNSEASSVSDEEGSEQHLINAADREIVYLQNKPVRLTREEIP >fgenesh2_kg.7__2562__AT4G17483.1 pep chromosome:v.1.0:7:11453608:11455136:1 gene:fgenesh2_kg.7__2562__AT4G17483.1 transcript:fgenesh2_kg.7__2562__AT4G17483.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Palmitoyl protein thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7MBY2] MEKCFRRSVLLSTVIAAMLFSFIPVSISIPFILFHGIGDKCSGGVSNFTQLLTNLSGSPGFCLEIGNGEKDSWFMPLIKQTSLACEKVKTMKELSQGYNIVAESQGNLVARGLIEFCDNAPPVINYISLGGPHAGIAKIPKCASGPICDIGEAMLKLEVYTDFVQDHIAPSGYIKIPGEISKYLEHSKYLPKVNNERPDERNSTFKDRFTKLHNLVLVMFESDTTLIPKETAWFGYYEDEGFDTLLSTQQTKLYREDWIGLKALDVAGKVKFESVLGDHLSISDEEVVEYVVPYLMQSCM >fgenesh2_kg.7__2563__AT4G17480.1 pep chromosome:v.1.0:7:11482159:11483879:1 gene:fgenesh2_kg.7__2563__AT4G17480.1 transcript:fgenesh2_kg.7__2563__AT4G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Palmitoyl protein thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7MBY4] MEKSFLRSTLLVTLTIFFFLPVSISVPFILFHGIRDQCSNGGVSSFVQLLSNLSSSPGSCLEIGDGEQDSVTMPLTQQASVACEKVKQMKELSQGYNIVAQSQGNLVARGLIEFCDNAPPVFNYISLGGPHAGISDIPKCNSTLCELLKTEVYTDFVQDHIAPSGYIKIPTDIKNYLEHSKYLPQLNNERPKERNATFKDRFTSLHNLVLVMFDGDTVVIPKESSWFGYYPDGASTPLLSPQETKLYTEDWIGLKTLNTAGKVKFVSVHGEHLRIAQDDVVKYVAPYLKNQPASMSEDLEILHL >fgenesh2_kg.7__2564__AT4G17470.1 pep chromosome:v.1.0:7:11485114:11486711:1 gene:fgenesh2_kg.7__2564__AT4G17470.1 transcript:fgenesh2_kg.7__2564__AT4G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSFQRSALLVTLSLFFFFSIPVSLSVPFVLFHGFGGECSNNKVSNLTQFLINHSGYPGTCVEIGNGVQDSLFMPLRQQASIACEKIKQMKELSEGYNIVAESQGNLVARGLIEFCDNAPPVINYVSLGGPHAGVAAIPKGCTSPFCMLLKAVFAVIYTDLAQDHTAPSGYVKKPMEIANYLEHSKYLPKLNNERPGPLLKTVSPACKTWFSSCSEMIQYWFQEKLLGSDITRVELHLQHLFCLLKRSTRQKSSTKLYTEDWIGLKTLDDAGKVKFISVPCGHIEIAEEDLVKYVVPYLQNESTFTSEHEAM >fgenesh2_kg.7__2567__AT4G17440.1 pep chromosome:v.1.0:7:11498687:11500215:-1 gene:fgenesh2_kg.7__2567__AT4G17440.1 transcript:fgenesh2_kg.7__2567__AT4G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANSKSERSASQSAETVAVSNRVVASRSSRQPRLSFSSFAPSSEHDYLKKLKSDEISPTREEVPVSVKEREETEEEEAKRTWNLRPRKAFGGLKKGNGVLAAEVCGGGGGGGGSEVKNQKSSGGMEPKSNRQRGIPAESPGLGGGEVANENRRLWVALSRDEIEEDLFSMSGNRPSRRPRKRAKALQKYLDVIFPGLCLVGMNADCFRVSTSPAK >fgenesh2_kg.7__2568__AT4G17430.1 pep chromosome:v.1.0:7:11517001:11518981:1 gene:fgenesh2_kg.7__2568__AT4G17430.1 transcript:fgenesh2_kg.7__2568__AT4G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFFNPSRPSPRPWPNRKKQTNKSAIFICSVSLLVVFFVVVFFFITYSEMPKSLFSISAFSGSVQFPQCRSEILTRTLLGQKFLWYAPHSGFSNQLSEFKNAVLMAGILNRTLIIPPILDHHAVALGSCPKFRVLSPSEIRISVWNHSIELLRTDRYVSMADIVDISSLVSSSAVRVIDFRYFASLLCGVDLETLCSDDLAEQSQAYELLKQCGYLLSGVRGNVDKCLYAVDEDCRTTVWTYKNGDADGRLDSFQPDEKLKKKKKLSYVRRRRDVYKTLGHGTEAESAAILAFGSLFTAPYKGSELYIDIHKSPKIKPLVEKVDFLPFVREIMRAGKKFASETIKAPFLCAQLRLLDGQFKNHRESTFTGLYQKLESLSLKNPGLINVFVMTDLPESNWNGTYLGDFSKNSTNFKLHFIGEQDEFLVRTEHELASAGHGQKFGSIPMSLDSIKKMQNHCAPHGGSNVQLYIEEAVCSCASLGFVGTAGSTIADSVEMMRKYNACSSS >fgenesh2_kg.7__2569__AT4G17420.1 pep chromosome:v.1.0:7:11519182:11521146:1 gene:fgenesh2_kg.7__2569__AT4G17420.1 transcript:fgenesh2_kg.7__2569__AT4G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSQEDTITPFQILGGEAQVVQIMLKPQEKVIAKPGSMCYMSGSIQMDNNYTPEQEVGVVQWILGKSVSSIVLRNNGQNDGFVGIAAPSLARILPLDLAMFGGDILCQPDAFLCSVHDVKVVNSLYQRHRARNIAQAGAEGFLRQRLSGQGLAFIIAGGSVVQKNLEVGEVLTTDVSCIAALTPSINFQIKYNAAPVRRAVFGGENLVTATLTGPGIVFIQSLPFHRLSQRIARSVTSPNMRENPRLLVQIGLFIFLAYVVIVSSLILTEM >fgenesh2_kg.7__256__AT4G37910.1 pep chromosome:v.1.0:7:1013812:1016916:1 gene:fgenesh2_kg.7__256__AT4G37910.1 transcript:fgenesh2_kg.7__256__AT4G37910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mthsc70-1 [Source:UniProtKB/TrEMBL;Acc:D7M9M3] MASVALLRSLRRREVQTASVSAFKSVSGNGKTSLYGKLGYLARPFCSRPVGNDVIGIDLGTTNSCVAVMEGKTPRVIENAEGSRTTPSVVAINQKGELLVGTPAKRQAVTNPTNTIFGSKRLIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVEANGQMFSPSQIGANILTKMKETAEAYLGKSITKAVVTVPAYFNDAQRQATKDAGKIAGLDVQRIINEPTAAALSYGMNNKEGVIAVFDLGGGTFDVSILEISSGVFEVKATNGDTFLGGEDFDNTLLEYLVDEFKRSDNIDLTKDKLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFEALVGKLIERTRSPCQNCLKDAGITVKEVDEVLLVGGMTRVPKVQEIVSEIFGKSPCKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGLETLGGIFTKLIQRNTTIPTKKSQVFSTAADNQMQVGIKVLQGEREMASDNKLLGEFDLMGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATGKEQQITIRSSGGLSDDEINRMVKEAELNAQKDQEKKQLIDLRNSADTTIYSVEKSLSEYREKIPAEIASEIETAVSDLRTAMAGEEIEDIKAKLEAANKAVSKIGEHMSKGSGSSGSSGSSGEGTSGTEQTPEAEFEEASGSRK >fgenesh2_kg.7__2572__AT4G17380.1 pep chromosome:v.1.0:7:11530102:11535503:-1 gene:fgenesh2_kg.7__2572__AT4G17380.1 transcript:fgenesh2_kg.7__2572__AT4G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGGERSSFVAGLIENRAKEVGMAAFDLRSASLHLSQYIETSSSYQNTKTLLRFYDPSVIIVPPNKLAADGMVGVSELVDRCYSTVRKVVFARGCFDDTKGAVLIQNLAAEEPLALGLDTYYKQHYLSLAAAAATIKWIEAEKGVIVTNHSLTITFNGSFDHMNIDATSVENLEIIDPFHNALLGTSNKKRSLFQMFKTTKTVGGTRLLRANLLQPLKDIETINTRLDCLDELMSNEQLFFGLSQVLRKFPQETDRVLCHFCFKPKKVTEAVIGFENTRRSQNMISSIILLKTALDALPLLAKVLKDAKCFLLANVYKSVCENDRYASIRKKIGEVIDDDVLHARVPFVARTQQCFALKAGIDGFLDIARRTFCDTSEAIHNLASKYREEFNLPNLKLPFNNRQGFFFRIPQKEVQGKLPNKFTQVVKHGKNIHCSSLELASLNVRNKSAAGECFIRTETCLEALMDAIREDISALTLLAEVLCLLDMIVNSFAHTISTKPVDRYSRPELTDSGPLAIDAGRHPLLESIHNDFVSNSIFMSEATNMLVVMGPNMSGKSTYLQQVCLVVILAQIGCYVPARFATIRVVDRIFTRMGTMDNLESNSSTFMTEMRETAFIMQNVTNRSLIVMDELGRATSSSDGLAMAWSCCEYLLSLKAYTVFATHMDSLAELATIYPNVKVLHFYVDIRDNRLDFKFQLRDGTLHVPHYGLLLAEVAGLPTTVIDTARTITTWITDKENKRIELNCGKHHEIHRIYRVAQRLICLKYSRQTEDSIRQALQNLKDSFIEERL >fgenesh2_kg.7__2573__AT4G17370.1 pep chromosome:v.1.0:7:11535979:11538164:-1 gene:fgenesh2_kg.7__2573__AT4G17370.1 transcript:fgenesh2_kg.7__2573__AT4G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MC00] MADAMDSAFTKYGIVGIGMMGREHLINLHHLRDQGLAVVCIADPHPPSQLLAIELARSFGWELKVFSGHEELLKSELCDVIVVSSPNMTHHQILMDIISYPKPHHVLVEKPLCTTVADCKQVLEAAKKRSDMVVQVGLEYRYMPPVAKLIEKVKGRDFGNVKMVAIREHRFPFLVKVNNWNRFNVNTGGTLVEKCCHFFDLMRLFAGANPVCVMASGGMDVNHKDEIYDGKVPDIIDNAYVIIEFDNGCRGMLDLCMFAEGSKNEQEISVTGDIGKGEALVPEGIVRSGSRVGGRKHVQTIKAEDERIKYEGLHHGSSYLEHLTFLSAIRGEGRAAIDLEDGLMAVAMGVAAQLSIQERRYVTIDEVL >fgenesh2_kg.7__2574__AT4G17360.1 pep chromosome:v.1.0:7:11541302:11543306:1 gene:fgenesh2_kg.7__2574__AT4G17360.1 transcript:fgenesh2_kg.7__2574__AT4G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKVSTTSCLSATAFRSFTKWSFKSSQFHGESLDSSVSPLLIPGVHVFHCPDVVGIVAKLSDCIAAKGGNILGDDVFVPENKNVFYSRSEFIFDPVKWPRRQMDEDFQTIAQKFSALSSVVRVPSLDPKYKIALLLSKQDHCLVEMLHKWQDGKLPVDITCVISNHERAPNTHIMRFLQRHGISYHYLPTTDQKKIEEEIFELVKDTDFLVLARYMQLLSGNFLKGYGKDVINIHHGLLPSFKGRNPVKQAFDAGVKLIGATTHFVTEELDSGPIIEQMVERVSHRDNLRSFVQKSEDLEKKCLMKAIKSYCELRVLPYGTQKTVVF >fgenesh2_kg.7__2576__AT4G17340.1 pep chromosome:v.1.0:7:11546623:11547772:-1 gene:fgenesh2_kg.7__2576__AT4G17340.1 transcript:fgenesh2_kg.7__2576__AT4G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DELTA-TIP2/TIP2_2 [Source:UniProtKB/TrEMBL;Acc:D7MC03] MVKIEIGSLGDSFSVASLKAYLSEFIATLLFVFAGVGSALAFAKLTSDAALDPAGLVAVAVAHAFALFVGVSIAANISGGHLNPAVTLGLAIGGNITVITGFFYWIAQCLGSIVACLLLVFVTNGESVPTHGVAAGLGAIEGVVMEIVVTFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGDFSQIWIYWVGPLVGGALAGLIYGDVFIGSYAPAPTTESYP >fgenesh2_kg.7__2578__AT4G17310.1 pep chromosome:v.1.0:7:11560784:11562421:1 gene:fgenesh2_kg.7__2578__AT4G17310.1 transcript:fgenesh2_kg.7__2578__AT4G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACRKLFNRASVSSLKSSLRSTTGSAPAASSARFRLPSQPSRHFSFSRCPSELGCVQSLLPLHSTVAAARLTSCLSTTSRSSRALSQGTLCCTCPDL >fgenesh2_kg.7__2581__AT4G17270.1 pep chromosome:v.1.0:7:11569566:11572150:-1 gene:fgenesh2_kg.7__2581__AT4G17270.1 transcript:fgenesh2_kg.7__2581__AT4G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mo25 family protein [Source:UniProtKB/TrEMBL;Acc:D7MC08] MRGLFKSKPRTPADIVRQTRDLLLYADRSNSFPDLRESKREEKLVELSKSIRDLKLILYGNSEAEPVSEACAQLTQEFFKADTLRRLLTSLPNLNLEARKDATQVVANLQRQQVNSRLIAADYLESNIDLMDFLVDGFENTDMALHYGTMFRECIRHQIVAKYVLDSQHVKKFFYYIQLPNFDIAADAAATFKELLTRHKSTVAEFLIKNEDWFFSDYNSKLLESTNYITRRQAIKLLGDILLDRSNSAVMTKYVSSMDNLRILMNLLRESSKTIQIEAFHVFKLFVANQNKPSDIANILVANRNKLLRLLADIKPDKEDERFEADKAQVVREIASLKLRELA >fgenesh2_kg.7__2582__AT4G17260.1 pep chromosome:v.1.0:7:11573677:11575191:-1 gene:fgenesh2_kg.7__2582__AT4G17260.1 transcript:fgenesh2_kg.7__2582__AT4G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-lactate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7MC09] MEKNASTSSLKDLGPSGLDLTSAFFKPIHNSDPPLPSNRRTKVSVVGVGNVGMAIAQTILTQDLADDIALVDAKPDKLRGEMLDLQHAAAFLPRTKITASVDYEVTAGSDLCIVTAGARQNPGESRLNLLQRNVALFRHIIPPLAKASPDSILIIVSNPVDVLTYVAWKLSGFPVNRVLGSGTNLDSSRFRFLIADHLDVNAQDVQAYIVGEHGDSSVALWSSISVGGIPVLSFLEKQQIAYEKQTLEDIHQAVVGSAYEVIGLKGYTSWAIGYSVANLARTILRDQRKIHPVTVLARGFYGVDGGDVFLSLPALLGRNGVVAVTNVHMTDEESEKLQKSAKTILEMQSQLGL >fgenesh2_kg.7__2583__AT4G17250.1 pep chromosome:v.1.0:7:11576379:11578661:-1 gene:fgenesh2_kg.7__2583__AT4G17250.1 transcript:fgenesh2_kg.7__2583__AT4G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MC10] MGCDSHGNLSDTEFSKPLPSIGVYVAAASVICGVAMFSDLLHGLRHRKFWFPCKFFSLNATTLTFISVCVKLSLDLNTPMPSRQDQLAKLSSSVFVCTVMANSMPSLGFMVTQDLLMNLVALGILVITDVVNICIQLGTGAIYVFTQEHALVIVLMLLMFMILSFSAITVPATKRFLELKYKKRYEFALKQCPSYTERRRGVPKLKEDLMKFWMMAHTSSPQFVMARSVTCTTSGFLCFLSAITLAEAMVRSYFLQTRSLGFCNGDSDYKWSTALVLVSQTAAVAIGTVAPASRWLKAVNLRCPFRTKKSLKDELRVESYWVECLAEKKERPLNFWMLHGRRSRKLAHDLNRLMLDICIATQYGIVLSSKFLRFITVYCLSRILLCCFYFTYKSDSVANAESSGSNPSTRQFVL >fgenesh2_kg.7__2586__AT4G17230.1 pep chromosome:v.1.0:7:11600584:11603386:1 gene:fgenesh2_kg.7__2586__AT4G17230.1 transcript:fgenesh2_kg.7__2586__AT4G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MC13] MVSVSGSPIQRLGTYMAEGLRARLEGSGSNIYKALKCNEPTGRELMSYMSVLYEICPYWKFAYTTANAAILEAIAGETRVHIIDFQIAQGSQYMFLIQELAKRPGGPPFLRVTGVDDSQSTYARGGGLSLVGERLAKLAQSCGVPFEFHDAIMSGCKVQREHLGVEPGFAVVVNFPYVLHHMPDESVSVENHRDRLLHLIKSLSPKLVTLVEQESNTNTSPFLSRFVETLDYYTAMFESIDVARPRDDKQRISAEQHCVARDIVNMIACEDSERVERHEVLGKWRVRMM >fgenesh2_kg.7__2588__AT4G17215.1 pep chromosome:v.1.0:7:11607718:11608423:-1 gene:fgenesh2_kg.7__2588__AT4G17215.1 transcript:fgenesh2_kg.7__2588__AT4G17215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLMSMTLVLMMTFGEAVRDRWSRDEMVEMAGYGEQKLSSVLLTASLLSSSSSPIHGATIGIKCHIGYRRISKWIKAVTNELGQFVIDLPSHLHAIPDLDKACIIKPLSVPKPYRCSSKIHRGIQLLSSSNGSRVYTAGNITLQESTM >fgenesh2_kg.7__2589__AT4G17210.1 pep chromosome:v.1.0:7:11620274:11621952:1 gene:fgenesh2_kg.7__2589__AT4G17210.1 transcript:fgenesh2_kg.7__2589__AT4G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIRTDAPVIPPETPPRSSEVGEIDTRAPFQSVRDAVSLFRQVSFSKKQPPHLSSSSSQSQSTKTDVSDKEMQLLLAEQEMDRVKLCLDGSVAAKAQALSDLDSAQRKAADLRVKLESIKHSRKCAISTKHTMNQRLEQLQSENQETESAREDYILITAELFMAKNELAELKQQFNLSVEERLAELQRAEEAECASMVNSNKIKDMSHEIAEMRDAAERLKSDAVRKKEEEEKIKEESIAARETYVSKKQEAKKRLEDVKQDCDPELRKDIEELADISAENERLQEEIKLARELKEAKSAMQEIYDEESSYKSLVGSLTVELDGVQRENRELKGKEKERQKVEEGEWVEASRKVDEIMSEAEKTRKEAEEMRMHVDELRREAAATHMVMGEAVKQLEIVGRAVEKAKTAEKRAVEDMKVLTEKKESLTHDEPDKKIRISLKEYEELRGKHEESERMVQFKAKTVAAQLEEINESRIEGERKLEEKIKEMEELKAAIDGALRKAEIAEEAHSIVDAELRKWKPQDL >fgenesh2_kg.7__258__AT4G37895.1 pep chromosome:v.1.0:7:1028212:1029824:-1 gene:fgenesh2_kg.7__258__AT4G37895.1 transcript:fgenesh2_kg.7__258__AT4G37895.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M9Z4] IVVKRFFCRRSSITLAAFLTASLTLIPSPSPEISSTILLANLLPFADILRNGDNRFELDENETIDKRSVSRRDDITCLIARFTISIFPPLTSITVTRSTGDLRAAGNDGDLIFMSTEYVSLPLPTATIAASEDNLTSTCPVTESVICFFVVNGDGVL >fgenesh2_kg.7__2590__AT4G17190.1 pep chromosome:v.1.0:7:11622882:11625105:1 gene:fgenesh2_kg.7__2590__AT4G17190.1 transcript:fgenesh2_kg.7__2590__AT4G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKSTFLDVYSILKSDLLQDPSFEFTHESRQWLERMLDYNVRGGKLNRGLSVVDSYKLLKQGQDLTEKETFLSCALGWCIEWLQAYFLVLDDIMDNSVTRRGQPCWFRKPKVGMIAINDGILLRNHIHRILKKHFREMPYYVDLVDLFNEVEFQTACGQMIDLITTFDGEKDLSKYSLQIHRRIVQYKTAYYSFYLPVACALLMAGENLENHTDVKNVLVDMGIYFQVQDDYLDCFADPETLGKIGTDIEDFKCSWLVVKALERCNEEQTKILYENYGKAEPSNVAKVKALYKELDLEGAFMEYEKESYEKLTKLIEAHQSKAIQAVLKSFLAKIYKRQK >fgenesh2_kg.7__2593__AT4G17170.1 pep chromosome:v.1.0:7:11627260:11629086:1 gene:fgenesh2_kg.7__2593__AT4G17170.1 transcript:fgenesh2_kg.7__2593__AT4G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFIKTAATIYKKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGSTSQGGGCCG >fgenesh2_kg.7__2603__AT4G17060.1 pep chromosome:v.1.0:7:11683823:11685534:1 gene:fgenesh2_kg.7__2603__AT4G17060.1 transcript:fgenesh2_kg.7__2603__AT4G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAPVTPAAVETYDPDVDHDDESNGLDGFRVRSKRSGKFSGGYSDSPRDVGDGYGVRSRARSNMKLYGGFKSEFESDHDSGSGFGLKRKYNGNPKVSADFDADSDDEIVLVPKATRLRGHGKPSSGDFSHGGGGGFPLKSFGDRNFASHGFKPKNFSKPEPNFSQDLDYDDEFDDDRAEREGFNSRIQSSRSSSRVNGYSRKDGSYPRNTGVSNGYGSSSRFKHEQMNAAAEVESDPIDEVVSSVKMLTEMFVRVENSKMEMMREMEKSRMEMELKHCQMMLESQQQIIGAFAEALSEKKSTNARRPV >fgenesh2_kg.7__2604__AT4G17050.1 pep chromosome:v.1.0:7:11688575:11691498:-1 gene:fgenesh2_kg.7__2604__AT4G17050.1 transcript:fgenesh2_kg.7__2604__AT4G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7MC32] MRSRHLIFFIVISLVKASKSDDGFCSAPSIAESDEKTKPIYWKATNPTLSPSHLQDLPGFTRSVYKRDHALITPESHVYSPLPDWKNTLGAYLITPATGSHFVMYLAKMKEMSSSGLPPQDIERLVFVVEGAVTLTNTSSSSKQLTVDSYVYLPPNFHHSMDCVESATLVVFERRYEHLGSHTTELIIGSTDKQPLLETPGEVFELRKLLPMSLAYDFNIHIMDFQPGEFLNVKEVHYNQHGLLLLEGQGIYRLGDNWYPVQAGDVIWMAPFVPQWYAALGKTRSRYLLYKDVNRNPL >fgenesh2_kg.7__2605__AT4G17040.1 pep chromosome:v.1.0:7:11691691:11695209:1 gene:fgenesh2_kg.7__2605__AT4G17040.1 transcript:fgenesh2_kg.7__2605__AT4G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:D7MC33] MEVAAATATSFTTLRARTSAIIPSSTRNLRSKARFSSSSSLRASLSNGFLSPYTGGSISSDFCGAKLRAESLNPLNLSSSKPKRGVVTMVIPFSKGSAHEQPPPDLASYLFKNRIVYLGMSLVPSVTELILAEFLYLQYEDEEKPIYLYINSTGTTKNGEKLGYDTEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLTAGAKGNRSALPSSTIMIKQPIARFQGQATDVEIARKEIKHIKTEMVKLYSKHIGKSPEQIEADMKRPKYFSPSEAVEYGIIDKVVYNERGSQDRGVVSDLKKAQLI >fgenesh2_kg.7__2607__AT4G17020.2 pep chromosome:v.1.0:7:11707772:11711597:1 gene:fgenesh2_kg.7__2607__AT4G17020.2 transcript:fgenesh2_kg.7__2607__AT4G17020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription factor B subunit 2 [Source:UniProtKB/TrEMBL;Acc:D7MC99] MPQVKIIAKNFMDMVASLPAIKLDKLYNNVFICEAILRSLPPLAKKYVLQMLYINVPVPAAMMEEWVLADGASKHRVAIDRLIQLRIFSETSDRKRGISYSLNPTFQNNLQKHIISGGVLPREPMHSDNAIKLPSLQELETYALKQWECFLLQLINSGQGEKLTGISSSMMRIFQRGLLSQRDKDGPRLTESGFQFLLMDTNAQLWYIIREYISNAEERDVEPADLISFLLELSFHVTGEAYNSNTLTEVQNNTLKDLADLGLVKLQQGRKDSWFIPTKLATNLSVSLADSSARKEGFVVMETNFRMYAYSTSKLQCEILRLFARIEYQLPNLIACAITKESLYNAFDNGITSDQIITFLQQNSHPRCADRVPSIPENVTDQIRLWETDLKRIEMTQAHFYDEFPSKDVFEAACDFAREWGGLLWEDSKRMRLVVKSEVHNQMREFLHNQTK >fgenesh2_kg.7__2609__AT4G17010.1 pep chromosome:v.1.0:7:11711716:11713626:-1 gene:fgenesh2_kg.7__2609__AT4G17010.1 transcript:fgenesh2_kg.7__2609__AT4G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLLEYGRKAMFYVRVLSGYEERRIRNYRLQLEKRIQQANQRKAEINRLPEQIVLSEVRRMVEEMQNLNKQIENTEAQIEDYFKPIDKHASTIMEIQLEGEKKTMGTMMNATQQEAIRKIEEAERLARARGNANVTAEANTGDKIQDSESSANEKAQAK >fgenesh2_kg.7__2610__AT4G17000.1 pep chromosome:v.1.0:7:11714449:11717492:1 gene:fgenesh2_kg.7__2610__AT4G17000.1 transcript:fgenesh2_kg.7__2610__AT4G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFEHLIVDEFNEEDFYETIEAPKFVDLTAPDHRPEGDDRYWFCSRVGCDQKHEEFMDSEAIYKKFVLRVMAARSPSVRLRKALYRKDFSVDPKCPNTVPAKPSRSRVSRLAMISSIPQKGNGNIRSKEVKVVSTNKNVTPKAKAKGKDSSVISSVPKKALTERKKQIQSPAAFRSVQNPRNATIKVSENRVVAKALVFQSPKKLVKLKRSVELSSSVKKLCNGMRKLEIDNKRNGLGINHKVVSLAPSRRPLKTREVKSRVFDYSVRSQKQIDEKDKGVSTLKKRIKKKEEPVLSSDTSKPHEANGMELENQSLPKTENTTGDEELLVENKSEELSDTLKANMDDQLQAREDLAVIKESGLATSTQYQIAEIEEKENALALECEDKENATIAAAVDKEDIPVIKVSGMDKAKQCETVEIEDKENALPLECEKENATNATAVDREGDDKENSSALHNNRKVDQATYPLLKKKVFGKKEICKTTQKVMTVADKCFNGKTVSADTRVKYTKPKLTNPKPFRLRTDERGILKEANTEKKPQCTIAKEETASTLGFHGENLGPKHQQVRVSSFCSILIHVHRLEKNATSRLKASKGTSTKLVSENMVDCKRVALGRKKQVARKRIETAEQASQMNGESKEVAIINKPSVCVVASGEKRPVTVPKGPNFHCIHVPKSCTKRVA >fgenesh2_kg.7__2611__AT4G16990.2 pep chromosome:v.1.0:7:11717600:11722784:-1 gene:fgenesh2_kg.7__2611__AT4G16990.2 transcript:fgenesh2_kg.7__2611__AT4G16990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSSSSSSRCWRYDVFPNFRGEDVRQSLISHLRKELDGKLVNTFNDTRIERSRKINPELLLAIEGSRISLVVFSKNYASSTWCLDELVKIQECHEQLDQMVIPIFYNVDPSHVRKQTGEFGKVFVETCKGRTENEKRKWMRALTEVANLAGEDLRNGRSEAEMLENIAKDVLNKLITPSDNFSDFVGIGAHIETLISMLRFDSEKARMIGICGPSETGKTTIGRALYSKIKSHFHHRAFVAYKRTMPSDYDQKLYWEEQFLSEILCQKNIKIEECGVVEQRLKHKKVLIVLDDVDDIELLKTLVGRIRWFGSESKIVVITKKRELLKAHKIAHVYEVEFPSEEMAHQMFCRYAFGKNSPPHGFSELAFEAAKLAGNRPKALKHFGSSFRRISDKEEWAKILSVLCNENKLKISYDGLDGKGQGYIACLTNVKAEWIHLALGVSILLNIRSDGTTFLKHLSYNRSVAQQAKIWWYENCERVYKKYNICGIDSSTDGGGKKVCDGGLDGKDQGSTYGQSSNSELQINMDASNRRYEPVSETLFKNYDAYLPNGLTDGNCSNTQPQRKLDASLKKDKIVHEWIRTGSGFSFDFQGPKSIVSAAQVEEKKIECGEGVYITLGRLSGGIIVLKHLEFSRRVAQQAKVWWSENWIKVYEEHNICGIDKSFDGRFDDRRVICQLRPN >fgenesh2_kg.7__2613__AT4G16980.1 pep chromosome:v.1.0:7:11725017:11725687:-1 gene:fgenesh2_kg.7__2613__AT4G16980.1 transcript:fgenesh2_kg.7__2613__AT4G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MCA3] MASSSSSSSQAFFLLTLSMVLIPFSLAQAPMMAPSGSMSMPPAMSPMPMMTPPPMPMAPPPMPMAPPPMSMASPPMMPMTPSTSPSPLTVPDMPSPPMPSGMEPTPSPGPMPPAMAASPDSGAFNVRNNVVALSCVVGVVAAHFLFV >fgenesh2_kg.7__2614__AT4G16970.1 pep chromosome:v.1.0:7:11727719:11732107:1 gene:fgenesh2_kg.7__2614__AT4G16970.1 transcript:fgenesh2_kg.7__2614__AT4G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase [Source:UniProtKB/TrEMBL;Acc:D7MCA4] MSENSEPRQLENSTAGRELIALGLTVSDGNDALSHYYRQAFELCGLLLSAGHPESVIDLCTKCTYFQGSPNLVKFLCSIPNSPISLAGDGFTVTPSRGFPSAPASFACSLGLPENVVLEQFMDPRSPKLKHLRENAEQEELPLMPLSKRSRNNGNDVNYSVIDSRPNDITTVASGSMLGTIRALESQASLLKLGACNRDIVAFDRNPQPCPQTRIFGFDILANASVDVNPTQGLEESKNDLPFPQKDGYCEQPEIGDIQIADKKILFEEGDDKNKKDLFPKGEIQTPYVQSNLAASSTPTESELEPVQIVEDTEDLLVEDHTVDICSTPVREQPLNPSAKEANQDKSLVQKTQDQCKSPGNSKTYSCSPEKKHTRKSKAIQKSKQNVNSVRPKDQKDQAKHNTIPDFDSYTIVEEEGSGGYGIVYKAKRKTDGTEFAIKCPHAGAQKYYVNNEIRMLERFGGKNCIIKHEGCLKNGDSDCIILEHLEHDRPDSLKREIDVYQLQWYGYCMFKALSSLHKQGVVHRDVKPGNFLFSRKTNKGYLIDFNLAMDLHQKYRRVDKSKAAAGLPTASKKHHTLVKSLDATNRGTNKSYQKTLTPNSLKKAAGKTTARNDMSRWERLNSQGAEGSGLTSAKDVTSTRNNPSGEKRREPLPCHGRKALLDFLQETMSVPIPNHEVSSKAPTSMRKRVAALPGKAEKELLYLTPMPLHSVSRPEAVGNIIQKKDGPCSGTKGFRAPEVCFRSLHQGPKIDVWSAGVTLLYLIMGRTPFTGDPEQNIKDIAQLRGSEELWEVAKLHNRESSFPEELYESRYLKGMELRKWCELNTKRREFLDAIPRSLLDLVDRCLTVNPRLRISAEDALRHDFFLPQWQSQPTVVADAVSHTLN >fgenesh2_kg.7__2615__AT4G16850.1 pep chromosome:v.1.0:7:11739556:11741269:-1 gene:fgenesh2_kg.7__2615__AT4G16850.1 transcript:fgenesh2_kg.7__2615__AT4G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVKRPGSSTRITTTLLDSFIILFRNKHILIPVFAFIAIPLAALHLSLILTSFRLKNHVFRLEALANVVHTRFEARQIWQESREDAVSLLHLKSRYFVPSFILSCIASITVITSTSFSHQGLNPSLKSSFASVKSSWMRVTATSIIIYGLLFLYSPIPMFLSALVGYTPTLRYLITIFCLGVEVYIMAITGLGLVVSVLEERYGFDAIKEGTALMKGRRITGLALAGVFVFLSSFIGHGMEKLAKELDMDSSSGSWWRSVVVAGGWDGWKLVCMYGAEVVLSYAVITVFYCECRKRHGSSDPIVADEEGLAI >fgenesh2_kg.7__261__AT4G37880.1 pep chromosome:v.1.0:7:1043946:1045886:-1 gene:fgenesh2_kg.7__261__AT4G37880.1 transcript:fgenesh2_kg.7__261__AT4G37880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7M9Z6] MELKSIKDAFDRVATKQKLSYSKTNEIVHLLSQEIDKALSILQETPSSDTLLDHRSILADVKKVFMEIAPITQLEAAEKELHAALTKYPKVLEKQLNPDISKAYRNNVEFDTHIVNQIIANFFYRQGMFDIGDCLVAETGESECSTRQSFVEMYRILEAMKRRDLEPALNWAVSNSDKLKQARSDLEMKLHSLHFLEIAQGKNSKEAINYARKHIATFADSCLPEIQKLMCSLLWNRKLDRSPYSEFLSPALWNNAVKELTRQYCNLLGESSESPLSITVKAGTQALPVLLKYMNVMANKKLDWQTMEQLPVDVQLSEEFQFHSVFVCPVSKEQSSDDNPPMMMSCGHVLCKQTINKMSKNGSKSSFKCPYCPTDVDISRCKQLHF >fgenesh2_kg.7__2621__AT4G16830.3 pep chromosome:v.1.0:7:11750163:11752370:-1 gene:fgenesh2_kg.7__2621__AT4G16830.3 transcript:fgenesh2_kg.7__2621__AT4G16830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNPFDLLGDDAEDPSQLAVSIEKIDKSKKSGPVSGFPAKSAPKLPSKPLPPAQAVREARSDAPRGGGGGRGGFSRGRGVYNREDGNNGYSGGYTKPSGDGDMSKSSYERRGGGGGGGGAPRGSFRGEGGGGRRGGLSNEGGEGERPRRAFERRSGTGRGSDFKRDGSGRGNWGTPGEELAAETEAVAGVDTEKDVGEKLAVDDVAADANKENTVVEEKEPEDKEMTLDEYEKILEEKKKALQSLATSERKVDTKVFESMQQLSNKKSNDEIFIKLGSDKDKRKDEKEEKAKKAVSINEFLKPAEGENYYRGGGRGGRGRGGRGRGGVSSGESGGYRNEAAPAIGDAAQFPSLGGK >fgenesh2_kg.7__2626__AT4G16800.1 pep chromosome:v.1.0:7:11768403:11769828:1 gene:fgenesh2_kg.7__2626__AT4G16800.1 transcript:fgenesh2_kg.7__2626__AT4G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase [Source:UniProtKB/TrEMBL;Acc:D7MCB2] MLKSLQNAFESIQQDSSARVVMIRSLVPGVFCAGADLKVRRTMSPSEVHTYVNSLRYMFSFMEALSIPTIAAIEGAALGGGLEMALACDLRICGENAVFGLPETGLAIIPGAGGTQRLSRLVGRSVSKELIFTGRKIDAIEAATKGLVNICVTAGEAHEKAIEMAQQINEKGPLAIKMAKKAIDEGIETNMASGLEVEEMCYQKLLNTQDRLEGLAAFAEKRKPLYTGK >fgenesh2_kg.7__2627__AT4G16790.1 pep chromosome:v.1.0:7:11773249:11774808:1 gene:fgenesh2_kg.7__2627__AT4G16790.1 transcript:fgenesh2_kg.7__2627__AT4G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7MCB3] MVEARSSKKPTQLGNKEDQNPRKFYSRFLFKALILTLLCAVVPVFLSQTPELANQTRLIELLHLVFVGIAVSYGLFSRRNYDGGGGEGTSNSDNNKADHSNNNLHPYVPKILEVSSVFNVGNESESEPSDDSSGDQRKFQTWKNKYHMKIPEVETRFVERVSSEIREKPLLLPVRSLNYSRVPDSSSDNSGRWEKVRSKRELLKTLGDDNSDVLPSPIPWRSRSSSSSVKNMATVESQPWIKNLTPSSAFPSPRKSNLLPNLASQFHPSPPPPPPPPPPLPAFYNSSSRKDHPGIYRVERRESSVHKAKFAGGEFHPPPPPPPPPPVEYYKSAPTKFRLGNERRKSSEQKMKRNSPKKVWWSNPIVESKEQDTEQNDQRSFLGSKATEESENEELRRRENEIHDEVEKKIVEEEGVSESNNGSDVDKKADEFIAKFREQIRLQRIESIKRSANKISANSSR >fgenesh2_kg.7__2629__AT4G16770.1 pep chromosome:v.1.0:7:11806374:11809175:1 gene:fgenesh2_kg.7__2629__AT4G16770.1 transcript:fgenesh2_kg.7__2629__AT4G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g16770 [Source:UniProtKB/TrEMBL;Acc:D7MCB5] MGTALKLPIIDLSSPEKLSTSRLIRQACLDHGFFYLTNHGVPEEMMEGVLMESKKLFSLPLDEKMVMARRGFRGYSPLYDEKHESSANSKGDSKEMFTFGSSEGVLGQLYPNEWPLEELLPLWRPTMECYYKTVMDIVGKKLLGLVALALNLEGNFFEKVGAFNDQAAVVRLLRYPGELNSSGEETCGASAHSDFGMITLLATDGVAGLQVCRDKDKEPKVWEDVVGIKGTFVVNIGDLMERWTNGLFRSTMHRVVSVGKERYSVAVFVDPDPNCVVECLESCCSETSPPRFPPVRAKDYFHERFSQTLASYSGSD >fgenesh2_kg.7__262__AT4G37870.1 pep chromosome:v.1.0:7:1049485:1053392:1 gene:fgenesh2_kg.7__262__AT4G37870.1 transcript:fgenesh2_kg.7__262__AT4G37870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGNGNATNGDGGFSFPKGPVMPKITTGAAKRGNGICHDDSGPTVNATTIDELHSLQKKRSAPTTPINQGAAAAFAAVSEEERQKIQLQSISASLASLTRDSGPKVVRGDPAEKKTDGSTTPAYAHGQHHSIFSPATGAVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSNGALATLSGAKTGRAPRDKRVVRDATTEDELWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQYLNWDPENRIKVRIVSARAYHSLFMHNMCIRPTQEELESFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPKRRILSLHSGCNMGKDGDVALFFGLSGIVVGKTTLSTDHNRYLIGDDEHCWTETGVSNIEGGCYAKCVDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYSDKSVTENTRAAYPIEFIPNAKIPCVGPHPTNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPTATFSACFGAAFIMLHPTKYAAMLAEKMKTQGATGWLVNTGWSGGSYGVGNRIKLAYTRKIIDAIHSGSLLKANYKKTEIFGFEIPTEIEGIPSEILDPINAWSDKKAHKETLVKLGGLFKKNFEVFANHKIGVDGKLTEEILAAGPIF >fgenesh2_kg.7__2631__AT4G16765.1 pep chromosome:v.1.0:7:11820787:11823211:1 gene:fgenesh2_kg.7__2631__AT4G16765.1 transcript:fgenesh2_kg.7__2631__AT4G16765.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7MCB7] MALLRRDLLGYTPLYAEKLDPSLSSIGDSKESFYFGSLKGVLAQRYPNQWPSEGILPSWRQTMECYYKNVLSVGRKLLGLIALALDLEEDFFEKVGALNDPTAVVRLLRYPGEVISSDVETYGASAHSDYGMVTLLLTDGVPGLQVCRDKSKQPHTWEDVPAIKGEFIVNIGDMMERWTNGLFRSTLHRVMPVGKERYSVVFFLDPNPDCNVKCLESCCSETCPPRFPPILAGDYIKERFRLTYATS >fgenesh2_kg.7__2632__AT4G16760.1 pep chromosome:v.1.0:7:11824203:11828902:1 gene:fgenesh2_kg.7__2632__AT4G16760.1 transcript:fgenesh2_kg.7__2632__AT4G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:D7MCB8] MEGVDHLADERNKAEFDVEEMKIVWAGSRHAFEVSDRIARLVASDPVFEKSNRARLSRKELFKSTLRKCAHAFKRIIELRLNEEEAGRLRHFIDQPAYVDLHWGMFVPAIKGQGTEEQQKKWLSLASKMQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHTPTQTASKWWPGGLGKVSTHAVVYARLITNGKDYGIHGFIVQLRSLEDHSPLPNITVGDIGTKMGNGAYNSMDNGFLMFDHVRIPRDQMLMRLSKVTREGKYVPSDVPKQLVYGTMVYVRQAIVADASIALSRAVCIATRYSAVRRQFGAHNGGIETQVIDYKTQQNRLFPLLASAYAFRFVGEWLKWLYTDVTERLAASDFATLPEAHACTAGLKSLTTTATADAIEECRKLCGGHGYLWCSGLPELFAVYVPACTYEGDNVVLQLQVARFLMKTVAQLGSGKVPVGTTAYMGRAAHLLQCRSGVQKAEDWLNPDAVLEAFEARALRMAVTCAKNLSKFENQEQGFQELLADLVEAAIAHCQLIVVSKFIAKLEQDIGGKGVKKQLNNLCYIYALYLLHKYLGDFLSTNSITPKQASLANDQLRSLYSQVRPNAVALVDAFNYTDHYLNSVLGRYDGNVYPKLFEEAWKDPLNDSVVPDGYHEYLRPVLKQQLRTARL >fgenesh2_kg.7__2633__AT4G16750.1 pep chromosome:v.1.0:7:11832381:11833233:1 gene:fgenesh2_kg.7__2633__AT4G16750.1 transcript:fgenesh2_kg.7__2633__AT4G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSSHGSQRNLRSPIPERTGKISKTNNEQKGVSKQPNFRGVRMRQWGKWVSEIREPRKKSRIWLGTFSTPEMAARAHDVAALAIKGGSAHLNFPELAYHLPRPASADPKDIQEAAAAAAAVDWKAPESPSSTVTSSPVADDAFSDLPDLLLDVNDHNKNDGFWDSFPYEDPFFLENY >fgenesh2_kg.7__2634__AT4G16745.1 pep chromosome:v.1.0:7:11853012:11855204:-1 gene:fgenesh2_kg.7__2634__AT4G16745.1 transcript:fgenesh2_kg.7__2634__AT4G16745.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7MCC1] MVNIKSPLRLFIEDSIKELIRGFRRQRIKWRNAFLLGSIMTTIVVLLHTPTFSVFSDEEETESSSPIYLNGSLHLNIQIVSSDAKVENLHALRTRTPIVQLNASEASGTVILRKRRKRKKRKKTKDELILPDPPPAQPRVLSSSERRALSLPPKKALTYAKLEIQRAPEIINDTDLFAPLFRNLSVFKRSYELMELILKVYIYPDGEKPIFHQPHLNGIYASEGWFMKLMESNTQFVTKNPERAHLFYMPYSVKQLQTSIFVPGSHNIKPLSIFLRDYVNMLSTKYPFWNRTHGSDHFLVACHDWGPYTVNEHPELRRNTIKALCNADLADGIFIPGKDVSLPETSIRNAGKPLRNIGNGNRVSQRPILAFFAGNLHGRVRPKLLKHWRNKDDDMKIYGPLPHNVARKMTYVQHMKSSKYCLCPMGYEVNSPRIVEAIYYECVPVVIADNFMLPFSDVLDWSAFSVVVPEKEIPRLKEILLEIPMRRYLKMQSNVKMVQRHFLWSPKPRKYDVFHMILHSIWFNLLNQNQTSFDDHNPDS >fgenesh2_kg.7__2635__AT4G16740.1 pep chromosome:v.1.0:7:11869885:11873129:-1 gene:fgenesh2_kg.7__2635__AT4G16740.1 transcript:fgenesh2_kg.7__2635__AT4G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATTPS03 [Source:UniProtKB/TrEMBL;Acc:D7MCC2] MPKRHVQPRFTRKAESKTPSQPLVARRSANYQPSLWEHEYLLSLGNTYVKEADNIERVMLLKQEVSKMLNETEGLLEQLELVDTLQRLGVSYHFEREIKKTLTNVHVKHVRAHQKRIDRWEDLYATALEFRLLRQHGFSIAEDVLDRNIGDDLDDTDIKGILSLYEASYLSTRIDTKLKETICYTTKRLRKFVEVNKSENKYYTLRRMVIHALEMPYHRRVGRLEARWYIDVYGERHDLNPILLEFAKLDFNFVQAIHQDELKSLSSWWSKTGLTKHLDFVRDRITESYFSSVGVIYEPEFAYHRQMLTKVFMLITTIDDIYDIYGTLEELQLFTTIVEKWDVNRLEELPKYMKLCFLCLVNEINQIGYFVLRDKGFNVIPYLKKIPMNYLPNSLLLSFKWADMCTTFLKEAMWYKSGYKPNFEEYMQNGWISSSVPTILLHLFCLFSDQALDILVSYNHSVVRSSATILRLANDLATSSVSHGGISERRHYEIRTMQSHARIDIQGTIGVAWDDLNLEKRSCNLHQGFVGAAVNLGRVAQCVYQYGDGHGCPDKAKTVNHVRSLLVHPVPLN >fgenesh2_kg.7__2638__AT4G16720.1 pep chromosome:v.1.0:7:11900566:11902011:1 gene:fgenesh2_kg.7__2638__AT4G16720.1 transcript:fgenesh2_kg.7__2638__AT4G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:D7MCC6] MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQQPSIVRLVRPTRPDKARRLGYKAKQGFVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWLNEDSTYKYYEIILVDPAHNAVRNDPRINWICNPVHKHRELRGLTSEGKKNRGLRGKGHNNHKNRPSRRATWKKNNSLVLRRYR >fgenesh2_kg.7__2641__AT4G16690.1 pep chromosome:v.1.0:7:11909169:11910500:1 gene:fgenesh2_kg.7__2641__AT4G16690.1 transcript:fgenesh2_kg.7__2641__AT4G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7MCD0] MGGDGGADPVIHFVFVHGASHGAWCWYKLTTLLDAAGFKSSSVDLTGAGISLTDSNTVMDSDQYNRPLFTLLSDLPPHHKVILVGHSIGGGSVTEALCKFTDKISMAIYLAAAMVQPGSIPSPNLSNLHVGEEEIWDYIYGEGADKPPTGILMKQEFRRHYYYSQSPLEDVTLSSKLLRPAPVRAFQDLDKLPPNPEAEKVPRVYIKTAKDNLFDSVRQDLLVENWPPSQLYVLEESDHSAFFSVPTTLFTYLLRAVSFLQR >fgenesh2_kg.7__2643__AT4G16660.1 pep chromosome:v.1.0:7:11925811:11930573:-1 gene:fgenesh2_kg.7__2643__AT4G16660.1 transcript:fgenesh2_kg.7__2643__AT4G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMFSWLVVLLSLISLVPVPSESAVSSVDLGSEWVKVAVVNLKRGQSPISVAINEMSKRKSPALVAFQSGDRLLGEEAAGITARYPNKVYSQLRDMVGKPFKHVKDFIDSVYLPFDIVEDSRGAVGIKIDDGSTVYSVEELLAMILGYASNLAEFHAKIPVKDMVVSVPPYFGQAERRGLIQASQLAGVNVLSLVNEHSGAALQYGIDKDFSNGSRHVIFYDMGSSSTYAALVYYSAYSEKEYGKTVSVNQFQVKDVRWDSGLGGQSMEMRLVEHFADEFNKQLGNGVDVRKFPKAMAKLKKQVKRTKEILSANTAAPISVESLHDDRDFRSTISREKFEELCKDLWERSLTPLKDVLKNSGLKIDDISAVELIGGATRVPKLQSTIQEFIGKQQLDKHLDADEAIVLGSALHAANLSDGIKLKRRLGIVDGSPYGFLVELEGPNVKKDESTKQQIVPRMKKLPSKTFRSFVLDKDFDVSLAYDSEGILPPGITSPVFAQYSVSGLTDASEKYSSRNLSAPIKANLHFSLSRSGILSLDRGDAVIEITEWVEVPKKNVTIDSNTTTATGNATDENSQENKEDQQTDAENSTASNTTAEEPAVVDLGTEKKLKKRTFRIPLKVVVEKTVGPGAPFTKESLAEAKIKLEALDKKDRERRRTAELKNNLESYIYATKEKLETPEFEKVSTQEERKAFVEKLDEACINFLLNYIYYLVPMVQDWLYMDGEDANATEFEERLDSLKAIGSPISFRSEELTARPVAIEYARKYLTELKEIIKEWETNKTWLPKEKIDEVSKEAEKVKSWLDKNVAEQEKTSLSSKPVFTSTEVYAKVFTLQDKVTKVNKIPKPKPKIEKATKTENTTKEEEQSKSSDEAAKEEESHDEL >fgenesh2_kg.7__2644__AT4G16650.1 pep chromosome:v.1.0:7:11933313:11937445:-1 gene:fgenesh2_kg.7__2644__AT4G16650.1 transcript:fgenesh2_kg.7__2644__AT4G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVAEVWRSSVRLLTNSPQPNGGSHKSALWKWRSFSGQPKRTVMWTWVCGFMLFSLGVISLFTGHVVSHLEWYSQQLSKRSLLDMSRREPIDVWKSKYSKFFYGCSERGRNFPPAVQEHSSNGYLLIAASGGLNQQRTGITDAVVVARILNATLVVPELDHHSYWKDDSDFSDIFDVNWFISSLAKDVTIVKRVPDRVMRAMEKPPYTTRVPRKSTLEYYLDQVLPILSRRHVLQLTKFDYRLANDLDEDMQKLRCRVNYHALRFTKRIQSVGMKVVKRMRKMAKRFIAVHLRFEPDMLAFSGCDFGGGEKERAELAEIRKRWDTLPDLDPLEERKRGKCPLTPHEVGLMLRALGFTNDTYIYVASGEIYGGEKTLRPLRELFPNFYTKEMLANDELKPLLPFSSRLAAIDYIVSDESDVFITNNNGNMAKILAGRRRYMGHKRTIRPNAKKLSALFMDREKMEWQTFAKKVKSCQRGFMGDPDEFKPGRGEFHEYPQSCICQRPFSYDKTSTDDEEEDTSEENHNSTSPGHVHLSSADNERDEVFPD >fgenesh2_kg.7__2645__AT4G16640.1 pep chromosome:v.1.0:7:11943315:11944685:-1 gene:fgenesh2_kg.7__2645__AT4G16640.1 transcript:fgenesh2_kg.7__2645__AT4G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLIYRNRALCFVLILFSFPYRFAARNLREAEESTAKATQIIHVSNSTWHDFSRLVDVQIGSHVNGVSELKRYLHRFGYVNDGSESFSDVFDGPLESAISLYQENLGLPITGRLDTSTVSLMSLPRCGVSDTHMIINNGVIHTTAHYTYFNGKPKWNRDTLTYAISKTHKLDYLTSEDVKTVFRRAFSQWSSVIPVSFEEVDDFSAADLKIGFYAGDHGDGLPFDGVLGTLAHAFAPENGRLHLDAAETWVVDDDFKGSSEVAVDLESVATHEIGHLLGLGHSSQESAVMYPSLRPRTKKVDLTVDDVAGVLKLYGPNPKLRLDSLTQSEDSIKNGTVSRRFLSGNFIGYVLLVVLILFL >fgenesh2_kg.7__2646__AT4G16630.1 pep chromosome:v.1.0:7:11949805:11954247:1 gene:fgenesh2_kg.7__2646__AT4G16630.1 transcript:fgenesh2_kg.7__2646__AT4G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGFFFEDASDDELELLRNQEDSSEEDVEEDEAEEHKSGEDEDGEDNEEDDEEEEEEEDEKRKRDADAQSPWDFASYSSSVGEEHARRHTTSIDEKISKAIQHRPVPISIEEEEEEEEEEEEEEDASDAEPDKQEEYLSEDDGAAEYKPEDFTPKPFFSTVDGVSFHADSFMELNLSRPLLRACETLGYKKPTPIQAACIPLALTGRDLCASAITGSGKTAAFALPTLERLLFRPKRVFATRVLILTPTRELAVQIHSMIQKLAQFTDIKCGLIVGGLSVREQEVVLRSMPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLQTGFATEITELVRLCPKRRQTMLFSATMTEEVKELVKLSLNKPLRLSADPSARRPPGLTEEVVRIRRTREANQEAVLLSLCTRTFKSKVIIFSGTKQAAHRLKILFGLAGLKAAELHGNLTQAQRLDSLELFRKQEVDFLIATDVAARGLDIIGVQTVINYACPREIDSYVHRVGRTARAGREGYAVTFVTDSDRSLLKVIAKKVGSKLKSRVIPEQSIVKWSHIIDEMEDQYSAVISAERDERALRKAEMEFAKAENMLEHRDEIYARPKRTWFMTEKEKKLVAQAEKDSAGNPSGGELVSADRAEDFKMKEKRKREREKNLPRKKRRKLEAAREMLEDNEGEEAEEEGDEKRGKSRGKDKKKQETEKKGLTLKDLGYMRAKAVKAKQRAIDSGKMERPTPNKKPNRSKPRNQPRDEEMKDLFKSDMSEKKQGRGGAAAAAKPRTKSKNSFKSKARYKRR >fgenesh2_kg.7__2648__AT4G16610.1 pep chromosome:v.1.0:7:11964231:11965174:1 gene:fgenesh2_kg.7__2648__AT4G16610.1 transcript:fgenesh2_kg.7__2648__AT4G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKHKCKLCSKSFCNGRALGGHMKSHLVSSQSSTRKKLGDSVYSSSSSSSDGKALVYGLRENPRKSFRVFNPDPESSTVYNSETETEPESGDPVKKRVTANVSKKKKKAKSKSKKQKTSHESPEPASSVSDGSPEQDLAMCLMMLSRDSRELEIKLKKPVSEEEETKPEKRHFPELRRCMIDLNLPPPQDAEAVTVVSAI >fgenesh2_kg.7__264__AT4G37840.1 pep chromosome:v.1.0:7:1055965:1058062:1 gene:fgenesh2_kg.7__264__AT4G37840.1 transcript:fgenesh2_kg.7__264__AT4G37840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:D7M9Z9] MTRKEVVLAVTAATVTAVAAVVLMGRWIRRKERRRKHTQRILRKFARECATPVSKLWAVADALVADMTASLAAATAECCGSLNMLVSFAGSLPSGDEKGVHYGVNLRGRELLLLRGTLGGNEEPISDVHKQEIPIPEDVLNGSFKELCDFISLELVKFLAMNPGEEAEEVNNLGFTLTRSVEQIGSGSISAIHRKSLANDDDDKVLKDLVNDMNESLERHGLKIRMNTALVDNTIGELAGGRYYHKDTVAAVSLGLGTNAAYIEQAQEISRWKSAIFEPQEIVVSTEWGDFRSCHLPITEFDASLDAESLNPGHRVFEKMVSGRYLGEIVRRVLLRMSEESALFGDTLPPKLTIPYILWSPDMAAMHQDISEEREIVNKKLKEVFGIMDSTLAAREVVIEVCDVVAERAARTAGAGIVGMIKKLGRLEKKMSIVIVEGGLYDHYRVFRNYLHSSVWEMLGDELSDHVVIEHSHGGSAAGALFLAACGDGGQQESESQ >fgenesh2_kg.7__2651__AT4G16580.1 pep chromosome:v.1.0:7:11994158:11996046:1 gene:fgenesh2_kg.7__2651__AT4G16580.1 transcript:fgenesh2_kg.7__2651__AT4G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVREGLQKQVKILIGLGNLGFGGYRGLHSRFTNPNGFLEPASSDLLLINERRNLSVVGAVSRTFSVPSVSGPAFQVCGYHIDLLLSDPCKSMASLGSKSLFLDRRCDSLVSKRFTGGMVSGDGLNRGRISMRLRGKDHQEKSTIYAYFAYRGAKRWIYLNQQRRGMGFRGLHSSLSNRLSAGNAPDVSLDNSVTEEQVRDSSDSVADKLCTKPLKLVSGSCYLPHPDKEATGGEDAHFICAEEQALGVADGVGGWAELGIDAGYYSRELMSNSVNAIQDEPKGSIDPARVLEKAHTCTKSQGSSTACIIALTNQGLHAINLGDSGFMVVREGHTVFRSPVQQHDFNFTYQLESGRNGDLPSSGQVFTVAVAPGDVIIAGTDGLFDNLYNNEITAIVVHAVRANIDPQVTAQKIAALARQRALDKNRQTPFSTAAQDAGFRYYGGKLDDITVVVSYVAASKEEGKH >fgenesh2_kg.7__2652__AT4G16566.1 pep chromosome:v.1.0:7:12002402:12003743:1 gene:fgenesh2_kg.7__2652__AT4G16566.1 transcript:fgenesh2_kg.7__2652__AT4G16566.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine triad family protein [Source:UniProtKB/TrEMBL;Acc:D7M9S1] MAGANQACIFCEIVRNPTTTRLLHTDEKVIAFQDIKPAAQRHYLVIPKEHIPTVNDLQRRDEDYSLVRHMLSVGQELLRKDAPQNIHRFGFHQPPFNSVDHLHLHCFALPYVPRWKAIKYKSLGPLGGFIEAETLLEKIRPLLSKV >fgenesh2_kg.7__2658__AT4G16515.1 pep chromosome:v.1.0:7:12071432:12071935:1 gene:fgenesh2_kg.7__2658__AT4G16515.1 transcript:fgenesh2_kg.7__2658__AT4G16515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSGRSGLVMVFCFILLLLSSNVGCAAAARRLGSHKHHHKVASLDVVNGGERRRVLGGVETGEEVVVMDYPQPHRKPPIHNEKS >fgenesh2_kg.7__2660__AT4G16500.1 pep chromosome:v.1.0:7:12083611:12084209:1 gene:fgenesh2_kg.7__2660__AT4G16500.1 transcript:fgenesh2_kg.7__2660__AT4G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:D7M9U3] MMMKSLIFLSLILLPLVSVVEGLGGGGLGTRKPIKNVSDPDVVAVAKYAIDEHNKQSKEHLVFVKVVEGTTQVVSGTKYDLKIAAKDGSGKIKNYEAVVVEKLWLHSKTLESFKAA >fgenesh2_kg.7__2666__AT4G16460.1 pep chromosome:v.1.0:7:12111954:12113528:-1 gene:fgenesh2_kg.7__2666__AT4G16460.1 transcript:fgenesh2_kg.7__2666__AT4G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAVGSRSSSRKEQERERRRLRDRERRQSMSQDERERHLARRRKNYQLRRQKAEINRLDSQIQAISGGSQAAVISPPDSGASLVESDQSVAIPVEELAKLMGTIRLTRLKHLARTLNKSSSSTGAESSNTGATDAKTRCAMSNGLRLSRVKRLVRAKGQQERFFSHSQTQEPQLH >fgenesh2_kg.7__2668__AT4G16447.1 pep chromosome:v.1.0:7:12117121:12117581:-1 gene:fgenesh2_kg.7__2668__AT4G16447.1 transcript:fgenesh2_kg.7__2668__AT4G16447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQSSIVLLQERFRQLQRARELRAERELLNPKPNHQDNILQYYSEPTSFGFFQFLPTNSQTSSSQQLLSLSLCPHPTSESIEKPSFCHQWPNKDDKKMGGIDRYDDVDTSLHL >fgenesh2_kg.7__2669__AT4G16444.1 pep chromosome:v.1.0:7:12120090:12121881:1 gene:fgenesh2_kg.7__2669__AT4G16444.1 transcript:fgenesh2_kg.7__2669__AT4G16444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKLIEDRGFLAAPLTFFVVVVFQLLSKWLDQLKKKGSKNTRESELRSEIKQLLREASALSQPATFAQAAKLRRSAATKEKELAQYLEQHNKEIKLSYDMYGKVLLASKVVIYLILVLCFWRTPIAIIAKQLVQPFGTLLSWGTGGHLTGHVMVGIIPWLILSTRVSKYVCRFVEF >fgenesh2_kg.7__2675__AT4G16420.1 pep chromosome:v.1.0:7:12135711:12139328:1 gene:fgenesh2_kg.7__2675__AT4G16420.1 transcript:fgenesh2_kg.7__2675__AT4G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter [Source:UniProtKB/TrEMBL;Acc:D7M9V4] MGRSRGNFQNFEDPTQRTRKKKNAANVENFESTSMVPGTEGGGKYNCDYCQKDITGKIRIKCAVCPDFDLCVECMSVGAEITPHKCDHPYRVMGNLTFPLICPDWSADDEMLLLEGLEIYGLGNWAEVAEHVGTKSKEQCLEHYRNIYLNSPFFPLPDMSHVAGKNRKELQAMAKGRIDDKKAEQNMKEEYPFSPPKVKVEDTQKESFIDRSFGGKKPVTTSVNNSLVELSNYNQKREEFDPEYDNDAEQLLAEMEFKENDTPEEHELKLRVLRIYSKRLDERKRRKEFIIERNLLYPNPFEKDLSQEEKVQCRRLDVFMRFHSKEEHDELLRSVVSEYRMVKRLKDLKEAQVAGCRSTAEAERYLGRKRKRENEEGMNRGKESGQFGQIAGEMGSRPPVQASSSYVNDLDLIGFTESQLLSESEKRLCREVKLVPPVYLQMQQVMSHEIFKGNVTKKSDAYSLFKIDPTKVDRVYDMLVKKGIAQL >fgenesh2_kg.7__2677__AT4G16410.1 pep chromosome:v.1.0:7:12139348:12139988:1 gene:fgenesh2_kg.7__2677__AT4G16410.1 transcript:fgenesh2_kg.7__2677__AT4G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPKMKLSCLQPHLHLTSSRSLAAAQAFPCSNIRNTTSCLVRCGSGRRKVTTKSSRSSKLEKAQQPLGLERRWRDAVVGGVSVGLMMVLVLGMDAEKALALGPEGPLMEEFWDNVRRYGLYALTVSTGALSAVFEPIFELLKNPISAILILLILGGSFYIVSQVVSAMIGVNEFAYEYSY >fgenesh2_kg.7__2680__AT4G16380.2 pep chromosome:v.1.0:7:12146382:12147981:-1 gene:fgenesh2_kg.7__2680__AT4G16380.2 transcript:fgenesh2_kg.7__2680__AT4G16380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGKEKVTMMKLKVDLDCAKCYKKVKKVLCKFPQIRDQLFDEKSNIVIIKVVCCSPEKIMDKLCSKGGGSIKTIEIVEPPKPPQPQAQQPPPQKPKDAQPKAPEKPKEPEKPKQPEKPKEPEKPKQPEKPKEPAPAPAAKPAPAPGPAPAPGPAPKQPGPPVAIPMMPQGQPAMCCGPYYDGYGGPSFNGYGMPPPQPYECYGRPVYESWGGGCPPPPAYRHCHVTRCDYFSEENPQSCSIM >fgenesh2_kg.7__2684__AT4G16350.1 pep chromosome:v.1.0:7:12170496:12172064:1 gene:fgenesh2_kg.7__2684__AT4G16350.1 transcript:fgenesh2_kg.7__2684__AT4G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQCLDGIKQLSLILLTCCDSDLPKGRQNPKDVARGTVFTVNEIEALYELFKSISKDGLIDKEQFQLVLFKMNTTRSLFADRVFDLFDTKHTGILDFEAFARSLSVFHPNAKFEDKIEFSFKLYDLKQQGYIKRQEVKKMVVRTLAESGMNLSDHVIESIIDKTFEEADTKLDGKIDKEEWRSLVLRHPSLLQNMSLQHLKDVTKTFPNFVFHTIVTDTPSELDR >fgenesh2_kg.7__2685__AT4G16340.1 pep chromosome:v.1.0:7:12187350:12200052:1 gene:fgenesh2_kg.7__2685__AT4G16340.1 transcript:fgenesh2_kg.7__2685__AT4G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNLGLRFRKIPRQPVALPKLDPLLDENLEQWPHLNQLVQCYGTEWVKDVNKYGHYENIRPDTFQTQIFEGPDTDTETEIRLASARSATIEEDVASISGRPFSDSGSSKHFGQPPLPAYEPAFDWENERAMIFGQRTPESPAASYSSGLKISVRVLSLAFQSGLVEPFFGSIALYNQERKEKLSEDFYFHILPTEMQDAKNSSENRGVFYLDAPSASVCLLIQLEKTATEEGGVTTSVYSRKEPVHLTEREKQKLQVWSRIMPYRESFAWAVVPLFDNNVTTNTGESASPSSPLAPSMTASSSHDGVYEPIAKITSDGKQGYSGGSSVVVEISNLNKVKESYSEELIQDPKRKVHKPVKGVLRLEIEKHRNGHGDFEDLSENGSIINDSLDPTDRLSDLTLMKCPSSGSGGPRNGCSKWNSEDAKDVSRNLTSSSGTPDLNCYHAFDFCSTTRNEPFLHLFHCLYVYPVAVTLSRKRNPFIRVELRKDDTDVRKQPLEAIYPREPGVSLQKWVHTQVAVGARAASYHDEIKVSLPATWTPSHHLLFTFFHVDLQTKLEAPRPVVVGYASLPLSTYIHSRSDISLPVMRELVPHYLQETTKERLDYLEDGKNIFKLRLRLCSSLYPTNERVRDFCLEYDRHTLRTSPPWGSELLQAINSLKHVDSTALLQFLYPILNMLLHLIGNGGETLQVAAFRAMVDILTRVQQVSFDDADRNRFLVTYVDYSFDDFGGNQPPVYPGLATVWGSLARSKAKGYRVGPVYDDVLSMAWFFLELIVKSMALEQARLYDHNLPSGEDVPPMQLKESVFRCIMQLFDCLLTEVHERCKKGLSLAKRLNSSLAFFCYDLLYIIEPCQVYELVSLYMDKFSGVCQSVLHECKLTFLQIISDHDLFVEMPGRDPSDRNYLSSILIQELFLSLDHDELPLRAKGARILVILLCKHEFDARYQKAEDKLYIAQLYFPFVGQILDEMPVFYNLNATEKREVLIGVLQIVRNLDDTSLVKAWQQSIARTRLYFKLMEECLILFEHKKAADSILGGNNSRGPVSEGAGSPKYSERLSPAINNYLSEASRQEVRLEGTPDNGYLWQRVNSQLASPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENVSATVSLQVLEITEIFSSMVASHNIATDYGKLDCITTILTSFFSRNQSLAFWKAFFPIFNRIFDLHGATLMARENDRFLKQIAFHLLRLAVYRNDSVRKRAVIGLQILVKSSLYFMQTARLRALLTITLSELMSDVQVTHMKTDNTLEESGEARRLQQSLSEMADEAKSVDLLRECGLPDDTLLIIPEKFTENRWSWAEVKHLSDSLVLALDASLGHALLGSVMAMDRYAAAESFYKLGMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVARNDGVWSKDHVSALRKICPMVSGEFTTEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELYHFCASILELVIPVYKSRKAYGQLAKCHTLLTNIYESILDQESNPIPFIDATYYRVGFYGEKFGKLDRKEYVYREPRDVRLGDIMEKLSHIYESRMDSNHILHIIPDSRQVKAEELQAGVCYLQITAVDAVMEDEDLGSRRERIFSLSTGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVTKSESLEFSPVENAIGMIETRTTALRNELEEPRSSDGDHLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >fgenesh2_kg.7__2687__AT4G16310.1 pep chromosome:v.1.0:7:12205684:12212442:-1 gene:fgenesh2_kg.7__2687__AT4G16310.1 transcript:fgenesh2_kg.7__2687__AT4G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTGRKSGSKRGSKVFQFDDDADDDEPIGSLLEIMKNKSSKKDKVETESTGKQRQKQVVEKKLSALDKNSEDMDDTLASFRKRLKGNKKGVGSGTPRIRNHEGVPPIDTVANSNLKPIEEGNTNKVQSVLLRENGASNSIQKCASETGTLLHKFYGKDEAASPGHEKVETVSREKEADVFHQITEEESERPMSEKALELSRVSVPMPDVHGEVYCPIAPDQHIHLGEPASESGYYREKNLVMCDCGIQVNFEDHSFESTTHVTLCHKCKYSSHHNASNGGGIQVNTLEDGTAQASPVSIIPCEDENFRGDAISLPNSRKLSTLQRPERIAKKRKLGNMVYEGDMKWENEQGFLDCQSDKSFKGSDKCDFVPSISKDIEIGRAAAVTAGLKAQSVSPIEKIILKEVLKRKGSHQEYLVCRYSILGLWSKNVSRILPVTECGVTGGPSENELPSASLIREVYKFLDQRGYINAGISSVKGKAGSLTNQDYDLLQGRKLEESSMASVADSEEGVAFILGQVKAVESTSEGKKCAFQNDERDLVGCATSEMLESTSKTCEASIIDDCKHSVSINALQDGTASNVEKHPETFSVAKPALSSTLSSANSNQMIGRDCVPCEVKDEKKVIVIGAGPAGLTAARHLQRQGFSVTVLEARSRVGGRVFTDRSSLSVPVDLGASIITGIEADVPSERMPDPSVLVCNQLGLELSVLHGFCPLYDTVTGKKVPAELDDALQAEFNSLIDDVDLLVEEIGKERANKMSLEDGLEYGLQRLRMPHDKVNIDKIELANSSSKTGIRGPFTQDESWKDDFLNPLERRVMNWHFAHTEYGCAAVLKEVSLPHWNQDEFYGGFGGPHAMIKGGYSRVVESLAEGLDIHLNKIVSEVSYASDVSAMHNSKHKVRVSTSNGCEYLGDAVLVTVPLGCLKAETIKFSPPLPDWKYASIKQLGFGVLNKVVLEFPEVFWDDSVDYFGATAEETDLRGECFMFWNVKKTVGAPVLIALVVGKAAFEYTNKSTSEHVNHAMMVLRKLFGGDLVPDPVASVVTDWGTDPYSYGAYSYVAIGASGEDYDVLGRPVQNCLFFAGEATCKEHPDTVGGAMMTGVREAVRIIDILRSGNDYTSEIETLEKAQRKSVPVRDEVRDLIKRLEVVELSNVLARQSLLRNMFFSAKTTVGRLHLAKELLNLPGETLKSFAGTKEGLAVLNSWILDSMGKNGTQLLRHCVHILVRVTSDLFAVRLSGIGKTVKEKVCAHTSRDIRAIASQLVNVWLELYRKEKVNSGKKSLRQANTTNTSRIRRKLNSPDTDSKGKLSNGNDVKTDEELEDNQLPMSEEEKAVFAEAEAARAAAEAAAKAFSEAYHNTSLQLPKIPSFHKFARREQYAKMDESDFRKKFPGNVLGRQDCMSEIDSRNCKVRDWYDFPASCLDLDNSRIPVDNYSQRSHSNELVSHSKFRECSGESVAADTSFLTGAWVDTGGSSDGFKDSQAIDRWQSQAAAADPEFFNRTLHIKDEEDSIACSTGPPSWKHDQRANECSVSQVTVNKEPHKNHIRSADRLKQGVVDFVASLLMAPYRAKKIDRDVYKSIMKKTATKVMQHTTDVEKAMAVTQFLDSKRKNKIRDFVDKQVDKYMAIAQVPKP >fgenesh2_kg.7__2690__AT4G16280.3 pep chromosome:v.1.0:7:12219636:12227015:1 gene:fgenesh2_kg.7__2690__AT4G16280.3 transcript:fgenesh2_kg.7__2690__AT4G16280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPPDRVDFQPMRPRNGGSFRPMGFAYDDGFRPMGPNGGVGGEGTRSIVGAGGGRGGFRSNGPAKFQPSESPDGRRFIGKAMESDYSVRPTTPPVQQPLSGQKRGYPSSDHGSYTGADVSDHSSTVKLFVGSVPRTAIEEEVRPFFEKHGNVLEVALIKDKRTGQQQGCCFVKYATSKDADRAIRALHNQITLPGGTGPVQVRYADGERERIGTLEFKLFVGSLNKQATEKEVEEIFLQFGRVEDVYLMRDEYRQSRGCGFVKYSSKETAMAAIDGLNGTYTMRGCNQPLIVRFADPKRPKPGESRDMTAPVGLGSGPRFQVSGQRPTSNFGDSSGDVSHTNPWRPANSQNVGPPSNTGIRGAGTDFSPRPGQATLPSNQGGLFGGYGVPPLNPLPVSGVSSSAKLQQQNRAAGQQISPLKKPLHSPQGLPLRPHFPGAQAPLQNPYTYSSQLPSSQLPPQQNVTRATAPQTPLNINLRPTHVSSATDQFPPRSQQQPLQRMQHPPSELAQLLSQQTQSLQATLQSSQQAISQLQQQNGQAGKQQV >fgenesh2_kg.7__2692__AT4G16265.1 pep chromosome:v.1.0:7:12230014:12231737:1 gene:fgenesh2_kg.7__2692__AT4G16265.1 transcript:fgenesh2_kg.7__2692__AT4G16265.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:D7M9X1] MSTMKFCRECNNILYPKEDKEQSILLYACRNCDHQEAADNNCVYRNEVHHSVSEQTQILSDVASDPTLPRTKAVRCAKCQHGEAVFFQATARGEEGMTLFFVCCNPNCSHRWRE >fgenesh2_kg.7__269__AT4G37800.1 pep chromosome:v.1.0:7:1069896:1071568:1 gene:fgenesh2_kg.7__269__AT4G37800.1 transcript:fgenesh2_kg.7__269__AT4G37800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MA02] MVVSLFSSRNVFFTISLCLFAALYRPVSSRPAKFEDDFRIAWSDTHITQIDGGRAIQLKLDPSSGCGFASKKQYLFGRVSMKIKLIPGDSAGTVAAFYMNSDTNSVRDELDFEFLGNRSGQPYTVQTNVFAHGKGDREQRVNLWFDPSRDFHEYAISWNHLRIVFYVDNVPIRVYKNNEARKVPYPRFQPMGIYSTLWEADDWATRGGIEKINWSGAPFYAYYKDFDIEGCPVPGPTYCPSNPKNWWEGSAYHQLSPVEARSYRWVRVNHMVYDYCTDKSRFPVPPPECSAGI >fgenesh2_kg.7__26__AT2G45250.1 pep chromosome:v.1.0:7:64952:66381:1 gene:fgenesh2_kg.7__26__AT2G45250.1 transcript:fgenesh2_kg.7__26__AT2G45250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKTPSLGAELLCSARILSDPSPSSRRNSISSLYLPHGGGGTRTPPKNPIQQDSKSIGVKVESPTTNAASGRLVYVRRRVEVDTSKAAASTNPNPPKVPPQPQAQETTTTSHKLDWEERYLHLQMLLNKLNQSDRTDHVQMLWSLSSAELSKHAVDLEKRSIQFSLEEAREMQRVSALNVLGRSVNSLKSTSNDGE >fgenesh2_kg.7__2701__AT4G16160.2 pep chromosome:v.1.0:7:12346094:12347400:-1 gene:fgenesh2_kg.7__2701__AT4G16160.2 transcript:fgenesh2_kg.7__2701__AT4G16160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOEP16-2/ATOEP16-S [Source:UniProtKB/TrEMBL;Acc:D7MA70] MDKSGGRMVMDEIRSFEKAHLFDLGHPLLNRIADSFVKAAGVGALQAVSREAYFTVVEGAGFDSNNVGPPSEITGNKKHRFPNLRGESSKSLDALVKNTGKESLQWGLAAGLYSGITYGMKEVRGGAHDWRNSAVAGALTGAAMAMTTSERTSHEQVVQSALTGAAISTAANLLSSVF >fgenesh2_kg.7__2703__AT4G16144.1 pep chromosome:v.1.0:7:12378151:12381823:1 gene:fgenesh2_kg.7__2703__AT4G16144.1 transcript:fgenesh2_kg.7__2703__AT4G16144.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g16144 [Source:UniProtKB/TrEMBL;Acc:D7MA75] MKIDLNKVARKIEVDNRIPLRNYYRIADNLLRQASIYREEKNVVDLYIMLLRYSSLISETIPFHRDYQASLPQERLGSRKRLRAVINELESLKPEFNRLVDKLNRVEDESRLVGSDLPIVSYSSDAVEWPPAHKASYSGPDINKPLPTSQPSWTYNNNLTSSSNRTQIDQQFQKLSFDFLPPNQATLSRHSFLGPNGLKSQWVAPKSEIKVQYPSNTDWGSADNSGLIEAGPSGSSASHNGDSQEVSTLNSVLSLDDGRWQQHSEAVNSQFISDATEDPFQFVGMKQPSPPPVLAQVHQELAQICPSKVADPRPGPAIPSLEGKEGSNSYQHLHVPVRIMDDFLRLARSNTERNLETCGVLAGSLKNRVFHITTLIIPKQESTSDSCQTLNEEEIFEVQDRLSLFPLGWIHTHPTQTCFMSSVDLHTHYSYQIMLPEAVAIVMAPTDESTPHGIFHLSDPSGVSVIRNCQQRGFHPHEESEDGNPIYEHCSHVFLNAKLKYEVLDLR >fgenesh2_kg.7__2707__AT4G16120.1 pep chromosome:v.1.0:7:12406164:12409077:1 gene:fgenesh2_kg.7__2707__AT4G16120.1 transcript:fgenesh2_kg.7__2707__AT4G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSEB1 [Source:UniProtKB/TrEMBL;Acc:D7MA80] MALAPNFIPPLLLLSLLIVSIPLTSSQSDANTTNPPPSDSDLCNGVFVSYTYTKGSKIPPNDTANQPYRFESVITVLNHGRDELKSWRVFVKFAHREILVSALNAVLSDGSSLPVSVENGTVFAGYPSSDLKSAIQTAGDVTQMQARVELVGTQFGVAPPNVPLPKNITLAIDGWKCPKATQKGKNVLQVCCTPDPDYDNTEIIDNEFLPRQDGDLTIMYDVVRSYSSNYMAQVTMENHNPLGRLDNWKLSFDWMRDEFIYTMKGAYPSIVDSSDCVDGPQAKYFQDLDFSNVLSCARRPTIIDLPPTKYNDSTFGLIPFCCRNGTILPRSMDPSKSSSVFQMQVYKMPPDLNISALSPPQNWRINGTLNPDYKCGPPVRVSPSQFADPSGLPSNRTAFASWQVVCNITQPKDASPRCCVSFSAYFNDSIVPCKTCACGCSSNKAARACSTTAPSLLLPQQALLVPFENRTELTGAWAYLKHRPVPNPMPCGDNCGVSINWHLATDYRGGWTARVTVFNWGETDFVDWFTAIQMKNAAPGFEKAYSFNASTLGINGENNTIFMEGLPGLNYLVAERDGENPLKNPRIPGKQQSVISFTKKLTPGINVPGGDGFPSKVFFNGEECSLPTILPVRSSQHRRHISAFLLALPVLALLILRV >fgenesh2_kg.7__270__AT4G37790.1 pep chromosome:v.1.0:7:1083571:1084939:-1 gene:fgenesh2_kg.7__270__AT4G37790.1 transcript:fgenesh2_kg.7__270__AT4G37790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein 22 [Source:UniProtKB/TrEMBL;Acc:D7MA03] MGLDDSCNTGLVLGLGLSPTPNNYNHAIKKSSAIVDHRLIRLDPSLTLSLSGESYKTKTAAGAGDQICRQTSSHSGISSFSSGRVKREREICGGDGEEEAEETTERVVCSRVSDDHDDEEGVSARKKLRLTKQQSAVLEDSFKLHSTLNPKQKQNLARQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENRRLQKELQDLKALKLSQPFYMHMPAATLTMCPSCERLGGGGGGAGGVGGGTAAVDGETAKGAFSIVTKPRFYNPFTNPSAAC >fgenesh2_kg.7__2710__AT4G16095.1 pep chromosome:v.1.0:7:12431507:12432316:-1 gene:fgenesh2_kg.7__2710__AT4G16095.1 transcript:fgenesh2_kg.7__2710__AT4G16095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTKLELENSSVKDLLGMTKLRTLTVHSLDSCTLETLSASLRESRELEHLSLYEVMHGRHNEGKLVLDSIHLKFLTVGMHMTRLPDQHRFPPNLAHICLRYCCMEEDPMPILEKLLHLKFVELSYRAFTGKRMELPDELIYITSLKELTIGWRDSEWGWKLSERGQDHYKVHHIPVFILFTRPLSNFMIPRLILVC >fgenesh2_kg.7__2711__AT4G16080.1 pep chromosome:v.1.0:7:12451152:12452511:1 gene:fgenesh2_kg.7__2711__AT4G16080.1 transcript:fgenesh2_kg.7__2711__AT4G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MA86] RCQTLRMFSSSTTNPFLLHRATPCGEEAQMTEFLMFDPAKEEYFTIVDKPLPKDLIKSRLVGSSHGWGVYLSSPDYILISNYPSCSKSNPKIIDLPPRPSLNGSQTEMVSGVAITWDVPVSIYTPGRETKGFLLAMSTRLFDYFEQSKLMYSKRDQRFYMPSSGGHHLWSWVGLPSTTPQYHELKFHNLPQFSHSELQLFDSCYRTHELVEVVCLLLRFHYSIVFREEEDMNMCYTEDIGDLCIFLGNNEPFCVKASSFPGLKPNSIYFLGEGYGEGYGVYDIATKTPRSFTPKSTPNCCSEQIVLPY >fgenesh2_kg.7__2712__AT4G16070.1 pep chromosome:v.1.0:7:12453187:12457516:1 gene:fgenesh2_kg.7__2712__AT4G16070.1 transcript:fgenesh2_kg.7__2712__AT4G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7MA87] MAAGVMVTATGAVVILYLLSRRIVWARNGEDDSGGELGKSGRSGRRRIVRRPAQAPATWLETISTLSETLRFTYSETLGKWPIADLAFGINYLMRRQGNFPTASVYAGSNCIELKGPEIIMELTELLRFLTLCMLFSKKPFAVFLETAGYTHEDVLLQKPKAGIMQPAFTIIRDTNSKCLLLLIRGTHSIKDTLTAATGAVVPFHHSVLHDGGLSNLVLGYAHCGMVAAARWIAKLSVPCLLKALDENPSFKVQIVGHSLGGGTASLLTYILREQKEFSSATCFTFAPAACMTWDLAESGKQFITTIINGSDLVPTFSAASVDDLRSEVTSSSWSNDLRDQVEHTRVLSVVYRSATAIGSRLPSIASAKAKVAGAGAILRPVSSGTQVMLKRAQDVAQAVVQTRSTLSSWSCIGPRRRAISSQLNSKVTDLPEASAIMPERRSTEALVAETVVIDRKCHKRIEHSSSSSSESDRDEPDEEEEEEPLISIDQVIAETSSIEEDVTEGELWDELDKELTRQENERDSEAMEEEAAAAKEITEEETVITGGGDSTAGQNQSPVSASSMDLIENQRFYPPGKIMHIVSVTETESETERDEVGVVGTTTTVEHVRIYETPRELYRKIRLSRTMINDHYMPMYKKMMELLITELECDPHSS >fgenesh2_kg.7__2713__AT4G16060.1 pep chromosome:v.1.0:7:12457988:12459952:-1 gene:fgenesh2_kg.7__2713__AT4G16060.1 transcript:fgenesh2_kg.7__2713__AT4G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLSVSVSLSLTSLPFSYALSPTTCKPRASPPRSTVSLISSRRRQDRRRSIEAVSRDIHGSDLLELEDESDFEKLLSSDNRISIAGFGSLLSERSARSTFPDLENFRIAKLQGFRRVFAHSAPIFFELGIANPETKEISSLSVEPCEGESLVVTVFEIKSSEIPAFIQRELEFRFLAVVPETMEGKPYTNSAVLCGRYSDEEFFQIRCKGNKEIYFQHYGRFNIEKIWRDDILPCRLYLRHCVLAAKNLGDEAYNNFLDHTFLGDRKTTIREYLSSTGSGIMEEEPPEALKSRYGG >fgenesh2_kg.7__2715__AT4G16000.1 pep chromosome:v.1.0:7:12505650:12506257:1 gene:fgenesh2_kg.7__2715__AT4G16000.1 transcript:fgenesh2_kg.7__2715__AT4G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MA98] MAMAQKDRNRWVAQKEMIDKPLPYRFSPETTIEEPVVSHPAKPIHFFGGAHPSDGNPNPFERPKGRRITCDEAVRLYGGVLI >fgenesh2_kg.7__2716__AT4G15990.1 pep chromosome:v.1.0:7:12508485:12508877:1 gene:fgenesh2_kg.7__2716__AT4G15990.1 transcript:fgenesh2_kg.7__2716__AT4G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MA99] MVDKVYGKSPKLTTKPYDPKGEFPSRFSQSAYKYGGPQIYTVREATSTTSCGRAIYMYSHESTIKEPVLSHPTEHIQYFGGTRPFVGNPNRFETPKGRVINCDEAVQLYGGVLIKEFR >fgenesh2_kg.7__271__AT4G37780.1 pep chromosome:v.1.0:7:1094627:1095937:1 gene:fgenesh2_kg.7__271__AT4G37780.1 transcript:fgenesh2_kg.7__271__AT4G37780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKTAVKKGPWSTEEDAVLKSYIEKHGTGNNWISLPQRIGIKRCGKSCRLRWLNYLRPNLKHGGFTDEEDYIICSLYITIGSRWSIIASQLPGRTDNDIKNYWNTRLKKKLLSKQGKAFHQQLNVKFERGTTSSSSSQIQMFHDENTKSNQTLYNQVVDPSTTSFAMEEQSMIKNPILEPFYWEPNNVLFDNDHDAAASSYHHHHHHSSPSLNSMSSSSSIGTNSSLQMSHYTSNHNDHGDQQDMFFMAGFENFQAELFDEIANNNTEEIGFHGTEMPNNNYLDHDISSFIDYPLYDNE >fgenesh2_kg.7__2724__AT4G15940.1 pep chromosome:v.1.0:7:12552862:12554999:-1 gene:fgenesh2_kg.7__2724__AT4G15940.1 transcript:fgenesh2_kg.7__2724__AT4G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g15940/dl4011w [Source:UniProtKB/TrEMBL;Acc:D7MAA9] MATSMIQRMFKQGTKIVCVGRNYAAHAKELGNSVPKEPVIFLKPTSSYLENGGTIEIPHPLDSLHHEVELALVIGQKARDVPESIAMDYIGGYAVALDMTARELQATAKASGLPWTLAKGQDTFTPISSVLPKAMVHDPDNLELWLKVDGETRQKGLTKDMIFKVPYLISYISSIMTLYEGDVIIGTPEGVGPVKIGQKITAGITGLSEVQFDVERRVKPLS >fgenesh2_kg.7__2725__AT4G15930.1 pep chromosome:v.1.0:7:12555242:12557028:-1 gene:fgenesh2_kg.7__2725__AT4G15930.1 transcript:fgenesh2_kg.7__2725__AT4G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g15930 [Source:UniProtKB/TrEMBL;Acc:D7MAB0] MSDGRRKKSVNGGAPAQTISDDRRSSLPEVEASPPAGKRAVIKSADMKDDMQKEAIDIAISAFEKYSVEKDIAENIKKEFDKKHGATWHCIVGRNFGSYVTHETNHFVYFYLEQKAVLLFKSG >fgenesh2_kg.7__2726__AT4G15920.1 pep chromosome:v.1.0:7:12563684:12573374:1 gene:fgenesh2_kg.7__2726__AT4G15920.1 transcript:fgenesh2_kg.7__2726__AT4G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:D7MAB1] MAEPSFYIGVIGNVISVLVFLSPVETFWKIVKRRSTEEYKSLPYICTLLGSSLWTYYGIATPGEYLVSTVNGFGAIVETIYVSLFLFYAPRHLKLNTVVVVAMLNVFFPIAAIVATRIAFKDEKMRSQSIGFISAGLNIIMYGSPLSAMKTVVTTKSVKYMPFWLSFFLFLNGAIWAVYALLQHDVFLLVPNGVGFVFGTMQLILYGIYRNAKPVGLSNGLSEISQDEEEGLTSRVVPLLS >fgenesh2_kg.7__2727__AT4G15910.1 pep chromosome:v.1.0:7:12576527:12577207:-1 gene:fgenesh2_kg.7__2727__AT4G15910.1 transcript:fgenesh2_kg.7__2727__AT4G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLSGAVKSLCSAASGSLSRSIVLRRSYVATSQNVTATGLSKGGSTRVMVGKMEQRALDQEVESAWGPDPVTGYYRPSNRAAEIDPAELRELLLKNKAKSF >fgenesh2_kg.7__2728__AT4G15900.1 pep chromosome:v.1.0:7:12578029:12581890:-1 gene:fgenesh2_kg.7__2728__AT4G15900.1 transcript:fgenesh2_kg.7__2728__AT4G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g16650/MGL6_10 [Source:UniProtKB/TrEMBL;Acc:D7MAB3] MPAPTTEIEPIEAQSLKKLSLKSLKRSLELFSPVHGQFAPPDPEAKKIRLSHKMKVAFGGLEPVSQPPRQPDRSNEQPGPSNALALAGPEGSKSTQKGATESALVVGPTLQPKGLNNIGSSGKSTTIIPANVSSYQRNLSTAALMERIPSRWPRPEWHAPWKNYRVIQGHLGWVRSVAFDPSNEWFCTGSADRTIKIWDVATGVLKLTLTGHIEQVRGLAVSNRHTYMFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTLDVLLTGGRDSVCRVWDIRTKMQIFALSGHDNTVCSVFTRPTDPQVVTGSHDTTIKFWDLRYGKTMSTLTHHKKSVRAMTLHPKENAFASASADNIKKFSLPKGEFCHNMLSQQKTIINAMAVNEDGVMVTGGDNGSIWFWDWKSGHSFQQSETIVQPGSLESEAGIYAACYDNTGSRLVTCEADKTIKMWKEDENATPETHPINFKPPKDIRRF >fgenesh2_kg.7__2729__AT4G15890.1 pep chromosome:v.1.0:7:12583655:12589165:-1 gene:fgenesh2_kg.7__2729__AT4G15890.1 transcript:fgenesh2_kg.7__2729__AT4G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MAB4] MDEELLLTRIIAGIEGGGDDEAYYHELVTDLKSVLDTDDDEILNRFYGSLSSMASSFLRCVSAAMDSPVESGRLAILASDAYLSLLLSSNCPVFTFFSPIAFLSLLGSIRRYLKRRDDSAGQGSNSQREKGNKKKRGRGKRNLGYEDGEETEEGGFDAKLVFIVLEKLGSVLNFVHLDRFPDSLKSLVQTVSEIPLLALEYSGFLNYERLMELCGKILGGVLNSDHGDMALTAAEISKSLTPLLLMGKHQGRSFALGFVSRKLMGLAKDNCELKKVVSNLPKFLVHKAPEKAEPRGFAVEAVLDIVKAMEVEDQSNFVDFVMKMCQGKSNFRILAVDLIPLLISLLGNPLGVISSENGLKESWGVGCLDALLQRCSDTSALIRARALSNLAQVVGFLSGDERSRSILKQALGFNGETSEGNGSVTDLLKKRCVDEKAAVRRAALLLVTKLTSLMGGCFDSSILKTMGTSCSDPLISIRKAAISALSEAFRICTDEIVTTEWLNSVPRMIMDNETSIQEECENVFHELVLERILRAGNVLSPDSLPNNRNNTSKDLDRDIEALFPEGVLVLLRELCNSEVSPWVTKICGSLGKKKRLKPRVALALQCIIKESESLWLSRSMPINKWTAPAGAWFLLSEVSVYLPKSVEWEFLHHHWQLLDKNDVQGLDEQGDEQGVECNSSTWAGDRVCLLQTISNVSLQLPAEPAADLADNLLKKIEKFNLHSAEVDAHVKALKTLCKKKARTSEESDMLVKKWVDQVLAKASKVTEKYIEGVSSNNHSFVTPATLGSRRSRRLDSVSKKLSKAVTAVYTIGSCVIIFPSADTTKIVPFLHTVITSGNSDSKLKNKMPQANVCLKQKAPLLYCQSWLTMAKMCLADGKLAKRYIPLFAQELEKSDCAALRNNLVVAMTDFCVHYTAMIECYIPKITNRLRDPCEVVRRQTFILLSRLLQRDYVKWRGVLFLRFLLSLVDESEKIRQLADFLFGSILKVKAPLLAYNSFVEAIYVLNDCHAHTGHSNPDSKQSRTKEQAFSIRGNDERARSKRMQIYITLLKQMAPEHLLATFAKLCAEILAAASDGMLNIEDVTGQSVLQDAFQILACKEIRLSVSRGASSETAEIEDEGGDSNAAAAKGRAITQAVRKGLIQNTIPIFIELKRLLESKNSPLTGSLMDCLRVLLKDYKNEIEEMLVADKQLQKELVYDMQKHEAAKARSMANQGGACGTSHRNGEPEAPAASEENVRDSGLESRVVSAAADVVAAKAARSVLREVNGGAATPPLSAMSVPKLRLSRGVSQSGRPSADVLESLRRRPTFMSDDES >fgenesh2_kg.7__272__AT4G37770.1 pep chromosome:v.1.0:7:1101007:1103042:-1 gene:fgenesh2_kg.7__272__AT4G37770.1 transcript:fgenesh2_kg.7__272__AT4G37770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-amino-cyclopropane-1-carboxylate synthase 8 [Source:UniProtKB/TrEMBL;Acc:D7MA06] MGLLSKKATCNTHGQDSSYFLGWEEYEKNPYDEIKNPDGIIQMGLAENQLSFDLIESWLAKNPDAANFKREGQSIFRELALFQDYHGLPSFKNAMADFMSENRGNRVSFNPNKLVLTAGATSANETLMFCLADRGDAFLLPTPYYPGFDRDLKWRTGAEIVPIQCTSANGFRITKSALEEAYEQAQKLNLKVKGVLITNPSNPLGTTTTRTELNHLLDFISRKKIHLISDEIYSGTVFTSPGFISVMEVLKDRKLENTDVFDRVHIVYSLSKDLGLPGFRVGAIYSNDDFVVSAATKMSSFGLISSQTQYLLSTLLSDKNFTKNYLEENQIRLKNRYKKLVSGLEAAGIECLKSNAGLFCWVDMRHLLKSNTFEAEIELWKKIVYEVKLNISPGSSCHCNEPGWFRVCFANMSEETLKVALDRLKGFVDGPSSTRRSQIEHQRLKNLRKMKVSNWVFRLSFHDREPEER >fgenesh2_kg.7__2731__AT4G15880.1 pep chromosome:v.1.0:7:12598972:12602461:-1 gene:fgenesh2_kg.7__2731__AT4G15880.1 transcript:fgenesh2_kg.7__2731__AT4G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAINRKRSDESFNFNQQSTNPLRNSPYFQASKKRRFSFAMSEDSGKPASSSSNPTISRISRYPDAKAPLRREIHAPSRGILRYGKAKSNDYCEKDGNFFVRKYDDAKRSALEALRFVKKDKDFVDLGDEVEKEAVVSDDSSVEEIVVVDCDDEEEDLVGEKKKKKKKKNQPSFSSGVTDVKKGGNFRVDDTSMMLDSLSLDRDESDASSLEAYRKLMQSAERRNSKLEALGFEIVLNEKRLSQLRQSRPKPVEKRVEVPREPFIPLTEDEEAEVNRAFSGRNRRKVLATHENSNIDITGEVLQCLTPSSWLNDEVINVYLELLKERETREPKKYLKCHFFNTFFYKKLVSDSGYNFKAVRRWTTQRKLGYALIDCDMIFVPIHRGVHWTLAVINNRESKLLYLDSLNGVDPMILNALAKYMGDEAKEKSGKNIEVNSWEMEFVEDLPQQKNGYDCGMFMLKYIDFFSRGLGLCFSQEHMPYFRLRTAKEILRLRAD >fgenesh2_kg.7__2732__AT4G15850.1 pep chromosome:v.1.0:7:12614418:12617878:-1 gene:fgenesh2_kg.7__2732__AT4G15850.1 transcript:fgenesh2_kg.7__2732__AT4G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDKEDKTEIDSVVPWMRAPVDVSNVENCALETLPCLNPKLKKALENMGISSLFPVQVAVWHETIGPGGFERDICVNSPTGSGKTLSYALPIVQILASRPVRCLRALVVLPTRDLALQVKDVFDAIAPTVGLSVGSAVGQSSIAGEISQLIKTPKLDAGICYDPEDLSQNFESAVDILVATPGRLMDHINNTKGFTLEHLRYLVVDETDRLLREAYQSWLPTVLQLTQTSDDGLFPSCTPFVPSAFGSLRTVRRQSVERGFKGKPYPRLVKMVLSATLTQDPSKLIQLDLHHPLFMTTGGSRYRLPEKLECLRLICETGMKPVYLVALLKSWEGEKCIIFTSSVETTRRLCKLLNFFGDPKIKAKEYSGGLNQSVRSKELKAFRKGDIQVLVASDALTRGMDVKGVTNVINYDMPPFAKTFIHRAGRTARAGQAGRCFTLLSNHEVRRFSKLLKKVGSDSCPIYPIPPTSLDSIRATYTPALEKLKELVESEAPKKGRQAFRHNSRTGSSQTKLNKRRSEA >fgenesh2_kg.7__2734__AT4G15830.1 pep chromosome:v.1.0:7:12626417:12627845:1 gene:fgenesh2_kg.7__2734__AT4G15830.1 transcript:fgenesh2_kg.7__2734__AT4G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNIENALPISQERPKKLAKLSKQPEIGLNDENNPVVVPESIVEYVASENLEPFSDPESSVQRLLEELASKDWIKVCESLNNTRRFAVYHSSLLLPILEKLIVVMVKAMKNPRSALCKTSIMTCSDIFIAYGEKLLEGPHLKSMDDLLLQLLMKASQDKKFVCEEADKALNTMVNSVARLPLLRKLQTYVRHSNPRVRAKAAVSTSNCVSKMELNEMEEFGMVLLAQMAADLLSDKLPEAREAARSMVNSLFQKFTWNEEDDEEGSKQEAWKKFCEKKVTGLNAQAMIKIVSSQ >fgenesh2_kg.7__2735__AT4G15820.1 pep chromosome:v.1.0:7:12627929:12630026:-1 gene:fgenesh2_kg.7__2735__AT4G15820.1 transcript:fgenesh2_kg.7__2735__AT4G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFRCAVFLSPLTLFPSYSTGRRVSFKVIRTLNPKPQETVLIEIETPENVPPSDVVSNQDDHVEEELQVLSSSVVSNELNGISSKLSPKSLAKYGLWLIGIFAFQTVCAVLFLGDSTKSENTPEISSGSGQNGERESNVVSLEDLEMNEKIAEIRLMAREARKSEGKEEEDETGIDIEKEIEARLSNMEKRLNSQRKGLAGLRVEPLDESGNDEESLMFEKKYKFKAEKPPTGNVKGFGGSKGNDEVISGTEMTGQNGNVSESRDPEEQQIEAGLSDSEMVSGAAQESELRRPSNEIKKSRKSGNRVGGTQNMVAGSGFGSTSLSGKHGEVRKGKPMRRAREKQSEKENKMWWLKLPYVLRILMRSNIDQDISEGFFTLRTESMEQNEGQVSYMIAFEDQSDARNFSYLLESVFEDLDDFIADIAPVSTKDLYDEVSSGDKNVIVVRKRQLTLYAGQPFEDVERALRTLIQEQR >fgenesh2_kg.7__2737__AT4G15800.1 pep chromosome:v.1.0:7:12640342:12640833:-1 gene:fgenesh2_kg.7__2737__AT4G15800.1 transcript:fgenesh2_kg.7__2737__AT4G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLSTKSGAILIAILTVHFLFAAVTSQSTGFTGDFMQIDSKCNGTIAECSLSTAEEFEMDSEINRRILATTKYISYGALRRNTVPCSRRGASYYNCRRGAQANPYSRGCSAITRCRR >fgenesh2_kg.7__273__AT4G37760.1 pep chromosome:v.1.0:7:1109352:1112074:-1 gene:fgenesh2_kg.7__273__AT4G37760.1 transcript:fgenesh2_kg.7__273__AT4G37760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRIVVDHFILTTTFFASLFAFLLLYILRRRSKKIRGSVNVRNGTLTVKSGTGVDIIIVGAGVAGAALAHTLGKEGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVKEIDAQRVLGYALFKDGKHTKLSYPLDQFDSDVAGRSFHNGRFVQRMREKASSLPNVRMEQGTVTSLVEENGIIKGVQYKTKDGQELKSFAPLTIVCDGCFSNLRRSLCKPKVEVPSNFVGLVLENCELPFPNHGHVVLGDPSPILFYPISSSEVRCLVDVPGSKLPSVASGEMAHHLKTTVAPQVPPQIRDAFISAVEKGNIRTMPNRSMPADPIHTPGALLLGDAFNMRHPLTGGGMTVALSDIVILRDLLNPLVDLTNKESLSKYIESFYTLRKPVASTINTLAGALYKVFLASPDDARSEMRRACFDYLSLGGVCSSGPVALLSGLNPRPMSLVLHFFAVAIFGVGRLLVPLPSVKRLWLGARLISSASGIIFPIIKAEGVRQMFFPRTIPAIYRAPPTPPQ >fgenesh2_kg.7__2743__AT4G15755.1 pep chromosome:v.1.0:7:12673374:12674215:1 gene:fgenesh2_kg.7__2743__AT4G15755.1 transcript:fgenesh2_kg.7__2743__AT4G15755.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MAD2] MNVFTAITINGENTRKKQKAKTAVDRNGGSNPTWNQIVKFSVNESLARDGHSTLVMRLISHRVLGNKEIGRVNIPLLELLNSITPSINVDGNGNGQEMKLMTYQVRTSSGKRSGSLSFSYRFKTTFPMITNRSSVPPSQIEHQPSAPPELPIEFPKLAQPPYLRRHPFATGSSGDLLPISYGAVITEQTDHAPPTKQGYGPYGYVSSGYRYGSPSYQQRKEIGLGLGVGAGLLGGLMVGDIVSDVANCYDL >fgenesh2_kg.7__2744__AT4G15740.1 pep chromosome:v.1.0:7:12688456:12692497:1 gene:fgenesh2_kg.7__2744__AT4G15740.1 transcript:fgenesh2_kg.7__2744__AT4G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MAD6] MSSPQLQSAMENPTLELKIVSASEVNHIDATDKMDVYAVVSINGDTTQQKQAAKTPIDYDGGSNPTWNHTVKFSVNETEANEGLLTITVKLFSYWLQGDSDLYLGEVNISVQELLASNPIPPFTNGNVNKMKSMTCPIKATEERTNARLSLSYRFKPVPVEEPYPPSPDNSPSIGKPVYRDLDPTKLGQPLVFSPRFQSTTGKLILELVIKFAKKIEDVNAFSSMDVYASVAILKDRKVKNRVNTPVAFSAYTNPTWNQKMKFSLDEKSAQEGRLMLLVELMSHRPFLGDKEIGFVRLPMQQLLGSNPPYPLTNSGDANGMKLETHALTGPYGKKGVVSFTYRFLADQVTSPTPSTPGQPYIMYLPVSPQSYASSDKIQVTSSYVTVQPGANSGTSNGLVPIYMPPTYQSNGYQQYSPRNPKPRPQSPPQQ >fgenesh2_kg.7__2747__AT4G15730.1 pep chromosome:v.1.0:7:12705001:12711570:1 gene:fgenesh2_kg.7__2747__AT4G15730.1 transcript:fgenesh2_kg.7__2747__AT4G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7MAE0] MGEDYELEEGEMNCSSDEAVVDLDVDLSYIDKKVQNVLGHLQKDFGEGALDRFGPKICDYGSFLPTYKRSVAVPSCQRSSLGNHAVQRISNSLPGKNVVQKFQSLPATSSELVRNRDPQNYQTSGSILAQAPGKVPINKGNARTPANDLPDHKPIRVRIKMGSEILSQSVTMVCKDLGLDGSPNSPPRTYTSLGKTSESPSRILREMTAISVPEDLLMSPLPDSLLLVKNKEKRYTLLDNQPVIKTGKEPSIQTQNKFSDALCCEKTPIGRRRKEADCFDATTWNETKKQKVFSTGQRARDNSACGLGGASFTTNSNLQEGCRKDAESDPRVANKIKFVGLHAVKEKKTCPTKLQQNSSKNRFGDKFLSKMPCKDATYVGHNSMDIKFDFPVATSSTSVDVDNWAQCESCETWRLLPYGLNIEQLPDKWLCSMQTWLPGMNHCGVSKEETINAIKSYHASEGHGPVTGVKLLSDVSNADKSYQPLTSGSLPNPIEKKSNVKDLSQGVSKNMKLPGETLKATQMSTDLGVSHDFLQDKIDQKAKWRAAGTGCQIKIKKKKEADKKESDGSKHIKTGDGTKLARGVKAEEIHWNQDPEWTPAERKTKRHDNDVYTLDVERDTKKRLLASKKKPDHKPQLTTASGSLCTKSHGNINNPMRKIRLMGNKQVKDGNNSKLFADGEEKEPSMEMAVTTKISVQESKAHQKNELFQADGDGSQEFWNADASCRYFSGGSGQISGVETSSSSKVLGSHKSGRMYVEEVKASPVESVSSSPARSSCPTNLAANDILQEAEKLRKRADSFKSSGFEYQYKETIFKAALRFLLGASVLEMSSTDSVEGGKMSHIEAYHSAAKLSESCAHQYETSQEMAAAALAYKCTEVACMRLVYGRSLGLSGEWNELQKMVQMTPQGESPSSSASDVDSLNHQGVVDKSAKTKRGLSHVAGNLLPVARSQLNFVPLLDFTESMNLAMEASAKSQNAFKAVTVTSEETKHGDCISAIKKVVDFSFHDVEALVKMIEIAMDALRSSRFGGPKC >fgenesh2_kg.7__2749__AT4G15715.1 pep chromosome:v.1.0:7:12719006:12719539:1 gene:fgenesh2_kg.7__2749__AT4G15715.1 transcript:fgenesh2_kg.7__2749__AT4G15715.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MAE4] GYDVDWSKVDYNFPAVNFDWGSDFDDQMSNEELMKQLIKTAIDEENEETGTKLEFVKYVSANVLGVQGFLFYITFWAKDLSSPNPEPQCYQAKVRKFMGEIDVSEFRLRPTQE >fgenesh2_kg.7__2750__AT4G15700.1 pep chromosome:v.1.0:7:12738141:12738627:-1 gene:fgenesh2_kg.7__2750__AT4G15700.1 transcript:fgenesh2_kg.7__2750__AT4G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7MAE8] MENLQKMISEKSVVIFSKNSCCMSHTIKTLFLDFGMNPTIYELDEINRGKEIEHALAQLGCSPTVPVVFIGGQLVGGANQVMSLHLNRSLVPMLKRAGALWL >fgenesh2_kg.7__2751__AT4G15680.1 pep chromosome:v.1.0:7:12743802:12744444:-1 gene:fgenesh2_kg.7__2751__AT4G15680.1 transcript:fgenesh2_kg.7__2751__AT4G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7MAF0] MDKLQKMISEKSVVIFSKNSCCMSHTIKTLFIDFGVNPTIYELDEINRGKEIEQALAQLGCSPTVPVVFIGGQLVGGANQVMSLHLNRSLVPMLKRVGALWL >fgenesh2_kg.7__2752__AT4G15670.1 pep chromosome:v.1.0:7:12748124:12748746:-1 gene:fgenesh2_kg.7__2752__AT4G15670.1 transcript:fgenesh2_kg.7__2752__AT4G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7MAF1] MEKLQKMISEKSVVIFSKNSCCMSHTIKTLFLDIGVNPTIYELDEINRGKEIEQALAQLGCSPTVPVVFIGGQLVGGANQVMSLHLNRSLIPMLKRAGALWL >fgenesh2_kg.7__2754__AT4G15640.1 pep chromosome:v.1.0:7:12757380:12760467:1 gene:fgenesh2_kg.7__2754__AT4G15640.1 transcript:fgenesh2_kg.7__2754__AT4G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLQNSRRIAKVLKPIVTTETNLRFFSSASQISSSFQWRNQSSASSSVLKGIDRCSHSSSMSLLIPRSSFSSEAEKLAGNPTVTVKDLHDKMLNSVNVKRSMPPNAWLWSLIENCQNQDDIHLLFDVLQNLRRFRLSNLRIHDNFNCNLCQQVAKTCVRVGAIDSGKKALWKHNVHGLTPSVSSAHHLLSHALEHKNSELMEEVMQLLKTNDLPLQPGTADLVFRICHDTDKWDLLAKYSKKFSKAGVKLRKTTFDVWMEFAAKRGDTESLWKVDKQRSETYSQHTLSTAFSCAKGFLLESKPEEAAAVIQIICQAYPDEKKSAVSAEFEKLVNEWPVDVIKHQTEEDKKALAASLKSVIPSMVNALLSSGLNVNVDLDELNKNEALLS >fgenesh2_kg.7__2755__AT4G15630.1 pep chromosome:v.1.0:7:12760725:12762161:-1 gene:fgenesh2_kg.7__2755__AT4G15630.1 transcript:fgenesh2_kg.7__2755__AT4G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 1E1 [Source:UniProtKB/Swiss-Prot;Acc:D7MAF5] MEHESKTKMDGIEMEKGKKENGSRKGVEITMRVLALVLTMVAATVLGVAKQTEVVPIKLIPTLPPLNVATTAKASYLSAFVYNICANAIACGYTAISIMIVIISKGRRSKCLLMAVLIGDLMMVALLCSSTGAAGAIGLMGRHGNKHVMWKKVCGVFGKFCNQAAVSVAITLIASVVFMLLVVLDALKLP >fgenesh2_kg.7__2756__AT4G15620.1 pep chromosome:v.1.0:7:12763482:12767878:-1 gene:fgenesh2_kg.7__2756__AT4G15620.1 transcript:fgenesh2_kg.7__2756__AT4G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 1E2 [Source:UniProtKB/Swiss-Prot;Acc:D7MAF6] MENEGKNNMNGMEMEKGKRESRSRKGVELTMRVLALVLTMAAATVLGVAKQTKVVSIKLIPALPPLDITTTAKASYLSAFVYNISANAIACGYTAISIAILMISRGRRSKKLLMAVLLGDLVMVALLFSGTGAASAIGLMGLQGNKHVMWNKVCGVFGKFCHRAAPSLPLTFLAAVVFMFLVVLDAIKLP >fgenesh2_kg.7__2759__AT4G15560.1 pep chromosome:v.1.0:7:12832458:12835890:-1 gene:fgenesh2_kg.7__2759__AT4G15560.1 transcript:fgenesh2_kg.7__2759__AT4G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFAFPSYIITKGGVSTDSCKSTSLSSSRSLVTDLSSPCLRPNNNSHTNRRAKVNASLAEKGEYYANRPPTPLLDTINYPIHMKNLSVKELKQLSDELRSDVIFNVSKTGGHLGSSLGVVELTVALHYIFNTPQDKILWDVGHQSYPHKILTGRRGKMPTMRQTNGLSGFTKRGESEHDCFGTGHSSTTISAGLGMAVGRDLKGKNNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPSPPVGALSSALSRLQSNPALRELREVAKGMTKQIGGPMHQLAAKVDEYARGMISGTGSSLFEELGLYYIGPVDGHNIDDLVAILKEVKSTRTTGPVLIHVVTEKGRGYPYAERADDKYHGVVKFDPATGKQFKSTNKTQSYTTYFAEALVAEAEVDKDVVAIHAAMGGGTGLNLFQRRFPTRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFMQRAYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTFMACLPNMIVMAPSDEADLFNMVATAAAIDDRPSCFRYPRGNGIGVALPPGNKGVPVEIGKGRILKEGERVALLGYGSAVQSCLGAAVMLEERGLNVTVADARFCKPLDRALIRSLAKSHEVLITVEEGSIGGFGSHVVQFLALDGLLDGKLKWRPMVLPDRYIDHGAPADQLAEAGLMPSHIAATALNLIGAPREALF >fgenesh2_kg.7__2760__AT4G15550.1 pep chromosome:v.1.0:7:12848015:12849442:1 gene:fgenesh2_kg.7__2760__AT4G15550.1 transcript:fgenesh2_kg.7__2760__AT4G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MAG5] MANNNSSNSPTGPHFLFVTFPAQGHINPSLELAKRLAGTISGARVTFAASISAYNRRMFSQENVPETLIFATYSDGHDDGFKSSTSSAKSRQDATGNFMSEMRRRGKETLTELIEDNRNQNRPFTCVVYTILLTWVAELAREFHLPSALLWVQPVTVFSIFYHYFNGYEEAISEMANNPSSSIKLPSLPLLSLRDLPSFMVSSSVYAFLLPAFREQIDSLKEEANPKILINTFQELEPEAMSSVPDNFKIVPVGPLLTLRTDSSSHGEYIEWLDTKADSSVLYVSFGTLAVLTKKQLVELCKALIQSRRPFLWVITDKSYRSKEDEQEKEEDCIKSFREELDEIGMVVSWCDQFRVLNHRSIGCFVTHCGWNSTLESLVSGVPVVAFPQWNDQMTNAKLLEDCWKTGVRVMEKKEEDGAVVVDSEEIRRCIEEVMEEKAEEFRGNAARWRDLAAEAVREGGSSFNHIKAFADEHM >fgenesh2_kg.7__2762__AT4G15540.1 pep chromosome:v.1.0:7:12853395:12855385:-1 gene:fgenesh2_kg.7__2762__AT4G15540.1 transcript:fgenesh2_kg.7__2762__AT4G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7MAG7] MREETVSWKYFKRDVVPFAAMIAVECTTVGSSTLYKAATLRGFSFYVFVFYSYVGASLVLLLLSLIFGRSRSLPTAKSPLFFKIFLLALLGLTSKVAGCKGIEYSSPTLSSAISNLTPAFTFMLAVFFRMEQVVLRSSATQAKIIGTIVSISGALVIVLYKGPKLLVAASFTSFESSWIIGGLLLGLQFLLLSVWFILQTHIMEIYPEEIAVVFCYNLCATLISGTVCLIAEKDLNSWQLKPGFSLASVIYSGLFDTSLGSVIHTWGLHVKGPVYVSLFKPLSIAIAVAMTAIFLGDSLHLGSVIGSVILSFGFYTVIWGKAREDATKTVSDSEQSLLLPTHE >fgenesh2_kg.7__2769__AT4G15520.1 pep chromosome:v.1.0:7:12867932:12869733:-1 gene:fgenesh2_kg.7__2769__AT4G15520.1 transcript:fgenesh2_kg.7__2769__AT4G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MAG9] MVGFESYVVVHNIAKRHNVGTLARSATAFGVTELILVGRRDFNAFGSHGSASHIRFRHFHSLIEARTYLKEEKDCDICGVEIADGASAVNEHPFKRNTAFLLGNEGSGLSAKEYEICDFFVYIPQYGCGTASLNVTVAASIVLHHFGVWAGFSERVRDGSKFIVADRPVRQGRRNFCAGTEESIIEERKLRKESAENGFFDDDGNGNGSSSSDLLDGLFLNE >fgenesh2_kg.7__2771__AT4G15510.1 pep chromosome:v.1.0:7:12869901:12871957:-1 gene:fgenesh2_kg.7__2771__AT4G15510.1 transcript:fgenesh2_kg.7__2771__AT4G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MAH0] MASSAFAFPSYIITIGASTDSCKPTSLASSRSLVTDFHLLFSRPISSGPKHQSSKSAKPDSPVPRNSLTDAKQVCAVGRRRSMMMGLLMSGLMVSEANLPTAFASISVFREYIDTFDGYSFKYPQNWIQVRGAGADIFFRDPVVLDENLSVEFSSPSSSKYTSLEDLGSPEEVGKRVLRQYLTEFMSTRLGVKREANILSTSSRVADDGKLYYQVEVNIKSYANNNELAVMPQDRVPRLEWNRRYLAVLGVENNRLYSIRLQTPEKVFLEEEKDLRRVMDSFRVEK >fgenesh2_kg.7__2773__AT4G15500.1 pep chromosome:v.1.0:7:12887809:12889236:1 gene:fgenesh2_kg.7__2773__AT4G15500.1 transcript:fgenesh2_kg.7__2773__AT4G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MAH1] MEMESSLTHVMLVSFPGQGHVNPLLRLGKLIASKGLIVTFVTTEEPLGKKMRQANKIQDGVLKPVGLGFLRFEFFEDGFVYKDAVDLFLKSLEVSGKREIKNLVKKYEQQPVKCLINNAFVPWVCDVAEELQIPSAVLWVQSCACLAAYYYYHHQLVKFPTETEPEITVDFPFKPLVMKHDEIPSFLHPSSPFSSVGGIILEQIKRLHKPFFVLIDTFQELEKDTIDHMSQLCPHVILNPIGPLFTMAKTISSDIKGDISEPASDCIEWLDSREPSSVVYVSFGTMVYLKQEQIDEIAHGILNSGLSCLWVVRPPLQGFDQEPQVLPLELEEKGKIVEWCPQEKVLAHPAVACFLSHCGWNSTMEALTSGVPVICFPQWGDQVTNAVYMIDVFKTGMRLSRGEAEKRIVPREEVAERLLESTIGEKAAELRENARRWKEEAETAVAYGGSSERNFQEFVDKLVDVKTMPNINVVS >fgenesh2_kg.7__2774__AT4G15490.1 pep chromosome:v.1.0:7:12890423:12892166:1 gene:fgenesh2_kg.7__2774__AT4G15490.1 transcript:fgenesh2_kg.7__2774__AT4G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MAH2] MEPSRHTHVMLVSFPGQGHVNPLLRLGKLIASKGLLVTFVTTEQPWGKKMRQANKIQDGVLKPVGLGFLRFEFFSDGLADDDEKRFDFNTFRPHLEAVGKQEIKNLVKRYNKEPVTCLINNAFVPWVCDVAEELHIPSAVLWVQSCACLTAYYYYHHRLVKFPTEAEPDINVEIPCLPLLKHDEIPSFLHPSSPFTAFGEVILDQFKRFENNKPFYLFIDTFRELEKDIIDHMSHLCSQAIISPVGPLFKMAQTMSSDVKGDISEPASDCMEWLDSREPSSVVYISFGTIANVKQEQMEEIAHGVLSSGLSFLWVVRPPMEGSLVEPHVLPREIEEKGKIVEWCPQERVLVHPAIACFLSHCGWNSTMEALTSGVPVVCFPQWGDQVTDAVYLVDVFKTGVRLGRGEAEKKIISREVVVEKLLEATVGEKAVELRENARRWKAEAEAAVADGGSSDRNFKEFVNKLVTKHVTREDNGEH >fgenesh2_kg.7__2775__AT4G15480.1 pep chromosome:v.1.0:7:12909894:12911380:1 gene:fgenesh2_kg.7__2775__AT4G15480.1 transcript:fgenesh2_kg.7__2775__AT4G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MAH4] MGSISEMVFETCPSPNPVHVMLVSFQGQGHVNPLLRLGKLIASKGLLVTFVTTELWGKKMRQANKIVDGELKPVGSGSIRFEFFDEEWAEDDDRRADFSLYISHLESIGIREVSKLVRRYEEENEPVSCLINNPFIPWVCHVAEEFNIPCAVLWVQSCACFSAYYHYQDGSVSFPTETEPDLDVKRPCVPVLKHDEIPSFLHPSTPFAGLREAILGQFKNLSKSFCVLIDSFDALEQEVIDYMSSLCPVKTVGPLFKVAKTVTSDVSGDICKPTDKCLEWLDSRPKSSVVYISFGTVAYLKQEQIEEISYGVLKSGLSFLWVIRPPPHELKVETHVLPQELKESSGKGNGMIVDWCPQEKVLGHPSVACFVTHCGWNSTMESLSSGVPVVCCPQWGDQVTDAVYMIDVFKTGVRLGRGAAEERVVPREEVAEKLLEATIGEKAEELRKNALKWKAEAEAAVAPGGSSDKNFREFVEKLGMGVSKVKENGQ >fgenesh2_kg.7__2776__AT4G15475.1 pep chromosome:v.1.0:7:12913498:12917145:-1 gene:fgenesh2_kg.7__2776__AT4G15475.1 transcript:fgenesh2_kg.7__2776__AT4G15475.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MAH5] MRGHDRINNCLPEELLLEIFRRLESKPNRDACSLVCKRWLSLERYSRTTLRIGASFSPDDFISLLSRRFLHITSIHVDERLSVSLPSLSPSPKRKRGRDSSSPSSSKRKKLIGNKHSGAENVESCSLTDAGLTALADGFPKVENLSLIWCPNVSSVGLCSLAEKCISLKSLDLQGCYVGDQGLAAVGKFCKQLEELNLRFCEGLTDVGVIDLVVGCAKSLKSIGVAASAKITDLSLEAVGSHCKLLEVLYLDSEYIHDKGLIAVAQGCNHLKNLKLQCVGVTDKAFAAVGDLCTSLERLALYSFQNFTDKGMRDIGKGSKKLKDLTLSDCYFVSCKGLEAIAHGCKELERVEINGCHNIGTRGIEAIGNFCPRLKELALLYCQRIGNSALQEIGKGCKSLEMLHLVDCSGIGDSAMCSIAKGCRNLKKLHIRRCYEVGNKGIIAIGKHCKSLTELSLRFCDKVGNKALIAIGKGCSLQQLNVSGCNQISDAGISAIARGCPQLTHLDISVLQNIGDMPLAELGEGCPMLKDLVLSHCHHITDTGLNHLVQKCKLLETCHMVYCPGITSAGVATVVSSCPHIKKVLIEKWKVTERTTRRAGSVISYLCMDL >fgenesh2_kg.7__2777__AT4G15470.1 pep chromosome:v.1.0:7:12917291:12919728:-1 gene:fgenesh2_kg.7__2777__AT4G15470.1 transcript:fgenesh2_kg.7__2777__AT4G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPYGYASVSMSGIDRSAGKDIDLEMGVGEATLYPGLSYGENQLRWGFIRKVYGILSAQLLLTTLISAVVVLNPPVNDVLTGSPGILLFLCIVPFILIWPLHIYHQKHPVNLILLALFTVSLSFTVGVSCAMTEGRIVLQALILTLSVVGSLTAYTFWAAKKGKDFSFLGPILFTSLIILVVTSFIQMFFPLGPTSIAIYGGISALVFCGYIVYDTDNLIKRFTYDEYILASVALYLDILNLFLTILRILRQGDN >fgenesh2_kg.7__2779__AT4G15430.1 pep chromosome:v.1.0:7:12975390:12978933:-1 gene:fgenesh2_kg.7__2779__AT4G15430.1 transcript:fgenesh2_kg.7__2779__AT4G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATINDIGVAAAINIVTALAFLLAFAIFRIQPVNDRVYFPKWYLKGLRSSSIQTGGFGSKFINLDFRSYIRFLNWMPEALKMPEPELVDHAGLDSVVYLRIYLLGLKIFFPIACVAFTAMVPVNWTNKGLDRLRHSNISFSDIDKLSLSNIPNGSPRFWVHLCMAYAITFWTCFILKREYQNIALMRLQFLANDQRRPNQFTVLVRNIPSDPHESICELVEHFFKVNHPDHYLTFQAVHDATKLSELVLTRKQMQNLLDYNINKHMRNQSNRPVIKMGFLGCCGEEADGIKYYTSVVEGLTREIAEEKQRLRTGTKSIVPAAFVSFKSRWGAAVCAQTQQTRNPTEWLTEWAAEPRDIYYDNLALPYVDLKIRRLIVGVAYFFLTFFFMIPIAFVQSLANIEGIEKAFPFLKPLIEVKLLKSIIQGFLPGIALKIFLLFLPRILMQMSKFEGFVSTSSLERRAATRFYMFQFINVFLGSIVTGTAFQQLNSFLNQSANDIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIIYHLKNSFLVRTEKDREEATDPGTIGFNTGEPQIQLYFLLGLVYAAVSPILLPFILVFFGLAFVVYRHQVINVYNQKYESAGKFWPDVHRRVVTALVVSQLLLMGLLSTKHASKSTPFLLVLPLLTIGFHMHCKNRYQPAFVTYPLQQEAMIKDTLDRIREPNFNLKAFLRDAYAHPEFRVGEDPEPEEKLESDMSPPELVATKRGSWRNTPLPSKQSCRDIP >fgenesh2_kg.7__277__AT4G37710.1 pep chromosome:v.1.0:7:1144729:1145281:-1 gene:fgenesh2_kg.7__277__AT4G37710.1 transcript:fgenesh2_kg.7__277__AT4G37710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MA12] MEATSQQFMSQSYLNAQETATRTTKNYLTSLHSTRKQPSKPLKRPAISSSSPLNPMHPHVYRVEPVNFKELVQRLTGAPEHEPVQAEPLKSLDDAAKQSSSSSAFDQSSSWGDFSFQNPPNLSRW >fgenesh2_kg.7__2781__AT4G15417.1 pep chromosome:v.1.0:7:12992484:12993711:-1 gene:fgenesh2_kg.7__2781__AT4G15417.1 transcript:fgenesh2_kg.7__2781__AT4G15417.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease III family protein [Source:UniProtKB/TrEMBL;Acc:D7MAI2] MGSQLSNTVVEGEDNTKTIGSTAPDQLLEIESLEKILNYKFKDKRLLVQAFTDASYVDDKCDSYEILELLGDSILNMSIIDEFIKLYPKESPGPLTKLRAVNVDTEKLARVAVKHQLYRYLRHKKPLLEEQILEFVEAMEKYPLHSNGLLKVPKVLADIVESTIGALFRDCNSTETVWEDKFVGCGQHPVKKETARNFAAKNAIDNFSKFFGDL >fgenesh2_kg.7__2785__AT4G15400.1 pep chromosome:v.1.0:7:13031341:13032915:1 gene:fgenesh2_kg.7__2785__AT4G15400.1 transcript:fgenesh2_kg.7__2785__AT4G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MAI6] MEAKLEVAAREVIKPASPSPRDRLQLSILDLYCPAIYVSTIFFYDLDNMSPEILSENLKSSLSETLSRFYPLAGRIEGVSISCNDEGAVYTEARTNLLLPDFLRNLNTDCLSGFLPTIAAGDSPAAWPLLSVKVTFFGSGSGVAVSVSVSHKICDAASLVTFVKDWATTTAKGKSNSTIEFAETTIYPPPPPSHMYLEFPSTDSDSNIANKCVIKRFVFEPSKIAELRHKAASESVSVPTRVEAIMSLIWRCARKSSRFNLVIPRQTVMWQAMDLRLRIPSNVLPQDVIGNLQSGFSLKKDAESEFEIPEIVAAFRKTKEGVNEMIKESVQSNTTGQSLLSLMAETVSESADIDRYIMSSWCRKPFYEVDFGSGSPVWVGYASHTNYDNMVWVMLIDSKEGDGVEAWISLPEEDMSVFVDDQELLAYAVLNPPVLT >fgenesh2_kg.7__2787__AT4G15393.2 pep chromosome:v.1.0:7:13040106:13043444:-1 gene:fgenesh2_kg.7__2787__AT4G15393.2 transcript:fgenesh2_kg.7__2787__AT4G15393.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP702A6 [Source:UniProtKB/TrEMBL;Acc:D7MAI8] MVEVYELWAVVVSLIVVKLCHWIYQWRNPKSNGKLPPGSMGFPVIGETFEFMKPHDAIQISTFVKEKVLRHGPVFRTSLFGGKVIISTDIGLNMEIAKTNHIPGMPKSLVRLFGANNLFVNKDTHKHARSLTNQFLGSQALKLRMIQDIDFLARTHMKEGARKGCLDVKETTSKIIIECLAKKVMGEMEPEAAKELTLCWTFFPTEWFRFAWNIPGTGVYRMVKARNRMIKVLKETVLKKRASGEELGEVFKTIFGDTERGAETISLESATEYIFTLFLLANETTPMVLAATIKLISDNPKVMQELQREHEGIVRDKIEKNEKADLTWEDYKSMTFTMMVIHESLRITSTVPTMLRIIDREFKFGDYTIPAGWIFMGYPYVHFNPEKYDDPLAFNPWRWKGKDLSANVSRTYLPFGSGSRLCVGAEFVKLQMAIFIHHLSRYRWSMKTETPVLRRFVLMLPRGSDVQISEDTKTG >fgenesh2_kg.7__2790__AT4G15370.1 pep chromosome:v.1.0:7:13082359:13087700:1 gene:fgenesh2_kg.7__2790__AT4G15370.1 transcript:fgenesh2_kg.7__2790__AT4G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:D7MAJ2] MWRLRIGAKARDDTHLFTTNNYVGRQIWEFDADVGSPEELSEVEEARRNFSNNRSRFKASADLLWRMQFLREKKFEQKIPGVRVEDAEKITYEDAKTALRRGLLYFTALQADDGHWPAENAGSIFFNAPFVICLYITGHLEKIFTHEHRIELLRYMYNHQNEDGGWGLHVESPSNMFCTVINYVCLRILGVEAGHDDQGSACARARKWILDHGGATYSPLIGKTWLSVLGVYDWSGCKPIPPEFWFLPSCFPVNGGTLWIYLRDIFMGLSYLYGKKFVATSTPLILQLREEIYPEPYTKIDWKQARNRCAKEDLYYPQSFLQDLFWKGVHIFSENILNRWPFNNTIRQRALRTTMDLVHYHDEATRYITGGSVPKAFHMLACWVEDPDSDYFKKHLARVPDFIWIGEDGLKIQSFGSQLWDTALSLHVLIDGFDDDVDDEIRLTLFKGYEYLKESQVTENPPGDHMKMFRHMAKGGWTFSDQDQGWPVSDCTAESLECCLFFESMPSEFIGKKMAVEKLYEAVDFLLYLQSDNGGITAWQPADGKTWLEWLSPVEFIEDAVVEHEYVECTGSAIAALAKFNKQFPGYKKEEVERFITKGVKYIEDFQMVDGSWYGNWGVCFIYGTFFAVRGLVAAGKCYNNCEAIRRAVRFILDTQNLEGGWGESYLSCPTKKYTPLIGNKTNVVNTGQALMVLIASDQMERDPLPVHRAAKVLINSQLDNGNNGSFKMNVMLHFPTYRNMFTLWALTHYTKALRGL >fgenesh2_kg.7__2791__AT4G15350.1 pep chromosome:v.1.0:7:13119283:13120926:-1 gene:fgenesh2_kg.7__2791__AT4G15350.1 transcript:fgenesh2_kg.7__2791__AT4G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP705A2 [Source:UniProtKB/TrEMBL;Acc:D7MAJ5] MAALIIFILLCLFTFLCYSLFFMKPKDSRDDRDLPPSPPSLPIIGHLHLILLSTLTHKSFQRLSSKYGPLLHLRIFHVPIVLASSASVAYDIFRDQDVNVSFRHSPPIEESLFLGSYSFISAPYGDYWKFMRKLMVTKILGPQALQRSRRFREDELDRFYKNLLDKASKKEIVEIGEEAAKLNNNTICKMIMGRSCSEESGEAERVRGLVTESMALTKKIFLATIFDKPLKKLGISLFKKEIMSVSHKFDELLEKILVEHEEKMEEDHQGTDMMDVLLEAYKDENAEYKITRNHIKSLFVDLFIAGTDTSSTTIQWIMAEIINHPKILERLREEINSVVGKSRLIQETDLPNLPYLQAIIKEGLRLHPPGPLLPRTVQERCEIRGFHIPEKTILIVNSYAIMRDPDYWEDPEEFKPERFLGFPRSGQEDEIRDKFLKYIPFASGRRGCPGTNLAHVSVGTAVGVMVQCFDWKIKGEKVNMNEAAGTMVLTMAHPLKCTPVPRTLNLLLPS >fgenesh2_kg.7__2794__AT4G15270.1 pep chromosome:v.1.0:7:13157615:13163742:1 gene:fgenesh2_kg.7__2794__AT4G15270.1 transcript:fgenesh2_kg.7__2794__AT4G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNELVFIPSPGIGHLRSTVELAKRLVGSNYRLSITVIIIPYPSDDDSETTYIASLTTASQDRLNYEAISVAYQPTADPEPLQVYIEKQKPQVRDVVARIFDSTRVDSRRLSGFVVDMFCSSMIDVANEFEVPCYMVYTSNATFLGISFHLQQMYDEKKYDVSELEDSVNELEFPCLTRPYPVKLNTVAELEPQAVKMFNNGDDLPQVYPVGPVLHLEKTFDDVEKQSDILRWLDEQPPKSVVFLCFGSMGGFNEEQTREIAVALDRNGYRFLWSLRRASPNFLTERPGDYTNLEEVLPEGFLDRTLDRGKIIGWAPQVAVLEKPAIGGFVTHCGWNSMLESLCTLRGDLLAGEMEIATAEDIERAIGRVMEQDSEVRIRVKEMAEKCHVALMDGGSSQVALQTFIQDVTENLV >fgenesh2_kg.7__2795__AT4G15260.1 pep chromosome:v.1.0:7:13166465:13168398:-1 gene:fgenesh2_kg.7__2795__AT4G15260.1 transcript:fgenesh2_kg.7__2795__AT4G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyl transferase family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MAK2] MIDVANEFGVPCYMIYTSNATFLGITLHVQQMYDDKKCDVSDLDESVNELEFPCLTRPYPVKCLPHILTSKEWLPFFVAQARFFRKMKGILVNTFAELEPHALKMFNNVDLPQAYPIGPVLHLEILRWLDEQPPKSVVFLCFGSMGGFTEEQTREVAVALDRSGHRFLWSLRRASPNIMMERPGDYTNLEEVLPEGFLERTSDRGKVIGWAPQVAVLEKPAIGGFVTHCGWNSMLESLWFGVPMVTWPLYAEQKVNAFEMVEELGLAVEIRKCIRGDLLLIGEMETVTAEDIERAIRRVMEQDSDVRSRVKEMAEKCHVALMDGGSSKTALEKFIQDV >fgenesh2_kg.7__2797__AT4G15248.1 pep chromosome:v.1.0:7:13176404:13176880:-1 gene:fgenesh2_kg.7__2797__AT4G15248.1 transcript:fgenesh2_kg.7__2797__AT4G15248.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7MAK5] MCKGVEKKEERRNDDGGCQRLCTESPRAPVSCELCGENATVYCEADAAFLCRKCDRWVHSANFLARRHLRRVICTTCQKLTRQCLVGDSFNVVLPEIRTMARVEEDSSDHKVPFVFL >fgenesh2_kg.7__2798__AT4G15240.1 pep chromosome:v.1.0:7:13183547:13185826:1 gene:fgenesh2_kg.7__2798__AT4G15240.1 transcript:fgenesh2_kg.7__2798__AT4G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTRRKRRPDPSLSRLDSLSPEMLFPAKSPSLTSSRIRYIFLLLTFCFIIYIIFSYGTTFRREQISSIARSLSVFSTRRRHLLFSIAASHDSWLRRSSYVRLWYSPESTRAVVFLDRGGLESDLTLPPVIVSKDVSRFPYNFPGGLRSAIRVARVVKETVDLGDKDVRWFVFGDDDTVFFVDNLVTVLSKYDHRKWYYVGSNSEFYDQNVRYSFDMAFGGGGFAISASLAKVLAKVLDSCLMRYSHMYGSDSRIFSCVAELGVTLTHEPGFHQIDVRGNIFGLLCAHPLSPLVSLHHLDAVDPFFPKRNRTESVAHLIGAASFDSARILQQSVCYDSLNTVTVSVVWGYAVQVYEGNKLLPDLLTLQKTFSTWRRGSGVQSNYMFSTREYPRDPCGRPLVFFLDSVGSDGTEGTWSNYNLHRVGNCHRAEAVERLERVRVLSRKLKHDVEQMVAPRRQCCDISSPYNKSMVINIRQCMPDELIAMNT >fgenesh2_kg.7__2803__AT4G15210.1 pep chromosome:v.1.0:7:13235441:13238621:1 gene:fgenesh2_kg.7__2803__AT4G15210.1 transcript:fgenesh2_kg.7__2803__AT4G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:D7MAW7] MAANYNEKLLLNYVPVYVMLPLGVVNVDNVFADPETLETQLKRLKEEAGVDGVMVDVWWGIIESKGPKQYDWTAYKTLFQLIARLGLKIQAIMSFHQCGGNVGDIVTIPIPQWVRDVGDNDPDIYYTNRKGTRDIEYLSIGVDNLPLFAGRTAVQIYSDYMSSFKENMADLIEAGAIVDIEVGLGPAGELRYPSYPQSQGWVFPGIGEFQCYDKYLKKDFKEAAAKAGHPEWDLPEDAGEYNDKPEDTGFFKRNGTYVSEEGKFFLTWYSNKLIFHGDQIIGEANKIFAGLKVNLAAKVSGIHWLYNHHSHAAELTAGYYNLFKRDGYRPIARMLSKHYGILNFTCLEMKDTDNTAEALSAPQELVQEVLSKAWKEGIEVAGENALETYGAKGYNQILLNARPNGVNPNGKPKLRMYGFTYLRLSDTVFQEDNFELFKKLVRKMHADQDNYCGDAAKYGHEIVPLKTPNSQLTVEDIADAAQPSGAFKWDSETDMKVDG >fgenesh2_kg.7__2806__AT4G15180.1 pep chromosome:v.1.0:7:13243231:13254264:-1 gene:fgenesh2_kg.7__2806__AT4G15180.1 transcript:fgenesh2_kg.7__2806__AT4G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MAW9] MSDGGVACMPLLNIMEKLPIVEKTTLCGGNESKSVGTTDNGHTSISSKVPESQPADNKPSASQPVKKKRIVKVIRKVVKRRPKQPQKQAEEQLKDQPPSQVVQLPAESQLQLKEQEEQNKKSEVKGGTSGDKEVENGGDSGFKDEVEEGELGTLKPPGDLENGEISPVKSLQKSEIEKGEIVGESWKKDEPTKGEFSYLKYHKGNVERRDFSADKNWKGGKEDREFRSWRDSGDEIEKGEFIPDRWQKMDAVKDDHSYIRSRRNGVDREKTWKYEYEYERTPPGGRFANEDIYHQREFRSGHDRTTRISSKIVIEENLHKNEYNNPSNFVKEYSSTVNRLKRHGAEPDSVERKHSYADYGDYGSSKCRKLSDDCSRSLHSDHYSQHSAERLYRDSYSSKNSSLEKYHRKHQDASFPAKAFSDKHGHSPARSDWSPHDRSRYHENRDRSPYARERSPYIFEKSSHARKRSPRDRSRHHDYRRSPSYSEWSPHDRSRPSDRRDSIPNYMEDTQNDRNRRNGHREISRKSGVRERRDSQTGTELENKHRYKDSNGKESTSSSKELQGKNILYNNNLVVEKNSVCDSSKIPIPCATGKEPVQVGEAPPEELPSMEVDMDICDTPPHEPMAADSSLGKWFYLDYYGTEHGPARLSELKALMEQGILFSDHMIKHSDNNRWLANPPEAPGNLLEDITDTTEAVCIEQEAGDSLPESVSVMTIPDANEFLVEHLEDFQIDKRIANLLEGYTIAPGREFESLGEALNVTVEFKETRRCVTSEVFEVVQIWAFGMKSIGKCLMFVKDDEELLGCSEPIKRAIEEFKSDDVYGSESDEIGSWFSGRWSCKGGDWIRQDEASQDRYYKKKIVLNDGFPLCLMQKSGYEDPRWHHKDDMYYPLSSSRLELPLWAFSGVDERNQARGVKANLLSVVRLNSLVVNDQVPPVPDPRAKVRGKERCPSRPARPSPASSDSKRESVESHSQSTASNGQDSHGLLRTDASVNTPRDRLCTVDDLQLHIGDWFYTDGAGQEQGPLPFSELQILVEKGFIKSHSSVFRKSDKIWVPVTSITNSPETIAKLRGKNPALPSDCQDLVVSETQDLKRSEMDTSLNSFHGVHPQFLGYFRGKLHQLVMKTFKSRDFSAAINDVLDSWIHARQPKKESEKYMYQSSELDSCFTKRARLMAGESGEDSEMEDTQMFQKDELTFEDLCGDATFQIEGSGSAGTVGIYWGLLDGHALARVFHLLRYDVKSLAFASMTCRHWKATINSYKEISRQVDLSSLGPNCTDSRLRSIMNTYNKEKIDSIILVGCTNVTASMLEEILHIFPRISSVDITGCSQFGDLSVNYKNVSWLRCQNTRSGELHSRIRSLKQATDGSKSKGVGGDTDDFGNLKDYFDRVEKRDSANQLFRRSLYKRSKLYDARKSSAILSRDARIRRWAIKKSEHGYKRVEEFLALSLRGIMKQNTFDFFALKVSQIEEKMKNGYYVSHGLRSVKEDISRMCREAIKDELMKSWQDGSGLSSASKYNKKLSKTVTEKKYMSRTSDTFGVNGASDYGEYASDREIKRRLSKLNRKSFSSGSETSSELSDNGKSDNYSSASASESESDIRSEGRSQDLRTERYFTADESFDSVTEEREWGARMTKASLVPPVTRKYEVIEKYAIVADEEEVQRKMRVSLPEDYGEKLNAQRNGIEELDMELPEVKEFKPRKLLGDEVLEQEVYGIDPYTHNLLLDSMPGELDWSLQDKHSFIEDVVLRTLNRQVRLFTGSGNTPMVFPLRPVIEELKESAREECDIRTLKMCQVVLKEIESRSDDKYVSYRKGLGVVCNKEGGFGEEDFVVEFLGEVYPVWKWFEKQDGIRSLQENKTDPAPEFYNIYLERPKGDADGYDLVVVDAMHKANYASRICHSCRPNCEAKVTAVDGHYQIGIYSVRAIEYGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKDWHGLLERHRLMLEACILNSVSEEDYLELGRAGLGSCLLGGLPDWVIAYSARLVRFINFERTKLPEEILKHNLEEKRKYFSDIHLDVEKSDAEVQAEGVYNQRLQNLAVTLDKVRYVMRHVFGDPKNAPPPLERLTPEETVSFVWNGDGSLVDELVQSLSPHLEEGILNELRSKIHSHDPSGSADVLKELQRSLLWLRDEIRDLPCTYKCRNDAAADLIHIYAYTKCFFKVREYQSFISSPVHISPLDLGAKYADKLGESIKEYRKTYGENYCLGQLIYWYNQTNTDPDLTLVKATRGCLSLPDVASFYAKAQKPSKHRVYGPKTVKTMVSQMSKQPQRPWPKDKIWTFKSTPRVFGSPMFDAVLNNSSLDRELLQWLRNRRHVFQATWDS >fgenesh2_kg.7__2807__AT4G15160.1 pep chromosome:v.1.0:7:13257595:13264932:-1 gene:fgenesh2_kg.7__2807__AT4G15160.1 transcript:fgenesh2_kg.7__2807__AT4G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MAX1] MGLLHKQNLSFVILLLLGFLAVSYACDCSDPPKPSPHPVKPPKHPAKPPKPPTVKPPTHTPKPPTVKPPPPYIPCPPPPYTPKPPTVKPPPPPTVKPPPPPTLKPPPPPTPYTPPPPTVKPPPPPPVVTPPPPTPTPEAPCPPPPPTPYPPPPKPETCPIDALKLGACVDVLGGLIHIGLGKSYAKAKCCPLLEGLASVDAAVCLCTTIRAKLLNIDLIIPIALELLVDCGKTPPRGFKCPATLKKTPLLAPSSQRNQGIENSEENSEKKFLQGGRFSLKSVLMVFSFLWELPIILKMGTFADIIWVFYFFLSRCVAVSQCLGAIGNAGSAIGILAKDGVVLVGEKKVTSKLLQTSMSTEKMYKIDDHVACAVAGWDKNHGFQLYMSDPSGNYGGWKAAAVGANNQAAQSILKQDYKDDATREEVVQLAIKVLSKTMDSTSLTAEKLELAE >fgenesh2_kg.7__2809__AT4G15120.1 pep chromosome:v.1.0:7:13290784:13291613:-1 gene:fgenesh2_kg.7__2809__AT4G15120.1 transcript:fgenesh2_kg.7__2809__AT4G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MAX5] NSNNDWSHFYNNQTFFTTATSTVTTTTTTTSADSPLNPESRRVTKPTRRRSRASRRTPTTLFNTDTANFRAMVQQFTGGPSAVAFGSSSSSGFSLTSSDPTAGASSSPWQYANLQNHTSHHHELPQQDRPYLFSSSNHVSTLGYPNAVVSNGFVAADESKDGGGGGGYAPSSETNSNIRNV >fgenesh2_kg.7__280__AT1G64310.1 pep chromosome:v.1.0:7:1165789:1167275:-1 gene:fgenesh2_kg.7__280__AT1G64310.1 transcript:fgenesh2_kg.7__280__AT1G64310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MA19] MASQTQLRLIIYDTQKLHSFVTKSKLSRDPYFAAQLVRFYALNDDLLSARKLFDVFLERTYAKAHQFSTSLSLFSQMLSSDTRPDNFTYVCVARGFSESFDSEGLRRIHGIAVVSGLGFDQICGSAIVKAYSNPGLIVEASKLFCSIPDPDLALWNVMILGYGCCGFWDKGINLFNLMQHRGHVPNCYTMVALMTGLIDPSLLLIAWSVHGFCLKINLDSHSYVGCAFVTMYSRCMCIASAGSVYNSICEPDLVACSSLITGYSRCGIHKEALHLFAELRMSSKKPDCVLVAIYTEKKCTVCSGLIDMYSKCGLLDSAMNLFAGIPEKHIVSFNSVISRFTRFCFLCVRKITFSALLCNCCHSGLLDKGQEIFERMKSEFGIEPQTEHYVYMVKLMGMAGKLEEAFEFEFWEHYCHVVKFMRTLIWL >fgenesh2_kg.7__2810__AT4G15110.1 pep chromosome:v.1.0:7:13294199:13297366:1 gene:fgenesh2_kg.7__2810__AT4G15110.1 transcript:fgenesh2_kg.7__2810__AT4G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSAATYSTHFHGGGLHRDHCLLGFFYPQTLFSRRSSVPIKCQSMEPKTNGNILDNASNLLTNFLSGGSLGSMPTAQGAVSDLFGKPLFLSLYDWFLEHGGVYKLAFGPKAFVVISDPIVARHILRENAFSYDKGVLAEILEPIMGKGLIPADLDTWKLRRRAITPAFHKLYLEAMVKVFSDCSEKMILKSEKLLREKETSSGEDTIELDLEAEFSSLALDIIGLSVFNYDFGSVTKESPVIKAVYGTLFEAEHRSTFYFPYWKFPPARWIVPRQRKFQSDLKIINDCLDGLIQNAKETRQETDVEKLQQRDYTNLKDASLLRFLVDMRGVDIDDRQLRDDLMTMLIAGHETTAAVLTWAVFLLSQNPEKIRKAQAEIAAVLGQGPPTYESMKNLEYIRLIVVEVLRLYPQPPLLIRRTLKPETLPGGYKGEKEGHKVPKGTDIFISVYNLHRSPYFWDNPHDFEPERFLRTKESNGIEGWAGFDPSCSLGALYPNEIISDFAFLPFGGGPRKCIGDQFALMESTVALAMLLQKFDVELRGSPESVELVSGATIHAKNGMWCKLKRRSK >fgenesh2_kg.7__2811__AT4G15093.1 pep chromosome:v.1.0:7:13299808:13301101:-1 gene:fgenesh2_kg.7__2811__AT4G15093.1 transcript:fgenesh2_kg.7__2811__AT4G15093.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic LigB subunit of aromatic ring-opening dioxygenase family [Source:UniProtKB/TrEMBL;Acc:D7MAX8] MEKVNQTFFLSHGSPTLSIDDTLEARQFFKSWTHKVLPQKPKSILVISAHWDTKFPSFNTVLRNNTIHDFYGFPDSMYKLKYEAPGAIELGKRVKELLMKEGGMKRVDEDTKRGLDHGAWVPLMLMYPDADIPICQLSVQSNQNGSYHYNMGKALASLKDEGVLIIGSGSATHNLRKLDFNITNGSSVPWALEFDIWLRDSLLQGRYGDVNEWEERAPNAKVAHPLPEHLYPLHVAMGAAGEDAKAEQIHTSWQLGTLSYSSYSFTSSP >fgenesh2_kg.7__2814__AT4G15075.1 pep chromosome:v.1.0:7:13314755:13315512:-1 gene:fgenesh2_kg.7__2814__AT4G15075.1 transcript:fgenesh2_kg.7__2814__AT4G15075.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MAY0] MSKLEVADIETTFPDISKFVTSITSVKRLSLCVRVNAEELENLKLCPCDANWSKLLVRFLENSPNLRELEIDLNDDHKDSCVDPLVCLENQLNYVPECLRSSLETFKWTGIHGSQKEIELVKYIMRNACCLKTATILFQSTTPETEDKRKTMIQELLLSSRSSTTCQLVFD >fgenesh2_kg.7__2816__AT4G15040.1 pep chromosome:v.1.0:7:13421389:13424132:1 gene:fgenesh2_kg.7__2816__AT4G15040.1 transcript:fgenesh2_kg.7__2816__AT4G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MAZ2] MGALPSKASYSPMSHHQNILQEVIESSNSIEDSLVRSYGRSFNGFAAKLTESEKDKLIGMEGVVSVFPSTVYKLLTTRSYEFMGLGDKSNHVPEVESNIIVGVIDGGIWPESKSFSDQGIGPIPKKWKGTCAGGTNFSCNRKVIGARHYVQDSARDSDAHGSHTASTAAGNKVKGVSVNGVAEGTARGGVPLGRIAVYKVCEPAGCSGDRLLAAFDDAIADGVDVITISLGGGVTKVDNDPIAIGSFHAMTKGIVTTVAVGNAGSALGKADNLAPWVISVAAGSTDRKFVTNVVNGDDKMIPGRSINDFDLKGKKYPLAYGKTASNNCTEELARGCASGCLNTVEGKIVVCDVPNNVMEQKAGGAVGTILHVTDVDTPGLGPIAVATLDDTNYEALRSYILSSPNPQGTILKSATVKDNDAPIVPTFSSRGPNTLFSDILKPDITAPGVNILAAYSPLAQTALPGQSVDYYFMTGTSMACPHVAGVAAYVKTLRPDWSASAVKSAIMTTAWAMNASKNAEAEFAYGSGFVNPSVAVDPGLVYKIAKEDYLNVLCSLDYSSNGISTIAGGTFTCSEQSKLTMRNLNYPSMAAKVSASSSDITFSRTVTNVGKKGSTYKAKLSGDPKLSIKVEPNTLSFKSPGEKKSYTVTVSGKSLAGISSIVSASLIWSDGSHNVRSPIVVYT >fgenesh2_kg.7__2819__AT4G15010.1 pep chromosome:v.1.0:7:13430601:13432895:1 gene:fgenesh2_kg.7__2819__AT4G15010.1 transcript:fgenesh2_kg.7__2819__AT4G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7MB92] MDKLLENHQFATHAIAASASVSLGTALAYPLDTIKTIIQVGSGPNKKLSSFQVVNRVLRFSGYSGLYSGLGSLTLGRISGVGGRFGVYEILTAFYKDGRHDNYVSVGEAFLAGMVGGAAETVMTSPFELIKVRKQVTAASRAPNATAVAETAPVSPMLTKLLRRYTLDVKSLTQTVSLLSVLNHKHPNMTAALQEYPWMMTGTGNPPSAMDVKRPLDVASLEGYRALWRNLRSGLVRDCIYGGVFFSTWQFLHEAMVGWKAVGMNPLPSSEEEIGPLSPVAISVAAGFSGAIAAAASHSFDTARTRAQCVILPKYTAKERKFLRWNKPGKRLERWTGIHPTDRNLLFRGIWIRMARSSVASTVIVGSYYLAVDLLVPK >fgenesh2_kg.7__281__AT4G37680.1 pep chromosome:v.1.0:7:1170173:1172280:-1 gene:fgenesh2_kg.7__281__AT4G37680.1 transcript:fgenesh2_kg.7__281__AT4G37680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEDAEIKEHLKPQASSETMEKKHNVKGKRLWQKVKYQLVEYHSLPAYLRDNEYIIGHYRSEWPIKQILLSIFTIHNETLNVWTHLIGFFLFLALTIYTATKVPSVVDLHSLQHRLPDLLRKTDLHKLHAELMSRLPSSPSSWHVMDLLYNCLPERFSHGNYTDMCVLHSVREDLANMIAPLIFRPITRWPFYAFLGGAMFCLLASSTCHLLSCHSERVSYIMLRLDYAGIAALIATSFYPPVYYSFMCDPFFCNLYLGFITILGIATVLVSLLPVFQSPEFRVVRASLFFGMGFSGLAPILHKLIIFWDQPEALHTTGYEILMGLLYGLGALVYATRIPERWMPGKFDIAGHSHQLFHVLVVAGAFTHYRAGLVYLKWRDIEGC >fgenesh2_kg.7__2822__AT4G15000.1 pep chromosome:v.1.0:7:13433470:13434127:-1 gene:fgenesh2_kg.7__2822__AT4G15000.1 transcript:fgenesh2_kg.7__2822__AT4G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L27 [Source:UniProtKB/TrEMBL;Acc:D7MB93] MVKFLKQNKAVILLQGRYAGKKAVIIKSFDDGTRDRAYGHCLVAGLKKYPSKVIRKDSAKKTAKKSRVKCFIKLVNYQHLMPTRYTLDVDLKEVATLDALQSKDKKVAALKEAKAKLEERFKTGKNRWFFTKLRF >fgenesh2_kg.7__2830__AT4G14940.1 pep chromosome:v.1.0:7:13485466:13488245:-1 gene:fgenesh2_kg.7__2830__AT4G14940.1 transcript:fgenesh2_kg.7__2830__AT4G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:D7MB99] MNTHILALVFLLQCAFTFGLHFHPLDPLTPQEITKTSFIVKKSHLGNLKDLTFHYLDLEEPNKTHVLQWLSPNPSKKPPPPRRRSLVVVRAGGQTHELIIDLTTSKIVSSRIYTGHGFPSLTFIELFRASKLPLTYPPFKKSILDRALNISEVSCIPFTVGWYGETTTRREVKASCFYRDGSVNVFTRPIEGITVTIDVDSMQVVKYSDRFRKPLPDKEGNDFRTKHKPFPFSCNVSDTGFKILGNRVKWANWKFHVGFTARAGVTISTASVLDPRTKRFRRVMYRGHVSETFVPYMDPTYEWYYRTFMDIGEFGFGRSAVTLQPLIDCPQNAAFLDGHVAGPDGTAQSMTNVMCVFEKNGYGASFRHTEINVPGHVITSGEADISLVVRMVATLGNYDYIVDWEFKKNGAIRVGVDLTGVLEVKATSYTSNDQITDNVYGTLVAKNTIAVNHDHYLTYYLDLDVDGNGNSLVKAKLRTVRVTDVNKTSSRRKSYSTVVKETAKTEADGRVRLGSEPVELLIVNPKKKTKIGNTVGYRLIPEHLPVTSLLTDDDYPEIRAGYTKYPVWITAYNRSERWAGGFYSDRSRGDDGLAVWSSRNREIENKDIVMWYNVGFHHIPYQEDFPVMPTLHGGFTLRPSNFFDNDPLIG >fgenesh2_kg.7__2831__AT4G14930.1 pep chromosome:v.1.0:7:13488288:13492222:-1 gene:fgenesh2_kg.7__2831__AT4G14930.1 transcript:fgenesh2_kg.7__2831__AT4G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGGGDRISGERPIIMVTNDDGIDAPGLRSLVRVLVSTNLYDVRVCAPDSEKSAVSHSIIWSRPLTAKRVDIDGATAYAVDGTPADCTGLGLSEALFPSRPDLVLSGINVGSNCGYHIVYSGTVAGAREAFLYDVPSASISYDFDWKRGEMNANDFVLSAQACLPIINGILSAIKNKTHPMQCFLNIDLPTDIANHKGYKLTRQGKSMGKMGWRQVEEKAQGPKMLSTMTMDTESGVVSSDNDTSAHSKKDNRLFKREVRGSFNEEGTDSHYLKEGFITVTPLGALSQTDVDCQNYYKEWLPKITNQSCSSSSL >fgenesh2_kg.7__2835__AT4G14905.1 pep chromosome:v.1.0:7:13536081:13537594:1 gene:fgenesh2_kg.7__2835__AT4G14905.1 transcript:fgenesh2_kg.7__2835__AT4G14905.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MBA4] NRHRRNCLNRLLRLRVRRLWLFFMTKSLNFRRLIASPEIYIERSVIRLTEHILYVAIRSQATKTLTWYTLNLKPFGTTDISHRLVEFHHSLQFLDLEQLLSLPVLRLTSLVDISMENLSPLCRFLPNMKEPRKCTAVGLIDGKLYVVRGCNTPSLNWVEEQVNCFVMNDKIYRMGQKTIFVYDPKKGRFEEDLTLNALWMKGFCPIDNMLYGFYLESQIFAYDLVKLEGCTGTIVNHGGRLAILFKKSPTEIWCTEIAMERAEEGGCISGVWSNHVLTLTDSFIIERALTVTV >fgenesh2_kg.7__2837__AT4G14890.1 pep chromosome:v.1.0:7:13542632:13543207:-1 gene:fgenesh2_kg.7__2837__AT4G14890.1 transcript:fgenesh2_kg.7__2837__AT4G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:D7MBA6] MATLPLPTQTSTISLSKPYLTNSFSFPLRNATFSTTPNRRNFLTAGRIVAQSYKVVVEHDGKTTELEVEPDETILSKALESGLDVPYDCNLGVCMTCPAKLVAGTVDQSDGMLSDDVVERGYALLCASYPTSDCHIKMIPEEELLSLQLATAND >fgenesh2_kg.7__2838__AT4G14880.2 pep chromosome:v.1.0:7:13543534:13545985:1 gene:fgenesh2_kg.7__2838__AT4G14880.2 transcript:fgenesh2_kg.7__2838__AT4G14880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:D7MBA7] MASRIAKDVTELIGNTPLVYLNNVAEGCVGRVAAKLEMMEPCSSVKDRIGFSMISDAEKKGLIKPGESVLIEPTSGNTGVGLAFTAAAKGYKLIITMPASMSIERRIILLAFGVELVLTDPAKGMKGAIAKAEEILAKTPNGYMLQQFENPANPKIHYETTGPEIWKGTGGKIDGFVSGIGTGGTITGAGKYLKEQNANVKLYGVEPVESAILSGGKPGPHKIQGIGAGFIPSVLNVDLIDEVVQVSSDESIDMARQLALKEGLLVGISSGAAAAAAIKLAQRPENAGKLFVAIFPSFGERYLSTVLFDATRKEAESMTFEA >fgenesh2_kg.7__2842__AT4G14850.1 pep chromosome:v.1.0:7:13547654:13550156:-1 gene:fgenesh2_kg.7__2842__AT4G14850.1 transcript:fgenesh2_kg.7__2842__AT4G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTADALGLLLKNAISTSSMRLGRVVHARIVKTLDSPPPPFLANYLINMYSKLDHPESARLVLRLTPARNVVSWTSLVSGLAQNGHFSTALFEFFEMRREGVAPNDFTFPCVFKAVASLRLPVTGKQIHALAVKCGRILDVFVGCSAFDMYCKTRLRDDARKLFDEIPERNLETWNAYISNSVTDGRPKEAIEAFIEFRRIGGQPNSITFCGFLNACSDGLLLDLGMQMHGLVFRSGFDTDVSVYNGLIDFYGKCKQIRSSEIIFAEMGMKNAVSWCSLVAAYVQNHEDEKASVLYLRSRKEIVETSDFMISSVLSACAGMAGLELGRSIHAHAVKACVERNIFVGSALVDMYGKCGCIEDSEQAFDEMPEKNLVTLNSLIGGYAHQGQVDMALALFEDMAPRGCGPAPNYMTFVSLLSACSRAGAVENGMKIFDSMKSTYGIEPGAEHYSCIVDMLGRAGMVEQAFEFIKKMPIKPTISVWGALQNACRMHGKPHLGILAAENLFKLDPKDSGNHVLLSNTFAAAGRWAEANTVREEMKGVGIKKGAGYSWITVKNQVHAFQAKDRSHKMNKEIQTMLTKLRNKMEAAGYKPDLKLSLYDLEEEEKAAEVSHHSEKLALAFGLVALPLSVPIRITKNLRICGDCHSFFKFVSGSVKREIIVRDNNRFHRFKDGICSCKDYW >fgenesh2_kg.7__2843__AT4G14840.1 pep chromosome:v.1.0:7:13550294:13552451:1 gene:fgenesh2_kg.7__2843__AT4G14840.1 transcript:fgenesh2_kg.7__2843__AT4G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDQEIGQNSPRFDGESVTMSNDKETEPVMVEKDDFRENGFNREDDGDHVKVNGNGSSFTPGETDLNQLPAIPPVSSGQGLPFAPVDCPSPGDVWTWRVGRRVTNNGIHKDRFLILPERLKSKNAPKYFTGKNTLWRYLETNFPDMDVNAFFASFSWNIPALFQPANKVDAASLFEETSKEGKNQDEVAEDVKKEGSTSRYSQRKRKPVQIQTNEPVEEKTKATPRASNRKKKAKHKSSRESSSRRFTRQQQGDVVDLTKENKESDANKGSKRMKKRRGNYADEEEEALVPHIYVSPMNGVLSVSHPLVDINPEEFDSYLNSLENLLQEQPSEAGQESSSSLPVSASSPMREHEWAEARTKLSSLLEKDFSSLFMSNEATEMASLAAKLRLDPSLSAEEIVRLKLIEEIPTFSEVFQENKSVIEEADRFFSALELNKSKVASLKYEYSDLKDKLGNIQTEVDTSTETIRQIDEQIAQLQARRTELTHCISNKEKEKVDLSYGQKMVANSIPKVVQEVQAANSKKPDWELKKDNALKREAEILNKFAPLKGFYL >fgenesh2_kg.7__2845__AT4G14820.1 pep chromosome:v.1.0:7:13559372:13561620:1 gene:fgenesh2_kg.7__2845__AT4G14820.1 transcript:fgenesh2_kg.7__2845__AT4G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MBB4] MTLPPPRPSFAVSAANTILEKLSFCKSLNHIKQLHAHILRTVINHKLNSFLFNLSFSSSSINLSYALNLFSSISPLPESIVFNSLLRDLSRSGEPRATILFYQRIRHVGGRFDRISFPPILKAVSKVSALFEGMELHGFAFKIATLSDPFVETGLMDMYAACGRINYARNVFDEMSQRDVVTWNTMIERYCRFGLLDEAFKLFEEMKDSNVMPDEMILCNIVSACGRTGNMRYNRAIYDFLIENDVRMDTHLLTALVTMYAGAGCMDMAMEFFRKMSVRNLFVSTAMVSGYSKAGRLDDARVIFDQTEMKDLVCWTTMISAYAESDHPQEALRVFEEMCCSGIKPDVVTMLSVISACVNLGTLDKAKWVHRYTHLNGLESVLPIDNALINMYAKCGGLDAARDVFEKMPTRNVVSWSSMINAFAMHGEASDSLSLFAQMKQENVEPNEVTFVGVLYGCSHSGLVEEGKKIFASMTDEYNITPKIEHYGCMVDLFGRANLLREALEVIESMPMAPNVVIWGSLMSACRVHGELELGELAAKRILKLEPDHDGALVLMSNIYAREYRWDYVRIIRWIMEKKKVFKEKGLSRIDLNGKSHEFLIGDKRHKQSNEIYTKLYEVVSKLKLAGYVPDGGSVLVDVEEEEKKDLVLWHSEKLALCFGLMNKEKEEEKGSCGVIRIVKNLRVCEDCHAFFKLVSKVYELEIIVRDRTRFHRYKDGLCSCRDYW >fgenesh2_kg.7__2846__AT4G14819.1 pep chromosome:v.1.0:7:13561671:13562133:-1 gene:fgenesh2_kg.7__2846__AT4G14819.1 transcript:fgenesh2_kg.7__2846__AT4G14819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVTCECCGLMEDCTQHYISKVKANFAGKWLCGLCSEAVSDEFSRSSKTVEEAVNAHVSFCGKFNANPAELVADGMRQMLRRRSGELSPKTSKKFGRSNTT >fgenesh2_kg.7__2848__AT4G14805.1 pep chromosome:v.1.0:7:13566095:13566903:1 gene:fgenesh2_kg.7__2848__AT4G14805.1 transcript:fgenesh2_kg.7__2848__AT4G14805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATITTVVFILILAITFSSSAVSATQAPSSSPPIPTCTEELVMFSPCLPYVSAPPNNMSETPDPICCSVFTSSVHFSAGNCLCYLLRQPMILGFPLDRSRLISISQICTDQNSYESFESLCSLSESPELPPLQSIQFTNPFVSGNHDSASPPSVGLAPEVSPSSDQFSPETATIAPPPPPPDAQYISSDSQKIRNFWFPSTIIMTFASSILTRI >fgenesh2_kg.7__2849__AT4G14800.1 pep chromosome:v.1.0:7:13567117:13568916:-1 gene:fgenesh2_kg.7__2849__AT4G14800.1 transcript:fgenesh2_kg.7__2849__AT4G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:D7MBB8] MECVFGLVGNGFAIVAADTSAVHSILLHKNKEDKIMVLDSHKLVAASGEPGDRVQFTEYVQKNVSLYQFRNGLPLTTAAAANFTRGELATALRKNPYSVNILMAGYDKEAGASLYYIDYIATLHKVDKGAFGYGSYFSLSTMDRHYRSDMSVEEAIELVDKCILEIRSRLVIAPPNFVIKIVDKNGAREYDWRITTVDA >fgenesh2_kg.7__2853__AT4G14770.1 pep chromosome:v.1.0:7:13658851:13662300:1 gene:fgenesh2_kg.7__2853__AT4G14770.1 transcript:fgenesh2_kg.7__2853__AT4G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPQKSVTQIGTPISKSRFEDSPVFNYINSLSPIRPVRSIPNPNQFSSLNFTSPPSVFTSPHLTSSHKESRFFKTHNSSSSDPTNPVESREDESTSYEDVVAEGEEDTKDLNIDSSMREEETNRDDSVSSPCGRDTTDLSLVPYAPRGENGTSEDAGMELQKMYDNVQGKTETPDWESLISDASELLIFDSPDASEAFRCFMMQRASNSEARFSNGVEVQTMQPDSNKEPQSSDAIPYEVHSGITSQAVSLLHRGIRRRCLDFEMPGNKQTNNAACESSSRCVVPSIGLHLNAILMSSKDCKTNVSHDYSCSGKIQVGLQSSISTMQDTLDQTENEAREDADQDVPVEPALQELNLSSPKKKSYCECFAAGVYCIEPCSCIDCFNKPIHEDVVLATRKQIESRNPLAFAPKVIRNSESVLETGDDASKTPASARHKRGCNCKKSNCLKKYCECYQGGVGCSINCRCEGCKNAFGRKDGSSNDMEAQQEEENETSEKSRTAKVQQNTEVLMRKEARSDLPTTPTPIYRPELVQIPFSSSKNRMPPPQSLLGGRSSSGIFNSQYLRKPDISLTQSRIKKSFETVAEDGAEEMPEILIHSPIPNIKSVSPNGKRVSPPHMESSSSGSILGRRSGGRKLILQSIPSFPSLTPQH >fgenesh2_kg.7__2854__AT4G14760.1 pep chromosome:v.1.0:7:13662586:13667703:-1 gene:fgenesh2_kg.7__2854__AT4G14760.1 transcript:fgenesh2_kg.7__2854__AT4G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:M protein repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MBC8] MDSKVKTMIKLIEADADSFARRADMYFKKRPELMKLVEELYRAYRALAERYDHTTVELRRAHKVMVEAFPNQMPFDMIENSASSSSEPHTEADTEVLQNDGPKSKRSLSQLNKLYGTSDSHKADSEVESLKRTLLELQTEKEALNLQYQLILNKVSRFEKELNDAQKDVKGFDERACKADTEIKILKESLAKLEVERDTGLLQYSQAMERIADLEASISHGEEYAKGLTNQASEDEREAMSLKQELSRLQSEKEAGLLRYNKSLELISSLEKTIRDAGESIRIFRDQSEQAENEIKALKQELLKSNEVNDDLNVRYQQCLETISNLEREVSHAQDNAKRLSSEVLAGAAKIKTVEEQCALLESFNQNLKVEADNLAHKMLAKDQELSQKQNELEAFQALMQEEQLRFSELGASLRNLESLHSQSQEEQKVLTLELQSRIQMLRELEMRNRKLEGDISSVKEENRNLSELNDTSISLEIQKNEISCLKKMKEKLEEEVAKQMNQSSALQVEIHCVKGNIENMNRRYQNLIDQVSLTGFDPESLSYSVKKLQDENSKLIELCTNQRGEKNAVTRKLLEMDSILKRNADLEKLLLESNTKLDGSREKAEDLQERCESLRGEKSELAAERANLVSQLQIMTANMQTLLEKNSLLEKSLSCANIELESLRDKSKCFEDFFQFLKNDKSELMKERESIVFQLYTVEEKLGALEKKYTELEVKYTDSQSDNKLKNHHVEELQVSLATEKQENDNYKRSTESRLADLQKNVSFLREECRSRKRDYEDELDRVVNKQVEIFILQKLIEDLEQKNFSLLIECQKHVEASEFSEKLISELESENLEQQMEAEIFLDEIDSLRGAICQVIKALQVEADCKAEQKITKDQISVVRALGEIKSLKCSLSSAEYEMQRLVVENSVLLSLLGQFESDGLVVESEKNILEKDLKAIIHHCGMLEKDKQDLLEANRLLKSKLIKREQQEQELRAELQTEHLKFESLHESYMVLQQNYSYTLNDNKNLLLKFSELKDGMCVVEEENDAILQEAIALGNTCVVYRSFGSEMAEEVEDFVETVSSLREISTGLKRKVETLEKKLEGKEEESQGLKKMLENLQEGLEEDNFLKGLLEHQVSNVDEILEHREIEILEAEQMLKAANNANEELHKEVEELRKDCKESRRMRGNLERQISELSDVAGRQEEEIRKLNALNKNLESEVEFLNKEIQRQQVREEYLSLELQEKSNEIGLWDAEATSFYFDLQISAVRELILENKVNELIGVCENLNDEVVTKTTKIQQMKETIGFLESQVTELKSQLSAYDPVIASLAVDVKSLEKSTQALTKFPATAYQERVVNNLEESGSTTTPCIKTIEQAVFKEKGRLSRQRTRSASQKSRDRRKIENIQLDDKVSGESRQARSRPEMTEVKNGLLMNDIPRDQVTDSLTYGRSQGTSQGSNDMFEFWDESAESETSINFLINSNKPERPLNSNLRRQSRNPSIESDKAVRVVDKLELSRNIEDKAKILERLLSDSRRLSSLRISLTDLKSKLEMNEKQGRFSNADLVIVKRQLKEMEEAVSQLENTNEILSKEIEETGDARDIYRKVVVEKSRSGSEKIEQLQNKMQSIEQTVLKLEDGTKSKGRKMFSETRTVILLRDIIQKGGKRTARKKKNRFCGCIRSSTKEE >fgenesh2_kg.7__2855__AT4G14750.1 pep chromosome:v.1.0:7:13675551:13677253:-1 gene:fgenesh2_kg.7__2855__AT4G14750.1 transcript:fgenesh2_kg.7__2855__AT4G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 19 [Source:UniProtKB/TrEMBL;Acc:D7MBC9] MGKTSKWIRSLLTGKKERTKEHIIQSECGFTSSIPGTPKEKRRWSFRRSSATGPPPACAITLKDSPPPPPPPPPQPQPLVVAIVDNEDEQIKNVSGEEIEEFAAIKIQACYRSHLARKALRALKGLVKLQALVRGHLVRKQATATLRCMQALITLQAKAREQRIRMIGGDSTNPRTSIHKTRINNLYQENEENIKIVEMDIQSKMYSPAPSALTEMSPRAYSSHFEDCNSFNIAQSSPQCFSRFKEYYNGDTLSSYDYPLFPNYMANTQSSKAKARSQSAPKQRPPEIYEKQMSGRRRSSMEAPRNNGVPRAVRMQRSSSQLGSNTAKESQQHQHHHQYYPWMAIKLDKSNISLMESECGSTSTVMTNTNYGRHVDVQGNSMY >fgenesh2_kg.7__2856__AT4G14746.1 pep chromosome:v.1.0:7:13685120:13686676:1 gene:fgenesh2_kg.7__2856__AT4G14746.1 transcript:fgenesh2_kg.7__2856__AT4G14746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRNTLCLVAALLLIYQKTATCDFLSPLFAPMYDNICKEVECGKGKCKSSLNTTFMYECECDDGWKQFDQHLKFLPCITPNCTFDLTCGEAASPAQPKTPPKDNITSLFDACQWMDCGGGFCNSTTAFQYSCNCHEGYSNLMNITTFPCLKQCALGMDCLNLGIPLSNTSSSSPPALPDSSKNQGLNLRGSSLWWITFMLCVSLAPWRLLYI >fgenesh2_kg.7__2858__AT4G14740.1 pep chromosome:v.1.0:7:13698656:13702334:1 gene:fgenesh2_kg.7__2858__AT4G14740.1 transcript:fgenesh2_kg.7__2858__AT4G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPMVPTWRPDPVYRPPETPLEPMEFLARSWSVSALEVSKALTPPNPQILLSKTEEEEQPISAVNGDGDTEDTGLVTGNPFSFACSETSQMVMDRILSHSQEVSPRTSGRLSHSSGPLNGSLTDSPPVSPPESDDIKQFCRANNNSLNNINSQFRTTATTPGPITATATQSKTVGRWLKDRREKKKEETRAHNAQIHAAVSVAGVAAAVAAIAAATAASSSCGKDEQMAKTDMAVASAATLVAAQCVEAAEVMGAEREYLASVVSSAVNVRSAGDIMTLTAGAATALRGVQTLKARAMKEVWNIASVIPMDKGLTSTGGSSNNVNGSNGSSSSSHSGELVQQENFLGTCSREWLARGCELLKRTRKGDLHWKIVSVYINKMNQVMLKMKSRHVGGTFTKKKKNIVLDVIKNVPAWPGRHLLEGGDDLRYFGLKTVMRGDVEFEVKSQREYEMWTQGVSRLLVLAAERKFRM >fgenesh2_kg.7__285__AT4G37670.2 pep chromosome:v.1.0:7:1173882:1176631:1 gene:fgenesh2_kg.7__285__AT4G37670.2 transcript:fgenesh2_kg.7__285__AT4G37670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGALVGTSSSSCYVPFQFRQSKTFFPSFRPKTKLNPIQFRFNCPWFKPVSSVTAAKCNMFDYAVSAGGDVEAEHPVDDKQFVRWFREAWPYLWAHRGCTFVVIISGEIIAGSSCDPILKDIAFLHHLGIRFVLVPGTQEQIDELLSERGREATYVGRYRVTDAASLQAAKEAAGAISVMLEAKLSPGPSICNIRRHGDRNRLHDIGVRVDTGNFFAAKRRGVVDGVDFGATGEVKKIDVDRICERLDGGSIVLLRNLGHSSSGEVLNCNTYEVATACALAIGADKLICIMDGPILDESGHLIRFLTLQEADMLVRTRAQQSDIAANYVKAVGDGSMTYPEPPNNTSSKITSPQNGRAAFWGNGNHTPVFQNGVGFDNGNGLWSGEQGFAIGGEERLSRLNGYLSELAAAAFVCRGGVKRVHLLDGTISGVLLLELFKRDGMGTMVASDVYEGTRDARVEDLEGIRHIIKPLEESGILVRRTDEELLRALDSFVVVEREGQIIACAALFPFFEDKCGEVAAIAVASDCRGQGQGDKLLDYIEKKASSLGLEKLFLLTTRTADWFVRRGFQECSIEIIPESRRQRINLSRKSKYYTKKLLPDRSGISVLRI >fgenesh2_kg.7__2860__AT4G14723.1 pep chromosome:v.1.0:7:13718747:13719674:-1 gene:fgenesh2_kg.7__2860__AT4G14723.1 transcript:fgenesh2_kg.7__2860__AT4G14723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFRRRRRHFLLASLAAFALLHLFSASFVVSADGRWIGQRTGSDLPGGFVENNKRFGGPGSSPPTCRSKCGKCQPCKPVHVPIQPGLSMPLEYYPEAWRCKCGSKLFMP >fgenesh2_kg.7__2862__AT4G14716.1 pep chromosome:v.1.0:7:13728341:13729951:1 gene:fgenesh2_kg.7__2862__AT4G14716.1 transcript:fgenesh2_kg.7__2862__AT4G14716.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase [Source:UniProtKB/TrEMBL;Acc:D7MGX2] MGEAVKDGREEVIEAWYMDDSEEDQRLPHHKDPKEFVSLDKLAELGVLSWRLDADNYETDEDLKKIRESRGYSYMDFCEVCPEKLPNYEVKVKSFFEEHLHTDEEIRYCVAGSGYFDVRDRNEAWIRVWVKKGGMIVLPAGIYHRFTVDSDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYIDNFMINASA >fgenesh2_kg.7__2867__AT4G14713.1 pep chromosome:v.1.0:7:13735326:13738114:1 gene:fgenesh2_kg.7__2867__AT4G14713.1 transcript:fgenesh2_kg.7__2867__AT4G14713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGVSSAKSILAKPLKLLTEEDISQLTREDCRKFLKDKGMRRPSWNKSQAIQQVLSLKALFEPGDDSGAGILRKIHVSQPANPPRVTTTNELGECGRNPFQEDDGPCHRRDSPKSAEFSGGSAQYAAEKDTCRSPAETSALVGQMAIFYSGKVNVYDGVPPEKARSIMHFAANPIDLPENGIFASSRMISKRISKEKMVELPQNGLEKANFSRDSDMEGQANRKVSLQRYREKRKDRKFSKAKKCPGVASSSLEMFLNRQPRMNAAYSQNLGCTGSPLQSESPENQTKSPNLSVDLNSEGKKRIIWRALLK >fgenesh2_kg.7__2868__AT4G14700.1 pep chromosome:v.1.0:7:13740902:13743158:-1 gene:fgenesh2_kg.7__2868__AT4G14700.1 transcript:fgenesh2_kg.7__2868__AT4G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MGX5] KKKKKKKIAEEASFSPTSPNRSETITKKKNRVYYNKVEFNVTEFEIGDHVYVKRREDTNSDEEEEDPEIEDCQMCFKSDTNIMIECDDCLGGFHLNCLKPPLKDVPKGDWICQFCVINKPPQPQGKKKAARTMREKLLFGDLWAARIEKARWYMIPEETVSGRQRHNLKRELYLTNDFADIEMECLLRHCYVKCPMEFSKASNDGDDVFLCEYEYDVHWPYDQEWNGGKEEEIDDSDDEEESVCADSKSKRGLTCANVRKGRIFGLEKVGTKRIPEHVRFHKKNELEKAKATLLLATSPKSLPCRSKEMEEITAFIKGSILDDQCLGRCMYIHGVPGTGKTITVLSVMKNLKAEVEAGSVSPYCFVEINGLKLASPENIYSFIYEALSGHRVSWKKALQSLNERFAEGKRIGKENEKPCILLIDELDLLVTRNQSVLYNILDWPTKPNSRLVVLGIANTMDLPEKVLPRISSQMGIQRLCFGPYNHMQLQEIISTRLKGINAFEKTAIEFASRKVAAVSGDARRALEICRRAAEVAEYRLKKTNKTAKSQLVIMADVEAAIQEMFQAPHIQVMKTFYKTGMAETTFDRVATTVSSLCLTNGEAFPGWDILLKISCDLGECRIVLCEPGEKHRLQKLQLNFPSDDVAFALKDNKDLPWLPNYL >fgenesh2_kg.7__2871__AT4G14680.1 pep chromosome:v.1.0:7:13765981:13767968:1 gene:fgenesh2_kg.7__2871__AT4G14680.1 transcript:fgenesh2_kg.7__2871__AT4G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSTVFPKSTSFLSQPLTKPHKSDSITTSISFPSYSRNRNLTTLRVRAGLIEPDGGKLVDLVVSEPRRREKKHEAADLPKVRLTAIDLQWMHVLSEGWASPLRGFMRESEFLQTLHFNLLNLDDGSVVNMSVPIVLAIDDQQKTLIGESKRVSLVDSDDNPIAILNDIEIYKHPKEERIARTWGTTAPGLPYVEEAITNAGNWLIGGDLEVLEPVKYNDGLDRFRLSPFELRKELEKRGADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPILLLHPLGGFTKADDVPLSWRMKQHEKVIMLEDGVLDPETTVVSIFPSPMLYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPVEKRDLYDADHGKKVLSMAPGLERLNILPFRVAAYDKTQGKMAFFDPTRAQDFLFISGTKMRALAKNRENPPDGFMCPGGWKVLVDYYDSLTVTGNTKLPEKIPV >fgenesh2_kg.7__2872__AT4G14670.1 pep chromosome:v.1.0:7:13781642:13783448:-1 gene:fgenesh2_kg.7__2872__AT4G14670.1 transcript:fgenesh2_kg.7__2872__AT4G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPGETSVGVEALVISLLDDSQIRDLLKEAGSVPEKVKSEVEKLGGEVNLQALKTYGIDLVEQVGKLDPVIGRDKEIRRVVGILSRRTKNNPVLIGEPGVGKTAVVEGLAQRILKGDVPINLTDVKLFSLDLGAMVAGTTLRGQFEERLKSVLKEVENAQGKVVLFIDEIHMALGAGKASGSTDAANLLKPMLARGQLRCIGATTLEEYRTHIEKDAAFERRFQQVYVAEPSVPDTISILRGLKEKYEGHHGVRIQDSALVVSAQLSARYITARRLPDKAIDLVDESCAHVRVQLDSQPEEIDYLERKTMQLKIEIHALEKEKDDKASEARLYEVRKELDDLRDKLEPLTIKYKNEKRIINETRSLKQKRDELMIALQEAERQYDLPKAADLRYGAIQEVESAIAKLEKSVKDNVMLTETVGPENIAEVVSRWTGIPVTALDQNEKKRLISLADRLHERVVGQDEAVKAVAAAILRSRVGLGRPQQPSGSFLFLGPTGVGKTELAKALAEQLFYDENLLVRLDMSEYMDRSTVNKLIGAP >fgenesh2_kg.7__2874__AT4G14650.1 pep chromosome:v.1.0:7:13878275:13880566:1 gene:fgenesh2_kg.7__2874__AT4G14650.1 transcript:fgenesh2_kg.7__2874__AT4G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNNATAILTDQEERSKLEINGVSPLASSVDTTQEQVFFAGEGKDGDEEKESSKLETDGNIPLDYVSLETVQDQGFLAGEEKDGDQEKESSKLETNGMSPLETVSVEITQKQVFLAGEEKDGDQEKESSKLETNGMSPLDSISVKTRQDQLFLAVEEKDGDKEKESSKLETNGMSPLDSISVETTQDQLFLAGEGKEGDEEKESLSNGVEETEQHSKEFVDESKVKKFKEEMDQSGLESLVMETAEKLQKQTSVAKGVETLSEAREVEELSMKGTQSVVSKLNWTESGNEEKLCAIHEIVFESPSSLGTVGTEENMVNESLDEIFHSEEVVKLDQETGMEQRTGREGIPEIEPQEILNLDYRVVHDEEVTDEGKVGKAISNSKPGLQESDAIQEAGLGVYKAEKDKREASLKRMYRCRSLPVSQNSRVIGDSLVQQLVSEVAFTSRNKMGLKKANTSEALLVPCVGSNKAQETIEAITESNKEARLEMRAPSFGHDLRIEERSDESTENTPLLCEDKTEIYEATIDVEEKTVMLKRSESVKSRGSERSLGSLKKHNDSFKETKGSEDNLVDKKASPDSMKGIVKKRSKSSLLGTCLCCTTAMN >fgenesh2_kg.7__2884__AT4G14550.1 pep chromosome:v.1.0:7:13988302:13991048:1 gene:fgenesh2_kg.7__2884__AT4G14550.1 transcript:fgenesh2_kg.7__2884__AT4G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7MH06] MNLKETELCLGLPGGAETVECPSKSGVGNKRGFSETVGLKLNLQSNKQGPVDLNVNGAPKEKTFLKDPSKPPAKAQVVGWPPVRNYRKNVMANQKSGEAEEAMSSGGGTVAFVKVSMDGAPYLRKVDLKMYKSYKDLSDALAKMFSSFTMGSYGAQGMIDFMNESKVMDLLNSSEYVPSYEDKDGDWMLVGDVPWPMFVESCKRMRIMKGSEAIGLAPRAMEKFKNRS >fgenesh2_kg.7__2885__AT4G14540.1 pep chromosome:v.1.0:7:13995907:13996497:-1 gene:fgenesh2_kg.7__2885__AT4G14540.1 transcript:fgenesh2_kg.7__2885__AT4G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-box binding transcription factor subunit B (NF-YB) family [Source:UniProtKB/TrEMBL;Acc:D7MH07] MADSDNDSGGHKDGGNASTREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVEPLKVYLQKYREVEGEKTTTAGRLGDKEGGGGGGGAGSGSGGAPMYGGGMVTTMGHQFSHHFS >fgenesh2_kg.7__2887__AT4G14520.2 pep chromosome:v.1.0:7:13998968:14000469:-1 gene:fgenesh2_kg.7__2887__AT4G14520.2 transcript:fgenesh2_kg.7__2887__AT4G14520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVAICANHLNGQAPHHQILGRLLKDLIHEKACREHGFYLGITALKSIGNNKNNNDENKDNHQAHLLTFPVSFTCRTFLPARGDILQGTVKKVLWNGAFIRSGPLRYAYLSFLKMPDYHYVHSPLLEDEKPYFQKDDLSKIAVGVVVRFGVLAVRFKEKPHKRRNDYYVLATLERNDSFGPISLTGSDEPYM >fgenesh2_kg.7__2889__AT4G14500.1 pep chromosome:v.1.0:7:14004581:14007519:-1 gene:fgenesh2_kg.7__2889__AT4G14500.1 transcript:fgenesh2_kg.7__2889__AT4G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETYFDLLNFFKNPSFTETFVDILLCAVPIWLAVMIGLLIGWSWRPRWTGLIYLGFRSKLRFLWTAPPGFGARRLWLAFTALSAFSVCRTIWSRNDTRANKSATGSAPVEESDESGLVSRGSDNMRVTEDIVTENDLEHLLQLLEVGNAAMEWQSMMDKTTPNMSYQAWRHEPETGPVIYRSRTVFEDASPDIVRDFFWDDEFRPKWDFMLANFKTLEEDTQTGTMIVQWRKKFPFFCSDREYIIGRRIWESGNKYYCVTKGVPYPALPKRDKPRRVELYFSSWVIRAVESRKGDGLTACEVSLVHYEDMGIPKDVAKLGVRHGMWGAVKKLNSGLRAYQSARKSDSSLSRIAQMARITTKLNMDSAESSSRDEDRSRTMDYARRQRDHLRMDWKWVVVGGVALACGLHTGIIGKALLAGAGQRLARR >fgenesh2_kg.7__288__AT4G37640.1 pep chromosome:v.1.0:7:1188137:1192074:1 gene:fgenesh2_kg.7__288__AT4G37640.1 transcript:fgenesh2_kg.7__288__AT4G37640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7MA25] MESYLNENFDVKAKHSSEEVLEKWRNLCSVVKNPKRRFRFTANLSKRYEAAAMRRTNQEKLRIAVLVSKAAFQFISGVAPSDYTVPEEVKAAGFEICADELGSIVESHDVKKLKFHGGVDGLAGKLKASPTDGLSTEAAQLSQRQELFGINKFAESEMRGFWVFVWEALQDMTLMILGVCAFVSLIVGIATEGWPKGSHDGLGIVASILLVVFVTATSDYRQSLQFRDLDKEKKKITVQVTRNGFRQKLSIYDLLPGDIVHLAIGDQVPADGLFLSGFSVVIDESSLTGESEPVMVNAQNPFLMSGTKVQDGSCKMMITTVGMRTQWGKLMATLTEGGDDETPLQVKLNGVATIIGKIGLFFAIVTFAVLVQGMFMRKLSTGTHWIWSGDEALELLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKSCICMNVQDVANKGSSLQSEIPESAVKLLIQSIFNNTGGEVVVNKHGKTELLGTPTETAILEFGLSLGGKFQEERKSYKVIKVEPFNSTKKRMGVVIELPEGGSVRAHTKGASEIVLAACDKVVNSSGEVVPLDEESIKYLNVTINEFANEALRTLCLAYMDIEGGFSPNDAIPASGFTCVGIVGIKDPVRPGVKESVELCRRAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPVFREKNQEELLELIPKIQVMARSSPMDKHTLVKQLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRLPVGRRGNFITNAMWRNILGQAVYQFIVIWILQAKGKSMFGLEGPDSTLMLNTLIFNCFVFCQVFNEISSREMEEIDVFKGILDNYVFVVVIGATVFFQIIIIEFLGSFASTTPLTLTQWIFSIVVGFLGMPIAAGLKTIPV >fgenesh2_kg.7__2890__AT4G14490.1 pep chromosome:v.1.0:7:14008155:14009479:1 gene:fgenesh2_kg.7__2890__AT4G14490.1 transcript:fgenesh2_kg.7__2890__AT4G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSLRLLFVKGPRKGDALEYKLGSTIRVGRIVRGNEIAIKDAGISTKHLWIGSVSGNWVVQDLGSSNGTLLNSKDLDPETPVDLGDGDVIEFGEYTSIVVNFVIDDVLEEKPPRNNRRQANARKRIRVSESSNLDVKIASKPSSRVRKVRKIEDSEKLGVTDGLEKELLVEKKVNFRNVESIQSSCVNSVKVKIEDLTMAEENSGRGVKKIESVGKSCLGVVNVEKEEALKEKRTTRATRSKKIDIVGDPYLELEMVLNQARKNNGKNKKAEHKRLKSFEDDEVTDSGEQDLSCPVEEDMKNELDTDLRKMTLGALFSFLEGHLSKGINNETESMIEPMRSKTQRVRERRVQAKACVC >fgenesh2_kg.7__2891__AT4G14480.1 pep chromosome:v.1.0:7:14010418:14011848:1 gene:fgenesh2_kg.7__2891__AT4G14480.1 transcript:fgenesh2_kg.7__2891__AT4G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MH12] MARNKIEFPLDAEAYEIICKIGVGVSASVYKAICIPMNSMVVAIKAIDLDQSRADFDSLRRETKTMSLLSHPNILNAYCSFTVDRCLWVVMPFMSCGSLHSIVSSSFPNGLPENCISVFLKETLSAISYLHDQGHLHRDIKAGNILVDSDGSVKLADFGVSASIYEPVTSSSGTTSSSLRLTDIAGTPYWMAPEVVHSHTGYGFKADIWSFGITALELAHGRPPLSHLPPLKSLLMKITKRFHFADYEINTSGSSKKGNKKFSKAFREMVGLCLEQDPAKRPSAEKLLKHPFFKNCKGLDFVVKNVLHSLSNAEQMFMESQILMKSVGDDEEDEEEDEKIAKNRRISGWNFREDDLQLSPVFPATDSDTSESSPREEDHIQDKQEDDNVTITGSELGLGLSNEEAKNQEGEVVGFDKDLVLEKLKVLKKSLEHQRARVSIIIEALSGDKEEKSREEELLDMVEKLKIELEAEKLRS >fgenesh2_kg.7__2892__AT4G14465.1 pep chromosome:v.1.0:7:14019946:14021417:-1 gene:fgenesh2_kg.7__2892__AT4G14465.1 transcript:fgenesh2_kg.7__2892__AT4G14465.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MH13] MANPWWTNQSGLAGMVDHSASSGHHQNHHHQSILTKGDLGIAMNQSQDNDQDEDDDPREGAVEVVNRRPRGRPPGSKNKPKAPIFVTRDSPNALRSHVLEISDGSDVAETIAHFSRRRQRGVCVLSGTGSVANVTLRQAAAPGGVVSLQGRFEILSLTGAFLPGPSPPGSTGLTVYLAGVQGQVVGGSVVGPLLAIGSVMVIAATFSNATYERLPMEEEEDGGGSRQIHGGGDSPPGIGSSLPDLSGMAGPGYNMPPHLIPNGAGQLGHEPYT >fgenesh2_kg.7__2895__AT4G14410.2 pep chromosome:v.1.0:7:14064653:14074498:-1 gene:fgenesh2_kg.7__2895__AT4G14410.2 transcript:fgenesh2_kg.7__2895__AT4G14410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7MH23] MDVDLFVHDDSCSNGAELDDYTQFGVNLQPDQEDTFPDFASYGVNLQQDPEEVFSIGAAQLDLSSYNGVLSQEPEHVGQKDCGIVQEDEVEINSGSSGGAVKQEQEHLDDDCSRKRARTGSCSRGGGTKACRERMRREKLNERFMDLSSVLEPGRTPKTDKPAILDDAIRILNQLRDEAHKLEETNQKLLEEIKSLKAEKNELREEKLVLKVDKEKTEQQLKSMTVPSSGLMPQIPAAFSHNKMAVYPSYGYMPMWHYMPQSVRDTSRDQELRPPAA >fgenesh2_kg.7__2897__AT4G14380.1 pep chromosome:v.1.0:7:14126046:14126909:-1 gene:fgenesh2_kg.7__2897__AT4G14380.1 transcript:fgenesh2_kg.7__2897__AT4G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MH26] MASLRQKKKQNPAKRAWKNFTNMVKSKFRDMEIASSVRESTARVLRFISRRLIVPFRTRYLQNNSYTDKYYYSRNQSSRQFLNFFSRSLTKPKRRQYGYDDDCYSQIYQYQSQSRGEGTSESKENVVRRKEEKQEEEEGMPEIADSMEDAWRRVVAASPHLQVNERADEFIYKFRESMKMEKERSFL >fgenesh2_kg.7__2898__AT4G14370.1 pep chromosome:v.1.0:7:14145455:14154626:1 gene:fgenesh2_kg.7__2898__AT4G14370.1 transcript:fgenesh2_kg.7__2898__AT4G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MH28] ETEKNYASSSWCLDELLEIIKCKEAMGQIVMTVFYGVDPSDVRKQTGEFGRAFDETCSRSTKEKRRRWSQALNHVGNIAGEHFQNWDNESKMIEKISRDISNILNTTISRDFDDMVGLEAHLEEMKYLLDLDYEDGAMIVGICGHAGIGKTTIARALHSLLSSSFQLSCFMENLRGSFNSGLDEYGSKLSLQEQLLSKILNQNGMRIYHLGAIQERLCDQKVLIILDDTNWFGPGSRIIVTTEDQEILEEHGINKTYHVGGGGGDEWEALLDRLETSLDRNVEGALRVGYDSLHVEEQALFRYMAVFFNYNKDDHVIAMLADSNLDVKQGLKILTKKSLIYKSTSGKIVMHKLLQQVGRQAIHRQEPRKRHILIDVDEISLENDTDTRAAIGISLDTSGINKVFISEGAFKRMRNLRFLSVYKTRYVQNDQVDIPKDLEFPPHLRLLRWEAYPRNALPTTFHPEYLIELDLQESQLERLWQGTQPLTNLKKMDLTRSSHLKELPDLSNATNLERLELSYCKSLVEIPSSFSELRKLETLIIHNCTKLEVVPTLINLASLDFVDMQGCSQLKSLPGISTHISILVIDDTVLEELPTSIILCTRLTSLFIKGSGNFKTLTPLPMSLKYLDLRCTASFFAQVLKFICGLQFHQLLQTEPRSTKSIIQQSFFPMLRVLPGREVPETFNHQAKGNFLTISDSHFSAFSRFKACIVISPTRLITERRRLISLLCRLISKNGDSINEVYHCFSLPDQSPGTQSEHLCLFHANLLERDRSAHLLTPTRLFDVVLKYMEIEIVQQQSDWTINASEDETVNISDDVQIDIHANPIRYLKKPMNPLFLGGGAVVVSPSERVIEKELKTDASMKTDGMADHSSYVNHDRDIDQALVSLKKGTQLLKYSRKGRPKFRSFRLSPAVFRRYLRPEKDYLSFSLIYHNGDRSLDLICKDKAETEIWFAGLKSLIRQNRNKHAKSEIPEIHDSDCFSTGRPSTASIDFAPNNTRRGRTSIDLGIQNSPTKFGSSDVGYERGNMLRPSTDGFRISVSSTPSCSTGTSGPDDIESLGDVYVWGEVCSDGISQDGTVNCRTVKIDIACGVRHIALVTRQGEVFTWGEEAGGRLGHGIQVDVSRPKLVEFLALTNIDFVACGEYHTCAVSTSGDLFTWGDGIHNVGLLGHGSDLSHWIPKRVSGPVEGLQVLSVACGTWHSALATANGKLFTFGDGAFGVLGHGDRESVSYPKEVKMLSGLKTMKVACGVWHTVAIVEVMNQTGTSMSSKKLFTWGDGDKNRLGHGNKETYLLPTCVSSLIDYNFQQIACGHTLTVALTTSGHVFTMGGTSHGQLGSSNSDGKLPCLVQDRLVGEFVEEISCGDHHVAVLTSRSEVFTWGKGSNGRLGHGDKEDRKTPTLVEALKERHVKSISCADQSVCSGCRQAFGFTRKRHNCYNCGLVHCHACSSKKALKAALAPTPGKPHRVCDACYTKLKAGESGYNSNVANRNSTTRSLDGSVRPDRDIRSSKILLSPKTEPVKYSEVRSSRSESSIVRASQVPALQQLRDVAFPSSLSAIQNALKPAASTSTSTLSSGIRSSRRSSPPRSSGFSRSMIDTLKKSNGVINKEMTRLQSQIKNLKEKCDNQGTEIQRLKKTAREASDLAVKHSSKHKAATEVMKSVAEHLRELKEKLPPEVSGCEAFESMNSQAEAYLNASEASESSLPTTSVGMGQQDPTPSANTQDQNIEEKPSSNGGNMRSQEPSGTTEASSSSKGGGKELIEQFEPGVYVTYVLHKNGGKIFRRVRFSKRRFDEHQAEEWWNSKKDRLLKRYSHHASSSSPTASDPVPTPTQPQRPASPTQPTQPQPPASPTQPNPDQAEDSEKFLKA >fgenesh2_kg.7__2899__AT4G14365.1 pep chromosome:v.1.0:7:14155142:14157482:1 gene:fgenesh2_kg.7__2899__AT4G14365.1 transcript:fgenesh2_kg.7__2899__AT4G14365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQSQTKDEILFQEVSNNNVQGIKSLCREGAGLEGVDKLGRTPLILACTDDDLYDVAKTLLELGSNVNAYRSGCNGGTPLHHAARRGLVHTVKLLLSHGAKPLILDDEIQTALEVARTEGYSNVVRAIESHICLFSGCMREFCGPSFLESFAPRLLSRKVWVVVVPTGSRNPTKPLKLELAVYDSLQVAQPRLVMDLWKANLEEPKSYQSDDLVMIIDNSKSPRCMRQRRESGFISQARRWAQVDRQIRLKLAAEIKGDMKQLNWFSEACKGVPQPMNPPMFMKTSQTTNVSPLRDDDLTHVDISLPSPKIENKEDGLCVICVDAPSEAVCVPCGHVAGCISCLKEIKNKKMGCPVCRANIDQVIKLYHV >fgenesh2_kg.7__289__AT4G37630.1 pep chromosome:v.1.0:7:1193927:1195704:-1 gene:fgenesh2_kg.7__289__AT4G37630.1 transcript:fgenesh2_kg.7__289__AT4G37630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCD5_1 [Source:UniProtKB/TrEMBL;Acc:D7MA26] MGEPSDSLALFLCHESESSLNEDDERIERSEEHFATTIGDDEDYVAELVLKENRRFETEPTKTTSSVDRLIAIDWILTTRTRFGFQHQTAYIAISYLDLFLQRRFIGLQRDETWAIRLLSVACLSLAAKMEERIVPGLSQYPQDHDFVFKPDVIRKTELLVLSTLDWKMNLITPFHYLNYFVTKTSPDHSVSKELVLLRSSDSLLALTKEISFTDYRQFVVAAVTTMLASSTSSDIRLTREEIANKFQSISWWTSNENDNVYLCYQRMLEIEERKHMTPPPETAVSREPPASGSGAKRRLSFDDPDQTSPTAKRTRRL >fgenesh2_kg.7__28__AT4G38350.1 pep chromosome:v.1.0:7:72848:80899:-1 gene:fgenesh2_kg.7__28__AT4G38350.1 transcript:fgenesh2_kg.7__28__AT4G38350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein [Source:UniProtKB/TrEMBL;Acc:D7MEY0] MYDICGHRTDGKVLNCPYASPSIKPDDLFSAKIQSLCPTITGKVCCTETQFDTLRSQVQQAVPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVAEVSGNLTVDGIDYHITDTFGEGLYESCKEVKFGTMNTRAINFVGGGAQNFREWFTFIGQKAPPGFPGSPYAINFKSSTPESSAMVPMNLSVYSCACSSPEPLPPHEEDSCSIRIGPLKVRCIELSMVLVYILLVSCFFGWAALNRRRDITQPGDSSEPLLHPVEEDGINSETKENILGVKVQRHAQLSPVQRYMAKFYRSYGSWIARNPSLILFMSVAIVLALSSGLYNFKVETRPEKLWVGPESKAAEEKKYFDTHLSPFYRIEQLILATVPDPKSGRAPSIVTDENILLLFDIQQKVDQIRGNYSGSEVSLPDICLKPLGEDCATQSILQYFKMDSGTFDDYGGVEHAEYCFQHYTSAEMCLSAFQAPVDPSAVLGGFSGNNYSEATAFVVTYPVNNVIGDSSNENARAVAWEKSFIQLAKEELLPMVRSKNLSLSFSSESSIEEELKRESTADVITIAASYLVMFIYISVTLGDAPQFSTFYISSKVLLGLSGVVLVLLSVLGSVGVFSALGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPRDVSLEQRISSALVEVGPSITLASLSEVLAFAVGAFVPMPACRIFSMFAALAIMLDFFLQITAFVALIVFDCKRSADNRIDCFPCIKVPSSSQESVEGGRGPGFLERYMKEVHAPVLGLWVVKMVVVAVFLAFALASIISRASQAPDTSYIAKPAASWLDDFLVWLSPEAFGCCRKFTNGSYCPPDDQCFRHSDLVQDRPSTAQFREKLPWFLNALPSADCAKGGHGAYTNSVDLKGYESGVIQASEFRTYHTPLNTQIDIFPYSVFYIFFEQYLNIWTVALTNLAIAIGIQLNAVSVVNLIMSIGIAVEFCVHISHAFLMSSGNREQRATEALETMGASVFSGITLTKLVGVIVLCFARSEIFVVYYFQMYLALVIIGFLHGLVFLPVILSLAGPPQLHLDIEQQQTEEASSSLLN >fgenesh2_kg.7__2900__AT4G14360.1 pep chromosome:v.1.0:7:14158219:14161785:1 gene:fgenesh2_kg.7__2900__AT4G14360.1 transcript:fgenesh2_kg.7__2900__AT4G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSDGGQKKRVIALVCVAAVVLVFVYLFYGSSDHRASAIEYGRKLGLGGDDDDTKQDDTSSSFGFDDGFTPRSFPVCDDRHSELIPCLDRNLIYQMRLKLDLSLMEHYERHCPPPERRFNCLIPPPNGYKVPIKWPKSRDEVWKVNIPHTHLAHEKSDQNWMVVKGDKINFPGGGTHFHYGADKYIASMANMLNFPNNVLNNGGRLRTVFDVGCGVASFGGYLLSSDILAMSLAPNDVHQNQIQFALERGIPASLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWREMSALVERMCWKIAAKRNQTVIWEKPLTNDCYLEREPGTQPPLCRSDNDPDAVWGVNMEACITSYSDHDHKTKGSGLAPWPARLTSPPPRLADFGYSTGMFEKDTELWRQRVDTYWDLLSPRIESDTVRNIMDMKASMGSFAAALKEKDVWVMNVVPEDGPNTLKLIYDRGLMGAVHSWCEAFSTYPRTYDFLHAWDIISDINKKGCSEVDLLLEMDRILRPSGFIIIRDKQRVVDLVKKYLKALHWEEVGTKTDSDSDQDSDNVIFIVQKKLWLTSESLRDME >fgenesh2_kg.7__2904__AT4G14342.1 pep chromosome:v.1.0:7:14169593:14171488:1 gene:fgenesh2_kg.7__2904__AT4G14342.1 transcript:fgenesh2_kg.7__2904__AT4G14342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQAKYVGTGHADLSRFEWAVNIQRDSYASYIGHYPMLSYFAIAENESIGRERYNFMQKMLLPCGLPPEREDE >fgenesh2_kg.7__2906__AT4G14330.1 pep chromosome:v.1.0:7:14177908:14181135:-1 gene:fgenesh2_kg.7__2906__AT4G14330.1 transcript:fgenesh2_kg.7__2906__AT4G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPSSSRSNQTQYTLIRTPQTKQRLNFHSKTPNPEGSKDPTPPEHPVEVIGRIRDYPDRKEKSSSILQVNTDNQTVRVRADIGYRDFTLDGVSFSEQEGLEDFYKKFIEERIKGVKVGNKCTIMMYGPTGAGKSHTMFGCGKEPGIVYRSLRDILGDSDQDGVTFVQVTVLEVYNEEIYDLLSTNSSNNLGIGWPKGGSTKVRLEVMGKKAKNATFISGTEAGKISKEIVKVEKRRIVKSTLCNERSSRSHCIIILDVPTVGGRLMLVDMAGSENIDQAGQTGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKEMHKTLCTLEYGAKAKCIVRGSHTPNKDKYGGDESASAVILGSRIAAMDEFILKLQSEKKQQEKERNEAQKLLKKKEEEVAALRSLLTQREACATNEEEIKEKVNERTQLLKSELEKKLEECRRMAEEFVEMERRRMEERIVQQQEELEMMRRRLEEIEIEFRRSRDGGSIDETSGFAKRLRSLYSDDDPGMVKSMDLDMGDPEPVKQVWGAVSQQSSNTISSNFTNILQPKPSENMLTQMYPDRVCLSTVFEEEEVEEEEEKVIVEDKSICLITTPMPSLNSEGLGKENCFNGADDKESASSRRLRIQNIFTLCGNQRELSQHSGQEEDQANTASPDKKDNQLFSITNKAEALALEEAKENNISVDERKNVQIDIYVKWETTADNPRKLITTLRVTKDATLADLRKLIEIYLGSDNQAFTFLKLGEPCGAQVAKEKESTVQATSLPFCNGHAYLATLRPGKSSQLQSLPPASPLPLTPIENKMLSPISKVTPNHQVDEFSSPNLAAHLSSTPFITLRRH >fgenesh2_kg.7__2909__AT4G14310.1 pep chromosome:v.1.0:7:14185336:14188877:1 gene:fgenesh2_kg.7__2909__AT4G14310.1 transcript:fgenesh2_kg.7__2909__AT4G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTNRRRLKDINTGAGENPSSGKKPSRSVTPLPISSKNPSPALQKSLSSKENPNPKLSHRSFGSTQKPVLRPVPRIDKSAVRGEGRVTRSTSSGLRGRSSSPSDLIRVFSDLRKRNESRVIGEKGESDQDKKSGFKSSGFKQVTSEIKVEPSRVCEKTDEESIVPVKSSKFEGSSVARNSISDPKEHALVGSGEKSAVVLKSDCKIEKIGKGTSVALRRKSLDNGGKAMEVSKEIRGNEGSSNSAAKYPSKLHEKLAFLEGKVKKIASDIKKTKDMLDLNNPDSSKVIISDIQQKITGIEKSMSHVIDGPEKNKTTQVTKAKTLVKGLNKEELEDRLFPHQRLLRSRTQSKTSSHVSKGHDSVEPNKAVNVEEKPSAPVEENVIALEFLASLDKEKVTFMSDQNALENLEVQEMDTEEPSKENDVSKDVNLTSNLNEILRANEALEEIDDEENREEMELEEIDEGCMYQLNDIGSRTSTGGWFVSEGEAVILAHDDGSCSYYDVANSEVKSVYSPPDGISPNTWRDCWVVRAPGADGCSGRYVVAASAGNTLESGFCSWDFYTKDIKALHIEDGSSRVSRTALAPLPNNTSHGRNTPACAVVPETQQWWYRPCGPLIASTGSFQSVVKVFDIRDGEQIMRWEVQDPVSALDYSSPLQWRNRGKLVIAESEAISVWDVNSLHPEAQHTISSSGRKISAFHINNTDAEVGGGVRQRVSSLDAEGNDGVFCTSDSINILDFRNPSGIGAKIPKLGVNAQCVSSRGDSVFLGCTNQKSTAKKHMASSSQVQQFSIRKQRLVSTYSLPDSNSHPHHSAITQVWGNSNFVMATSGMGLFVFDTAKEETLQQQPLTSERGSVQTVREIIGPNDLYCPSFDYSGCRVLLISRDRPALWRYLL >fgenesh2_kg.7__2912__AT4G14301.1 pep chromosome:v.1.0:7:14197486:14197980:1 gene:fgenesh2_kg.7__2912__AT4G14301.1 transcript:fgenesh2_kg.7__2912__AT4G14301.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MH40] MGRLVNGAALLALLWFSVFVAAREGVTFGKNEEEKTFIGGGKGFGGGLGGGFGKGGGIAGGIGKGGGFGGGIGGGFGGGIGKGGGIGGGFGKGKGWGFGGGIGKGGGIGGGTGKGKGWAFGGGWFGHH >fgenesh2_kg.7__2913__AT4G14300.1 pep chromosome:v.1.0:7:14198755:14200679:-1 gene:fgenesh2_kg.7__2913__AT4G14300.1 transcript:fgenesh2_kg.7__2913__AT4G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFVGGVSWETDEDKLREHFSNYGEVSQAIVMRDKLTGRPRGFGFVIFSDPSVLDRVLQDKHNIDTREVDVKRAMSREEQQVSGRTGNLNTSRSSGGDSFNKTKKIFVGGLPPTLTDEEFRQYFEVYGPVTDVAIMYDQATNRPRGFGFVSFDSEDAVDRVLHKTFHDLSGKQVEVKRALPKDANPGGGRAMGGGGYQGYGGNESNYDGRVDSNRFMQHQNVGNGLPSYGSSGYGGGYGNGSNGTGYGGYGGYTGSAGGYGAGATAAYGATNIPGAGYGSSSGVAPRNSWDTPAPSGYGNPGYGSGAAHSGYGVPGAAPPAQSPSGYSNQGYGYGGYSGSDSGYGNQAAYGVVGGRPSGGGSNNPGSGGYMGGGYGDGSWRSDPSQGYGGGYNDGQGRQGQ >fgenesh2_kg.7__2916__AT4G14270.1 pep chromosome:v.1.0:7:14230425:14231206:-1 gene:fgenesh2_kg.7__2916__AT4G14270.1 transcript:fgenesh2_kg.7__2916__AT4G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMVDRSLSTLNPNAPVFDPVGFREVEDFSPKWWDLVTTSKWFRDFWLSANSEYEFVGGDDFSVMEEEFEEMIASSDGGSMADTVTEADVAKYLKMLLNIAESTKEKIYRAKVSSCSPKYNQKRNYMNPSFNCRRNHQIYQPR >fgenesh2_kg.7__2918__AT4G14240.1 pep chromosome:v.1.0:7:14269443:14272582:1 gene:fgenesh2_kg.7__2918__AT4G14240.1 transcript:fgenesh2_kg.7__2918__AT4G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLINAVAAARMLSGIGQSNGNGGGEAIPFGSFEWITYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTPNEKKQAAAIFPVVQKQHQLLVTLLLCNAMAMEGLPIYLDKLFNEYVAIILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMTLCYPIAFPIGKILDLVLGHNDALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAQEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNVIGLLLVKSLLTVRPETETLVSAVCIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKVKGKSKVPPSTLPEENTCESNDSDLTAPLLLKRDGNYDNVIVTIDKANGQSFFQNNESGQHGFSHTSEAIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSIARAPSSRKLLAQKGTGGQNKQGQTNKGAGQEQDKMLGTITEPIRRNN >fgenesh2_kg.7__291__AT4G37610.1 pep chromosome:v.1.0:7:1203751:1205704:1 gene:fgenesh2_kg.7__291__AT4G37610.1 transcript:fgenesh2_kg.7__291__AT4G37610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIDDFSPEKVSGPPAPPTPPPVKSMDLFMQRSNSFVSKATRDSWDRMFDGAHGADVLIHTDHNGLIYAHSNVIGMASDVIRGMMKQDKRKSHRKSISILGVPHDAVRVFIRFLYSSCYEKQDMEDFAMHLLVLSHVYVVPHLKRVCESEFENILLNKENVIDVFQLALLCDAPRLGLLCHRMILKNFEEVSTSEGWQAMKESHPRLQKELLRSVAYELNSLKQRNRKQKEIQTYTQLYEAMEAFVHICRDGCREIGPTKTETPHVSCGFQACNGLEQLLKHLAGCKLRSIPGGCSRCKRMWQLLELHSRICVDSEQCKVPLCSSLKERMKTQSRKDEKRWKLLVKNLLSTKRIGGSPFFLQAIDVTL >fgenesh2_kg.7__2920__AT4G14230.1 pep chromosome:v.1.0:7:14274261:14276707:1 gene:fgenesh2_kg.7__2920__AT4G14230.1 transcript:fgenesh2_kg.7__2920__AT4G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPINAVVAARMLAGISQSNALESEAIPFGSLEWITYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTPKEKKQSAAIFPVVQKQHQLLVTLLLFNALAMEGLPIYLDKIFNEYVAIILSVTFVLFVGEVIPQAICSRYGLAVGANLVWLVRILMVLSYPISFPIAKMLDWALGHNDPLFRRAQLKALVSIHGEAAGKGGELTHDETTIISGALDLTEKTAQEAMTPIESTFSLDVNSKLDREAMDKIQARGHSRVPVYSENPKNVIGLLLVKSLLTVRPETGTLVSAVGIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKVNGKSKGHPLTLLEENSSESNVSSNNSELTAPLLLKREGNHDSVIVRIDKANGQSFTSEAGRHGFSHTSEEIEDGDVIGIITLEDVFEELLQEEIVDETDEYIDVHKRIRVATVAAVAISSLARAPSGRRLLGQKGSVTGGPKTPKTSSTPKPDDKLIGTMTGPPQGNN >fgenesh2_kg.7__2921__AT4G14220.1 pep chromosome:v.1.0:7:14279081:14282712:-1 gene:fgenesh2_kg.7__2921__AT4G14220.1 transcript:fgenesh2_kg.7__2921__AT4G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFTYTSAFNLSDSPFDSAIASSSSAFVVPSDDDSDDACSICLEPFTLQDPSTVTSCKHEYHLQCIIEWSQRSKECPICWQLFVLRDPASQELLSAVEKERLLKTRNISSSSPISIHHSQEDFHSEEEESQFSSFDEQFLRHLTEAAHRRCLLRRRDGQISSSLVSSTDPTTIHPTDLVNLYRISAISHVEHQNTNPCPSPGSMTASPVSGHSPVPADSNNASRISPGLSPSRSSQSPNRPEASSLPEAIKSKLAAASAKYKESISKSKQGLKEKLLARNNSVKELSKGVQREMNAGIAGVARMIERLDFSSKRFGGSAHVSTSTATASGFNFSFKGKRVETNSKSSNNGDKTEPQKLQGGETC >fgenesh2_kg.7__2923__AT4G14210.1 pep chromosome:v.1.0:7:14284754:14290250:1 gene:fgenesh2_kg.7__2923__AT4G14210.1 transcript:fgenesh2_kg.7__2923__AT4G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g14210/dl3145c [Source:UniProtKB/TrEMBL;Acc:D7MH55] MVVFGNVSAANLPYQNGFLEALSSSGGIELMGHSFRVPTFQAPKTRTRRRSTAGPLQVVCVDIPRPELENTVNFLEAASLSASFRSAPRPAKPLKVVIAGAGLAGLSTAKYLADAGHKPLLLEARDVLGGKIAAWKDEDGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHSMIFAMPSKPGEFSRFDFPDVLPAPLNGIWAILRNNEMLTWPEKIKFAIGLLPAMVGGQAYVEAQDGLSVKEWMEKQGVPERVTDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHIRSLGGEVQLNSRIKKIELNNDGTVKSFLLTNGSTVEGDAYVFAAPVDILKLLLPDPWKEIPYFKKLDKLVGVPVINVHIWFDRKLKNTYDHLLFSRSNLLSVYADMSLTCKEYYDPNRSMLELVFAPAEEWISRTDSDIIDATMKELEKLFPDEISADQSKAKILKYHVVKTPRSVYKTIPNCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKFCSQSIVQDYELLAASGSRKLSEATVSSSSS >fgenesh2_kg.7__2924__AT4G14190.1 pep chromosome:v.1.0:7:14300650:14302283:1 gene:fgenesh2_kg.7__2924__AT4G14190.1 transcript:fgenesh2_kg.7__2924__AT4G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MH59] MENLTTAQFLHRATLQKNPPPPPWNLNSSFLNSTSYSISKLSSLRRALPLSINGDASQSTSLIHHHHRFLSSLPRRLELPGSCPLRLLQEYGDWSKDHFWAVIRFLRHSSRLHEILPVFDAWKNLERSRISEANYERVIRLLCEEKSMNEAIRAFRGMIDDHELSPSLEIYNSIIHGYADEGKFEEAMFYLNHMKENGLLPITETYDGLIEAYGKWKMYDEIVLCLKRMESEGCVRDHVTYNLLIREFSRGGLLKRMEQMYQSLMSRKMTLEPSTLLSMLEAYAEFGLIEKMEETCNKIIRFGISLDEGLVRKLANVYIDNLMFSRLDDLGRGISSSRTRRTDLAWCLRLLCHARLVSRKGLDYVIKEMKEARVPWNTTFANITLLAYSKMGDFKSIELLLSELRTKHVKLDLVTVGIIFDLSEAGFDVTGVFMTWKKIGFLDKPVEMKTDPLVHAAFGKGKFLKSCEEVKNQSLGMRGEESKAWTYQYLMEVVVKNQKTVP >fgenesh2_kg.7__2926__AT4G14160.1 pep chromosome:v.1.0:7:14311604:14317546:-1 gene:fgenesh2_kg.7__2926__AT4G14160.1 transcript:fgenesh2_kg.7__2926__AT4G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMAEGMDGVRMTWNLWPRTKVEASKCVIPLAASISPIRRHSDIPDLPYAPLKCRTCVAVLNAFARVDFNAKIWICPFCHQRNHFPVHYHSISEINLPGELYPQYTTVEYTLPTGAADQVPPPVFVFVLDTCMIEEELGYAKSALKQAIGLLPENALVGFVSFGTQAHVHELGFSEMSKVFVFKGNKEVTKDQILDQLGLGSSSRRAPTSGFPKGAQNGFQSSGIDRFLLPASECEYTLDLLLDELQSDQWPVQPGHRPQRCTGVALSVAAGLLGACLPGTGARIVALVGGPCTEGPGTIISKDLSDPVRSHKDLDKDAAPYYKKAVKFYDSIAKQLVAQGHVLDLFASALDQVGVAEMKVAVESTGGLVVLSESFGHSVFKDSFKRVFEDGEQSLGLCFNGTLEINCSKDIKIQGVIGPCSSLEKKGPNVADTVIGEGNTSAWKLCGLDKSTCLTVFFDLSSTGSNAPGAVNPQLYLQFVTSYQNPEGKSLLRVTTVTRQWVDTAVSTEVKLVQGFDQETAAVVMARLTSLKMETEEGFDATRWLDRTLIRLCSKFGEYRKDDPTSFTLNPYFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRESISNATVMIQPSLTSYSFNAPPQPALLDVASIAADKILLLDAYFSVVVFHGMTIAQWRNMGYHHQPEHEAFAQLLQAPQEDSQMLVQERFPVPRLVVCDQHGSQARFLLAKLNPSATYNNANEMSAGSDIIFTDDVSLQVFFEHLSKLAVQS >fgenesh2_kg.7__2930__AT4G14130.1 pep chromosome:v.1.0:7:14367503:14368723:1 gene:fgenesh2_kg.7__2930__AT4G14130.1 transcript:fgenesh2_kg.7__2930__AT4G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MH69] MGRSSSLTTVVAAILLVTLVGSAYASNFFDEFDLTWGDHRGKIFNGGTMLSLSLDRVSGSGFKSKKEYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGATHDEIDFEFLGNETGKPYVLHTNVFAQGKGDREQQFYLWFDPTKNFHTYSIVWRPQHIIFLVDNLPIRVFNNAERLGVPFPKSQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTAYYRGFNAAACTVSSGCYPKFKSSLGDGKLQVASELNAYGRRRLRWVQKYFMIYNYCSDLKRFPRGFPPECKRSRV >fgenesh2_kg.7__2935__AT4G14100.1 pep chromosome:v.1.0:7:14379457:14381007:-1 gene:fgenesh2_kg.7__2935__AT4G14100.1 transcript:fgenesh2_kg.7__2935__AT4G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase, transferring glycosyl groups [Source:UniProtKB/TrEMBL;Acc:D7MH74] MAFRRPLIVIVNFIVLTAGVKIATADEPVPTPWPHQFHALLFMNYSGDLSMIDLWYDWINGRNFNIIQEQLGGITYDLEWNNGTSFIYSLDESKSCRSAQLEVGILRPNWLDGAKYLGQKNVSGFLCNVWEKVDFIWYYEDVITKRPVQWIFYTGREAHVMTYEVGAVLEDEKWQAPVYCFNKEKKGLSTKKALSGFKGYKEKAAL >fgenesh2_kg.7__2936__AT4G14080.1 pep chromosome:v.1.0:7:14383201:14385038:1 gene:fgenesh2_kg.7__2936__AT4G14080.1 transcript:fgenesh2_kg.7__2936__AT4G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAFFLFTLLVFSSSCCSAARFQGHKYMQRKTMLDLASKIGINYGRQGNNLPSPYQSINFIKSIKAGHVKLYDADPESLTLLSQTNLYVTITVPNHQITSLSSNQTTADEWVRTNILPYYPQTQIRFVLVGNEILSYNYGNVSANLVPAMRKIVNSLRLHGIHNIKVGTPLAMDSLRSSFPPSNGTFREEITGPVMLPLLKFLNGTNSYFFLNVHPYFRWSKNPMNTSLDFALFQGNSTYTDPQTGLVYRNLLDQMLDSVLFAMTKLGYPHMRLAISETGWPNFGDIDETGANILNAATYNRNLIKKMTASPPIGTPSRPGLPIPTFVFSLFNENQKSGPGTQRHWGILHPDGSPIYDIDFTGQKPLTGFNPLPKPTNNVPYKGQVWCVPVEGANETELEETLRMACAQSNTTCAALAPGRECYEPVSIYWHASYALSSYWAQFRNQSIQCYFNGLAHETTTNPGNDRCKFPSVTL >fgenesh2_kg.7__2939__AT4G14060.1 pep chromosome:v.1.0:7:14413508:14414467:-1 gene:fgenesh2_kg.7__2939__AT4G14060.1 transcript:fgenesh2_kg.7__2939__AT4G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGTYVTEVPLKGSAEKHYKRWRNENHLFPDAIGHHIQGVAVHDGEWDSHGAIKIWNYTLDGKPEVFKERREIDDENMAVTFRGLEGHVMEQLKVYDTILQFIQKSPGDIVCKITMTWEKRTDDSPEPSNYMKLVKSLAADMDAHVLKA >fgenesh2_kg.7__293__AT4G37580.1 pep chromosome:v.1.0:7:1216996:1219211:-1 gene:fgenesh2_kg.7__293__AT4G37580.1 transcript:fgenesh2_kg.7__293__AT4G37580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVREYDPSRDLAGVEDVERRCEVGPSGKLSLFTDLLGDPICRIRHSPSYLMLVAEMGTEKKEIVGMIRGCIKTVTCGKKLDLNHTKSQNDVVKPLYTKLAYVLGLRVSPFHRRQGIGFKLVKMMEEWFRQNGAEYSYIATENDNQASVNLFTGKCGYSEFRTPSILVNPVYAHRVNVSRRVTVIKLDPVDAETLYRIRFSTTEFFPRDIDSVLNNKLSLGTFVAVPRGSCYGSGSGSWPGSAKFLEYPPESWAVLSVWNCKDSFRLEVRGASRLRRVVAKTTRVVDKTLPFLKLPSIPSVFEPFGLHFMYGIGGEGPRAVKMVKSLCAHAHNLAKEGGCGVVAAEVAGEEPLRRGIPHWKVLSCAEDLWCIKRLGDDYSDGVVGDWTKSPPGLSIFVDPREF >fgenesh2_kg.7__2943__AT4G14020.1 pep chromosome:v.1.0:7:14447040:14447523:1 gene:fgenesh2_kg.7__2943__AT4G14020.1 transcript:fgenesh2_kg.7__2943__AT4G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYTFTENDEERVSRNHHVKNTWVKERYESDEEDGEVNKLILKEDEETKDMVTSPSGERMMSTSKVRYLNYGALKHDTAAPAASGSGGRALPLPSNRYHRGHPKYYRCRG >fgenesh2_kg.7__2944__AT4G14000.1 pep chromosome:v.1.0:7:14466437:14469181:-1 gene:fgenesh2_kg.7__2944__AT4G14000.1 transcript:fgenesh2_kg.7__2944__AT4G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPTFQLFSSSNDKSSQGSGLDLGFLDSPEPALPPPPPPVEVLSSEVSSSVDFEVDKVIIGEITLLKGRVSTKEVFGLPNSDLVPGVYEGGLKLWEGSIDLVKALEKESQTGNLSLSGKRVLELGCGHALPGIYACLKGSDVVHFQDFNAEVLRCLTIPNLNANLSEKSSSVSVGETEVRFFAGDWSEVHQVLPLVYRDGETDKKGGYDVILMAETIYSISAQKSLYQLIKRCLAYPDGAVYMAAKKYYFGVGGGTRQFLSMIEKDGALASTLVAEVTDGSSNVREVWKLSYK >fgenesh2_kg.7__2946__AT4G13980.1 pep chromosome:v.1.0:7:14487991:14490384:1 gene:fgenesh2_kg.7__2946__AT4G13980.1 transcript:fgenesh2_kg.7__2946__AT4G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-HSFA5 [Source:UniProtKB/TrEMBL;Acc:D7MH90] MNGALGNSSASVSGGEGAGGPAPFLVKTYEMVDDSSTDQIVSWSANNNSFIVWNHAEFSRLLLPTYFKHNNFSSFIRQLNTYGFRKIDPERWEFLNDDFIKDQKHLLKNIHRRKPIHSHSHPPASSTDQERAVLQEQMDKLSREKAAIEAKLLKFKQQKAVAKHQFEEMTEHVDDMEKRQKKLLNFLETAIRNPTFVKNFGRKVEQLDISAYNKKRRLPEVEQSKPPSEDSHLDNSSGSSRRESGNIFHQNFSNKLRLELSPAVSDMNMVSHSIQSSNEEGGSPKGILSGGDPKTTLTRREGLPFAPEALELADTGTCPRRLLLNDITRVETLQQRLTSSEETDGSFSCHLNLTLASAPLPEKTASQLAKTTLKSQELKFNSIETSASEKNRGRQEVAVGGSQANAAPPARVNDVFWEQFLTERPGSSDNEEASSTYRGNPDEEQEERRNGNMMSRNTKNIEQLTL >fgenesh2_kg.7__2951__AT4G13950.1 pep chromosome:v.1.0:7:14545290:14545872:1 gene:fgenesh2_kg.7__2951__AT4G13950.1 transcript:fgenesh2_kg.7__2951__AT4G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSMALIIFTILFLSISDAFPIPSPNGEIDAMLVRNSLIGEDEDLMPTEISRRVLMAQKRYIGYETLRRDMVPCQKPGASYYDCRSGQANSYNRGCETITRCARDTSDIKT >fgenesh2_kg.7__2956__AT4G13890.1 pep chromosome:v.1.0:7:14569286:14570983:1 gene:fgenesh2_kg.7__2956__AT4G13890.1 transcript:fgenesh2_kg.7__2956__AT4G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHA2] MESVSSWGNTPLVSVDPEIHDLIEKEKHRQCRGIELIAAENFTSLAVMEALGSALTNKYSEGMPGNRYYGGTEFIDEIESLCRSRALEAFHCDPEKWGVNVQPYSGSPANFAAYTALLQPHDRIMRLDLPSGGHLTHGYYTSGGKNISATSIYFENLLYKVDSTTGYIDYDKLEEKAMDFRPKLIICGGTSYPREWDYARFKVVADKVGAFLLCDMAHNSALIAAQEAADPFEYCDVVTTSTHKSLRGPRAGMIFYRKGPKPAKKGQPEGEVYDFDKKINFAVFPALQSGPHNNKIGALAVALKQAMAPGFKVYAKQVKANAACLANYLMGKGYCIVTGGTDNHLLLWDLRPLGLTGNKVEKVSELGYITLNRNAVFGDTSFLAPGGVRI >fgenesh2_kg.7__2957__AT4G13870.2 pep chromosome:v.1.0:7:14575525:14577538:1 gene:fgenesh2_kg.7__2957__AT4G13870.2 transcript:fgenesh2_kg.7__2957__AT4G13870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNWIDDDAFTEEELLAIDAIEASYNFSLSSSSASPTVQATTSGHGHEEDPNQIPNNIRRQLPRSITSPTSYKRFPLSRCRTRNFPAMRFGGRILYSKTASEVDKRAMQLLKVLDTKRNESGRAIVGFDIEWRPSLRKGVLPGKVATVQICVDNNYCDVMHIVHSGIPQRLQHLIEDSTLVKVGIGIDGDSVKLFHDYGVSIKDVEDLSDLANQKIGGEKKWGLASLTETLVCKELLKPNRIRLGNWEVYPLSKQQLQYAATDAYASWHLYQVLKDLPDAVNGS >fgenesh2_kg.7__295__AT4G37550.1 pep chromosome:v.1.0:7:1237299:1242097:-1 gene:fgenesh2_kg.7__295__AT4G37550.1 transcript:fgenesh2_kg.7__295__AT4G37550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSPRVVVKVDLKKKPWQQNQPLHNRWHPEIPPVAEVKAGEFFRVEMIDCMGGVVKDNDSASDIKNADLTTTHHLSGPIRVVDEDGVAAMPGDLLAVEICNLGPLPGDEWGFTGSFDRENGGGFLTDHFPCATKAIWYFEGIYAYSPQIPGVRFPGLTHPGVIGTAPSMELLRIWNERERQLEESGLKSPTLCEVVHQRPLANLPTAKGCLLGNIEEGTPEWERIANEAARTIPGRENGGNCDIKNLSRGSKIYLPVFVEGANLSTGDMHFSQGDGEISFCGAIEMSGFLELKCEIIRNGMQEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHYLDATVAYKRAVLNAIDYLFKFGYSKEQVYLLLSCCPCEGRLSGIVDSPNAVATLAIPTAIFDQDIRPKTRKVPVGPRIVRKPDVLKSTYDGKLPITKNPSSSS >fgenesh2_kg.7__2961__AT4G13850.3 pep chromosome:v.1.0:7:14578955:14580182:-1 gene:fgenesh2_kg.7__2961__AT4G13850.3 transcript:fgenesh2_kg.7__2961__AT4G13850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCNKLGGLLRQNITPIGNVPVTSMLGSLRLMSTKLFVGGLSWGTDDQSLRDAFAHFGEVVDAKVIVDRETGRSRGFGFVNFNDEGAASAAISEMDGKDLNGRNIRVNVANERPSAPRAYGGGGGYSGGYSGGGGGYSGGGGYGGGGGDGGGY >fgenesh2_kg.7__2964__AT4G13840.1 pep chromosome:v.1.0:7:14603112:14606071:1 gene:fgenesh2_kg.7__2964__AT4G13840.1 transcript:fgenesh2_kg.7__2964__AT4G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MHA8] MGRSLEWGQGQGPVHSIRLSTVGAIRPTETGTTHEPTGLDLAMKLHYLKAAYIYSAETARDLTVRHLKEAMFMLFDQIAWTTGRFSRKDSGRPYIKCNDCGTRFVEGQCNLTVEEWLSKPDRSVDEFLIYHQPIGPELTFSPLIYVQMIRFKCGGLGLGLSWANIIGDSFSLFYAFNLWAKLITGEKIHVPTLSKGDRRFQSPNPTVKDPDSIKRVNPVGDLWVTPNDKKMANYCFKIVVADQIQPHFPAKGADPIPVFEITAGIIWKCIAKVREEPKPVTVTIIKKDPNDLKPNAIRNSQVISSVQVDFPVADATVEELVKAMGEAKDERSGIEEIGESCYGNLDFVVYGAKLTFLDLSGEDLYEAKVMGKSPESVYCNVEGIGEEGLVVVYAAAKSEERMVTVTLPEEEIERVKLEFKKFGLN >fgenesh2_kg.7__2965__AT4G13830.2 pep chromosome:v.1.0:7:14606704:14608067:-1 gene:fgenesh2_kg.7__2965__AT4G13830.2 transcript:fgenesh2_kg.7__2965__AT4G13830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCYKSSSILSTNHHPFFYKQQPISSLQPSSFPSTLSYPTRTRFSSTRIQSRLTHDDPVKQSKDLSFYDLLGVTESVTLPEIKQAYKQLARKYHPDVSPPDRVEEYTDRFIRVQEAYETLSDPRRRVLYDRDLSMGFSFSFSGKRRNRYDEEVVEEKSEWKAKWQTQLSGLRRRSNQKDNNSMSWAARMRRQQQDSS >fgenesh2_kg.7__2967__AT4G13800.1 pep chromosome:v.1.0:7:14646186:14648695:1 gene:fgenesh2_kg.7__2967__AT4G13800.1 transcript:fgenesh2_kg.7__2967__AT4G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:D7MHB2] MEEMSPDNIHGVILAVSSSIFIGSSFIIKKKGLKKAGVSGARAGEGGYGYLYEPWWWAGMITMIVGEIANFAAYAFAPAILVTPLGALSIIFSAVLAHFILEEKLHIFGILGCVLCVVGSTTIVLHAPHEQDIESVKQVWHLATEPGFLAYSAVVLVVVLALIFYYEPRYGKTHMIVYVGICSLMGSLTVMSVKAVAIAIKLTFSGMNQFKYFHAWIFIIVVTICCILQINYLNKALDNFNTAVISPVYYVMFTTFTILASMIMFKDWASQSGLQIATELCGFVTILSGTFLLHKTKDMGNSASLRGSTSHSPRDTPVFINSGSSRSSNSTRPAIL >fgenesh2_kg.7__2968__AT4G13790.1 pep chromosome:v.1.0:7:14661220:14661721:1 gene:fgenesh2_kg.7__2968__AT4G13790.1 transcript:fgenesh2_kg.7__2968__AT4G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRSFFATKQIIRRSFTTESSSTPKGFFAVYVGENLKKKRFLVPVCYLNKPSFQALLRKAEEEFGFDHPTGGLSLPCDEAFFFIVTSQIC >fgenesh2_kg.7__2969__AT4G13780.1 pep chromosome:v.1.0:7:14664358:14670018:1 gene:fgenesh2_kg.7__2969__AT4G13780.1 transcript:fgenesh2_kg.7__2969__AT4G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGKSNPKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVYARYCRLRGYNAIYICGTDEYGTATETKALEENCTPKEICDKYHAIHKEVYDWFDISFDKFGRTSTPEQTEVCQAIFKKLWDNKWLSENTMQQLYCDTCKKFLADRLVEGSCPFEGCNYDSARGDQCEKCGKLLNPTELKDPKCKVCQNTPRIRDTDHLFIELPLLKDRLEKYINETSVAGSWSQNAIQTTNAWLRDGLRQRCITRDLKWGVPVPHEKYKEKVFYVWFDAPIGYVSITSCYTSEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTQLGTGENWTLMKTISVTEYLNYEDGKFSKSKGVGVFGNDVKDTNIPVEVWRYYLLTNRPEVSDTSFSWTDLQAKLNGELLNNLGNFVNRVLSFIAKPNNAGYGSVIPDAHDAESHPLTKSLAEKVEKFVAEYVEAMEKVKLKQGLKTAMLISSEGNFYLQTSQFWKLYKEDKPLCAIVIRTAAGLVHLLAQLLEPFMPSFSCEVFKQLNLPPQFSLSDERGEVLLASKPWEILPPSHRIGTAQPLFKELENDEVTQYREKFAGSQADRRARDEAANLTDQLNKTKLSDAKKQKASSSKGGGKPKPQPAADREITMARLDIRVGKIVKAERHPKADTLYVEEIDVGGGEIRTVVSGLVKYIPLEEMQNRMVCVLCNLKPAKMRDIVSQAMVLAASSSDGSKVELVEPPESANIGERVTFPGFEGEPDDVLNPKKKVWETLLVDLNTNENLVACYKDVPFTTSAGVCKVSSISNGTIR >fgenesh2_kg.7__2970__AT4G13770.1 pep chromosome:v.1.0:7:14671509:14673310:1 gene:fgenesh2_kg.7__2970__AT4G13770.1 transcript:fgenesh2_kg.7__2970__AT4G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP83A1 [Source:UniProtKB/TrEMBL;Acc:D7MHB5] MEDIIIGVVALAAVLLFFLYQKPKTKRYKLPPGPSPLPVIGNLLQLQKLNPQRFFAGWAKKYGPILSYRIGSRTMVVISSAELAKELLKTQDVNFADRPPHRGHEFISYGRRDMALNHYTPYYREIRKMGMNHLFSPTRVATFKHVREEEARRMMDKINKAADKSEVVDISELMLTFTNSVVCRQAFGKKYNEDGEEMKRFIKILYGTQSVLGKIFFSDFFPYCGFLDDLSGLTAYMKECFERQDTYIQEVVNETLDPKRVKPETESMIDLLMEIFKEQPFASEFTVDNVKAVILLIVVAGTDTAAAAVVWGMTYLMKYPQVLKKAQAEVREYMKEKGSTFVTEDDVKNLPYFRALVKETLRIEPVIPLLIPRACIQDTKIAGYDIPAGTTVNVNAWAVSRDEKEWGPNPDEFRPERFLEKEVDFKGTDYEFIPFGSGRRMCPGMRLGAAMLEVPYANLLLNFNFKLPNGMKPDDINMDVMTGLAMHKSQHLKLVPEKVNKY >fgenesh2_kg.7__2974__AT4G13720.1 pep chromosome:v.1.0:7:14693642:14695608:1 gene:fgenesh2_kg.7__2974__AT4G13720.1 transcript:fgenesh2_kg.7__2974__AT4G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine triphosphate pyrophosphatase [Source:UniProtKB/TrEMBL;Acc:D7MHB9] MAAAAKAAVVLPRPVTFVTGNAKKLEEVKAIIGNSIPFKSLKLDLPELQGEPEDISKEKARLAALQVNGPVLVEDTCLCFNALKGLPANGHTLFEFMLISFLLDFSGPYIKWFLEKLGHEGLNNLLMAYEDKSAYALCAFSFSRGPGAEPLTFLGKTPGKIVPARGPTDFGWDPVFQPDGYDQTYAEMEKEEKNKISHRYKSLELVKSHFKEAGYVFQTDDGTI >fgenesh2_kg.7__2976__AT4G13700.1 pep chromosome:v.1.0:7:14716945:14719383:1 gene:fgenesh2_kg.7__2976__AT4G13700.1 transcript:fgenesh2_kg.7__2976__AT4G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7MHC1] MSLLLAAGETIPTTLDGPFKPLTRRFDPSLRRGSDDLPMDHPRLRKRNISSDFPEQITLALSTPTSMWVSWVTGDAIVGKDVKPLDPSSIASEVWYGKEKGNYLLKKKGNATVYSQLYPFDGLLNYTSGIIHHVLIDGLEPETKYYYRCGDSSVPAMSEEISFKTLPLPSKDAYPHRIAFVGDLGLTSNTTTTIDHLMENDPSLVIIVGDLTYANQYRTIGGKGASCFSCSFPDAPIRETYQPRWDAWGRFMEPLTSKVPTMVIEGNHEIEPQASGITFKSYSERFSVPASESGSNSNFYYSFDAGGVHFVMLGAYVDYNHTGAQYAWLKEDLSKVDRAVTPWLVATMHPPWYNSYSSHYQEFECMRQEMEELLYQHRVDIVFAGHVHAYERMNRIYNYTLDPCGPVYITIGDGGNIEKVDVDFADDPGKQPDWSAFRESSFGHGILEVYLHMFYKKHRFYLLLGNMDGLVRKSDSLLCDES >fgenesh2_kg.7__2977__AT4G13680.1 pep chromosome:v.1.0:7:14735855:14737623:1 gene:fgenesh2_kg.7__2977__AT4G13680.1 transcript:fgenesh2_kg.7__2977__AT4G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLHQPSKLCFRKPVLVRSSPHLSNGLSSLSLQTHYQCYVLICADPCGGKRGIASTRRSGGFLRTLSFEQWEEMDENDGKLLKDLCKEMGTIGSSNGWLPTLKDGVLRLREMNLNYETDPKRILLPPLVTLPHCQTQYVTNVAMSTSSPEEEDCVVAVKFLGPQLSFCRPAQSNSEWINIRMTDPCFFSSPVMFSKKDDMFRIAGSGGHLIGSWDLQNHSNNPKLQILRFQNLPKLSETKRDLLDSCYTSEHLVESITTSETFIVKLYRKTAEIVKGIPRKKTEAIMVFRLDEEGNAVYTQDIGDQSIHLTNSEAFCVPSSSSLCLRRPNFVKILDVDEDRYIKLPKQKWDC >fgenesh2_kg.7__297__AT4G37540.1 pep chromosome:v.1.0:7:1245539:1246789:1 gene:fgenesh2_kg.7__297__AT4G37540.1 transcript:fgenesh2_kg.7__297__AT4G37540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSETCILRPCLQWIESAESQGHATIFVAKFFGRAGLMSFISGVPEIQRPALFQSLLFEACGRTVNPVNGAVGMLWTGNWHVCQAAVETVLRGGTLRPISDLLESPSLMLSSDESSEIWHQDVSRNKNHHCRFTTSRSKTEMKDSLVNRKRFKSDSDLDLQVNDGLTLTAQAVPVPFLPPSTFCKAVNGDRPGSPSEESVTTSCWENGMRGDNKQRRNKGEKKLLNLFV >fgenesh2_kg.7__2980__AT4G13650.1 pep chromosome:v.1.0:7:14787436:14790844:1 gene:fgenesh2_kg.7__2980__AT4G13650.1 transcript:fgenesh2_kg.7__2980__AT4G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MHD4] MFLRSVSSSFIFIHGVPRKLKTRTVLRTLCQIRRASFTAISVSISEDESFQENGIDSVENCGIRPNHQTLKWLLEGCLKTNGSLDEGRKLHSQILKLGFDNNACLSEKLLDFYLFKGDLDGALKVFDEMPERTIFTWNKMIKELASRSLSGKVFCLFGRMVNENVTPNEGTFSGVLEACRGGSVAFDVVEQIHARIIYQGLGKSTIVCNPLIDLYSRNGFVDRARRVFDGLYLKDHSSWVAMISGLSKNECEVEAIRLFCDMYVLGIMPTPYAFSSVLSACKKIESLEIGEQLHGLVLKLGFSSDTYVCNALVSLYFHLGSLISAEHIFSNMSQRDAVTYNTLINGLSQCGYGEKAMELFKRMQLDGLEPDSNTLASLVVACSSDGTLFSGQQLHAYTTKLGFASNDKIEGALLNLYAKCSDIETALNYFLETEVENVVLWNVMLVAYGLLDDLRNSFRIFRQMQIEEIVPNQYTYPSILKTCIRLGDLELGEQIHSQIIKTSFQLNAYVCSVLIDMYAKLGKLDTAWDILIRFAGKDVVSWTTMIAGYTQYNFDDKALTTFRQMLDRGIRSDEVGLTNAVSACAGLQALKEGQQIHAQACVSGFSSDLPFQNALVTLYSKCGNIEEAYLAFEQTEAGDNIAWNALVSGFQQSGNNEEALRVFARMNREGIDSNNFTFGSAVKAASETANMKQGKQVHAVITKTGYDSETEVCNAIISMYAKCGSISDAKKQFLELSMKNEVSWNAMINAYSKHGFGSEALDSFDQMIHSNVRPNHVTLVGVLSACSHIGLVDKGIEYFESMNTEYGLAPKPEHYVCVVDMLTRAGLLSRAKDFILEMPIEPDALVWRTLLSACVVHKNMEIGEFAAHHLLELEPEDSATYVLLSNLYAVCRKWDARDLTRQKMKEKGVKKEPGQSWIEVKNSIHSFYVGDQNHPLADEIHEYFKDLTKRASEIGYVQDCFSLLSELQQEQKDPTIFIHSEKLAISFGLLSLPATMPINVMKNLRVCNDCHDWIKFVSKVSNREIIVRDAYRFHHFEGGACSCKDYW >fgenesh2_kg.7__2984__AT4G13620.1 pep chromosome:v.1.0:7:14811351:14812741:-1 gene:fgenesh2_kg.7__2984__AT4G13620.1 transcript:fgenesh2_kg.7__2984__AT4G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHD9] DQFPKIETSFMHDKLLSSGFYGILSSSTPPQLLGVPIFLEGMKSPLLPSSSTPSYFVSPHDHELTSSIHQPPIASVPWNFLESFPQSQRPDHFPSKPPNLTLFLKEPKLLELSQSDNNMSPYHKYIPNSLYQSDQNRNEWVEINKTLTNYPSKGFGNYWLSTTKTQPMKSKTRKVVQTTTPTKLYRGVRQRHWGKWVAEIRLPRNRTRVWLGTFETAEQAAMAYDTAAYILRGEFAHLNFPDLKHQLKSGSLRCMIASLLESKIQQISSSQVSNSPSPPPPPKVGISEPKNHHMKMESGEEVMMKKQKIHKEVMEGDGVQLSRMPSLDMDLIWDALSFPYSS >fgenesh2_kg.7__2985__AT4G13615.1 pep chromosome:v.1.0:7:14830567:14831269:-1 gene:fgenesh2_kg.7__2985__AT4G13615.1 transcript:fgenesh2_kg.7__2985__AT4G13615.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MHE1] MTRGSQRERDRERAAARAGGKGKNAEDGLTPEQRRERDGKALQEKAAKKAAQAAAASSGGGAGSKGAA >fgenesh2_kg.7__2986__AT4G13600.1 pep chromosome:v.1.0:7:14857181:14858786:1 gene:fgenesh2_kg.7__2986__AT4G13600.1 transcript:fgenesh2_kg.7__2986__AT4G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCLQSLSFLLLLLFRSSAAMWCVARFDVTSQALQAALDYACAAGADCAPIQPNGLCFLPNTVQAHASYAFNSYFQRAAMAPGSCNFAGTSTIAKTDPSINAGGSASTTTAGGTPTTTAGNSPMTTLRPPSGTTTSPFGIGGGGLNPQGTTTTNTDESGAYIINKSIVSIALLVALLLWFI >fgenesh2_kg.7__2989__AT4G13510.1 pep chromosome:v.1.0:7:14940433:14942139:1 gene:fgenesh2_kg.7__2989__AT4G13510.1 transcript:fgenesh2_kg.7__2989__AT4G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:D7MHF1] MSCNATDLSVLLGPNATEAANYICGQLSVVDSKFIDAAFAIDTTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGYAFAFGSPSNGFIGKHYFGLKDIPTATADYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSVDGWASPFRTDGDLLFRTGAIDFAGSGVVHMVGGIAGLWGAFIEGPRLGRFDNGGRAIALRGHSASLVVLGTFLLWFGWYGFNPGSFNKILVTYQTGTYQGQWSAVGRTAVTTTLAGCTAALTTLFGKRLLSGHWNVTDVCNGLLGGFAAITGGCSVVEPWAAIICGFVAALVLLGCNKLAEKLKYDDPLEAAQLHGGCGAWGLIFTALFAQEKYLNQIYGNRPGRPHGLFMGGGGKLLGAQLIQIIVITGWVSATMGTLFFILKKMKLLRISAEDEMAGMDMTRHGGFAYMYFDDDESHKAIQLRRVEPRSPSPSGANTTPTPV >fgenesh2_kg.7__2990__AT4G13520.1 pep chromosome:v.1.0:7:14951286:14951801:1 gene:fgenesh2_kg.7__2990__AT4G13520.1 transcript:fgenesh2_kg.7__2990__AT4G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDMLSEMDDAGSSMAMDVDDLEAMEILNEGGLVSDNKLADADFFNKFEDDFDDT >fgenesh2_kg.7__2992__AT4G13530.2 pep chromosome:v.1.0:7:14959519:14961535:1 gene:fgenesh2_kg.7__2992__AT4G13530.2 transcript:fgenesh2_kg.7__2992__AT4G13530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEIQDWEILQSSRSTTEDDNSRNLEEIEDVTRGMIRFDHFSLENQSGLSRVEANDEDGSVQSGSPGWIEPSSDVPYGPKHFSELWSDSSSDRLEEQRLVDDDVNNEVGIVEYSESIVQDMDLMSSDERKDESLLHPVEGEGDSVSVDPCVKSGGGGGGGEEKGFVWWKIPIEVLKYCVLKINPIWSLSMAAAFVGFVMLGRRLYNMKKKSRTLQLKVLLDDKVANHAARWNEAISVVKRVPLIRPALPSSVGMMNQWSMMSLR >fgenesh2_kg.7__2994__AT4G13550.1 pep chromosome:v.1.0:7:14964262:14969866:-1 gene:fgenesh2_kg.7__2994__AT4G13550.1 transcript:fgenesh2_kg.7__2994__AT4G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7MHF9] MTSLQLHFSLHFLRPKHRLRYSPIFTPRTFHFPGKINFRIKAKPNSFRCFTQSDAKEVSLAEKEERSPFDINLAVILAGFAFESYASPPGTSDPYVVMDLDGQVAKSKTKWGTKEPKWNEDFVFNIKLPPAKKIEIAAWDANLVTPHKRMGNSEINLESVCDAVLLCFAFQGNLHEVLVELDGIGGGGKVQLEIKYKGFEEVEEEKKWWRFPFVSEFLQRNEIKSVLKNFVDSEAVESVLKNLVDSEAVPARQFVEYAFGQLKSLNDVPLKNIKLLNNDAEDSEAASAEDSSDQDRSTDLSSSGKLTKDKDGDGHINELEGDNENGSIQSESNFWDNIPDIVGQSIVQKLGLPSPEKLKWNGMELLEKFGLQSRKTAEAGYIESGLATADTREGDDKKEDGQLAINATKSSLADMKNATQELLKQADNVFGALMVLKAVVPQLSKDSLGSEKVMEKDGASNVTDDVSGSPKSEKLSGLVTVDGADEKNAEEMKTLFSSAESAMEAWAMLATALGHPSFIKSEFEKLCFLENDITDTQVAIWRDTRRKRVVIAFRGTEQVHSGFLSAYDSVRIRIISLLKMAIGYIDDVAECEDKWHVYVTGHSLGGALATLLALELSSSQLAKRGAITVTMYNFGSPRVGNKQFAEIYNQKVKDSWRVVNHRDIIPTVPRLMGYCHVAHPVYLSAGDVEDIEFQKDGYNAEVIGEATPDILVSRFMKGEKELVEKILQTEIKIFNALRDGSALMQHMEDFYYITLLESVKLYYKTVEDPKGVENTTIQSVGES >fgenesh2_kg.7__2995__AT4G13560.1 pep chromosome:v.1.0:7:14980647:14981448:-1 gene:fgenesh2_kg.7__2995__AT4G13560.1 transcript:fgenesh2_kg.7__2995__AT4G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQQFNAGQNRGQAQEKAEQWTESAKQTAQSARDKTADLTQSARDKAADLTQSARDKSADGSHSANKSAQHNQEQAAGLFGQTGESVKNMAQGALDGVKNSLGMNEKK >fgenesh2_kg.7__2996__AT2G06550.1 pep chromosome:v.1.0:7:15263764:15264506:1 gene:fgenesh2_kg.7__2996__AT2G06550.1 transcript:fgenesh2_kg.7__2996__AT2G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHJ1] MGYYLTDGIYPKWATFIQSITRPQGQKASLFASCQEAVRKDVERAFEVLQARFAII >fgenesh2_kg.7__2997__AT4G13510.1 pep chromosome:v.1.0:7:15359097:15360790:-1 gene:fgenesh2_kg.7__2997__AT4G13510.1 transcript:fgenesh2_kg.7__2997__AT4G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:D7MHK2] MSCSVTELAVLLGPNATEAANYICGQLSVVDNKFIDAAFAIDTTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGYAFAFGSPSNGFIGKHYFGLKDIPTATADYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSVDGWASPFRTDGDLLFRTGAIDFAGSGVVHMVGGIAGLWGAFIEGPRLGRFDNGGRAIALRGHSASLVVLGTFLLWFGWYGFNPGSFNKILVTYQTGTYQGQWSAVGRTAVTTTLAGCTAALTTLFGKRLLSGHWNVTDVCNGLLGGFAAITGGCSVVEPWAAIICGFVAALVLLGCNKLAEKLKYDDPLEAAQLHGGCGAWGLIFTALFAQEKYLNQIYGNRPGRPHGLFMGGGGKLLGAQLIQIIVITGWVSATMGTLFFILKKMKLLRISAEDEMAGMDMTRHGGFAYMYFDDDESHKAIQLRRVEPRSPSPSGAKTTPTPV >fgenesh2_kg.7__2999__AT5G32440.1 pep chromosome:v.1.0:7:17690365:17692451:1 gene:fgenesh2_kg.7__2999__AT5G32440.1 transcript:fgenesh2_kg.7__2999__AT5G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVCGKRSLFEDLAAASPPVSKKLRCFSSSSPARFSHPIPPSSSLLLDHLAAIFPDMDKKILERAIEECGDDLDSAIRCLNQLRLESANKNSDSAINQSPVVIQEANVEPQQQGSAKEEANVLNLDGTEWVELFVREMMNASDMKDAKARAARALEALEKSINARTGTEAMQNLQQENMMLKQQLEAIVQENSLLKRAVVTQQKRQRESEDQSQELQHLRQLVTQYQEQLRTLEVNNYALTLHLKQAQQNSSIPGRYHPDVF >fgenesh2_kg.7__3000__AT5G32450.1 pep chromosome:v.1.0:7:17700921:17703243:1 gene:fgenesh2_kg.7__3000__AT5G32450.1 transcript:fgenesh2_kg.7__3000__AT5G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MHK9] MQTTRSVQVNNVSDLATEREIHEFFSFSGDIEHIEIQKEVGQSRIAFVTFTDPKALEIALLLSGATIVDQIVTITRAENHVQRREMQEVRMVDNAMPLGLPESTTQTKTTVDGNSRAYVSKAQDVVATVLAKGSALGQDAVNKAKAFDEKHQLRANASAKVSSFDKRVGLTEKLTVGISAVNEKVKSVDQKLQVSDKTMAAIFAAEKKLNDTGSAVKSSRYVTAGAAWFSGAFSKVARVGQVAGSKTKEKFNLAVSNMSSKDTPIAV >fgenesh2_kg.7__3006__AT5G33320.1 pep chromosome:v.1.0:7:17815710:17818613:-1 gene:fgenesh2_kg.7__3006__AT5G33320.1 transcript:fgenesh2_kg.7__3006__AT5G33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate/phosphoenolpyruvate translocator [Source:UniProtKB/TrEMBL;Acc:D7MHM3] MQSSAVFSLSPSLPLLKPRRLSLRHHSLTTASSDLNISPNVVSIPSLSRRSWRLASSDSPLRAWSGVPSSISHSLDTNRFKTAATAVPESAEEGDNSGKLTKILELGLLFAMWYLFNIYFNIYNKQVLKALHAPMTVTLVQFAVGSVLITIMWVLNLYKRPKISGAQLAAILPLAVVHTLGNLFTNMSLGKVSVSFTHTIKAMEPFFSVLLSAMFLGETPTPWVLGAIVPIVGGVALASISEVSFNWAGFLSAMASNLTNQSRNVLSKKVMVKKDDSLDNITLFSIITLMSLVLMAPVTFFTEGIKFTPSYIQSAGVNVQQIYTKSLIAALCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVSSVIFFKTPVSPVNAFGTGIALAGVFLYSRVKGIKPKPKTA >fgenesh2_kg.7__3008__AT5G33290.1 pep chromosome:v.1.0:7:17850757:17854453:-1 gene:fgenesh2_kg.7__3008__AT5G33290.1 transcript:fgenesh2_kg.7__3008__AT5G33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRSRRCSLSLLTLISISLILISVSLFVSTKPTNKPLIDYRNQFSVSISSPPSPSPLEQNNTTNTSLSNTTNTSLISASPPFSHLGQNNTTNTTLASSSSFSDHQNQNKSPSPTSKKVVIKKRSGLDKIESDLAKARAAIKKAASTQNYISSLYKNPAAFHQSHTEMMNRFKVWTYTEGEVPLFHDGPVNDIYGIEGQFMDEMCVDGPKSRSRFRADHPEDAHVFFIPFSVAKVIHFVYKPITSVEGFSRARLHRLIEDYVDVVATKHRYWNRSKGGDHFMVSCHDWAPDVIDGNPKLFEKFIRALCNANTSEGFRPNVDVSIPEIYLPKGKLGPSFLGKSPRIRSILAFFAGRSHGEIRKILFKHWKEMDNEVQVYDRLPPGKDYTKTMGMSKFCLCPSGWEVASPREVEAIYAGCVPVIISDNYSLPFSDVLNWDSFSIQIPVSRIPEIKTILQSVSLVRYLKMYKRVLEVKQHFVLNRPAKPYDVMHMMLHSIWLRRLNLRLGA >fgenesh2_kg.7__3009__AT5G33280.1 pep chromosome:v.1.0:7:17861294:17864627:-1 gene:fgenesh2_kg.7__3009__AT5G33280.1 transcript:fgenesh2_kg.7__3009__AT5G33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:D7MHM7] MPNSTTEDSVAVPLLPSLRRATNSTSQVAIVGANVCPIESLDYEIAENDFFKQDWRGRSKVEIFQYVFMKWLLCFCIGIIVSLIGFANNLAVENLAGVKFVVTSNMMIAGRFGMGFVVFSVTNLVLTLFASVITAFVAPAAAGSGIPEVKAYLNGVDAPEIFSLRTLVIQIIGNISAVSASLLIGKAGPMVHTGACVASILGQGGSKRYRLTWRWLRFFKNDRDRRDLVTCGSAAGIAASFRAPVGGVLFALEEMSSWSALLWRIFFSTAVVAIVLRALIDVCLSGKCGLFGKGGLIMFDVYSENASYHLGDVLPVLLLGVVGGILGSLYNFLLDKVLRAYNFIYEKGVTWKILLACAISIFTSCLLFGLPFLASCQPCPADALEECPTIGRSGNFKKYQCPPGHYNDLASLIFNTNDDAIKNLFSKNTDFEFHYFSVLVFFVTCFFLSIFSYGIVAPAGLFVPVIVTGASYGRFVGMLLGSNSNLNHGLFAVLGAASFLGGTMRMTVSTCVILLELTNNLLLLPMMMVVLLISKTVADGFNANIYNLIMKLKGFPYLYSHAEPYMRQLLVGDVVTGPLQFFNGIEKVETIVHVLKTTNHNGFPVVDGPPLAAAPVLCGLILRAHILTLLKKRVFMSSPVVCDSNTLAQFKAEEFAKKGSGRSDRIEDVELSEEELNMYLDLHPFSNASPYTVVETMSLAKALILFREVGIRHLLVIPKTSNRPPVVGILTRHDFMPEHILGLHPSQSRSRWKRLRIRLPFLS >fgenesh2_kg.7__300__AT4G37520.1 pep chromosome:v.1.0:7:1251184:1252735:-1 gene:fgenesh2_kg.7__300__AT4G37520.1 transcript:fgenesh2_kg.7__300__AT4G37520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7MAL4] MVIKTNLLLLLLSLFLTLNLSSAQLRRNFYAGICPNVEQIVRNAVQKKVQQTFTTIPATLRLYFHDCFVNGCDASVMIASTDNNKAEKDHEENLSLAGDGFDTVIKAKEALDAVPNCRNKVSCADILTMATRDVVNLAGGPKYDVELGRLDGLSSTAASVGGKLPHPTDDVNKLTSLFAKNGLSLNDMIALSGTHTLGFAHCTKVFDRIYTFNKTTKVDPTVNKDYVTELKASCPQNVDPRVAINMDPTTPRQFDNVYYKNLQQGKGLFTSDQVLFTDRRSKPTVDLWASNGQLFNQAFINSMIKLGRVGVKTGSNGNIRRDCGAFN >fgenesh2_kg.7__3011__AT5G34850.1 pep chromosome:v.1.0:7:17901853:17906057:-1 gene:fgenesh2_kg.7__3011__AT5G34850.1 transcript:fgenesh2_kg.7__3011__AT5G34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7MHN1] MNHLVIFSVFLSSVLVYRGDAGVTSSFIRSEWPAVDIPLDHHVFKIPKGYNAPQQVHITQGDYDGKAVIISWVTPDEPGSSKVYYGAVQGKYEFVAEGTYHNYTFYKYKSGFIHHCLVSGLEHDTKYYYKIESGDSSREFWFVTPPEVHPDASYKFGIIGDMGQTFNSLSTLEHYMQSGAQAVLFLGDLSYADRYEYNDVGVRWDSWGRFVEPSTAYQPWLWSAGNHEVDYMPYMGEVTPFRNYLQRYTTPYLASKSSSPLWYAVRRASAHIIVLSSYSPFVKYTPQWHWLSEELKRVDREKTPWLIVLMHVPIYNSNEAHFMEGESMRAVFEEWFVEHKVDVIFAGHVHAYERSYRISNVRYNVSSGDRFPVPDKSAPVYITVGDGGNQEGLAGRFREPQPDYSAFREASYGHSTLDIKNRTHAIYHWNRNDDGKKVATDEFVLHNQYWGKNIRRRKLKKHYIKSVVAGGWIAT >fgenesh2_kg.7__3012__AT5G34930.1 pep chromosome:v.1.0:7:17925436:17927617:1 gene:fgenesh2_kg.7__3012__AT5G34930.1 transcript:fgenesh2_kg.7__3012__AT5G34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7MHN3] MFPSLSLRPPKPTAVVTDNNPLPNSDPIPPLRIAIIGFGNYGQFLAETLISQGHILFAHSRSDHSSAARRLGVSYFADLHDLCERHPDVVLLCTSILSIENVLKTLPFQRLRRNTLFVDVLSVKEFAKTLLLQYLPEDFDILCTHPMFGPQSVNSNHGWRGLRFVYDKVRIGEDRLRISRCESFLGVFEREGCEMVEMSVTDHDKFAAESQFITHTLGRLLGMLKLQSTPINTKGYEALLDLAENTCGDSFDLYYGLFVYNKNSLEVLERIDLAFEALRKELFSRLHGVVRKQSFEGEAKKVHVFPNGYENDASLDMMRSEDVAVKYEYNPQVSGSVNDGSRLKIGIVGFGNFGQFLGKTMVKQGHTVLAYSRTDYTDVAAKLGVSYFSDLDDLFEEHPEVILLCTSILSTEKVLKSLPFQRLKRSTLFVDVLSVKEFPRSLFLQTLPQDFDILCTHPMFGPESGKNGWNNLAFVFDKVRIGMDDRKKLRCDSFLDIFAREGCCMVEMSCAEHDWHAAGSQFITHTVGRLLEKLSLESTPIDTKGYETLLKLVENTAGDSFDLYYGLFLYNPNAMEQLERFHVAFESLKKQLFGRLHSQHSHELAKSSSPKTTKLLTS >fgenesh2_kg.7__3015__AT5G34940.2 pep chromosome:v.1.0:7:17927926:17930728:-1 gene:fgenesh2_kg.7__3015__AT5G34940.2 transcript:fgenesh2_kg.7__3015__AT5G34940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 79 N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MHN4] MGCRQIWIIVLFLCVFQFLDCTVSSAVEETGTVSVYGRAAVGTIDEDFICATLDWWPPEKCDYGTCSWDHASILNLDLNNTILQNAIKAFAPLKIRIGGTLQDIVIYETPDQKQPCLPFTKNSSILFGYTQGCLPMRRWDELNAFFRKTGARVIFGLNALSGRSIKPNGEAIGAWDYTNAESFIRFTAQNNHTIDGWELGNELCGSGVGARVAANQYAIDTINLRNIVNRVYKNVSPMPLVIGPGGFFEADWFTDYLNKAENSLNATTRHIYDLGPGVDEHLIEKILNPSYLDQEAITFRSVKNIIKKSSTKAVAWVGESGGAYNSGRNLVSNAFVYSFWYLDQLGMASLYDTKTYCRQSLIGGNYGLLNTTNFTPNPDYYSALIWRQLMGRKALFTTFSGTKKIRSYTHCARQSKGITVLLMNLDNTTTVVAKVELNKTFSLRHTKHMKSYKRATSQLPQDPNGEIQREEYHLTAKDGNLHSQTMLLNGNALQVNSTGDLPPIEPIHINSTEPITIAPYSIVFVHMRSVVVPACA >fgenesh2_kg.7__3016__AT5G35080.1 pep chromosome:v.1.0:7:17949732:17952000:-1 gene:fgenesh2_kg.7__3016__AT5G35080.1 transcript:fgenesh2_kg.7__3016__AT5G35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITEILLCLVIVALSSSSHVWSDQIFPAHLVGTFSRNNREPKYKIEFLPEDSPFHPGDNLESMVMLDKDGNRFLCYLPKEEKATSGWISSQQNISTVTMETQKQVKLKTPDELLQPLSEKCLLRQEGWWSYEFCHQKFVRQLHVEDENKIVQEFFLGTFDPEATAAFNQTVSDISTDASGRYHSHVYTNGTTCDLTGSPREVEVRFVCAETRAMITSITELSTCKYALTVQSPTLCKHPLFQLEKPVSHTIHCNAIPVEQDATRNNEEQIVGESPKMIADS >fgenesh2_kg.7__3017__AT5G35090.1 pep chromosome:v.1.0:7:17996743:17997278:1 gene:fgenesh2_kg.7__3017__AT5G35090.1 transcript:fgenesh2_kg.7__3017__AT5G35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESMSHLSLKKKLKSRFCIAGCFRTTNHHHNIPDDLPSSPMTPATTEKSTQSPHGGEIKTKSPRLTRTLSKSHEKCKSLIHRMGGGVTGVGGHGKHIRRHTADFHYDPSSYALNFDKGDEDENIDRFPLRNFSARLPRSPPSSAKAATESSYTVQNLLR >fgenesh2_kg.7__3018__AT5G35100.1 pep chromosome:v.1.0:7:17998394:17999516:-1 gene:fgenesh2_kg.7__3018__AT5G35100.1 transcript:fgenesh2_kg.7__3018__AT5G35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7MHP4] MASSSILIPPILSRRNLLLSTTIATVSPPPPPKPPSPDITITDRVFLDFSLCPTYFRSDPSATLSSTTPCSDSTPLGRVVLGLYGRHVPITVSSFKRMCTSSSTSYKNTPVHKIFPGQYFLAGRQGGGRRDTAEVGYSLRDLPGNTDVVNSKAFLLPHARAGVVSLCLSENDDDDDIRLDPDYRNVEFLITTGPGPCPQLDGGNIVFGTVLEGLDVVTSISSIPTYKPSENIKQFNDFAEFLGDERAQNARSLWNRPLKTVFISGCGELKVTNPSLSPTLP >fgenesh2_kg.7__301__AT4G37510.1 pep chromosome:v.1.0:7:1255940:1263903:1 gene:fgenesh2_kg.7__301__AT4G37510.1 transcript:fgenesh2_kg.7__301__AT4G37510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease III family protein [Source:UniProtKB/TrEMBL;Acc:D7MAL5] MELCSSSSSSSLLRICSSSAPEISFSSSISQFPSKIQSILTKPRFQNLRICASVAAETQGLPRDSPQRLLKELAQRKTATGPKKKVPPKRFILRPPLDDKKLAERFLNSPQLSLKSFPLLSSCLPSSKLNNADKTWIDEYLLEVKQALGYSLEPSESLGDDNPAKHFDTLLYLAFQHPSCDRARARHVKNGHSRLWFLGQYVLELALTEFFLQRYPRESPGPMRERVFALIGKRYLPKWIKAASLQNLIFPYDDMDKLIRKEREPPVKSVFWALFGAIYLCYGMPEVYRVLFEVFGMDPDADECQPRSRRQLEDVDYVSVEFEGKKLGWQDIATYKPPEDALFAHPRLFRACVPPGMHRFRGNIWDFDSKPKVMQTLGYPLPMNDRIKEITEARNIELGLGLQLCFLHPSKHKFEHPRFCFERLEYVGQKIQDIAMAERLLMKHLDAPGKWLQEKHRRLLMNKFCGRYLREKRLHNFITYSEEVHDRYEHNRRLRNPATTAVQQAIHGLAYTIYGKPDVRRLMFEVFDFEQIQPKAV >fgenesh2_kg.7__3023__AT5G35170.1 pep chromosome:v.1.0:7:18077263:18082146:1 gene:fgenesh2_kg.7__3023__AT5G35170.1 transcript:fgenesh2_kg.7__3023__AT5G35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MHQ0] MASLSLTSAHFSSTSSSSRSSVSTSSLSPPNPSLPLLRSPPIRRRRLSFSVIPHRTSHSVSTSRSSEIRCSIDEPLKVMISGAPASGKGTQCELIVHKFGLVHISTGDLLRAEVSAGTDIGKRAKEFMNSGRLVPDEIVIAMVAGRLSREDAKEHGWLLDGFPRSFAQAQSLDKLNVKPDIFLLLDVPDEILIDRCVGRRLDPVTGKIYHIKNYPPESDEIKARLVTRPDDTEEKVKARLQIYKQNSEAIISAYSDVMVKIDANRPKEVVFEETQTLLSQIQLKRMIKTGKKRDSGTPLVYKASPVQDKWRGIPTRLNNIPHSRDIRAYFYEDVLQATIRSIKDGNTRLRVDINIPELNPEMDVYRIGTLMELVRVLALSFADDGKRVKVCVQGSMGEGALAGMPLQLAGTRKILEYMDWGDDETLGTFVKLGAIGGKEVDEEDDMFILVAPQNAVGNCIIDDLQAMTTAAGKRPVVLINPRLKDLPASSGIMQTMGREQRLEYALTFDNCYVFRLLYYLGTQYPIMGALRMSYPYRYELYKRVNEENGKEKYVLLATYSERPTPEQIDDAFSGKSRDQSKKPSGIWGFLSSVFS >fgenesh2_kg.7__3028__ATCG01270.1 pep chromosome:v.1.0:7:18129012:18129228:1 gene:fgenesh2_kg.7__3028__ATCG01270.1 transcript:fgenesh2_kg.7__3028__ATCG01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KMT2] HGRIEILDQNTMDGWYELPKQEFLNSEQPELLLTTSKKFPLIKDGNPLENQKYACRMKLLLLSVPITNQLNN >fgenesh2_kg.7__302__AT4G37490.1 pep chromosome:v.1.0:7:1266193:1268561:1 gene:fgenesh2_kg.7__302__AT4G37490.1 transcript:fgenesh2_kg.7__302__AT4G37490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin [Source:UniProtKB/TrEMBL;Acc:D7MAL7] MMTSCSLVPQQSTEDDVVVVDGKNVAKGRNRQVLGDIGNVVRGNYPKNNEPAKINHRPRTRSQNATLLVEDNLKNPVVKRIAVPKPKKVAGKSKVIEVIEISSDSDEELGIVAVREKKATKKKATTYTSVLTARSKAACGLEKKQKEKIVDIDSADVKNDLAAVEYVEDIYSFYKSVESEWRPRDYMGSQPEINEKMRLILVEWLIDVHVKFELNPETFYLTVNILDRFLSVKPVPRKELQLVGLSALLMSSKYEEIWPPQVEDLADIADHAYSHKQILVMEKTILSALEWYLTVPTHYVFLARFIKASIADEKMENMVHYLAELGVMHYDTMIMFSPSMVAASAIYAARSSLRQVPIWTNTLKHHTGYSETQLMDCAKLLAYQQWKQQQEGSESSTTTKGALQKKYSKDERFAVALIPPAKALLTGTESA >fgenesh2_kg.7__3030__ATCG01250.1 pep chromosome:v.1.0:7:18130413:18132267:-1 gene:fgenesh2_kg.7__3030__ATCG01250.1 transcript:fgenesh2_kg.7__3030__ATCG01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase ND2 [Source:UniProtKB/TrEMBL;Acc:D7KMT1] MAITEFLLFILTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSLAPSHQWTPDVYEGSPTPVVAFLSVTSKVAASASATRIFDIPFYFSSNEWHLLLEILAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYIAMNLGTFACIILFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWQAGLYFLVSIGLLTSVLSIYYYLKIIKLLMTGRNQEITPHVRNYRISPLRSNNSIELSMIVCVIASTIPGISMNPIIAIAQDTLFSF >fgenesh2_kg.7__3032__AT5G35220.1 pep chromosome:v.1.0:7:18138614:18141944:-1 gene:fgenesh2_kg.7__3032__AT5G35220.1 transcript:fgenesh2_kg.7__3032__AT5G35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-dependent gravitropism-deficient and yellow-green 1 [Source:UniProtKB/TrEMBL;Acc:D7MHR1] MGTLTSVAFAAAVNIRFRSFHRENIKTATKLPKWQKRIFFSSTEDSHRFRIAKCLGTDENSNRDNSVGDNGETHKSSVVKTASREEEDDETSNSSSTTSSSNEFGSDKTSMPSSDSSVDPTYSSFQIDSFKLMELLGPEKVDPADVKLIKDKLFGYSTFWVTKEEPFGDLGEGILFLGNLRGKKEDVFAKLQRKLVEVAGDKYNLFMIEEPNSEGPDPRGGARVSFGLLRKEVSEPGPTTLWQYVIALILFLLTIGSSVELGIASQINRLPPEVVKYFTDPNAVEPPDMELLYPFVDAALPLAYGVLGILLFHELGHFLAAVPKKVKLSIPYFIPNITLGSFGAITQFKSILPDRSTKVDISLAGPFAGAALSVSMFAVGLFLSTAPDAANDLVQVPSMLFQGSLLLGLISRATLGYAALHAATVSIHPLVIAGWCGLTTTAFNMLPVGCLDGGRAVQGAFGKNALVTFGLSTYVMLGLRVLGGPLALPWGLYLLICQRTPEKPCLNDVTEVGTWRKALVGTAIILVILTLLPVWDELAEEVGIGLVTTF >fgenesh2_kg.7__3033__AT5G35320.1 pep chromosome:v.1.0:7:18146768:18148300:1 gene:fgenesh2_kg.7__3033__AT5G35320.1 transcript:fgenesh2_kg.7__3033__AT5G35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENERLSMKRKDIDRVNDEFSDFSVSSPARKIRRLDVDLPPIMEEEEVDLPMQDTVAEDIELEPVNDERAIVLFKPLHYQQPSSGNLFVDRDLISGFKNRFLRDVSIADDNHYEDQRSNKCQAVVCWNPSQSTYSQSIGTFQQPRTLEITELDETGEDAVMDEAANEIEEDSGSTTLSFPQQGQHQEPTYGFGLHQWQQQQNCMIPQLPQVSTTPAPVTWFR >fgenesh2_kg.7__3034__AT5G35360.1 pep chromosome:v.1.0:7:18182260:18186570:1 gene:fgenesh2_kg.7__3034__AT5G35360.1 transcript:fgenesh2_kg.7__3034__AT5G35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASMITNSKSITSPPVKSLVLGKTGGVIRSSLCNLMMPSKVNFPRQRTQTLKVSQKKIKRATSGGALGATCSGDKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADEAVCIGEAPSNQSYLVIPNVLSAAISRGCTMLHPGYGFLSENALFVEMCRDHGINFIGPNPDSIRVMGDKATARETMKNAGVPTVPGSDGLLQSTEEAVRVANEIGFPVMIKATAGGGGRGMRLAKEPGEFVKLLQQAKSEAAAAFGNDGCYLEKFVQNPRHIEFQVLADKFGNVVHFGERDCSIQRRNQKLLEEAPSPALTAELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMIYSVDLIEEQIRVAMGEKLRYKQEDIVLRGHSIECRINAEDPFKGFRPGPGRITSYLPSGGPFVRMDSHVYSDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALNDTIITGVPTTINYHKLILDVEDFKNGKVDTAFIVKHEEELAEPHEIVAVKDLTNAAV >fgenesh2_kg.7__3036__AT5G35370.1 pep chromosome:v.1.0:7:18186510:18189341:-1 gene:fgenesh2_kg.7__3036__AT5G35370.1 transcript:fgenesh2_kg.7__3036__AT5G35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTFQLLLSLSLLNLFFVYVSCASSTEFVYPNFTASNLRFIDSSKGAFLFSRNSNFKAGLFSPGGDDSSSTGFYFSVVHVDSGSTIWSSNRDSPVSSSGKMNLTPQGISVIEDGKSQLPVWSTPVLPSPVHSLRLTDAGNLLLLDHLNVSLWESFDFPTDSIVLGQRLKLGMFLSGSVSRSDFSTGDYKFLVGESDCLMQWKGQNYWKLRMHTRANVDSNFPVEYLTVTTSGLALMGRNGTVVVVRVALPPSSDFRVAKMDSSGKFIVSRFSGKNLVPEFSGPMDSCQIPFVCGKLGLCHLDNASENQSCSCPDEMRLDAGKGVCVPVNQSLSLPVSCEARNISYLELGLGVSYFSTQFTDPVEHDLPLLACHDLCSKNCSCLGVFYENTSRSCYLVKDSFGSLSLVKNSPDNHDLIGYVKLSIRKQIAQPSVNNNRGSSFPLIALVLLPCSGFFLLIALGLLWWRRCAVMRYSSIREKQVTRPGSFGSGDLGSFHIPGLPQKFEYEELEQATENFKLQIGSGGFGSVYKGTLPDETLIAVKKITNHGLHGRQEFCTEIAIIGNIRHTNLVKLRGFCARGRQLLLVYEYMNHGSLEKTLFSGNGPVLEWQERFDIALGTARGLAYLHSGCDQKIIHCDVKPENILLHDHFQPKISDFGLSKLLNQEESSLFTTMRGTRGYLAPEWITNAAISEKADVYSYGMVLLELVSGRKNCSFRSRSNSVTEENNQNHSSTTTTSTGLVYFPLYALDMHEQGRYMELADPRLEGRVTSQEAEKLVRIALCCVHEEPALRPTMAAVVGMFEGSIPLGNPRMESLNFLRFYGLRFAESSMVEGQNGESETMVFHRRESSNSVGSRQSVSYIASQEVSGPR >fgenesh2_kg.7__3040__AT2G07505.1 pep chromosome:v.1.0:7:18219607:18220093:-1 gene:fgenesh2_kg.7__3040__AT2G07505.1 transcript:fgenesh2_kg.7__3040__AT2G07505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGIPNRCRCGEGVVLRTSKTIKNPGRLFYACRYGEENSRGHLFRWTDETMVEEMEDIIPKIDELERASLTLQKGLQAEMETLAMETRTCEAVVCGLEKELRGLEKEIQGCKIELRGLKNILVCIVLMVLVYVFVL >fgenesh2_kg.7__3043__AT5G35430.1 pep chromosome:v.1.0:7:18307306:18311398:1 gene:fgenesh2_kg.7__3043__AT5G35430.1 transcript:fgenesh2_kg.7__3043__AT5G35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MHS4] MDSRDSLSSDAARDSSSLSEDAAVLSVTSALAKTALSYFQSGKFEECFDILIQLDQKKHNDPKVLHNMAIAEYFKDGCSNSEKLLQGLNRVKKQSEELASAARDQLEAANPGTNASVPKDHFDSTVTTLNIAVTWFHLHDYSKSFSILEPLFQNIQRLDETIALQICFLLLDIALACRDAVNFLAVFDYMEKAFGVGFGSHEENGSTMQLSSNQVSKTSSLLSSSVASDTLKSELTAAESSLCEETLDYDNVLAEFEAEKRMKPVGHIPANNLLKTLSERTFSNADLKLELQLYKVRFLLLTRNLKMAKREVKHAMNIAQKRDSSMALLLKSQLEYAHGNHPKAIKLLVVSGIHKEAGTSGIFKNNLGCIYYQLGNYQASSVLFLKALRSCSSLRRNEKPVKPFSLSQDKSLLIIYNCGLVYLALGKPLLAAQCFQKASVVFWRQPLIWLRLAECCMMALRKGLLEERTTSLDRSEIRVSVVGKGNRRQLMIEENGYVELAGNTQLSKLSLPLARVCLSNGIYLLNESLSNDSKSDLGSTFSVGMNETKEASSSDHEEANTNSDLKEAKGGMSQDIIQNSLSAFKDMCSKEKQLMQQALFANMAYVELELENPVKALSAATSLLQLPDCSKIYVFLGHIYAAEALCLLNRPIEAGAHLSAYLVGQDDFRLPYAQEDFDQWWKHTSSDCEETLDPSTGNARESVFLKPEEARGALFADLAALLATQGHHDQAKPLITHALTLLPNNVQATVTAVYIDLMLGRSQDALARLKQCTRVSFVPGRLEVRAS >fgenesh2_kg.7__3047__AT5G35525.1 pep chromosome:v.1.0:7:18360137:18361060:1 gene:fgenesh2_kg.7__3047__AT5G35525.1 transcript:fgenesh2_kg.7__3047__AT5G35525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQHLHAKPHAEGEWSTGFCDCFSDCKNCCITCLCPCITFGQVADIVDRGTTSCGAAGALYTLIAVITGCGSIYSCFYRGKMRAQYNIRGDGCTDCLKHFCCELCALTQEYRELKHRGFDMSLGWAGNVERQVQQNQGRVAMGAPTFQGGMTR >fgenesh2_kg.7__3048__AT5G35530.1 pep chromosome:v.1.0:7:18383457:18385464:-1 gene:fgenesh2_kg.7__3048__AT5G35530.1 transcript:fgenesh2_kg.7__3048__AT5G35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3 [Source:UniProtKB/TrEMBL;Acc:D7MHT0] MATQISKKRKFVADGVFYAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSLVQKRFRFPQDSVELYAEKVANRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAARAKSMKFKDGYMVSSGQPTKEYIDAAVRHVLLRQGVLGLKVKIMLDWDPKGKLGPMTPLPDVVIIHTPKEDDVYIAPAQVVAPTALVPEAPLTTTDYPAMPVA >fgenesh2_kg.7__3049__AT5G35550.1 pep chromosome:v.1.0:7:18386681:18387805:1 gene:fgenesh2_kg.7__3049__AT5G35550.1 transcript:fgenesh2_kg.7__3049__AT5G35550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRATTSVKREELNRGAWTDHEDKILRDYITTHGEGKWSNLPNQAGLKRCGKSCRLRWKNYLRPGIKRGNISSDEEELIIRLHNLLGNRWSLIAGRLPGRTDNEIKNHWNSNLRKRLPKTQTKQSKRIKHSTNNENNVCVIRTKAIRCSKALLFSDLALQKQSSTSSISPLPLKEQEKDQGGSSLMGDLDFDFDRIHSEFHFPDLMDFDGLDCGNVTSLVSSNEILGDLVPAQGNLDLNRPFTSCHHRGDDEDWLRDFTC >fgenesh2_kg.7__3050__AT5G35560.1 pep chromosome:v.1.0:7:18390081:18396218:-1 gene:fgenesh2_kg.7__3050__AT5G35560.1 transcript:fgenesh2_kg.7__3050__AT5G35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MHT2] MAKNEEGSSSPSWGASFFMQTSEDVAMAFAAAASAINPPRPSVVFSSKDEGSDSPLERLQRQVSKAVKNFYETPKTKSVMYNPEVLTSQKRQWAKFQDHKPLKDPSRLFESVVVVGLHPNCDIQALERQYIARKSEGSSGRLRSALVSQIHSRVEPSLEPQVLLVYPPDKQPPIKYKDLHSFCFPGGIEVHAVERTPSMSELSEIILSQEHLRPSDLSFVFRLQVADNSTLYGCCLLVEEIVNKPSRLLSTVLDKQPACSSLSRYVMTTRRCYCILTRLPFFELHFGVLNSIFLEERLEHLMSGISSASLEPPTDFSNEDSLNDSSPQQRDSGNTKEQTVEAGSELPNADEISENGTCEANQKVDDSAESNDYVPKADDSLPIIKQGRESCLPDPGPLLRCPYLDEISDSSTSFQAAPCERRHSRTSADDTETDEASFSGQDDTSSNFDILEWAKSKKNGSLQILCEYYQLKCPARGSTITFHPLEHLHPVEYHRPDEVALHTPGSAIDRRSCSTSLELVEAHTALMAEEEAAALSTWAVASLCGSLRLDNVLMILAGALLEKQIVFVCSNLGILAASVLSIIPVIRPFRWQSLLMPALPDDMLEFLDAPVPYIVGVKNKTSEVQSKLTNVIVVDVLKNQVKSPSMPQLPQYRDLYNALSPYHSKLVGESYLAKKRPVYECTDVQVDAAKGFLDVLRSYLDSLCSSLQSHTITNVQSNNDKVSLLLKESFIDSFPSRQRPFMKLFVDTQLFSVHTDLVLSFIQKL >fgenesh2_kg.7__3051__AT5G35570.1 pep chromosome:v.1.0:7:18397894:18401456:-1 gene:fgenesh2_kg.7__3051__AT5G35570.1 transcript:fgenesh2_kg.7__3051__AT5G35570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHHDGGDGVPQHHVNSPRFSGPMTRRAQSFKRGGSGGSSSNTHVGDGNNTSTLRVHHEIDLPLNSPRSEIVSGSSGSDPSGGFDSALNRKHQTYGQLRERVVKGLLRKPMGSVVSDFSLRERKKLGHWMFFAFCGVCLFLGVFKICATGWLGSAIDGAASHQDLSNSIPRVNLLDHSSHDYIYKDGGNDVDPTLVMVASDVVGDQNSVVEYSGVWAKPESGNFSQCIDSPRSRKKLGVNTNGYLLINANGGLNQMRFGICDMVAVAKIMKATLVLPSLDHSSYWADDSGFKDLFDWQHFIEELKDDIHIVEMLPSELAGIEPFVKTPISWSKVGYYKREVLPLLKQHIVMYLTHTDSRLANNDLPDSVQKLRCRVNYRALKYSAPIEELGNVLVSRMRQNRGPYLALHLRQMQDQFELVWYEKDMLAFTGCSHSLTAEEDEELRQMRYEVSHWKEKEINGTERRLQGGCPLTPRETSLLLRALEFPSSSRIYLVAGEAYGNGSMDPLNTDFPNIFSHSILATKEELSPFNNHQNMLAGLDYIVALQSEVFLYTYDGNMAKAVQGHRRFEDFKKTINPDKMNFVKLVDALDEGRISWKKFSSKVKKLHKDRNGAPYNREPGEFPKLEESFYSNPLPGCICENTEEEGVMRRT >fgenesh2_kg.7__3053__AT5G35590.1 pep chromosome:v.1.0:7:18417178:18419836:-1 gene:fgenesh2_kg.7__3053__AT5G35590.1 transcript:fgenesh2_kg.7__3053__AT5G35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:D7MHT5] MSRGSGAGYDRHITIFSPEGRLFQVEYAFKAVKTAGITSIGVRGKDSVCVVTQKKVPDKLLDQSSVTHLFPITKYIGLVATGITADARSLVQQARNQAAEFRFTYGYEMPVDILAKWIADKSQVYTQHAYMRPLGVGAMVLGVDEENGPLLYKCDPAGHFYGHKATSAGMKEQEAVNFLEKKMKENPAFTFDETVQTAISALQSVLQEDFKATEIEVGVVRAENPEFRALTTEEIEEHLTAISERD >fgenesh2_kg.7__3054__AT5G35630.3 pep chromosome:v.1.0:7:18455864:18488386:1 gene:fgenesh2_kg.7__3054__AT5G35630.3 transcript:fgenesh2_kg.7__3054__AT5G35630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:D7MHT9] MAQILAASPTCQMRVPKHSSVIASSKLWSSVVLKQKKQSNNKVRGFRVLALQSDNSTVNRVETLLNLDTKPYTDRIIAEYIWIGGSGIDLRSKSRTIEKPVEDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFRDPFRGGNNILVICDTWTPAGEPIPTNKRAKAAEIFSNKKVTNEVPWFGIEQEYTLLQQNVKWPLGWPVGAFPGPQGPYYCGVGADKIWGRDISDAHYKACLYAGINISGTNGEVMPGQWEFQVGPSVGIDAGDHVWCARYLLERITEQAGVVLTLDPKPIEGDWNGAGCHTNYSTKSMREEGGFEVIKKAILNLSLRHKEHISAYGEGNERRLTGKHETASIDQFSWGVANRGCSIRVGRDTEAKGKGYLEDRRPASNMDPYIVTSLLAETTLLWEPTLEAEALAAQKLSLNV >fgenesh2_kg.7__3058__AT5G35670.1 pep chromosome:v.1.0:7:18505219:18507169:1 gene:fgenesh2_kg.7__3058__AT5G35670.1 transcript:fgenesh2_kg.7__3058__AT5G35670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGGLVRSIFFRNKSFGAHDYNIGRSNLGEKKRWSSVRSYLCGDEFNSVLAVNDSGSVKDSDALFTMSQHLNSELGVPFGSVIAIEDSASVKRLEDDDSVSVKSSEATVTQPIQEEKSKVLSHYVKEEDIDNQSEATETHIPKRHQTTPISKLLLEEDAAVIIQSAFRSYLAIRRSKEEEKTFAKEESFSGDESQGNVSMGTSLEAQTGSSVKAPFFRRKRVSANRGTLHKNQTQVLRMKEDWDDSTVSSTISKSRIQSRIEAMTKRERALAYAFSQQLRICSKKKQMDRSSEDDSNIGWSWLERWMATRVPDSIPIEPRTNIQTDVATKNQRLIRKNRSFGIAGELESCASNDLPLQFESISETQEDETKDFQTEKSSLRASISKRKSVPSYKSQRRHNRLQVTKSDLQQQTKKAKKAKTTPSSCKRGNECEETSQKLSSST >fgenesh2_kg.7__305__AT4G37450.1 pep chromosome:v.1.0:7:1285961:1286971:1 gene:fgenesh2_kg.7__305__AT4G37450.1 transcript:fgenesh2_kg.7__305__AT4G37450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MAM1] MDRKFLLTVTLICIVVAGVGGQSPISSPTKSPTTPSAPTTSPTKSPAVTSPTSAPAKTPPSIASSPVESPKSPAPVSPTPVPESSPPAPTPKASSPVSSPPVPAPVADSPPAPVAAPVADVPAPAPSKHKKATKKSKKHQAAPAPAPELLGPPAPPAESPGPNSDAFSPGPSTSADDQSGAESTRVSRNVAVGAVATAWAVLVLAF >fgenesh2_kg.7__3061__AT5G35690.1 pep chromosome:v.1.0:7:18515743:18519717:-1 gene:fgenesh2_kg.7__3061__AT5G35690.1 transcript:fgenesh2_kg.7__3061__AT5G35690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSRKPMRVSVLWKGNNYSVEIDSGASLKDLGYELRKLTGVTSETLRLIVPRLNEKGSRLILPFSDEHSSLSLQESHIIEDKTIRMMGVSEEEIDGVLKEAVPDMRIIGFEEEERRLRQKKYVSSASIKLPQGPYIFCDFRTLQLPGIELNPPPSAALKRMHMLAADPGIIAVMNKHRWRVGIMTELAPVGYVGVSPRCLLGFNKNQGEEISLRLRTDDLKGFRKYQSIKKTLLHELAHMIYTEHDENFYALDSQLNKEAESLDWTKSRGHTLNGTKFINDDDEEDYFFDENENVSQRLGGNQSDNLGNACESSVAAAYRRLSHTSVSKLSEEPDPEDLVNVRDENKQPVLPKAQSDSMSKFEPDPDDTTEDDATKIESGEGENMKIANDTVMLGGNLDADEAMQDTSDPNNAEPYHDSNLVVRERETIMEVYEPDPDDQEIQRIQDSVTIISNRLKKAINALKNEVSPGQATNVLQMLLKIVRNIIEQPNEMKFKRLRKGNPAIKRNILNFTAAVEILSVVGFVEEMVSEGTGAQEPYLVLKRNDPGLLWIAKSMIEESHPTGS >fgenesh2_kg.7__3062__AT5G35700.1 pep chromosome:v.1.0:7:18525003:18529376:-1 gene:fgenesh2_kg.7__3062__AT5G35700.1 transcript:fgenesh2_kg.7__3062__AT5G35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYVGVLVSDPWLQSQFTQVELRTLKSKFVSNKTQLERFTVGDLPPVFSKLKAFNGTIDEDEIKSVLDKSYSNADEEVDFETFLRAFLNVQARGVEKTGGSKGSSSFLKTSTTTVHHAINESEKASYVSHINNYLRDDPFLKSYLPIDPATNAFFDLVKDGVLLCKLINVAVPGTIDERAINTKKTLNPWERNENLTLGLNSAKAIGCTVVNIGTQDIAEGRPYLVLGLISQIIKIQMLADLNFKKTPSLFQLVDDTQDAEELMGLAPEKVLLKWMNFHLKKAGYEKQVTNFSSDVKDGEAYAYLLNALAPEHSTHVALETKDPTERAKKVLEQAEKLDCKRYLSPKDIVDGSANLNLAFVAQIFQHRNGLTVDDSKTSFAEMMTDDVETSREERCFRLWINSLGTATYVNNVFEDLRNGWVLLEVLDKVSPGSVNWKHANKPPIKMPFKKVENCNEVIKIGKDLRFSLVNVAGNDIVQGNKKLLLAFLWQLMRYTMLQLLRNLRSHSQGKEITDVDILNWANRKVKRGGRTSQADSFRDKNLSSGMFFLELLSAVEPRVVNWSLVTNGETEEDKKLNATYIISVARKLGCSIFLLPEDIIEVNQKMMLILAASIMYWSLQQQSDTESTVSEDATDDGDANSVAGEISNLSIDGASESSPTVQDQELLTKAEKDEDEVDGENNKDA >fgenesh2_kg.7__3065__AT5G35735.1 pep chromosome:v.1.0:7:18600591:18604071:-1 gene:fgenesh2_kg.7__3065__AT5G35735.1 transcript:fgenesh2_kg.7__3065__AT5G35735.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7MHU9] MDRTKSPKLALFAVLATLLVLTVNGQSVCNTHRFTNNLAFADCSDLSALGSFLHWTYNESNGTVSIAYRHPRTSSSSWVAWGLNPSSTQMVGTQALVAFTNSSGQFQAYTSSVSSYGTQLQRSSLSFGVSGVSATLVNGEATIFATLELSPNLITANQLWQVGPVVNGVPASHQTSGDNMRSSGRIDFRTGQASAGGGGSGDRLKKRNTHGVLNAVSWGVLMPMGAMMARYMKVFADPTWFYLHIAFQVSGYVIGVAGWATGIKLGNDSPGTSYSTHRNLGIALFTFATLQVFALLLRPKPDHKYRTYWNVYHHTVGYTTIILSIINIFKGFDILDPADKWRWAYIGILIFLGACILILEPLTWFIVLRRKSRGGNTVAAPTSNKYSNGVNGTTTTGPHHQDA >fgenesh2_kg.7__3066__AT5G35750.1 pep chromosome:v.1.0:7:18636117:18641259:-1 gene:fgenesh2_kg.7__3066__AT5G35750.1 transcript:fgenesh2_kg.7__3066__AT5G35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITCELSNLTSKKAKESRSGKKWLKKPLLFLILCGSLVIVLVVFSWLGRSQKEETSSCNGEAKVLYRHQNVTRSEIHDLVSLFSDSDQVLSFECSKESRPGMWENYGITCSLNMRSEKQETRWIPWNLNLSGLAHSISSTSCICDNLEASLQQPEKSENLEEELEQSLSTCLRNAWWWCLILGVLVCHKIYVFHSKAPAKRKEKVHLQEPLAQKKQQQRAQTSSKGAGKWRKNILLLGILGGVSFSVWWFWNTNEEIIMKRRETLANMCDERARVLQDQFNVSLNHVHALSILVSTFHHGKTPSAIDQKTFEEYTERTNFERPLTSGVAYALKVPHSEREKFEKEHGWTIKKMETEDQTVVQDCVPENFDPAPIQAEYAPVIFAQETVSHIVSVDMMSGEEDRENILRARASGKGVLTSPFKLLKSNNLGVVLTFAVYDTSLPPDATEEQRVGATIGYLGASYDMPSLVEKLLHQLASKQTIAVDVYDTTNTSGLIKMYGSEIGDISEQHISSLDFGDPSRNHEMHCRFKHKLPIPWTAITTSILVLVITFLVGYIFNEAINRIATVEEDCQKMRELKARAEAADVAKSQFLATVSHEIRTPMNGVLGMLKMLMDTDLDAKQMDYAQTAHGSGKDLTSLINEVLDQAKIESGRLELENVPFDMRFILDNVSSLLSGKANEKGIELAVYVSSQVPDVVVGDPSRFRQIITNLVGNSIKFTQERGHIFISVHLAAEVREPLNIEDAVLKQRLALGCSESGETVSGFPAVNAWGSWKNFKTCYSTENHNSDKIKLLVTVEDTGVGIPVDAQGRIFTPFMQADSSTSRTYGGTGIGLSISKRLVELMQGEMGFVSEPGIGSTFSFTVVFGKAQTNTSITKLERFDLAIQEFKGLRALVIDNRNIRAEVTSYHLQRLGISADIVSSLRMACTSVSKLENLAMVLIDKDAWNKKDFSVLDELFTRSKVTFTRVPKIILLATSATLTERSEMKSSGLIDEVVIKPLRMSVLICCLQETLVNGKKRQPNRKRRNLGHLLREKQILVVDDNLVNRRVAEGALKKYGAIVTCVESGKAALAMLKPPHNFDACFMDLQMPEMDGFEATRRVRDLEREINKKIASGEVSAEMFCKFSSWHVPILAMTADVIQATHEECMKCGMDGYVSKPFEEEVLYTAVARFFEPC >fgenesh2_kg.7__3067__AT5G35753.1 pep chromosome:v.1.0:7:18646265:18648243:-1 gene:fgenesh2_kg.7__3067__AT5G35753.1 transcript:fgenesh2_kg.7__3067__AT5G35753.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHV4] RLDTFWTVCTSCKVQYEYLRKHVNKRLSCKNCRGAFISVDTRSAPVSASLQYAPSSHTTCNGYGAHGYDAVSRMPTNSTYFLGQYLAHGYEYVTNEGRRKRNVGLGSSGNGFVENITKSNVESKATNLDAKIEHEFKHPGQSYGSMRRWSSATGLDTQKLLINKAKIDIKQRLEIMNVTPLFQEYGGACGENSTVKRAWTGVVLGWVTFWKVIVGTVLASEAAAAATEDVTPLDEVSAPYKVGDDISRIEQNVSSGHPPTPTSMTLIKTDQRSALRLGKYGQFKMKMMVCCAYIAWSGNSKTDIEFGTMKWVQYGFTKSCGHFRIRNTDIIDYVNIFSHLLKGKKTGSDIWDVYKNWSPNWNNSTLDEVRHQYEMVEILDEYSEQFGVCIAPLVKVEGYKTVYCRRDTEESKKWIQGERCCGFRIQCHLGFLKKKLVVCPETIGTWTQLLYQKSCFTTLLP >fgenesh2_kg.7__3069__AT5G35790.1 pep chromosome:v.1.0:7:18758314:18761231:-1 gene:fgenesh2_kg.7__3069__AT5G35790.1 transcript:fgenesh2_kg.7__3069__AT5G35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7MHW1] MATHSMIIPSSSSSSSSALAAAATSPFKETLPLFGRSLTFQRKSCFSQVRLRFFAEKHSQLESSNGCATNFASLQDSEDHLTEEHVTKGESTLSITVVGASGDLAKKKIFPALFALFYEGCLPQDFSVFGYARSKLTHEELRDMISSTLTCRIDQRENCADKMDQFLKRCFYHSGQYNSEEDFAELNTKLKEKEVGKLANRLYYLSIPPNIFVDVVRCASLRASSENGWTRVIVEKPFGRDSESSGELTRCLKQYLTEEQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDQYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRSEKVKVLRSMKPLLLENVVVGQYKGHNKGGKTYPGYTDDPTVPNHSLTPTFAAAAMFINNARWDGVPFLMKAGKALHTRGAEIRVQFRHVPGNLYKKNFATNLDNATNELVIRVQPDEGIYLRINNKVPGLGMRLDRSDLNLLYRSRYPREIPDAYERLLLDAIEGERRLFIRSDELDAAWDLFTPALKELEEKKIIPELYPYGSRGPVGAHYLASKYNVRWGDLGEA >fgenesh2_kg.7__306__AT4G37445.1 pep chromosome:v.1.0:7:1299551:1300405:1 gene:fgenesh2_kg.7__306__AT4G37445.1 transcript:fgenesh2_kg.7__306__AT4G37445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSMGFLGKGVPPTQMMNMVMGSLYKQFTQKAINSFDDFHVAVLDIFNNFNSALPGRHFDFPTPDQIKACFARWKEAKDEEEKKKLFIEFMSKSVKPSKLDDVTMITGIVSPPAAMAAKRAGENVPQLKLIKLIPDVIFVPTVTILAIVSAKLSRRMYLK >fgenesh2_kg.7__3070__AT5G35840.1 pep chromosome:v.1.0:7:18776240:18780142:1 gene:fgenesh2_kg.7__3070__AT5G35840.1 transcript:fgenesh2_kg.7__3070__AT5G35840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome [Source:UniProtKB/TrEMBL;Acc:D7MHW5] MSSNTSRSCSTRSRKNSRVSSQVLVDAKLHTNFEESERLFDYSASINLNMPSSSSCEIPSSAVSTYLQKIQRGMLIQPFGCLIVVDEKNLKVIAFSENTQEMLGLTPHTVPSMEQREALSIGTDVKSLFQSPGCSALQKAVDFGEISILNPITLHCRSSSKPFYAILHRIEEGLVIDLEPVSPDEVPVTAAGALRSYKLAAISISRLQALPGGNMLLLCDALVKEVSELTGYDRVMVYKFHEDGHGEVIAECCREDLEPYLGLHYSATDIPQASRFLFMRNKVRMICDCSAVPVKVVQDKSLSQPISLSGSTLRAPHGCHAQYMSNMGSVASLVMSVTINGSDSDEMNRDLQTGRHLWGLVVCHHASPRFLPFPLRYACEFLTQVFGVQVNKEAESAVLLKEKRILQTQSVLCDMLFRNAPIGIVTQSPNIMDLVKCDGAALYYREKLWSLGVTPTETQIRDLIDWVLKSHGGNTGFTTESLMESGYPDASVLGESICGMAAVYITDKDFLFWFRSGTAKQIQWGGARHDPNDRDGKRMHPRSSFKAFMEIVRWKSMPWDDMEMDAINSLQLIIKGSLQDEHSKTVVNVPFVDNRVQKVDELCVIVNEMVRLIDTAAVPIFAVDASGVINGWNSKAAEVSGLAIEQAIGKPVSDLVEDDSAETVKNMLALALEGSEERGAAIRIRAFGPKRKSSPIELVVNTCCSRDRTNNVLGVCFIGQDVTGQKTLIENYSRVQGDYARIMWSPSTLIPPIFMTNENGLCSEWNNAMQKLSGIKREEVVNKLILGEVFTSDDYGCRLKDHDTLTKLRIGFNAVISGQKNIEKLLFGFYHRDGSFIEALLSANKRTDIEGKVTGVLCFLQVPSPELQYALQVQQVSEQVIACAFNKLAYLRQEVKNPEQAISFLQDLLHSSGLSEDQKKLLRTSVLCREQLAKVIRDSDIDGIEEGYVELDCSEFNLEESLEAVVKQVMELSIERKVQIICDYPQEVLSMRLYGDNLRLQQILSETLLSSIRFTPALKGLCVSFKVIARIEAIGKRMKRVELEFRIIHPAPGLPEDLVREMFQPLRKGTSREGLGLHITQKLVKLMERGTLRYLRESEMSAFVILTEFPLI >fgenesh2_kg.7__3071__AT5G35870.1 pep chromosome:v.1.0:7:18820556:18821143:1 gene:fgenesh2_kg.7__3071__AT5G35870.1 transcript:fgenesh2_kg.7__3071__AT5G35870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGVMSFISLLFKRPVSWLSFLALPLLHIVGLGFSQIFLTSAALFFSSFFFPLSIQKPNSKVQDHSQQDSLGQSEVPTTTDDIVKNNDEDDGTIPDEESLIELSLPSGHYIGHHYSTMIGKNDQRIMYNNIPDFRLIQLSAEYEDDNLIEIDISIGSIKCSRFQIKA >fgenesh2_kg.7__3073__AT5G43730.1 pep chromosome:v.1.0:7:18868464:18871345:1 gene:fgenesh2_kg.7__3073__AT5G43730.1 transcript:fgenesh2_kg.7__3073__AT5G43730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWLLLIPWNKIFTAACGCFLSDRNYIHLMESNLDALETTMDELKNRRDDLLGRVAIEEDKGLQRLAQVNGWLSRVKSVESQFNDMLAARSTETGRLCLFGYCSNDCVSSYNYGQKVMENLEEAEKKHIQTTIGLDTMVGNVWESLMNDEIRTLGLYGMGGVGKTTLLACINNKFVELESEFDVVIWVVVSKEFQFEGIQDQILGRIRLDKEWERETENKKASLINNNLKRKKFVLLLDDIWSKVDLYKIGVPPPTRENGSKIVFTRRSKEVCKYMKADEQIKVDCLSPVEAWELFRITIGDIILSSHQDIPALARIVAAKCHGLPLALNVIGETMACKDTIQEWRHAINVLNSPGHKFPERILRVLKFSYDSLKNGENQSCFLYCSLFPEDFEIEKEKLIEYWICEGYINTNRYEDGGTNQGYDIIGLLVRAHLLIECELTDKVKMHDVIREMALWINSDFGKQQETICVKSVPTAPTFQVSTLLLPYNKLVNISVGFFRVMPKLVVLDLSTNMSLIELPEEISNLCSLQYLNLSSTRIKSLPVGKLRKLIYLNLEFSYKLESLVGIAATLPNLQVLKLFYSHVCVDDRLMEELEHLEHMKILAVTIEDAMILERIQGMDRLASSIRSLCLINMSTPRVILSTTALGSLQQLAVRSCNISEITIDWESKERRELSPMEIHPSTRTCSSKFVSYINEKAKKKRQRTFPEEFWFLCSLFMI >fgenesh2_kg.7__3076__AT5G35940.1 pep chromosome:v.1.0:7:18916355:18918253:1 gene:fgenesh2_kg.7__3076__AT5G35940.1 transcript:fgenesh2_kg.7__3076__AT5G35940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin lectin family protein [Source:UniProtKB/TrEMBL;Acc:D7MHX7] MALMVKAQGGNGGKEWDDGFNYEGVTKIHVRAGYDGIQFIKFEYVKAGKTIVGPIHGVSGLGMTQTFEINLQKEYLVSVEGYYDKSTGVIQSIQFKTNEQTSDLMGFNKGTKFSLGTTRRKIIGFHGFADKKVYSLGAYFIRIPATKSAMQGGQTTGKGYDDAGQVECYEYGDKNGTEDKITVNYPYECITSVEGSYAFTNPYGCIVLRSLTFKTSNGRTLVIGTVTGTKFSLQSEGNAIVGFHGRVGSCVDSIGAYYAPFFPSPLPTEKLEGQGGDGGDSWDDGAFLNVKKVYVGQGSNGIVAVKFEYENDASEVVFGDEHGKTTLLGYEDFKLDYPSEYIISVEGCHDRIMGAETGVITMLRFKTNNRTSHPFGLEAGVNFVLQKEGHKITGFHGKSSTMLHQIGVHVVPITK >fgenesh2_kg.7__3078__AT5G35970.1 pep chromosome:v.1.0:7:18997928:19002466:-1 gene:fgenesh2_kg.7__3078__AT5G35970.1 transcript:fgenesh2_kg.7__3078__AT5G35970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSFLCRSIRIPSTTTATAAFTFTRPSVNYVCVVSDKRDGGVRVFPTRKVFCSGVNGGSSSSATKKKPRRKSNVSDKLRSKKVEKRNDNSESVSLSSEIVVEEVKEEDEKPKSDKELSLRALNQNGDPLGRRDLGRNVVKWISQAMKAMASDFANAEVQGEFSELRQNVGSGLTFVIQAQPYLNAIPMPLGSEVICLKACTHYPTLFDHFQRELRDVLQDLERKNIMENWKETESWKLLKEIANSAQHREVARKAAQAKPVQGGFGMSSEKVKAIQARIDEFTSHMSQLLQVERDTELEVTQEELDVIPTPDESSDSSKPIEFLVRHGDAPQELCDTICNLYAVSTSTGLGGMHLVLFKVGGNHRLPPTTLSPGDMVCIRVCDSRGAGATACTQGFVHNLGEDGCSIGVALESRHGDPTFSKLFGKSVRIDRIHGLADALTYERNCEALMLLQKNGLQKKNPSISVVATLFGDEEDITWLEQNDYVDWSEAELSDEPVSKLFDSSQRRAIALGVNKKRPVMIVQGPPGTGKTGMLKEVITLAVQQGERVLVTAPTNAAVDNMVEKLLHLGLNIVRVGNPARISSAVASKSLGEIVNSKLASFRAELERKKSDLRKDLRQCLRDDVLAAGIRQLLKQLGKTLKKKEKETVKEILSNAHVVFATNIGAADPLIRRLETFDLVVIDEAGQSIEPSCWIPILQGKRCILSGDPCQLAPVVLSRKALEGGLGVSLLERAASLHDGVLATKLTTQYRMNDVIAGWASKEMYGGWLKSAPSVASHLLIDSPFVKPTWITQCPLVLLDTRMPYGSLSMGCEERLDPAGTGSLYNEGEADIVVNHVISLIYAGVSPMAIAVQSPYVAQVQLLRERLDDFPVADGVEVATIDSFQGREADAVIISMVRSNNLGAVGFLGDSRRMNVAITRARKHVAVVCDSSTICHNTFLARLLRHIRYFGRVKHADPGSLGGSGLGLDPMLPYLG >fgenesh2_kg.7__3080__AT5G35980.1 pep chromosome:v.1.0:7:19023265:19031446:1 gene:fgenesh2_kg.7__3080__AT5G35980.1 transcript:fgenesh2_kg.7__3080__AT5G35980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MHY5] MDDIDSSNGAAAPRALEMGSIGVSTPWKPIQLVFKRYLPQKGSDSKVRVAVKKPVVVRLTRDLVETYKICDPQFKYRGELNPKRYLTTPSVGVHNDGFDNVNFDLILAVNDDFCSSDSRQRYIVKDLLGHGTFGQVAKCWVPETNSFVAVKVIKNQLAYYQQALVEVSILTTLNKKYDPEDKNHIVRIYDYFLYHGHLCICFELLDMNLYELIKINQFRGLSLSIVKLFSKQILLGLALLKDAGIIHCDLKPENILLCASVKPTEIKIIDFGSACTEDKTVYSYIQSRYYRSPEVLLGYQYTTAIDMWSFGCIVAELFLGLPLFPGGSEFDILRRMIEILGKQPPDYVLKEAKNTNKFFKCVGSVHNLGNGGTNGGFKSAYMALTEEEFEAREKKKPEIGKEYFNHKNLEEIVKGYPYKINLPEDDVVKETQIRLALIDFLRGLVEFDPAKRWSPFQAAKHPFITGEPFTCPYNPAPETPRVHVTQNIKVDHHPGGGHWFAAGLSPNVSGRTRIPMHNSPHFQMIPYSHANSYGSIGSYGSYNDGNIQESSYGSYGGTGNMFAYYSPVNHPGLYMQNNSGVSMLGTSPDARRRVMQYPHGYGPNGLGTSPSAGNFAPLPLGTSPSQFTPNTNNQFLAGSPGHHGPTSPVRNSSHGSPLGKMAAFSQINRRMSAGYSGGSQSQDPSLSQAQGHGMDNFYQNEGYSGQFSGSPSRRQLDSGGKNRKQTQGGTTLSTGYSTHNNSNSSLRSNMSNPSSTAHHLENPDTALSVPDPGDWDPNYSDDLLLEEDSADESSLANAFSRGMQLGSTDASSYSRRFNSNASTSSSNPTTQRRYAPNQGFSQVETGSPPSNDPHARFGQHIPGSQFIPHVSQNSPSRLGQQPPQRFNHGRPNPGRSMDRNHINAQLPPSNTNSGGQQRSPRSSSYANGVPWGRRTNNHVPNVPSTSHGRVDYGSIA >fgenesh2_kg.7__3081__AT4G38640.1 pep chromosome:v.1.0:7:19127862:19131982:1 gene:fgenesh2_kg.7__3081__AT4G38640.1 transcript:fgenesh2_kg.7__3081__AT4G38640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHY9] LILSVPLLSKPSTSDPLSFFRSIILAPELSRTSLSYLNFSSIFSYSPLLVSESSPFSLEIAITGAPLPSPTISPLAPASKILPLRNFRMGTTRLLRVSCTVWFLPSCFCSNTTLSRLFMLVYLSLSFSPSFFNVYWFVACTLSSSCSDALPLAYRILVLVFVFLIIGIVVWIIVANWHKIELTIQIISVASDALSKNLKLFVVLPLLILGLVVYYAPIVVFLVFARFNGKFVPRELDGHYFCEWKEDSWVPACLLCACHFNDDLVSCCNGGNARVCDKWNYCSVVFLQGRLNVSKSVVGLCQIMNAFGQSFGTICVSGLLICIVRVVRAIVDNAREENPQGIVNMVLLCCANALLGALDNLNKFTIEFAAITGEAYCTSAKMTYELLRRNLLSAEFVETVSTRILTGIVFVLSAAYAVATWGVLRGVSNLGIDSYVVAILAWLLLIEDVIDTIYVCYAIDRDKGDIC >fgenesh2_kg.7__3084__AT5G36100.2 pep chromosome:v.1.0:7:19254238:19255255:-1 gene:fgenesh2_kg.7__3084__AT5G36100.2 transcript:fgenesh2_kg.7__3084__AT5G36100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MHZ7] MEEGTRVDGKMSWIWRKGVSVGKKVLAACFLVFSAPFLVPALVVASTIALISSLPYCFFLASYVCTEKLMRKLLPANAFSGRSDHKMMLLPNKIGDGDIYDEGISRVSISEPILVHIEEERTIAIAYREDEDMTKELKSWLESITDEGKNNQSLDRGV >fgenesh2_kg.7__3085__AT5G36110.1 pep chromosome:v.1.0:7:19279391:19281522:1 gene:fgenesh2_kg.7__3085__AT5G36110.1 transcript:fgenesh2_kg.7__3085__AT5G36110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP716A1 [Source:UniProtKB/TrEMBL;Acc:D7MHZ9] MYIMTIIFLFLSSILISLLLLLRKHLSNFSYPNLPPGKTGFPLIGESFSFLSAGRQGHPEKFITDRVRRFSSSSSSCVFKTHLFGSPTAVVTGASGNKFLFTNENKLVVSWWPDSVNKIFPSSMQTSSKEEAKKLRMLLSQFMKPEALRRYVGVMDEIAQRHFETEWANHDQLIVFPLTKKFTFSIACRSFLSMDDPARVRQLEEQFNTVAVGIFSIPIDLPGTRFNRAIKASRLLRKEVSAIVRQRKEELKSGKALEEHDILSHMLMNIGETKDEDLADKIIGLLIGGHDTASIVCTFVVNYLAEFSHVYQRVLQEQKEILNEKKEKEGLRWEDIEKMRYSWNVACEVMRIVPPLPGTFREAIDHISFKGFYIPKGWKLYWSATATHMNPDYFPEPERFEPKRFEGSGPKAYTYVPFGGGPRMCPGREYARLEILIFMHNLVKRFKWEKVFPKENKIVVDPLPIPGKGLPIRIFPQS >fgenesh2_kg.7__3087__AT5G36120.1 pep chromosome:v.1.0:7:19282134:19282872:-1 gene:fgenesh2_kg.7__3087__AT5G36120.1 transcript:fgenesh2_kg.7__3087__AT5G36120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTSSFVSFSPALLHAKKSRRSFPNFRNRSTSLPIVSASLSHIEEADTTTNLYPNIRQTNSISDSLRNISLADLDPGTAKLAIGILGPALSAFGFLFIVRIVMSWYPKLPVDKFPYVLAYAPTEPILVQTRKVIPPLAGVDVTPVVWFGLVSFLSEILVGPQGLLVLVSQQQVS >fgenesh2_kg.7__3088__AT5G36160.1 pep chromosome:v.1.0:7:19284107:19286189:-1 gene:fgenesh2_kg.7__3088__AT5G36160.1 transcript:fgenesh2_kg.7__3088__AT5G36160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGAKRWNFGANEVVERSNSLTIRDYLNTLISSLDGGDVRPVIPLGHGDPSPFPSFRTDQAAVEAICDAVRSTKFNNYSSSSGVPVARKAVAEYLSQDLSYQISPNDVHITAGCVQAIEILISALATPGANILLPRPTYPMYDSRAAFCQLEVRYFDLLPENGWDVDLDGVEALVDEKTVAIVVINPCNPCGNVFSRQHLQKIAETACKLGILLIADEVYDHFAFGDKPFVSMAEFAEIVPVIVLGAISKRWFVPGWRLGWMVTLDPHGIMKDSGFVQTLIHVVNLSTEPATFIQGAMPDIIENTKEEFFASKLEMVRKCAEICYEEIMKIPCITCPCKPEGSMFTMVKLNLSLLEGINDDMEFCSKLAKEESMIILPGRAVGLKNWLRITFAVELELLIEGFSRLKNFTERHSKKQP >fgenesh2_kg.7__308__AT4G37440.2 pep chromosome:v.1.0:7:1300696:1303719:-1 gene:fgenesh2_kg.7__308__AT4G37440.2 transcript:fgenesh2_kg.7__308__AT4G37440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSINKEIEALPKEMPCTSNYEDHSFHNNVEDLTDDRLCGGGDADADASIKKEVEDLDNDEVDILGCNGDNEIQVSECDDGTDGYSSSFDGTVSEHGSDETALNDQEVDSMVCNGTSPPLWVRKRKLTDHWRRSVQPIAWRCKWIELKIKEIQNQAQLYDKEVEEFCQAKKLELESVKSEELGIKALPPLPCYTQKTQLRKRKKRKRVEETADVQSYASNHNLFSYYDCRKSLADIALNDNSRNLDKRNKSAKDEPAFSEELPPLEFREGDAYLEQILLKIEAAKSEARNLKNRVDKVLSENPMTFSLANTVNLLGAAGAAGVYTSSEQKKPLLAIKSEDEKSIISEEKPVKSASVSSHHDTPEDDETTDILLSEILASRRREGKAIVPDKNLQKTEQTSVEEGPSRPVRKRTPRNREVMTKEETSPKRRRVSREKPKSNAVMASR >fgenesh2_kg.7__3091__AT5G36170.2 pep chromosome:v.1.0:7:19286698:19288944:-1 gene:fgenesh2_kg.7__3091__AT5G36170.2 transcript:fgenesh2_kg.7__3091__AT5G36170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCF109 [Source:UniProtKB/TrEMBL;Acc:D7MI02] MVLTVLGPLAGRSFAITGKHKLLLLRPTNLPLLRLSLPLPPPQFSSSSSFVSVVFAAQESNLSVSNENETSEWLMQDFYTLRKDVEIASARVEEIRASAGLEQLEQEIANLESKATDTSFWDDRTKAQETLSALNDLKDRMRLLSEFKTMVEDAETIVKLTEEMDSTDVSLLEEAMGIIKELNKSLDRFELTQLLSGPYDKEGAVVYITAGAGGTDAQDWADMLLRMYMRWGEKQRYKTKVVEMSNGEEAGIKSATLEIEGRYAYGYISGEKGTHRIVRQSPFNSKGLRQTSFSGVEVMPLLPEEAVGIEIPEEDLDISFTRAGGKGGQNVNKVETAVRITHIPTGVAVRCTEERSQLANKTRALIRLKAKLLVIAEEQRATEIKEIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTGHETSDITSVMDGDLDPFIKAYLKHKYTLAMASAVTN >fgenesh2_kg.7__3095__AT5G36250.1 pep chromosome:v.1.0:7:19340526:19343122:1 gene:fgenesh2_kg.7__3095__AT5G36250.1 transcript:fgenesh2_kg.7__3095__AT5G36250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSSGGGSRRSLHGSPHVPGPGRRKRPPKRRPGSCSSSFDNTDEPLLHRIPGRMFLNGSTDTASLFSQQGKKGPNQDAMIVWENFGSVADTVFCGVFDGHGPYGHIVAKRVRDLLPLKLGSHLESYVSPEEVLKEISLNTGDRKISEDLVHISANGESRVYNKDYVKDQDMIQNLIGSIVKAYTFMDKELKMQVDVDCFCSGTTAVTMVKQGQHLVIGNIGDSRAVLGMRNKDNKLVPFQLTEDLKPDVPAEAERIKRCRGRIFALRDEPGVARLWLPNHNSPGLAMARAFGDFCLKDFGLISVPDVSYRRLSEKDEFVVLATDGIWDVLTNEEVVEIVAKAPTRSSAGRALVEAAVRNWRWKFPTSKVDDCAVVCLFLDSEPNRLSTASFSKEKHINNGLTEPEPDTASSSTPDSGTESPELKGVNRIDTLVNLPVYVPTKE >fgenesh2_kg.7__3099__AT5G36290.1 pep chromosome:v.1.0:7:19386369:19388781:1 gene:fgenesh2_kg.7__3099__AT5G36290.1 transcript:fgenesh2_kg.7__3099__AT5G36290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISNPTRLVLVATTIFFFIFVSSVSAQDSVVDNNEKQESEGSGKELGRRGMIGTERIGVDTVVDNIGALGLNLDLDSTGPSVFDALFSSFSMILVTEIGDETFIIAALMAMRHPKATVLSGALSALFVMTILSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSTDSKSNQKKEMEEVEEKLESGQGKTPFRRLFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNAIGVAIGASIGHTVCTSLAVVGGSMLASRISQRTVATVGGLLFLGFSVSSYFYPPL >fgenesh2_kg.7__309__AT4G37430.1 pep chromosome:v.1.0:7:1308035:1309772:-1 gene:fgenesh2_kg.7__309__AT4G37430.1 transcript:fgenesh2_kg.7__309__AT4G37430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP91A2 [Source:UniProtKB/TrEMBL;Acc:D7MAM6] MLYFILLPLLFLVLTYKFLYSKTQRFKLPPGPPSRPFVGHLHLMKPPIHRLLQRYSNQYGPIFSLRFGSRRVVVITSPSLAQESFTGQNDIVLSSRPLQLTAKYVAYNHTTVGTAPYGDHWRNLRRICSQEILSSHRLVNFQHIRKDEILRMLTRLSRYTQTSNGSNHFTHIELEPLLSDLTFNNIVRMVTGKIYYGDDVNNKEEAELFKKLVYDIAMYSGANHSADYLPILKIFGNKFEKEVKAIGKSMDDILQRLLDECRRDQEGNTMVNHLISLQQQEPEYYTDVIIKGLMMSMMLAGTETSAVTLEWAMSNLLRNPQVLEKARSEIDEKIGKDRLIDEQDIAVLPFLQNVVSETFRLFPVAPFLIPRSPTEDMKIGGYDVPRGTIVMVNAWAIHRDPELWEEPEKFNPDRYNEGCGSDYYVYKLMPFGNGRRTCPGAGLGQRIVTLALGSLIQCFEWENVKGEEMDMSESTGLGMRKMDPLRAMCRPRPIMSKLLL >fgenesh2_kg.7__3105__AT3G15340.1 pep chromosome:v.1.0:7:19495722:19498217:-1 gene:fgenesh2_kg.7__3105__AT3G15340.1 transcript:fgenesh2_kg.7__3105__AT3G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQIILCDGFEVVPPPEPPVMNELILSESDQSVSDYEITTDEEDTVFSGDSSPEIGADEEYWHGDKPFSFYFVKQPVFDDPEIKAKIDEANEEIFHCNNLRIDVVNAKKSEKVEISSLFAQMKSLVPKSEQYRVIFEEKKREFDTLLEALRNLRCSTSDQLCFSKEELDHLIYIAHYQIAYGSIGLEEDDWELKETEKVDGIILSEDSLAEKEASINRVKSMVVELNEVKNEVDAITWKINHLNDKMGKSQNNIRGLDVEMAHILEKRDRSYERIKMLRIQRDKGNAAFFHSLLVMSKAKELAASGNVTELEVFANSEVDRFMTHWNDDKAFREDYVKRISFSLGERELTEDGRIKDPEVQIFWERQVMVKTMKGSENVQKTNREDSSSNLSQDGMVITHKRKKETKKKAMDFDMSSAEESDVIDMEYPVYEKPKKEEEVVDEETLKEREREENLEKARLAMERKRKLQEKAAAKAAIRAQKEAEKKLKAIILSGFHFFDECEKKAKKKAASKSDQSRELINEEKVRTWKERSLFKWREVSGTNTAEEKHQKERSLFPKQRSFRYKHRGRGTEALPKAILNRRKARKYWVWGLSSAALAVALFLVVLLLR >fgenesh2_kg.7__3107__AT1G14790.1 pep chromosome:v.1.0:7:19526209:19529968:-1 gene:fgenesh2_kg.7__3107__AT1G14790.1 transcript:fgenesh2_kg.7__3107__AT1G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:UniProtKB/TrEMBL;Acc:D7MI29] MGKTIQVFGFPNGVSAEEVKKFLERLTGSGTVYAIKVRQPKKGGPRVFAIVQFTNERYARQIITGAAERSLYYGRSYLNAFEVEQDIVPKPRASLHNISGLKMYFGCQVSTQKFLTLWSAQDVCVSFGIGMRKLHFSFSWYQKDYRLELSYENIWQIDLHSPQGRSSKFLVIQKKKPINLLFGMMDFYSDGSDEQWIRTTDFTSSSCIGQSTAFCLELPVHLNVPDFRENFANYAEHRASPFLIESGSSYSSNANKLVPVVDPPPGFDLPFEILFKLNTLVQNACLPGPALDLDVYQLLNPKKIDRALIDHCLEKLFYLGESCYEPARWLRKQYKELSSKGKLPLSPTISLDDGLVYMYRVQVTPARVYFSGPEVNVSNRVLRHYSKYINNFLRVSFVDEDLEKVRSMDLSPRSSTQRRTKLYDRIYSVLRDGIVIGDKKFEFLAFSSSQLRENSAWMFAPIDGLTSAHIRAWMGDFDHIRNVAKYAARLGQSFSSSRETLNVRSDEIEVIPDVEIISLGTRYVFSDGIGKISAEFARRVAKKCGLTEFSPSAFQIRYGGYKGVVAVDPNSSKKLSLRKSMSKFESENTKLDVLAWSKYQPCYMNRQLITLLSTLGIKDSVFEKKQREVVDRLDAILTDPLEAHEALGLMAPGENTNILKALILCGYKPDAEPFLSMMLQNFRASKLLELRTKTRIFISGGRSMMGCLDETRTLEYGQVVVQYSDPMRPGRRFIITGPVVVAKNPCLHPGDVRVLEAVNVPALNHMVDCVVFPQKGLRPHPNECSGSDLDGDIYFVCWDQELIPPRTSEPMDYTPEPAQILDHDVTIEEVEEYFANYIVNDSLGIIANAHTAFADKEPLKAFSDPCIELARKFSVAVDFPKTGVAAEIPQHLHVKEYPDFMEKPDKPTYESKNVIGKLFREVKERAPPLISIKSFTLDVALKSYDKDMEVDGFEEYVDEAFHYKANYDFKLGNLMDYYGIKTEAEILSGGIMRMSKSFTKRRDAESIGRAVRALRKETLSLFNATEEENESAKASAWYHVTYHSSYWGLYNEGLNRDHFLSFAWCVYDKLVRIKKTNAGRRQRQETLERLGHVLRLS >fgenesh2_kg.7__3108__AT5G36790.2 pep chromosome:v.1.0:7:19555104:19563996:-1 gene:fgenesh2_kg.7__3108__AT5G36790.2 transcript:fgenesh2_kg.7__3108__AT5G36790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSVASAVSPVSSSSLLPNSKPIFCLKTLSGYRSSSFCGGSFRKINHKPLRMTSSNMTPRAMATQQLENADQLIDSVETFIFDCDGQIWKGDKLIEGVPETLDMLRAKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLQSINFPKDKKVYVIGEEGILKELELAGFQYLGGPDDGKRQIELKPGFLMEHEHDVGAVVVGFDRYFNYYKIQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGALVGSTQREPLVVGKPSTFMMDYLADKFGIQKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSISMLESPENKIQPDFYTSKISDFLSPKAATV >fgenesh2_kg.7__3118__AT5G36890.1 pep chromosome:v.1.0:7:19607781:19611940:-1 gene:fgenesh2_kg.7__3118__AT5G36890.1 transcript:fgenesh2_kg.7__3118__AT5G36890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase [Source:UniProtKB/TrEMBL;Acc:D7MI42] MAQKLNLTNLAVSPVTHRSNFPSTFTFGVATSAYQIEGGWNEGKKGPSIWDKFTHLEGKILDGSNGDVAVDHYHRYKEDVELIGQLGFGAYRFSISWSRIFPDGLGTEVNEEGIAFYNNLINTLLEKGIQPYVTLYHWDLPSHLQDAIGGWTNRKIVDYFGLYADACFANFGDRVKHWITLNEPLQTSVNGHCIGIFAPGRNEKPLIEPYLVSHHQVLAHATAVSIYRSKYKESQGGQIGLSVDCEWAEPNSEKPEDKVAADRRIDFQLGWFLDPLFFGDYPASMRQKLGDNLPRFTPEEKEFMLQNSWDFLGLNHYTTRLISHVSNKEAESNFYQAQELERIVEQENGELIGERAASDWLYVVPWGIRKTLNYISKKYNHPPIFITENGMDDEDDGSASIHDMLDDKRRVAYFKSYLANVSQAIKDGVDIKGYFAWSLVDNFEWAQGYTKRFGLVYVDYKNGLTRHPKSSAYWFMKFLKGDEENKGKKE >fgenesh2_kg.7__3120__AT5G36950.1 pep chromosome:v.1.0:7:19687372:19691028:1 gene:fgenesh2_kg.7__3120__AT5G36950.1 transcript:fgenesh2_kg.7__3120__AT5G36950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEGP10 [Source:UniProtKB/TrEMBL;Acc:D7MI53] MLLRSFRTVELLRRISTSSSVSGYRTSSSFLQRCNGFQSYLPHRVTTESPFPSHISRFCYSLTVNSQNENQHTTLSAPVFSRRVNNRKISRRRKAGKSPSISPAADAVDLALDSVVKIFTVSTSPSYFLPWQNKSQRESMGSGFVISGRKIITNAHVVADHSFVLVRKHGSSIKHRAEVQAVGHECDLAILVVDSEVFWEGMNSLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGATQLMAIQIDAAINPGNSGGPAIMGNKVAGVAFQNLSGAENIGYIIPTPVIKHFINGVEECGKYIGFCSMGVSCQPMENGELRSGFQMSSEMTGVLVSKINPLSDAHKLLKKDDVILAFDGVPIANDGTVPFRNRERITFDHLVSMKKPDETALVKVLREGKEHEFSITLRPLQPLVPVHQFDQLPSYYIFAGFVFVPLTQPYLHEYGEDWYNTSPRTLCQRALKDLPKKAGQQLVIVSQVLMDDINTGYERLAELQVNKVNGVEVDNLRHLCQLIENCNTEKLRIDLDDESRVIVLNYQSAKIATSLILKRHRIASAISSDLLVEQNPETELASCSAG >fgenesh2_kg.7__3122__AT5G37260.1 pep chromosome:v.1.0:7:19824325:19826114:1 gene:fgenesh2_kg.7__3122__AT5G37260.1 transcript:fgenesh2_kg.7__3122__AT5G37260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MI69] MAMQERCESLCDELISSTDAFYLKTRKPYTITKQREKWTEAEHEKFVEALKLYGRAWRRIEEHVGTKTAVQIRSHAQKFFTKVARDFGVSSESIEIPPPRPKRKPMHPYPRKLVIPDAKEMAYVELTGSKMVQDEDNRSPTSVLSAHGSDGLGSIGSNSPNSSSAELSSHTEESLSPEAETKQSLKLFGKTFIVGDYNSSTSSDDSEDLKKKLDSETQSVQCSSCTSENAETEVTRLVVSEFKRSERSAFSQLKSSVTEMNNMRGFMPYKKRVKVEENIDNVKMSYALW >fgenesh2_kg.7__3124__AT5G37180.1 pep chromosome:v.1.0:7:19838177:19842815:-1 gene:fgenesh2_kg.7__3124__AT5G37180.1 transcript:fgenesh2_kg.7__3124__AT5G37180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:D7MI73] MPSGSLGNGIPEAIGQNRGNIKRCLEKYIENGRRVMKLNELMDEMEIVINDVMQRRRVMEGDLGKILCFTQEAVVIPPNVAFAVRGNPGIWQYTKVNSSNLSVEALSSTQYFKLKELLFDENWANDENALEVDFGALDFTLPWLSLPSSIGNGVSFVSSKLGSRLNDNPQSLVDYLLSLEHQGEKLMMNETLNTARKLEMSLILADVFLSELPKDTPFQAFELRFKEWGFEKGWGESAGRVKETMRILSEILQAPDPHNIDRFFARIPRIFNVVIFSVHGYFGQTDVLGLPDTGGQVVYILDQVKALEDELLHRINSQGLNFKPQILVVTRLIPDAKNTKCNQELEPIFGTKHSNILRIPFVTESGILRRWVSRFDIYPYLERFTKDATTKILDILEGKPDLIIGNYTDGNLVASLMANTLGITQATIAHALEKTKYEDSDIKWKEFDPKYHFSSQFTADLISMNSADFIIASTYQEIAGSKERVGQYESHMSFTLPGLYRVVSGINVFDPRFNIAAPGADDTIYFPFTAQDRRFTKFYPSIEELLFSQNENDEHIGYLVDKKKPIIFSMARLDVVKNLTGLTEWYAKNKRLRDLVNLVIVGGFFDPSKSKDREEISEIKKMHSLIEKYQLKGQFRWIAAQTDRTRNGELYRCIADTRGAFVQPAHYEAFGLTVIEAMSCGLVTFATNQGGPAEIIVDGVSGFHIDPSNGEESSDKIADFFEKSGTDLDYWNMFSTEGLQRINECYTWKIYANKVINMGSTYSYWRYLNKDQKLAKQRYIHSFYNLQYRNLVKNIQILSDIPQPPPPPPKPLVKPSASKGSRRTQSRLSFRLFGA >fgenesh2_kg.7__3125__AT5G37160.1 pep chromosome:v.1.0:7:19902069:19906422:-1 gene:fgenesh2_kg.7__3125__AT5G37160.1 transcript:fgenesh2_kg.7__3125__AT5G37160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKEIRKLLENNEQTSLFARVCSWSIKDILNEDLYKEKLKTIPDRFSSVDEYFQCFVPHLLEETRTELFSSFISLSKAPVCRILSVETKIYEFSGRSSIKMFHDIKLMDYADDKSEKYEPKCGDIIALSPLSLTEERPRIDYLNPLLLGYVFSVFGDYKISVHFSRSISQSEKHSFRSGIFLMTLTTNTRIWNALHNEVADSTLIQSATEQCFSCGNDDDGSDSDSVLDRIRSAKLNSSQEAAIFGCLKTRNCNHKKSVKLIWGPPGAKTQDITTLLSALIQLKCKTVVCAPTNTAIVAVASRLLALSKETIVCAPTNSAIAEVVSRFSSLFYGTSILERTTYGMGNIVLSGNRERMGIKNNKVLLNVFFNDRVSKLGRLFLSTCGWKKRLESVIDFLENTEAKYEQHVHELEELHRILEEEKKEDEKKKETEKKKEEAENMVDLCTHLPKSFISSKDVKNMIAARQALHRVRDFLQENSSRDDFKKGGFRFNCFNKLISVDAIEALCLLPKCFGIFGLENYEDIRKFCLQNADIILCTASSVANMVPERIGSVDLLVVDEAAQLKECESVTALQLPGLRHALLIGDEYQLPAMVHSKECEKAKFGRSLFERLVLIGHNKHLLNVQYRMHPSISCFPNKEFYDGRITDASIVQERIYEKRFLQGKMFGSFSFINVGRGKEEFCDGHSPKNMVEVAVISEIISNLFKVSSLRNQKMSVGVISPYKGQVRAIQERIGDKYGSLSGQLFTLNVQSVDGFQGGEEDIIIISTVRSNVNGNVGFLSNHQRANVALTRARHCLWVIGNETTLALSGSIWAELISESRTRGCFYDAVDDKNLRDAMSDALLDDVSSSFGSFSIRNGYGRGNGW >fgenesh2_kg.7__312__AT4G37410.1 pep chromosome:v.1.0:7:1317695:1320336:-1 gene:fgenesh2_kg.7__312__AT4G37410.1 transcript:fgenesh2_kg.7__312__AT4G37410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP81F4 [Source:UniProtKB/TrEMBL;Acc:D7MAM8] MLNYVIILPLALFLLAYKFFFTSKRQRYHLPPSPSYSLPVLGHHLLIKPPVHRLFHRLSNIHGPIFYLRLGSRRAVVISSSSLARECFTGQNDVVVSNRPRFLTSKYIAYNYTTIATTSYGDHWRNLRRICSLEIVSSKRLANFLHIRKEEIQRMLTRLSRDARVGNEVELESVLYDLTFNNIVRMVTGKIYYGDDLFTFITTNSGARHPGEYLPFMKIFGGSFEKEVKAAAKVIDEMLQRLLDECKSDKDGNTMVNHLLSLQQDDPEYYTDIIIKGLMLGIMVASSETSALTIEWAMASLLNHPKILDKVKREMDEKIGQDRLIEESDIANLPYLQNVVSETLRLHPAAPVLVPRSTAEDIKIGGYDVPSDTMVMVNAWAIHRDPDLWTEPERFNPERFNGGQGEKDDVRMLIAFGSGRRICPGVGLAHKIVTLALGSLIQCFDWRKVNEQEIDMSEGPGMAMRMMVPLRAMCKTRPIMNKLPAHSKV >fgenesh2_kg.7__3130__AT5G37055.1 pep chromosome:v.1.0:7:19962760:19963628:1 gene:fgenesh2_kg.7__3130__AT5G37055.1 transcript:fgenesh2_kg.7__3130__AT5G37055.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MI83] MEEEMSNRRVSNRTRKVATKMAAALTSNDNRTQAAIARLEALENDNGAIEVVDMNDDDEASLDEDDDLGYVQKKQHKGSKRKTRQAKALEARRAPKSFLELLQEANLESLPAHVPTYLKAAVGPPSSSSRRHFCSVCGYIAGYNCCLCGMRFCSIRCQNIHKDTRCQKFVA >fgenesh2_kg.7__3131__AT5G37020.1 pep chromosome:v.1.0:7:19969093:19973479:-1 gene:fgenesh2_kg.7__3131__AT5G37020.1 transcript:fgenesh2_kg.7__3131__AT5G37020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:D7MI86] MKLSTSGLGQQGHEGEKCLNSELWHACAGPLVSLPSSGSRVVYFPQGHSEQVAATTNKEVDGHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPEEQKETFVPIELGIPSKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYTLQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVIFIRNEKNQLFLGIRHATRPQTIVPSSVLSSDSMHIGLLAAAAHASATNSCFTVFFHPRASQSEFVIQLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHAGTSSLADGRGDLGSGLTWLRGGGGEQQGLLPLNYPSVGLFPWMQQRLDLTQMGTDNNQQYQAMLAAGLQNIGGGDPLRQQFVQLQEPHQQYLQQSASHNPDLMLQQQQHQQARHLMHAQSQIMSENLPQQNMRQEVSNQPAGQQQLQQADQNAYLNAFKMQNGHLQQWQHSEMPSPSFMKSDFPDSSNKFATTASPASGDGSNLLNFSITGQSVLPEQLTTEGWSPKASNTFSEPLSLPQAYPGKSLALEPGNPSLFGVDPDSGLFLPSTVPRFASSSGDAEASPMSLTDSGFQNSLFGCMQDTHELLHGAGQINPSTQTKNFVKVYKSGSVGRSLDISRFSSYHELREELGKMFAIEGLLEDPLRSGWQLVFVDKENDILLLGDDPWESFVNNVWYIKILSPEDVQQMGDHGEGSGGSFPQNPTHL >fgenesh2_kg.7__3133__AT5G37010.1 pep chromosome:v.1.0:7:19992696:19994769:1 gene:fgenesh2_kg.7__3133__AT5G37010.1 transcript:fgenesh2_kg.7__3133__AT5G37010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCLSKNPPPASSSSPINGSCSVKSPDPNKSAIDKPLKLEAQEKKLTVEESKPKNEETEIQEENIKPRKEVFVIKHRRSHEKSSKTTTDPEDSSVSDVKSTGSNHNPVDVDAILIQCGRLSRSNSAAAKTRRYSGSKRSFDFDQNGGDADAEDGGEEEEAERRIHRQRQRGGESPRERRRRTPSRERDDSKSYRSGSRERGSGNGGGGSRRVSRSPGRRSEINPNCSGNSVNSSNNRPGKFVSVPATDKALGNNGDGSVKRITVKRNVGKAASPRSQSPARAASQPSPSKLSRKTEHSPYRRNPLGEIDPNSVAFPLSQGAGNTNCNKRMMNRDTDNQGLIKESGNFVAQKLNPVMMNTKTATQAPIRRTASPSRAIKEQQEAVEECKIVLSSGTELAKPQIVSRSRSLRKSRDFDFSPEALLSNNIDINNVNSNNATAGSTFPSYTALLLEDIQNFHQKSVNVNALSSTMSKACSIVEAVADLNSTTNQHQRTEVSFTSAAAKKADLMEPGFEKYVTVKRGGSSLEEMEEQESSGSNSFTGSSCVVQRQGYSSSSSWEPNSAESTDRVSARSNKQERDRSPLGVNFEKQEFDPLKKNGVGVGRKRVAVVDSSSSGKVLQATTTRVAAVSM >fgenesh2_kg.7__3139__AT5G22480.1 pep chromosome:v.1.0:7:20046548:20051352:-1 gene:fgenesh2_kg.7__3139__AT5G22480.1 transcript:fgenesh2_kg.7__3139__AT5G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (ZPR1-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7MI95] MDNGNDEQIDVGSVVEAVSADHSIGAPLYVVESMCMRCGENGTTRFLLTLIPHFRKVLISAFECQHCGERNNEVQFAGEIQPRGCSYHLEVSAGDVKIFDRQVVKSESATIKIPELDFEIPPEAQRGSLSTVEGILSRAADELSALQEERRKVDPKTAEAIDQFLSKLRACAKAETSFTFILDDPAGNSFIENPHAPSLDPSLTIKFYERTPEQQATLGYLTNPSQTGQSERSLETSSTQTTALPHGTIGATAGHRAIAQSNSTDISDNLFRYTAPEEVMTFPSTCGACAKLCETRMFVTKIPYFQEVIVMASTCDDCGYRNSELKPGGAIPEKGKKITLSVKNITDLSRDVIKSDTAGVKIPELDLELAGGTLGGMVTTVEGLVTQIRESLARVHGFTFGDSLEQSKINKWKEFGARLTKLLSLEQTWTLILDDELANSFISPLTDDIKDDHQLTFEEYERSWEQNEELGLNDIDTSSADAAYESAEPTKLP >fgenesh2_kg.7__3141__AT5G37350.1 pep chromosome:v.1.0:7:20051924:20054594:1 gene:fgenesh2_kg.7__3141__AT5G37350.1 transcript:fgenesh2_kg.7__3141__AT5G37350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase RIO1 [Source:UniProtKB/TrEMBL;Acc:D7MI96] MEEVVVVAEPPPKITTVVEYEEEIEEDDDGDDLSLSSDSDIAEALDWLDGKDDDELIGGGFSLHARRPNAHGGHGSRPNSSALQPISNKAQKLTSHVRASPLEGWEGRVKVGMSNSVTTAIRGSLRETEIGRSRNTDKADRATVEQALDPRTRMVLFRMLNRGVFNDVNGCISTGKEANVYHATKSDGSELAIKVYKTSVLEITVLDMATVVTIPRKMVKTWAEKEQRNLKRYWLYTCFIGRLHAAGIRCPAVILLRLHVLVMEFIGRDGWAAPRLKDAALSLDKLRECYLELIIQMRVLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPLALNFLREDCDHVSDFFKKHGVAVMTIPELFDFIVDPTISDENVDSYLEEVQLKVIERGEISVEDEIADSVFMKSYIPKSLDAVNNPEADVAKITSGQDTGDMLYQTITGLKDALPKVDEQQIEVNAEEEEEEEEDGSGEESEEENEGELGPEDKKAARKEHKKKVKEEKRESRKTKTPKSVKKRKKKVSKPHKTR >fgenesh2_kg.7__3143__AT5G37360.1 pep chromosome:v.1.0:7:20087628:20090829:-1 gene:fgenesh2_kg.7__3143__AT5G37360.1 transcript:fgenesh2_kg.7__3143__AT5G37360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLSLLQPLHSLSSSTLFFSQPSFHFSSSLKPHKSKRLNLSKSLTLRFALTESDSTKPLETEPTSKSLLLQLSKCFDLPSDYFQQLPNDLRLDLNDAAFDLSNGPVIDECGQELGEILLNLSRAWEQADASTSRSLVEKLPELESSLTDGAKSAFGKRLISAGKRFQGMGQYGKGELQKIAKGMITTGGVLSANTSSVSVSNESKSGTRMFKFGELQVAVSPQKAYAGAAIAFIYGILSWQISQGIQSIPENSLQYANDNALLIGKSLRGSLLALFYASTVLSGFTTVGLILLAKQLSSEKE >fgenesh2_kg.7__3149__AT5G37380.4 pep chromosome:v.1.0:7:20096287:20098784:-1 gene:fgenesh2_kg.7__3149__AT5G37380.4 transcript:fgenesh2_kg.7__3149__AT5G37380.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MIA6] MECNKDEAARAKEIAENKFKMKDIAGAKKFALKAQNLYPEIEGVSQMLATLDVYIAAENKVNEDVDWYGILNASPRDDDETLKRKYRKLALMLHPDKNKSIGAEGAFKHVSEAWKFLSDKEKRAAYDRRKSLHSVYQKVTVSSSNNGFCNFAKTTFTTNARTMTQRNNQPAQKNNPPAQKNNPPTQKNNLQKPVGNTQKTGQTEHQTTRPSSFAASASSDQSKSSTFWTVCRRCMMQYEYLGFYVNCNLRCPNCLQSYLAVEVPKPGISSRWSSFSRLKQNLDPKSAANHNTTSGLFNNSKWTFSRTSSAAHAASVVQQAYEKVKKEREQAKATARREKKNAKRKSTTDSSASGSSLKKRKVRGENDVGCSGGRKVTYCVTGETGKNMRKLEHETKERSDKFSPRRTQRNVSKEDVKSR >fgenesh2_kg.7__3151__AT5G37400.1 pep chromosome:v.1.0:7:20107546:20113615:1 gene:fgenesh2_kg.7__3151__AT5G37400.1 transcript:fgenesh2_kg.7__3151__AT5G37400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MIA9] MAMEESDSGETATAKFSNLTLKARAILATPSNEELAMIVEQLYMPEESDEYKSARALFNFCVSGFPNCLTLKLLMVYRFSSDEVFRLRSIFLISETLKAQRFELSLVALHEIKPLLISCLTMENAKKSDSKIVRIIVSLGLDWPLVADKVGVWEEMSDCILSLAINDPIRAFNVFIQLPSSLYGEFVYRFLQSFLDEVYKVLLHPEEDGVEDWILALKSAVKMGILLMDSEMRFDLTRDILHIVWKSAHDIVWHGIEEEFLLKGLKSLEIFLAEDAYQFKWNSDQCMFVAEFAFRISEIGTEAKEVAWKIYRMVTKLDKYVHNPAFELSSPSDDEDEYLGVDSHWDLEDILDALSPVEIMREVSLSKLDNRSREIAIMRLHELFCDHTAGKEEISVSEIREIQPLLISCLEEVGVPESTIKILGQVVFHVLQELSSYQEDNWFGLWDYIATECKTVFRKTVFIFQCLTMRLDDKEFVIHAINNLLPEIHRNLNPPRDLSEDNNCWVLAFAGAFCAAIHLIEISSHAQYLKEIAYKMIDSVREIVERGMELELVRRAFINMESIVEKQYDCYTTNDYRFVKGLVWRLYAIKGMSKETKSVLWRINVTLEKVQEVKELPKSELDWLNQPETLGN >fgenesh2_kg.7__3153__AT5G37450.1 pep chromosome:v.1.0:7:20288728:20293116:-1 gene:fgenesh2_kg.7__3153__AT5G37450.1 transcript:fgenesh2_kg.7__3153__AT5G37450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMMRVVGVILVLSSSCLSLLDAQEITHPTDVSALQYVHRKLKDPLNHLQDWKKTDPCASNWTGVICIPDPTDGFLHVKELLLSGNQLTGSLPQELGSLSNLRILQIDYNDISGKLPTSLANLKNLKHFHMNNNSITGQIPPEYSSLTSVLHFLMDNNKLTGNLPPELSQMPSLRILQLDGNNFDGTEIPSSYGSIPNLVKLSLRNCNLQGPIPDLSKAPVLYYLDISSNKLTGEIPKNKFSANITTINLYNNMLNGSIPTNFSGLPRLQRLQVQNNNLSGEIPVIWDNRSFKAEEKLILDLRNNMFSNVSSVLLNPPSNVTVKLNGNPVCANVNAGKLADLCGVSTLEVESPATSSENTTTGDCKRQSCPVSENYDYVIGAPVACFCAAPLGIELRLRSPSFSDFRPYKVSYMLDVASPKNLGINAYQISIESFAWQSGPRLSMNMKIFPEYSELNSKFNTTEVQRIVDFFATFSLDTDDSLGPYEIISINTGAYRDGNTYIFYSSLSGKVGIILGAIALFIVLSSVALLCLIKRSKQKRKTKEVDMEQEHPIPKSPINMESVKGYTLTELDSATSSFSDLSQIGRGGYGKVYKGHLPGGLVVAVKRAEQGSLQGQKEFFTEIELLSRLHHRNLVSLLGYCDQKGEQMLVYEYMPNGSLLDALSARFRQPLSLALRLGIALGSARGILYLHTEADPPIIHRDIKPSNILLDSKMNPKVADFGISKLIALDGGGVQRDHVTTIVKGTPGYVDPEYYLSHRLTEKSDVYSLGIVFLEILTGMRPISHGRNIVREVNEACEAGMMMSVIDRSMGQYSEECVKRFMELAIRCCQDNPEARPRMLEIVRELENIYEMIPKEEKPYSSPSVQSSTSGMSGFAGASARGSYTTFSEFTGNQLVSGVIPSIAPR >fgenesh2_kg.7__3156__AT5G37475.1 pep chromosome:v.1.0:7:20328492:20330885:-1 gene:fgenesh2_kg.7__3156__AT5G37475.1 transcript:fgenesh2_kg.7__3156__AT5G37475.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit J [Source:UniProtKB/TrEMBL;Acc:D7MIC8] MDDWEAEDFQPLPAKVELKSNWDDEDVDENDIKDSWEEEDVSAPPPVVKPASENAPKKAAVKAVEKKVKTVEAPKGSSREEPLDPIAEKLRMQRLVEEADYQATAELFGVKTEEKSVDMLIPKSESDFLDYAELISQRLVPFEKSFHYIGLLKAVMRLSVANMKAADVKDVASSITAIANEKLKAEKEAAAGKKKSGKKKQLHVDKPDDDLVGGPYDAMDDDDFM >fgenesh2_kg.7__3158__AT5G37490.1 pep chromosome:v.1.0:7:20390411:20392124:1 gene:fgenesh2_kg.7__3158__AT5G37490.1 transcript:fgenesh2_kg.7__3158__AT5G37490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7MID4] MGFLWRTRLRGANEKKITPVISWPESEPESEITIPPEFQCPISIDLMKDPVIISTGITYDRESIETWISSGNKTCPVTNTVLTTFDQIPNHTIRKMIQGWCVEKGSPLIQRIPTPRVPLMPCEVYEIRRKLSSATRRGDFEKCGEIIAKIKKLGDESEKNRKCVNENGVGLVLCDCFEKFSGDEKLTIMLKEILSLLMWMIPIGSEGISKLASASAFHCVAGLLKSTGDTVRQNAAFLMKEILSLDETRVHAFAVENGVAEALVKLIRDSVSSSATNSSLIAIYQMVLQKPEIASEFLEIGLVNLTVEMIVDAENSVCEKALAVLDAICETEKGREEVSKNELVMPLLVKKIPKVSESATRSSMSVILKLCKTENAFAVEEVVRLGAFQKVLLVLQVGYGEETKEKATELLKMMNTQMKLMSDCVDSLKEFKYIKKPF >fgenesh2_kg.7__315__AT1G59500.1 pep chromosome:v.1.0:7:1346607:1348654:-1 gene:fgenesh2_kg.7__315__AT1G59500.1 transcript:fgenesh2_kg.7__315__AT1G59500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSPLQSRMVSPTTSEKDVKALKFIEEMTRNPDSVQEKVLGEILSRNSNTEYLKRFDINGAIDRNTFKNKVPVVTYEDLKPEIQRISNGDRSPILSSHPITEFLTSSGTSAGERKLMPTIEEDLDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKSESKTSGGLPARPVLTSYYKSDHFRRRPYDPYNVYTSPNEAILCSDSSQSMYAQMLCGLLMRHEVLRLGAVFASGLLRAISFLQNNWKELARDISTGTLSSRIFDPTIKNRMSKILIKPDQELAEFLIGVCSQENWEGIITKIWPNTKYLDVIVTGAMAQYIPTLEYYSGGLPMACTMYASSESYFGINLKPMCKPSEVSYTIMPNMAYFEFLPHNHDGDGATEASLDETSLVELADVEVGKEYELVITTYAGLYRYRVGDILRVTGFHNSAPQFKFIRRKNVLLSIESDKTDEAELQKAVENASRLFAEQGTRVIEYTSYAETKTIPGHYVIYWELLGRDQSNALPSEEVMAKCCLEMEESLNSVYRQSRVADKSIGPLEIRLVQNGTFEELMDYAISRGASINQYKVPRCVSFTPIMELLDSRVVSAHFSPSLPHWSPERRR >fgenesh2_kg.7__3165__AT5G37540.1 pep chromosome:v.1.0:7:20447846:20449434:1 gene:fgenesh2_kg.7__3165__AT5G37540.1 transcript:fgenesh2_kg.7__3165__AT5G37540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7MID8] MSHISKPLFLKLLYIFFFFFCNSVSLSWSSSLSLHFPLTSLRLTPTTNSSSFKTSLLSRRNPSPSSSPYTFRSNFKYSMALILSLPIGTPSQSQELVLDTGSQLSWIQCHPKKIKKPLPPPTTSFDPSLSSSFSDLPCSHPLCKPRIPDFTLPTSCDSNRLCHYSYFYADGTFAEGNLVKEKFTFSNSQTTPPLILGCAKESTDVKGILGMNLGRLSFISQAKISKFSYCIPTRSNRPGLASTGSFYLGENPNSRGFKYVSLLTFPQSQRMPNLDPLAYTVPLLGIRIGQKRLNIPSSVFRPDAGGSGQTMVDSGSEFTHLVDVAYDKVKEEIVRLVGSRLKKGYVYGSTADMCFDGNHQMVIGRLIGDLVFEFGRGVEILVEKQRLLVNVGGGIHCVGIGRSSMLGAASNIIGNVHQQNLWVEFDVANRRVGFSKAECSRLSP >fgenesh2_kg.7__3166__AT5G37550.1 pep chromosome:v.1.0:7:20457063:20457917:-1 gene:fgenesh2_kg.7__3166__AT5G37550.1 transcript:fgenesh2_kg.7__3166__AT5G37550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MID9] MLPFANYYISTPIMSEKKNFTRSTNNRRKKRWTPTLFTGGGGGGRAGDDELATVKAAAWAWYQRHEGKPMMREFDFTTRATRIPRPSRYKLEASKNMTLSEKNVSKPHSNHLMRGDQETKFSSLLDPYEIKSISKRIDEGSLPTSVFRNGHGHHYDHDMLQKKLEYDSKKENGLVKKMSIRNLWKGMILMAPRTVCGRSDDVDLGAYRVGPRTEKVAPTSVNMRT >fgenesh2_kg.7__3171__AT5G37630.1 pep chromosome:v.1.0:7:20540314:20545949:1 gene:fgenesh2_kg.7__3171__AT5G37630.1 transcript:fgenesh2_kg.7__3171__AT5G37630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2656 [Source:UniProtKB/TrEMBL;Acc:D7MIE9] MGEESETAMASPDRNLTQKIAKILNETRTSYATHNRKLKELATIRSKLSSSESESSSSIRQFSSVFFKTLTPLFIAAQRRTAAAERVVRFAAEFACLRSNSDDDSDCDEFLEEFLRFLVVGSVAANRNARFRACQIISEIILRLPDEVEVADELWDDVIDCMMLRVRDKVPVIRTFAVRSLSRFVNDPENSDILDLLLEVLPLEQNPEVRKTIVLSLPPSNATTQAIIDCTLDVNESVRKAAYSVLANKVPLQSLSIKLRTTILQRGLADRAVNVSAECLKLMKEQWLSNSCGGDPIEFLKYLDVETYESVAESALEVLLSEGLIMPTDDKSIQQYILSADGEARDESTCSAPSIQLMEPEIALYWRIICRKLHKSAQAKGSDAATAMGAEAAVYAAEASDANDLLERILPATVSDYVDLVKAHIEAGPNHHFASRQLLLLGTMLDFSDAMLHKTASSFVQELLRRPFEQELDEDGNSIVIGDGINLGGDKDWAEAVSKLAKKVHAAPGEYEEVILVVIEEVARPCRERTADFLQWMHMLSLTSLLLENGKSLHSLQGKAIEPEEILHALLLPGAKHTHLDVQRIAIKGLGIFGLLEKKPSEELVRQLRTAFCISPPPISIMACKALVDLGMWHSPTEVDKAMGQDLLSQFEDESIDFAPIDLSNAEEDMNFKMLDLLYAGLESDDWRAFTESSENESVKATVGEGFAKLLLLGEKYPNLPASFYPFVLGKLIALYFSEESKEQLRFKQCLSVFFEHYASLSEKHKGYVSKAFVPLIRSMWPGIDGNTKSSTYVVSNQRKRAVQASRFILQMMQTPLYKKETRGEPESQINKSPEDYIQPPLNCTEEGLAIRMAIEMLSFKEKKTAAEKAYVAALCKILVLLHLKPSDRNVTKLLKKLLSLLADSVCSEKELLKEVKPVLQHLKSLDACPNEDLTQDQANSIFETLGVSYNLEITATTTVPQTPAPCSTRPARSRRRARTEDTSSDEEEEIASPPPSAPNTLMTRSHRASKAVALAKIMASKVKMSNVDEDDEEEGASDVTADDSDVSDE >fgenesh2_kg.7__3175__AT5G37660.2 pep chromosome:v.1.0:7:20589951:20591742:1 gene:fgenesh2_kg.7__3175__AT5G37660.2 transcript:fgenesh2_kg.7__3175__AT5G37660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRNIIKTLSFFFFLLAATAPSLSSATSATDTFVFGGCSQQKFSPASAYESNLNSLLTSLVNSATYSSYNNFTIMGSSSSDTAHGLFQCRGDLSMPDCATCVVRAVSQVGPLCPFTCGGALQLAGCYIKYDNISFLGQEDKTVVLKKCGPSEGYNTDGISRRDAVLTELVNGGGYFRAGGSGDVQGMGQCVGDLTVSECQDCLGTAIGRLKNDCGTAVFGDMFLAKCYARYSTDGAQHYAKSHSYKTNYGGERTFAIIIGLLAGVVLLIIFLLFLRGVCSRGGDFYFLHIFII >fgenesh2_kg.7__3177__AT5G37670.1 pep chromosome:v.1.0:7:20598193:20598674:1 gene:fgenesh2_kg.7__3177__AT5G37670.1 transcript:fgenesh2_kg.7__3177__AT5G37670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGIFVYPFRRFQEWSRSTALIDWMESSNSHIFKINVPGYNKEDIKVLIEEGNVLSIRGEGIKEEKKENLVWHVAEREAFSGGGEFLRRIELPENVKVDQVKAYVENGVLTVVVPKDTSSKSSKVRNVNITSKL >fgenesh2_kg.7__3179__AT5G37690.1 pep chromosome:v.1.0:7:20606297:20609413:-1 gene:fgenesh2_kg.7__3179__AT5G37690.1 transcript:fgenesh2_kg.7__3179__AT5G37690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILRLALAIIISAYATAQPASTSSLVTYIFGDSLTEVGNNNYLQYSLARADFPYYGVDFSGGKVTGRFTNGRTIGDIISTKLGIPSPPPYLSLSQNDDAFLSGINYASGGAGILNETGIYFIQRLTFNDQINYFKKSKEVIRAKIGDGAANKHVNDAMYFIGLGSNDYVNNFLQPFMADGQQYTHDEFVELLTSTLDNQLTTIYKLGARKVIFHGLGPLGCIPSQRVKSKTGMCLKRVNEWVLEFNSRTKKLLLDLNKRLPGAKFAFADTYPAVLDLINNPTHYGFKISNTSCCNVDTSVGGLCLPNSKMCKNREDFVFWDAFHPSDSANQILADHLFSSLLSSSSPSPAPKPRH >fgenesh2_kg.7__3180__AT5G28667.1 pep chromosome:v.1.0:7:20626786:20627810:-1 gene:fgenesh2_kg.7__3180__AT5G28667.1 transcript:fgenesh2_kg.7__3180__AT5G28667.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRYGLQPTLNVRIEESVVMFLRICGHNEVQRDVGLRFGRTQETVNRKFFEVLRATEQELRQIPEKLQMDRRYWPYFSVFVGAIDEVHNVLESTR >fgenesh2_kg.7__3181__AT3G32904.1 pep chromosome:v.1.0:7:20628908:20635496:-1 gene:fgenesh2_kg.7__3181__AT3G32904.1 transcript:fgenesh2_kg.7__3181__AT3G32904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYRFKYPTPLGREFIVDKFNKEFNLNVNYRFFKEKLDQLKRKYKKYKHLMKDSTGISVDTTTSVISASNSWWQEREPQFSVNQRREQLRNDCLDNDEGHIYFETYDGDMQDSQVPETQENEEIPTSRTQQRGGVRRGSSSQRGAGNSQISTRSGSRGRRRKQSFETTLTDTITGFREFQRQKLQQLRPNLFYEADYSEFDMAVKIFESMDLSNDTDFYWACMHAFKEERFWRKYFIDRAERTIEDKLKFLQALTGYTRDSEYVGK >fgenesh2_kg.7__3182__AT5G37710.1 pep chromosome:v.1.0:7:20642762:20645143:1 gene:fgenesh2_kg.7__3182__AT5G37710.1 transcript:fgenesh2_kg.7__3182__AT5G37710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACGLECVFCVGFSRWAWKRCTHVGSDDSATWTSATPEEFEPIPRISRVILAVYEPDLRNPKISPSLGTFDLNPDWVIKRVTHEKTLGRSPPYIIYIDHNHREIVLAIRGLNLAKESDYKILLDNKLGQKMLGGGFVHRGLLKSAAWVLNQESETLRRVWEENGKEYDLVFAGHSLGSGVAALMAVLVVNKPEMIGGIPRSKIRCFALAPARCMSLNLAVKYADVIFSVILQDDFLPRTATPLEDIFKSVFCLPCLLFLVCLRDTFIPEGRKLRDPRRLYAPGRIYHIVERKFCRFPPEVRTAIPVDGRFEHIVLSSNATSDHAILWIEREAEKALQILREKSSETVATMPPKEKRMERLNTLEKEHKDALERAVSLNIPHAVSTAEEEEECNNGDASAESKTKKKNWDEVVEKLFHRSDSGEFVFNDNFVPER >fgenesh2_kg.7__3183__AT5G37720.2 pep chromosome:v.1.0:7:20645605:20648536:-1 gene:fgenesh2_kg.7__3183__AT5G37720.2 transcript:fgenesh2_kg.7__3183__AT5G37720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGALNMTLDEIVMRGKTARSGGRGNSRPGRGRGRGGGRRGAGPARRGPLAVNARPSSLSINKPVRRVRSLPWQSGLFEDGLRAAGVSGVEVGTRLHVTNLDQGVTNEDIRELFSEIGEVERYAIHYDKNGRPSGTAEVVYPRRSDAFQALKKYNNVLLDGRPMRLEILGGNNSEAPLSGRVNVNVTGLNGRLKRTVVIQVRGGRGGRGPAPTISRRLPIHNQQGGMRGGRGGFRGRGRGGGGRGRGGGRGNGKKPVEKSAADLDKDLESYHADAMNTS >fgenesh2_kg.7__3188__AT5G37770.1 pep chromosome:v.1.0:7:20722813:20723631:-1 gene:fgenesh2_kg.7__3188__AT5G37770.1 transcript:fgenesh2_kg.7__3188__AT5G37770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNGVVRSCLGSMDDIKKVFQRFDKNGDGKISVDELKEVIRALSPTASPEETVTMMKQFDLDGNGFIDLDEFVALFQIGIGGGGNNRSDLKEAFELYDLDGNGRISAKELHSVMKNLGEKCSVQDCKKMISKVDIDGDGCVNFDEFKKMMSNGGGA >fgenesh2_kg.7__318__AT4G37370.1 pep chromosome:v.1.0:7:1362113:1364055:1 gene:fgenesh2_kg.7__318__AT4G37370.1 transcript:fgenesh2_kg.7__318__AT4G37370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP81D8 [Source:UniProtKB/TrEMBL;Acc:D7MAN4] MEIKILISSILFIIISLRFLIRKIIRKPNLPPSPAWSLPVIGHLRLLKPPIYRTFLSLSQSLNDAPIFSLRLGNRLVFVNSSHSIAEECFTKNDVVLANRPNFILAKHVAYDYTTMIAASYGDHWRNLRRIGSVEIFSNHRLNSFLSVRKDEIRRLVFRLSRNFSQEFVKVEMKSMLSDLTFNNIIRMVAGKRYYGDGVEDDPEAKRVRQLIADVVASAGAGNAVDYLPILRLVSDYETRVKKLAGRLDEFLQGLVDEKREAKEKGNTMIDHLLTLQESQPDYFTDRIIKGNMLALILAGTDTSAVTLEWALSNMLNHPEVLNKARDEIDRKIGLDRLMDESDISNLPYLQNIVSETLRLYPAVPMLLPHVASEDCKVAGYDMPRGTMLLTNAWAIHRDPRLWDDPMSFKPERFEKEGEAQKLMPFGLGRRACPGSGLAHRLINLTIGSLIQCLEWKRIGEEEVDMSEGKGVTMPKAVPLEAMCRARASVVKIFNEAA >fgenesh2_kg.7__3190__AT5G37790.1 pep chromosome:v.1.0:7:20739023:20742145:-1 gene:fgenesh2_kg.7__3190__AT5G37790.1 transcript:fgenesh2_kg.7__3190__AT5G37790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MII0] MGFYEGASVHPKNPENTNSVNIPILTSSSSSPDLDGDNNDNNKGIKTLLKKMIFDLGFACFLPPLAENSGNNNGGAGGGSGGGENNKAWLLAETAPENMNPDPHSVHSSFRFSLCSQIELEKMKGEEPSLSASSSCRNLSVSGGSTTVLMVNLENGVKETTDDLRWTRARSLEKSISPVANTLVRFSYGEIVAATRNFSKGRVLGRGACSYVFRGKIGMWRTTLAIKRLDKEDKESPKSFCRELMIASSLHSFNIVPLLGFCIDPEEGLFLVYKYVSGGSLEHYLHDKKKKKGVKAAFGLPWSARYKVALGIADAIAYLHNGTEQCVVHRDIKPSNILLSSKKIPKLCDFGLATWTAAPSIPFLCKTVKGTFGYLAPEYFQHGKISDKTDVYAFGVVLLELITGRKPIEARRASGQENLVVWAKPLLHRGMEAIVELLDPRLKCTRKNSVQMERMIRAAAACVINEESRRPGMEEIVSILKSEEGLEPKTHSSRKNTNISGMIDSYTQLQQTKSEMKCHLDLAMLGVTEFEDDDHVYGR >fgenesh2_kg.7__3192__AT5G37800.1 pep chromosome:v.1.0:7:20804615:20805942:1 gene:fgenesh2_kg.7__3192__AT5G37800.1 transcript:fgenesh2_kg.7__3192__AT5G37800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7MII2] MSLINDHCNEPNYISNPTSSEDLSSPENFGLEGRASASSSSTMNSDHQQNQGCVFYPSGESIEDHNSLMDFNASSFFSFDYHRSFISPVTNGGAFSVLEENMSYGYTGWSHHQMDSISPRVIKTSNSFETTSSFELTSNSRCKPATNHGNGDWLYSDSTIVNTGLRHESASPKLAGNKRPFTGDNTHLSKKPSSGTNGEAKPKATTSPKDPQSLAAKNRRERISERLKVLQELVPNGTKVDLVTMLEKAIGYVKFLQVQVKVLAADEFWPAQGGKAPDISQVKEAIDAILSSTQRDSNSN >fgenesh2_kg.7__3195__AT5G37840.1 pep chromosome:v.1.0:7:20845645:20847407:-1 gene:fgenesh2_kg.7__3195__AT5G37840.1 transcript:fgenesh2_kg.7__3195__AT5G37840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTIRRNKAKVMKIDGDIFRLKTPVTASDATKEYPGFVLLDSETVKRLGVHAKPLEPNQNLKPNKTYFLVDLPPVDKKNKLPYRRVMSGNIHIGAKERLEMLMLSRRTVSDVGAARSTEADGPELGQRRVRLRLPRSQITKLMGESHDASEVAARIINAYMESSGEMQGGKDNDGLHRKLGIAEINSHYKAREKHVSFAEKGGRGEIIVL >fgenesh2_kg.7__3196__AT5G37850.2 pep chromosome:v.1.0:7:20848691:20852094:1 gene:fgenesh2_kg.7__3196__AT5G37850.2 transcript:fgenesh2_kg.7__3196__AT5G37850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPVLSLALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPINSVQFSNHTGYPTFKGQVLNGQQLCDLIEGLEANDLLFYTHVLTGYIGSVSFLDTILEVINKLRAVNAKLTYVCDPVMGDEGKLYVPEELVHVYREKVVPLASMLTPNQFEAEKLTGLRINSEEDGREACAILHAAGPSKVVITSITIGGILLLIGSHQKEKGLKPEQFKILIHKIPAYFTGTGDLMTALLLGWSNKYPDNLDKAAELAVSTLQALLRRTLDDYKRAGYDPTSSSLEIRLIQSQEEIRNPNVELKAEKYS >fgenesh2_kg.7__319__AT4G37340.1 pep chromosome:v.1.0:7:1368102:1375102:1 gene:fgenesh2_kg.7__319__AT4G37340.1 transcript:fgenesh2_kg.7__319__AT4G37340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MAN6] ALPVIGHLRLLKPPLHGVFLSVSQSLGDTPIISLRLGNRLVFVVSSHSIAEECFTKNDVVLANRFNSLASKHISYGCTTVVTASYSDHWRNLRHIGVVEIFSAHRLNSFSSIRRDEIQRLITCLSRNSSLEFAKVEIMVAGKCYYGDGAEDDPEAKRIRELIAEGMSCFGAGNTADYLPKIAGRLDEFLQGLVDEKREGKEKREENIMVDHLLRQQETQSEYYTDNIIKGIMLSLILAGTDTSAVTLEWTLSSLLNHPKILSKAREEIDNEVGFRLVEESDLSRLPYLQNIVYESLRLYPASPLLVPHVASEDCKVGGYDMPRGTMLLTNAWAIHRDPKIWDDPTNFKPERFEKEGEAHKLMGFGLGRRACPGSGLAQWLASLTIGSLIQCFEWERVGEEEVDMSEGGGGVIMPKAIPLVAMCRARAFVGKILNESA >fgenesh2_kg.7__3201__AT3G29600.1 pep chromosome:v.1.0:7:20999182:21000271:-1 gene:fgenesh2_kg.7__3201__AT3G29600.1 transcript:fgenesh2_kg.7__3201__AT3G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECIGRMDESDWMDRPIVGRIGSDRPIVGRIRQTDRRTDQIDRSRIREKCSKKHEDKCNRTHPRVAHGCNLTGKGRSKIAAAFMYQSPWPMPTIIRWVNPRTLGRRRYDHHGPYG >fgenesh2_kg.7__3208__AT5G42080.1 pep chromosome:v.1.0:7:21086568:21100291:1 gene:fgenesh2_kg.7__3208__AT5G42080.1 transcript:fgenesh2_kg.7__3208__AT5G42080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g42080/MJC20_19 [Source:UniProtKB/TrEMBL;Acc:D7MIM6] MENLISLVNKIQRACTALGDHGDSSALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLQKIDDGTREYAEFLHLPRKRFTDFAAVRKEIQDETDRETGRSKAISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVDGQSDSIVKDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPSGERTFGVLTKIDLMDKGTDAVEILEGRSFKLKYPWVGVVNRSQADINKNVDMIAARKREREYFSNTTEYRHLAHKMGSEHLAKMLSKHLEHVIKSRIPGIQSLINKTVSELETELSRLGKPIAADAGGKLYSIMEICRLFDQIFKEHLDGVRAGGEKVYNVFDNQLPAALKRLQFDKQLAMDNIRKLVTEADGYQPHLIAPEQGYRRLIESSIVSIRGPAEASVDTVHAILKDLVHKSVNETVELKQYPALRVEVTNAAIESLDKMREGSKKATLQLVDMECSYLTVDFFRKLPQDVEKGGNPTHSIFDRYNDSYLRRIGSNVLSYVNMVCAGLRNSIPKSIVYCQVREAKRSLLDHFFAELGTMDMKRLSSLLNEDPAIMERRSAISKRLELYRAAQSEIDAVAWSK >fgenesh2_kg.7__3211__AT5G42050.1 pep chromosome:v.1.0:7:21104264:21106054:-1 gene:fgenesh2_kg.7__3211__AT5G42050.1 transcript:fgenesh2_kg.7__3211__AT5G42050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNNNQQSFWQFSDQLRVQTPNLANLSLNDSIWSTNSVFKERRNLDIAATADKNNQIDYYQKKTISDNINSNWNWKSSGSNNDMGLGFGPVGSKSTVDFNPIDKFNTPFNDTWKFNSVNVNVNGDFNKGVYTSMNKYGYNVNLKNNNNKNKGIDEDHQIQKGGKKNRKNYQNNNNQRNEDDKNNGVDKRFKTLPPAEALPRNETIGGYIFVCNNDTMEENLKRQLFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGIYEAASFGGTNIELNAFEDKKCPGESRFPAQVRAITRKVCLPLEEDSFRPILHHYDGPKFRLELSVPEVLSLLDIFADQNP >fgenesh2_kg.7__3213__AT5G42030.1 pep chromosome:v.1.0:7:21108620:21110327:1 gene:fgenesh2_kg.7__3213__AT5G42030.1 transcript:fgenesh2_kg.7__3213__AT5G42030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLAIVLHQSSNHDELFMKQTLQFSETLKDLKNLRKQLYSAAEYFETSYGKEEHKETVIETLKEYAAKAVVNTVDHLGSVSDKFNSFLSDNSTHFSTTHLRVSSLEQRMRLCRDYMGKSGTHQHLLLFQYPRHHKRYFFPQQGRGTSFSAGDDSHRFKSAVRTTILENLPNTARNVNKTGSFSFAPIIHNNINNRTPNKRTNSPMRFPLLRSGSLLKRSSSPSQPKKPVLPLPEPQRAISVSRNTEIVEIKQSSSRKGKKILMLKALMSMSKSRN >fgenesh2_kg.7__3214__AT5G42020.1 pep chromosome:v.1.0:7:21110884:21114035:1 gene:fgenesh2_kg.7__3214__AT5G42020.1 transcript:fgenesh2_kg.7__3214__AT5G42020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFGANGTVVLAIIFFGCLFAFSTAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQKDRKLVPYQIVNKDGKPYIQVKIKDGETKVFSPEEVSAMILTKMKETAEAYLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSVLTIDNGVFEVLSTNGDTHLGGEDFDHRIMEYFIKLIKKKHQKDISKDNKALGKLRRECERAKRALSSQHQVRVEIESLFDGVDLSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDFFEGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGKFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKASGKSEKITITNEKGRLSQEEIDRMVKEAEEFAEEDKKVKERIDARNALETYVYNMKNQVSDKDKLADKLEGDEKEKIEAATKEALEWLDENQNSEKEEYDEKLKEVEAVCNPIITAVYQRSGGAPGAGGESATEEEDESHDEL >fgenesh2_kg.7__3217__AT5G42010.1 pep chromosome:v.1.0:7:21130582:21133235:-1 gene:fgenesh2_kg.7__3217__AT5G42010.1 transcript:fgenesh2_kg.7__3217__AT5G42010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MIN6] MGTRGNEEEEDWFCDAREEVSSVSDCNSEVADEFVQATGDIDLWTMNPESVTNRRQKFFQSMGFSFKKRDFDLDLDLLGDSSSDHIPVSKQLNSVSETDHEEEDKEEKLLRNESTSSGSSVSSMSTSSLAEAFSFRGAFLNRAKNIDDQILLTRDYSSNSSSIAEGLSESGSNRSDGYGDLQNSPMSHYEESPKKGARGWIKKLGVLTHILDKTEECTNGESMGSSIRRQLTRVQSFKKQFKELSSLCIGQEFSAHDGSIVVMKFSHDGKYLASAGEDCVVRVWNITEDERRDNEFEVAESDSSCVYFGMNDKSQIEPLKTENEKIEKSRRLLRKKSESTCAVLPSKVFSISETPQHEFRGHTGEILDLSWSEKGFLLSSSVDETVRLWRVGSSDECIRVFSHKSFVTCVAFNPVDDNFFISGSIDGIVRIWDVSHFRVVNYTDIREIVTALCYYPDAKGAVVGSMTGECRFYHTTDNQLQLDREISLHGKKKVPNKRITGFQFFPGDSDKVMVTSADSQLRIISGVDTICKLKKASSLRTTLMSPTFASFTSDGKHIVSTIEDSGIHVWDYSQPNKKASSQKPKTIRSYEGFLSQNVSVAIPWLGQGKEETIIADLEKSFAHFPAPMDYFSPMKGATTWPEEKLEVVAGSAAAAVSATVSSRSKLRLLKSVCQNVNGSTPHLWGLVIVTATWDGMIRVFHNYGLPIRV >fgenesh2_kg.7__3219__AT5G41992.1 pep chromosome:v.1.0:7:21140602:21143559:1 gene:fgenesh2_kg.7__3219__AT5G41992.1 transcript:fgenesh2_kg.7__3219__AT5G41992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGFLGQISSMEEADFAEKDPSGRYIRYDDVLGRGAFKTVYKAFDEVDGIEVAWNLVSIEDVMQMPGQLERLYSEVHLLKALKHENIIKLFYSWVDEKNKTINMITELFTSGSLRVYRKKHRKVDPKAIKNWARQILKGLQYLHSQNPPVIHRDLKCDNIFVNGNTGEVKIGDLGLATVLQQSTARSVIGTPEFMAPELYEEEYNELVDIYSFGMCMLEMVTCEYPYNECRNQAQIYKKVTSNIKPQSLGKVDDHQVRQFIERCLLPASSRPTAVELSKDPFLARDVGKDSALLASSSTSSKSVRPPQLEHLPMDVDHNENKSVSSNEDYPWSQTIELQRIAENKEFRLRGERSDDVTASMVLRIADPSGKCRIVHFAFYLDSDTATAIAEEMVEELHLNSQEVIVIADMIDDLIMQLHSDRTSSHHNQNSPRLTHDEDHEAANQKTVNSKDEEAAGQSMKSDISADYYFPYSSNNGNAATEAGRDAESMSSYLDSCSMMSTIYNLSISDNDYPEDLKTELNLIESQFNQSFQDLLKLKEDAIENAKRKWITKKQKAVISS >fgenesh2_kg.7__321__AT4G37320.1 pep chromosome:v.1.0:7:1380131:1382310:1 gene:fgenesh2_kg.7__321__AT4G37320.1 transcript:fgenesh2_kg.7__321__AT4G37320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP81D5 [Source:UniProtKB/TrEMBL;Acc:D7MAN8] MDITQILLLSFLFLSISIKLLLTKSNRKPNLPPSPGYPLPVIGHLHLLKQPVHRTFLSISQSLGNAPIFHLRLGNRLVYVISSHSIAEECFTKNDVVLANRPDIIMAKHVGYNFTNMIAAPYGDHWRNLRRIAAVEIFSSHRVSTFKSIRKDEIQRLITLLSRDSLHGFVEVELKSLLANLAFNNIIMMVAGKRYYGSGTEDNDEAKLVRELISEVMTGASSGNLADYLPIINWVTNFENQTKILGNRLDRFLQKLVDEKRAEKEKGQTLINHLLSFQETEPDYYTDIIIKGIILALVLAGTDTSAVTLEWAMSNLLNHPGILEKARAEIDEKIGSDRLVEESDIGNLHYLQNIVSETLRLYPAVPLLLPHFSSDECKVAGYDMPRRTLLLTNVWAMHRDPGLWEEPERFKPERFEKEGETRKLMPFGMGRRACPGAELGKRLVSLALGCLIQCFEWERVGEELVDMTEGEGITMPKATPLRAMCKARAVVGKVI >fgenesh2_kg.7__3223__AT5G41950.1 pep chromosome:v.1.0:7:21178841:21182527:-1 gene:fgenesh2_kg.7__3223__AT5G41950.1 transcript:fgenesh2_kg.7__3223__AT5G41950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MIP7] MADNVEEPQLQNGAAPAESEIEQNPTPEPQLQTEPKLTEEIPEIETDVKPEEVQSEVTDAKPEEVQSEVKPEEVQSVVTDAKPELTDVDLSPGGSEEIPIQSREVNQESSSIDQESTSVLKKEDDGNKTFTMRELLSELKSEEGDGTPHSSASPFSRESASQPAENNPAMDLINRIQVTDEEGRSRQRVLAFAARKYASAIERNPDDHDALYNWALILQESADNVSADSVSPSKDDLLEEACKKYDEATRLCPTLYDAYYNWAIAISDRAKMRGRTKEAEELWEQATNNYEKAVQLNWNSSQALNNWGLALQELSQIVPAREKEKVVRTAISKFRAAIRLQFDFHRAIYNLGTVLYGLAEDTLRTGGSGNGKDMPPGELYSQSAIYIAAAHSLKPSYSVYSSALRLVRSMLPLPHLKVGYLTAAPVGNSLAPHSDWKRTEFELNHERLLQVLKPEPREMGRNLSGKPETMSTNVERKTVKVNITEIVSVTPCADLTLPPGAGLCIDTIHGPVFLVADSWESLDGWLDAIRLVYTIYARGKSDVLAGIITG >fgenesh2_kg.7__3225__AT5G41920.1 pep chromosome:v.1.0:7:21188549:21190002:-1 gene:fgenesh2_kg.7__3225__AT5G41920.1 transcript:fgenesh2_kg.7__3225__AT5G41920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7MIP9] MTTKRIDRDFPSSDDPSAAAKRRVNDIEFPEETLENDGAAAAIKLLSLLLQCAEYVATNHLREASTLLSEISEICSPFGSSPERVVAYFAQALQTRVISSYLSGACTPLSEKPLTVVQSQRLFSALQTFNSVSPLIKFSHFTANQAIFQALDGEDSVHIIDLDVMQGLQWPALFHILASRPRKLRSIRITGFGSSSDLLASTGRRLADFASSLNLPFEFHPIEGKIGNLIDPSQLGTRQGEAVVVHWMQHRLYDVTGNDLETLEILRRLKPNLITVVEQELSYDDGGSFLGGFVEALHYYSALFDALGDGLGEESGERFTVEQIVLATEIRNIVAHGGRRRRRMKWKEELNRVGFRPVSLRGNPAMQAGLLLGMLPWNGYTLVEENGTLRLGWKDLSLLTASAWKSQPFD >fgenesh2_kg.7__3226__AT5G41910.1 pep chromosome:v.1.0:7:21192160:21193133:1 gene:fgenesh2_kg.7__3226__AT5G41910.1 transcript:fgenesh2_kg.7__3226__AT5G41910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAQNTIAGIGESNAIATVDDSKENLNQVINSNQKTLVLLHQLKLTVSSFTPASQLDLLQRLNCLVMELDNMAQFSDKCNIQVPMEVLNLIDDGKNPDEFTKNVLNNSCIARNQVTKGKTDAFKGLRKHLLEELEQTFPDEVDTYREIRASYAAEAKRLAQSQSVLPNGDPKVKSEL >fgenesh2_kg.7__3228__AT5G41890.1 pep chromosome:v.1.0:7:21207990:21210505:1 gene:fgenesh2_kg.7__3228__AT5G41890.1 transcript:fgenesh2_kg.7__3228__AT5G41890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7MIQ3] MLHHDQQSNDSAAQSFTNFIFGDSLVDVGNNNYIFTLSKADSSPYGIDFGPSNGQPTGRFTNGRTISDIVGEALGAKSAPPPYLEPNSEANTFLNGINYASGAAGILDDTGLFFIGRVPLREQVSYFEKSRDYMVRVIGENGTKEMLKKAMFTMTIGSNDILNNIQPSIPFFSQDKLPIDVLQDSMVLHLTTHLKRLHQLGARKFVVVGIGPLGCIPFARALNLIPAGKCSEQVNQIVRGYNMKLRHSLKTLNNELRSEDYNATFVYANSYDLFLKLVLNYRQFGLENADKPCCGGYFPPFTCFKGPNQNSSQAACEDRSKFVFWDAYHPTEAANLIVAKALLDGDQTVATPFNIRYLNDL >fgenesh2_kg.7__322__AT4G37310.1 pep chromosome:v.1.0:7:1387945:1390974:1 gene:fgenesh2_kg.7__322__AT4G37310.1 transcript:fgenesh2_kg.7__322__AT4G37310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP81H1 [Source:UniProtKB/TrEMBL;Acc:D7MAN9] MDYCILLILTILVTILITKIFILLAKPNKNLPPSPRICFPIIGHLHLLKQPLLHRTLSHLSHSLGPVFSIRLGSRLAVIISSPTVAEECFLTKNDIVLANRPRFIMGKYVAYDYTSMVTAPYGDHWRNLRRITALEVFSTNRLNGSAEIRQDEVKRLLQKLHGLSVERPANVELRPLLTGLTLNVIMRMMTGKRFFEKDESGKAAISLEFRELVAEILELSAADNPADFLPALRWFDYKGLVKRAKRIGERMDILLQGFLDEHRANKDRLEFKNTMIGHLLGSQEKEPHYYSDQTIKGLILMMVIGGTDTSALTVEWAMLNLLNHPQVLETTRKNIDTQMESSSTRQLLKEEDLVNMNYLNNVVSETLRLYPVAPLMVPHLPSSDCVIGGFDVPRDTIVLVNLWAIHRDPRVWDDPMSFKPERFEGRDQFGHYNGKMMPFGLGRRVCPGMSLANRVVGLLLGSMVQCFEWESGSGGQVDMTEGPGLSMPKAEPLVVTCRPREMASELLFFGSEPSNKNV >fgenesh2_kg.7__3230__AT5G41870.1 pep chromosome:v.1.0:7:21217083:21218901:1 gene:fgenesh2_kg.7__3230__AT5G41870.1 transcript:fgenesh2_kg.7__3230__AT5G41870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7MIQ6] MTLSRAPISVCVLFLLSTVLPHHLSLGAPITCSGIVPMKHRTEMLSIFDFGAVGDGKTLNTNAFNTAIDRIRNSNNSSQGTLLHVPRGVYLTQSFNLTSHMTLYLADGAVIKAVQDTGKWRLTDPLPSYGRGRERPGRRYISFIHGDGLNDVVITGRNGTIDGQGEPWWNMWRHGTLEFTRPGLIEFKNSTNIVVSHVVLQNSAFWTLHPVYCSNVVVHHVTILAPTDSFNTDGIDPDSSSNVCIEDSYISTGDDLVAVKSGWDEYGIAYNLPSRDITIRRITGSSPFAGIAIGSETSGGIQNVTVENITLYNSGIGIHIKTNIGRGGSIQGITISGVYLEKVRTGIKISGDTGDHPDDKFNTSALPIVRGITIKNVWGIKVERAGMVQGLKDSPFTNLCFSNVTLTGTKSTPIWKCSDVVGAASKVNPTPCPELTTTTQQGGSCENQS >fgenesh2_kg.7__3232__AT5G41850.1 pep chromosome:v.1.0:7:21219451:21221002:1 gene:fgenesh2_kg.7__3232__AT5G41850.1 transcript:fgenesh2_kg.7__3232__AT5G41850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRKRRKENEASCTDKQEIACSPVVVFAHGAGAPSSSDWMIRWKEMLKKTLEAVEVVTFDYPYLADGKRRVAPKAEKLIEFHLDVVKETAAKFLGHPLILAGKSMGSRVSCMVSAVNEDVPVSAVICLGYPLKGAKGVIRDETLLEMGVPVMFVQGSKDPMCPLDKLEAVCNKMKAVTEIHVIDGGDHSFKIGKKHLETKELTQDEVEDVALKAISAFVSKSLAQSS >fgenesh2_kg.7__3235__AT5G41820.1 pep chromosome:v.1.0:7:21241540:21244121:-1 gene:fgenesh2_kg.7__3235__AT5G41820.1 transcript:fgenesh2_kg.7__3235__AT5G41820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRERNEASKPEETAAKALELRSLQSQFMSNHHQKIYTQEAIQLSAKLLGINPEAYTAWNYRKLALESRIDEDSDPSLVDSIIDEELRVVQNALKRNPKSYGAWYHRKWVLSKKGHYYSSLEKELQLLNDYQKQCLVNDYQKQDDPKKQDNARNFHAWNYRRFVVELTETSEEDELQYTNMINDICFSIYSAWHYRSVLVSSLVAKNADGFMPKETIRRELDYVHNAIFTDELGQSGWFYYLWLLDQTLKMETPLRFSSWPSDGSIITTFCSESGSFPLILYFDQAVSGVSSSTVTIDSELKANEDLVWEPVSDNKNSQVDSCVWVAHLKFDSRGPCFSRKENKVKVSLGGIVSSMGCNLSTPYEFVFTVLHTVGESSQQGIVSWTDGFNNWDDAQSKDLNSFIALNADAGFEWRKEAIKIEIDHLRNLPDSKFGKLILARLLMAEETMISDDAVKGFHYTEILQLYNDLIALDSWHAQYYKDEHSVALLHKVTSSTESMFRHLFRYRNMNNIVCLRLNNLTLSRIAAVEKLLFVQMLDLSNNELHSAEGLEAMQLLCCLNLSHNRIMSFSALDSLRHLKQLRVLDVSHNLIGGEHPVDTTRYLCSSPLSNSGEVGREVPCKYWDAYLVLRDLMKLKQLDIRGNDLIFSGEEFSSFVRQVVPKLVWLDGHKLTN >fgenesh2_kg.7__3238__AT5G41800.1 pep chromosome:v.1.0:7:21264877:21267089:-1 gene:fgenesh2_kg.7__3238__AT5G41800.1 transcript:fgenesh2_kg.7__3238__AT5G41800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPPRPDPFSVTRLDSDAGALFVLQSKGEWWHAGFHLTTAIVGPTILTLPYAFRGLGWWLGFVCLTTMGLVTFYAYYLMSKVLDHCEKSGRRHIRFRELAADVLGSGWMFYVVIFIQTAINTGIGIGAILLAGQCLDIMYSSLYPQGTLKLYEFIAMVTAVMMVLSQLPSFHSLRHINFASLILSLGYTFLVVGACINLGLSKNAPKRDYSLEHSDSGKVFSAFTSISIIAAIFGNGILPEIQATLAPPATGKMLKGLLLCYSVIFFTFYSAAISGYWVFGNNSSSNILKNLMPDEGPTLAPIVVIGLAVIFVLLQLFAIGLVYSQVAYEIMEKKSADTTKGIFSRRNLVPRLILRTLYMAFCGFMAAMLPFFGDINAVVGAFGFIPLDFVLPMLLYNMTYKPTKRSFTYWINMTIMVVFTCTGLMGAFSSIRKLVLDANKFKLFSSEVVD >fgenesh2_kg.7__323__AT4G37295.1 pep chromosome:v.1.0:7:1392585:1393125:-1 gene:fgenesh2_kg.7__323__AT4G37295.1 transcript:fgenesh2_kg.7__323__AT4G37295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFGLIFTVMFLVSAFSESRTADCRVLLGGSTEEIGQSKIHGVDLRGEDLIGVVIHGYKKLRWLSSAGERMHTMASGPSRRGAGH >fgenesh2_kg.7__3242__AT5G41761.1 pep chromosome:v.1.0:7:21298649:21299255:-1 gene:fgenesh2_kg.7__3242__AT5G41761.1 transcript:fgenesh2_kg.7__3242__AT5G41761.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MIS0] MGIKFSHVPSNTTIHYISHQDGEDQRSKTTTKVDQDKTQNRSSSFQIPLHYPKYTKSDYEKMPEWQLDQLLREYGLPVIGDSYEKRKFAIGAFLWSSE >fgenesh2_kg.7__3245__AT5G41730.1 pep chromosome:v.1.0:7:21341024:21343272:1 gene:fgenesh2_kg.7__3245__AT5G41730.1 transcript:fgenesh2_kg.7__3245__AT5G41730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MIS3] MEQFRQIGEVLGSLNALMVLQDDILINQRQCCLLLELFSLAFNTVAEEIRQNLKLEEKHTKWRALEQPLRELYRVFKEGELYVKHCMDNSDWWGKVINLHQNKDCVEFHIHNLFCYFSAVVEAIEAAGEISGLDPSEMERRRVVFSRKYDREWNDPKLFQWRFGKQYLVSRDICSRFEHSWREDRWNLVEALQEKRKSDSDDIGKTEKRLADLLLKKLTGLEQFNGKLFPSSILLGSKDYLVKRRLDADGQYKEIQWLGDSFAVRHFFSDLEPLSSEISSLLSLCHSNILQYLCGFYDEERKECFLVMELMHKDLQSYMKENCGPRRRYLFSIPVVIDIMLQIARGMEYLHGNDIFHGDLNPMNIHLKERSHTEGYFHAKISGFGLSSVVKAQSSRSSSKPGTPDPVIWYAPEVLAEMEQDLNGKTPKSKLTHKADVYSFAMVCFELITGKVPFEDSHLQGEPMAINIRMGERPLFPFPSPKYLVSLIKRCWHSEPSQRPNFSSICRILRYIKKFLVVNPDHGHPQMQTPLVDCWDLEARFLRKFPGDAGSHTASVNQIPFQLYSYRVSEREKMNPNSKESSEASDQSESVSVVEDPPNAIIARDTKSLCLDTISEYSDTRSVYSEAPIKKVSALKKSGEMAKLRRSPSLGSEKLRSTGTSPVKARSSPKVSALSPFGRSIKARKDNRLPLSPMSPLSPGIRRQHTGHASDSELT >fgenesh2_kg.7__3246__AT5G41720.1 pep chromosome:v.1.0:7:21344821:21346084:1 gene:fgenesh2_kg.7__3246__AT5G41720.1 transcript:fgenesh2_kg.7__3246__AT5G41720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNSPMNDDVMLEIMSYCPATEMAKFRLLNKECNKRSYEMSFLNRHLHRTNSFLGYIFYYKDNHWFRNHSCFVSGVDEKEIYRINLAYLPPRCNPSIEACDTYHGILLCVDDVYKGRKRIPDYIVCKPATKQYRIIPNPKTRFGTVATGLMVISYNPFRYKIIRVSDTGATVSRDGVYNLRESLFQ >fgenesh2_kg.7__3249__AT5G41700.4 pep chromosome:v.1.0:7:21362174:21363704:-1 gene:fgenesh2_kg.7__3249__AT5G41700.4 transcript:fgenesh2_kg.7__3249__AT5G41700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCIFVGPVAEDMFHWQATIMGPAESPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARNWTQKYAMG >fgenesh2_kg.7__3253__AT5G41680.1 pep chromosome:v.1.0:7:21372295:21373929:-1 gene:fgenesh2_kg.7__3253__AT5G41680.1 transcript:fgenesh2_kg.7__3253__AT5G41680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MIS7] MACCLRKKRRMKVKLSWKSKKRDLSPAGNWAPEDDDVEGKIVFFGGSNYTFDLDDLLAASAEILGKGAYVTTYKVAVEDTATVVVKRLEEVVVGRREFEQQMEIVGRIRHDNVAELKAYYYSKNDKLAVYSYYSQGNLFEMLHGKLSFCIPWSILLWSALKNKKSTFAGDKGENRVPLDWESRLRIAIGAARGLSIIHEADDGKFVHGNIKSSNIFMNSQCYGCICDLGLTHITKSLPQTTLRSSGYHAPEITDTRKSTQFSDVYSFGVVLLELLTGKSPASLLSTDENMDLASWIRSVVSKEWTGEVFDIELMRQMDIEEEMVELLQIGLACVALKPQDRPHITHIVKMIQDIPTNFNL >fgenesh2_kg.7__3255__AT5G41670.1 pep chromosome:v.1.0:7:21379028:21380878:1 gene:fgenesh2_kg.7__3255__AT5G41670.1 transcript:fgenesh2_kg.7__3255__AT5G41670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, decarboxylating [Source:UniProtKB/TrEMBL;Acc:D7MIS9] MESAALSRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETLDRASDEGNLPVAGQYSPRDFVLSIQRPRSVIILVKAGAPVDQTISALSEYMEPGDCIIDGGNEWYQNTERRIVEAEKKGLLYLGMGVSGGEEGARNGPSLMPGGSFTAYNNVKDILEKVAAQVEDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKNVGGLSNDELAEIFTEWNRGELESFLVEITSDIFRVKDDYGDGELVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKDERENAARVLEDAGLKEDIGSASRGVDKKRLIDDVRQALYASKICSYAQGMNLLRAKSLEKGWDLNLGEMARIWKGGCIIRAVFLDRIKKAYQRNPNLASLVVDPDFAKEMVQRQAAWRRVVGLAISAGISTPGMCASLAYFDTYRRARLPANLVQAQRDLFGAHTYERTDRPGAYHTEWTKLARKSQ >fgenesh2_kg.7__3257__AT5G41650.1 pep chromosome:v.1.0:7:21396970:21398284:-1 gene:fgenesh2_kg.7__3257__AT5G41650.1 transcript:fgenesh2_kg.7__3257__AT5G41650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase family protein [Source:UniProtKB/TrEMBL;Acc:D7MIT1] MATASFRWILQLHRDVPKAARFYAQGLDFSVNVVTLRWAELHSGPLKLALMQSPSDHVAEKGYSSLLSFTQEAADINTTVTKLMALGAELDGTIKYEIHGKVAAMRCPDGYMLGLYEAA >fgenesh2_kg.7__3258__AT5G41620.1 pep chromosome:v.1.0:7:21402876:21405264:-1 gene:fgenesh2_kg.7__3258__AT5G41620.1 transcript:fgenesh2_kg.7__3258__AT5G41620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVRGEEEERQKVERLVEKLKNHINNRSSTPAHISFVPNSIVVSSRKLAAAFWEFHQYHYQEDCSYLSSASARMHRGANGFAGASNRRQRHGKAVAVKENGLDLSQFLRDPSPDHQPDSAGSLRRQIGQMLIKHHQSIERNNHALQPVSPASYGSSLEVTTYNKAVTPSSSLDFRGRASREPHYNLKTSTELLKVLNRIWSLEEQHVSNISLIKALKTEVAHSRVRIKELLRYQQADRHELDGVVKQLAEEKLLRKNKEVERMSSAVQSVRKELEDERKLRKRSESLHRKLARELSEVKSSLSNCVKELERGAKSNKMMELLCDEFAKGIKSYEEEIHGLKKKNLDKDWEGRGGGDQLVLHIAESWLDERMQMRLEGGDTLNGNNRSVLDKLEVEIETFLQEKRNEIPRNRRNSLESVPFNALSAPPRDVDCEEDSGGSDSNCFELKKAAESHGDETKKPNQLNKDSLIDEKAKSPSSFQVNFEDQMAWAISSNGKKKTARAIEDEEEEDVKPENSNNIKKPEDECATTNKNDVMGEMIRTHRRLLSETREIDEASCNFPSSRRQASPVRQWISRTVAPGLLGSSDIAIAHGVKDNTLKTKLAKSSKSRLRLFKG >fgenesh2_kg.7__3261__AT5G41600.1 pep chromosome:v.1.0:7:21422086:21423660:-1 gene:fgenesh2_kg.7__3261__AT5G41600.1 transcript:fgenesh2_kg.7__3261__AT5G41600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:D7MIT6] MVEDHKHEESIMEKIVEKIHGHGDSSSLSDSDDDKKSTSSSSSSFKSKIYRLFGREKPVHKVLGGGKPADIFLWRNKKVSGGVFGAVTASWVLFELFEYHLLAFLCHFAIFALAALFLWSNACTFIHKASPHIPEVNIPEDPVLQLVSGLRIEINRGLTVLRNIASGKDVKKFILVIAGLWVLSIIGSCYNFLTLFYIATVLLFTIPVLYEKYEDKVDAFGEKAMKEIKKQYAVLDEKVLSKVLSKIPRGALNKKKD >fgenesh2_kg.7__3264__AT5G41570.1 pep chromosome:v.1.0:7:21435648:21437232:-1 gene:fgenesh2_kg.7__3264__AT5G41570.1 transcript:fgenesh2_kg.7__3264__AT5G41570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREDINHMLSLDVENNNTFSAFVDKTLMMMPPLTFSGEVEPSSSSSWYPESFHVHVPPPAPENDQIGEKGKKKEKEKRSRKVPRIAFQTRSDDDVLDDGYRWRKYGQKSVKHNAHPRSYYRCTYHTCNVKKQVQRLAKDPNVVVTTYEGIHNHPCEKLMETLNPLLRQLQFLSSFSNL >fgenesh2_kg.7__3265__AT5G41560.1 pep chromosome:v.1.0:7:21439357:21440772:1 gene:fgenesh2_kg.7__3265__AT5G41560.1 transcript:fgenesh2_kg.7__3265__AT5G41560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILGDLPSFDPHNFSQHRPSDPSNPSRMVPTTYRPTHNRTLPPPDQVITTEVKNILIRSFYQRAEEKLRPKRPATDHLAAEHVNKHFRAASSSSSTQGL >fgenesh2_kg.7__3266__AT5G41520.1 pep chromosome:v.1.0:7:21459255:21460851:1 gene:fgenesh2_kg.7__3266__AT5G41520.1 transcript:fgenesh2_kg.7__3266__AT5G41520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISEKNRREISKYLFKEGVLFAKKDFNLPQHPLIESVPNLQVIKLMQSFKSKEYVRETFAWMHYYWFLTNEGIDFLRTYLNLPSEIVPATLKKQQKPLGRPFGGGDRPRSGPPRGDGERKFGDRDAYRGGPRSGGEFGDKSGAPADYNPAFKGGAGGARQGFGRGAGGFGGGAGPAAGSDLP >fgenesh2_kg.7__3268__AT5G41470.1 pep chromosome:v.1.0:7:21471019:21472608:-1 gene:fgenesh2_kg.7__3268__AT5G41470.1 transcript:fgenesh2_kg.7__3268__AT5G41470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVNVMSPIVTRNLITKFKTQRLKPDLPSLQHSSWFNAIKNDPRKMINKYASRRNIVVSCLDRPNSRPNQISGYDAVMKFYSSINEKNQDQLSSCISSDCFIDDFSFSKPFRGRKEAMKFFEELVKSMGQNVKFCVENVCEGDGHSAAVNWHLEWKGRKIPFTRGCSFYEFTDEGGKLVIRNARILIESPIKPGGITLSLLKNITFLFDEFPKGAELFLEKPYAIIQATIQIYGLFLAPLVNHVMASYLKLLSNMAEFFLLVVKIIIKIRNLFFK >fgenesh2_kg.7__326__AT4G37270.1 pep chromosome:v.1.0:7:1400391:1406273:1 gene:fgenesh2_kg.7__326__AT4G37270.1 transcript:fgenesh2_kg.7__326__AT4G37270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAALTRSSSLTRFPYRRGLATLRLARVNSFSVLTPKTLLRRKPLPLSISSSLSLPPRSIRIRAVEDHHHDHHHDDEQDHDHHHHHHHHHQHGCCSVELKAESKPQKVLFGFAKTIGWVRLANYLREHLHLCCSAAAMFLAAAACPYLAPKPYIKSLQNAFMIVGFPLVGVSASLDALMDIAGGKVNIHVLMALAAFASVFMGNALEGGLLLAMFNLAHIAEEFFTSRSMVDVKELKESNPDSALLIEVLNGNVPNISDLSYKSVPVHSVEVGSYILVGTGEIVPVDCEVYQGSATITIEHLTGEVKPLEAKAGDRVPGGARNLDGRMIVKATKAWNDSTLNKIVQLTEEAHSNKPKLQRWLDEFGENYSKVVVVLSLAIAFLGPFLFKWPFLSTAACRGSVYRALGLMVAASPCALAVAPLAYATAISSCARKGILLKGAQVLDALASCHTVAFDKTGTLTTGGLTCKAIEPIYGHQGGNNSSVTTCCIPNCEKEALAVAAAMEKGTTHPIGRAVVDHSVGKDLPSIFVESFEYFPGRGLTATVNGAKSVAEESRLRKASLGSIEFITSLFKSEDESKQIKDAVNASLYGNDFVHAALSVDQKVTLIHLEDQPRPGVSGVIAELKSWARLRVMMLTGDHDSSAWRVANAVGITEVYCNLKPEDKLNHVKNIAREAGGGLIMVGEGINDAPALAAATVGIVLAQRASATAIAVADILLLRDNITGVPFCVAKSRQTTSLVKQNIALALTSIFLAALPSVLGFVPLWLTVLLHEGGTLLVCLNSVRGLNDPSWSWKQDIVHLINKLSSQEPTSISSNSLSSVEPAH >fgenesh2_kg.7__3270__AT5G41410.1 pep chromosome:v.1.0:7:21501793:21505842:-1 gene:fgenesh2_kg.7__3270__AT5G41410.1 transcript:fgenesh2_kg.7__3270__AT5G41410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDQFYGHNNHHHQEQQHQMINQIQGFDETNQNPTDHHHHYNHQIFGSNSNMGMMIDFSKQQQIRMTSGPDHHHHHHQTSGGTNQNQLLEDSSSTMRLCNVNNDFPSEVNDERPPQRPSQGLSLSLSSSNPTSISLQSFELRPQQQQQGYSGKSTHHQNLQHTQMMMMMMNSHHQNNNNNNHHHQFQIGSSKYLSPAQELLSEFCSLGVKESDEEVMMMKHKKKQKGKQQEEWDTSHHNNNDQHDQSATTSSKKHVPPLHSLEFMELQKRKAKLLSMLEELKRRYGHYREQMRVAAAAFEAAVGVGAAEIYTALASRAMSRHFRCLKDGLVGQIQATSQALGEREEDNRAVSIAARGETPRLRLLDQALRQQKSYRQMTLVDAHPWRPQRGLPERAVTTLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMIEEMYCEETRGEQMEITNPMMIDTKPDPNQLIRVEPESLSSIVTNPTSKTGHNSNHGTTSLGSTFDFSLYGNQAVTYAGEGGPRGDVSLTLGLQRNDGNGGVSLALSPVTAQGGQLFYGRDHIEEGPVQYSASMLDDDQVQNLPYRNLMGAQLLHDIV >fgenesh2_kg.7__3273__AT5G41380.1 pep chromosome:v.1.0:7:21554144:21556511:1 gene:fgenesh2_kg.7__3273__AT5G41380.1 transcript:fgenesh2_kg.7__3273__AT5G41380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASDLYIFDGSSSSSSSIFRNSSPEMFSSDTTTTTDLFCNNELYSVDESLNIFDHFTPQNILSSSPPSDLLGTLTLSQHIPTGLYPNFSDFQISDAVKTEKFFDGHNQTASMARSYSAIENAGRYMQRSFSSNSVQGKPNQVPFNIPMMDSSNLNYNNLSSPENAFLSGQMRRVYSTGDLQNNFQMQRSSENSTVPFSEEQNFKVGRYSAEERKEKISKYRAKRNQRNFTKTIKYACRKTLADSRPRIRGRFARNDEVVEIPNIEDDDSELWKLDELHEKEEAFVSSFVVQQPLLQYSTTSSSFFW >fgenesh2_kg.7__3276__AT5G41350.1 pep chromosome:v.1.0:7:21577066:21579605:1 gene:fgenesh2_kg.7__3276__AT5G41350.1 transcript:fgenesh2_kg.7__3276__AT5G41350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MIW5] MGGCCCCSSSRRADVDNGPAYYYYPRATEERVPLSSAHNRTSSAISTGVVVVDTNLETSSPDAYIPPPLPIPFDVAIGVPQTPASAEEATCVDIREVSVDSANTESAQETVDGITIGVPTTCSHKETDCKIQTEIDLESTEEIDPKLSKAVFIPIEEEEDCPICLEEYDIENPKLVAKCDHHFHLACILEWMERSETCPVCNKEMVFDSPLD >fgenesh2_kg.7__3277__AT5G41340.1 pep chromosome:v.1.0:7:21602025:21604228:1 gene:fgenesh2_kg.7__3277__AT5G41340.1 transcript:fgenesh2_kg.7__3277__AT5G41340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDMMKLMMSDYKVETINDGMQEFYVEFNGPKDSLYQGGVWKIRVELPDAYPYKSPSVGFITKIYHPNVDELSGSVCLDVINQTWSPMFDLVNVFETFLPQLLLYPNPSDPLNGEAAALMMRDRPAYEQRVKEYCEKYAKPGEGSEDKSSDEELSEEEYGSDDEDDDDDDDDVAIAGKPDP >fgenesh2_kg.7__3278__AT5G41330.1 pep chromosome:v.1.0:7:21608805:21611329:1 gene:fgenesh2_kg.7__3278__AT5G41330.1 transcript:fgenesh2_kg.7__3278__AT5G41330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel tetramerization domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MIW8] MNFPTIPHESNVVSINVGGRIFQTTKQTLTLAGTDSLLSRLVVTESTRFVDRDPDLFSVLLYILRTGNLPARSRAFDVRDLIDESRYYGIESFLIDSLSNSSQFEPFDLRRSRILQLNGRDSPSTISPTLIGGGLHVAHGSKITSFDWSLRQKSTVLTNFSAVDSLLEISPGVLAAGATDFPGLQIIDIENGGFVRTTLNWEKVVRSSSTVQAIGSSPEFLFASFESSRRNSNSIMVYDLSSLLPVSEIDHCEIYGANIDSAIPSTKLRWIQSCNLLMVSGSHTSPSGVNGHIRFWDVRSRNMVWEIKETQDCFSDVTVSDNLSAVFKVGVTSGEVSYADLRRLGTKDPWVCLGEEKKRSLNERRGVGCKIESYGNHVFCSSKGSGIELWSEVITGLLGNASRDVSEERVFRKNSFGKLADSGENKITGLAFGGNRMFVTRKDQQSFEVWQSPSRGISI >fgenesh2_kg.7__3280__AT5G41315.1 pep chromosome:v.1.0:7:21613916:21617175:-1 gene:fgenesh2_kg.7__3280__AT5G41315.1 transcript:fgenesh2_kg.7__3280__AT5G41315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQNRTTLPENLKKHLAVSVRNIQWSYGIFWSISASQSGVLEWGDGYYNGDIKTRKTIQASEIKADQLGLRRSEQLSELYESLSVAESSSSGAAAGSQVTRRASAAALSPEDLADTEWYYLVCMSFVFNIGEGMPGRTFANGEPIWLCNAHTADSKVFSRSLLAKSASVKTVVCFPFLGGVVEIGTTEHITEDMNVIQCVKTSFLEAPDPYATILPTRSDYHMDNVLDPQQILGDEIYAPMFSSEPFPTASPSRTTNGFDPEHEQVAEDHDSFMTERITGGASQVQSWQFMDDELSNCVHQSLNSSDCVSQTFVEGAAGRVSYGARKSRVQRLGQIREQQRNVKTFSFDPKNDDVHYQSVISTIFKTNHQLILGPQFRNCDKQSSFTRWKKSSPSSSGTATVSAPSQGMLKKIIFEVPRVHQKEKLMLDSPEARDETGNHAVLEKKRREKLNERFMILRSIIPSINKIDKVSILDDTIEYLQELERRVQELESCRESTDTETRGTMTMKRKKPCDAGERTSANCTNNETGNGKKVSVNNVGEAEPADTGFTGLTDNLRIGSFGNEVVIELRCAWREGVLLEIMDVISDLNLDSHSVQSSTGDGLLCLTVNCKHKGSKIATPGMIKEALQRVAWIC >fgenesh2_kg.7__3282__AT5G41300.1 pep chromosome:v.1.0:7:21636917:21637882:-1 gene:fgenesh2_kg.7__3282__AT5G41300.1 transcript:fgenesh2_kg.7__3282__AT5G41300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTKKLSALFCFASLFCLYFTNNQTMSESDHMDTFCIKSSRNITHNTTYNTNLNTMLSTFRNKSSIVNYYNLTTGLASDTVYGMFLCTGDVNITTCNACVKNATIEIVKNYKFFLSTLETKPYSIWSADDPIPKTLGPFRKRLLKKMGEVIVRSSMLSSALTPYYYLDVTRFDGSYDLDSLVQCSPHLNPENCTICLEYALQEIIDCCSDKFWAMIFTPNCFVNYYITTPPLPPLPSPYHHSGSFSIRGNNEIFWGMTLAALVFTF >fgenesh2_kg.7__3283__AT5G41280.1 pep chromosome:v.1.0:7:21639700:21641942:-1 gene:fgenesh2_kg.7__3283__AT5G41280.1 transcript:fgenesh2_kg.7__3283__AT5G41280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKKLFVLLCLFLTINQAISESEHMATFCNESSGNFTSNTTYNKNLNTLLSTLSNQSSFANYYNLTTGLASDTVHGMFLCTGDVNRTTCNACVKKATIEITKNCTNHREAIIYYFDCMVRYSDKFFLTTLETKPSIWWSSHDLVPKSYGKFGQRLSEKMGEVIVRSSLLSSSFSPYYLMDTTRFDNLYDLESIVQCSPHLDPTNCTTCLKLALQELTDCCGDQVWAFIFTPKCLVSFDTSTSSLPPLPPPSRSGSFSIRGNNKILGGMALAVAVWVFAFLGL >fgenesh2_kg.7__3285__AT5G41260.1 pep chromosome:v.1.0:7:21644502:21648204:-1 gene:fgenesh2_kg.7__3285__AT5G41260.1 transcript:fgenesh2_kg.7__3285__AT5G41260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MIX5] MGCEVSKLSALCCVSESGRSNPDVTGLDEEGRGESNDLPQFREFSIETIRNATSGFAAENIVSEHGERAPNVVYKGKLENQRRIAVKRFNRKSWPDSRQFLEEAKAVGQLRNHRMANLLGCCYEGEERLLIAEFMPNETLAKHLFHWESQPMKWAMRLRVALHIAQALEYCTSKGRALYHDLNAYRVLFDDDANPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLMDSGLEGQFSSDDGTELIRLASRCLQYEPRERPNPKSLVSAMIPLQKDLEIASHQLLGIPNSATTTALSPLGEACLRPDLTAIHEIIEKLGYKDDEGATTELSFQMWTDQMQDTLVFKKKGDSAFRHKDIAKAIECFSQFIEVGTMVSPTVYARRSLCYLMNEMPKEALYDAMQAQVISPAWHIASYLQAVALSALGQENEAHAALKDGAMLESKRNTL >fgenesh2_kg.7__3286__AT5G41250.1 pep chromosome:v.1.0:7:21674608:21676498:1 gene:fgenesh2_kg.7__3286__AT5G41250.1 transcript:fgenesh2_kg.7__3286__AT5G41250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MIX6] FSRKFNDPYCNTAGSKPGFQISSEENETFTGETAGDRVSEEKPKNLDSVPDQEHKPKNKVDLSSLIDLKAEEEYRKYIKPKSEEEGYALRAVIKYLYLQRSWLSPGDENLDKPRSCEGKGVYVYDLPSKFNRDLLVGCNDILPGVDLCSYFKNEGFGEAIKNLGKGWFATHMYSLEPILHSRVLKHPCRVYNESQAKLFYVPYYGGYDVLRWHYRNVSEDVKDRLGIEVLKWLESKESWRRNAGKDHVFVLGKITWDFRRDKVPWGSRFLELQEMQNPTKLLIERQPWQVNDIAIPHPTYFHPRTDDDITSWQIKIMSKPRPHLVSFAGGARPENPDNIRSTLIEQCVSSSSNQCRFLDCTNGGCKNPKNVLDLFQDSEFCLQPPGDSATRRSVFDSLISGCIPVIFTPYTAYYQYAWHLPEDHRRYSVYISEQDVKEKRVNVVEILKAKTLREKKDMRSYIIHQLLPGLVYGDSNAKFEKFRDAFDITFDSLLEKIN >fgenesh2_kg.7__328__AT4G36880.1 pep chromosome:v.1.0:7:1430812:1432565:-1 gene:fgenesh2_kg.7__328__AT4G36880.1 transcript:fgenesh2_kg.7__328__AT4G36880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase [Source:UniProtKB/TrEMBL;Acc:D7MAP9] MAPSTKVLSLLLLYVVVSLASGDESIINDHLQLPSDSWWRTDEEVRSIYLQWSADHGKTNNNNNGIINDQDKRFNIFKDNLRFIDLHNEKNKNATYKLGLTKFTDLTNEEYRSLYLGARTEPVRRIAKAKNVNQKYSAAVDGKEVPETVDWRLKGAVNPIKDQGTCGSCWAFSTAAAVEGINKIVTGELISLSEQELVDCDNSYNQGCNGGLMDYAFQFIMKNGGLKTEKDYPYRGFGGKCNSFLKNAKVVSIDGYEDVPTKDETALKRAISLQPVSVAIEAGGRIFQHYQTGIFTGNCGTNLDHAVVAVGYGSENGVDYWIVRNSWGPRWGEEGYIRMERNLASSKSGKCGIAVEASYPVKYSPNPVRGSISSV >fgenesh2_kg.7__3290__AT5G41190.1 pep chromosome:v.1.0:7:21684512:21687117:1 gene:fgenesh2_kg.7__3290__AT5G41190.1 transcript:fgenesh2_kg.7__3290__AT5G41190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKPTSMWSSIVKKDPPSKPPVNDGAPAAILGMVGNCKSTKGISMAVVDANAVIEGGQSLTNFADRFVTVPEVLSEIRDPASRRRLSFIPFTIDTMEPSPESLSKVIKFARATGDLQTLSDVDLKLIALSYTLEAQVHGTKNLRDVPPPIQTVRVKRLPEKDLPGWGSNVSNLDEWEALENETEEQSNANSKILPLKDLNMNIIASDNVSEIGSVVSHTENHEVDEQEGEKKHRRYPPKKTEIKLEGKMVVKGIDASQGQYDDDDDDASDWRPAVSRSTHRRFLRRKATWEHHNALAEQEIQQDQEADKARHSKDPNENHAKDSGKGGEDLSSILKDMRLEEDSLRALHEGTEETNAEATLINGEDDIEVEAEGIDGTNQALENSEIASEAGDTFEASSIADDGSSEQSWSLRALSESSVACITGDYAMQNVILQMGLRLLAPGGMQIRQLHRWILKCHACYTVTPEIGRIFCPKCGNGGTLRKVAVTIGENGAIIAACKPRIILRGTQYSIPMPKGGREAITKNLILREDQLPQKFLHPRTKKKASKPGDEYFVSDDVFLNHHSDRKAPLQPPVRKAMSVFSQKRNPNDNHYSRSMH >fgenesh2_kg.7__3292__AT5G41150.1 pep chromosome:v.1.0:7:21700168:21741729:1 gene:fgenesh2_kg.7__3292__AT5G41150.1 transcript:fgenesh2_kg.7__3292__AT5G41150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFHQQIISDLLEDSNGGLVILSSGLSLAKLIASLLILHSPSQGTLLLLLSPAAQSLKSRIIHCISSLDSPTPTEITADLPANQRYSLYTSGSPFFITPRILIVDLLTQRIPVSSLAGIFILNAHSLSETSTEAFIVRIVKSLNGSAYIRAFSDRPQAMVSGFAKTERTMRALFLRRLHLWPRFQLDVSQELEREPPEVVDIRVSMSNYMVGIQKAIIEVMDACLKEMKKTNKVDVDDLTVESGLFKSFDEIVRRQLDPIWHTLGKRTKQLVSDLKTLRKLLDYLVRYDAVSFLKFLDTLRVSESYRSVWLFAESSYKIFDFAKKRVYRLVKASDVKSKELVKNKSGKKRKSKGENDSVEAVGGETATNVATGVVVEEVLEEAPKWKVLREILEETQEERKKQAFSEEDNSDNNGIVLVACKDERSCMQLEDCITNNPQKVMREEWEMYLLSKTELRSMQTPQKKKQKTPKGFGILDGVVPVTTIQNSEGSSVGRQEHEALMAAASSIHKLGKTTDMALGNNNPEPHVEKASCTKGKAKKDSTSLRRSIRSCNKKKENSKPEILAGPENEEKASEASTSGPREANVVHSSSAKKLPHVHFYALESDQPILDILKPSVIIVYHPDMGFVREIEVYKAENPLRKLKVYFLFYDESTEVQKFEASIRRENEAFESLIRQKSSMMIPVDQDGLCMGSNSSTEFPASSTQNSLTRKAGGRKEMEKETQVIVDMREFMSSLPNVLHQKGMKIIPVTLEVGDYILSPSICVERKSIQDLFQSFTSGRLFHQVEMMSRYYRIPVLLIEFSQDKSFSFQSASDISDDVTPYNIISKLSLLVLHFPRLRILWSRSLHATAEIFTTLKSNQDEPDETRAVRVGVPSEEGIIENDIRAENYNTSAVEFLRRLPGVSDANYRTIMEKCKSLAELASLPVETLAELMGGHKVAKSLREFLDAKYPTLL >fgenesh2_kg.7__3295__AT5G41130.1 pep chromosome:v.1.0:7:21760393:21764254:1 gene:fgenesh2_kg.7__3295__AT5G41130.1 transcript:fgenesh2_kg.7__3295__AT5G41130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTLCIADGIYPNDFFYRNSIRRLASVAHDRFTIPKFGIDGRLRNGGKVTATVNPYTYTEAAQPEERKSLTDFFVEARDFFRSDGGDGGPPRWFSPLECGARAPESPLLLYLPGIDGTGLGLIRQHKKLGEIFDIWCLHFPVTDRTPARDLVKLIERTVRSEYFRLPNRPIYIVGESIGASLALDVAASNPDIDLVLILANPVTRFNNFMLQPLSGLLEILPDRVPSFLEENFRFEQGYPFAAMFETMLNETDAAQMGGGLFGDIFATSVNLPTLARIFPKDTLLWKLQLLKSASASAKSHMYTVKAQTLILLSGRDQWLLNKEDIERLHSTLPNCEVRKFENYGQLLFLEDGIDLVTIIKCTYYYRRGKSLDYVSDFILPTPFELKEYEESQRLLTAITSPVFLSTLDNGTVVRSLAGIPSEGPVLYVGNHMLLGTELRPAAIHFLKERNILLRGLAHPVMFAKKFGSKLPDMHMFDSVRMIGAVPVSNINFYKLLRSKAHVVLYPGGVREALHRKGEVYKLFWPEHSEFVRTASKFGAKIIPFGVVGEDDLCEVVFDYNDQMKIPFLKNLIKELTQDSTYLRNGEEGEVGNQALHMPGIIPKIPGRFYVYFGRPIETEGREKELNDKEKAHEVYLQVKSEVERCMNYLKIKREGDPYRNILARSLYHLSHGLSSQVPTFDLRNQ >fgenesh2_kg.7__3296__AT5G41120.1 pep chromosome:v.1.0:7:21774591:21778681:1 gene:fgenesh2_kg.7__3296__AT5G41120.1 transcript:fgenesh2_kg.7__3296__AT5G41120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7MIY9] MAITITDGISPTFSSASTRASNLHCCFWNSTQRLTSRKFGIDVKLKATVNPYSYTDEVRPEERKSLTDFLVEAGDFVRSDGGDSGPPRWFSPLECGARAPGSPLLLYLPGIDGTGLGLIRQHKRLGEIFDIWCLHFPVKDRTPARDLVKLIEKTVRSEHYHFPNRPIYIVGESIGASLALDVAASNPDIDLVLILANPVTRFNNFMLQPLLGLLEILPDEVPSLITENFVFNQEMFETMLNETDAVKMGRGLLGDFFATSSNLPTLIRIFPKDTLLWKLQLLKSASASVNSQMDTVNAQTLILLSGRDQWLMNKEDIERLRGALPRCEVRELENNGQFLFLEDGVDLVTIIKRAYYYRRGKSLDYISDYILPTPFEFKEYEESQRLLTAVTSPVFLSTLNNGAVVRSLAGIPSEGPVLYVGNHMLLGMELHAIALHFLKERNILLRGLAHPLMFTKKFGSKLPDMQLYDLFRIIGAVPVSGMNFYKLLRSKAHVALYPGGVREALHRKGEEYKLFWPEHSEFVRIASKFGAKIIPYGAVGEDDLCEMVLDYNDQMKIPLLKNLIEEITQDSVNLRNDEEGELGKQDLHVPGIVPKIPGRFYVYFGKPIETEGREKELNNKEKAHEVYLEVKSEVERCMTYLKMKRETDPYRNILPRSLYYLSHGFSSQIPTFDLRNH >fgenesh2_kg.7__3297__AT2G07110.1 pep chromosome:v.1.0:7:21781959:21782406:1 gene:fgenesh2_kg.7__3297__AT2G07110.1 transcript:fgenesh2_kg.7__3297__AT2G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KTP2] SYGSLKPDRLVIVNAFRCSEEGLFCCLRKVEREYKTILKRTLQSICVLKVVPNSTTSVIIQVVHDGGSVSFLCSLHLGKYLLMLSETHIIRYKQCLFEYL >fgenesh2_kg.7__3298__AT5G41110.1 pep chromosome:v.1.0:7:21783517:21785942:-1 gene:fgenesh2_kg.7__3298__AT5G41110.1 transcript:fgenesh2_kg.7__3298__AT5G41110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MIZ1] MGLPHTASNEQDHEALSAPSCSLSQSLPVNATSCDSDGINRGNEGSFCFSIGESDRQTALESLEFRDDLWRFHDTRAVTSLSAHEPPYAMDKVNLSRTEVRRIVGFESNESSSPSNEYTSITVNRTGGSNEVNIIGGSLVRKRVSSPLNKLFPEKFRGDLLDISRSNQQLTSAGIPNGFHIPVAQDHKKANISGRLRLFTTSTCSEWGNDSSHTGKLSSTVFTDGPLLDSNDLQPTKDVHCLYLPLHETFQVPAKPSPCHRNISVSPPLSLSPLGPRFPERMKALQGGLNGNIFEDGVCLKNTGEEAELRTGHRLFDDTNGIQRAFSMDRAIESVPTSPCKRFSRSLSGRPIQRSLVGSFEESLFSGRLSYGQANQKIDGFLAILSIAGGNISPKSQKLPFSVTSVGDDCLLLYYASIDLSKGSLPNKLWGQKLKTNQNKSDAQTIINKRLRIPMKGRIQLVLSNPEKTPLHTFLCNYDLTDMPHGTKTFLRQKVTLASSVRTKAKKSANKSSEGSESVDELHSPNECKNKNCRETYRETGQRCSKSGVLRYALHLKFICPLRKKASKLGQKKSLDAGDDGERRFYLYNDLRVVFPQRHTDSDEGKLNVEYHYPENPRYFDV >fgenesh2_kg.7__3302__AT5G41080.1 pep chromosome:v.1.0:7:21794253:21796590:-1 gene:fgenesh2_kg.7__3302__AT5G41080.1 transcript:fgenesh2_kg.7__3302__AT5G41080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7MIZ4] MALRTVLVSDVPSLPESVYGLSEGLELSKPTSFRLPGFSVIGHRGIGMNVLQSSDRRTRGVKENSILSFNSAAKYPIDFIEFDVQVTKDDYPVIFHDDFIYSEENGIVNESRVTDLSLSEFLLYGPQKETEKIGKTLMRKSKEGKVLKWDVDLDDSLCTLQEAFEQVEQTLGFNIELKFDDQTVYEREFLVHVLRSVLQVVSNYAKDRPVIFSSFQPDAAKLVRELQSTYPVFFLTDAGNEVHNDERRNSLEEAIQVCLEGGLQGIVSEVKGVFRNPAAISMIKESNLSLLTYGKLNNVGEAVYMQYVMGIDGVIVDFVEEIIQSTTLMMIRPPPPSSSPLPSPSKYDDVAITRPEFSQKEISFLLKLLSQLIQH >fgenesh2_kg.7__3303__AT5G41070.1 pep chromosome:v.1.0:7:21801994:21803728:-1 gene:fgenesh2_kg.7__3303__AT5G41070.1 transcript:fgenesh2_kg.7__3303__AT5G41070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DsRNA-binding protein 5 [Source:UniProtKB/TrEMBL;Acc:D7MIZ5] MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKASVNFNGEIFESPTYCSTLRQAEHAAAEVSLNVLSSRVPSKSLTAKILDETGIYKNLLQETAHRAGLDLPMYTSVRSGSCHFPGFSCTVELAGMSFTGESAKTKKQAEKNAAIAAWSSLKRMSSLDSQDEEKEQEAVARVLSRFKPKEVRRRETTNQWRRRTSQQDSNKDLLIERLRWINLLTNQASSSSSSSSSTQNQHKQTSFIPLIPPPPPPKSSKILPFIQQYKDISSQEAKTETPTEMISSKAKVNETSNRFSKQMPFSDNGRYNFVGGCSVNPLSLAPAVQIRSVIPVFAAPPSKPNPNPNPSSLSSSVNESTTSKNSCSVLNTTGLGGQKKKSQSCEIIKLGLESRISNQTHD >fgenesh2_kg.7__3307__AT5G41040.2 pep chromosome:v.1.0:7:21809448:21811253:-1 gene:fgenesh2_kg.7__3307__AT5G41040.2 transcript:fgenesh2_kg.7__3307__AT5G41040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MIZ8] MDNNMKGTNFHLEVHQKEPALVKPESETRKGLYFLSNLDQNIAVIVRTIYCFKSEERGNEEAVQVIKKALSQVLVHYYPLAGRLTISPEGKLTVDCTEEGVVFVEAEANCKMDEIGDITKPDPETLGKLVYDVVDAKNILEIPPVTAQVTKFKCGGFVLGLCMNHCMFDGIGAMEFVNSWGQVARGLPLTTPPFSDRTILSARNPPKIENLHQEFEEIEDKSNINSLYTKEPTLYRSFCFDPEKIKKLKHQATENSESLLGNSCTSFEALSAFVWRARTKSLKMLSDQKTKLLFAVDGRAKFEPQLPKGYFGNGIVLTNSICETGELIEKPLSFAVGLVREAIKMVTDGYMRSAIDYFEVTRARPSLSSTLLITTWSRLGFHTTDFGWGEPILSGPVALPEKEVTLFLSHGEQRRSINVLLGLPVSAMDVFQEQFLQI >fgenesh2_kg.7__3309__AT5G41030.1 pep chromosome:v.1.0:7:21813298:21814005:-1 gene:fgenesh2_kg.7__3309__AT5G41030.1 transcript:fgenesh2_kg.7__3309__AT5G41030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKKNPTLPSFLNPSPQNLDNDKKRKQTEVKGFDIVVREKEEEEQIQLLDEKKKKPNRDRHRKVEGRGRRVRLPPLCAARIYQLTKELGHKSDGETLEWLLQQAEPSIISATGNGIKPIGTTTTDSVSQPSLTADLMVRHNFEGASRTQMAANETEQTTGGFDLNYGIGFGFNGVSEIGFGNNQTPGLELGLSQVAVLNPQVYQQMGQEQSRVLHHHHSYEDQQQSAEKNGS >fgenesh2_kg.7__3310__AT5G41000.1 pep chromosome:v.1.0:7:21818954:21822138:-1 gene:fgenesh2_kg.7__3310__AT5G41000.1 transcript:fgenesh2_kg.7__3310__AT5G41000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEIPRSTEISETLLLPATNLDPDEDVPEWKDQITIRGLISSALLGILFCIITHKLNLTIGIIPSLNVAAGLLGFFFIKSWTGFLSKLGFSSKPFTKQENTVIQTCVVSCYGLAYSGGFGSYLIAMDDRTYKLIGSDYPGNNPEDVINPGLWWMTGFLFVVSFLGLFSLVPLRKVMILDYKLTYPSGTATAMLINSFHNNTGAELAGNQVKCLGKYLSLSLFWSCFKWFFSGIGDACGFDHFPTLGLTLFKNTFYFDFSPTFIGCGMICPHLVNCSVLLGAIISWGFLWPSISQHAGDWYPADLEANDFKGLYGYKVFIAIAIILGDGLYNLIKIIIVTVKEICNKSSKQQHLPVFTDILDKSKTSELMREKKKRDDIFLKDRIPLEFAVSGYVGLAAISTAIIPLIFPPLKWYFVLCTYLVAPGLAFCNSYGAGLTDMSMPSTYGKTGLFIVASIVGNNGGVIAGLAACGIMMSIVSTAADLMQDFKTGYLTLSSAKSMFVTQLLGTAMGCIIAPLTFWLFWTAFDIGDPDGLYKAPYAVIYREMAILGIEGFTKLPKHCLALCCGFFIASLIVNLIREITPPKISKLIPLPMAMAGPFYIGAYFTIDMFIGTVIMFVWERMNKKDADDYSGAVASGLICGDGIWTIPSAVLSILRINPPICMYFGPS >fgenesh2_kg.7__3311__AT5G40990.1 pep chromosome:v.1.0:7:21828543:21830009:-1 gene:fgenesh2_kg.7__3311__AT5G40990.1 transcript:fgenesh2_kg.7__3311__AT5G40990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQLVSITFLAYTIIISVGSINCIDNNNLVTNQSALFVFGDSVFDAGNNNYIDTFSSVRSNYWPYGQTTFKSPTGRVSDGRLIPDFIAEYAWLPLIPPNLQPFNGNNQFTYGVNFASGGAGALVGTFSGLVINLRTQLNNFKKVEKMLRSKLGDAEGKRVISRAVYLFHIGLNDYQYPFTTKSSIFQSISNEKYVDYVVGNMTDVFKGRKFGFLNTGPYDCAPASLVIDQTKIGSCFQPVTKLINLHNKKLLNGLRRLNHELSGFKYALHDYHTSLSERMNNPSKYGFKEGKKACCGSGPLRGINTCGGRMGLSQNYELCENVTDYLFYDPFHLTEKANQQIAELIWSGPTNITGPYNLKALFELN >fgenesh2_kg.7__3312__AT5G40970.1 pep chromosome:v.1.0:7:21833033:21833475:-1 gene:fgenesh2_kg.7__3312__AT5G40970.1 transcript:fgenesh2_kg.7__3312__AT5G40970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPVLVGVVLFVLLSPGLLFSLPGHHHTLQFGGMKTNGKAIAVHTLIFFAAYTILILAVNLHIT >fgenesh2_kg.7__3313__AT5G40950.1 pep chromosome:v.1.0:7:21837975:21839149:-1 gene:fgenesh2_kg.7__3313__AT5G40950.1 transcript:fgenesh2_kg.7__3313__AT5G40950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSMTLNLVGAFKGLSLSSTSSFLRGDLNFSPKTTFTVTLPLENLQAPIPLTIESAHKKGAGSTKNGRDSPGQRLGVKIYGDQVAKPGAIIVRQRGTKFHAGKNVGIGKDHTIFSLIDGLVKFEKFGPDRKQISVYPREIVPENPNSYRARKRENFRLQREKKKARRENYTYTVPTPELVLASASIDDAETNPEC >fgenesh2_kg.7__3315__AT5G40940.1 pep chromosome:v.1.0:7:21841184:21842460:1 gene:fgenesh2_kg.7__3315__AT5G40940.1 transcript:fgenesh2_kg.7__3315__AT5G40940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MJ10] MASKILTTFFFLFFVLDLVAPSLTSVSSAVEVLSDSGYLSMGLTLQLANQDLNLEDWQELTIFAPSDQDFSRFGQPSLLDIKYQLSPTRLPGETLRNLPNGAKIPTLRSDSSLTVTNSSRFGRIISINNVVVQDSPVFDDGYIVIYGSGEFFTSPTKISDDSSSSSSIPNTTSSTGSIPIPSSATHTPPSPKFASDSTRNLPNGSKPVNCFNNFESASRLLMSRGFVIIATFLALQLEDTSGNDTKITVFAPIDEAIPNPSTKFSDYVTIFRGHVINRLLLWKDLQKLAKEGAILQSVLKGYEIEVSWSGDILLLNGFPLIYPDLFVNDWIAVHGFNQMIVPKEKQVNLGESITVLNNGEEEEEEGVHEEYSSELGDYGL >fgenesh2_kg.7__3319__AT5G40890.1 pep chromosome:v.1.0:7:21882027:21886130:1 gene:fgenesh2_kg.7__3319__AT5G40890.1 transcript:fgenesh2_kg.7__3319__AT5G40890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:D7MJ14] MDEDGNLQISNSNYNGEEEEEGDPENNTLNQPLLKRHRTLSSTPLALVGAKVSHIESLDYEINENDLFKHDWRSRSKTQVFQYIFLKWTLACLVGLFTGLIATLINLAVENIAGYKLLAVGYYIGQDRFWTGLMIFTGANLGLTLVATVLVVYFAPTAAGPGIPEIKAYLNGIDTPNMFGFTTMMVKIVGSIGAVAAGLDLGKEGPLVHIGSCIASLLGQGGPDNHRIKWRWLRYFNNDRDRRDLITCGSASGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRAFIEICNSGKCGLFGSGGLIMFDVSHVEVRYHAADIFPVTLIGVFGGILGSLYNHLLHKVLRLYNLINQKGKIHKVLLSLGVSLFTSVCLYGLPFLAECKPCNPSIDEMCPTNGRSGNFKQFNCPNGYYNDLATLLLTTNDDAVRNIFSSNTPNEFGMVSLWIFFGLYCILGLITFGIATPSGLFLPIILMGSAYGRMLGTAMGSYTNIDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMFVLLIAKTVGDSFNLSIYEIILHLKGLPFLEANPEPWMRNLTVGELNDAKPPVVTLNGVEKVANIVDVLRNTTHNAFPVLDGTDQTTGTELHGLILRAHLVKVLKKRWFLNEKRRTEEWEVREKFTPVELAEREDNFDDVAITSSEMQLYVDLHPLTNTTPYTVVQSMSVAKALVLFRSVGLRHLLVVPKIQASGMSPVIGILTRQDLRAYNILQAFPHLDKHKSGKLR >fgenesh2_kg.7__331__AT4G36910.1 pep chromosome:v.1.0:7:1449180:1451712:-1 gene:fgenesh2_kg.7__331__AT4G36910.1 transcript:fgenesh2_kg.7__331__AT4G36910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLYSVPLSFTRLRASSSPSSPYLLPPRFLSVQPCHKFNFSRSFPSKSRIPSASSAAGSTLMKNSSSPRSGVYTVGEFMTKKDDLHVVKPTTTVDEALELLVENRITGFPVIDEDWKLVGLVSDYDLLALDSISGSGRTENSMFPEVDSTWKTFNAVQKLLSKTNGKLVGDLMTPAPLVVEEKTNLEDAAKILLETKYRRLPVVDSDGKLVGIITRGNVVRAALQIKRSGDRNA >fgenesh2_kg.7__3321__AT5G40880.1 pep chromosome:v.1.0:7:21886339:21888792:-1 gene:fgenesh2_kg.7__3321__AT5G40880.1 transcript:fgenesh2_kg.7__3321__AT5G40880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MJ15] MDEATVIDSVVQSSKVSIFSMFSMDYKAPRRYSHGRNFGVARQQDFAADIVTRRPYVPYDSGMKKGRNNLSRNLVWTSKEYKAPEGDRPRKIAANGSTKPPVLGTGNCVSNQPRKSAAYGPRSSSISDTRGWGSRDTSSPKRSVCKYWKAGNCKRGEQCQFLHSWSCFPGLAMVAALEGHKKDLKGIALPQGSDKLFSVSSDGTLRIWDCNSGQCVHSINLQAEAGSLISEGPWVFLGLPNAVKAFNVQTSKDLHLEGVVGQVHAMTVANGMLFAGTSSGSILVWKATDSESDPFKYLTSLEGHHSGDVTCFVVGGQLLYSGSVDKTIKVWDLNTLQCVMTLKQHTGTVTSLLCWDKCLISSSLDGTIKVWACSENGSLKVVQTRKQEQSVHALCGMHDAKAKPIIFCSYQNATVGIFDLPSFEERGKMFSRHTIGTLTIGPEGLLFSGDKSGSLRVWSLAAGNKV >fgenesh2_kg.7__3323__AT5G40870.1 pep chromosome:v.1.0:7:21889056:21892942:-1 gene:fgenesh2_kg.7__3323__AT5G40870.1 transcript:fgenesh2_kg.7__3323__AT5G40870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:D7MJ16] MPEDSTSIDYAMEKASGPHFSGLRFDGLLSSSPPNSSVVSSLSHLRSAVSSSSPASSDPDAPKQPFIIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTSEELQRVQEYNFDHPDAFDTEQLLHCAVTLKSGQPYQVPIYDFKTHQRRSDTFRQVNASDVIILEGILVFHDSRVRNLMNMKIFVDTDADVRLARRIRRDTVERGRDVNSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAVDLITQHIHTKLGQHDLCKIYPNVYVIQSTFQIRGMHTLIREKDISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGAVYTGVDFCKKLCGVSIIRSGESMENALRACCKGIKIGKILIHRDGDNGKQLIYEKLPHDISERHVLLLDPVLATGNSANQAIELLIQKGVPESHIIFLNLISAPEGIHCVCKRFPALKIVTSEIDQCLNQEFRVIPGLGEFGDRYFGTDEEDQ >fgenesh2_kg.7__3324__AT5G40860.1 pep chromosome:v.1.0:7:21894607:21896206:-1 gene:fgenesh2_kg.7__3324__AT5G40860.1 transcript:fgenesh2_kg.7__3324__AT5G40860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNDSLPVNNSPVTAEENVGVTEKPFFSLENLAPAATPLTVANGLSAQVKSRWSFSSSKRSFGSSKDETFFDSHQWLQSDSEDDFYSVHGDFTPSLGNTPKCSFSEKLPRFHNPLFEGEKPRVSFSHSPAPRRKKLGELFRDSIREEREENLEEPSENQSEKSKKSSGDNFGELKVIEDSVEEKKNLKSLNYHHRCLPRFSSFKGSLMEKRRKKKKKIHVK >fgenesh2_kg.7__3325__AT5G40855.1 pep chromosome:v.1.0:7:21897470:21897664:1 gene:fgenesh2_kg.7__3325__AT5G40855.1 transcript:fgenesh2_kg.7__3325__AT5G40855.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MJ18] HQNHFLCIHFTILLHLNKCHTFIVPKRYDFIKCKYQLKSSPAKSLVHLKTWKTHAPRHMISNEDK >fgenesh2_kg.7__3326__AT5G40850.1 pep chromosome:v.1.0:7:21897696:21909449:-1 gene:fgenesh2_kg.7__3326__AT5G40850.1 transcript:fgenesh2_kg.7__3326__AT5G40850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQRIPISSSSVRNWQQARTNSSPICCLHYNTASSSSPFTEKHSVERYQRDQWLYKAVEPTPPSTPSPSPFEDEVFVRENDIASQLPELKKLLAVLREKRVKGCKGGDCGPGDVYLVGTGPGDPELLTLKAVRVIQSADLLLYDRLVSNDVLELVAPDARLLYVGKTAGYHSRTQVEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIQVQVIPGITAASGIAAELGIPLTHRGVATSVRFLTGHSRKGGTDPLFVAENAADPDTTLVVYMGLGTLPSLAQKLMDHGLPCDTPAVAVERGTTPLQRTVFAELKDFATEIQSAGLVSPTLIIIGKVVELSPLWPHCTKESSCLVETR >fgenesh2_kg.7__3327__AT5G40840.1 pep chromosome:v.1.0:7:21918754:21923057:1 gene:fgenesh2_kg.7__3327__AT5G40840.1 transcript:fgenesh2_kg.7__3327__AT5G40840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQCLVSRKGPLGAIWVAAYFFKKLKKAQVKATHIPSSVDQILQKELDALTYRVLAYLLLGVVRIYSKKVDFLFDDCNKALIGVKEFVAKEKNREKTGVSLPASIECFSIALPERFELDAFDLGILEDFHGGNVKPHEDITLKDGSQEPESMDMYSMERFDMEEDLLFTFHETFSANHNENKHESFAHDMDMDAENVRDTTEEASVRVVEAEPLDSTESSRDHQNASRHREHPESDDILVEPQMSEDIRRAQEEDTFRETISTIVQRLVDPHESSGDNLRRDGYIENLESEKTSCEEMQHEGSLPSECVRPEAIHRIEDQLCGATRINGEKEIPEMSTLEEPEPVSVTGSRDSPECVEKCRDHNEAEMGNFELLHGSHKEQSDTSEVNLHGSEKGFLSDMTVSKDPSRGFNAANTPVAVTPKTPSRVKISEGGTSPQFSIIPTPAAKESSRVSRKRKCLIDDEVLIPNKVMKEMIEDSSKLLAKRRNVPHTDCPERRTKRFANPFRSFLEPLIQYGSSDLQSLFCQPIKLKNWATTGTPRDAKIARRKENSSLDTVRTPGVILSSDQTENTQEIMETPQAAALAGLKVTAGNSNMVSVEMGASSITSGTAHQTENAAETPLKPSVIAPETPVRTSEQTEIAPETPVVSEQVEIAPETPVRESMSKRFFKDPETCYKKSRPASPFTSFEEHPSVYYVENRDLDMILMNDEVNADETHDLQQETWSARTRNVAKFLEKTFVEQREREEEEKVSLLQLCRGRTQKESASLFYETLVLKTKGYLEVKQNRPYSDVLLTPFARQQKAC >fgenesh2_kg.7__332__AT4G36920.1 pep chromosome:v.1.0:7:1463597:1466118:1 gene:fgenesh2_kg.7__332__AT4G36920.1 transcript:fgenesh2_kg.7__332__AT4G36920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDAPHQTLREEEESEEFCYSSPSKRVGSFSNSSSSAVVIEDGSDDDELNRVRPNNPLITHQFFPEMDSNGGGGDGGVASGFPRAHWFGVKFCQSDLATGSSAGKAATVAAAVVEPAQPLKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYDDDLKQMTNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEVEAARAYDKAAIKCNGKDAVTNFDPSIYDEELNAESSGNPTPQDHNLDLSLGNSANSKHKSQDMRLRMTQQQQDSLHSNEVLGLGQTGMLNQFSGSSNIGGGGGFSLFPVAENHRFDDRATTNQVLTNAAASSGFSPHHHNQIFNSTSSSHQNWLQTNGFQPHLMRPS >fgenesh2_kg.7__3330__AT5G40830.2 pep chromosome:v.1.0:7:21927252:21928984:1 gene:fgenesh2_kg.7__3330__AT5G40830.2 transcript:fgenesh2_kg.7__3330__AT5G40830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRAD3 [Source:UniProtKB/TrEMBL;Acc:D7MJ22] MGSVSLKIGDGSARFKRSTLFSSAINLLMLFSIVTTNLFALYAFSSRSQSHTPHPLHSNNVSLVSQHLSLILREIDSSHRTLSLMEKQMIGFESLDLSHQEVPQELKLFLQQHQLPLGKDSRTGITHMVASVGHSCEMSLDLLSQYMSYNVFEKCPDDWSLAQKLILRACEPLPRRRCLAKTVQKTGLAWFPDSLWRPVSNSSVNWSGLGCKSFECLKAKKLSRDCVGCFDLATSHEKDRFVKVKGKTDFLIDDVLGLSDGKIRIGFDISSGSGTFAARMAEKNVNIISNTLNIDAPFSEFIAARGVFPLFMSLDQRLPFYDNVFDLIHASNGLDLAASNKPEKLEFLMFDLDRILKPGGLFWLDNFYCGNDEKKRVLTRLIERFGYKKLKWVVGEKTDVEVYLSAVLQKPARI >fgenesh2_kg.7__3336__AT5G40770.1 pep chromosome:v.1.0:7:22025659:22027601:1 gene:fgenesh2_kg.7__3336__AT5G40770.1 transcript:fgenesh2_kg.7__3336__AT5G40770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPHB3 [Source:UniProtKB/TrEMBL;Acc:D7MJ38] MGSQQAAVSFLSNLAKAAFGLGTAVTVLNTSLFTVDGGERAVIFDRFRGVMDQTVGEGTHFLIPILQRPHIFDIRTKPHTFSSISGTKDLQMVNLTLRVLSRPEVSRLPYIFQTLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRESLITRAKDFNIVLDDVAITHLSYGVEFSRAVEQKQVAQQEAERSKFVVMKADQERRAAVIRAEGESEAAQLISDATAKAGMGLIELRRIEASREIASTLARSPNVAYLPGGQSMLFALNR >fgenesh2_kg.7__3338__AT5G40750.1 pep chromosome:v.1.0:7:22034938:22036338:-1 gene:fgenesh2_kg.7__3338__AT5G40750.1 transcript:fgenesh2_kg.7__3338__AT5G40750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYLDDGTTTRSLRLHKAPILESLDIELGPHCPVDANVGKWIANAVDRRVFVDVSSPVCLPSLKRLHLVSVVYKDEDSVVRLLSSCPILKYLYVLRHDQDNVTNFSVKVPSLETLTYCNVKPKVVGEEDVQDIGGSLAIDSKILKEFAIIDTSPNSYPNDKFMRSLSSQPNDVPLCLSTKLKIFEWNEYGGTSEEKHVLSYILANSKCLKRAGISLKSTWKWNKKKIMKELKSIYRISTSSQLLFSTQLEFEAKVDKK >fgenesh2_kg.7__3340__AT5G40730.1 pep chromosome:v.1.0:7:22045813:22046241:-1 gene:fgenesh2_kg.7__3340__AT5G40730.1 transcript:fgenesh2_kg.7__3340__AT5G40730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMFVQIAVVCLLATIAVVSAHEGHHHHAPAPAPGPASSSAVVSATNMFTVLAIAAVALVVGSNH >fgenesh2_kg.7__3341__AT5G40720.1 pep chromosome:v.1.0:7:22047597:22049687:-1 gene:fgenesh2_kg.7__3341__AT5G40720.1 transcript:fgenesh2_kg.7__3341__AT5G40720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRRKRGGLSGGDVVVSWRRFFRFVSLFVFSFVLFSALFIFFGKIRPVVRSSVNAVLRGSVPAVKVVTIHEAVEFPDQTLIFLKYPPYSRLFTKEDLFCVFSDVNDSSKLFKELPYAVETDDYGRHIVRCSAVPRGNTVSLAVSRWTVDNSNLQVGLTHRWDWLVYDAVIDDDNSTVVFVKGLNLRPGKVADVSRYECVYGWDFTKPKLLLRAQVISAAQEIVRCKTPLTVLDGPRKAQSQPVKVSVRIKGSGMLPSVAHPIKRPGRVKDTKTFETCVCTMTRNAANVLREWVIYHAGIGVQRWFIYDNNSDDDIVSEIKNLENRGYNISRHFWPWIKTQEAGFASCAIRAKSDCDWVAFIDVDEFFYIPSGQTLTDVIRNHTTSSGEIGEIRTPCHSFGPSGLSDPPGGGVTAAYTCRMALPERHKSIIRPESLNATLINVVHHFHLKEGFAFADVDKGMMVINHYKYQVWEIFKEKFKRRVATYVADWQNEENVGSKDRAPGLGTRPVEPSDWAERFCEVRDIGLRDWVLDNFRDRKTQRLVWEREARRVEDEVIVQMGSWADKRVGRKRKKQLKAQ >fgenesh2_kg.7__3342__AT5G40710.1 pep chromosome:v.1.0:7:22050849:22052915:-1 gene:fgenesh2_kg.7__3342__AT5G40710.1 transcript:fgenesh2_kg.7__3342__AT5G40710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MJ46] MVKSKCPCHWILLCFLVSSQFWGFSLPTSIIKQHLKGFKDPVDGSFHEIHCSRERSRVAWKIIQEYLMPYVEKERYQLPSSCRVHRDNDIYREQEEHKVHSDINEWRCGFCKKAFYEEKYLDKHFDSRHYNLLNASHGKCLADLCGALHCDLVVNTAQLKSKCNPAASARNRHLCESLANSCFPVNKGPSANRLHDFFLRQFCDAHTCSGGSRPFSQKPKKRGKLYIIISISTLIVLLLYYSFVYLFQRGLKRGSQELKRIRRNGLKKKPF >fgenesh2_kg.7__3345__AT5G40700.2 pep chromosome:v.1.0:7:22057289:22058857:1 gene:fgenesh2_kg.7__3345__AT5G40700.2 transcript:fgenesh2_kg.7__3345__AT5G40700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAVLFHSYSFAAPPSRNESHEDNPIHALSQSVSFGRFVTENLEWGKWSSFSHKKYVDEAEKFSQPGSVAQKKAFFEAHYKKIAEAKKAKASDESSDTKQEEQEPESVAVLLNTLETLTKDEVKEEESDETELVMSSGEEPERKSVAVLEQDDGGLQVVHDDKEKENHSEDGELLKKSCFVGEKEEERKSVTNNSSVVRLSMEKSATSETRDNAMELVFSLKISGKAITHSSLKKNEKPVRPRFGFLSCLIGNTKTQDQNPAMKKQRKTSKKPFLCLCFKPEMAGETEAARQR >fgenesh2_kg.7__3346__AT5G40690.1 pep chromosome:v.1.0:7:22059144:22060043:-1 gene:fgenesh2_kg.7__3346__AT5G40690.1 transcript:fgenesh2_kg.7__3346__AT5G40690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MJ48] MKREGKQHGMVRTYRILPPSLNPRPESKLVNPLTSRPTAGLFTKVTSKPTNHSKFTGKCGQARCLDCHMHPITKSKAKTKGSSKVRSNDVTYKMLTWQVAAGGPRPGLKLSGFSATGILDLMSDDYGYDHDYEDDEEDEEEEENRASVVEEIVKIQSSDDDGETEEDGSHDDDDDDDDTDDDGRMSFCDVGMMMMMDHVEEYDEGWYLVEEMM >fgenesh2_kg.7__3348__AT5G40670.1 pep chromosome:v.1.0:7:22063205:22065644:-1 gene:fgenesh2_kg.7__3348__AT5G40670.1 transcript:fgenesh2_kg.7__3348__AT5G40670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MJ50] MASWNSIPLEISYEIVGWIAFASWSISFYPQLILNFRRKSVVGLNFDFVMLNLTKHSSYMIYNVCLYFSPVIQKQYFDTYGDKEMIPVAANDVAFSIHAVVMTALTLFQIFIYERGPQKVSRLAIGIVVVVWAFAAICFFIALPTHSWLWLISIFNSIQVFMTCVKYIPQAKMNFTRKSTVGWSIGNILLDFTGGLANYLQMVIQSIDQNSWKNFYGNIGKTLLSLISIFFDILFMFQHYVLYPEKKASKSLETGEESNEPLIDSSHEHV >fgenesh2_kg.7__3349__AT5G40660.1 pep chromosome:v.1.0:7:22066514:22068397:1 gene:fgenesh2_kg.7__3349__AT5G40660.1 transcript:fgenesh2_kg.7__3349__AT5G40660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLIGRAFKSARNSNLAVRARSFCTTSAARQPDSETQPSESSSSSSSFTFEKGNEKPILVKAPNTRRNNESDSVTMPTSFMTGSIVGKRFYKKVTTREADDGNGWTVMLDYRTLKTPSKRPLKLRSLALAKAIAAEWEYQLTEGIRPFTMPLMRLACTALERVPLTRSKIIEHLSRKLHQDLVFFRAPEDNDLTTDVHEIQVERIDPLLEWVESEFGIKPNLYSSIFGGKQDDKLVKAVEDLLKKTNDGELASIDALQASAHSIVIALGIFCGKLQIDDAIKLIRLEEDLQVDKWGLVEGGHDIDIADLKVQISSATVFLALSREN >fgenesh2_kg.7__3350__AT5G40650.1 pep chromosome:v.1.0:7:22068487:22070470:-1 gene:fgenesh2_kg.7__3350__AT5G40650.1 transcript:fgenesh2_kg.7__3350__AT5G40650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase [ubiquinone] iron-sulfur subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7MJ52] MTFGLIGRVVGTKSSRLYTAARLIPARWTSTGSEAQSKASTGGGGASLKTFQIYRWNPDNPGKPELQDYQIDLKDCGPMVLDALIKIKNEMDPSLTFRRSCREGICGSCAMNIDGCNGLACLTKIESGSKETTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKNPASVPGKEILQSKKDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWISDSRDEYTKERLEAIDDEFKLYRCHTILNCARACPKGLNPGKQITHIKQLQKSG >fgenesh2_kg.7__3351__AT5G40640.1 pep chromosome:v.1.0:7:22071501:22099776:-1 gene:fgenesh2_kg.7__3351__AT5G40640.1 transcript:fgenesh2_kg.7__3351__AT5G40640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTGILASLWQFILFIPYFTGLLLLGVIKGIIFCPLICLIVAIGNSAIILGLLPVHAIWTLYSIASAKQLGPILKIFLCLCVPLGVILWLVVSIVGSILGGALYGFLSPIFATFDAVGEGKSNPFFHCFYDGTWSTVQGSFTVVCDFKDVCFHSYFSFMDDLRTPSADRHYYEIRLLQIPGAVIVAVLGILVDFPVISLLALCKSPYMLFKGWHRLFHDLIGREGPFLETMCVPIAGLVILLWPLAVVGAVLGSMVSSVFLGAYGGVVSYQESSFFFGLCYVVASVSIYDEYSNDVLDMPEGSCFPRPIYRRNEEVASTTLSGGLSRPNSFKTTPSRGGSNKGPMIDLKPLDLLEALFVECRRHGEIMVTKGIINSKDIEEAKSSKGSQVISFGLPAYSLLQELLRSIKSNSTGLLLGDGVTEITTRNRPKDAFFDWFLNPFLILKDQIEAANLSVEEEEYLGKLVLLFGDSERLKSSIVESESPPLTELRKGELDAFARRLQGLTKSVSRYPTFRRHFVELVKKLSNDLDNKHNRFEGGSRSVPRPGKTVSRIFSQKSFKKKTSSNGSDQDSPNRGLRDIDIV >fgenesh2_kg.7__3353__AT5G40620.1 pep chromosome:v.1.0:7:22117165:22117548:-1 gene:fgenesh2_kg.7__3353__AT5G40620.1 transcript:fgenesh2_kg.7__3353__AT5G40620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRYFSFVTLIGLALAGGAYAANSSPPSPPSDSSTEKSPPATPSLPSLNATFVPDYSDYEVPMNLAPDGVEIVQDYVPIVQDEDKEADELEGKSDKKANQPKDSASSSSYSSSFVVFVVAAGLFLF >fgenesh2_kg.7__3354__AT5G40610.1 pep chromosome:v.1.0:7:22128945:22131350:1 gene:fgenesh2_kg.7__3354__AT5G40610.1 transcript:fgenesh2_kg.7__3354__AT5G40610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:D7MJ57] MSPALEKSRQGNGECNEVSKSKVTVVGSGNWGSVAAKLIASNALKLPSFHDEVRMWVFEEVLPNGEKLTDVINKTNENVKYLPGVKLGRNVVADPDLENAVKEANMLVFVTPHQFMDGICKKLDGKITGDVEAISLVKGMEVKKEGPCMISSLISKQLGINCCVLMGANIANEIAVEKFSEATVGYRGSREIADTWVQLFSTPYFMVTPVHDVEGVELCGTLKNVVAIAAGFVDGLEMGNNTKAAIMRIGLREMKALSKLLFPSVKDSTFFESCGVADVITTCLGGRNRRVAEAFAKSGGKRSFDELEAEMLQGQKLQGVSTAREVYEVLNHCGWLEMFPLFSTVHQICTGHLQPEAIVQYRENKL >fgenesh2_kg.7__3358__AT5G40580.2 pep chromosome:v.1.0:7:22141783:22143965:1 gene:fgenesh2_kg.7__3358__AT5G40580.2 transcript:fgenesh2_kg.7__3358__AT5G40580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:D7MJ59] MTQSSVDLPPKGGFSFDLCKRNDMLTQKGLKAPSFLKTGTTIVGLIFKDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLRLHRYQTGRDSRVITALTLLKKHLFNYQGHVSAALVLGGVDITGPHLHTIYPHGSTDTLPFATMGSGSLAAMSVFEAKYKEGLTRDEGIKLVAEAICSGIFNDLGSGSNVDICVITKGHKEYLRNYMEPNPRTYVSSKGYSFTKKTEVLLTKITPLSERVEIVEVAGEAMEE >fgenesh2_kg.7__3362__AT5G40560.1 pep chromosome:v.1.0:7:22149137:22150901:-1 gene:fgenesh2_kg.7__3362__AT5G40560.1 transcript:fgenesh2_kg.7__3362__AT5G40560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITNAHVVDNHILVLVIKHGSPKKYKAEVKAIGHDCDLAILVIESKEFWEDMNPLELGDMPFLQQSVNVIGYPKGGESISVTKGVVSRIESKDYVQGATNLPVLQTDAAINSGNSGGPVCIGNKVVGVAFQTLRHSNNIGYLIPAPVVKHFITIVEKSGRYVGFCSLNLSYQPMDAHFRSHFKMNSEMTGILIYNINQHSDALNILKKYDVILAIDGVAIENDGTVILPNRERIRLDDLVSMKQFGETILLKILRDGKMHEFNITLKPQINPGIGHINVNSVNKVKVENLKHLLELGDGRVIILDYQSAKSSTSLILERHRVPSAMSKDLMIEQSKACLSTKLY >fgenesh2_kg.7__3367__AT5G40520.2 pep chromosome:v.1.0:7:22160063:22163098:1 gene:fgenesh2_kg.7__3367__AT5G40520.2 transcript:fgenesh2_kg.7__3367__AT5G40520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDNSVFFKTDCASILSQIKDHDKQIRLKRRWLLGCEMSESKDHTPGKTEFVPESLLREDDVFYETIKSRVEEAFGFCKNEQVLCNDVQQKELKFCNLELVRKLDSLTNKGLYLIAMILTGGSTSFDKTRWKMKEIIRDSVSRDFGKNKDGIGKEDIINQLHQVLSDPANFREDCRMNLGRTPTLHSHRDAAMKILNELDGLSTQTLRAMKRKLKGSRMIPQLKTSRFGQSRSDLINQVRQASEKMLSELSAGGKLQEQLAKALSVVDLSLKLSPGYKTAAATEFFRFSPETKNLQNEIVKAVWLLRKVRFRELKRLHLCLDPEAEVSNDSLRSAVRKMLIEYLFECSDMDTIPKSLMEALSLVNRRTRNVEHKVCPREAIDEETECILNVSAQVKQICCQCTPNYELDQDFGDAYMEELEDSDDNDNDDGGDCRLFDNEKFVNEESRCRNIKLEVKNSQGDAMESSDSDHEESGAECLVLDPTDSTHTTNQHDISSSVNKVVVRDLPESITRVHPRSLYVTPTSNKSTVISDRHDIGTSKTRVKVERDIEMEVDNQFSSRSLFSVENIKSDDHGEQKPQRRHKNQYLAVQEISDETSLVAHNLIGRLLEKFADRQGLNLETDERSYLRGESRLQEDVEVNGEKQASSQAKSDELIIVSVIKEQMPSLEESVLMRLKELMEVS >fgenesh2_kg.7__3368__AT5G40510.1 pep chromosome:v.1.0:7:22163293:22165373:-1 gene:fgenesh2_kg.7__3368__AT5G40510.1 transcript:fgenesh2_kg.7__3368__AT5G40510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVENLNSVPASEDTEYGFKRPEMYSTNIANSITSYDRHVFVLYKTPEAWLSHVEEEGLPQRFATLLKDRKSDLLVQTKLNVCEGGGSDGDVLIFPDMIRYKGVKDTDVEGFFEDVLVNGKPWSSGIQEEISGTFVFVCTHASRDKRCGVCGPVILERFKQEIGSRGLSDQITLKRCSHVGQHKYAGNLIIFSPDSAGKITGNWYGYVTPDDVPELLDQHIAKGEIIQRIWRGQMGLPGGEAEKLHEQKVIPNGNDVVKEESKGFTGGCCQGSNGVSCCQDETPKPEPIKKEGKKCTIWFQPLDKDEFYIGAAVVGAIATIAVACTFFKRSR >fgenesh2_kg.7__3369__AT5G40490.1 pep chromosome:v.1.0:7:22167643:22169970:-1 gene:fgenesh2_kg.7__3369__AT5G40490.1 transcript:fgenesh2_kg.7__3369__AT5G40490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYGTETVIEDEIHDAKPSEDIEDDDDKSQPHSGGGVDSAGKIFVGGLARETTSAEFLKHFGKYGEITDSVIMKDRKTGQPRGFGFVTYADSSVVDKVIQDNHIIIGKQVEIKRTIPRGSMSSNDFKTKKIFVGGIPASVDDDEFKEFFMQFGELKEHQIMRDHSTGRSRGFGFVTYESEDMVDHLLAKGNRIELSGTQVEIKKAEPKKPNSVTTPSKRFGDSRSNFGGGYGDGYGDGYGDGYGGPGGPYKSGGGGYGGGRSGGYGGYGGEFGGYGGGGYGGGVGPYRGEPALGYSGRYGGGGGGGYNRGGYGMGGGGGYGGGPGDMYGGPYGEPGGGYGGPSGSYGGGYGSSGIGGYGGAGGGGYRGGGGYDMGGVGGGGAGGYGAGGGGNGGGSFYGGGGGSRGGYGGGSGRYHPYGR >fgenesh2_kg.7__3372__AT5G40480.1 pep chromosome:v.1.0:7:22181634:22192860:-1 gene:fgenesh2_kg.7__3372__AT5G40480.1 transcript:fgenesh2_kg.7__3372__AT5G40480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3012 [Source:UniProtKB/TrEMBL;Acc:D7MJ71] MVRVSFCFFFLLLLLSAGEISSQLGSGPHITDVNILLPPKMKNPVEYRLQGSDGCFKWSWDHHDILSVTPEFNSSSHCSTSARLRSISPYSGRKETAVYATDIQTGMVIRCKVFIDNFSRIQIFHNSIKLDLDGLSMLRVRAFDNEENEFSSLVGLQFMWKLMPESGGSTHHLAHVPLKESPLTDCGGLCGYLDIQKKLEDSGVFADLFVVKGTKIGHEKVSVHLLEAPLTHIADDIVLTVAEAMSLEPRSPVYVLMGASFGYTLKVMRGNVPQAVHLPSPHHRWSVLNTSVAQVDSLIGLTKALSLGVTTVVVEDTRVAGHIQGSSINVVTPDTIILYISPWSMSGDLITESKPFPSSMHWYVVSGRQYLIQMKIFSGRPDAHEIYITETDDIKLYGKDSEYWKIFSLPDELSSEYGQQNSRILNAMSPGLGELMATLTYFSGHQESKEVLKVVQEIMVCEKVQFTLNSKDDTPKILLPWTPAVYQEMELIVTGGCAKASSDYKWFTSDMSILSVSAYGIIQAKRPGIATVKVVSTFDSQNFDEVIVEVSIPSSMVMLQNFPVETVVGSHLKAAVTMKALNGALFSRCDAFNSLIKWKTGSDSFVIVNATSEIMMLDELRTMDSSPPCSRASILTSSPGRTVLQATLAKEFHYFDKSLSESIDLKATLSIGAYLPLSVRQDSDGNHHGGYWFDKAQEETDFGVSKLYLVPGTYVDVMLLGGPERWDDNVEFTETVKTLNEDEEDLTSRVNVHHEFDRHANMYRISCQKLGSYKLVFLRGNLVGMDHPVPAVAEALLSVHCSFPSSVVLIVDEPVNKLDVIRAASQADRAPGRLRVTPVTVANGQIIRVAAVGISEFGEAFSNSSTLSLRWELTSCNNLAYWDDNYNSKMTKSSWERFLALRNESGLCTVRATVSGIDYSYSTPLPQGSQSTLTDAVRLQLVSTLRVTPEFNLVFFNPNAKVNLSMTGGSCLWEAVVNNSRVAEVIRPPSGLQCSQMMLSPKGLGTTLVTVYDIGVSPPLSALALIKVADVDWIKIASGDEISIMEGSTHSIDLLTGIDDGMTFDSSQYPLMDIMVHIEDDLVEHVTVDDNSLSVGEHVGTSSFKIAARRLGITTLYVSARQQSGDKILSQTIKVEVYSPPRLHPQGIFLVPGASYVLTIEGGPTMNVSVDYTTVDNEVAKIEKSGRLYATSPGNTTIYATIYGSEGTVVCQAIGNAEVGLPAAAMLVAQSDTMAVGHEMPMSPSFPEGDLLSFYELCSAYKWTIEDEKVLIFIASSINVEENAGFVNVVQGRSAGKTRVTIAFSCDFVSPGLYSESRTYEASMILSVVPDLPLSLGAPMTWVLPPFYTSSGLLPSSLEPQKHRDGQSHKGNIVYSILKDCSSRADFERDTISINGGSVKTTDSNNVACIQAKDRTSGRIEIAACVRVAEVAQIRMKSEGIPFHVIDLAVGGELELPINYYDTLGIPFLEAHGVITYNVETNHRDVVSIKTVNDQPSAYIKGIKHGKALIRVSIGGNLRKSDYVLVSVGAHIFPQNPVIHTGNVLNFSIAGSDHEVSGQWVTSNRSVLSVNVASGQAKAISQGSTHSHGLKLQTKVTVLFGNTIYVDSPSETLANIHVPAEGYKFPVKFRENKFAVSENGNKATFNCQVDPPFIGYAKPWMDLVTGNTYCLFFPYSPEHLVRSMSITKDMKPHVSFSVNASLKEARHVSGSASALLIGGFSVTGPNKLNINPDSNTTSISIVGNTDVQIHCRNKGRLSINLIKREDFGIAGLALYKVNVLRSEQFTDIIRITLPATGQSVEIDVSYDTGESLVASSKDGYSVLFKILWCVLVLAISVIILMKVIDRQGPIGPTGATRTATNSGTAAPGTPERRSGAVIYHEESPRTPSPFMEYVKRTVDETPYYRREGRRRFNPQNTM >fgenesh2_kg.7__3373__AT5G40470.1 pep chromosome:v.1.0:7:22198553:22200333:1 gene:fgenesh2_kg.7__3373__AT5G40470.1 transcript:fgenesh2_kg.7__3373__AT5G40470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILFDEILCEIFTRLPSSSSSSSISSSLPSFESVPLVSKRWLRLYRASKTSMSLKLSPHDTSVITHLPSVLNNHPSLSSLSISRGFTINTKIITTPIRSDVESLKAETIFNEELISIISSCCFNLRSLSFLINPVSSSSLVPLSTSLSLTSLSIEVWKPQNSGFTWIALFSSLKELSIHVCSTSSPAFDFYPKSKPNPEVLELGLESISLFGIEPDDNDVTWLWKCCRKVKKLSLRSCGSIGEIEFFGLCLENLEEIELRTCRSIVDVVLLKVSEICESLKSLLIHDGGSKDGLVCFMNNARCYDTLERLDLRLPMDLTDDHLVSLAANFKCLSSISLTSCIFVTGFSLKALALSFSSSLEELSLLSCNAIERERGLLATIGQHLGRLRKLDLARNEWLFDKEVVSMLASCNGLVEVVLRECKHLTGAVLVALNKNCVKLKTLDILSCRLIEPDDVEGFVMKTQCLKKLVVEENQITEAIVKLASSKLIETVVFPSLVW >fgenesh2_kg.7__3374__AT5G40451.1 pep chromosome:v.1.0:7:22225034:22226931:1 gene:fgenesh2_kg.7__3374__AT5G40451.1 transcript:fgenesh2_kg.7__3374__AT5G40451.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MJ75] METGVVTIQEPVSTKTDVGEAPAGVILDKSSMEVHNINLSTVLDDEITSGDRRNNIVTGEADVVNDSGRSGNKETEELEHEKGEATKTISVVDDSQIVNDDQDSFFIHEPQSFNKATEDENMNLSDVTLEKKKEDDISGKPEEVSVEKPVIEEDHTETKHLPEQEEETANISKEREEIPIRTEKVKEETDSSTVETSVNGTEAEHNATVSVEEISRNGDNIVNETVPEDQTATDGETLHDVETTKTEAEPLYKTVVEDANIVTNEETAAHESKILKEDNHQEEDAEPVEAIKNSEDAEQISREVPVDKKKQEDITQKTEE >fgenesh2_kg.7__3377__AT5G40440.1 pep chromosome:v.1.0:7:22236117:22239013:-1 gene:fgenesh2_kg.7__3377__AT5G40440.1 transcript:fgenesh2_kg.7__3377__AT5G40440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMKK3 MITOGEN-ACTIVATED kinase [Source:UniProtKB/TrEMBL;Acc:D7MJ76] MAALEELKKKLSPLFDAEKGFSSSSSLDPNDSYLLSDGGTVNLLSRSYGVYNFNELGLQKCTSSHVDESESSETTYQCASHEMRVFGAIGSGASSVVQRAIHIPNHRILALKKINIFEREKRQQLLTEIRTLCEAPCHEGLVDFHGAFYSPDSGQISIALEYMNGGSLADILKVTKKIPEPVLSSMFHKLLQGLSYLHGVRHLVHRDIKPANLLINLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNDSYSYPADIWSLGLALFECGTGEFPYIANEGPVNLMLQILDDPSPTPPKQEFSPEFCSFIDACLQKDPDARPTADQLLSHPFITKHEKERVDLATFVQSIFDPTQRLKDLADMLTIHYYSLFDGFDDLWNHAKSLYTETSVFSFSGKHHTGSTEIFSALSDIRNTLTGDLPSEKLVHVVEKLHCKPHGNGGVIIRAVGSFIVGNQFLICGDGVQAEGLPSFKDLGFDVASRRVGRFQEQFVVESGDLIGKYFIAKQELYITNID >fgenesh2_kg.7__3378__AT5G40420.1 pep chromosome:v.1.0:7:22255499:22256943:1 gene:fgenesh2_kg.7__3378__AT5G40420.1 transcript:fgenesh2_kg.7__3378__AT5G40420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTHRVDRTERHLQFQSPYEGGRVHLQYEGGGGYGGGGYKSMMPESGPSSTQVLSLLIGVPVVGSLLALAGLLLAGSVIGLMVALPLFLLFSPVIVPAALTIGLAMTGFLASGMFGLTGLSSISWVMNYLRGTRRTVPEQLEYAKRRMADAVGYAGQKGKEMGQHVQNKAQDVKQYDISKSHDTTTKGHETQGRTTAA >fgenesh2_kg.7__3379__AT5G40410.1 pep chromosome:v.1.0:7:22281990:22283856:-1 gene:fgenesh2_kg.7__3379__AT5G40410.1 transcript:fgenesh2_kg.7__3379__AT5G40410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MJ85] MPERDLVAWNSLISGYAGRGYLGKCFEVLSRMMRSEVGFRPNEVTFLSMISACVHGGNKEEGVCIHGLVMKSGVLEEVKVVNALMNLYGKTGDLISSCKLFEDLSVKNLVSWNTMIVIHLQNGLAEEGLAYFNMSRWVGLKPDQATFLAVLRVCEDIGVVRLSQGIHGLIMFCGFNANTCITTALLDLYAKLGRLEDSSTVFLEITSPDSMAWTAMLAAYATHGYGRDAIKHFELMVHYGLSPDHVTFTHLLNACSHSGLVEEGRYYFETMSKRYRIEPRLDHYSCMVDLMGRSGLLQDAYGLIKEMPMEPSSGVWGALLGACRVYKDTQLGTKAAKRLFELEPRDGRNYIMLSNIYSASGLWKDASRIRNLMKQKGLVRASGYSYIEHGNKIHKFVVGDWSHPESEKIQKKLKEIRKKMKSELGFKSRTEFVLHDVDEDVKEEMINQHSEKIAMAFGLLVISPMEPIIIRKNLRICGDCHETAKAISLIEKRRIIIRDSKRFHHFLEGSCSCRDYW >fgenesh2_kg.7__337__AT4G36960.1 pep chromosome:v.1.0:7:1492241:1495046:1 gene:fgenesh2_kg.7__337__AT4G36960.1 transcript:fgenesh2_kg.7__337__AT4G36960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MAZ8] MERKLVVLGIPWDIDSDGLKDYMSKFGDLEDCIVMKDRSTGRSRGFGYVTFASSEDAKNALKGEHFLGNRILEVKVATPKEEMRQPAKKVTRIFVARIPSSVSESDFRSHFERYGEITDLYMPKDHNSKQHRGIGFITFSSADSVEDLMEDTHDLGGTTVAVDRATPKEDDHPPRPPPVARMSRPPVAVAGGGFGAPGGYGAYDAYISAATRYAALGAPTLYDNPAMFYGRGEPTTRGIGNKIFVGRLPQEASVDDLREYFGRFGRIQDAYIPKDPKRSGHRGFGFVTFAENGVADCVARRSHEICGQEVAIDSATPLDEAGPSASGSSVLSSSRPEYFGGYGGPMRTFGRMYGGMSLDDWGYGMPNARPSRSDWRYRPY >fgenesh2_kg.7__3381__AT5G40400.1 pep chromosome:v.1.0:7:22286406:22288789:-1 gene:fgenesh2_kg.7__3381__AT5G40400.1 transcript:fgenesh2_kg.7__3381__AT5G40400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MJ86] MKRLVSKLRFFSSSSIVPRCNNIPKPILNPLYNLLPQTQNPNKIVDVICSTLNHRDHSVLLPNLRDEVKSLIPHLGYPEISRVLLRFQSDASRALTFFKWVKFDLGKRPNVGNYCLLLHILASSKKFPLAMQFLCELIELTSKKEEEDVFSVLVSASDECNWDPVVFDMLVKGYLKLGLVEDGFSTFRKVIDSGFRVSVVTCNHLLNGLLKLDLMEDCWQVYNVMCRVGIHPNTYTFNILTNVFCNNSNYGEVDDFLEKMEEEGFEPDLVTYNTLVSSYCRRGRLKEAFYLYKIMYRRRVVPDLVTYTSLIKGLCKDGRVREAHQTFHRMVDRGIKPDCMSYNTLIYAYCKEGMMQQSKKLLHEMLGNSVVPDRFTCKVIVEGFVREGRLLAAVNFVVELRRLKVIIPIEVCDFLIKSLCQEGKPFAAKHLLERISKEEGHEAKPETYNNLIESLCCCDAIEEALVLKGKLKNQNQVLDVKTYRALIGCLCRIGRNREAESLMAEMLDSEVKPDSIICGALVNRYCKELDFDKAESLLSFFAMEFRIFDTLSYNSLVNALCETGSGYDKVLDLQERMQRLGFVPNSLTCKYLIQVLEQPSLPNHPPEN >fgenesh2_kg.7__3382__AT5G40390.1 pep chromosome:v.1.0:7:22303871:22307584:-1 gene:fgenesh2_kg.7__3382__AT5G40390.1 transcript:fgenesh2_kg.7__3382__AT5G40390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSVTKSDSGTNGVYFTGKPLFRLEDSNLLANGQVVLTDVPVNVTLTTSPYLTDKDGLPIDVSAGSFIGFNLDGEPKSHHVASIGKLKNIRFMSIFRFKVWWTTHWVGSNGRDIENETQIIILDQSGSDSGSGSGSGRPYVLLLPLLEGSFRSSFQSGEDDDVAVCVESGSTQVTGSEFRQIVYVHAGDDPFKLVKDAMKVIRVHMNTFKLLEEKSPPGIVDKFGWCTWDAFYLTVNPDGVHKGVKCLVDGGCPPGLVLIDDGWQSIGHDSDGIDVEGMNITVAGEQMPCRLLKFEENHKFKDYVSPKDQNDVGMKAFVRDLKDEFSTVDYIYVWHALCGYWGGLRPEAPTLPPSTIIRPELSPGLKLTMEDLAVDKIIETGIGLVSPDLAKEFYEGLHSHLQNAGIDGVKVDVIHILEMLCEKYGGRVDLAKAYFKALTSSVNKHFNGNGVIASMEHCNDFMFLGTEAIALGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIQPDWDMFQSTHPCAEFHAASRAISGGPIYISDCVGKHDFDLLKRLVLPNGSILRCEYYALPTRDRLFDDPLHDGKTMLKIWNLNRYTGVIGAFNCQGGGWCRETRRNQCFSECVNTLTATTRPKDVEWNSGSSPISIANVEEFALFLSQSKKLVLSGLNDDLELTLEPFKFELITVSPVVTIEGNSVRFAPIGLVNMLNTSGAIRSLVYNDESVQIGVFGAGEFRVYASKKPVSCLIDGEVVEFGYEDSMVMVQVPWSGPEGLTSIEYLF >fgenesh2_kg.7__3383__AT5G40382.1 pep chromosome:v.1.0:7:22331238:22331762:1 gene:fgenesh2_kg.7__3383__AT5G40382.1 transcript:fgenesh2_kg.7__3383__AT5G40382.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 5C [Source:UniProtKB/TrEMBL;Acc:D7MJ92] MANVPKIGKAVYKGPSVVKEIIYGITLGLAVGGLWKMHHWNNQRRTKEFYDLLEKGEISVVVEDE >fgenesh2_kg.7__3386__AT5G40270.1 pep chromosome:v.1.0:7:22400872:22485395:1 gene:fgenesh2_kg.7__3386__AT5G40270.1 transcript:fgenesh2_kg.7__3386__AT5G40270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent phosphohydrolase HD domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MJA0] MGAYCNEDLSSLPVFSAGAPANELRFSKHVYDNVHGNIYLDPLCLKFIDTEQFQRLRELKQLGVTNMVYPGAVHSRFEHSLGVYWLAGETVQRLKSFQGMELGIDNHDLQTVRLAGLLHDIGHGPFSHMFEREFLPKVISGCRWSHESMSVNMIDHIVDTHHIDIDAQMLKRVKDMILASTEFSQLKSNAEKRFLYDIVANGRNGIDVDKFDYLVRDSRACGLGCNFQFQRLTETMRVMDNEICYRAKEYRNVHKLFATRADLYRTVYTHPKVKAIELMIVDAMVKANDFLGISSFISDPSEYWKLDDTILKTIEIAPDPELAEAKELILRVRRRQLYQFCNEYAVPKDKIDHFKAVTAQDIICSQKHTSLTLKEDDIAVTNVKIDLARGRENPLECINFFEDYDSAEKFGIPEDRVSHLLPTTYQDMIVRVYAKKPELVEAVSEAFENFQMRTYGVKTQVHATPEKKKRRVM >fgenesh2_kg.7__3397__AT5G40260.1 pep chromosome:v.1.0:7:22487622:22489700:-1 gene:fgenesh2_kg.7__3397__AT5G40260.1 transcript:fgenesh2_kg.7__3397__AT5G40260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:D7MJA2] MVDAKQVRFIIGVIGNVISFGLFAAPAKTFWRIFKKKSVEEFSYVPYVATVMNCMLWVFYGLPVVHKDSYLVSTINGVGLVIELFYVGVYLMYCGHKQNYRKKILLYLLGEVVSVAIIVLITLFVIKNDFIKQTFVGIICDIFNIAMYASPSLAIITVVKTKSVEYMPFLLSLVCFVNAAIWTSYSLIFKIDYYVLASNGIGTFLALSQLIVYFMYYKSTPKKEKTVKPSEVEIPATNRV >fgenesh2_kg.7__3399__AT5G40250.1 pep chromosome:v.1.0:7:22495276:22497059:-1 gene:fgenesh2_kg.7__3399__AT5G40250.1 transcript:fgenesh2_kg.7__3399__AT5G40250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MJA4] MSWVRFKIEQKDGNFAYPPPFYKDPILSPPSPPPPSSGNRISPAVLFIIVILAVLFFISGLLHLLVRFLIKHPSATASSRSNRFPEISTSDALQRQLQQLFHLNDSGLDQAFIDALPVFHYKEIVGSGSVGGNGAAQEPFDCAVCLCEFSEKDKLRLLPMCSHAFHLNCIDTWLQSNSTCPLCRGTLFSPGFSMENPMFDFDDIREDEEGVTENGSQKTMEIQEIVVEKGVLPVRLGKFKRLDNVGNGQGQDVVAGGETSSSNLDARRCFSMGSYQYILGNSELKVPFANDRLPRLKPQDKESEQTGNSSSEDNKKINSVAKGESFSVSKIWLWPKKDKFSSDAQRRLPSSSLNVDDLPKLPWMEEHKKLENDER >fgenesh2_kg.7__339__AT4G36980.2 pep chromosome:v.1.0:7:1499524:1503344:-1 gene:fgenesh2_kg.7__339__AT4G36980.2 transcript:fgenesh2_kg.7__339__AT4G36980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSEKKVHDMMDAARKRAQRRAIYLAKRRGDPIQSIQAVGSRYRIFRDDGLYQATEDQQGLIPWNGKQDVMIDRFDGRALLDFVREAGLRSIRPHKKTEEEEELDEFVNFERYRDLIKHRRRGFSDEEGLLHVHQELEAKLSAPFPGARSQPAQPPANKGTYSQVGYSYAGNGKDNSLDADEDDVDDDEDDEDEEEEFDSNDSDDEGMETIAKQFGVKRYRWLVYMDKKAKEEEKRQKELIKGDPSIKKLSRKERRKVSRIERDRERETSRSVGRQIIHHDPYRESRRSPTYEAYPRSRRSRSRSRSYSPSYSRRNGRGDHFDEISKPKIEYITEFGGGSGDVGSLKFEGYSPPRSPPSQSDLLSRPSPGHILEALHVDPASGVSLEKDKMVKTAKPTVSTSTALAKLSKAGTSSSKQTQAEKKETPQERLKRIMNKQLTKQIKKDSATETAKKREQERQRLEKIAETSRLSRSRHRSRSRSYSRSPPPRLLIKFIIFAS >fgenesh2_kg.7__33__AT4G38380.1 pep chromosome:v.1.0:7:86617:89540:-1 gene:fgenesh2_kg.7__33__AT4G38380.1 transcript:fgenesh2_kg.7__33__AT4G38380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7MEY3] MESSRVVVGGQQVSLPFANRRNSRLAKPNIQQGTFLPITRINKKCSLHTNPTPMYPFVTRRKSQTNPDCGVVKLGEEDHSCRSLDKLPLVHGLHSAEPRPVDIKRELVMLSLPAIAGQAIDPLTLLMETAYIGRLGSVELGSAGVSMSIFNTISKLFNIPLLSVATSFVAEDIAKIAAEGLASEDCHSDIPSQALPERKQLSSVSTALVLAIGIGIFEALALSLASGPFLRLMGVQSMSEMFIPARQFLVLRALGAPAYVVSLALQGIFRGFKDTKTPVYCLGIGNFLAVFLFPLFIYKFRMGVAGAAISSVISQYTVAILMLILLNKRVILLPPKIGSLKFGDYLKSGGFVLGRTLSVLMTMTVATSMAARQGVFAMAAHQICMQVWLAVSLLTDALASSGQALIASSASKRDFEGVKEVTTFVLKIGVVTGIALAVVLGMSFSSIAGLFSKDPEVLRIVRKGVLFVAATQPITALAFIFDGLHYGMSDFPYAACSMMVVGGISSAFMLYAPAGLGLSGVWVGLSMFMGLRMVAGFSR >fgenesh2_kg.7__3400__AT5G40240.1 pep chromosome:v.1.0:7:22498351:22500560:1 gene:fgenesh2_kg.7__3400__AT5G40240.1 transcript:fgenesh2_kg.7__3400__AT5G40240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7MJA5] MRETREEKVAWRYFTRDVVPFAAMFAVECTTVGSNTLFKAATIRGLSFYVFVFYSYVVSTLLLLPLSLIFGRSRRLPSAKSPFFFKIFLLGLVGFMSQIAGCKGIEYSSPTLASAISNLTPAFTFTLAVIFRMEQVRLRSSATQAKIIGAILSISGALVILLYKGPQVLAAASFTPLSPTISLHQHLASLESKWTIGGLLLASQYFLISVWYILQTRVMEVYPEEITVVFFYNLFATLISVPVCIFAESNLTSWVLKPDISLAAIIYSGVFVSLFSALTHTWGLHMKGPVYISLFRPLSIAIAVAMGAIFLGDSLHLGSVIGSMILCIGFYTVIWGKAREDTIKTVAGSEQSPFLLTHIIEDEAFPLN >fgenesh2_kg.7__3403__AT5G40170.1 pep chromosome:v.1.0:7:22515026:22517512:-1 gene:fgenesh2_kg.7__3403__AT5G40170.1 transcript:fgenesh2_kg.7__3403__AT5G40170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSHLAVFLITSFFCCVFVTSDSVYTLPFPFPRDQVEILLDLKNEFPSFNCDLTWKLDYFGRMDTRANISSWTKDSNSFSGVSFDSETGVVKELSLGRQCLTSLMANSSLFRFQHLRYLDLSENHFDSSPIPSGFGRLTYLESLDLSKNGFIGEVPSSISNLSRLTNLDLSYNKLTGRIPSLHNLTLLENIDLSYNKFSGPIPAYLFTMPFLVSLNLRQNHLSDPLENINPSATSKLLILDMAYNLMSHRILEPISKLANLMRIDLSFQKTPYTFNFDFLLFKSLERLDLSGNSVSVVGTGSENLTHLELSSCNITEFPMFIKDLQRLWWLDISNNRIKGKVPELLWNLPSMLHVNLSHNSIDSLEGTPKVILNSSISELDLSSNAFKGSFPIIPPYVHIMAASNNYFTGGIPLIFCKRFRLSLLDLSNNNFSGSIPRCLTNVSLGLEALKLSNNNLTGRLPDIEDRLVLLDVGHNQISGKLPRSLVNCTSLKFLNVEGNHINDTFPFWLKALTRLEIIVLRSNRFHGPISSPEISLSFTALRIIDISRNSFNGSLPQSYFANWSAPLVNIPQGYRWPEYTGDEHSKYETPLWSYPSIHLRIKGRSIELGKIPDTYTSIDFSGNSFEGQIPESIGFLKSLIVLDLSNNSFTGRIPSSLAKLKQLESLDLSQNRISGNIPQELRDLTFLGYVNMSHNRLTGQIPQSTQIGGQPKSSFEGNINLCGLPLQESCFRGNGAPSTPQTQEQELPKQEHALNWKAAAIGYGPGVLFGLAIGQALARYKPVLFYKLFRL >fgenesh2_kg.7__3405__AT5G40200.1 pep chromosome:v.1.0:7:22523999:22526961:1 gene:fgenesh2_kg.7__3405__AT5G40200.1 transcript:fgenesh2_kg.7__3405__AT5G40200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSQKRGRKHKRQDASSAGNAGGEVEEASVNEASLPQSPEPVSASEANPSPSRRSRGRGKKRRLNNESEANNQRTSSPERSRSRLHHSDSKNGDCSNGMIVSATTESIPAAPSWETVVKVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSGSSGFIIGGRRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGTECDIALLTVTDDEFWEGVSPVEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRMEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDAENIGYVIPTPVIVHFIQDYEKHDKYTGFPVLGIEWQKMENPDLRKSMGMESHQKGVRIRRIEPTAPESQVLKPSDIILSFDGVNIANDGTVPFRHGERIGFSYLISQKYTGDSALVKVLRNTEILEFNIKLAIHKRLIPAHISGKPPSYFIVAGFVFTTVSVPYLRSEYGKEYEFDAPVKLLEKHLHAMAQSVDEQLVVVSQVLVSDINIGYEEIVNTQVLAFNGKPVKNLKGLAEMVEKCEDEYMKFNLDYDQLVTLETKRAKEATLDILTTHCIPSAMSDDLKAEERN >fgenesh2_kg.7__3408__AT4G03170.1 pep chromosome:v.1.0:7:22566154:22566924:1 gene:fgenesh2_kg.7__3408__AT4G03170.1 transcript:fgenesh2_kg.7__3408__AT4G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTGETTSLTHKEVNVAAESPEEEDTDDEEDIDDEDDTDDEVASTPLLQISQSRQKQSRKREEKSDKNQPKRVKKHNIMKINIDDFSEETLRSIEVWYKDELDPQDIFGDNEVTKQFSKPIKKQLMSSDVDKDQCRLMLSKEQVKEKMLPFLEESEDPVKGVDVSVYGPDGAVQKMKFKIWNGDKTPVLTSGWKQFVADYGLVMTSDFIIVWMFRHIKTRNICFAIANNSFPLKKKSSR >fgenesh2_kg.7__3411__AT5G40090.1 pep chromosome:v.1.0:7:22583427:22584802:1 gene:fgenesh2_kg.7__3411__AT5G40090.1 transcript:fgenesh2_kg.7__3411__AT5G40090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSSSASLLLIGTEVDVFLSFCCETSHGYFHNILNAWRRDSDQLLNGLTDTQGFGRVESRDCYDLCDETLFRWQKGSLMVIPIFLKDYSFNVEEIYRQYPEKAPSWRIALTKLTNFAAEYPFSQNLAGMDQSDRLNQIVHDISLVVFYSASNDSNALVAMDRHMKVVYDLLALEVNKEVRTIGIWGSAGVGKTTLARYIYSEIFVNFRTNVFLDNVENMKDKLLKFEGEEDPTVIISSYDGHEITEARRKHRKVLLIADDVNNIKQGKWIIEYANWFAPGSRVILISQNKHLLVDAGVSHVYEVRSLRYDEALQVFSHFAFKQSYPPSDFEKLAVRAVHLAGFLPLGLRLLGSFLSGKGREEWVAALLKLKAKQGGNIMEVWKLMEPSDDKGQEEWETAADIVEGKELSQDKGQEEREVAAGKTEGKESSQ >fgenesh2_kg.7__3412__AT5G41200.1 pep chromosome:v.1.0:7:22586362:22587252:-1 gene:fgenesh2_kg.7__3412__AT5G41200.1 transcript:fgenesh2_kg.7__3412__AT5G41200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSYSLASTSLSNRLETIFKKASELCTLCDIKACVIYYGPDGELKTWPKEREKVRDIALRYSQLNEALRRKKRVNLYDFLNKKKEKGLKNPNKKRKTSLKKVNELKYPISDHYSPDQISKLIQSLELNVSKVQERLRFVESQKHKETKPDHQSLASSSLNQQTQSLNPSQFSLFMYNHGDNTLSQIPVSASNFNQDFSALLQESEFKNPLVKQELCGYDQNMCMSGITNNSFQHPCVSNKEHYSAVQESVNNYELNQLLQKEFYGCDQKLISNINSNNFQHPCVSNTQHNSAVQESV >fgenesh2_kg.7__3414__AT5G40040.1 pep chromosome:v.1.0:7:22613377:22613926:1 gene:fgenesh2_kg.7__3414__AT5G40040.1 transcript:fgenesh2_kg.7__3414__AT5G40040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MJC6] MKVVAAYLLAKLGGNENPSVADLKKVFESVGAEIDQEKIDLFFSLIKDHDVTELIAIGREKMAALSSGGPAVAVASGGGGGAAPATEPAAAEAKKKEEEKEESEDDGGMMSLF >fgenesh2_kg.7__3416__AT5G40020.1 pep chromosome:v.1.0:7:22630665:22633844:1 gene:fgenesh2_kg.7__3416__AT5G40020.1 transcript:fgenesh2_kg.7__3416__AT5G40020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHSTSLLQLFIISSCIVYGKVTSHEVTFYVQNKCSFPIWPAVAPNSGHPVLASGGFYLPCGGIKRIDAPWGWSGRIWARTGCDFTSNWKQACETGDCDGHLECNGLIGKPPATLIQIAVEADKSKPNFYDVSLVDGYNLPVAVNSKPVSSKCNILGCHKDLKTTCPEELQVLNEEGQIVACKSACLAFDNDRFCCRNAYGTPEKCKRNTYSMLFKEACPNYYSYAYDTPPPLVTCSAKEYLITFCPSNWGHSST >fgenesh2_kg.7__3421__AT5G39990.1 pep chromosome:v.1.0:7:22746621:22748935:-1 gene:fgenesh2_kg.7__3421__AT5G39990.1 transcript:fgenesh2_kg.7__3421__AT5G39990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 14 protein [Source:UniProtKB/TrEMBL;Acc:D7MJE1] MKKLRSYYSNVRHHQNHHHHHHHNNVVSSERKWIIFPLLIGSIFALFLLFLTTTLTSPTGIRFLPFTRPVLLTGSGSSAFVESKIKPQPISSLPSPPRFAYLISGSAGDGKSLRRTLLALYHPNNRYVVHLDRESSKEEREELHGYIKNSSLFRRFMNVHMIEKANLVTYRGPTMVANTLHAAAILLREGADWDWFINLSSSDYPLVTQDDLLHIFSHLPRDLNFIDHTSNIGWKASQRAKPVIIDPGLYLNKKSDVFWVTQRRSIPTAFKLFTGSAWMALSRPFIDYCIWGWDNLPRTVLMYYSNFLSSPEGYFHTVLCNAEEFRNTTVNSDLHFISWDNPPKQHPHHLTHADMTKMIDSNAPFARKFRREDPVLDKIDDDLLNRGPGMATPGGWCIGSYENGSDPCAVIGETDVIRPGPGARRLENLVTSLLSTENFRSKQCK >fgenesh2_kg.7__3422__AT5G39970.1 pep chromosome:v.1.0:7:22767711:22770342:-1 gene:fgenesh2_kg.7__3422__AT5G39970.1 transcript:fgenesh2_kg.7__3422__AT5G39970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCFIEMFLSFLLLFLAKNSFSHPLCNDLTAPFHLKQPLAFCQFNGSVCCNSRDDLKLQREFKAVNVSGRCSPLLKSLLCSKCDPFAAELFRVESESRQVPVLCNSTVSSSKSTQSLADIDFCATFWNECQNLSVTNTPFASQAGDGGNITSTISEIWKSSNDFCKIFGGASDESSVCFNGQAVSFNISKVTGPSPSGICLEKLGNGSYLNMEPHPDGSNRVFLSDQPGKIYLATVPAQGSGELLKIDETNLFLDLTEEVHFDAELGLLGIAFHPEFLKNGRFFASFNCDRVKWPECSGKCACNSDIDCDPAKLDSDNGATPCQYHSVISEFFTNGTYVRPVEVRRIFTMGLPYTSHHGGQILFGPKDGYLYFMMGDGGSKGDPHNFAQNKKSLLGKIMRLDVNNVLDAKMMNEFQLWGNYSIPKDNPFSQDKNLLPEIWAMGVRNPWRCSFDSERPSYFFCADVGEDKYEEVDMITKGGNYGWHYYEGTLPFNPSTSSKNSNSTTKIANPIFPVMWYNHSDINQQEGSASITGGYFYRSSTDPCLYGTYLFADLYAGIIWGGAETPVGSGNFTSSQIPLQCASDSPIPCSAETEPSSSSSPPIGFVFSFGQDNNKDVYLLASTGVYRIVPSSRCNFHCSLENTTSFPPSQQPDRFPPSSSLSKRLHNIGTLVVNVLAWCFLFVVI >fgenesh2_kg.7__3424__AT5G39950.1 pep chromosome:v.1.0:7:22790634:22793573:1 gene:fgenesh2_kg.7__3424__AT5G39950.1 transcript:fgenesh2_kg.7__3424__AT5G39950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:D7MJF0] MGGVISTVFGGVEDAAAGTESEPSRVLKFSSSARWQLHFNEIKESNKLLVVDFSASWCGPCRMIEPAIHAMANKFSDVDFVKLDVDELPDVAKEFNVTAMPTFVLVKRGKEIERIIGAKKDELEKKVSKLRA >fgenesh2_kg.7__3426__AT5G39930.1 pep chromosome:v.1.0:7:22796951:22799264:1 gene:fgenesh2_kg.7__3426__AT5G39930.1 transcript:fgenesh2_kg.7__3426__AT5G39930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLP1 homolog [Source:UniProtKB/TrEMBL;Acc:D7MJF2] MFGPQIRRVDLENQSELRIKVQRTSPLRLRLLDGKAEIFGYELPHEAWITFPPLMTFAVFTWYGATIEIDGTIENEYISCETPMANYLEVHNSLLVQRHRVTCSTRDSVSSQGPRIVIVGDTDSGKSTLAKMLLNWAAKDGWKPTFVDLNIGQSSITIPGTVSATPIKMPVDPVEGFPLDKALVHYFGHANPNVNLRLYRTLVEELARELKEEFSGNSESRASGMVFDTMGFIVREGYTLLLHAIRTFNASLVIVLGQEEKLVNDLKKDLKFKKNLQFLNLEKSAGVFSRSSDFRKTLRNSNIQNYFYGVTNDLNVYTKTVKFTDVQVYQIGDFRESSSTSAHQRGNNPLKIIPVTIDEHLVNKVLAISYTKQPHQIISSIVAGFVCIKNVDIVEERITYISPSAAELPSKTLIMGTLTWHVT >fgenesh2_kg.7__3428__AT5G39900.1 pep chromosome:v.1.0:7:22802467:22804927:1 gene:fgenesh2_kg.7__3428__AT5G39900.1 transcript:fgenesh2_kg.7__3428__AT5G39900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation factor GUF1 homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7MJF4] MGSMYRASKTLKSSRQAFSILFNSLKSNRQTPTCIGLYQAYGFSSDSRQSSKEPTIDLTKFPSEKIRNFSIIAHIDHGKSTLADRLMELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFYENKVEDQEASGYLLNLIDTPGHVDFSYEVSRSLSACQGALLVVDAAQGVQAQTVANFYLAFEANLTIVPVINKIDQPTADPERVKAQLKSMFDLNTDDVLLVSAKTGLGLEHVLPAVIERIPPPPGISDSPLRMLLFDSFFNEYKGVICYVSVVDGMLSKGDKVSFAASGQSYEVLDVGIMHPELTSTGMLLTGQVGYIVTGMRTTKEARIGDTIYRTKTTVETLPGFKPVRHMVFSGVYPADGSDFEALSHAIEKLTCNDASVSVAKETSTALGMGFRCGFLGLLHMDVFHQRLEQEYGTQVISTIPTVPYTFEYSDGSKLQVQNPAALPSNPKYRVTASWEPTVIATIILPSEYVGAVINLCSDRRGQQLEYTFIDAQRVLLKYQLPLREIVVDFYDELKSITSGYASFDYEDAEYQASDLVKLDILLNGQAVDALATIVHKQKAYRVGKELVEKLKNYIERQMFEVMIQAAIGSKIIARDTISAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPHEAFQQILKVS >fgenesh2_kg.7__342__AT4G36988.1 pep chromosome:v.1.0:7:1509803:1511796:1 gene:fgenesh2_kg.7__342__AT4G36988.1 transcript:fgenesh2_kg.7__342__AT4G36988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVTAAQRSVPAPFLSKTYQLVDDHSTDDVVSWNEEGTAFVVWKTAEFAKDLLPQYFKHNNFSSFIRQLNTYGFRKTVPDKWEFANDYFRRGGEDLLSEIRRRKSVIASTAGKCVVVGSPSESNSGGDDHGSSSTSSPGSSKNPGSVENMVADLSGENEKLKRENNNLSSELAAAKKQRDELVTFLTDHLKVRPEQIDQMIKGGKFKPVESDEESECEGCGGGGAEEGVGEGLKLFGVWLKGERKKRDRDEKHYLVGGSGMTELKNVDFHAPLWKSSKVCN >fgenesh2_kg.7__3430__AT5G39870.1 pep chromosome:v.1.0:7:22814415:22815579:1 gene:fgenesh2_kg.7__3430__AT5G39870.1 transcript:fgenesh2_kg.7__3430__AT5G39870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFQLFFCFTILVATITFFNVASAHVKIKPALPQIEDPSTVKDVESYTIKVVTTFLVDLEKECPKTEKFKVFFEKLKAYSKYVCPISKAKGYESDMKAKAGSLFEAMSALGSVKNRSREGSVTKSLQRGKTEAMNTVKLLQSIGEKIAGGRNNKTEINGTAKLTIEQQKEIKDGILKWLQVITQIAKTTEEINSKSSLKSQTMQESREEKSSTQIKRGSQRENAQITALPRGSRVTKKNTNIKEGEKKVTSRRSKIESSENA >fgenesh2_kg.7__3438__AT5G39850.1 pep chromosome:v.1.0:7:22913536:22915032:-1 gene:fgenesh2_kg.7__3438__AT5G39850.1 transcript:fgenesh2_kg.7__3438__AT5G39850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S9 [Source:UniProtKB/TrEMBL;Acc:D7MJG9] MVNVRFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYTLSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTIVFKSGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVRVESQKHVDFSLTSPFGGGRPGRVKRRNERAGAKKAAGGDGDEDDEE >fgenesh2_kg.7__3440__AT5G39830.1 pep chromosome:v.1.0:7:22919439:22922349:-1 gene:fgenesh2_kg.7__3440__AT5G39830.1 transcript:fgenesh2_kg.7__3440__AT5G39830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIASFSLKPNENEFVGRRQLLSSACSRISQGDVVSHPPVSSVKITRDWKSNLHELAMKSVPSTTRRILLTSLFMNLCFNPSRYLSALALGDPSVATVEDVSPPVFPAGPLFPTEGRIVQLFEKNTYSVVNIFDVTLRPQLKMTGVVEIPEGNGSGVVWDGQGYIVTNYHVIGNALSRNPSPGDVVGRVNILASDGVQKNFEGKLVGADRAKDLAVLKVDAPETLLKPIKVGQSNSLKVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQTGVTIGGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIVTQTGTSAGVGFAIPSSTVLKIVPQLIQFNKVLRAGINIELAPDPVANQLNVRNGALVLQVPGNSLAEKAGLHPTSRGFAGNIVLGDIIVAVDDKPVKNKAELMKILDEYSVGDKVNLKIKRGNEDLELKISLEEKSS >fgenesh2_kg.7__3443__AT5G39800.1 pep chromosome:v.1.0:7:22953879:22955635:-1 gene:fgenesh2_kg.7__3443__AT5G39800.1 transcript:fgenesh2_kg.7__3443__AT5G39800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIGGLLMGIGRSFRRKRASSLDILSPKRAPRDFYKGRNCKSLGFHTRKGGYVVQPSKLPNYVVPDLTGFKLKPYVSQCPLQVNTNESTEASK >fgenesh2_kg.7__3446__AT5G39785.2 pep chromosome:v.1.0:7:22959200:22962534:-1 gene:fgenesh2_kg.7__3446__AT5G39785.2 transcript:fgenesh2_kg.7__3446__AT5G39785.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLENSGIDEEEEEVVESQKLVFKFAFQTETFERLSREGYQSVTDDSGESESSFLSSSPAVFTAAARKYETPSAKNLTFVLENPKAATFRVEEKTEDLDYSVFDGEERAKTEDYSVSSIEKKKIRFLTEEDFLESDSDFVDSSQTFTSNDEDGFLSDSDFAEASLEKGQNRKNDNSGSGSDSEEEEEEDTNGFESLWEHQELIEQLKMEMKKVKAIGGLSTILEEEEEEDDCPKIMEDLKPWKIEEEKKFKHVDTIGEVHKFHRSYRERMRKLDILSFQKSYALGLLQSKNPQQATSAVGSNPSQTSFSSVFSVNGWLWKAKKSETEPMVQFIKEIQGELENVYVGQMCLSWEILHWQYEKAIELLESDVYGSRLYNEVAGEFQQFQVLLQRFLENEPFEEPRVQHYIKRRCVLRNLLQVPVIREDGNKDKKNGRRKDYEGNDDGAIKSDQLVEIMEETIRLFWRFVRCDKLTSSIHDQKSRTKSQIEPDHEEDSEDLEMFAEVKSQLQNVSEKRLKDVLKSERCIIRRFQKHKEEESAEDQVLHFFSQVDMKLVTRVLNMSKLTRDHLVWCHNKLTKINFVNRRLHLDPSFCLFPC >fgenesh2_kg.7__3447__AT5G39760.1 pep chromosome:v.1.0:7:23002702:23004076:-1 gene:fgenesh2_kg.7__3447__AT5G39760.1 transcript:fgenesh2_kg.7__3447__AT5G39760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTPTITTTTTTPKSPEPESETPTRIQPAKPISFSNGIIKRHHHHPLLFTYKECLKNHAAALGGHALDGCGEFMPSPSSISSDPTSLKCAACGCHRNFHRRDPDNNNDSSPIHPPPSTAVEYQPHHRHHPPPPLPPPPPRSPNSASPPPISSSYMLLSLSGTNNNNNNLASFSDLNFPGGNNHHHHQHTLHGSRKRFRTKFSQFQKEKMHEFAERLGWKMQKRDEDDVRDFCRQIGVDKSVLKVWMHNNKNTFNRRDLAGNEIRKIDNGGGNHTPILTGEINNNNNGHHGGGGGELHHSVSSGGGGGFDSDSGGANGGNVNGSSSS >fgenesh2_kg.7__344__AT4G37010.1 pep chromosome:v.1.0:7:1518152:1519656:1 gene:fgenesh2_kg.7__344__AT4G37010.1 transcript:fgenesh2_kg.7__344__AT4G37010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAAQLRRGLKPKGKTYGLTNQKRREIREIFDLFDIDGSGSIDASELNVAMRSLGFEMNNEQINELMVEVDKNQSGAIDFDEFVHMMTTKFGERESIDELSKAFKIIDHDNNGKISPRDIKVIAKELGENFTDNDIEEMIEEADRDEDGEVNFEEFMKMMKRTSYG >fgenesh2_kg.7__3452__AT5G39730.1 pep chromosome:v.1.0:7:23016223:23017374:-1 gene:fgenesh2_kg.7__3452__AT5G39730.1 transcript:fgenesh2_kg.7__3452__AT5G39730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSPQLHNIFVYGSFQEPDVIHVMLDRIPEIVSATLPGFQRFRLKGRLYPCIIPSENGEVHGKVLMGLTNDELENVDWVEGNEYERVTVEVVRKDNSEKMTVETYPWINKNDPDIGGEWDFEEWKRLHMKKFIEAFTEIMERKRNPLGKGRDDFSHVLKEDDSGNAPSS >fgenesh2_kg.7__3455__AT5G39680.1 pep chromosome:v.1.0:7:23052778:23055125:1 gene:fgenesh2_kg.7__3455__AT5G39680.1 transcript:fgenesh2_kg.7__3455__AT5G39680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2744 [Source:UniProtKB/TrEMBL;Acc:D7MJJ5] MSALSVIEQRLLKWDKLASLVPKPKKPLFPIDRLNELLKVCANSSYLRTGESIHGHLIVTNQSSRAKDVYQINSLINLYVKCGETVRARKVFDLMPERNVVSWCAMMKGYQNSGFDFEVLKLFKSMVFSDESRPNEFVATVVFKSCSSSGRIEEGKQFHGCFLKSGLMSHEFVRNTLVYMYSLCSGNGEAIRVLDDLPYCDLSVFSSALSGYLECGAFKEGAEVLRRMAKEDLVLDNITYLSCLRLCSNLRDLNLARQIHSRMVRLGFNSEVEASGAIINMYGKCGKVLYAQRVFDNTHAQNIVLNTTIMDAYFQDKSFEEALNLFSKMDTKEVPPNEYTFAISLNSIAELSLLKHGDLLHGLVLKSGYRNHVMVGNALVNMYAKSGSIEDARKAFSGMTFRDIVTWNTMICGFSHHGLGREGLEAFDRMMIAGEIPNRITFIGVLQACSHVGFVEQGLYYFNQLMKKFNVQPDLQHYTCIVGLLSKAGMFKDAEDFMRTAPIEWDVVAWRALLNACYVRRNFRLGKKVAEYAIYKYPNDSGVYVLLSNIHAKSREWEGVAEVRSLMNKRGVKKEPGVSWIGIRNQTHVFLAEENQHPEITLIYAKIKEVLSKIRPLGYSPDVAGVFHDVDEEQREDNLSYHSEKLAVAYGLMKTPENSPLYVTKNVRICDDCHSAIKLISKISKRYIVIRDSNRFHHFRDGQCSCCDYW >fgenesh2_kg.7__3458__AT5G39650.1 pep chromosome:v.1.0:7:23063431:23064335:-1 gene:fgenesh2_kg.7__3458__AT5G39650.1 transcript:fgenesh2_kg.7__3458__AT5G39650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTEESVGIRVYTATTPQKPSPSPPSRSPKPVSISSLPTLPAGAAAGGGRGRKRRMVAQGVQKTVSKTSMLVNFLPTGTLLMFEMVLPSIYRDGDCNGINTLMIHLLLLLCAMSCFFFHFTDSFKASDGKIYYGFVTPRGLAVFMKPPPPEFGGGDVIAEAEIPVTDERYKLKVNDFVHAVMSVLVFMAIAFSDRRVTGCLFPGKEKEMDQVMESFPIMVGIVCSALFLVFPTTRYGVGCMTG >fgenesh2_kg.7__3461__AT5G39610.1 pep chromosome:v.1.0:7:23087034:23088364:1 gene:fgenesh2_kg.7__3461__AT5G39610.1 transcript:fgenesh2_kg.7__3461__AT5G39610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC092/ATNAC2/ATNAC6 [Source:UniProtKB/TrEMBL;Acc:D7MJK1] MDYEASRICEMVEDDEQIDLPPGFRFHPTDEELITHYLKPKVFNTFFSATAIGEVDLNKIEPWDLPWKAKMGEKEWYFFCVRDRKYPTGLRTNRATEAGYWKATGKDKEIFKGRSLVGMKKTLVFYKGRAPKGVKTNWVMHEYRLEGKYSIENLPQTAKNEWVICRVFQKRADGTKIPMSMLDPHINRIEPTGLPSLMDCSQRDSFTGSSSHVTCFSDQETEDKRLVQESKGGFGSLFYSDPLFLQDNYSLMKLLLDGQEPQFPGRSFDGRDPSGLAGTEELDCVWNF >fgenesh2_kg.7__3463__AT5G39590.1 pep chromosome:v.1.0:7:23095929:23098579:-1 gene:fgenesh2_kg.7__3463__AT5G39590.1 transcript:fgenesh2_kg.7__3463__AT5G39590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSTDNKESSEKREIESLAASTGALPLLQRSFSKLSDSQTNTVPFQSFKQSFGLSYDSITTEGGQKVADLFPRLLEHLGSSLVDLFFVPDKEGLSWVEFARGYVKCCGRMSASMSFNTLLRVYYVTAKNAGFSPKLEFESDEADCKINGSISIRELLVFLWICWTMSWDGRSSKVAEMKGCLFLPDISHLILSAVVSCIDSESGKSLDVWETDVSGLELELPIGKFLTWAFMTVPSLTECLSHFCNSRLQNLTSVEDGSGPSKSTAVDDSASKTSENTLLTCGRAWAISLTSKSILSEEILSSCFPCNSNETNEHLLYRSYHHGKGMNRLWSNVEGYHAPILVIISASCEVEHEGTSSERKWVIGAILQHGFENRDAFYGSSGNLFSISPVFHAFSSSGKEKNFAYSHLHPSGGVYDAHPKPVGIGFGGTLGNERIFIDEDFAKITVRHHAVDKTYQSGSLFPNQGYLPVEALVLDIEAWGLGGNKAREIQQAYQKREELFTNQRRKIDLKTFTNWEDSPEKMMMDMMGNPNAPRKEDR >fgenesh2_kg.7__3464__AT5G39580.1 pep chromosome:v.1.0:7:23102218:23104967:1 gene:fgenesh2_kg.7__3464__AT5G39580.1 transcript:fgenesh2_kg.7__3464__AT5G39580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7MJK4] MGLVRFFCSFLVFLSCLIAVYGQGTRIGFYSTTCPNAETIVQTTVASHFGSDPKVAPGLLRMHNHDCFVQGCDGSVLLSGPNSERTAGANVNLRGFEVIDDAKRQLEAACPGVVSCADILALAARDSVALTNGQSWQVPTGRRDGRVSLASNVNNLPSPSDSLAIQQRKFGAFRLNTRDLVALVGGHTIGTAACGFITNRIFNSTGNTADPTMDQTFVPQLQRLCPQNGDGSARLDLDTGSGNTFDTSYFNNLSRNRGILQSDHVLWTSPTTRPIVQEFMTSTSNFNVQFASSMVKMSNIGVKTGRNGEIRRVCSAVN >fgenesh2_kg.7__3469__AT5G39510.1 pep chromosome:v.1.0:7:23142285:23144447:-1 gene:fgenesh2_kg.7__3469__AT5G39510.1 transcript:fgenesh2_kg.7__3469__AT5G39510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-SNARE AtVTI1a [Source:UniProtKB/TrEMBL;Acc:D7MJL1] MSEAFDGYERQYCELSASLSKKCSSAVALDGEQKKQKLSEIKSGLENAEVLIRKMDLEARSLPPNLKSSLLVKLREFKSDLNNFKTEVKRITSGQLNAAARDELLEAGMADTKTASADQRSRLMMSTERLGRTTDRVKDSRRTMMETEEIGVSILQDLHSQRQSLLRAHETLHGVDDNVGKSKKILTGMTRRMNKNKWTIGAIITALIAAIIVILYFKLAK >fgenesh2_kg.7__3470__AT5G39500.1 pep chromosome:v.1.0:7:23145793:23150503:-1 gene:fgenesh2_kg.7__3470__AT5G39500.1 transcript:fgenesh2_kg.7__3470__AT5G39500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQNHPSGSNSFHGEFKRCHSKPSKGAVASMINSEIGAVLAVMRRNVRWGVRYIADDDQLEHSLIHSLKELRKQIFSWQSNWQYVDPRLYIQPFLDVILSDETGAPITGVALSSVYKILTLEIFTLETVNVGEAMHIIVDAVKSCRFEVTDPASEEVVLMKILQVLLACVKSKASNGLSNQDICTIVNTCLRVVHQSSSKSELLQRIARHTMHELIRCIFSQLPFISPLANESELHVDKKVGIVDWDQNSGEKRVENGNIASVSDTLGTDKDSPSSEMVIPETELRNDEKKTEVSDDLNADANGENAMMAPFGIPCMVEIFHFLCTLLNVGENGEVNSRSNPIAFDEDVPLFALGLINSAIELGGPFFRDHPKLLTLIQDELFCNLMQFGMSMSPLILSTVCSIVLNLYLNLRTELKVQLEAFFSYVLLRIAQSKHGSSYQQQEVAMEALVDLCRQHTFIAEMFANFDCDITYSNVFEDVSNLLSKSAFPVNGPISAMHILALDGLISMVQGMAERVGEEFPASDVPTHEERYEEFWTVRCENYGDPNFWVPFVRKAKHIKKKLMLGADHFNRDPKKGLQYLQGMHLLPEELDPKSVACFFRYTCGLDKNLIGDFLGNHDQFCIQVLHEFAKTFDFQNMNLATALRLFVGTFRLPGEAQKIQRVLEAFSERYYEQSPHILIDKDAAFVLAYSIILLNTDQHNAQVRTRMTEEDFIRNNRTINGGADLPREYLSEIYHSIRHSQIEIEMNPDEGTGFQLMTASRWISVIYKSKETSPYIQCDTASHLDRDMFYIVSGPTIAATSVVFEQTEQEDVLQRCIDGLLAIAKLSAYYHLNSVLDDLVVSLCKFTPFFAPLSADEAVLALGEDARARMATEAVFLIANKYGDYISSGWKNILECVLSLNKLHILPDHIASDAADDPELSTSSLEQEKPSANPLPVISQSQPSATPRKSSSFIGRFLMSFDSEETKPLPTEEELAAYKHARGIVKDCHIDSIFSDSKFLQAESLQQLVNSLIKASGKDEASSVFCLELLIAVTLNNRDRILLIWQTVYEHILGIVQPTLTPCTLVEKAVFGVLKICQRLLPYKENLTDELLKSLQLVLKLKPRVADAYCERITQEVVHLVKANASHIRSHTGWRTIISLLSITARHPEASDAGFEALRFIMSEGAHLLPSNYELCLDAAKNFAISRVGEIDRSISAIDLMSNSVFCLARWSQEAKNSIGETDAMMKLSEDIGEMWLALVNKLQIVCYDQRDQVRNHAILMLQRAIAGADGIMLPQPIWFQCFDSAVFPLLDKSLAFAIENSRKNFKKTVEETLVLATKLMSKAFLQSLQDISQQPSFCRLWVGVLNRLETYMSTEFRGKRSEKVHELIPELLKNTLLVMKATGVLLPGDDIGSDSFWQLTWLHVKKISPSLQSEVFPQEELDQFQRRNAKPEDSPVPENEA >fgenesh2_kg.7__3474__AT5G39450.1 pep chromosome:v.1.0:7:23172672:23174715:-1 gene:fgenesh2_kg.7__3474__AT5G39450.1 transcript:fgenesh2_kg.7__3474__AT5G39450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMRKNGDLGSESFGACLLLSLPEDVIAVIARFVSPRDICNLSLCCKSLCEVFDSERIWLVQCEVVKVLSLSEMIQWRIGISSYKAFCRFLGEVMKPLVGVWVHQNPELGNVVYVMPGFLSVVGCRIIPQEVGPLGIEEARVMWSPVFEIICGFDGSAKFFLHGRDGKLHCCLHPGFVMGIEKSCNVLLLEVETRREKELCSEIETVLLGETGVQLPFRKLPFSYRRNLLHIVTSTVGIPVPDLSSERLFPTSKDDEAVLSEHRTMLLKMHKFGGDWNHMNLEDECINIPNQVDINESWKHLGFEVDNRNMDAGNQTQRKTFSRYFRSGIKHILGRSSSLKNTSSSRSDTRPWNLQKFLNFGDSIGLSLKASNIKLSSYQGWPNMDETRYALYKLPIKNPIANEEYAGLWGGTFGWPPGKCTEDKPGKALFLLMLSYEESQDGTERLLIGTKILEGTHYAMHPNGSAMFVIKIDSPSFELFPFDTNGEDFEHSYAGEGTAKGYGFRYPGYKPGTLFVTSKGLLMFVWKATKVVLTLQRLDLGELLRKGVCVSPLPPCLNFAYLTKSHTNVFAPERRRS >fgenesh2_kg.7__3478__AT5G39410.1 pep chromosome:v.1.0:7:23213029:23215104:1 gene:fgenesh2_kg.7__3478__AT5G39410.1 transcript:fgenesh2_kg.7__3478__AT5G39410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTQKPDPVYDIVILGASGYTGKYVVREALKFLQTPSSPLKSLALAGRNPTRLTQSLEWAARPNPPPSSVAILTADTSDPDSLRRLCTQTKLILNCVGPFRIHGDPVVSACADSGCDYLDISGEPEFMERMEANYHERAEETGSLIVSACGFDSIPAELGLLFNAKQWVSPSVPNQIEAYLSLESDKKIAGNFGTYESAVLGVANAEKLKELRRSRPRRPRPSICGPPAKGPTLENQKTIGLWALKLPSADAVVVRRTLTTLTEKPHGLPGLNESPEQIQKREAFWSSIKPAHFGVKITSKSLFGIFRYVTLGVSLGLLSKFSFGRWLLLKFPSVFSLGWFQKKGPSEEEVESATFKMWFIGRGYSEESLASQGETKPDLEIITRISGPEIGYITTPITLVQCGLIVLGQRESLVKGGVFTPGIVFGSTDIQQRLEENGISFEVISKIKTQG >fgenesh2_kg.7__3480__AT5G39380.1 pep chromosome:v.1.0:7:23224103:23226034:1 gene:fgenesh2_kg.7__3480__AT5G39380.1 transcript:fgenesh2_kg.7__3480__AT5G39380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKEDGSSPGGDSTGKAMASKSKEKKIPHYLRASTGSCHDLCKYGKRQIPVEKPWRSTTKKIFKKSLDGELNETLKPGSSKVKKVMDVKKKMVSDDSSEVIKREVVKHQVSGVSSGVKKPEVLIISSGDETPVKQMKKKTTLSSKLKPSPDLGSRSSGNVDALKPKVLTKSYSALATSKSKVNHEHVASPVLKPKMGNRSEGKDEDAKIKKVTVSSRVASKKVPVTPRASLSPRLSLRVAGNSSLRKSQSLKAGSSSSRQNQKPKRVNHTDESNKQLDDYPVEEKTLHVVEMETTNNVVSENDQNQQGFVEPFLPPLPPTHSIPKDDECTVSETEEYEYTSGSNEAESEEEEIGLSNGEKKTRAARKEGDSADETARKLRFRRGKIMDPDTVGESARKLKFRRGRGLGEDKAQDAQVRRSFKKREDIREEEVDEDGEKVVLRHQDVQEKDAQGLFNNVIEETASKLVEARKSKVKALVGAFETVISLQES >fgenesh2_kg.7__3481__AT1G17040.1 pep chromosome:v.1.0:7:23265057:23268593:1 gene:fgenesh2_kg.7__3481__AT1G17040.1 transcript:fgenesh2_kg.7__3481__AT1G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MJN2] MAGDCAIDTEKYSLLEDFNVDVEVENKAFETFSLCFWVYLLDSTTYPSTIIRQVHSDMSVSAPFLVLDENKKMMLLPLTLLHNEAPDPVNISSWTEVPNVSTTAEFPLQKWVHVGCEVSRNYMRLYICGEIVGEQVLTSLMTNSTNSDCARKISLFSVGGDGYSVQGFIHCAEVLPSNVPANYHYTKDPPLWLSVDKPSTSGIGLDKDGVWIIVSGTFCSLDVVLTNAIGQPVHKDVKVVASLLYADSGMPVEKMSDSEAPLLVSYEGVEFSAEDKPCNLLNGCASFKLKLSQLSSKSDKRLFCVKFEIPEVKAYYPFLETVTNQIRCISRNHDSLSSMKRIRLGEEKVSESEIENGNGTSMEWRPQNHEEDNSSTDSENTEIRDSTAFRRYTISDSIIFKYCLGNLTERALLLKEITNNSSDEEVSEFVDQVSLYSGCFHHSYQIKMARQLIAEGTNAWNLISRNYQHVHWDNVVIEIEEHFMRIAKCSSRSLTHQDFDLLRRICGCYEYITQENFEKMWCWLFPVASAISRGLINGMWRSASPKWIEGFVTKEEAERSLQNQVAGTFILRFPTSRSWPHPDAGSLVVTYVGHDLVIHHRLLTIDHICDSSERYTDAKPLQDMLLAEPELSRLGRVI >fgenesh2_kg.7__3482__AT1G17050.1 pep chromosome:v.1.0:7:23269463:23271734:1 gene:fgenesh2_kg.7__3482__AT1G17050.1 transcript:fgenesh2_kg.7__3482__AT1G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSCRNIDLGTSVLDHSCSSSSTSRRFLFGNCSKNVCMIGGRSYAGNLVFLRRDFGTCRAVPAKSKENSLVNGIGQDKTVMLNLRQESRKPISLENLFEVVADDLQRLNDNLLSIVGAENPVLISAAEQIFSAGGKRMRPGLVFLVSRATAELAGLKELTVEHRRLGEIIEMIHTASLIHDDVLDESDMRRGKETVHELFGTRVAVLAGDFMFAQASWYLANLENLQVIKLISQVIKDFASGEIKQASSLFDCDVELDDYLLKSYYKTASLVAASTKGAAIFSKVESEVAEQMYQFGKNLGLSFQVVDDILDFTQSTEQLGKPAANDLAKGNITAPVIFALENEPRLREIIESEFCEPGSLEEAIEIVRNRGGIKKAQELAKEKGELALKNLNCLPRSGFRSALEDMVMFNLERID >fgenesh2_kg.7__3483__AT1G17060.1 pep chromosome:v.1.0:7:23272496:23275858:-1 gene:fgenesh2_kg.7__3483__AT1G17060.1 transcript:fgenesh2_kg.7__3483__AT1G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP72C1 [Source:UniProtKB/TrEMBL;Acc:D7MJN4] MEINIVRKVFLIGFLILILNWVWRAVNWVWLRPKRLEKYLKKQGFSGNSYRILMGDMRESNQMDQVAHSLPLPLDADFLPRMMPFLHHTVLKHGKKCFTWYGPYPNVIVMDPETLREIMSKHELFPKPKIGSHNHVFLSGLLNHEGPKWSKHRSILNPAFRIDNLKSILPAFNSSCKEMLEEWEKLASAKGTVELDSWTHCHDLTRNMLARASFGDSYKDGIKIFEIQQEQIDLGLLAIRAVYIPGSKFLPTKFNRRLRETERDMRAMFKAMIETKEEEIKRGRAGQNVTSSLFVWTLVALSQHQDWQNKARDEVSQAFGNNEPDFEGLSHLKVVTMILHEVLRLYSPAYFTCRITKQEVKLERFSLPEGVVVTIPMLLVHHDPDLWGDDVKQFKPERFVNGVAGATKGRLSFLPFSSGPRTCIGQNFSMLQAKLFLAMVLQRFSVELSPSYTHAPFPAATTFPQHGAHLIIRKV >fgenesh2_kg.7__3484__AT5G39360.1 pep chromosome:v.1.0:7:23328902:23330607:1 gene:fgenesh2_kg.7__3484__AT5G39360.1 transcript:fgenesh2_kg.7__3484__AT5G39360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAKQYRCIHSATCHCTKGHLSEEVLFLMVQHLNWNPNVIATLSCVCKWFDDLAKRLLWKEFCRARAPKMMSDLQSSGSHSVDGSWRALGKLLIYCSGSSKGGLFNDVQISGHFVHRTRFSRTSGRSFLPPQCRTDDILYVSDPCEHLDQGEDGDLGFFRGIFKSFSMSKVRKLLIKKGTPFHPTEVCPYCKAKLWSMLQAKMIPQSASCRLGAYEDSIEYYVCLNGHMLGVCTLLPLSDSEGATEFQ >fgenesh2_kg.7__3485__AT5G39350.1 pep chromosome:v.1.0:7:23330647:23332671:-1 gene:fgenesh2_kg.7__3485__AT5G39350.1 transcript:fgenesh2_kg.7__3485__AT5G39350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MJP3] MSEVFLRRAKNALSVKQYQSLLNHYAATQSISKTKALHCHVITGGRVSGHILSTLSVTYALCGHIAYARKLFDEMPQSSLLSYNIVIRMYVRDGLYHDAINVFIRMVSEGIKCVPDGYTYPFVAKAAGELKSISLGLVIHGRILRSWFGMDKYVQNALLAMYMNFGRVEMARNVFDVMKNRDVISWNTMISGYYRNGYMNDALMMFDWMVNEGVDPDHATIVSMLPVCGHLKGLEMGRNVHKLVEEKRLGDKIEVKNALVNMYLKCGRMDEARFVFGRMERRDVITWTCMINGYIEDGDVENALELCRLMQFEGVRPNAVTIASLVSACGDALKLNDGKCLHGWAIRQKVCSDIIIETSLISMYAKCKHIDLCFRVFSGASRNHTGPWSAIIAGCVQNELVRDALDLFKRMRREDVEPNIATLNSLLPAYATLADLRQTMNIHCYLTKTGFMSSLDAATGLVHVYSKCGTLESAHKIFNGIQEKHKSKDVVLWGALISGYGMHGDGHNALQVFMEMVRSGVTPNEITFTSALNACSHSGLVEEGLTLFSFMLEHYKTLARSNHYTCIVDLLGRAGRLDEAYNLITTIPFEPTSTIWGALLAACVTHENVQLGEMAANKLFELEPENTGNYVLLANIYAALGRWKDMEKVRNMMENVGLRKKPGHSTIEIRSNSS >fgenesh2_kg.7__3486__AT5G39340.1 pep chromosome:v.1.0:7:23332870:23334588:-1 gene:fgenesh2_kg.7__3486__AT5G39340.1 transcript:fgenesh2_kg.7__3486__AT5G39340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine-containing phosphotransmitter 3 [Source:UniProtKB/TrEMBL;Acc:D7MJP4] MDTLIAQLQRQFRDYTISLYHQGFLDDQFTELKKLQDECSPDFVAEVVTLFFEDCEKLISNMARALDQTGNVDFKLVGSSVHQLKGSSSSVGAKRVKGLCITLKECCDSQNYEGCVRCLQQVDIEYKTLKAKLQDLFNLEQQIVQAGGRIPQVDI >fgenesh2_kg.7__3487__AT5G39330.2 pep chromosome:v.1.0:7:23336565:23337369:-1 gene:fgenesh2_kg.7__3487__AT5G39330.2 transcript:fgenesh2_kg.7__3487__AT5G39330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEALVPKLTYLRPLTLKDYYVPFFLVIVVLLFFGFFTYVVYEQHKEEQNYVPNITIPSIDFTVLNITETRLSVKWDLLLRIPSDLPGLYMCLKGNFQFSIIYKGVTIATSFIESLFLIRVSSIASEGDMDGVILKDIMKDIKEIGEIRFGSRLLLPDCRYGTTGKMNYACDVAMLRFEPGSQRNATLFGNHPICRYLR >fgenesh2_kg.7__3495__AT5G39260.1 pep chromosome:v.1.0:7:23362580:23363676:1 gene:fgenesh2_kg.7__3495__AT5G39260.1 transcript:fgenesh2_kg.7__3495__AT5G39260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWFMFISYGHGADVAEPSPGTNGLDTAWYDARATYYGDIHGIGTELEGACGYGDPNKHGYGLATAALSTALFNNGATCGACYEIMCAPNPQGCLSGSIKITATNLCPPDSTWCNLPNKHFDLSLPMFIKIAQVKAGIVPIRYRRVPCAKTGGVKFEVKGNPSFLTILPYNVGGAGDIKAVYVKGSKTGWIAMSRNWGQNWTTNVNLAGQSVSLRVTTSDEVTKDFTDVMPQSWGFGQTFDGKTNF >fgenesh2_kg.7__3496__AT5G39250.1 pep chromosome:v.1.0:7:23364276:23365184:-1 gene:fgenesh2_kg.7__3496__AT5G39250.1 transcript:fgenesh2_kg.7__3496__AT5G39250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MJQ4] MFSEEVLKNVFPLLEGEDLAACMGVCRQWRDIAIDDFYWKCQCAKKWPSVCKRHKPPTETYYKMYQMFSKRRLNRALPPPRLSFENLEFFIDIWSEEKLVFSGLILGVALEKGIKTLPLGISNVLRTHLGRPDYKMVVPAEPRFTIPLNQSVSVSVLVARNDSNKVARIINRAVFDYIDRSSYRALAFEYLDLSPCYPFITGIRAWISLLFMDVEDMNDGLLDVFGIQLDFNDVADTKEEVLWLLDMLDWK >fgenesh2_kg.7__349__AT4G37050.1 pep chromosome:v.1.0:7:1529814:1532252:-1 gene:fgenesh2_kg.7__349__AT4G37050.1 transcript:fgenesh2_kg.7__349__AT4G37050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:D7MB09] MDTERTVACLPPSYGQLVTILSIDGGGIRGIIPGTVLAYLESQLQELDGEEARLVDYFDVISGTSTGGLIVAMLTAQDEDQSDGHSRTRNRPLFEAKEIVPFYVKHSPKIFPQPRGISGWGENLVRLVRGPKFNGKYLHELVEGFLGDRKLSQSLTNVVIPCFDIKKLQPVIFSSYQAVNNQAMNAKLSDICISTSAAPTYFPAHRFTNEDSEGKKHEFNLIDGGIAANNPTLCAIAEVTKQIIKKNPAMGDISPLDFTRFLVISIGTGSIKNQEKYNAKMASKWGLICWIIENGSTPILDCYSEAIHDMVDYQSSVVFQALRSEKNYLRIDDDSLKGDLGSVDISTEKNMEGLVEVGEALLKKRVSRVNLETGHYQPISENVTNEEALKRFAKVLSEERKLRESRSPKLKI >fgenesh2_kg.7__34__AT4G38390.1 pep chromosome:v.1.0:7:90297:92836:1 gene:fgenesh2_kg.7__34__AT4G38390.1 transcript:fgenesh2_kg.7__34__AT4G38390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKLKKRDNGAEPDKKKLVIAGIRHQLLLLLRRRHRLFPLVSAVSGCILLILFSFSALSPPPLIHHNNQVAVEPNPDPTTTPFRENGGRSDRQLWSSRLSNFYYACSNATDTFQVTDKTRQTNRYLLIATSGGLNQQRTGIIDAVVAAYILNATLVVPKLDQKSYWKDTSNFEDIFDVDWFISHLSKDVKIIKELPKEEQSRISTSLQSMRVPRKCTPSCYLQRVLPILNKKHVVQLSKFDYRLSNNLDTELQKLRCRVNYHAVRYTESINRMGQLLVDRMRKKAKYFVALHLRFEPDMLAFSGCYYGGGQKERLELGAMRRRWKTLHAANPEKVRRHGRCLLTPEEIGLMLRGLGFGKEVHLYVASGEVYGGEVTLAPLRALFPNLHTKETLTSKKELAPFANFSSRMAALDFIVCDKSDAFVTNNNGNMARILAGRRRYLGHKVTIRPNAKKLYELFKNRHNMTWDDFSSKVRRYQTGFMGEPDEMKPGEGEFHENPASCICRTSEARVVKKKAKHVNEDDSSEYSDIGNVPIASGSDLDHSQVDEEIF >fgenesh2_kg.7__3506__AT5G39130.1 pep chromosome:v.1.0:7:23394974:23395810:1 gene:fgenesh2_kg.7__3506__AT5G39130.1 transcript:fgenesh2_kg.7__3506__AT5G39130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSQSLIPIAIISLVISFANAYDPSPLQDFCVAIDDLKGVFVNGRFCKDPKRVDAKDFFFSGLNVPGNTDNQVGSNVTIVNVDQIPGLNTMGISLVRIDYAPHGQNPPHTHPRGSEILVLVKGTLYVGFVSSNQDNNRLFAKVLHPGDVFVFPIGMIHFQVNIGKIPAVAFAGLSSQNAGVITIANTVFGSNPPIYPELLARAFRLDASVVKELQAKFGSI >fgenesh2_kg.7__350__AT4G37070.2 pep chromosome:v.1.0:7:1534390:1547918:-1 gene:fgenesh2_kg.7__350__AT4G37070.2 transcript:fgenesh2_kg.7__350__AT4G37070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:D7MB10] MENDSTIKKNKPPSCGSLVTILSLDGGGVRGIIAGVILAYLEKQLQELDGENVRLADYFDVIAGTSTGGLVTAMLTAPDGTGRPRYAAKDIVPFYLEHCPKIFPQPTGVLALLPKLPKLLSGPKYSGNYLRTLVGTLLGETKLHQTLTNIVIPTFDIKTLQPTFFSSYQALADPSLNVKVSDICLGTSAAPTFLPAHYFSIEDSQGKTREFNLVDGGVTANNPTLVAITAVSKQIVKNNPDMGTLKPLGFDKFLVISIGTGSAKKEEKYSAKKAAKWGIISWLYEDGSTPILDITMESSRDIVHYHSSVLFKALESEDKYLRIDDDTLEGDVSNMDLATKSNLENLKKIGEKMLTNRVAQMNIDTGAYEPITENITNDEQLKRFAKILSDERKLRRLRSDTMMKDPPNGSP >fgenesh2_kg.7__3511__AT5G39100.1 pep chromosome:v.1.0:7:23427041:23427698:1 gene:fgenesh2_kg.7__3511__AT5G39100.1 transcript:fgenesh2_kg.7__3511__AT5G39100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MJR7] LGISLVRIDYAPYGQNPPHTHPRATEILVLVEGTLYVGFVSSNQDNNRLFAKVLNPGDVFVFPIGMIHFQVNIGKTPAMAFAGLSSQNAGVITIADTVFGSTPPINPYILAQAFQLDVNVVKDLEAKFKN >fgenesh2_kg.7__3512__AT5G39090.1 pep chromosome:v.1.0:7:23451022:23452375:-1 gene:fgenesh2_kg.7__3512__AT5G39090.1 transcript:fgenesh2_kg.7__3512__AT5G39090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MJR9] MSPSLNMIHVSRVAPSNSNSSESLTLPLTFFDLLWLKHKAVERVIFYKLTDVTRPFFELVIVPNLKSSLSSSLSHYLPLAGQILWESLDPKPNIVYSPNDTVSFTVAESNADFSRLTGKEPFSSTELHPLVPELQNSDDSASVMSFQVTLFPNQGFCIGVTAHHAVSDGKTTTTFLKSWAHLCKHQDSSLPEDLIPFYDRTVIKGPPEIDTKVLKIWHSIDKPKSLKLLPKPEIDSDVVRYTYELTREDIEKLRERLKRESSSSSSLRLSTFVITFSYIFTCLIGSGGDDPDRPVGYRFAVDCRRLIDDPPIPLTYFGNCVYSAVKIPLMAGLFLGEQGFVAAARLISDSVEELDSNVAWKIPELLETCENAPVESQFVSVAGSTRFGMYGLDFGWGKPFKSLLVSIDQRGKISMAESRDGSGGVEIGFSLKKEEMNVLIDLLHKGIK >fgenesh2_kg.7__3513__AT5G39050.1 pep chromosome:v.1.0:7:23478827:23480278:-1 gene:fgenesh2_kg.7__3513__AT5G39050.1 transcript:fgenesh2_kg.7__3513__AT5G39050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MJS2] MDSSLKIIDEAQVPPSNSDSSESLTLPLTFFDLLWYKLHAVERVIFYKLTDATRPFFDSVIVPNLKTSLSSSLSHYLPLAGKLVWEPLDPKPKIIYTPNDAVSFTVAESNADFSRLSGNEPYPTAELYPLVPELQVADDSAAAVSFQVTLFPNQGFCICVNAHHAVLDGKTTTMFLKSWAHTCKNQDSSLPDDLIPIYDRTVVKDPTDLDTKVLNAWHKVGKVFTGGKEPENPKSLKLLWSPEVGPDVFRYTLSLTKENIQKLRERLKKESSSSVSSSPKELRLSTFVIVYSYALTCLIKARGGDPNRPVGYGFAVDCRSLMVPPVPSNYFGNCVSACFKMPI >fgenesh2_kg.7__3514__AT5G39040.1 pep chromosome:v.1.0:7:23485087:23489524:-1 gene:fgenesh2_kg.7__3514__AT5G39040.1 transcript:fgenesh2_kg.7__3514__AT5G39040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATTAP2 [Source:UniProtKB/TrEMBL;Acc:D7MJS3] MGNKKLLTGGSSKTYGSGGSSYRDPLLQNQDKPKANGSENGSNDLEHGVVEAANVGFGRVFALAKPDAGKLVIGTIALLIGSTTNLLVPKFGGMIIDIVSRDVKTPEQQTESLIAVRNAVVVILLIVVIGSICTALRAWLFNSASERVVARLRKDLFKHLMHQEIAFYDVTKTGELLSRLSEDTQIIKNAATTNLSEALRNVTTALIGVGFMFTSSWKLTLLALVVVPVISVAVKQFGRYLRELSHTTQAAAAVAASIAEESFGAIRTVRSFAKESYMVSQYSKKVDETLKLGLKQAVLVGLFFGGLNAAFTLSVITVVSYGAYLTIYGSMTVGALTSFILYSLTVGSSVSSLSSLYTTAMKAAGASRRVFQILDRVSSMSSSGDKCPVGVPDGDVELNDVWFAYPSRPSHMILKGISLRLTPGSKVALVGPSGGGKTTIANLIERFYDPLKGKILLNGVSLMEISHQYLHKQISIVSQEPILFNCSVEENIAYGFDGEASFSDIENAAKMANAHEFIEAFPDKYNTVVGERGLRLSGGQKQRIAIARALLTNPSVLLLDEATSALDAESEYLVQDAMDSLMAGRTVLVIAHRLSTVKTADCVAVISDGEVAEKGTHNELLSLNGIYTNLVKRQLQSSSSVTSL >fgenesh2_kg.7__3518__AT5G38970.1 pep chromosome:v.1.0:7:23528662:23531906:1 gene:fgenesh2_kg.7__3518__AT5G38970.1 transcript:fgenesh2_kg.7__3518__AT5G38970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brassinosteroid-6-oxidase [Source:UniProtKB/TrEMBL;Acc:D7MJS7] MGTMMVMMGLLFIIVSLCSALLRWNQMRYTKNGLPPGTMGWPIFGETTEFFKHGPNFMRNQRLRYGNFFKSHLLGCPTLVSMDSEVNRYILKNESKGLVPGYPQSMLDILGTCNMAAVHGSSHRLMRGSLLSLISSTMMRDHILPKVDHFMRSYLGQWNELEVIDIQDKTKHMAFLSSLTQIAGNLRKPFIEEFKTAFFKLVVGTLSVPIDLPGTNYRCGIQARKNIDRFLRELMQERRDSGETFTDMLGYLMKKEDNRYPLTDEEIRDQVVTILYSGYETVSTTSMMALKYLHDHPKALLELRTEHLALRESKRPDEPLGLEDVKSMKFTRAVIFETSRLATIVNGVLRKTTRDLEINGYLIPKGWRIYVYTREINYDANLYENPLIFNPWRWMKKSLESQNSCFVFGGGTRLCPGKELGIVEISSFLHYFVTRYRWEEIGGDELMVFPRVFAPKGFHLRISPY >fgenesh2_kg.7__3519__AT5G38940.1 pep chromosome:v.1.0:7:23544792:23545682:-1 gene:fgenesh2_kg.7__3519__AT5G38940.1 transcript:fgenesh2_kg.7__3519__AT5G38940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Manganese ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7MJT1] MAMKSLSYLAVLSLLALTLPLAIASDPSQLQDFCVSANTSANGIFVNGKFCKDPKLVTADDFFFSGLQNARPVTSPVGSTVTAVNVNNLLGLNTLGISLVRIDYAVNGQNPPHTHPRATEILVVEQGTLLVGFVTSNPDNRLFTKVLNEGDVFVFPEGLIHFQANIGKGPAVAFAALSSQNPGVITIANTVFGANPAIDPTILAKAFQLDPRVVVDLQTKFKK >fgenesh2_kg.7__3521__AT5G38900.1 pep chromosome:v.1.0:7:23566329:23568414:1 gene:fgenesh2_kg.7__3521__AT5G38900.1 transcript:fgenesh2_kg.7__3521__AT5G38900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DSBA oxidoreductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MJT4] MAESASNTGSRKLIQIDVSSDSVCPWCFVGKKNLDKAIEASKDQYNFEIRWRPFFLDPSAPKDGVSKKEFYRQKYGNRYEGMFARMSEIFKGLGLEFDTAGLTGNSLDSHRLIHYTGKQAPDKQHKLVEELFIGYFTQGKFIGDREFLVETAKKVGIEGAEEFLSDPNNGLTEVKEELAKYSRNITGVPNYTINGKVKLSGAQPPETFQSAFKAASA >fgenesh2_kg.7__3522__AT5G38890.1 pep chromosome:v.1.0:7:23571263:23572987:-1 gene:fgenesh2_kg.7__3522__AT5G38890.1 transcript:fgenesh2_kg.7__3522__AT5G38890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTELVTPGDVIGKATEFKAGKGAYVNDTTIYASLTGTRRIVSPLPESLDQRAVVEVTGHKAHGPIPEPGSVVIARVTKVMARMAAVDILCVGSKAVRENFAGVIRQQDVRATEIDKVDMHQSFRAGDIVRAMVLSLGDARAYYLSTAKNELGVVSAESAEGETMVPISWTEMQCPLSGQTEQRKVAKVGN >fgenesh2_kg.7__3524__AT5G38840.1 pep chromosome:v.1.0:7:23597014:23600684:-1 gene:fgenesh2_kg.7__3524__AT5G38840.1 transcript:fgenesh2_kg.7__3524__AT5G38840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MJU2] MDPPPPRNPSHDSEPPEPNTTSISQTDESSTLAEVSSMNPPPPRNPNLPDLKTTEVVEPEPMDESKDDSSVAVDANKPVRTRTAKQNPVPYTIPEWSGPPSHQFQLEVLKEGAIVDTLDVYKKGAYLFGRDGICDFALEHPSISRFHAVIQYKRSGAAYIFDLGSTHGTTVNKNKVDKKVFVDLHVGDVIRFGGSTRLYIFQGPSDLMPPEKDLQLIREAKMRMEMSEREASLRRARQQASMADGVSWGMGEDAIEEEEDDTEEITWQTYTGELTPKQEKTKEKVLKRLEKIGHMKKEVAAIRAKDISQGGLTQGQQTQIARNEQRTAELLEELENLEETLNDSIRESLGAKTGRKPHGKKKGIVEDEEDLLSDEDDFYDRTKKKPSTQKGSENQTVETVDSLLDKRDNVLKEIEAKNEQLLTEKNKMEIENVTEVASADSLDALDAYMTGLSTTLVQDKTAQIQQELSTLQSELSRILYLLKIADPTGEEVKKRELRSQEPKIKKSETPPVEKKISIPLKQADSNEHKEKEEAKDLVDSDNKPEVEKKASETAEEKKTPVYVPLKPQWLGSTANKATTEEKKPEIVAAATDSTEDADGFVDYKDRKNIALTTTTGIEGATGLIIRKRKQEDKSEEEDDKSKEKQAEVIAQDAVALLLKHSVGHHVNEEEELSKKEESKQGSGHSRKKKKKTAKKVVGPDKPEYLDESTEYDSWVPPAGQSGDGRTSLNDRLGY >fgenesh2_kg.7__3525__AT5G38830.1 pep chromosome:v.1.0:7:23605523:23608245:1 gene:fgenesh2_kg.7__3525__AT5G38830.1 transcript:fgenesh2_kg.7__3525__AT5G38830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (C) family protein [Source:UniProtKB/TrEMBL;Acc:D7MJU3] MEAEKMELKLYNTMTQQKEVLIPITPGKIGLYVCGITAYDFSHIGHARAAVSFDVLYRYLKHLGYEVNFVRNFTDVDDKIIIRANENGEDPLDLSNRFCEEYLVDMGALQCLLPTHQPRVSEHMDHIIKMIEKIIEKDCGYVVEGDVFFSVDKSPNYGKLSGQLLEHTRAGERVAVDSRKRNPADFALWKAAKPEEPSWESPWGPGRPGWHIECSAMSAHYLSPKFDIHGGGADLKFPHHENEIAQTCAACEDSGVNYWLHNGHVTINNEKMAKSKKNFKTIREITASYHPLALRHFLMSAQYRSPLSFSASQLESSSDALYYVYQTLQDLDEDLSPYREALSEDGGKAEQTPEGKDIIKKLKSEFESKMLDDLNTAHILTGAYQDALKFINANRSKLKKMQKKQRMSMLVSLVEIEKAAREVLDVLGLLTTLSYAEVLKEMKLKTLIRAEMGEEDILREIEDRITARNNKDFAKSDEIREKLTRKGIALMDFGKETVWRPCFPSQADSSN >fgenesh2_kg.7__3529__AT5G38760.1 pep chromosome:v.1.0:7:23636661:23637429:-1 gene:fgenesh2_kg.7__3529__AT5G38760.1 transcript:fgenesh2_kg.7__3529__AT5G38760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSQNISFQAGQAKGQTQEKASTMMDKASNAAQSAKESLQETGQQIKEKAQGATESVKNATGINK >fgenesh2_kg.7__3531__AT5G38720.1 pep chromosome:v.1.0:7:23640887:23643284:1 gene:fgenesh2_kg.7__3531__AT5G38720.1 transcript:fgenesh2_kg.7__3531__AT5G38720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETRKLKKTNLPEGETDGKRVGKQIQRKKKVQVSNVELNEQSQDTQQANSSEKPKRKKVELKKKIQKSKEINSSPVDGKLNGKMKKRKEKVGNVDISEPNLEAISTKIVKGKKGKMSKTKKKRKAEEISSAKVEADHLKGERKSKKSNKKRKMDMTSTKENKIEEEEDVYQISSGDEDCTWGMKKWVTDYYEGRPGLDELQKRIDDFMTAHDERLEQEKQDKEAKAAEGGWTVVVHHKGRKKTTETESGTAVGSFSQAALEDKISKKKQSEPVAHGFYRFQRREAQLNEILSLQSKFEQDKKRIQQLRAARRFKPF >fgenesh2_kg.7__3532__AT5G38710.1 pep chromosome:v.1.0:7:23665695:23668615:-1 gene:fgenesh2_kg.7__3532__AT5G38710.1 transcript:fgenesh2_kg.7__3532__AT5G38710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7MJV9] MANRFLRPNLIHRFSTVSPVGPPTTVIPEILSFDQPKQDVDLDLSDQARLFASVPISTLLRSTAVLHATSIGPMVDLGSWLMSSKLMDTTVTRDLILRVVKGTFYDHFCAGEDAAAAARRVSSMYESTGLKGMLVYGVEHAEDSGACDENIQKFIETVEAAKTLPTSHLSSVVIKITAICPMNLLKRVSDLLRWQYKNPNFKLPWKLNSFPVFSGLSPLYHTTSEPEPLTAEEERELEKAHERLKSVCKRCQESNVPLLIDAEDTILQPAIDYMAYWSAIMFNSDKDRPIVYNTIQAYLKDAGERLHLSLRESEKMNVPIGFKLVRGAYMSSEARLADSLGHKSPVHDTIQDTHNCYNDCMSFLMEKASNGSGIAVILATHNTDSGKLGARKASELGIDKENGKIEFAQLYGMSDALSFGLKRAGFNVSKYMPYGPVDTAVPYLIRRAYENRGMMSTGALDRQLMRKELKRRVMGW >fgenesh2_kg.7__3533__AT5G38690.1 pep chromosome:v.1.0:7:23691906:23729502:1 gene:fgenesh2_kg.7__3533__AT5G38690.1 transcript:fgenesh2_kg.7__3533__AT5G38690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSKNQTQQQTPNPGGGESRIEDSSNGKTCHQCRQKRTDLVGSCVTKKKDKTCPIKLCTKCILNRYGENAEEVALKKDWICPKCRGNCNCSYCMKKRGQKPTGILVHTAKKTGFSSVSELLKTSGSDKYFYTKKVKPEGGVVALPLKLDQENSIEQKHVSIKKSRKTKREELKDLNNGCSDENAVVKKSSSKKIKISDSVHPTESTTKDIKENNIAEVTKKVLAEGKKKKTTAKDIKENNVADKTKRIKPALKKKEEDEVKLPQGNSSITVSGIDLAPEDAGNVFQFLEFCSAFGKALDLRKGQAECVIREMLSGRSKRRQQYSTLTQMIIQLLTVILEDKGETSVFLSATDASWFTTIGECLSESEVKLDDFPPEMFEKGLSQYEKLNSSKRLKLLNFLCDETLGTSVMRNCIDSQNIESVERKKEAKEKIIAAKDKEKQLKHKLQDELAQAVKEKNGIPLLITERDAIVSRINAETKEVYSEMQNAIDMLSKKSQGSDDAVRTNPVELDDNGLIFWRLKSYNDEPNILLQDLGSWNEVCPHEKWFSFNSEQKPEIEKYISSIRMKRLGAQKNANTIIT >fgenesh2_kg.7__3536__AT5G38660.1 pep chromosome:v.1.0:7:23735517:23737719:1 gene:fgenesh2_kg.7__3536__AT5G38660.1 transcript:fgenesh2_kg.7__3536__AT5G38660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISAAPGTTVLFSNLRRDSLYNRRHENLSVVSSSLPSLMPKQRWLYVPETRLKREILKLDFITRAADSTSSSPSVASGDRTLIPDDEFTLAKISFGVIGLGLGVSLLSYGFGAYFNILPGSEWSAIMLTYGFPLAIIGMALKYAELKPVPCLSYSDAVKLRESCATPILTQVRNDVTRYRYGDEQHLEEALKRIFQYGLGGGIPRRSAPILQLIREEVLTDGRYCLVLVFEAKALTLSDFEKRQAKFTSFFGPNITAEVGKGERENLYEVRLISNLSTNSVSSTV >fgenesh2_kg.7__3538__AT5G38640.1 pep chromosome:v.1.0:7:23757775:23760743:1 gene:fgenesh2_kg.7__3538__AT5G38640.1 transcript:fgenesh2_kg.7__3538__AT5G38640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2B family protein [Source:UniProtKB/TrEMBL;Acc:D7MJW6] MESRRNPRAVVDPKVRQVGFFTSSQPDSSSTQQQPDPIAAASASSSSTISPSGNSLSPVMIPPPLHNSSDTFPLRAAAAGAAASAVSPSSFSYSSRRDFPDGSTTASPGRHSRGSFVKSSVPVASSLPGIGMGSMAAAKSSSVPASGLTTVSVVTMPPGLSEKASGMEVQSDQKKPLKEKTTKAERRAIQEAQRAAKAAAKADGGKVPPVTSASVASTSVKAAKPAKATSQKNDVTVAAGAAEKKGGPSEKDRKKDAPHPRMQYDDESRVVKAKRRAVVKQTEAKNRVELFRHLPQYEHGTQLPDLETKFFQLDPMHPAVYKVGLQYLSGDISGGNARCIAMLQAFQEVVKDYSTPPEKSLNRDMTAKISSYVSFLIECRPLSISMGNAIRFVKNRIAKLPITLSESEAKAALQSDIERFINDKIIGADTVIVKHAVTKIRDGDVLLTYGSPTAVEMVLLHAHELRKKFRVLVVDSRPKLEGQLLLRRLIKRGINCTYTHINAISYIMHEVTKVFLGASSVLSNGTVYSRVGTACVAMVANAFRVPVLVCCEAYKFHERVQLDSICSNELGDPNAISKVHGREDINYLDGLTNNANLQFLNLMYDATPSDYISMIITDYGMVPPTSVPVIVREYQKEHLLV >fgenesh2_kg.7__3541__AT5G38600.1 pep chromosome:v.1.0:7:23766259:23769904:-1 gene:fgenesh2_kg.7__3541__AT5G38600.1 transcript:fgenesh2_kg.7__3541__AT5G38600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDVLDLESGNGSPEANSLVGNDENMKGNLDVEKDLDLTEENLRTVGGGESGEILTEQVSDVFNASVAVDEKVGIQKETLVHRTTLDVSSKAGVKRPRTSFDEQQSTVHVTYKDLTRASKQKLESLLRQWSEWEAENTSLVQDQVQPLESGEETYFPALRVGLQKTSSVSFWIDNQTGPKPLEEFVLVESSTTPLYDRKFAIGLNSADGSRNLEGGLENIDDDPPRCFNCGAYSHSLRECPRPFDRSAVNSARKLQKSKRNQSTSGPRLPSRYYQKPQSGKYDGLKPGTLDAETRQLLNLGELDPPPWLNRMREIGYPPGYLAPEDDHLSGITIFGEEVETREELESEDGEILEKANSPEPQMKKTVEFPGINAPFPENADEWLWEAAPSHRNSSRSGRWQQQRASRGLDYRDDGQLGVESSSYPPRYGSRYDYGYGSNDYSSRSRSPGIDRSVSERSKRDYSSYDADFRERDRDRDRNRDRDRERDWDRDRDDRDWSYRLSNRR >fgenesh2_kg.7__3542__AT5G38580.1 pep chromosome:v.1.0:7:23777818:23778525:1 gene:fgenesh2_kg.7__3542__AT5G38580.1 transcript:fgenesh2_kg.7__3542__AT5G38580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLREAYVDVVYDDGLVFKELEHLNLCVCREDSSNLFGQLLKDSPNLRILDISVVKDHATDELNGTVSWNQPNFVPECLLSSLQTLKWSRYYGRPQDRDIAVYILKNARHLKTATILADTEEHYVPNLQMIKELALSPRASSTCQLVFVEDLYSSV >fgenesh2_kg.7__3554__AT5G38560.1 pep chromosome:v.1.0:7:23895651:23907743:-1 gene:fgenesh2_kg.7__3554__AT5G38560.1 transcript:fgenesh2_kg.7__3554__AT5G38560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPPLPILSPPSSNSSTTAPPPLPSQPTTPSAPPPVTPPPSPPQSPPPVVSSSPPPPPVVSSPPPSSSPPPSPPVVTSPPPTVASSPPPPVVIASPPPSTPATTPPAPPQTVSPPPPPDASPSPPAPTTTNPPPKPSPSPPGETPSPPKPSPSTPTTTTSPPPPPAISASPPSSNPTDPSTLAPPPTPLPVLPREKPIAKPTGPSSNNGNNTMQSDSSGKSGVGTGGIVAIGAIVGLVFLSLFVLGVWFTRKRKRKDPGTFVGYTMPPSAYSSPQGSDVVLFNSHSSAPPKMRSHSGSDYMYASSDSGMVSNQRSWFSYDELSQVTSGFSEKNLLGEGGFGCVYKGILADGREVAVKQLKIGGSQGEREFKAEVEIISRVHHRHLVTLVGYCISEQHRLLVYDYVPNNTLHYHLHAPGRPVMTWETRVRVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNSFEALVADFGLAKIAQELDLNTHVSTRVMGTFGYMAPEYATSGKLSEKADVYSYGVILLELITGRKPVDTSQPLGDESLVEWARPLLSQAIENEEFEELVDPRLGNNFIPGEMFRMVEAAAACVRHSAAKRPKMSQVVRALDTLEEATDITNGMRPGQSQVFDSRQQSAQIRMFQRMAFGSQDYSSDFFDRSQSHSSWGSRDQSRFVP >fgenesh2_kg.7__3555__AT5G38530.1 pep chromosome:v.1.0:7:23909712:23912305:-1 gene:fgenesh2_kg.7__3555__AT5G38530.1 transcript:fgenesh2_kg.7__3555__AT5G38530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQLLLPPNHFTKSVSAKVFFTGDYQGFSDLTLRRKSNQAQRVSNNGFSLRAKADLRFTNNKSLVEIPKQWYNLVADLSVKPPPPLHPKTFQPIKPEDLSHLFPNELIKQEATQKRFIDIPEEVLEIYKLWRPTPLIRAKRLEKLLQTPARIYFKYEGGSPAGSHKPNTAVPQAYYNAKEGVKNVVTETGAGQWGSSLAFASSLFGLDCEVWQVANSYHTKPYRRLMMQTWGAKVHPSPSDLTEAGRRILESDPSSPGSLGIAISEAVEVAARNEDTKYCLGSVLNHVLLHQTIIGEECIQQMENFGETPDLIIGCTGGGSNFAGLSFPFIREKLKGNINPVIRAVEPSACPSLTKGVYAYDFGDTAGLTPLMKMHTLGHDFIPDPIHAGGLRYHGMAPLISHVYEQGFMEAISIPQIECFQGAIQFARTEGIIPAPEPTHAIAATIREALRCRETGEAKVILMAMCGHGHFDLASYDKYLKGELIDLSFSEEKIRESLSKVPHVV >fgenesh2_kg.7__3558__AT5G38510.1 pep chromosome:v.1.0:7:23919655:23922302:1 gene:fgenesh2_kg.7__3558__AT5G38510.1 transcript:fgenesh2_kg.7__3558__AT5G38510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid family protein [Source:UniProtKB/TrEMBL;Acc:D7MJX8] MAEATTLGRDCRMKDRINSIPFCRSPRGRLCLVRASSENKITKRRLKLLDSYFGKLRNDDEKPSILTGDDIDRKAELNADKELDSLSVYLDKLQKDAKSKGLVSSTLDVVKSEGGSVASKLRKTGIESNNSPFQQLDDDDQSVDTLNFYAVSILASINVGVCLFEAAAPVRNNDMGLLSLPLLYGAKINDLILAGQWWRLVTPMFLHSGIPHVALSSWALLTFGPKVCRDYGLFTFCLIYILGGVSGNFMSFLHTADPTVGGTGPAFALIGAWLVDQNQNKEMIKSNEYEDLFQKAIIMTGFGLILSHFGPIDDWTNLGALIAGIVYGFFTCPVLQLERGSERQEGTVTVGPEKQNSADPCKSFLLFTIFVAVIVTSLVLIGDGPLDFPTYDDVVYSLI >fgenesh2_kg.7__3560__AT5G38480.1 pep chromosome:v.1.0:7:23930785:23932810:-1 gene:fgenesh2_kg.7__3560__AT5G38480.1 transcript:fgenesh2_kg.7__3560__AT5G38480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEASREENVYMAKLAEQAERYEEMVEFMEKVAKTVDAEELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEESKGNEDHVAIIKDYRGKIETELSKICDGILNVLEAHLIPSASPAESKVFYLKMKGDYHRYLAEFKAGAERKEAAESTLVAYKSASDIATAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDDAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMTDEAGDEIKEASKPEGAE >fgenesh2_kg.7__3562__AT5G38470.1 pep chromosome:v.1.0:7:23933306:23936371:-1 gene:fgenesh2_kg.7__3562__AT5G38470.1 transcript:fgenesh2_kg.7__3562__AT5G38470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVKTLSGTNFEIEVKPADTVSDVKKAIETVKGAEYPAVKQMLIHQGKVLKDETTLEENNVVENSFIVIMLSKTKVSSSGASTASAPAPSATQAQTVATPQVSAPTVSVPEPTSGTATAAAPAAAAAVQTDVYGQAASNLVAGTTLESTVQQILDMGGGSWDRDTVVRALRAAFNNPERAVEYLYSGIPAQAEIPPVAQAPSTGEQPANPQAQPQQAAPVAATGGPNANPLNLFPQGMPAADAAAGAGNLDFLRNSQKFQALRAMVQANPQILQPMLQELGKQNPQLVRLIQEHQADFLRLINEPVEGEENVMEQLEAAMPQAVTVTPEEREAIERLEAMGFDRAMVLEVFFACNKNEELAANYLLDHMHEFEDQ >fgenesh2_kg.7__3564__AT5G38460.1 pep chromosome:v.1.0:7:23966679:23969518:1 gene:fgenesh2_kg.7__3564__AT5G38460.1 transcript:fgenesh2_kg.7__3564__AT5G38460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-glucosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MJY5] MPKKKPAKHSGDDDITAPVSPQTGTLIDTWWWLTHKGTTTSFLCISLFALLIRSAVSMYPYSGAGIPPKFGDFEAQRHWMEITTNLPVIDWYRNGTYNDLTYWGLDYPPLTAYQSYIHGIFLRIFNPESVALLSSRGHESYLGKLLMRWTVLSSDVLIFFPAALFFVLVYHRNRIRSGKSEVAWHIAMILLNPCLIVIDHGHFQYNCISLGLTVGAIAAVLCESEVLTCVLFSLALSHKQMSAYFAPAFFSHLFGKCLRRKNPVFAVIKLGTAVIVTFVIVWWPYLHSLDDFLMVLSRLAPFERGIYEDYVANFWCTTSILIKWKKLFTTQSLKSISLAATVLASLPSMVQQILSPSNEGFLYGLLNSSMAFYLFSFQVHEKSILMPFLSATLLALKLPDHFSHLTYYALFSMFPLLCRDKLLIPYLTLSFLFTIIYHSPGNHHSIQKTNVLCFSFKNFPGYVFLLRTHFFISVVLHVLYLTTQPPQKYPFLFEALIMILCFSYFIMFAIYTNYTQWTLSSHFRSFDKEKKHI >fgenesh2_kg.7__3565__AT5G38450.1 pep chromosome:v.1.0:7:23972571:23976132:1 gene:fgenesh2_kg.7__3565__AT5G38450.1 transcript:fgenesh2_kg.7__3565__AT5G38450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP735A1 [Source:UniProtKB/TrEMBL;Acc:D7MJY6] MLLTILKSLLVIFVTMILRVLYDTISCYWLTPRRIKKIMERQGVTGPKPRPLTGNILEISAMVSQSASKDCDSIHHDIVGRLLPHYVSWSKQYGKRFIVWNGTDPRLCLTETELIKELLMKHNGVSGRSWLQQQGTKNFIGRGLLMANGQDWHHQRHLAAPAFTGERLKGYARHMVECTSKLVERLRKEVGEGGCELEIGEEMHKLTADIISRTEFGSSFEKGKELFNHLTVLQRRCAQATRHLCFPGSRFLPSKYNREIKSLKKEVERLLIEIIQSRRDCAEMGRSSTHGDDLLGLLLNEMDSDKNNNNNNNNLQLIMDECKTFFFAGHETTALLLTWTMMLLADNPTWQEKVREEVREVFGRNGLPSVDQLSKLTSLSKVINESLRLYPPATLLPRMAFEDLKLGDLTIPKGLSIWIPVLAIHHSEELWGKDANQFNPERFGGRPFAAGRHFIPFAAGPRNCIGQQFALMEAKIILATLISKFNFTISKNYRHAPIVVLTIKPKYGVQVILKPLDS >fgenesh2_kg.7__3567__AT5G38435.1 pep chromosome:v.1.0:7:23993143:23993689:-1 gene:fgenesh2_kg.7__3567__AT5G38435.1 transcript:fgenesh2_kg.7__3567__AT5G38435.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MJY8] MHSLSWFLLVIGLSVGLSNAKWNEKNAVFFKNSLGRNKVLKVNCISNDDNLGFHFLRPGETYDFSFHDSLFKSEFYCDLWQGPDFKLHASFMAYEGGGFIVHYGKKNFWDAREDGIYFTHGQKMPKLEY >fgenesh2_kg.7__3569__AT5G38430.1 pep chromosome:v.1.0:7:23994437:23998679:1 gene:fgenesh2_kg.7__3569__AT5G38430.1 transcript:fgenesh2_kg.7__3569__AT5G38430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:D7MJY9] MASSMLSSAAVVTSPAQATMVAPFTGLKSSATFPVTRKANNDITSISSNGGRVSCMKVWPPIGKKKFETLSYLPDLTDVELAKEVDYLLRNKWIPCVEFELEHGFVYREHGNTPGYYDGRYWTMWKLPLFGCTDSAQVLKEVQECKKEYPNAFIRIIGFDNNRQVQCISFIAYKPPSFTDA >fgenesh2_kg.7__356__AT4G37080.1 pep chromosome:v.1.0:7:1562167:1564957:1 gene:fgenesh2_kg.7__356__AT4G37080.1 transcript:fgenesh2_kg.7__356__AT4G37080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRGILKSAKEEKKKMESQGNGAVATSGKSLVNRRRANKEKKMDLLQDVDKLKRKLRQEENVHRALERAFTRPLGALPRLPSYLPRHTLELLAEVAVLEEEVVRLEEQVVSFRQGLYQEAVYISSKRNMESPNNNSLNENSPVRSTKHQRSKSMSQHEFNSMITPPKKHQQSLSRSISSRKLFSSDQTVNDRSGQRVVNGKQTSPKPNLSSVTKPVDVRGKENQTSINASKDKKNKESPEKKLGRFLTSVKKKKPLIKPEAAADKHSESIKLQLDDRFTDQDKAQESVSGSSSEDKTVQSGNVANRVSEDLLKCLVTIILRISSSKDIVLDPYNNCSEWRTRELGAYKHLSSVDASSADLGRRINASFLIHRLKFLLNKLSVVNLDGLSHQQKLAFWINTYNSCVMNAFLEHGIPGTPEMVVALMQKATIIVGGHSLNAITIEHFILRLPYHLKFTCPKTATHEEMRAHSTFGLEWSEPLVTFALACGSWSSPAVRVYTSANVEEELEAAKRDYLQASVGISKKNKLMLPKVLDWYLLDFAKDLESLLDWVCLQLPDKLREEANKCMERKNKESLMELVQVVPYDFSFRLLLHQ >fgenesh2_kg.7__3570__AT5G38410.1 pep chromosome:v.1.0:7:24001235:24002263:1 gene:fgenesh2_kg.7__3570__AT5G38410.1 transcript:fgenesh2_kg.7__3570__AT5G38410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:D7MJZ0] MASSMLSSAAVVTSPAQATMVAPFSGLKSSAAFPVTRKANNDITSIASNGGRVSCMKVWPPIGKKKFETLSYLPDLTDVELAKEVDYLLRNKWIPCVEFELEHGFVYREHGNTPGYYDGRYWTMWKLPLFGCTDSAQVLKEVQECKKEYPNAFIRIIGFDNNRQVQCISFIAYKPPSFTDA >fgenesh2_kg.7__3581__AT5G38320.1 pep chromosome:v.1.0:7:24165344:24166178:1 gene:fgenesh2_kg.7__3581__AT5G38320.1 transcript:fgenesh2_kg.7__3581__AT5G38320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENASDSPRISFSNDFSHHDSIPIEQRPLQSPFDISNFYWGFPLEFSIARDAISGESSWSAEEFFNDGKILPIEMKKIPEPIYRCKSEKYKTGLPKPEISPIDVVEPVFEIEEIGDQEDEVKLPLLPYNSTGSNSMKSQVSSSSSSSLNGSVPKPILKKNHGGYNYKGNGGVIRVSSFLDMVPSGDLFGLGSINFDGGRKKNKIRSMFFYW >fgenesh2_kg.7__3583__AT5G38310.1 pep chromosome:v.1.0:7:24172660:24173527:1 gene:fgenesh2_kg.7__3583__AT5G38310.1 transcript:fgenesh2_kg.7__3583__AT5G38310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSRVTSTSSHNLHHSEERVPLRSDSDQSCLNSSPEFDFCLRQNSKQRFSHADELFSDWRNLSHTATDTISKKTEMTSPRSNLQTLAAKSDTRDSDSDDPSFGCGFWLVRSKSVGYSMRNKKTNSSTEYQRSNSDPHKKKKNKSLQKMNSTEIRAPRSMNSPALNVPLADIFCLGPVFSGSRDRRK >fgenesh2_kg.7__3584__AT5G38300.1 pep chromosome:v.1.0:7:24179491:24180580:-1 gene:fgenesh2_kg.7__3584__AT5G38300.1 transcript:fgenesh2_kg.7__3584__AT5G38300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIISNPAMEQLFFSVDPMSLILSQNSDTHQLKLLLDGFSGFERGPRYDEYSRLRESKLRMKRDFQKFLEEEDEEEEPRIKKQVRFEGNSVISQEDKFSPEKKKQSRFEGNSVISPEEDKFTPEKKKQSRFGFSPMRKAVPSSLAQSVPDFSAVIRKENRRPVNFNTTTPPPPTSKSKNGGVLSGSASRGSKSASAGEKKSKGMMMGIGMARKSYANVEDLKKISMAAASAINGGGGGGGGRKVGEGGGGRRTILGYRQIY >fgenesh2_kg.7__3587__AT5G38280.1 pep chromosome:v.1.0:7:24191454:24194501:1 gene:fgenesh2_kg.7__3587__AT5G38280.1 transcript:fgenesh2_kg.7__3587__AT5G38280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNFTIENKCAYTVWPGFLTMTTAVSLPTTGFSLKKGETRVINVPSSWSGRLWGRSLCSTSSTGNFSCATGDCGSGKIECSGGAAAPPTTLIDFTLDGSKGQDFYDVSVVDGFRERPDMQQRWLRGQLNKTCPSELKVMGSSDKEHPIACMNACEKFRLPEFCCYGEYGTPEKCQPTLYSKNFSRTIVHSLIAMLMTMKTAPSDAQTHLTMSSPFALVSKYVTQPDATHHANEFMPYASYPCLEYDPINDISSASQPSKETNGGTKQKSSWKLKLILGVSAALTMMIIIVVLIIVRTKNVRNSDWNDQNVEAVAMLKRYSYTRVKKMTKSFVHVLGKGGFGTVYKGNLPDSGRDVAVKILKESEGDGEEFINEVASMSRTSHVNIVSLLGFCYERNKRAIIYEFMPNGSLDKYISANMSTKMEWERLYDIAVGISRGLEYLHNRCVTRIVHFDIKPQNILMDGNLCPKISDFGLAKLCKNKESIISMLHMRGTFGYIAPEMFSKNFGAVSHKSDVYSYGMVLLEMIGAKNIEKVEYSGSNNSSMYFPDWVYKDFERGQITRIFGDSITDEEEKIAKKLVLVALWCIQTNPSDRPSMIKVIEMLEGNLEALQVPPNPLLFSPAETVPEILEDSDETSTFFNPSSFGSDTLLTSEDALQHGSRSS >fgenesh2_kg.7__3588__AT5G38270.1 pep chromosome:v.1.0:7:24224452:24233442:-1 gene:fgenesh2_kg.7__3588__AT5G38270.1 transcript:fgenesh2_kg.7__3588__AT5G38270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPNDLMKEEKRRKVSENHDWSKLYPDLLRSILESLNSIDFHRAKTVCSDWYSIWKTCVKRPLCPWRIIYVKYSLMLFNPREDKIYEGTNVGLSKDSYFMAGSGNWLLMVDSHLDFYIFNLLTYKRIDLPSMESSIRGGNVRFERNREHGFSEWGHFVDPCRKDCVRKDIIICRRSAVLWIDEKTGDYVVAWIFNYHYLFSYKKGDDSWWNWNNHLSMESLNFSFLDLAYRNSKLYLYTTNGHIKIVDFSGNDPIEVIGKNPYWEHPFRYFSKKGEYNCKKKIVIQKSGDVLIILSALVMRSKDKILFYIFKMNPESNIWERVESIGDDEMLIFGHGVTIRAPVHDVDVGDDGIKSGSIYFAVDDLQPIYYHAVSNCGVFDLATSIIKWPKEDSFNNRFVKTQWFVPGFA >fgenesh2_kg.7__3589__AT5G38220.3 pep chromosome:v.1.0:7:24279605:24282395:-1 gene:fgenesh2_kg.7__3589__AT5G38220.3 transcript:fgenesh2_kg.7__3589__AT5G38220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSIAAKFAFFPPSPPSYGFVSDVDRLYITEVPRRDDVDVLKLKTRRGNEIVAIYIKHPKANGTLLYSHGNAADLGQMFELFVELSNRLRLNLMGYDYSGYGQSTGKASECNTYADIDASYTCLKEHYGVKDDQLILYGQSVGSGPTIDLASRTPNLRGVVLHSPILSGMRVLYPVKRTYWFDIYKNIDKISAVTCPVLVIHGTADEVVDCSHGKQLWELSKEKYEPLWVSGGGHCNLELYPEFIKHLKKFVISISKPKGPRNGSNKTATTDTTKNQSKPSENGRSDTFQLGCCLPEVSRNSVDSQLEKSKKTSKPEKSRMSIDRFRRKKGLVW >fgenesh2_kg.7__3592__AT5G38210.1 pep chromosome:v.1.0:7:24303383:24307834:-1 gene:fgenesh2_kg.7__3592__AT5G38210.1 transcript:fgenesh2_kg.7__3592__AT5G38210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MK32] MFSPLLFRFSKPNSFLVLLFFLSFFNCLPCALSQREPCDTLFRCGNLTAGFPFWGVARPQPCGHPSLGLHCNKQSNSTSSTSLIISGLMYRVLEVNITNSTTLKLVRQDFSGPFCSASFSGATLAPELFELLPDYKTLSAYYLCNPHLHYPANFTCPNKGVGLMYQDDLYHNNCGGSFNITVPIGYAPEEEGLNVTNLESVLKKGFEVKLSIDERPCKECKSTGGICAYQVATPVCCKTNSSSELECIPMIPSGSSVQAGLSKKAKIGIGFASGFLGATLIGGCLFCIFIRRRKKLAAQYTSKGLSTTTTYSMSNTPTSTTISGSNHSLVPSMSNLAHGSVYFGVQVFSYEELEEATENFSKELGDGGFGTVYYGVLKDGRAVAVKRLFERSLKRVEQFKNEIEILKSLKHPNLVILYGCTTRHSRELLLVYEYISNGTLAEHLHGNQAQSRPICWPARLQIAIETASALSYLHSSGIIHRDVKTTNILLDSNYQVKVADFGLSRLFPTDQTHISTAPQGTPGYVDPEYYQCYRLNEKSDVYSFGVVLSELISSKEAVDITRHRHDINLANMAISKIQNDAVHELADLSLGFARDPSVKKMMSSVAELAFRCLQQEREVRPSMDEIVEILKGIQKEGIKDSKDVVVEIDVNGGDDVGLLKHGVPPPLSPETDKTTASSSNTTASSF >fgenesh2_kg.7__3593__AT5G38200.1 pep chromosome:v.1.0:7:24311532:24313925:-1 gene:fgenesh2_kg.7__3593__AT5G38200.1 transcript:fgenesh2_kg.7__3593__AT5G38200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIEMDPKLNDLSQILPRVLVVSRRTVRKNKFVDFVGEYHLDLIVRYGCVPVIVPRVTGVHMLLESFKPIHGVLLCEGEDIDPSLYESEISSLSPEELQEIRETHASDTAIDKEKDSIELGLAKLCLEQNIPYLGICRGSQILNVACGGTLYLDLEKELTNKLPEERRTKHIDYDNYDGHRHVVRIVENSPLHSWFKDSLDGDKMEILVNSYHHQGVKRLAQRFVPMAFASDGLMEGFYDPDAYNPEEGKFIMGLQFHPERMRQHDLDEFDYPGCPAAYQEFAKAVIAYQKKLNSSLSVPKTLKLDSEMENKRKILVRSFSLAKYMYVRGSPGKNPSKESELEVGAEFLESNTALSAEQEMRLKEMGATVRNGGSYMKKLKVDEDKKRMARNMMNKMNIEQLSELMAFYHLMGNICGEVLERKLQGNVNECLRDL >fgenesh2_kg.7__3596__AT5G38140.1 pep chromosome:v.1.0:7:24359526:24361225:1 gene:fgenesh2_kg.7__3596__AT5G38140.1 transcript:fgenesh2_kg.7__3596__AT5G38140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C [Source:UniProtKB/TrEMBL;Acc:D7MK40] MRRPKSSRIRMEPVRSNHPATSKNEGTSSLDTALKVFWNNQREQLGNFAGQTYLPLSRVRKILKSNPEVKKISCDVPALFSKACEYFILELTLRAWMNTQSCTRQTIRRCDIFQAVKNSGTYDFLIDHVPFGPHCVVHQGVPTPAEMILPDMNVPIDMNETEQENLTEECSINNRGFDLNSDLQVVFFELLFLQS >fgenesh2_kg.7__3598__AT5G38120.1 pep chromosome:v.1.0:7:24386082:24388705:-1 gene:fgenesh2_kg.7__3598__AT5G38120.1 transcript:fgenesh2_kg.7__3598__AT5G38120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSQRSLIDPRNGFCNANSTFYSKRKPLALPSNDSLDVTTFISSQTHRGTTAFIDAATDHRISFSNLCRAVDRLANCLHHDVGIQRGDVVLVLSPNSISIPIVCLSVMSLGAVVTTVNPLNTAGEISRQIADSNPKLAFTTTELASKLAGSDISILLERVVDTLCAPGGLKVVGILSEMMKKEPRGQEVIRNRVNKDDTAILLYSSGTTGQSKGVNSSHGNLIAHVARYIAEPFEQPQQTFICTVPLFHTFGLLNFVLATLALGSTVVILPRFDLGEMMAAAEKYRATTLILVPPVLVTMINRADEIMAKYDVSFLRTVRCGGAPLSKEVTEGFMKKYPTVDVYQGYALTESNGAGASIDSVEESRRYGAVGLLSCGVEARIVDPNTGWVMGLNQTGELWLKGPSIAKGYFRNEEEIITSEGWLKTGDLCYIDDDGFLFIVDRLKELIKYKGYQVPPAELEALLLSHPDILDAAVIPFPDKEAGQYPMAYVARKSGSNLCEKKVIDFISKQVAPYKKIRKVAFIDSIPKTPSGKTLRKDLLKFAISKL >fgenesh2_kg.7__3599__AT5G38110.1 pep chromosome:v.1.0:7:24406943:24408168:-1 gene:fgenesh2_kg.7__3599__AT5G38110.1 transcript:fgenesh2_kg.7__3599__AT5G38110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anti-silencing function 1b [Source:UniProtKB/TrEMBL;Acc:D7MK47] MSSINITNVTVLDNPAPFVNPFQFEISYECLTSLKDDLEWKLIYVGSAEDETYDQVLESVLVGPVNVGNYRFVLQADSPDPLKIREEDIIGVTVLLLTCSYMDQEFIRVGYYVNNDYDDEQLREEPPTKVLINKVQRNILTDKPRVTKFPVNFHPADEQAGGDGPPPAEPFDDSVVNGEARVLVEQSQKLQET >fgenesh2_kg.7__35__AT4G38400.1 pep chromosome:v.1.0:7:92905:94115:-1 gene:fgenesh2_kg.7__35__AT4G38400.1 transcript:fgenesh2_kg.7__35__AT4G38400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLFLLSVVFLFSSSAAACDRCLHRSKAAYFSSASALSSGACAYGSMATGFFAGHIAAALPSIYKDGSGCGACFQVRCTNPTLCSSKGTTVMLTDLNKSNQTDLILSSRAFRAMAKPVVGSDRDLLKEGLVDIEYRRVPCDYGNKKMNVRVEESSINPNYLAIKLLYQGGQTEVVAIDIAHVGSSHWSYMTRSHGAVWVTDKVPTGALQFRFVVTAGYDGKMVWSQRVLPANWEAGMTYDAGVQITDIAQEGCDPCDDHTWN >fgenesh2_kg.7__3600__AT2G06550.1 pep chromosome:v.1.0:7:24408926:24409667:-1 gene:fgenesh2_kg.7__3600__AT2G06550.1 transcript:fgenesh2_kg.7__3600__AT2G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MK48] IGYYLTDGIYPKWATFIQSITRPQGPKASLFASCQETVRKDVERALGVLQARFAII >fgenesh2_kg.7__3601__AT2G06555.1 pep chromosome:v.1.0:7:24410688:24411297:-1 gene:fgenesh2_kg.7__3601__AT2G06555.1 transcript:fgenesh2_kg.7__3601__AT2G06555.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MK49] CYEFASRTPHSGENEDDIIVLAYKLYHQDQKNKFSLEHVWRILKTDQKWCNWCETKLPAKKKAKLSSVEEESLQRPIGVKATKALAKSKVKEKDLAAKERLSKQKLLDSLLGRSDGLSELEIQLKNSLIQEYLSGSNVFVFENEYSGPPLGS >fgenesh2_kg.7__3602__AT5G38100.1 pep chromosome:v.1.0:7:24432042:24434905:1 gene:fgenesh2_kg.7__3602__AT5G38100.1 transcript:fgenesh2_kg.7__3602__AT5G38100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSHLYPMSSGDDQHSYIHNSSYQKVAISGAEEKTRRCILEKLDLQLSSDFSTFRIADFGCSTGPNTFHVVQSIIDTVKSRHFKENNEHSLVPLEFQVFFNDHTTNDFNTLFKTQPPSPEREYFSVGVPGSFYGRLLPRNSIHIGHTSYTIHWLSKVPEHVCDKKSMAWNKNYSQCNNLLEEVTKAYKVQFIEDMDAFLDARGEELVPGGLMTVIGECLPDGVSLYETWQGFVMDTVGDCLMDMAKSGITSEEKIDVFSLPVYFPQFSELKGEIERNGSFTIELMEATSHPLEGMPLTNEFIISTFRAFLTTIIEKHFGDGVVDELFDRLAKKLYKHPIDFEMRKKQVVYYIVLKRK >fgenesh2_kg.7__3603__AT5G38080.1 pep chromosome:v.1.0:7:24445605:24461864:1 gene:fgenesh2_kg.7__3603__AT5G38080.1 transcript:fgenesh2_kg.7__3603__AT5G38080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTNVAANKPTSTIEDESSSLLRAWCMNKNLQYNFAMNIFMIIINIEAILYMRNHEIHAIENVLASKAYFVGRIFPVKDQGQKLLTEFGKGPRSGKMTRSGVDQGREIIDRIRCGPRSGKVTESGVDQGREIIDRVQCGPRSRIVTVSAKDQGWEILAKSGLDQGQKFVLVGIRLGRVTFDRVREMDQDRKNGSNVRDGVSHICHTFGFCNFFNLLYSVSPHLALYFGLPCLLGFVAVMIAPGCPYLWEGLCNKVQELRDKWKYVKRPQSSVVIV >fgenesh2_kg.7__3607__AT5G38040.1 pep chromosome:v.1.0:7:24467093:24468494:-1 gene:fgenesh2_kg.7__3607__AT5G38040.1 transcript:fgenesh2_kg.7__3607__AT5G38040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MK58] MEKKLARRRRLVLVPAPAQGHINPMMQLAKALHLKGFSITVAQTKFNYLNPSSDLSDFQFVTIPENLPVSDLKNLGPGRFLIKLAKECYVSFKELLGQLLVNEEIACVIYDEFMYFVEAAVEEFKLRNVILSTTSATAFVCRFVMCKLYAKDGLAQLKEEELVPELYPIRYKDLPSSVFASVECSVELFKNTCYKGTASSVIINTVRCLEISSFEWLQRELDIPVYPIGPLHMAVSAPRTSLLEENESCIEWLNKQKPSSVIYISLGSFTMMETKEVLEMASGLDSSNQHFLWVIRPGSVSGSEISEEELLKKMVTTDRGYIVKWAPQKQVLAHSAVRAFWSHCGWNSTLESLGEGVPMICRPFTTDQKGNARYLECVWKVGIQVEGKLERSAVEKAVKRLMVDEEGEEMKRRALSLKEKLKDSVLAQGSSHNSLDDFIKTL >fgenesh2_kg.7__3608__AT5G38030.1 pep chromosome:v.1.0:7:24499258:24506822:1 gene:fgenesh2_kg.7__3608__AT5G38030.1 transcript:fgenesh2_kg.7__3608__AT5G38030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7MK61] MEKDKNLTETLLSAAEERPHLPFSSVDDIPPITTVRGFLREFNVEVKKLWYLAGPAIFMSLTQYSLGAATQVFAGHISTIALAAVSVENSVIAGFSFGVMLGMGSALETLCGQAFGAGKLSMLGVYLQRSWVILNVTAILLSLLYIFAAPILAFIGQTPAISSATGIFSIYMIPQIFAYAVNYPTAKFLQSQSKIMVMAAISAVALVLHVLFTWFVIEGLQWGTAGLAVVLNASWWFIVVAQLVYIFSGTCGEAWSGFSWEAFHNLWSFVRLSLASAVMLCLEVWYLMAVILFAGYLKNAEISVAALSICMNILGWTAMIAIGMNAAVSVRVSNELGAKHPRTAKFSLLVAVITSTLLGLAISIALLIFRDQYPSFFVGDEEVIIVVKDLTPILTLSIVINNVQPVLSGVAVGAGWQAAVAYVNIVCYYVFGIPFGLLLGYKLNFGVMGIWCGMLTGTVVQTIVLTLMICRTNWDTEAAMAEGRIRKWGGEGSEQLLN >fgenesh2_kg.7__3609__AT5G38020.1 pep chromosome:v.1.0:7:24514731:24516734:1 gene:fgenesh2_kg.7__3609__AT5G38020.1 transcript:fgenesh2_kg.7__3609__AT5G38020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine:carboxyl methyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MK62] MGVLSMKGGDGEHSYANNSEGQKRLASDAKPVVVETVKEMIVKMNFPGCVKVADLGCSSGENTLLVMSEIVDTIITSYQQKGKNLPEINCCLNDLPDNDFNTTFKLVPAFHKLLKMDVKGKCFISGVPGSFYSRLFPSKSLHFVHSSLCLHWLSKVPKGLEDNKKNVYLRSPCLPNVYKSYLSQFKHDFSLFLRMRADETVSNGRMALTFVGRKALDPLSQDCFQNWSSISDSLLDLVSEGIVKESDVASFNLPFYNPDESEVREVIESEGSFEINNFETIFGLLFSYKTGRTEVKDDDNNLDQSCQFEVIRKRTSIIRSITEPMLAAHFGDAIMDRLFERYTYHLSQRYDTLRNKPTVQFFVSLTRK >fgenesh2_kg.7__360__AT4G37110.1 pep chromosome:v.1.0:7:1572662:1574859:-1 gene:fgenesh2_kg.7__360__AT4G37110.1 transcript:fgenesh2_kg.7__360__AT4G37110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRTRAKCSIPISNPNPTVGGNPNVSMYEKCREDRIKENLQRMQNLGIFDLSLKLKSDIRPAKRRYCNSNPNPDCKSPPLQLSVSTRRSSRLKQEPPVTRRSSRLKNATPVSYAEEPEKKGKASKEESVLWVGEGVRPEIYTEEHEKLLGNTERTWELFVHGYDKDGKRIYDPVRGKTCHQCRQKTLGYHTQCSQCNPSVRGQFCGDCLYMRYGEHVLEALENPDWICPVCRDICNCSLCRKDKGWLPTGPAYRKILKLGYKSVAHYLIQTNKQSETSDDDETEGAANSQASAKRSLSFKDAKESSEEDHLLLLTDGIQDNHIDENLDDDGTNKNPDSARKSLSFLSSGDNQTSVIDGHVIGDVKPLDVNEMHPPVIIDLEAQCCETERRAKSANKENRETRSKRKMSPEPNPNSIGGRLRQRRKIQA >fgenesh2_kg.7__3612__AT5G37950.2 pep chromosome:v.1.0:7:24564514:24565908:-1 gene:fgenesh2_kg.7__3612__AT5G37950.2 transcript:fgenesh2_kg.7__3612__AT5G37950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase, transferring hexosyl groups (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MK67] LQLARALYLKGFSITVAQTKFNYLNPSKDLANFHFITIPESLPASDLKNLGPVWFLIKLNKECEISFKKCLGQLLLQQQEEIACVIYDEFMYFAEVAAKEFNLPKVIFSTENATAFASRSAMCKLYAKDGLAPLKEGCGSEEELVPELHPLRYKDLPTSAFAPVEASVEVFKNSCEKGTASSMIINTVRCLEISSLERLQQELKIPIYPVGPLHMVSSAPPTSLLEENQSCIDWLTKQKPSSVIYISLGSFTLMETKEVLEMAFGLVSSNQYFLWAIRPGSIVGSELSNEELFSTIEIPDRGYIVKWAPQKQVLAHPAIRAFWSHCGWNSTLESMGEGIPMICRPFTTDQK >fgenesh2_kg.7__3615__AT5G37980.1 pep chromosome:v.1.0:7:24579665:24581379:-1 gene:fgenesh2_kg.7__3615__AT5G37980.1 transcript:fgenesh2_kg.7__3615__AT5G37980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIGVVTTATNNQVVLRNYVSGFPKESDLYIAPTTVDLRVPPGSMAVLVKNLYLSCDPFSRIRMEKPDPSSPASVALAYSIGKPISGYGVAKAIDSDHPNYKNGDLLWGRVGWEEYSVISPTPSSHFKIHHTDVPLSFYTGLLGIPGLTAYVGFYEICSPKNGETVFVSAASGAVGQLVGQFAKMAGCYVVGSASSKEKVDLLKTKFGYDDAFNYKEEHDLSAALKRCFPEGIDIYFENVGGKMLDAVLENMRTHGRIAACGMISQYNLKEPEAVHNLATIVYKRIRVQGFAAVEFFYKYSKFLDFVLPYVREGKITYVEDIAQGLENGPSALIGLFHGKNVGKQLVEVARE >fgenesh2_kg.7__3616__AT5G37930.1 pep chromosome:v.1.0:7:24597347:24598505:1 gene:fgenesh2_kg.7__3616__AT5G37930.1 transcript:fgenesh2_kg.7__3616__AT5G37930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:D7MK76] MARFSVCGGDDGEGPSNNNNHQSRKRQRLPSIDENEEDAETSDAGSSGEEEDEEETQNRGTRTESEDRESTSDDDSDREVLIEERRFGKFVNSQSSSKDSPLSVTLLDPDVLDCPICCEPLKIPIFQCDNGHLACTLCCTKVRNRCPSCTLPIGYVRCRAMEKVIETSRVSCPNAKYGCKENTAYGNRFSHEKVCVFTPCFCPIVDCNYSGYYKDLNNHVRAEHKDDLISFVWNTRLTLSLDLNEKTTILQEENDGDVIVVQVFKALHAVYLSVSCIAPLAPGVGKFSCRLLNITVGSLLKQGFMVKNVQKVTNELPEDGFMLIPSYLLSGNENLNLQIWIGRGRVFVHS >fgenesh2_kg.7__3617__AT5G37910.1 pep chromosome:v.1.0:7:24612120:24613063:1 gene:fgenesh2_kg.7__3617__AT5G37910.1 transcript:fgenesh2_kg.7__3617__AT5G37910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:D7MK80] MVGASEALLFHGGEGERVAKRHRSATLLDLDILDCPVCCEALATPIFQCDNGHLACSSCCPKLRNKCPSCAFPVGHNRCRAMESILESTLVPCPNEMFGCTKTCFYGKESAHEKECIFSQCSCPSRECDYTGSYKDLYAHYKLTHSKFSWSIKCGIPYTAVMFISNKILIKRVHESKLLFAVQCFREPCGVYVTVSCIAPSAPEVGQFSYRLSYTKDGQTVIYESPEVKKVRKVSFETPQENFMLIPHNLLLRSGLLMIELCIVDKLNQE >fgenesh2_kg.7__361__AT4G37120.1 pep chromosome:v.1.0:7:1575297:1577898:1 gene:fgenesh2_kg.7__361__AT4G37120.1 transcript:fgenesh2_kg.7__361__AT4G37120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVAFKSREDHRKKLELEEARKAGLAPAEVDEDGKEINPHIPEYMSKAPWYLKSEKPSLKHQKNWKTEPELKRIWYDRGAKIHQAEKYRKGACINCGAMTHSSKACMDRPRKIGAKYTNMNIAADEKIESFELDYDGKRDRWNGYDPSSYRHVVDRYDAKEEARKKYLKEQQLKKLEEKNNNENGDDATSDGEEDNDDLRVDEAKVDESRQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPDADPNEKFYLGDNQYRNSGQALEFKQLNVHSCEAFDKGQDMHMQAAPSQAELLYKNFKVAKEKLKSQTKDTIMEKYGNAATKGEIPMELLLGQSERQVEYDRAGRIKKGQEVIIPKSKYEEDVHANNHTSVWGSWWKDHQWGYKCCQQTIRNSYCTGSAGIEAAEASLDLMKANIARKEASKESPKEVEEKRMATWGTDIPEDLELNEEALANALKKEDLSKREEKDERKRKYNVKYTNDVTSEDMEAYRMKRVHHEDPMKDFLG >fgenesh2_kg.7__363__AT4G37130.1 pep chromosome:v.1.0:7:1578104:1580530:1 gene:fgenesh2_kg.7__363__AT4G37130.1 transcript:fgenesh2_kg.7__363__AT4G37130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7MB16] MSLLTPQQQQTPQSLFQTQQQLFQPQQTNSIFSQSQPQQTNSIFSQSQPQQQQQPSLFQPQQFQQQQQQQQNQQQQQQVQQQLYLFTNDKAPANYSTKWADLHPDSQKLLLQIEEKILEHRSESQRLDQCSRLYDSSVSSEGFEFDASRIVQELGGINTAMDRQKAVLHELMIVAKDMLRNAEIAVRSFMMLQPRFPHWKQGGGVVSVGSQPSQGQGTNPAPASSGQQQAVTTTVQVSDFYRGIPKKPTAFLLQTVGRFEKYLNECRQWVEELEQLLALDSDKYNRHASLLESLPKVMSNVHDFFVHVAAKVESIHQYIESMRTSYLADQRRRGECHDPFLEADRRETAKQEAAAKRVHPTLHLPASTTSTQPSTQVTGLIASSATPGASNPPQTSVPTSNPSSGAGFSFLNTPASGPSSSIFATPSSTAPTSSLFGPSPTATQTPLFGSSPASTFGSAQSLFGQTTPSLAMPSPFGGATPGSGASFGSMTKSSRPKSRTTRR >fgenesh2_kg.7__365__AT4G37150.1 pep chromosome:v.1.0:7:1581974:1582970:-1 gene:fgenesh2_kg.7__365__AT4G37150.1 transcript:fgenesh2_kg.7__365__AT4G37150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYVLVHGGCHGAWCWYKVKPILEHSGHRVTVLDLTASGVNVSRVEDIQTLEDYAKPLLEVLESFGSDDKVILVAHSLGGIPAALAADMFPSKISVAVFVTSFMPDTTNPPSYVFEKVLGSITEEERMDLELGSYGTSEHPLMTAFLGPNYLKNMYLLSPIEDYELAKMLMRVAPAITSNLTGTKSLTEQGYGSISRVYIVCGEDKGISVDFQRWMIENSPVKEVMEINDADHMPMFSKPHELCDRLLKIADKYA >fgenesh2_kg.7__366__AT4G37160.1 pep chromosome:v.1.0:7:1584195:1586623:-1 gene:fgenesh2_kg.7__366__AT4G37160.1 transcript:fgenesh2_kg.7__366__AT4G37160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTNLLLCKLFIGTLFWLGSVLVNAEDPYLFYTWTVTYGTRYPLGVPQEVILINGQFPGPAIEAVTNNNIVVNLINKLDEPFLITWNGVKQRRTSWQDGVLGTNCPIQPNSNWTYQFQLKDQIGTYTYFASTSMHRASGAFGALNINQRAVITTPYPTPDGDFTLLVSDWFSNMTHKDLRKGLDAGSVLPLPDALLINGVSKGLIFTGQQGKTYKFRVSNVGIATSINFRIQNHTMSLIEVEGAHTLQESYESLDVHVGQSMTVLVTLKASVRDYFIVASTRFTKPVLTTTASLRYQGSKNAAYGPLPIGPTYHIHWSMKQARTIRMNLTANAARPNPQGSFHYGTIPINRTIVLANAATLIYGKLRYTVNRISYINPTTPLKLADWYNISGVFDFKTIISTPTTGPAHFGTSVINVELHEFVEIVFQNDERSIQSWHMDGTSAYAVGYGSGTWNVTMRKRYNLVDAVPRHTFQVYPLSWTSILVSLDNKGMWNLRSQIWLRRYLGQELYVRVWNDEKSPYTEAEPPLNALYCGKAKRPI >fgenesh2_kg.7__367__AT4G37170.1 pep chromosome:v.1.0:7:1588140:1590221:-1 gene:fgenesh2_kg.7__367__AT4G37170.1 transcript:fgenesh2_kg.7__367__AT4G37170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MB20] MMNHSNARKLSTFHGLIFKSNLLSSSYASQKRFSDKKFFDSKLEDGGVVVERLCRANRFGEAIDVLCGQKLLREAVQLLGRAKKPPASTYCNLIQVCSQTRALEEGKKVHEHIRTSGFVPGIVIWNRILGMYAKCGSLVDARKVFDEMPERDVCSWNVMVNGYAEVGLLEEARNLFDEMPERDSYSWTAMVTGYVKKDQPEEALVLYSLMQRVPNSKPNIFTVSSAVAAAAAIKCIRRGKEIHGHIVRAGLDSDEVLWSSLMDMYGKCGCIDEARNIFDKIIDKDVVSWTSMIDRYFKSSRWREGFSLFSELIGSCERPNEYTFSGVLNACADLTTEELGRQVHGYMTRVGFDPYSFASSSLIDMYTKCGNIESARHVVDGCPKPDLVSLTSLIGGYAQNGKPDEALKYFDLLLKSGTKPDHVTFVNVLSACTHAGLVEKGLEFFYSITEKHDLTHTSDHYTCLVDLLARSGRFEQLKSVLSEMPMKPSKFLWASVLGGCSTYGNIDLAEEAAQELFKIEPENPVTYVTMANIYAAAGKWEEEGKMRKRMQEIGITKKPGSSWTEIKRKRHVFIAADTSHPMYNQIIEFLGELRKKMKEEGYVPATSLVLHDVEDEQKEENLVYHSEKLAVAFAILSTEEGTAIKVFKNLRSCVDCHSAIKFISKITKRKITIRDSTRFHCFENGQCSCRDYW >fgenesh2_kg.7__369__AT4G37180.2 pep chromosome:v.1.0:7:1595767:1597509:1 gene:fgenesh2_kg.7__369__AT4G37180.2 transcript:fgenesh2_kg.7__369__AT4G37180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNLNLSIYSLPKPLSQFLDQVSRIKDHHSKLSEIDGYVGKLEEERKKIDAFKRELPLCMLLLNEEIVFLCVAIEALNEEARKGSSVMASNGKFDEREGAKPETDKKSWMSSAQLWISNPNSQLQSTNEEEDRCVSQNPFQTCNQGGAFLPFNRPPPPPPPAPLSLMTPTSDMMMDCSRIEQNHHHHHQFNKPSSQSHHIQKKEQRRRWSQELHRKFVDALHRLGGPQVATPKQIRDLMKVDGLTNDEVKSHLQKYRMHIRKHPLHPTKTLSSSDQPGVLSERESQSLISLSRSDSPQSPLVARGLFSSNVGHSSEEDEEEDEEEEEKSDGRSSCRNDETKKKRQVLDLEL >fgenesh2_kg.7__36__AT4G38410.1 pep chromosome:v.1.0:7:95613:96349:1 gene:fgenesh2_kg.7__36__AT4G38410.1 transcript:fgenesh2_kg.7__36__AT4G38410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHPRSSEQQEADVAASKGCGMFDFLKKKPEDVHSSENAGVTKEPKEEEKPSLAERLHLSDSSSSDEEAGENGEKKEKENKKKNKKEVVAAQCETEEKLPAGRGHEDGKEKGFMEKMKDKLPGGHHGKPEAEAHNDKGKEKGFMEKIKEKLPGHTNDETKKET >fgenesh2_kg.7__372__AT4G37200.1 pep chromosome:v.1.0:7:1600722:1602380:-1 gene:fgenesh2_kg.7__372__AT4G37200.1 transcript:fgenesh2_kg.7__372__AT4G37200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCF164 [Source:UniProtKB/TrEMBL;Acc:D7MB24] MARLVFSLNLPSSHGFNLSPRNHQSLFVTQTGAPRFRAVRCKPNPESSETKQERLVVDNIGESSAASKEVESSVADSSSSSSGFPESPNKDINRRVAAVTVIAALSLFVSTRLDFGISLKDLTASALPYEEALSNGKPTVVEFYADWCEVCRELAPDVYKIEQQYKDKVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDREGNEEGNVVGRLPRQYLVENVNALAAGKQSIPHARAVGQYSSAESRKVHQVTDPLSHG >fgenesh2_kg.7__373__AT4G37210.1 pep chromosome:v.1.0:7:1605956:1608223:1 gene:fgenesh2_kg.7__373__AT4G37210.1 transcript:fgenesh2_kg.7__373__AT4G37210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MB25] MVDESASASEASVIQTLTEPATEIPQTLEPNLASIEATVESVVQGGTESTCNNDANNNNAADSAATEVCDEEREKTLEFAEELTEKGSVLLKENDFAEAVDCFSRALEIRVAHFGELDAECVNAYYRYGKALLEKAQAEADPLGNMPKKEGEVQQECSNGESLAPSVVSSNTERQGSSSGQDGSGGKDQGEDGEDCQDDELSDADGDEDESDLDMAWKMLDIARAITDKQSTETMEKVDILCSLAEISLEREDIESSLTDYKNALSILERLVEPDSRHTAELNFRICICLETGCQPKEAIPYCQKAMFICKARMERLSNEIKGASCSATSSTVSEIDEGIQQSSNVPYIDKSASDKEAEIGVLAGLAEDLEKKARKLNLSLEDLKQQAENPKQVLAELMGMVSAKANASDKVVPAAAAEMSSSRMGTVNTNLGKELESPTVSTAHTGAAGGGGASGVTHLGVVGRGVKRVLMNATSVESSASKKPAPDFSDKADGNSS >fgenesh2_kg.7__377__AT4G37240.1 pep chromosome:v.1.0:7:1619231:1619927:1 gene:fgenesh2_kg.7__377__AT4G37240.1 transcript:fgenesh2_kg.7__377__AT4G37240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MB29] MGICSSSESTQVATAKLILQDGRMMEFANPVKVGYVLLKYPMCFICNSDDMDFDDAVAAISADEELQLGQIYFALPLCWLRQPLKAEEMAALAVKASSALMRGGGGGGCRRKCVDPIVSDKLRMRVGSGDDTVGSGSGRRKGRNGDGGGSVSSSRRRKCYAAELSTID >fgenesh2_kg.7__37__AT4G38420.1 pep chromosome:v.1.0:7:97463:100251:1 gene:fgenesh2_kg.7__37__AT4G38420.1 transcript:fgenesh2_kg.7__37__AT4G38420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAISTISFVQADDPYRFFDWRVTYGNISPLGIPQRGILINGQYPGPDIYSVTNDNLIINVHNDLDEPFLLSWNGVQLRKNSYQDGVYGTTCPIPPGKNYTYAIQVKDQIGSFFYFPSLAVHKAAGGFGGFRILSRPRIPVPFPEPAGDFTFLIGDWFEHDHKALKAILDRGHKLPLPEGVLINGQGVSYISSLTVHKGKTYRFRISNVGLQNTLNFRIQGHQMKLVEVEGTHTIQSMYTSLDIHVGQSYSVLVTMDQPDQDYDIVVSTKFVAKKLLVSSTIHYSNSRHSRHSSSANSVHAEQPADELDWSIKQARSIRTNLTASGPRPNPQGSYHYGRIKISRTLILESSAAQVRRKQRYAINGVSFVAADTPLKLADYFKIKGVFKVGSIPDKPRRGGGMRMETSVMGAHHRDFLEIIFQNREKIVQSYHLDGYSFWVVGMDRGTWSQASRREYNLRDAISRSTTQVYPESWTAVYVALDNVGMWNLRSEYWARQYLGQQFYLQVYSQTHSLRDEYLLPKNALLCGRASNKHTPFTTP >fgenesh2_kg.7__382__AT4G36850.1 pep chromosome:v.1.0:7:1651124:1653593:1 gene:fgenesh2_kg.7__382__AT4G36850.1 transcript:fgenesh2_kg.7__382__AT4G36850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGYCLKEKKTCVRWVERYFDDCLCNLNDDVSFALGIASLLCWGVAEIPQIITNFRTKSSHGVSLSFLLAWVAGDIFNLVGCLLEPATLPTQFYTALLYTVSTVVLVIQTIYYDYIYKLCRHGRTKICPKEEEDEEKRPLKPPKTMGSAISIPGGSYKDSPRREFYYTSARSLAGSGTPPLRTSYFRVAKSGPSALAIDNGSSSEEDEAMSTCPAGFGTFLAASASLPLQAKSLAENYWHASSRRLLNERRVEHSALGQWLGWLMAAIYMGGRIPQIWLNIKRGSVEGLNPLMFIFALVANATYVGSILVRTTEWDNIKPNLPWLLDAIVCVVLDLFIILQYIYYKYCRMQSLERKEEDVYGDYVEASKTFVS >fgenesh2_kg.7__385__AT4G36810.1 pep chromosome:v.1.0:7:1671087:1672541:-1 gene:fgenesh2_kg.7__385__AT4G36810.1 transcript:fgenesh2_kg.7__385__AT4G36810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTLGSWIVVHHNHHHHHHHLPCSILTKSRSRSSSSSSPITHTKPISLRSKRTVSSSSIETSSSVVTKEDNLHKSEPSSFDFMSYIITKAESVNKALDSAVPLREPLKIHEAMRYSLLAGGKRVRPVLCIAACELVGGEESTAMPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVFGEDVAVLAGDALLSFAFEHLASATSSDVVSPVRVVRAIGELAKAIGTEGLVAGQVVDISSEGLDLNDVGLEHLEFIHLHKTAALLEASAVLGAIVGGGSDDEIERLRKFARCIGLLFQVVDDILDVTKSSKELGKTAGKDLIADKLTYPKIMGLEKSREFADKLNREARDQLLGFDSAKAAPLLALANYIAYRQN >fgenesh2_kg.7__389__AT4G36770.1 pep chromosome:v.1.0:7:1688696:1690120:1 gene:fgenesh2_kg.7__389__AT4G36770.1 transcript:fgenesh2_kg.7__389__AT4G36770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MBE3] MELHGALVASPGMGHAVPILELGKHLLNHNGFDRITVFLVTDDISRSKSLIGKTLKEEDPKFVIKFIQLDVSGQDLSGSLLTKLAEMMRKAVPEIRSAVMELEPRPRVFVVDLLGTEALVVARELQIMRKHVLVTTSAWFLAFTVYMASLDKQELYKQLSSIGALLIPGCSPVKFERAQDPSKYIRELAESQRIGAEVITADGVFVNTWHSLEQVTIGSFLDPENLGRVMRGVPVYPVGPLVRPAEPGLKHGVLDWLDLQPKESVVYVSFGSGGALTAEQTNELAYGLELTGHRFVWVVRPPAEDDPSASMFDKTKNETEPLDFLPKGFLDRTKGIGLVVRTWAPQEEILAHKSTGAFVTHCGWNSVLESIVNGVPMVAWPLYSEQKMNAWMVSGELKIALRVNVADGIVKKEEIVEMVKRVMDEEEGKEMRKNVKELKKTAEEALKKSHIPSASFT >fgenesh2_kg.7__38__AT4G38430.1 pep chromosome:v.1.0:7:101464:103751:1 gene:fgenesh2_kg.7__38__AT4G38430.1 transcript:fgenesh2_kg.7__38__AT4G38430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSSEEDDQVSSERCGSYSPSADISESESSTSFDGEGPSSSIPSSPRLVAGRGFYFPPPVMLPVIGGKDVLWDDKHSDNNDFSEIEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLAPQKKAMWRRELEWLLCVSDSIVELIPSLQHFPGGGTYEIMETRPRSDLYANLPALKKLDAMLIDMLDAFSDTEFWYTDRGIVLGECDKDSYNSPASVRQEDKWWLPCPKVPPNGLSEESRKKLQQCRDFANQILKAALAINSGVLAEMEIPDPYLETLPKSGKECLGEIIYQYLTANKFSPECLLDCLDLSSEHQTLEIANRIEAAVYVWRQKNGRRHKKQAKLKLSSWGGKVKGLVSDTERNDFLVQRAETLLQSLRIRFPGLPQTTLDMNKIQYNKDVGQSILESYSRVMESMAFNITARIDDVLYVDDAMRRSISVTESLSLFSINGLNGQKALSVQSSPHGSPFATPSLSVASRSPRRAPPLYSVKRNGTREKGLVGETEKEWSYAGNLSSRRVTGVTPERD >fgenesh2_kg.7__393__AT4G36740.1 pep chromosome:v.1.0:7:1705637:1707574:1 gene:fgenesh2_kg.7__393__AT4G36740.1 transcript:fgenesh2_kg.7__393__AT4G36740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-40 [Source:UniProtKB/TrEMBL;Acc:D7MBE6] MNHTVDDQNMAFISQLYPDVYTQLVPQPGEVKQPKRRRKKSKGALASGDGSNSLFRKRKLTDEQVNMLEMSFGDEHKLESERKDRLAAELGLDPRQVAVWFQNRRARWKNKRLEEEYNKLKNSHDNVVVDKCRLESEVIQLKEQLYDAEREIQRLAERVEVGSSNSPISSSVSVEANEAPFFGDYKVGDDGDDYDNLFYPVPENSYIDEAEWMNLYI >fgenesh2_kg.7__396__AT4G36710.1 pep chromosome:v.1.0:7:1715357:1717130:-1 gene:fgenesh2_kg.7__396__AT4G36710.1 transcript:fgenesh2_kg.7__396__AT4G36710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPASSPQDTTNNNNTNSTDSNHLSMDEHVMRSMDWDSIMKELELDDDSAPNSLKTGFTTTTTTDSTILPLYAVDSNLPGFPDQIQPSDFESSSDVYPGQNQTTGYGFNSLDSVDNGGFDFIEDLIRVVDCVESDELQLAQVVLSRLNQRLRSPAGRALQRAAFYFKEALGSLLTGSNRNPIRLSSWSDIVQRIRAIKEYSGISPIPLFSHFTANQAILDSLSSQSSSPFVHVVDFDIGFGGQYASLMREITEKSVSGGFLRVTAVVAEECAVETRLVKENLSQFATEMKIRFQIEFVLMKTFEMLSFKAIRFVEGERTVVLISPAIFRRVSGISDFVNNLRRVSPKVVVFVDSEGWTEIAGSGSFRREFVSALEFYTMVLESLDAAAPPGDLVKKIVEAFVLRPKISAAVETAADRRHTGEMTWREAFCAAGMRPIQLSQFADFQAECLLEKAQVRGFHVAKRQGELVLCWHGRALVATSAWRF >fgenesh2_kg.7__397__AT4G36700.1 pep chromosome:v.1.0:7:1722499:1724624:1 gene:fgenesh2_kg.7__397__AT4G36700.1 transcript:fgenesh2_kg.7__397__AT4G36700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFTVLPLSVLLLLVLLFLCTESLAKSEDSEENDFPVPSCCGFSSPLLIKKDQWKPIFESKFGQISTVQIGNGCGGMGPYKIHSITLEPNTLLLPLLLHSDMVFFVDSGSGILNWVDEEAKSSEIRLGDVYRLRPGSVFYLQSKPVDIFLGTKLRLYAIFSNNEECLHDPCFGAYSSITDLMFGFDETILQAAFGVPEGIIELMRNRTKPPLIVSDVLCPPGVANTWQLQPRLLKFFAGSADLVDNKKKKEKKEKKEKVKKAKTFNVFESEPDFESPYGRTITINRKDLKVLKGSMVGVSMVNLTQGSMMGPHWNPWACEISIVLKGAGMVKVLRSSISSNSSSECKNVRFKVEEGDIFAVPRLHPMAQMSFNNGSLVFVGFTTSAKNNEPQFLAGEDSALRMLDRQVLAASLNVSSVMIDGLLGAQKEAVILECPSCAEGEMEKLKVEKETKKIDDERKRRDDERKKEEEEAKKEEEERRKREEEEERKRWPPQQPPQQEEPLEPQLPMEKEWEMAGEEEM >fgenesh2_kg.7__398__AT4G36690.1 pep chromosome:v.1.0:7:1725089:1728733:1 gene:fgenesh2_kg.7__398__AT4G36690.1 transcript:fgenesh2_kg.7__398__AT4G36690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFEDHEGNGTVADALYAEENGGRGGEIEDQLDSKPKRDSRDHERETSRSKDREREKGRDRDRERDSEVSRRSRDRDGEKGKERSREKDRDRERHHRSSRHRDHSRDRSERRERGGRDDDDYRRSRDRDHDRRRDDRGGRRIRRSRSRSKDRSERSPSKSNKRVSGFDMAPPASAMLAAGAAVTGQVPPAPPTLPGAGMFPNMFPLPTGQSFGGLSMMPIQAMTQQATRHARRVYVGGLSPTANEQSVATFFSQVMAAVGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMSLDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPSPHLNLAAVGLTPGASGGLEGPDRIFVGGLPYYFTESQVRELLESFGALKGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTMQPKPEQESVLLHAQQQIAFQRIMLQPGVMATTVVCLTQVVTEDELRDDEEYEDIMEDMRQEGGKFGALTNVVIPRPSPNGEPVPGLGKVFLKYADTDGSTRARTGMNGRKFGGNEVVAVYYPEDKFEQGDYGA >fgenesh2_kg.7__39__AT4G38440.1 pep chromosome:v.1.0:7:104084:109474:1 gene:fgenesh2_kg.7__39__AT4G38440.1 transcript:fgenesh2_kg.7__39__AT4G38440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSGRLINPEQANNVLGRLVGSIVEKGISENKPPIKPLPPRPSLLSFPVARHRSHGPHWAPVGSSIAQPKDNDEEEEEEEEEERFMNADSVAAFAKPLQRKEKKDMDLRRWKDMLSRDDPASTQGPQQSRRVKIIETRPLSVASADAPATSSNTLLAQKPARASYQRDIVSPPLAVSNGLGTRQASSSLESDIDVENHARLQTMSPEEIAEAQAELLDKMDPALLSILKKRGEAKLKKRKHSVQGVSITHETAKNSRTDGHFVTPQVMPIPEEKTVLHKSAIAQGFVWDTWTERVEAARDLRFSFDGNVVEDDVLVSPAETGGKWSGVESAAERDFLRTEGDPGAAGYTIKEAIALARSVIPGQRSLALHLLASVLDKALNKICQSRIGYTREGKDKATDWEGIWAYALGPEPELVLALRMALDDNHASVVLACVKVIQCLLSCSLNENFFDILENMGPHGKDIFTASVFRSKPEIDLGFLRGCYWKYSAKPSNIVPFREEIMDDGTEDTDTIQKDVFVAGQDVAAGLVRMDILPRIYHLLETEPSAALEDSIISITIAIARHSPKCTTAILKYPKFVQTIVKSFKLNRRMDVLPSQINSVRLLKVLARYDQSTCMEFVKNGTFNAVTWHLFQFTSSLDSWVKLGKQNCKLSSTLMVEQLRFWKVCIHSGCCVSRFPELFPALCLWLSCPSFEKLREKNLINEFTSVSKEAYLVLEAFAETLPNMYSQNTPRNESGTWDWSYVSPMIDSALSWITLAPQLLEWEKGIESVSVSTTSLLWLYSGVMRTISKVLEKISAEGEEEPLPWLPEFVPKIGLAIIKHKLLSFSVADVSRLGKDSSRCSSFMEFLCFLRERSQDDELALASVSCLHGLTQTIMSIQNLIESARSKMKTSHDESISTRDESVLAKGILAESLADLTSVSSSFRDSVSSEWPIVQSIELHKRGGLAPGVGLGWGASGGGFWSNRVLLAQADAGLLSLFLNISLMDSQDDLGSVGLMDKMNSALAMCLIAGPRDYLLVERAFEYVLRPHALEHLACCIKSNKKNISFEWECSEGDYHRMSSILASHFRHRWLQPKGKSKAEKGVSGVRKGTVGLETIHEDGEMPNCSTQYKQSDSSTIEWAHQRMPLPPHWFLSAISAVHSGKTSTGPPESTELLEVAKAGVFFLAGLESSSGFGSLPSPVVNVPLVWKFHALSTVLLVGMDIIEDKNTRNLYNFLQELYGQYLDEARLNHRDIELLRFKSEIHENYSTFMEMVVEQYAAVSYGDVVYGRQVSIYLHQCVEHPVRLSAWTVLSNARVLELLPSLDKCLGEADGYLEPVEENEAVIEAYLKSWTCGALDRAATRGSIAYTLVVHHFSSLVLCNQAKDKVSLRNKIVKTLVRDLSRKRHREGMMLDLLRYSKGSENAMEEEKRMEVWKECCEGNSTLLSELEKLKLAALCGRR >fgenesh2_kg.7__401__AT4G36680.1 pep chromosome:v.1.0:7:1728903:1730480:1 gene:fgenesh2_kg.7__401__AT4G36680.1 transcript:fgenesh2_kg.7__401__AT4G36680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MBF2] MASSRISLRLVRRFASAAADGTTTAPSSGKISVSKAKSTLRKEHDPDKALKIYANVSDHSASPVSSRYAQELTVRRLAKCRRFSDIETLIESHKNDPKIKEEPFYSTLIRSYGRASMFDHAMRTFEQMDQYGTPRSAVSFNALLNACLHSKKFDKVPQLFDEIPQRYNKIVPDKISYGILIKSYCDSGSPQKAIEIMRQMQGKGMEVTTIAFTTILSSLYKKGELEVAESLWNEMVKKGCELDNAAYNVRIMSAQKESPERVKELIEEMSTMGLKPDTISYNYLMTAYCEKGMLDEAKKVYEGLEGNNCAPNSATFRTLIFHLCYSRLYEQGYAIFKKSVYMHKIPDFNTLKHLAVGLVEKKKIDDAKGLIRTVKKKFPPSFMNAWKKLEEELGLYSKTDAFPSSAKEAAA >fgenesh2_kg.7__402__AT4G36670.1 pep chromosome:v.1.0:7:1732621:1734660:1 gene:fgenesh2_kg.7__402__AT4G36670.1 transcript:fgenesh2_kg.7__402__AT4G36670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIISGEKPAGVNRFALQCAIVASIVSIIFGYDTGVMSGAMVFIEEDLKTNDVQIEVLTGILNLCALVGSLLAGRTSDIIGRRYTIVLASILFMLGSIMMGWGPNYPVLLSGRCTAGLGVGFALMVAPVYSAEIATASHRGLLASLPHLCISIGILLGYLVNYFFSKLPMHIGWRLMLGIAAVPSLVLAFGILKMPESPRWLIMQGRLKEGKEILELVSNSPEEAELRFQDIKSAAGIDPKCVDDVVKMEGKKTHGEGVWKELILRPTPAVRRVLLTALGIHFFQHATGIEAVLLYGPRIFKKAGITTKDKLFLVTIGVGIMKTTFIFTATLLLDKVGRRKLLLTSVGGMVIALTMLGFGLTMAQNAGGKLAWALVLSIVAAYSFVAVFSIGLGPITWVYSSEVFPLKLRAQGASLGVAVNRIMNATVSMSFLSLTSAITTGGAFFMFAGVAAVAWNFFFFLLPETKGKSLEEIEALFQRDGDKVRGENSAA >fgenesh2_kg.7__403__AT4G36660.1 pep chromosome:v.1.0:7:1734744:1736394:1 gene:fgenesh2_kg.7__403__AT4G36660.1 transcript:fgenesh2_kg.7__403__AT4G36660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g36660 [Source:UniProtKB/TrEMBL;Acc:D7MBF4] MKEDDALPTTTTGTATGTAIANSKKENSDSVLFGRGRYKFWAFAAILLLAFWSMFTGTVTLRLSTGNLNRLSEDLGIPNYANLDVLEMEEREKVVKHMWDVYTSSRRIKLPRFWQEAFVAAYEELTSDVPGVREAAIGEIAKMSVRSITLDPPPSRSMSASDLGRNLKRILHKPAASS >fgenesh2_kg.7__406__AT4G36640.1 pep chromosome:v.1.0:7:1743371:1745794:1 gene:fgenesh2_kg.7__406__AT4G36640.1 transcript:fgenesh2_kg.7__406__AT4G36640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein [Source:UniProtKB/TrEMBL;Acc:D7MBF7] MFRRRNAHQLEDDSHKENKVRELKSAIGPLSGHSLVFCSDASLRRYLDARNWNVEKAKKMIEETLKWRSTYKPQEIRWNQVAHEGETGKISRASFHDRQGRVVLIMRPALQNSTSSEGNIKHLVYLLENAILNLPKGQEQMSWLIDFTGWSMAANVPMKTTREIIHILQNHYPERLGIAFLYNPPRIFQAVYKAAKYFLDPCTAEKVKFVYPKDKASDELMTSHFDIENLPKEFGGEATLEYDHEDFSRLMCEDDLKTAKYWGLEEKHYPKPNGFSASDVVPEPATPIASAAS >fgenesh2_kg.7__408__AT4G36630.1 pep chromosome:v.1.0:7:1746295:1751204:1 gene:fgenesh2_kg.7__408__AT4G36630.1 transcript:fgenesh2_kg.7__408__AT4G36630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNAYDSFQLLKDCPARIDAVESYGSKLFAGCYDGSLRIYSPPESSASDPSELHQETFVLETTVAGFSKKPIVAMEVLASRELLLSLSESIAFHGLPNLETVAVITKAKGANAYSWDDRRGFLCFSRQKRVCVFKHDGGGGFVEVRDYGVPDTVKSISWCGENICLGIKKEYVILNTANGTLSEVFPSGRVAPPLVISLPSGELLLGKENIGVFVDQNGKLLQTERICWSEAPTSIVIQNPYAIALLPRRVEVRLLRSPYPLIQTIVLQNIRRLVKSNNAVIVGLDNSVYVLFPVSIGAQIVQLTASGNFEEALALCKVLPPEESSLRAAKESSIHTRFAHYLFENGSYEEAMEHFLASQVDITHVLSMYPSIILPKTTIIPQPDKMVDISGDEASLSRGSSGISDDMESSSPRYFLESEDNTALESKKMSYNTLMALIKYLQKRRPAVIEKATSEGTEEVISDAVGKSYGAYDSSKSKKSSKGRGMIPLNSGAREMAAILDTALLQALLHTGQSGSAIELLKGVNYCDVKICEEILMKSKNYSALLELFKSNSMHHEALKLLNQLAEESKSDQSQTEVTQIFSPELIIEYLKPLCRTDPMLVLEYSMLVLESCPTQTIDLFLSGNISADLVNSYLKQHAPNMQGRYLELMMAMNETAVSGNLQNEMVQIYLSEVLDLYAAKSAQQKWNEKDHPPERKKLLSALESISGYSPQPLLKRLPRDALYEERAVILGKMNQHELALSIYVHKLHAPDLALAYCDRIYESVSYLPSGKPSSNIYLTVLQIYLNPKKSAKDFAKRIVALGSFESSETTKMMDSVLSSKAKGGRSKKIVAIEGAEDMRVGLSSSTDSGRSDVDAEEPLEEGDSTVMISEVLDLLSQRWERINGAQALKLLPRETKPHNLLPFLAPLLRNSSEAHRNFSVIKSLRQSENLQVKEELYKHRKGVAQVTSDSMCSLCNKKIGTSVFAVYPNGKTLVHFVCFRDSQGMKAVSKTSHGRRR >fgenesh2_kg.7__409__AT4G36620.1 pep chromosome:v.1.0:7:1755888:1756838:1 gene:fgenesh2_kg.7__409__AT4G36620.1 transcript:fgenesh2_kg.7__409__AT4G36620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MBG0] MGFSMFFSAENDVVHHSSPYASVDCTLSLGTPSTRLCNDDDERRFSSHTSDALGWDFLNGSKKGGGGGGHNLLARRCTNCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRASTAGNSTSGGGSTAARVPTFDHQAGANYYYNNNNQYASSSPWVHHHQHNTQRIPYYSPANNEYSYVDDVRDVDHDVTTDPFLSWRLNLADRTSLVHDFTM >fgenesh2_kg.7__412__AT4G36580.1 pep chromosome:v.1.0:7:1769916:1772751:-1 gene:fgenesh2_kg.7__412__AT4G36580.1 transcript:fgenesh2_kg.7__412__AT4G36580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:UniProtKB/TrEMBL;Acc:D7MBG4] MAASRLCSAAAIAAAFTSMSMSQNRAYADSRFRFPFYSSSPPPSDSPANQSSSNSSKSKPEADEPKGSGFDPESLERAAKALRDINSSPHSKQVFDLMRKQEKTRLAELAAETSHYEAIQAHRDIERQQKLAEDQRNLLQTQAQTKAQILRYEDELARKRQQTDHEAQRHHNVELVKMQEASSIRKERAKIATEEQIQAQQRQTEKERAELERETIRVKAMAEAEGRAHEAKLTEEQNRRLLMERINGEREKWLAAINTMFSHIEGGFRTLLTDRNKLIMTVGGATALAAGIYTTRMLGQPSLIRESSMGRFPWAGSMSQLKNRISGAAAASAAEGKKPLDNVILHTSLKKRIEHLARATANTKSHQAPFRNMMFYGPPGTGKTMVAREIARKSGLDYAMMTGGDVAPLGSQAVTKIHQIFDWAKKSNKGLLLFIDEADAFLCRRNSTYMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDSAVTDRIDEVIEFPLPGEEERFKLLNLYLNKYLKRGDNNKDTKPKWSHLFKKLSQKITVEADLTDKVISEAAKKTEGFSGREIAKLVAGVQAGVYGREDCVLDSQLFKEIVEYKVEEHHQRLRLASEGFQPLLFS >fgenesh2_kg.7__413__AT4G36560.1 pep chromosome:v.1.0:7:1779920:1780087:-1 gene:fgenesh2_kg.7__413__AT4G36560.1 transcript:fgenesh2_kg.7__413__AT4G36560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MBG6] TIKHSEWRGLREVKQCPKQRSRRSVRKAQMSSIKFINEIFPRFGICPLVLPLNVN >fgenesh2_kg.7__417__AT4G36530.2 pep chromosome:v.1.0:7:1794575:1796558:1 gene:fgenesh2_kg.7__417__AT4G36530.2 transcript:fgenesh2_kg.7__417__AT4G36530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7MBG9] MSASCALTPSVRTELFSSSSKRSIFPAQICRPRNKCEISRRGFAIRGGIVASGVSVMGTSSASQSVQGSERLAFKPEGYNFWEWRGHKIHYVVQGEGLPLVLIHGFGASVFHWRYNIPQLAKKYKVYALDLLGFGWSDKALIEYDAMVWTDQVIDFMKEIVKEPAVVVGNSLGGFTALSVAVGLPEQVTGVALLNSAGQFAAESRKGEEADETVITKFIVKPLKEIFQRVVLGFLFWQAKQPSRIESVLKSVYVDSTNVDDYLVESISKPATDPNAGEVYYRLMTRFLTNQSRYTLDSVLSKMTCPLLLLWGDLDPWVGPAKAEKIKAFYSNSSLVHLQAGHCPHDEVPEAVNKALLDWLSINVASKPASPIALET >fgenesh2_kg.7__419__AT4G36500.1 pep chromosome:v.1.0:7:1807152:1807830:1 gene:fgenesh2_kg.7__419__AT4G36500.1 transcript:fgenesh2_kg.7__419__AT4G36500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLRSLSTRTRSRRGGYERVTDDSTFSLLGAKLRRSTSVPYYAPSIKLGAGGVPTILEELPRQKSKKVKPTGKFSHPIFSLFYGKKKKSTTTKPEFSRYLEYLKEGGMWDARANAPVIYYK >fgenesh2_kg.7__41__AT4G38480.1 pep chromosome:v.1.0:7:119004:121096:1 gene:fgenesh2_kg.7__41__AT4G38480.1 transcript:fgenesh2_kg.7__41__AT4G38480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRPAKRPRIDHSVVNVWEREVGLLPNRSFSNRFSASEDLLRRLGLDKKLDKHKGCVNSVSFNADGDLLLSGSDDKQVILWDWETASVKLSFDSGHFNNVFQAKFMPFSDDRSIVTSAADKQVRYSKILESGQVETSLLGKHQGPVHKLAVEPGSPFSFYTCGEDGAVKHFDLRTRVATNLFTCKEAKFNLVVYLHTIAVDPRNPGLLAVAGMDEYARLYDIRSYRSEGWYNFTQPVDHFCPGHLIGNDHVGITGLAFSDQSELLASYSDEFIYLFTPDMGLGPAPYPSSTKTEERMTPQVYKEHKNRETVKGVNFFGPKCEYVVSGSDCGRIFIWRKKDGELLRAMEADKHVVNCIESHPHMPLMCSSGIDTDIKIWTPGGTEKPVSPANAKQASCFGNLQWFDGYNVDGDDDSDDESSEESSDDDDSAEEEEEENGEVEVDDDDDDEDEG >fgenesh2_kg.7__420__AT4G36470.1 pep chromosome:v.1.0:7:1808969:1810355:-1 gene:fgenesh2_kg.7__420__AT4G36470.1 transcript:fgenesh2_kg.7__420__AT4G36470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine:carboxyl methyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MBH2] MDKKDLEREFHMTGGDGKTSYARNSSLQKKASDVAKHITLETLQQLYKETRPKSLGIADLGCSSGPNTLSTIKDFIKTVQVAHHREIPNQPLPEFSIFLNDLPGNDFNSIFKSLPDFHIELKRDNNNGDSPSVFIAAYPGSFYGRLFPEKTIHFVYASYSLHWLSKVPAALYDEQGKSINKGCVSICSSSSEAVSEAYYSQFKEDFSIFLRCRSKEMVAAGRMVLIILGREGPGHVDRGNSFFWELLSRSIADLVAQGETEEEKLDSYDMHFYAPSADEIEGEVNKEGSFDLERLEMLEVEKDKENVNDTSYGKAVAKTVRAVQESMLVQYFGEKILDKLFDTYSRMVDEELAKEDIRPITFVVVLRKKL >fgenesh2_kg.7__427__AT4G36420.1 pep chromosome:v.1.0:7:1841761:1843025:1 gene:fgenesh2_kg.7__427__AT4G36420.1 transcript:fgenesh2_kg.7__427__AT4G36420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12 family protein [Source:UniProtKB/TrEMBL;Acc:D7MBI0] MRNLRIISSHFSRVLKSIETHPSSNHLFSIQSRSYSSPATQSENVSKIVNELSNLTLLETMDLTEILRQKLDISELPVMAAMMPGMSFPGSGAGKSTGGEGKEKKKEAKTAFDVMLQAYEAVSKIKVIKEVRTITDLGLKEAKDLVEKAPTLLKKGVSKEEAEKIIEKLKAVGAKVAME >fgenesh2_kg.7__428__AT4G36410.1 pep chromosome:v.1.0:7:1843070:1844137:-1 gene:fgenesh2_kg.7__428__AT4G36410.1 transcript:fgenesh2_kg.7__428__AT4G36410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 17 [Source:UniProtKB/TrEMBL;Acc:D7MBI1] MTSSSESTRKGLTKIATNRLQKEFTEWQTNPPAGFKHRVSDNLQRWIIEVHGVPGTLYANETYQLQVDFPEHYPMEAPQVIFQHPAPLHPHVYSNGHICLDVLYDSWSPAMRLSSICLSILSMLSSSSVKQKPKDNDHYLKNCKHGRSPKETRWRFHDDKV >fgenesh2_kg.7__429__AT4G36400.2 pep chromosome:v.1.0:7:1845128:1848857:-1 gene:fgenesh2_kg.7__429__AT4G36400.2 transcript:fgenesh2_kg.7__429__AT4G36400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD linked oxidase family protein [Source:UniProtKB/TrEMBL;Acc:D7MBI2] MMMQKLRRSGELIRFGCKSLFTSRPNKNLVSRSVSGFVNHYKSKGKLFELSDGNYNTELHHPCISRNLGMLLQQYKCFGSSAASKIQRNPLFSSLDSRDVSYFKEILGEKNVIEDKERLETANTDWMHKYKGSSKLMLLPKNTQEVSQILQYCDSRRLAVVPQGGNTGLVGGSVPVFDEVIINVGLMNKVLAFDEVSGVLVCEAGCILENLATFLDTKGFIMPLDLGAKGSCHIGGNVSTNAGGLRLIRYGSLHGTVLGLEAVTANGNVLDMLGTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTQPKLSSVNLAFIACKDYLSCQKLLVEAKRNLGEILSAFEFLDNNSMDLVLNHLDGVRNPVSCSENFYILIETTGSDETNDREKLEAFLLKSLEKGLVSDGVIAQDINQASSFWRIREGITEALQKAGAVYKYDLSLPVEEIYNIVNDLRGKLGDLANVMGYGHLGDGNLHLNISAAEYNDKLLGLIEPYVYEWTSKHRGSISAEHGLGVMKANEIFYSKSPETVALMASIKKLLDPKGILNPYKVLPHSLFSH >fgenesh2_kg.7__42__AT4G38490.1 pep chromosome:v.1.0:7:121278:122250:1 gene:fgenesh2_kg.7__42__AT4G38490.1 transcript:fgenesh2_kg.7__42__AT4G38490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSPGLLSNVSGLIRLAPSHRIQRHDGFGPSCFKFSGDPSINGRVSHKHVRGYGTVVSVGQSWNKLPEEEPLWLSLLRDIVWSTRSLLSFMAEQPSQLKYIEWPSFTSTLKTATLSLCLVAVFIVGLSSVDAALCYMLALILRKAP >fgenesh2_kg.7__431__AT4G36390.1 pep chromosome:v.1.0:7:1848987:1851742:1 gene:fgenesh2_kg.7__431__AT4G36390.1 transcript:fgenesh2_kg.7__431__AT4G36390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MBI3] MASSSLSSLSSILSNPHGCSLCFKASTRRCFALTFLSSKVVTHASSSALLPRCRSTHLLTQKPINRKNGFALNLSRSFSVSQIAGSGKFDGPSLHQFVSNAQAHASLTTPETESESTLDSDVASKGRIYHETYGCQMNINDMEIVLSIMKNSGYKEVVTDPESAEVIFINTCAIRDNAEQRVWQRLNYFWFLKREWKVNVAKGRAQSLKPPKVVVLGCMAERLKDKILDSDKMVDVVCGPDAYRDLPRLLEEVDYGQKGINTLLSLEETYADISPVRISENSITAFVSVMRGCNNMCAFCIVPFTRGRERSRPVESIIREVGELWESGVKEVTLLGQNVNSYNDDSSDPESGANWEYSEGFSSRCKVKNMGLRFADLLDRLSVQFPEMRFRFTSPHPKDYPDELLYLMRDRHNICNLIHLPAQSGNSRILEQMRRGYTREAYLDLVKKIRSIIPDVAITSDFITGFCGETEEEHQETLSLVRAVGYDMAYMFAYSMREKTHAHRKYTDDVPEEVKQRRLTELIEAFRETTGPCYNSQVGSIQLVLVEGPNKRAPETELIGKTDKGHRVSFVTKPLFDTACLLDGDDLKRNPEIGDFVEVRIEKSTRASLFGEALAITKLSLFHDVGVDAGVASYAS >fgenesh2_kg.7__432__AT4G36380.1 pep chromosome:v.1.0:7:1854114:1858895:1 gene:fgenesh2_kg.7__432__AT4G36380.1 transcript:fgenesh2_kg.7__432__AT4G36380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 [Source:UniProtKB/TrEMBL;Acc:D7MBI4] MHPPARIGLFMSPENLPWPSDYMDYLVAGFLVLTAGILLRPWLWFGIRNSKTKDGDEEEEKDKKKGMIPKGSLGWPVIGETLTFIACGYSSRPVTFMDKRKSLYGKVFKTNIIGTPIIISTDAEVNKVVLQNHGNTFVPAYPKSITELLGENSILSINGPHQKRLHTLIGAFLRSPHLKDRITRDIEASVGITLASWAQLPLVHVQDEVKKMTFEILVKVLMSTSPGEDLDILKLEFEEFIKGLICIPIKFPGTRLYKSLKAKERLIKMVKKVVEERQVATTTKSPVNDVVDVLLRDGCDGGDSEKQSQPSDFVSGKIVEMMIPGEETMPTAMTLAVKFLSDNPVALAKLVEENMEMKRRKLELGEEYKWTDYMSLSFTQNVINETLRMANIINGVWRKALKDVEIKDYLIPKGWCVLASFISVHMDEDIYDNPYQFDPWRWDRINGSANSSICFTPFGGGQRLCPGLELSKLEISIFLHHLVTRYSWTAEEDEIVSFPTVKMKRRLPIRVATVDSASRISLEDH >fgenesh2_kg.7__433__AT4G36360.1 pep chromosome:v.1.0:7:1879667:1884572:1 gene:fgenesh2_kg.7__433__AT4G36360.1 transcript:fgenesh2_kg.7__433__AT4G36360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:D7MBM5] MGSGDSASRLILWFCLGLLILGVGFVQCGVTYDRKALLINGQRRILFSGSIHYPRSTPDMWEGLIQKAKDGGIDVIETYVFWNLHEPTPGKYDFEGRNDLVRFVKTIHKAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMKGFTERIVELMKSENLFESQGGPIILSQIENEYGRQGQLLGAEGHNYMTWAAKMAIATETGVPWVMCKEDDAPDPVINTCNGFYCDSFAPNKPYKPLIWTEAWSGWFTEFGGPMHHRPVQDLAFGVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFVTTSYDYDAPIDEYGLIREPKYGHLKELHRAIKMCEKALVSADPVVTSIGNKQQAHVYSAESGDCSAFLANYDTESAARVLFNNVHYNLPPWSISILPDCRNAVFNTAKVGVQTSQMEMLPTDTKNFQWQSYLEDLSSLDDSSTFTTQGLLEQINVTRDTSDYLWYMTSVDIGDTESFLHGGELPTLIIQSTGHAVHIFVNGQLSGSAFGTRQNRRFTYQGKINLHSGTNRIALLSVAVGLPNVGGHFESWNTGILGPVALHGLSQGKRDLSWQKWTYQVGLKGEAMNLAFPTNTRSIGWMDASLTVQKPQPLTWHKTYFDAPEGNEPLALDMEGMGKGQIWVNGESIGRYWTAFATGDCSQCSYTGTYKPNKCQTGCGQPTQRYYHVPRSWLKPSQNLLVIFEELGGNPSSVSLVKRSVSGVCAEVSEYHPNIKNWQIESYGKGQTFHRPKVHLKCSPGQAIASIKFASFGTPLGTCGSYQQGECHAATSYAILERKCVGKARCAVTISNTNFGKDPCPNVLKRLTVEAVCAPETSVTTWRP >fgenesh2_kg.7__437__AT4G36280.1 pep chromosome:v.1.0:7:1891633:1895491:1 gene:fgenesh2_kg.7__437__AT4G36280.1 transcript:fgenesh2_kg.7__437__AT4G36280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMAENAAVADVVHLDSDSDSDDGVGGRESASTIADAATVAPTECRSFWKAGENFVIPNVVTPTSPGLLEHARVHPRFLHSNATSHKWAFGAIAELLDNAVDEIQNGATFVKIDKINIVKDNSPALLFQDDGGGMDPTGLRKCMSLGYSSKKSNTTIGQYGNGFKTSTMRLGADAIVFSRSTRGGTSTQSVGLLSYTFLRKTGQDDVIVPMIDFDISKERPQPIIYGSPEDWAANLEILLKWSPFSTEDELLQQQFEDVGTHGTKVIIYNLWLNDEGIYELSFDDDDEDIRLRDESVNDGKRLHHKLLELRSHISYHLRYSLRAYASMLYLKKFKNFKIIIRGIPVEQFNIADEFRFPEIIKYKPHTATMEQASTEIKVGFVKEAPKLAICGFNVYHKNRLIRPFWKVTMGGDSTGNGVVGVLEANFIEPAHDKQDFERSSLFQRLEARLKKIVYSYWYTHCHVFGYHTYQMPADKSKKIAIPDQPPTVNTFNPSPLPSDKISQGGPIIREINLSNATSSRTVAVAAPHMRNSMGLRSNFQPVQLNPQPAAADTGNTLVGKSAGEISEENLQLFMRCEEYIKKENEIEQTVKSLEKELEEVKSKCARLALLVDAKKKEMQQV >fgenesh2_kg.7__438__AT4G36260.1 pep chromosome:v.1.0:7:1904459:1906230:1 gene:fgenesh2_kg.7__438__AT4G36260.1 transcript:fgenesh2_kg.7__438__AT4G36260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIFSLGGNNNNNNNNGDEEEENQQQQKTNWVWYRSNANTNNINPSSSQQVWQIPPEQMLMHHHPHPQQQSLDLYPGHQIDVSDLATSSRSITISCRDCGNQAKKDCTHMRCRTCCKSRGFDCSTHVRSTWIPVARRRERQQQLHMSTSGGGGGSGSGGGGGGGSSIPKRHRDTTLPGTSSSSRLPSDSAGLEMGEVSFPGEVSSDALFRCVKMSGVDDGGDGQYAYQTTVNIGGHLFKGILYDQGPESSYMSGGSGGSDHQSSSAGGGGGGNPFNPPVVTDGSGGVSSAMFVDPNSGGYYSSNMTTSVFMPPGTQFYQNPPRS >fgenesh2_kg.7__439__AT4G36250.1 pep chromosome:v.1.0:7:1908237:1910845:-1 gene:fgenesh2_kg.7__439__AT4G36250.1 transcript:fgenesh2_kg.7__439__AT4G36250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7MBN1] MEGMKETVEESLREMRETFASGRTRSLKWRKAQIGAIYEMVKDNEDKICSALFQDLGKHSTEAFRDELGVVLRSATVAINSLDKWAVPKHSNLPLLFYPAKGKVISEPYGTVLVLSSWNFPISLSLDPLIGAIAAGNTVLLKSSELSPNASAFLAKTIPAYLDTKAIKVIEGGPDVATILLQHQWDKIFFTGSPKIGRIIMAAAAQHLTPVTLELGGKCPTIVDHHTISKNIKSVVKRIAGGKWGSCSGQACISVDYVLIEKSYASSLIDMLKPTIKSFFGENPKESGCLSRIATKQHVQRLSRLLNDPRVQASIVYGGSIDEEKLYVEPTILLDPPLDSEIMNEEIFGPILPIITVRDIQESIGIIKTKPKPLAIYAFTNDENLKTRILSETSSGSVTFNDVMIQYMCDALPFGGVGESGIGRYHGKYSFDCFSHEKAIMEGSLGMDLDARYPPWNNFKLTFIRLAFREAYFKLILLMLGLKR >fgenesh2_kg.7__43__AT4G38500.1 pep chromosome:v.1.0:7:122726:125548:1 gene:fgenesh2_kg.7__43__AT4G38500.1 transcript:fgenesh2_kg.7__43__AT4G38500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDSQRSLSVRLSRRGERSNHKSKDAEAGFFSPTRLHTDCTLKKIWKAGFLRLLLVGGILWMLLILFALLFHVWSCQSSLSFFSAICNKEGRLYVMLDTIGFVPKPQHRCPIPVAYDPDKVLLPSENTADSIVRNLTYVTEDESSKSQFPLFGGNISWSERDESFKLKPEMKVHCGFMPRGGAEMSSLDKEYVKKCRFVVATGIFDAYDEPHQPSNISKRSKNLFCFLMVVDEVSLDFLRKNTTVRKDVEGGKWVGIWRLILLKTPPYDEPRRNGKVPKILTHRLFPEAQYSIWIDGKMELIVDPLLILERYLWRGKHTFAIAQHKHHRNIYEEADACKRRKRYARPLVDLHMKIYRYEGLEPWSIKKNTVSDVPEGAVIIREHTAMNNLFSCLWFNEVHLLTPRDQLSFGYVVDRLKGAFKVFMFQNCEYNSLFELHPHIREHSSKIEWVKSLQELKGKGESLKESRGGFGLWTPYPGDLDSVELPKVVRTSKAG >fgenesh2_kg.7__440__AT4G36240.1 pep chromosome:v.1.0:7:1914777:1915789:1 gene:fgenesh2_kg.7__440__AT4G36240.1 transcript:fgenesh2_kg.7__440__AT4G36240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:D7MBN2] MECVELEAFLGDFSVDDLLDLSNADTAFVREESSSSQREEGEQEREKAKSFSDHSTRLSPLEELLSFHGDVPVGDLEDLEWLSNFVEDSFSESHLSSDFPVTPVASVEVQRQCVPVKPRSKRRRINGRIWSLESPSRLLSTAAAKEKKRWRQKAEASCGGEVQQQQPRRCCSHCGVQETPQWRMGPLGAKTLCNACGVRFKSGRLLPEYRPACSPTFTTEIHSNSHRKVLELRLMKTADPGRV >fgenesh2_kg.7__447__AT4G36190.1 pep chromosome:v.1.0:7:1947586:1950244:-1 gene:fgenesh2_kg.7__447__AT4G36190.1 transcript:fgenesh2_kg.7__447__AT4G36190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase S28 family protein [Source:UniProtKB/TrEMBL;Acc:D7MBN8] MSSAICFALLTTFTILLSYSSLSDGLQPRRISHGLTESSKYLTRDELWFNQTLDHYSPSDHRKFRQRYYEYLDHLRVPDGPIFMMICGEGPCNGIPNDYITVLAKKFDAGIVSLEHRYYGKSSPFKSLATENLKYLSSKQALFDLAAFRQYYQARSNDSLNVKFNRSGNVENPWFFFGASYSGALSAWFRLKFPHLTCGSLASSAVVRAVYEFPEFDQQIGESAGPECKAALQETNKLLELGLKVNNKAVKALFNATELDVDADFLYLIADAEVMAIQYGNPDKLCVPLVEAHKNGGDLVEAYAKYVREFCMGVFGLSSKTYSRKHLLDTAVTPESADRLWWFQVCTEVAYFQVAPANDSIRSHQINTEYHLDLCKSLFGKGVYPEVDATNLYYGSDRIAATKIIFTNGSQDPWRHASKQTSSPELPSYIVTCHNCGHGSDLRGCPQSPMVIEGDSKNCSSPDAVNKVRQHIVEHMDLWLSECRGGIRSSM >fgenesh2_kg.7__448__AT4G36180.1 pep chromosome:v.1.0:7:1950431:1954145:1 gene:fgenesh2_kg.7__448__AT4G36180.1 transcript:fgenesh2_kg.7__448__AT4G36180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISLLFIFLVIYAPLFSYADESQAEIDALTAFKLNLHDPLGALTSWDPSTPAAPCDWRGVGCTNHRVTEIRLPRLQLSGRISDRISGLRMLRKLSLRSNSLNGTIPASLAYCTRLFSVFLQYNSLSGKLPPAMRNLTSLEVFNVAGNRLSGEISVGLPSSLKFLDISSNTFSGQIPSGLANLTQLQLLNLSYNQLTGEIPASLGNLQSLQYLWLDFNLLQGTLPSAISNCSSLVHLSASENEIGGVIPAAYGALPKLEVISLSNNNFSGTVPFSVFCNTSLRIVQLGFNAFSDIVRPETTANCRTGLQVLDLRENPISGRFPLWLTNILSLTNLDVSGNLFSGEIPPDIGNLKRLEELKLANNSLTGEIPVEIKQCGSLGVLDLEGNRLKGQVPEFLGYMNALKVLSLGRNSFSGYVPSSMVNLQQLDRLNLGENNLNGSFPVELLALTSLSELDLSGNRFSGEVPVSISNLSNLSFLNLSGNGFSGEIPASVGNLFKLTALDLSKQNMSGEVPVELSGLPNLQVIALQGNNFSGVVPEGFSSLVSLRYVNLSSNSFSGQIPQTFGFLRLLVSLSLSDNHISGSIPPEIGNCSALEVLELRSNRLTGHIPADLSRLPRLKVLDLGRNNLSGEIPPEVSQSSSLNSLSLDHNHLSGVIPGSGLSNLTKMDLSVNNLTGEIPASLALISSNLVYFNVSSNNLKGEIPASLGSKINNPSEFSGNTELCGKPLNRKCESSTAEEKKKKRKMILMIVMAAIGAFLLSLFCCFYVYTLLKWRKKLKQQSTTGEKKRSPGRTSAGSRVRSSTSRSSTENGEPKLVMFNNKITLAETIEATRQFDEENVLSRTRYGLLFKANYNDGMVLSIRRLPNGSLLNENLFKKEAEVLGKVKHRNITVLRGYYAGPPDLRLLVYDYMPNGNLSTLLQEASHQDGHVLNWPMRHLIALGIARGLGFLHQSNMVHGDIKPQNVLFDADFEAHLSDFGLDRLTVRSPSRSAVTANTIGTLGYVSPEATLSGEITRESDIYSFGIVLLEILTGKRPVMFTQDEDIVKWVKKQLQRGQVTELLEPGLLELDPESSEWEEFLLGIKVGLLCTATDPLDRPTMSDVVFMLEGCRVGPDVPSSADPTSQPSPA >fgenesh2_kg.7__450__AT4G36160.1 pep chromosome:v.1.0:7:1960885:1964164:1 gene:fgenesh2_kg.7__450__AT4G36160.1 transcript:fgenesh2_kg.7__450__AT4G36160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVDQSCSVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEERNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKSKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENAPPQEEGWVVCRAFKKKPMTGQAKNTETWSSSYFCDELPSGVSSVMEPLSYVSKQKQNIFAQDLMFKQEIEGSDIGLNFIHCDQFIQLPQLESPSLPLTKRPVNSTSITSLEKNQTNYKRQLIEDDVSINALISSENKGNKKKKTSVMTTDWRALDKFVASQLMSQEDGVSGFGGHQEEDNNKISHYNNEESNNNGVETASSTLLSDREEENRFISGFLCSNLDYDLYSDLHV >fgenesh2_kg.7__451__AT4G36130.1 pep chromosome:v.1.0:7:1989438:1990575:-1 gene:fgenesh2_kg.7__451__AT4G36130.1 transcript:fgenesh2_kg.7__451__AT4G36130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L8 [Source:UniProtKB/TrEMBL;Acc:D7MBP3] MGRVIRAQRKGAGSVFKSHTHHRKGPAKFRSLDFGERNGYLKGVVTEIIHDPGRGAPLARVAFRHPFRFKKQKELFVAAEGMYTGQFLYCGKKATLVVGNVLPLRSIPEGAVVCNVEHHVGDRGVFARASGDYAIVIAHNPDNDTSRIKLPSGSKKIVPSGCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAALASKAD >fgenesh2_kg.7__452__AT4G36120.1 pep chromosome:v.1.0:7:1991545:1994921:1 gene:fgenesh2_kg.7__452__AT4G36120.1 transcript:fgenesh2_kg.7__452__AT4G36120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGWPWKRKSSDKATTEKTVVGNESTPVCSLSYLASLENQEKCKNTNYVQITMDSYTHMSRMEDQVKLFESEVKDLKEKLTLAYSQIKTKESLILQHAKVAEEAVSGWEKADAETLVLKRQLESVTLLKLTAEDRASHLDDALKECTRQIRIVKDESDQKLQDVILAKTTHWDKIKAELEGKIDELSQGLHRAASDNAALTRSLQERSEMIIRISEERSKAEADVEKLKTNLQLAEKEISTLKYDVHVASKEVEIRNEEKNMSLKSAEIANKQHLEGVKKIAKLEAECQRLRGLLRKKLPGPAAMAQMKIEVESLGHEFTDPRAQRNMSQNHNAHIAKAEISADHKLEECERENVYLTRRTLEMEEEIQTLKEHLAARNNELQVSRNVCAKTLGKLKILEGQMHTFNNDKSAPKSNSRNLSESPSSGHDHNYPPSVISVSEDGFDEEGSSSECGPAISADSHKVRKVSVDGSSKPKISSRLELMDDFLEIEKLAANDPDGANSASKSSNSVCSSKSVEKQSTSKSSEQDEDTTTLDQLLTVLRSRINRIFESQEGISVEKIVEATRFSIQEMQGSSPTQKSSHLFEVTDETLEKHVLSSQDTQNSEKEQKNTKQQDLEAAVTNIHHFIKSTTKEATQLQDMNGNGPLRDSLEDFSLSVGKYPTGESSLSDLVLELSRISVLASKETSVAESNDKVTLLQKEIGESDCDPLRDTFAKTEDHCVDNLINGHAVNDSSCKSLLKEVEQLKLEKENIAVELSRCLQNLESTKAGLEEKEQLISKLKSQLTSSEDLQSLAETQLKCVTESYKTLELHAKDLKAKVKSLGEETERLEMAFASEKHGHEETLAKCRDLQEKMQRYNKYETCKNSCQETIHLLSQQLQSLQPQSNHNLKSQSPEKKLQKHKTSELTPNSGLDDLPHTNIIQPSRSVRHTVNPTVHAIIKSSSVSSSSKEDNEKHTRGLGRFFSSKPKNSGR >fgenesh2_kg.7__453__AT4G36110.1 pep chromosome:v.1.0:7:2009874:2010757:-1 gene:fgenesh2_kg.7__453__AT4G36110.1 transcript:fgenesh2_kg.7__453__AT4G36110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKSNKAALSQAASLKQILKRCSSLGKKNQGNCYFNDVPKGHFPVYVGQHRSRYVVPISWLDHPEFQSLLQLAEEEFGFEHEMGLTIPCDEVIFRSLISMFR >fgenesh2_kg.7__456__AT4G36090.3 pep chromosome:v.1.0:7:2017574:2020085:1 gene:fgenesh2_kg.7__456__AT4G36090.3 transcript:fgenesh2_kg.7__456__AT4G36090.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7MBP7] MEPNYEEDVFLGKYQPSELKIASEFLTTWLPFLSRDLCNDCVHVLSDRIRSLDPEKVNGEDKAASGSMVENMESEDFDNKMDNIYDGKFCDSHSLDSLKAGGSEAASPRISWADMGDEDGLEEEEQKDSGLGSQGVDVSSLAGDSMKTPQKRKLSREERERYRFMNVKKMKVFSCFEKVRGRSVNILEGLELHTGVFSAVEQKKIVDFVYELQEKGQRGELRDRTFTAPHKWMRGKGRVTIQFGCCYNYAPDKAGNPPGILQREAVDPIPSLFKVIIKRLVGWHVLPPTCIPDSCIVNIYDEGDCIPPHIDNHDFVRPFCTVSFLSECNILFGSNLKVVGPGEFSGPYSMPLPVGSVLVLKGNGADVAKHCVPAVPTKRISITFRKMDESKRPVGFTPEPDLQGIKPLPYEQTTQSTPAAAAISSSRSSNDQNGSNHNYRTAHGEGSKDRRSRDYRSESREWSSSGQRREKSRHTPNRSYRPKATRSDNV >fgenesh2_kg.7__457__AT4G36080.1 pep chromosome:v.1.0:7:2021734:2039398:1 gene:fgenesh2_kg.7__457__AT4G36080.1 transcript:fgenesh2_kg.7__457__AT4G36080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAT domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MBP8] MSPIQNFEQHSRRLVEPDLPIQERLAMVVEVRDSLEITHTAEYLNFLKCYFRASSVILLQITKPQFTDNIEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFRLTVSHFFENVKIEEVKPVEVSTPSDQSLSITAPSRNGQINPSTRSFKIVTESPLVVMFLFQLYSRLVQINIPNLLPLMVAAISIPGPEKVSSHMKPQFIELKGAQVKTVSFLTYLLKSCAEYIKPHEESICKSIVNLLVTCSDSASIRKELLVSLKHVLGTDFKRGLFPLIDTLLEERVLVGTGRACFESLRPLAYSLLAEIVHHVRADLSLSQLSRIIYLFSRNMHDSTLSLNIHTTCARLMLNLVEPIFEKGVDQQSMDEARILLGRILDAFVGKFSTFKRTIPQLLEEGDGKDQITLRSKLELPVQVPAEHSKEVNDCKNLIKTLVMGMKTIIWSITHAHLPRPQGMHPQALASQSSVTQVFKGMREDEVWKASGVLKNGVHCLALFKDKDEEKEMLSLFSQILAIMEPRDLMDMFSICMPELFECMIDNTQLVQIFATLLQATKVYKPFADVLINFLVSSKLDVLKNPDSAATKLILHLFRCLFGAVSKAPSDFERILQPQVPLIMEVCMKNATEVEKPLGYMQLLRTVFRGLAGCKFELLFRDLIPMLLPCLNILLTMLEGPAGEDMRDLLLELSLTLPARLSSLLPYLPRLMRPLVSCLRGSDELVSLGLRTLEFWVDSLNPDFLEPSMANVMSEVILALWSHLKPVPYPWGGKALQIVGKLGGRNRRFLKEPLTLECKDNPEHGLRLVLTFEPSTPFLVPMDKFINLAVAAVIEKNLTTEIYYKKQALKFLRVCLLSQLNLPGCVTDEGQTTNQLSTLLLSSVDSSWRRSESIEIEADLGVKTKTQLIAEKSIFKTLLITIIAASSDPDLSDSDDDFLVNICRHFAIILHGDYTSSYASTSAGPLGGSLISTSSKPKNNWSSNLKQLDPLIFLDALVDVLADENRLHAKAALTALNVFAETLLFLACVKHADVLMARGGHSASMIVSSPSTNPVYSPHPSVRIPVFEQLLPRLLHCCYGSTWQAQMGGVMGLGALVGKVNAETLCLFQVNIVRGLVYVQKRLPVYASKEQEETSQVLLQILRVVNNVDEANSDARRQSFQGVVEYLATELFNPNASITVRKNVQNCLALLASRTGSEVSELLEPLYQPLLQPLIMRPLRSKTIDQQVGTVTALNFCLALRPPLLKVTPELVNFLQEALQIAEADEAVWAVKLMSPKVLTSLNRLRTACIEILCTTMAWADFRTQSHNELRAKIISMFFKSLTCRAPEIVTVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLNMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQSQKSWKAGEEPKIAAAIIELFHLLPLAASKFLDELVTLTIDLEAALPPGQVYSEINSPYRLPLTKFLNRYATLAVDYFLSRISEPKYFRRFMCIIRSDAGQPLREELAKSPHKILSYAFPEILPKSDAILSAAASTPPAASSGDEKSAPMKSESSKTPKSNVASDAYFQGLYLIKTMVKLIPSWLQSNRIIFDALAHLWKSHGRTSRLQNEQNLTLVQVKESKWLVKCFLNYLRHEKSEMNVLFDVLLIFLFHSRIDYTFLREFYIIEVAEEYPPNMKKAIVLHFLNLFQSKQLGHDHLVQAMQMLILPILAHAFQNGQTWEVIDPNIVKTIVERLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVQHRKELIKFGWNHLKREDSASKQWAFVNVCHFLDAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPKRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVSWERQRQNESKMVTDADATSEVSDGLHPSSGADPKRSTDGSSISEDPSKRVKIEPGLQSFCVMSPGGASSIPNVETPGSATQPDEEFKPNAAMEELIINFLIRVRLYTSHLLPWGMFILAGFDLLGGGTVLKQYSILYENLVAVVIEPKDREANTMYKQALDFLSQALEVWPNANVKFNYLEKLLSSMPPSQSDPSTALAQGLDVMNKVLEKQPHLFIKNNISQISQFLELSFKHKMLDAGKSLCSLLKMIFIAFPQDGANTPPEIKLLYQKVNELIQKHVHVVTAPQASGDDNSLGSVSFVLVVLKTLAEVQKNFVDPYVLVHILQRLSRDLGSAAGAHPRQSQRIDSDSAVTSSRQTADVGAVVSNIKSVLEFIDGRVMLLADCKRPVTQILNTLLSEKGTDSSVLLCVLDMLKRWAEDDFGKKGSSGSSGTFLTQKDIVSFFQKLSQVDKQHFSSVALDEWDKAYLQLLYGLCADSTKYPLALRQEISLKVERHSMLGLRARDPDMRRKFFLLYHESLGKNLFARLQYIIQNQDWEAMSDVFWLKQGLDLLLAILIEEKPITLAPNSARVVPLLPSQNSGAHHQPPVMPEGPEEVASMFDSIVMKHAQFLSAASKLQVADVVIPLRELAHTDANVAYHLWVLVFPIVWATLHKEEQIALAKPMISLLSKDYHKKQQGHRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHLALTLLETHVMLFMNDVKCAESLAELYRLLNEEDRRFGLWKNRSITTESRAGLSMVQHGFWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLHCATQLGQWDALVDFGKSTENYEILLDSLWKAPDWTYLKDHVIPKAQVEETPKLRLVQACFSLHEKNANGVGDAENIVGKGVDLALEQWWQLPEMSLQARVPLLQQFQQLVEVQESSRIYLDIANGSKVPGNAAVGGQGNLYADLKDILETWRLRTPNEWDNMTVWYDMLQWRNEMYNVVIDAFKDFITSNTPLHHLGYRDKAWNVNKLARIARKQGLYDVCVQILEKMYGHSQMEVQEAFVKIKEQAKAHLETKGELATGLNLVNSTNLEFFLAKNKAEIFRLKGDFHLKLNDTEGANIAYSNAITLFKNLPKGWISWGNYCDMAYQDTQDEIWLEYAVSCFLQGIRFGVSNSRSHMARVLYLLSFDTTNEPVGRIFDKHLDQVPHWVWLSWIPQLLISLQRTEAPHCKLVLMKIAAVFPQALYYWLRTYLLERRDAVNKSELSRVVLAQRMQQNVPGVSAGHETSGAVGTHDGGNLHAQESELQLSVKIVNTLHYGMDVMESLRSKHNNLASELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQPLKKELSGVCRACFSADAVTKHVAFVREYKQDFERDLDPESNSFPVTLADLTKKLKDWKNILQSNVEDRFPVLLRLEDESKVLRDFNVVDVEIPGQYFADQEVAPDHTVKLDRIGADIQIVRRHGSSCRRLTLIGSDGSQKHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHLGLHTPIIIPVWSQVRMVEDDLMYNTFLEVYENHCGRNGRESDLPITYFKEKLNQAITGQISPEAIGDLRLQAYGEITKNIVNDTIFSQYMYKTSMSGSHLWAFKKQFAVQLAVSNFMSFILQIGGRSPNKILFAKNSGKMFQTDFHPSYDSNGMIELNEPVPFRLTRNMQSFLSHFGVEGPLMSNMCSASQAVFSSKQNEHLRYQLAMFFRDELLSWFGRRPLGVTVPPAAGIATLSSAELKHKVNSNVDDVIGRIRGIAPQYCSEEDENSVEPPQSVQRGVSELVEAALSPRNLCMMDPTWHPWF >fgenesh2_kg.7__45__AT4G38510.2 pep chromosome:v.1.0:7:125466:129671:-1 gene:fgenesh2_kg.7__45__AT4G38510.2 transcript:fgenesh2_kg.7__45__AT4G38510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1 complex, subunit B protein [Source:Projected from Arabidopsis thaliana (AT4G38510) TAIR;Acc:AT4G38510] MGAAENNLEMEEGALEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLEHQEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLASLAANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDTTN >fgenesh2_kg.7__460__AT4G36060.2 pep chromosome:v.1.0:7:2042652:2049920:-1 gene:fgenesh2_kg.7__460__AT4G36060.2 transcript:fgenesh2_kg.7__460__AT4G36060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7MBQ0] MAVSCLSIVSSNYRAEMVVEVKKEAVCSRKAEREKLHRDKLKEQFLELGKALDPNRPKSDKVSVLTDTIQMLKDVMNQVDRLKAEYATLSQASRELIQEKSELREEKATLKSDIEILNAQYQHRIRNMVPWIPHYTYPIPLVAITQGQSSFSPYSASVNPLIRQQASVQQHSSSSDASIKQDFKIKPLDLDLMRNSNHSGQGNDHKDDVGLELELKIHASSLAQQDVSGKEKKGSLTSTASSSNNYSSSQAVQDSSPGTLNDILKS >fgenesh2_kg.7__461__AT4G36050.2 pep chromosome:v.1.0:7:2050171:2053281:1 gene:fgenesh2_kg.7__461__AT4G36050.2 transcript:fgenesh2_kg.7__461__AT4G36050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7MBQ1] MKIVTYNVNGLRQRVSQFESLLKLLDSFDADIICFQETKLRRQELTADLAIADGYESFFSCTRTCEKGRTGYSGVATFCRVKSASSSCEIALPVAAEEGITGLVNSNSRGGKNEMSAVGEGLEEYEKEELLRIDQEGRCVITDHGHFVVFNVYGPRAVADDADRIEFKHRFYDILERRWECLLRQGRKVFVVGDLNIAPFAVDRCEAGPDFEKNEFRKWFRSLLVERGGSFSDVFRSKHPERKDAFTCWSSSTGAEQFNYGSRIDHILVAGSCLHQDEDKQGHSFLACHINECEILTEYKRFKNENMATRWKGGLGTKLKGSDHVPVFTSFDDLPDIPEHSTPPLASRYLPMIYGFQQTLVSVFMKKRANEEAKAIEVSCSSSSQSNASSSCGDISTGPLRNCVSMGISLEKSCSFENESTCAFTEAETVASTGSIDNTCDGIRVSSGRAVNISRDGDRKKARKIQSSQLSLKSFFTTNSKVKNGRDSSSSYVSSSPSSQAESITEPNVSSKEDGEPTTSTQEQDQSSSSAKQKNDAALMEWQRIQNLMQNSIPLCKGHKEACVARVVKKPGPTFGRRFYVCSRAEKQTVVISNGLHQNSETSKRVMRLRSTMIEY >fgenesh2_kg.7__464__AT4G36030.1 pep chromosome:v.1.0:7:2058537:2060920:1 gene:fgenesh2_kg.7__464__AT4G36030.1 transcript:fgenesh2_kg.7__464__AT4G36030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MBQ3] MGDLAKQILSRPIQLADQVVKAGDEATINKQECADIKSKTEKLAALLRQAARSSSDLYERPTRRIIDDTENVLEKALTMVQRCRDDGYIMRLFNIIPAAAFRKMISQLENSVGDVSWLLRVSTPAGNDDDEGFGYLGLPPIAANEPILCLIWEQIAVLMTGSPEDKSDAAASLASLARDNDRYVKLIVEEGGVNPLLKLLKEGKIDGQENAARTIGLLGRDPESVEHMIQLGVCSVLSSILKEGSMKVQAVVAWAVSELVSGNHAKCQELFAQNNVIRLLVSHLAFETVQEHSKYAVVAGRATSMHHAVVMASKISTSKENLPTLNEEEDDNQMGISNPMPNQMHSIVATTMAMKAVGSGSKSSLSSRFVTGDDEKPPEKMPEKSYSMSSQLKAYGSTAHQSRNASVTRGRELEDPVTKTYMKAMAARALWKLAVGNSSICRVITESRALLCFAVLLDKGDDETKYNTAMAIMEITAVAEENADLRRSAFRRTSPACKAVVDQLFRIVENADAGSDLLIPCVRSIGNLARTFKSAETHMIVPLVKLLDDGEPDLAAEVAVALAKFATEDNFLGKEHSRTIIEAGGSKLLVQLAYFGENGAQIPAMVLLSYVAMNVPDSEQLAKDEVLTVLEWSSKQANVIEDEDMEALLYEAKSRLELYQSRGSRGFHL >fgenesh2_kg.7__465__AT4G36020.1 pep chromosome:v.1.0:7:2061561:2062720:1 gene:fgenesh2_kg.7__465__AT4G36020.1 transcript:fgenesh2_kg.7__465__AT4G36020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MBQ4] MASEDQSAVRSTGKVNWFNDSKGYGFITPDDRSEELFVHQSSIVSEGYRSLAEGDSVEFAITQGSDGKTKAVEVTALGGGALKKENNSRGNGARRGGGSGCYNCGELGHIGGGSGGGERGSRREGCYNCGDAGHFARDCTQKSVGNGDQRGAAGAGKDGCYNCGDIGHFARDCGNQKVTAGSVRSGGGSGSCYTCGGVGHIARECATKRQPSRGCYQCGGSGHLARDCDQRASGGNGGGNKCYSCGKEGHFARECSV >fgenesh2_kg.7__473__AT4G35970.1 pep chromosome:v.1.0:7:2075815:2077502:-1 gene:fgenesh2_kg.7__473__AT4G35970.1 transcript:fgenesh2_kg.7__473__AT4G35970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNVDAEYLKEIEKTRRDLRALISSRNCAPIMLRLAWHDAGTYDAKKKTGGPNGSIRFKEELTRPHNKGLEKAVAFCKEVKAKHPRVSYADLYQLAGVVAVEVTGGPAIPFTPGRKDADSPDDGELPNPNEGASHLRTLFSRMGLSDRDIVALSGGHTLGRAHKERSDFEGPWTQDPLKFDNSYFVELLKGETPGLLQLKTDKALLDDLKFHPFVKLYAKDEDMFFKAYAISHKKLSELGFNPPRRIPSAVTEQTLGIAVAAAVVIFTICYEASRRGK >fgenesh2_kg.7__474__AT4G35950.1 pep chromosome:v.1.0:7:2080777:2082891:1 gene:fgenesh2_kg.7__474__AT4G35950.1 transcript:fgenesh2_kg.7__474__AT4G35950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFIDHPGAVPITTAQGEELKKLIGAPAYIECSSKSQENVKGVFDAAIRVVLQPPKQKKKKNKAQKACSIL >fgenesh2_kg.7__475__AT4G35940.1 pep chromosome:v.1.0:7:2082966:2084785:-1 gene:fgenesh2_kg.7__475__AT4G35940.1 transcript:fgenesh2_kg.7__475__AT4G35940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYVLNGTRDEAVIVSIKGAQEKAKKEQSRKERKDKKEKKEKKRKEREGKEGVSEKHSHKRRRKEEGAKDGPNQKVDRFHKLKESETNCLEKSSLTVERELLQSTSQNSCDSTLNSNEILPKQKEKQQPLDGRHNNNQKQKEKQQPLDVRHNNNNDSESIIRIRLPIRRQKDPEVMMTNKDQEKPGPSRGIKFDSSQLATREPVNQHSCSTSAPEHVSKPLEEKRKDPFFRGKLGKEKISSSATQETYQPPKSLCNCPPSMVLQFLNVVENWVPNTIERRVDLINSEDEECWWSKKKPSSPTEICKQLNRNSEIKQVSNSMGWPCARLLPEADVYALPYTVPF >fgenesh2_kg.7__477__AT4G35920.1 pep chromosome:v.1.0:7:2092310:2095648:1 gene:fgenesh2_kg.7__477__AT4G35920.1 transcript:fgenesh2_kg.7__477__AT4G35920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSWDGLGEIASVAQLTGLDAVKLIGLIVKAANTAWMHKKNCRQFAQHLKLIGNLLEQLKISEMKKYPETREPLEGLEDALRRSYLLVNSCRDRSYLYLLAMGWNIVYQFRKHQDEIDRFLKIIPLITLVDNARIRERFEYIDRDQREYTLDEEDRHVQDVILKQESTREAASVLKKTLSCSYPNLRFCEALKTENEKLQLELQRSQEHYNVAQCEVIQRLIGVTQAAAAVEPDSEKELTKKASKKSERSSSMKTEYSYDEDSPKKSSSRTASRSTSNVSSGHDLLSRRASQAQHHEEWHTDLLACCSEPSLCLKTFFFPCGTLAKIATAASNRHISSAEACNELMAYSLILSCCCYTCCVRRKLRKTLNITGGFIDDFLSHLMCCCCALVQELREVEIRGAYGTEKTKISPPSSQFMEH >fgenesh2_kg.7__481__AT4G35905.1 pep chromosome:v.1.0:7:2099197:2100347:1 gene:fgenesh2_kg.7__481__AT4G35905.1 transcript:fgenesh2_kg.7__481__AT4G35905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLNRVLLKDAGNAIDKTLSEILVCPLSKQPLRFCEKTKSLVSDTIGVSFPIKDGIPCLVPKDGKILEEEGDASKA >fgenesh2_kg.7__483__AT4G35880.1 pep chromosome:v.1.0:7:2115151:2117600:-1 gene:fgenesh2_kg.7__483__AT4G35880.1 transcript:fgenesh2_kg.7__483__AT4G35880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7MBR8] MSYCFFKTSLFLIPILLLLSFGSCNGRIFTFEMHHRFSDEVKQWSDSTGRFVKFPPKGSFEYFNALVLRDWLIRGRRLSDSESESSLTFSDGNSTSRISSLGFLHYTTVKLGTPGMRFMVALDTGSDLFWVPCDCGKCAPTEGATYASEFELSIYNPKISTTNKKVTCNNSLCAQRNQCLGTFSTCPYMVSYVSAQTSTSGILMEDVMHLTTEDKNPERVEAYVTFGCGQVQSGSFLDIAAPNGLFGLGMEKISVPSVLAREGLVADSFSMCFGHDGVGRISFGDKGSSDQEETPFNLNPSHPNYNITVTRVRVGTTLIDDEFTALFDTGTSFTYLVDPMYTTVSESFHSQAQDKRHSPDSRIPFEYCYDMSNDANASLIPSLSLTMKGNSHFTINDPIIVISTEGELVYCLAIVKSSELNIIGQNYMTGYRVVFDREKLVLAWKKFDCYDIEETNTALAGTNKTAAVAPAMAAGIKTHNNSSELHKTNQTISKSSSSSNQRFKTVKIWSLFRFVFIILPLV >fgenesh2_kg.7__484__AT4G35870.1 pep chromosome:v.1.0:7:2118084:2120788:-1 gene:fgenesh2_kg.7__484__AT4G35870.1 transcript:fgenesh2_kg.7__484__AT4G35870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDDSFSPPPSSGDLPEIPDAWYGNIQYLLNISVIGLLCCVSIFLFVKLRSDHRRMPGPSALFSKLLAVWKATCREIARHCGADAAQFLLIEGGSFVLLFSIAVLAVSVMLPLNLYAGTALLSDELSKTMITHIQKGSALLWLHFVFVVIVVVISHFGIAAIEARLKFTRFRDGNGNISDPNANSTAVFTIMVQGLPKNLGSDRVEFEECFRLKYPGKVYKIIVPMDLCALDDLATELVRVRDEITWLVAKMDSRLLPDEFENAGDNGLLSCVCALWIWVKVLWSQVTERFGFTDDEKLRKLQELRADLESQLAAYKEGRAQGAGVAFVMFKDVYTANKAVQDFRNERSRRTGKFFSVTELRLQRNQWKVDRAPLATDIYWNHLGLTKVALIVRRVIVNTILLLILVFFSSPLALISALVSAGRIFNAEALDSAQSWLAWVQTSGWIGSLIFQFLPNVFIFVSMYIVIPSALSYLSKFERHLTVSGEQRAALLKMVCFFLVNLIILKALVESSLESALLKMSRCYLDGEDCKRIEEYMSPSFLSRSCVSALAFLITSTFLGISFDLLAPIPWIKKKIQKFRKNDMLQLVPEQNEEYALENQEPSSNLETPLLPENMFESPRFGDIEPMSQDLSEYPISRTSPIPKQKFDFAQYYAFNLTIFALTMIYSSFAPLVVPVGAVYFGYRYIVDKYNFLYVYRVRGFPAGNEGKLMDTVLCIMRFCVDLYLVSMLLFFSVKGDSTKLQAIFTLGVLVMYKLLPSDTDRYHPALLRSIQTVDSIIDGPVDYEAYSHPNFDWDTYNNR >fgenesh2_kg.7__486__AT4G35860.1 pep chromosome:v.1.0:7:2150621:2152625:1 gene:fgenesh2_kg.7__486__AT4G35860.1 transcript:fgenesh2_kg.7__486__AT4G35860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding 2 [Source:UniProtKB/TrEMBL;Acc:D7MBS2] MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVTVDGRPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANPNMSIMLIGNKCDLAHKRAVSKEEGEQFAKEHGLLFLEASARTAQNVEEAFIKTAAKILQNIQDGVFDVSNESSGIKVGYGRTQGAAGGRDGTISQGGGCCG >fgenesh2_kg.7__488__AT4G35840.1 pep chromosome:v.1.0:7:2158611:2160120:-1 gene:fgenesh2_kg.7__488__AT4G35840.1 transcript:fgenesh2_kg.7__488__AT4G35840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MBS4] MASSRFQSGFCPISSCPSLGNFVERIKDACRFTLSAVLGTILSAILTFFFALVGTLLGALTGALIGQETESGFIRGAAVGAISGAVFSIEVFESSLVLWKSNESRFGCLLYLIDVIVSLISGRLVRERIGPAMLSAVQSQMGAVDTTFEELSSIFDTGGSKGLTGDLVDKIPKIKITGKNNLDASGNKDSCSVCLQDFQLGETVRSLPHCHHMFHLPCIDNWLFRHGSCPMCRRDL >fgenesh2_kg.7__493__AT4G35800.1 pep chromosome:v.1.0:7:2174483:2181727:1 gene:fgenesh2_kg.7__493__AT4G35800.1 transcript:fgenesh2_kg.7__493__AT4G35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:D7MC36] MDTRFPFSPAEVSKVRVVQFGILSPDEIRQMSVIHVEHSETTEKGKPKVGGLSDIRLGTIDRKVKCETCMANMAECPGHFGHLELAKPMYHVGFMKTVLSIMRCVCFNCSKILADEVCRNLSRQAMKIKNPKNRLKKILDACKNKTKCEGGDDIDDVQNQDTDEPVKKSRGGCGAQQPKLTIEGMKMIAEYKIPRKKNDEPDQLPEPAERKQTLGADRVLSVLKRISDEDCQLLGFNPKFARPDWMILEVLPIPPPPVRPSVMMDATSRSEDDLTHQLAMIIRHNENLKRQEKNGAPAHIISEFTQLLQFHIATYFDNELPGQPRATQKSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDELGVPWSIALNLTYPETVTPYNIERLQELVDYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDQHLELGYKVERHLQDGDFVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQANRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNTLMWWEDFDGKVPAPAILKPRPLWTGKQVFNLIIPKQINLLRYSAWHADTETGFITPGDTQVRIERGELLAGTLCKKTLGTSNGSLVHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFTIGIGDTIADSSTMEKINETISNAKTAVKDLIRQFQEKKLDPEPGRTMTETFENRVNQVLNKARDDAGSSAQKSLAETNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFDGRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKSEFDRTFKYEIDDENWNPTYLSDEHLEDLKGIRELRDVFDAEYSKLETDRFQLGTEIATNGDSTWPLPVNIKRHIWNAQKTFKIDLRKISDMHPVEIVDAVDKLQERLLVVPGDDALSVEAQKNATLFFNILLRSTLASKRVLEEYKLSREAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKRIKTPSLSVYLTPEASKSKEGAKTVQCALEYTTLRSVTQATEVWYDPDPMSTIIEEDFEFVRSYYEMPDEDVSPDKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAQKLILRIRIMNDEGPKGELQDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKQVRKSRFDEEGGFKTSEEWMLDTEGVNLLAVMCHEDVDPKRTTSNHLIEIIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAAYAETDCLRGVTENIMLGQLAPIGTGDCELYLNDEMLKNAIELQLPSYMDGLEFGMTPARSPVSGTPYHEGMMSPNYLLSPNMRLSPMSDAQFSPYVGGMAFSPSSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYGPTSPSYNPQSAKYSPSIAYSPSNARLSPASPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYSSGASPDYSPSAGYSPTLPGYSPSSTGQYTPHEGEKNAKTGKDVSKDDKSNP >fgenesh2_kg.7__496__AT4G35790.1 pep chromosome:v.1.0:7:2182232:2186836:1 gene:fgenesh2_kg.7__496__AT4G35790.1 transcript:fgenesh2_kg.7__496__AT4G35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:D7MC37] MAEKASEDVMLLHGDLDLKIVKARRLPNMDMFSEHLRRVFTACNACARPTDDVDPRDGGEVGDKNIRSHRKVITSDPYVTVVVPQATLARTRVLKNSQDPLWDEHFNISVAHPLAYLEFQVKDDDVFGAQIIGTAKIPVRDIASGERISGWFPILGASGKPPKAETALFIDMKFTPFDQIHSYRCGIAGDPDRKGVKRTYFPLRKGSQVRLYQDAHVMDGMLPEIGLDNGKVYQHGKCWEDICYAVSEAHHMIYVVGWSVFHKIKLVREPTRKLPRGGDLTLGELLKYKSEEGVRVLLLVWDDKTSHDKFGISTPGVMGTHDEETRKFFKHSSVICVLSPRYASSKLGLFKQQASPIFSIYMVMTVVGTLFTHHQKCVLVDTQAVGNNRKVTAFIGGLDLCDGRYDTPEHRILKDLDTVFKDDFHNPTFPAGTKAPRQPWHDLHCRIDGPAAYDVLINFEQRWRKATRWKEFSLRLKGKTHWQDDALIRIGRISWILSPVFKFLKDGTTIIPEDDPCVWVSKEDDPENWHVQIFRSIDSGSVKGFPKYEDEAEAQHLECAKRLVVDKSIQTAYIQTIRSAQHFIYIENQYFLGSSYAWPSYRDAGADNLIPMELALKIVSKIRAKERFAVYVVIPLWPEGDPKSGPVQEILYWQSQTMQMMYDVIAKELKAVQSDAHPLDYLNFYCLGKREKLPDDMPATNGNVVSDSYKFQRFMIYVHAKGMVVDDEYVLMGSANINQRSMAGTKDTEIAMGAYQPNHTWAHKGKHPRGQVYGYRMSLWAEHLGKTGDEFVEPADLECVKNVNKISEGNWKKFIDSEFKELQGHLIKYPLQVDVDGKVSPLPDYESFPDVGGKIIGAHSMALPDTLTT >fgenesh2_kg.7__499__AT4G35780.1 pep chromosome:v.1.0:7:2191696:2195499:1 gene:fgenesh2_kg.7__499__AT4G35780.1 transcript:fgenesh2_kg.7__499__AT4G35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MC41] MAIKEETEESCGSRAVVASITKESPRQHRMKLEVYGEVLQRIQESNYEEANFPGFDDHLWLHFNRLPARYALDVNVERAEDVLTHQRLLKLAEDPSTRPVFEVRCVQVSPTLNGNSGDVDPSDPAVKEDAQSSYNSRALAPPTFGSSPNFEALTQAFKDHAQDDDSAVNAHLPNSRPMHEITFSTIDRPKLLSQLTSMLGELGLNIQEAHAFSTADGFSLDVFVVDGWSQEETEGLKDALTKEIRKLKDQPCSKQKSITFFEHDKSTNELLPACVEIPTDGTDEWEIDMKQLKIEKKVACGSYGELFRGTYCSQEVAIKILKPERVNAEMLREFSQEVYIMRKVRHKNVVQFIGACTRSPNLCIVTEFMTRGSIYDFLHKHKGVFKIQSLLKVALDVSKGMNYLHQNNIIHRDLKTANLLMDEHEVVKVADFGVARVQTESGVMTAETGTYRWMAPEVIEHKPYDHRADVFSYAIVLWELLTGELPYSYLTPLQAAVGVVQKGLRPKIPKETHPKLTELLEKCWQQDPAQRPNFAEIIEMLNQLIREVGDDERHKDKHGGYFSDLKKGHR >fgenesh2_kg.7__49__AT4G38520.2 pep chromosome:v.1.0:7:131087:133464:-1 gene:fgenesh2_kg.7__49__AT4G38520.2 transcript:fgenesh2_kg.7__49__AT4G38520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein [Source:UniProtKB/TrEMBL;Acc:D7MEZ6] MLSGLMNFLNACLWPRSDQQARSPSDSGGRQEGLLWYRDSGQHVFGDFSMAVVQANSLLEDQSQLESGSLSSHDSGPYGTFVGVYDGHGGPETSRFINDHMFHHLKRFTAEQQCMSSEVIKKAFQATEEGFLSIVTNQFQTRPQIATVGSCCLVSVICDGKLYVANAGDSRAVLGQVMRVTGEAHATQLSAEHNASIESVRRELQALHPDHPDIVVLKHNVWRVKGIIQVSRSIGDVYLKRSEFNREPLYAKFRLRSPFSKPLLSAEPAITVHTLEPHDQFIICASDGLWEHMSNQEAVDIVQNHPRNGIAKRLVKVALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFFDTNLVSRGSLLRGAAVSVRGAGVNLPHNTLAPCTTPTQAAAGGS >fgenesh2_kg.7__500__AT4G35770.1 pep chromosome:v.1.0:7:2195571:2196653:-1 gene:fgenesh2_kg.7__500__AT4G35770.1 transcript:fgenesh2_kg.7__500__AT4G35770.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTAFNTTSRIGSWSSAISRPLQTCGSFKCQLPTRRGVIVAHLRNSNFRWRKAATTTSRGNVSTEAVKIPTSVPVRVARELAQAGYRYLDVRTPDEFSIGHPTRAINVPYMYRVGSGMVKNPSFLRQVSSHFRKHDEIIIGCESGQMSFMASTDLLTAGFTAITDIAGGYVAWTENELPVEE >fgenesh2_kg.7__503__AT4G35760.1 pep chromosome:v.1.0:7:2196928:2199360:1 gene:fgenesh2_kg.7__503__AT4G35760.1 transcript:fgenesh2_kg.7__503__AT4G35760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVSVSSCQFHFGFREVSPPSVTFYRRRFEVSDRRFPSISIKCSSSDPENGEDSAPSLSSSSSSSTSEVSTSDSSTYNWYTGIGGIGMLDTAYLTYLKVTGSDAFCPIGGGTCGDVLNSDYAVVFGVPLPVIGFVMYGLVTALSAELGEGNLPFGISKTNGRFALFGITTAMASASAYFLYILSTKLSGSSCLYCLVSAFLSFSLFFLSVKDVKLQEIQQVVGLQICLAIIVVASLTASYSTAQPIPSRSGDIELPYFRTEISSTSSPYAIALAKHLNSIGAKMYGAFWCSHCLEQKEMFGREAAKQLNYVECFPDGYKKGTKILKACADAGIEGFPTWIINDQVLSGEIELAELAEMSGFSLDQANAANQLQ >fgenesh2_kg.7__504__AT4G35750.1 pep chromosome:v.1.0:7:2199863:2201225:1 gene:fgenesh2_kg.7__504__AT4G35750.1 transcript:fgenesh2_kg.7__504__AT4G35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQISEIEQEQLIEKLEIFKIHGRDKRGRKILRIIGKFFPARFLSLDVLKKYLEEKIFPRLGRKPFAVLYVHTGVQRSENFPGISALRAIYDAIPVNVRDNLQEVYFLHPGLQSRLFLATCGRFLFSGGLYGKLRYISRVDYLWEHVRRNEIEMPEFVYDHDDDLEYRPMMDYGQESDHARVFAGATVDSSVSSFSMRCIS >fgenesh2_kg.7__506__AT4G35740.1 pep chromosome:v.1.0:7:2201397:2205686:-1 gene:fgenesh2_kg.7__506__AT4G35740.1 transcript:fgenesh2_kg.7__506__AT4G35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSPLPVQNVHSSDKKVAGKEALVKLLRWHFGHADFRGKQLEAIQAVVSGRDCFCLMPTGGGKSICYQIPALAKPGIVLVVSPLIESLFLLVSLTMCFVSTLMLSCFFFNFSALMENQVMALKEKGIAAEYLSSTQATHVKNKIHEDLDSGKPSVRLLYVTPELIATKGFMLKLRKLHSRGLLNLIAIDEAHCISSWGHDFRPSYRQLSTLRDSLADVPVLALTATAAPKVQKDVIDSLNLQNPLVLKSSFNRPNIFYEVRYKDLLDNAYTDLGNLLKSCGNICAIIYCLERTTCDDLSVHLSSIGISSSAYHAGLNSKLRSTVLDDWLSSKKQIIVATVAFGSMGHVGIDKKDVRMVCHFNIPKSMESFYQESGRAGRDQLPSRSVLYYGVDDRKKMEYLLRNSENKKSPSSKKPTSDFEQIVTYCEGSGCRRKKILESFGEEFPVQHCKKTCDACKYPNQVAHCLEELMTTASRRHNSSRIFITSSNNKTNEGQYSEFWNRNEDGSNSDEEISDSDDATEAAKSLAGPKLSKKLGLDEKLVLLEQAEEKYYERNKQVKKSEKNAISEALRDSSKQRLLDALTRVLQLLASVEEIDSQKGSEFLENECYRKYSKAGKTFYYSQIASTVRWLGTASRDELMTRLSSVVSLAREQEPLEEPLLVTEPAENTEEENDGNTNTVESWVDEPTQELVVSPILSPIRLPQVPSFSEFVNRRKMKQNRSIDKSSEGFDDKKPSKIMKLQ >fgenesh2_kg.7__507__AT4G35733.1 pep chromosome:v.1.0:7:2206022:2207101:-1 gene:fgenesh2_kg.7__507__AT4G35733.1 transcript:fgenesh2_kg.7__507__AT4G35733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVIVWSDLPGDLLDHIANGLFSKVELLRFRSICKTCRSAVATNKSFLDHLKRNRRRLLSPYSTGKTCSLSPAAFYRVVLSSYPDKGWLIKIQDVYVSSQKQLLSPLSRFSIKSSGQTLDLLEFTVTEMHQSYDVEYLYNSTRTSFNFARVVLLEDLVFVVDYYKKIWWCNSNESDNQWARVMDEEVKLFSDIVFHKGYMYALDLKGAVWWISLSEFEIFQYGPSTPLDYYDIDTCKDKRFVEYCGDLCIVHRFCRKFRLKRVDIDITVGFKVYKMDEELVEYVEVKSLGDKAFVMATDSCFTVLAREYYGCLENSIYFTDEEERNNVKVFKLGDGSITKMVDSSFQSCFQMLIPPLV >fgenesh2_kg.7__508__AT4G35730.1 pep chromosome:v.1.0:7:2208131:2210501:-1 gene:fgenesh2_kg.7__508__AT4G35730.1 transcript:fgenesh2_kg.7__508__AT4G35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAEVASAQTKKLMKFSLSLFRRGFNSSKCKTAAKMAVARIKLLRNKRLVVVKQMRRDIAVLLQSGQDATARIRVEHVIREQNIQAANEIIELFCELIVARLTIITKQKQCPVDLKEGIASLIFAAPRCSEIPELGDLRDIFEKKYGKDFVSAATDLRPSCGVNRMLIDKLSVRNPGGECKLKIMKEIAKEFQVDWDTTETEQELLKPQEESIDGPCKFVSASSLPVNREAINEPFDPTKAVPRSTSSMSINTHYHDTESAAEAATELAKQAVAAAQVASLLATRRDSTKEFSVSSDHSTNQKDSQYMDHYHHHLGSRRESRDSETSSYYAKPSAENRGMGIRHSYNNPGINESDYEGDYTKTKAEAKETMRRRHSYNSRSVPPPATSEIKFDESDYYEEETEPEEPSHGRVSSLPPNREPPQAPQSGESRRDSSGHHVHPKLPDYDILAARFEAIRHSKGPLI >fgenesh2_kg.7__509__AT4G35720.1 pep chromosome:v.1.0:7:2212062:2213260:-1 gene:fgenesh2_kg.7__509__AT4G35720.1 transcript:fgenesh2_kg.7__509__AT4G35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMSSLSPRLHKAYKARCVSLPVRSHPSVRRIQEVVSKVRALGSSSLDSRTMVRDGLSGLTELYRCLSEDLFKSSSETQQALLNGDGLMEELLEVSLKYLEVCGGAKDAASRIKKIVVELQSALRRSKKGGEFSLESDVDAYVASRKEIKQEIKKYMVMSKETDASLESVWCDGDDQEMSALVRVMQETSVMTCFVLRSVFSFLSSPKGLKTKNHHHRKGWGIVMKLVKKGMDHHHQEKRDHETGFSCLVLEAMESELGKLVVMTTREDQEEEKKISEEVSEKVQCTLVRSEGVEAAMEELEEGLEGLFKVMIQARVSLLNILST >fgenesh2_kg.7__50__AT4G38530.1 pep chromosome:v.1.0:7:138567:140940:1 gene:fgenesh2_kg.7__50__AT4G38530.1 transcript:fgenesh2_kg.7__50__AT4G38530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:D7MEZ8] MSESFKVCFCCSRSFKEKTREPPVSIKRLFEAYSRNGKMSFDELLRFVSEVQGERHAGLDYVQDIFHSVKHHNIFHHHGLVHLNAFYRYLFSDTNSPLPMSGQVHHDMKAPLSHYFVYTGHNSYLTGNQVNSRSSVEPIVQALRKGVKVIELDLWPNPSGNAAEVRHGRTLTSHEDLQKCLNAIKDNAFYVSDYPVMITLEDHLPPNLQAQVARMLTKTFRGMLFRCGSESWKHFPSPEELKKKILISTKPPKEYLESKTVQTTRTPMVKETSWSRVASSTNMARGGENKILEEKGELESEAVGGYRDLIAIHAANCKDPLKDCLSDDPEKPRRVSMDEQWLETMVRTRGTDLVRFTQRNLVRIYPKGTRVDSSNYDPHVGWTHGAQMVAFNMQGHGKQLWIMQGMFRANGGCGYVKKPRILLDEHTLFDPCKRLPIKTTLKVKVYTGEGWDLDFHQTHFDQYSPPDFFVKIGIAGVPRDTVSYRTETAVDQWFPIWSNDEFLFQLCVPELALLWFKVQDYDNDTQNDFAGQTCLPLPELKSGVRAVRLHDRAGKAYKNTRLLVSFALDPPYTFR >fgenesh2_kg.7__510__AT4G35710.1 pep chromosome:v.1.0:7:2214941:2215783:-1 gene:fgenesh2_kg.7__510__AT4G35710.1 transcript:fgenesh2_kg.7__510__AT4G35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMIIKKQLRSISLPSRSHPSTSGLEDALNKIKTITTGSSESILMGLAGLEELYNCMEDFLKMGSTQQVMSSGGSEFMEEMLDGSLRLMDICSVSRDLMVDTHEHVRGVQSCVRRKKVAGGGGDKLDVAVSSYVGFRKNMRKEAKKLLGSLKKINGGSRSCDNDHEDEHLVAVIDAMRGVISVSVVVLKSFLEFLSRPKSNIKSKLASVLKKKKDNHEATKNELETLDSAICGEISSLDDLQKELEEVEMSISGFERNLEGLFRRLIRTRASVLNIISH >fgenesh2_kg.7__513__AT4G35660.1 pep chromosome:v.1.0:7:2240491:2241643:-1 gene:fgenesh2_kg.7__513__AT4G35660.1 transcript:fgenesh2_kg.7__513__AT4G35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSSAVTTHVPARSISLPTRLIHPKAQRVEEELKKIQALNSSSSASSRIKLGLAKLVELYDFVNEQVICSPQGQQALRLCRNGKLVEDSLDESILLLDVSDFTRDLIGTLMEQIQELQSALRRRRGNLSSVQSEIRTYISFQKKFKNAADRQLKSLARTQRKKKDPVIKQSRDLDQHSSMVSNILRQSNASTISILQSLLQFLSSSGENQKKNGEIGCVDNSMIRSFFGRIIGRKIVKDIDAQTILGKLAMVNASLEAIKDELSYLSRRLIQHRASLLNIVTL >fgenesh2_kg.7__515__AT4G35650.1 pep chromosome:v.1.0:7:2243982:2245662:-1 gene:fgenesh2_kg.7__515__AT4G35650.1 transcript:fgenesh2_kg.7__515__AT4G35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSVSMLSRLLANPPSPFTALSRSITYMPRPGDGAPRTVTLIPGDGIGPLVTGAVEQVMEAMHAPVHFERYEVLGHMRKVPEEVIESVKRNKVCLKGGLATPVGGGVSSLNMQLRKELDIFASLVNCINVPGLVTRHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIARYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVAKHYPGITYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGAEHAIFEQGASAGNVGNDKIVEQKKANPVALLLSSAMMLRHLRFPTFADRLETAVKQVIQEGKYRTKDLGGDCTTQEVVDAVIAALE >fgenesh2_kg.7__517__AT4G35630.1 pep chromosome:v.1.0:7:2252853:2254521:-1 gene:fgenesh2_kg.7__517__AT4G35630.1 transcript:fgenesh2_kg.7__517__AT4G35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoserine aminotransferase [Source:UniProtKB/TrEMBL;Acc:D7MCE6] MAASTNSFLVGNNTQIPALKPKSTSQSLLHLSKPNTVNFAGKIKPVAVRCVASTTQVQDGVRSGSVGSQERVFNFAAGPATLPENVLLKAQADLYNWRGSGMSVMEMSHRGKEFLSIIQKAESDLRQLLEIPQEYSVLFLQGGATTQFAALPLNLCKSDDTVDFVVTGSWGDKAVKEAKKYCKTNVIWSGKSEKYTKVPSFEELEQTPDAKYLHICANETIHGVEFKDYPVPKNPDGFLVADMSSNFCSKPVDVSKFGVIYGGAQKNVGPSGVTIVIIRKDLIGNARDITPVMLDYKIHDENSSLYNTPPCFGIYMCGLVFEDLLEQGGLKEVERKNQRKADLLYNAIEESNGFFRCPVEKSVRSLMNVPFTLEKSELEAEFIKEAAKEKMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKDFQAKHA >fgenesh2_kg.7__518__AT4G35620.1 pep chromosome:v.1.0:7:2254660:2257019:-1 gene:fgenesh2_kg.7__518__AT4G35620.1 transcript:fgenesh2_kg.7__518__AT4G35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCB2_2 [Source:UniProtKB/TrEMBL;Acc:D7MCE7] MVNPEERNRNLVVKPITEILQDDDTRSRKFGLEVKRQNRRALSVINQNLVGAKAYPCVVNKRRGLSERKQESCEKKKLDSLHPSVSRSQEETKKLKPSGNEFGDCIFIDEEEEKNEEATLDQPMPMSLEKPYNESDPMEEEVEMEDIEEEEEEEPILDIDDYDANNSLAAVEYVSDLYEFYRKTERFSCVPLDYMAQQFDITDKMRAILIDWLIEVHDKFELMNETLFLTVNLIDRFLSKQAVARKKLQLVGLVALLLACKYEEVSVPIVEDLVVISDKAYMRNEVLEMEKIMLSTLQFNMSLPTQYPFLKRFLKAAQSDKKLEILASFLIELALVDYEMVRYPPSLLAATAVYTAQCTIHGFSEWNSTCEFHSHYSENQLIECCRRMVRLHQKAGTDKLTGIHRKYSSSKFGYIATKYEAAHFLVSDSH >fgenesh2_kg.7__519__AT4G35600.1 pep chromosome:v.1.0:7:2260958:2263568:-1 gene:fgenesh2_kg.7__519__AT4G35600.1 transcript:fgenesh2_kg.7__519__AT4G35600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Connexin 32 [Source:UniProtKB/TrEMBL;Acc:D7MCE9] MGLCISFFSSSSPSTTGLHSHATTNNHSNGTDFSSSTTTTGATTSSSVGQRSQFSDISGRIISDSGKLLESPNLKVYSFLDLKTATKNFKPDSMLGQGGFGKVYRGWVDATTLAPSRVGSGMIVAIKRLNSESVQGFAEWRSEVNFLGMLSHQNLVKLLGYCREDKELLLVYEFMPKGSLESHLFRRNDPFPWDLRIKIVIGAARGLAFLHSLQREVIYRDFKASNILLDSNYEAKLSDFGLAKLGPADEKSHVTTRIMGTYGYAAPEYMATGHLYVKSDVFAFGVVLLEIMTGQTAHNTKRPRGQESLVDWLRPELSSKHRVKQIMDKGIKGQYTTQVATELARITFSCIEPDPKNRPHMKEVVDVLEHIQRLNVVPNRSSTKQAVSSSSRSSPHHYHYRAGGIGAERKRATAGRFGVEK >fgenesh2_kg.7__520__AT4G35590.1 pep chromosome:v.1.0:7:2271462:2273351:-1 gene:fgenesh2_kg.7__520__AT4G35590.1 transcript:fgenesh2_kg.7__520__AT4G35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWP-RK domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MCF1] MAHSLTSLAVFQSVTRKEMMRSLHVYGSVEIEREFLFKSKSCYVEKKAKPLFRSEDSRRPEISEGSVFGTWRCIFVFRFTHSLPRFPTLLCLSRNPKLEDIPNLANELKFISELNTKKPSKISEEEQISEDEQCSTDEYYNSDLPKPRKLVLKQDLNCLPDSETEHSESENEKTEHSESDAKSEILERKKMRTTSRHVAELSLEDLSKYFGLTIVEASRNLNVGLTVLKKKCREFGIPRWPHRKIKSLDSLIQDLQREAEKQQEKNEAAAMAVAKKQEKLETEKRNIVMRPFMEIQTETKKFRQDNFKKRHRASRAKKNQESLVTSSST >fgenesh2_kg.7__521__AT4G35580.1 pep chromosome:v.1.0:7:2276840:2279138:1 gene:fgenesh2_kg.7__521__AT4G35580.1 transcript:fgenesh2_kg.7__521__AT4G35580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC transcription factor-like 9 [Source:Projected from Arabidopsis thaliana (AT4G35580) TAIR;Acc:AT4G35580] MGAVSMESLPLGFRFRPTDEELVNHYLRLKINGRHSDVRVIPDIDVCKWEPWDLPALSVIKTDDPEWFFFCPRDRKYPNGHRSNRATDSGYWKATGKDRSIKSKKTLIGMKKTLVFYRGRAPKGERTNWIMHEYRPTLKDLDGTSPGQSPYVLCRLFHKLDDRVNGVKSDEAAPTTCNKYSPDDTSSDLVQETPSSDAAVEKPSDYSGGCGYAQSNAADGAMIEEAPEENLWLPCDLEDQRAPLPRMDYTYAGDFSYDEIGFQFQDGTSEPDVSLTELLEEVFNNPDDFSCEESVSRENTVAPNGIFSSSKILQSPAPEDVFFNDFMAYTDTDAEMAQLQYDSNGGASGWPRDYSDLVHPEQMLNHNTENNLTEGRGIKIRARQPQNRQSTGLINQGIAPRRIRLQLQSSSEVNQQEEVNEGHTVIPEVRETKEVAAEKSDALVKPQIKLRARGTIGQVKAERIADNEVQVQSRKRRRGKQWKVVATVMVAVMVVVGVSIWMTLVSS >fgenesh2_kg.7__523__AT4G35560.1 pep chromosome:v.1.0:7:2280918:2286471:-1 gene:fgenesh2_kg.7__523__AT4G35560.1 transcript:fgenesh2_kg.7__523__AT4G35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEIAAKKPGGSSTEGLRANDVEPRVALHYGIPSGSHVFAYDPIQKILAVSTKDGRIKLFGKDQTQALLVSEETSTSRFLEFVQNQGILLNVNAKNQIEVWDLDKKLLSHVHHFKGEITSFRVMQHTPYFYVGDSSGNVSVFKIEQDSNQVIQMEYTIPYLASNGSPVEASEDTSVVSILPQPTAESKRILLVFSSGFIALWDIKESKPILKTGVHGMVKQDAKKATCACWVCPSGSRISVGYSNGDILIWSIPSKGESSPESSAMICKLNLGYKSEKIPIASLKWVYAEGKASRVYVIGSFSNSLQVVLLNEQTETRMIKLGLHVSEPCADMEMIIADVNEQSKHKQDYLFVLGKSGRVYAYDDYMIEKYLIQSLSKSSPSLPKETVVKLPFSDSSSITVGKFLTNPSHLLNLSDEDYAQLAKDAVPFLPFQAVPKEGSRSAHFPGFTKVKNVYITGHSDGTIGVWDMTCPFLIPVLFLKEQTDQDISSRGNAALTALHYDSNSRLLVSGDHNGMVRLYRFKPEPYLTENSFIPFQGSSKKGNNHIVQSVKYVKLTGSITCIQKSQNSKHLAIGSDQGHVSLVDIEEANVIYTKQIGSVICPGIISVQFDCCSVQGFEKNVLVVAMRDSSIFALDSDTGNMIGTNMIKPKKPFKVLYMQILDGKQDTSGNGFDTSRESTVEEISIRQPSVLVCSEKAIYIYSLAHVVQGMKKVLHKKKFSSSPICSASTFYGTSGVGLTLVFTDGTVEIRSLPELSLLKQTSIRGFTYSSPKPNSLPEITISASWDGDLVMVNGDDELIVSSVLPQKDTFRLAESMSRVYKKDNAVCHEGIITSSSPREKKSMFGSVFKTKSKRATDTEPESSKETVEELSKIFATANFPWNNNVESSREINTVTRVEDEEELDIDDIDIDDHHHSQQQEKPKEQGILSGLSKQKMANRFSNFKGKLKQMAAKNEKSVVINDEKHEEKNGTTVDQIKKKYGFTSSEEMGAAKMAQSKLQDNLKKLQGISLRTTEMEDTAKSFSSTAKELLSAVEFNKQSSKP >fgenesh2_kg.7__525__AT4G35540.1 pep chromosome:v.1.0:7:2301880:2303583:-1 gene:fgenesh2_kg.7__525__AT4G35540.1 transcript:fgenesh2_kg.7__525__AT4G35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7MCZ5] MRCKGCNRSNFVRDEVTGNTHCGGCGTSREYDNYEAHLGPIGGPQGTFIRVGTIGIGSVLAYKEKKIYEANNLIEAITERLDLGYKTEAIKSMIYYITDGEFGQGEWFPILIGACCYAVVRKEGKGVLSMEEIACQVGCDLHQLGPVVKRVVDHLDLEFREIDLVGLFTKTATKSPRLTDVGREKKERITKQGTFLMNCALKWFLSTGRRPMPLVVAVLAFVAQVNGVKVKIDDLAKDARVSLTTCKTRYKELSEKLVKVAEEVGLPWAKDVTVKNVVKHSGTLFGLMETKSRKKRKLGTGNELVRTDGFCVEDIVRDCLSKESMFCYDDGDDDDRQDTMSRYFDVGGERQLSLCNNDGNISEKQLSTKYNEFVDRVRGGTLAKRSQGNNKSMWQRRSVSEMFSSEYWWKGKSELSRRVLLKDLLEKDVGLDALPPSYIKGCVAVERRREKIKAAKLRIKTIQHPSGIVSEGALSLELEHSKKKRKKGSEIDWEDLVIQTLVLHNVNEEEIEKGHYKTLVELHVFDSGEI >fgenesh2_kg.7__526__AT4G35530.1 pep chromosome:v.1.0:7:2303785:2305594:1 gene:fgenesh2_kg.7__526__AT4G35530.1 transcript:fgenesh2_kg.7__526__AT4G35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSVSNKRYTYIHESESNSTREAIDIHHVIINGGRATGYARRRGIGFFLVLLASSIYFFLGKENPVRTLSWGCLLSGFLVMLQSRKFVNKEYVIIMPTFGIQLETQYLSGKTVSRFIPVGKILKPVLVECVTPITCYWSLSLFLRGEEQLTLVFKELRPPLKMLVPIWKALCAAIGTDHQSETIAEEEHDVSS >fgenesh2_kg.7__528__AT4G35510.1 pep chromosome:v.1.0:7:2316672:2318572:1 gene:fgenesh2_kg.7__528__AT4G35510.1 transcript:fgenesh2_kg.7__528__AT4G35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MCZ9] METNPSDMNQLDSDSHLPPRKRLLAGFKKLNSNGDGSFPSDFASSSSTSNSNGSSSASTHVQTHLDNLLSSRFNNDQSPEELVEATRSAAALAVKAAKAARAIANEKALISAKAIAAAKRALELVDSFPKEAMADCKERSPKKNKQKKHVPVELLYSKGQLRDEDDDLARRLHRAIGNTYPRVLRTCSGFEENGQRYKKQKKNKTVVEGGSSSIVVTGSMNDIAGVVDSDSSYEGLEIARSNRNEVDSRLMMEKAGEENNSLVKRRGRVVKLKKLPLSICNSRNHENGTSSASPLPVAQPQEDSGAITVIPGSSSWKCQDLKAPECVKQNK >fgenesh2_kg.7__52__AT4G38540.1 pep chromosome:v.1.0:7:141184:145000:1 gene:fgenesh2_kg.7__52__AT4G38540.1 transcript:fgenesh2_kg.7__52__AT4G38540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGTPGIIIVGAGISGLSTAVGLHRLGIKSMVLESSEKLRATGFALTTYFNAWKAMEALDVAQHIRTLHDRLQGWVVGPISAGNPSKEMLFPESEEYESRCIHRKLLLEALAGELPEETIRYSSKVVHIDLSGRYKMVHLSDGTILKTKVLVGCDGVYSVVGKWLGFKNLATTARLAIRGLTHFPEGHGFGKKFFQFYGDGVRSGFVTCDHNTVYWFLTHTSTDIDEETNPEILKEFVLNKIKDLPENIKNVVETTDLDSMVMSRLKYRPPWELLWSNITKDNVCVAGDALHPMTPDIGQGGCSAMEDGVILARCLGEAIKAKNQKGETEEESYKRIEGGLKKYAGERKWRSIDLITTAYTVGFIQQSRGKWMNMLRDRFLSSYLSRTLLKKSHFDCGSLVP >fgenesh2_kg.7__530__AT4G35500.1 pep chromosome:v.1.0:7:2319653:2321885:-1 gene:fgenesh2_kg.7__530__AT4G35500.1 transcript:fgenesh2_kg.7__530__AT4G35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MD00] MSCSSSSGSEGEEEGFDAYRKGGYHAVRIGDPFSGGRYIAQRKLGWGQFSTVWLAYDTLTSNYVALKIQKSAQQFAQAALHEIEFLSAAADGDLANTKCVVRLIDHFKHTGPNGQHLCMVLEFLGDSLLRLIRYNHYKGLKINKVREICRCILTGLDYLHRELGMIHSDLKPENILLCSTIDPAKDPVRSGLTPLLEKPEGNANGGGSSMNLIEKKLKRRAKRAVAKISERRVSMVGATGEEASSKTERSLDGIDMRCKVVDFGNACWADKQFAEEIQTRQYRAPEVILKSGYSFSVDMWSFGCTAFELVTGDMLFAPKDGNGYGEDEDHLALMMELLGKMPRKIAIGGAKSKDYFDRHGDLKRIRRLKYWPLDRLLIDKYKLPEAEAKDFAEFLTPILEFAPEKRPTAQQCLEHPWMNVTTQDNADNVDAQMNNLQIKG >fgenesh2_kg.7__532__AT4G35480.1 pep chromosome:v.1.0:7:2327374:2328238:1 gene:fgenesh2_kg.7__532__AT4G35480.1 transcript:fgenesh2_kg.7__532__AT4G35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring-H2 finger A3B [Source:UniProtKB/TrEMBL;Acc:D7MD02] MTRSSRFLGTASPPPPEEILAAETDMVVILSALLCALICVAGLAAVARCAWLRRLTGVNSAAVGESPPPNKGLKKKALQALPKSTYTASASTATAADDLPCSSGGDGDSSTECAICITEFSDGDEIRILPLCSHAFHVACIDKWLTSRSSCPSCRRILVPVKCDRCGHHASTAETQIKDQPPHHQHPSQFTSAIIPAFLP >fgenesh2_kg.7__540__AT4G35420.1 pep chromosome:v.1.0:7:2345866:2347487:1 gene:fgenesh2_kg.7__540__AT4G35420.1 transcript:fgenesh2_kg.7__540__AT4G35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroflavonol 4-reductase family [Source:UniProtKB/TrEMBL;Acc:D7MD06] MDQAKAKVCVTGASGFLASWLVKRLLLEGYEVIGTVRDPGNEKKFAHLWKLEGAKERLRLVKADLMEEGSFDNAIMGCQGVFHTASPVLKPTSNPEEEILRPAIEGTLNVLRSCGKNPSLKRVVLTSSSSTVRIRDDFDPKIPLDESIWTSVELCKRFQVWYALSKTLAEQAAWKFCEENGIDLVTVLPSFLVGPSLPPDLCSTASDVLGLLKGETEKFQWHGQMGYVHIDDVARTHILVFEHEAAQGRYICSSNVISLEELVSFLSARYPSLPIPKRFEKLNRLHYAFDTSKIQSLGLKFKSLEEMFDDCIASLVEQGYLSTGLP >fgenesh2_kg.7__541__AT4G35390.1 pep chromosome:v.1.0:7:2349562:2350892:-1 gene:fgenesh2_kg.7__541__AT4G35390.1 transcript:fgenesh2_kg.7__541__AT4G35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MD08] LLGQELHLQRPEDSRTPPDQNNMELNRSEADEAKAETTPTGGAASSATASGSSSGRRPRGRPAGSKNKPKPPTIITRDSPNVLRSHVLEVTSGSDISEAVSTYATRRGCGVCIISGTGAVTNVTIRQPAAPAGGGVITLHGRFEILSLTGTALPPPAPPGAGGLTVYLAGGQGQVVGGNVAGSLIASGPVVLMAASFANAVYDRLPIEEEETPPPRATGVQQQQPEASQSSEVTGSGAQACESNLQGGNGGGGVAFYNLGMNMNNFQFSGGDIFGMSGSNGGGGGGLTRPAF >fgenesh2_kg.7__542__AT4G35380.1 pep chromosome:v.1.0:7:2363900:2370053:-1 gene:fgenesh2_kg.7__542__AT4G35380.1 transcript:fgenesh2_kg.7__542__AT4G35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSQTLGGATRCGRIIGPSLDKIIKNAAWRKHTYLVSSCKSVLDKLESLPDDFHDPSSVVSGLAASDADSVLQPFLFSLDTAYSKVVEPSLDCAFKLFSLSILRGEIQSSKQDSILFKLVNAVSKVGAIAEEPIQLAVLRVLLAAVRSPCILIRGDCLLHVVKTCYNIYLGGLSGTTQICAKSVLAQMMLVIFTRSEEDSLDVSVKTIYVNELLTFTDKSVNEGSSVYFCQGFVNEVMAAGQGSPLPPPDVIQILLQNPETETVMNPDSPSFRGYVANGEGDSETGDMSKMRQDAFLLFKNLCKLSMRFSSKENNDDQIMVRGKTLSLELLKVIIDNGGSVWRSNESFINAVKQYLCLSLLKNSAVSIMSIFQLQCAIFMSLLSKLRSVLKAEIGIFFPMIVLRVLENVLQPSYLQKMTVLNLLDKMSQDPQLIVDIFVNYDCDVESSNILERIVNGLLKTALGPPTGSSTTLSPAQDITFRNDSVKCLVNIAKAMGNWMDQQLKVNETVWPKGSQVYASMDSNAGQIDELEGTISDCDSQPDTTNPEAYDASMLEQRRAYKIELQKGISLFNRKPSKGIEFLISTKKIGSSPEEVASFLMKTAGLNGTVIGDYLGEREELPLKVMHAYVDSFNFEKKDFVEAIRFFLRGFRLPGEAQKIDRIMEKFAEHYWKCNPGSFTSADTAYVLAYSVIMLNTDAHNNMVKDKMTKADFVRNNRGIDDGNDLPEEYLGSLYDRVVKEEIKMNSDTLAPQNKQVNGLNKLLGLDGILNLVSWMQPDEKPHGANGRLIRDIQEQFQAKPEKSESVYHTVTDISILRSILEVSWGPMLAAFSVTLDQSDDRLATSLCLQGFRYAVHVTAVMGMQTQRDAFVTSMAKFTNLHCAADMKQKNVDAVKAIITIAIEDGNHLHGSWEHILTCLSRIEHLQLLGEVSPSEKRNVPTKKTEVDEKKALGFPNLKKRGSFQNPSVMAVVRGGSYDSTSLVKSVPKLVTPEQIKSFISNLNLLDQIGNFELNHVYANSQRLNSEAIVAFVKALCKVSMSELQSPTDPRVFSLTKLVETAHYNMNRIRLVWSRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLSMKFLEREELANYHFQHEFLRPFVIVMQKSSSAEIRELIVRCVSQMVLSRVSNVKSGWKNVFTVFTTAAVDERKNIVLLAFETIEKIVRDHFHCIIETEITVYADCIRCLNTFTNSKFEGDIGFNTIEFLRFCALKLAEGGLVLNEKLKNNTISALKEDSSDTQSFTELDEQVSYWVPLLTGLSKQASDPRPAIRKRSIEVLFHILMDHGHLFTRPFWTGIFSSIILPVFNNIRSKTDMLFEENVDSPSSASLDTEETTWDAETSALALQLLVDLLIKFFRSVRSQLPSVVSILIGFIKSPFQGSTGSGISVLLHLADGLARSASEDEWREIFLSLKEAASLTFAGFMKVLRTMDDIEDVETVSGQSVNKDDLDDDSLHIMSYVVSRTKKHIDVLSQIVEVVSDLYRKNQFSLSASHVDILADIFSCIASHAQQLNTDTVLRRKFKRACSVQNLTEPQLLNFENEAYKSYMMFLQDMVTCNPNVSKELDLESRLVTECAKVVKIYLKCTDPQQQQQRKPVLWVLPMESDRVEEATARTSLLVSSLEALCSLEAESLKRHVSSFFPLLVDLVRTEHCSPQVPYVLSNVLKSCIGPILA >fgenesh2_kg.7__544__AT4G35360.1 pep chromosome:v.1.0:7:2374357:2376952:1 gene:fgenesh2_kg.7__544__AT4G35360.1 transcript:fgenesh2_kg.7__544__AT4G35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSEMVALPLLPTPIESNYRACTIPYRFPSDNPRKATPTEISWIDLFSNSIPSFKERAESDTTVPDAPVRAEKFAKRYAEILEDLKKDPESHGGPPDCILLCRIRELILRELGFRDIFKKVKDEENAKAISLFPEVVRLSDAIDDEGKRIENLVRGIFAGNIFDLGSAQLAEVFSKDGMSFLASCQNLVSRPWVIDDLDNFQARWVKKPWKKAVIFVDNSGADIILGILPFAREMLRRGMQVVLAANELPSINDVTYIELAEILSKLKDENGQLMGVDTSNLLIANSGNDLPVIDLARVSQEVAYLSTDADLVILEGMGRGIETNLYAQFKCDSLKIGMVKHPEVAQFLGGRLYDCVIKYNEVQS >fgenesh2_kg.7__546__AT4G35350.1 pep chromosome:v.1.0:7:2377065:2378581:-1 gene:fgenesh2_kg.7__546__AT4G35350.1 transcript:fgenesh2_kg.7__546__AT4G35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAPSLTKFSLLVAISASALLCSALARDFSIVGYTPEQLTSTEKLLELFESWMSEHSKVYKSVEEKVHRFEVFRENLMHIDQRNNEINSYWLGLNEFADLTHEEFKGRYLGLAKPQFSRKRQPSANFRYRDITDLPKSVDWRKKGAVAPVKDQGQCGSCWAFSTVAAVEGINQITTGNLSSLSEQELIDCDTTFNSGCNGGLMDYAFQYIISTGGLHKEDDYPYLMEEGICQEQKEDVERVTISGYEDVPENDDESLVKALAHQPVSVAIEASGRDFQFYKGGVFNGQCGTDLDHGVAAVGYGSSKGSDYVIVKNSWGPRWGEKGFIRMKRNTGKPEGLCGINKMASYPTKTK >fgenesh2_kg.7__548__AT4G35320.1 pep chromosome:v.1.0:7:2382501:2383294:1 gene:fgenesh2_kg.7__548__AT4G35320.1 transcript:fgenesh2_kg.7__548__AT4G35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLQIRKPTSLPVSQRPNAAATADDEPGLIRRRLSSLSLNLSNQPAAIAARFPRSKSVSAMGEQAGSSVKEWWEWGWSWILSRKPIFIRDLELNKDEAKSIGSQNRGSIMHVFFKLRSQIRNLMGPASSDSLPLSCKYKRQR >fgenesh2_kg.7__549__AT4G35310.1 pep chromosome:v.1.0:7:2384247:2387324:-1 gene:fgenesh2_kg.7__549__AT4G35310.1 transcript:fgenesh2_kg.7__549__AT4G35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium dependent kinase 5 [Source:UniProtKB/TrEMBL;Acc:D7MD17] MGNSCRGSFKDKICEGNNNNPEDYSKTSHSDHSPNAADIIAQEFSKDNNNSKNPALVIPLGEPIMRRNPDNQAYYVLGHKTPNIRDIYTLSRKLGQGQFGTTYLCTEIASGVDYACKSISKRKLISKEDVEDVRREIQIMHHLAGHGNIVTIKGAYEDSLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLLKRYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVDNQTALDLYLLLFCNSFSGHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALKVIAESLSEEEIAGLREMFQAMDTDNSGAITFDELKAGLRKYGSTLKDTEIHDLMEAADVDNSGTIDYSEFIAATIHLNKLEREEHLVAAFQYFDKDGSGFITIDELQQACVEHGMADVFLEDIIKEVDQNNDGKIDYGEFVEMMQKGNAGVGRRTMRNSLNISMRDA >fgenesh2_kg.7__550__AT4G35300.2 pep chromosome:v.1.0:7:2390583:2393939:1 gene:fgenesh2_kg.7__550__AT4G35300.2 transcript:fgenesh2_kg.7__550__AT4G35300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAIAAAVGNLLQGWDNATIAGAVLYIKKEFNLESNPSVEGLIVAMSLIGATLITTCSGGVADWLGRRPMLILSSILYFVGSLVMLWSPNVYVLLLGRLLDGFGVGLVVTLVPIYISETAPPEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMPSPSWRLMLGVLFIPSLVFFFLTVFFLPESPRWLVSRGRMLEAKRVLQRLRGREDVSGEMALLVEGLGIGGETTIEEYIIGPADEVTDDHDIAVDKDQIKLYGAEEGLSWVARPVKGGSTMSVLSRHGSTMSKRQGSLIDPLVTLFGSVHEKMPDTGSMRSALFPHFGSMFSVGGNQPRNEDWDEENLVGEGDDYPSDHGDDSDDDLHSPLISRQTTSMEKDMPHTAHGTLSNFRHGSQVQGAQGEGTGSMGIGGGWQVAWKWTEREDESGQKEGGFKRIYLHQEGFTGSRRGSIVSLPGGDGTGEAEFVQASALVSQPALYSKDLLKEHSIGPAMMHPSETAKGSIWHDLHDPGVKRALVVGVGLQILQQFSGINGVLYYTPQILEQAGVGILLSNMGISSSSASLLISALTTFVMLPAIAVAMRLMDLSGRRTLLLTTIPILIASLLVLVISNLVHMNSIVHAVLSTVSVVLYFCFFVMGFGPAPNILCSEIFPTRVRGICIAICALTFWVCDIIVTYSLPVLLKSIGLAGVFGMYAIVCCISWVFVFIKVPETKGMPLEVITEFFSVGARQAEAAKNE >fgenesh2_kg.7__553__AT4G35290.1 pep chromosome:v.1.0:7:2396832:2400927:-1 gene:fgenesh2_kg.7__553__AT4G35290.1 transcript:fgenesh2_kg.7__553__AT4G35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7MD21] MFWVLVLLSFIVLLGDGMISEGASRPRYVDVGAIFSLGTLHGEVTNIAMKAAEDDVNSDPLFLGGSKLRIMTYDAKRNGFLTIMGALQFMETDAVAIIGPQTSIMAHVLSHLANELSVPMLSFTALDPSLSALQFPFFVQTAPSDLFLMRAIAEMISYYGWSEVVALYNDDDNSRNGITALGDELEGRRCKISYKAVLPLDVVITSPREIIDELVKIQGMESRVIIVNTFPRTGGMIFEEAQKLGMMEKGYVWIATTWLTSLLDSVNPLPSKNAESFRGVLTLRIHTPNSRKKKDFVARWNKLSNGTVGLNVYGLYAYDTVWIIARAVKTLLDSGANISFSSDPKLTTMTGGGSLNLGALSIFDQGSQFLDYIVNTNMTGLTGQIQFLPDRSMIQPSYDIINVVDDGFRQIGYWSNHSGLSIIPPESLYNKPSNRSSSNQHLNNVTWPGGTSVTPRGWVFPNNGRRLRIGVPDRASFKEFVSRVDGSNKVQGYAIDVFEAAVKLISYPVPHEFVLFGDGLKNPNFNEFVNNVTTGLFDAVVGDIAIVTKRTRIVDFTQPYIESGLVVVAPVTKLNDTPWAFLRPFTPPMWAVTAAFFLIVGSVIWILEHRINDEFRGPPRKQIVTILWLVNSFSTMFFSHRENTVSTLGRAVLLIWLFVVLIITSSYTASLTSILTVQQLNSPIRGVDTLISSSGRVGFQVGSYAENYMIDELNIARSRLVPLGSPKEYAAALLNGTVAAIVDERPYVDLFLSDFCGFAIRGQEFTRSGWGFAFPRDSPLAIDMSTAILGLSETGQLQKIHDKWLSRSNCSNLNGSESDEDSEQLKLRSFWGLFLVCGIACFIALFIYFFRIVRDFWRHSKPEEETTVPSPESSRSKTLQTFLAYFDEKEEETKRRLKRKRNDDLSLRPSRPV >fgenesh2_kg.7__555__AT4G35270.1 pep chromosome:v.1.0:7:2409952:2413515:1 gene:fgenesh2_kg.7__555__AT4G35270.1 transcript:fgenesh2_kg.7__555__AT4G35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWP-RK domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MD23] MEGGRGGGDGNFLPNSNFGAFSESAMDMDFMDELFFDGCWLETTDGKSFKQTMGQPVSDSTIMNDNNNNSYLYGYQYTENLSQDHISNEETGRKFPPITPSFLKIEDLSNQLPFDEAAVMSSAQAEKLLLEESEGGRRYWIAPRTSQGPSSSVKDRLVQAIKGLNEAVQDKDFLIQIWVPIQQEGKNFLTTLEQPHFFNPKYSSLKRYRDVSVAYNFLADEDSKESVGLPGRVFLGKLPEWTPDVRFFRSEEYPRIKEAEKCDVRGSLALPVFERGSGICLGVVEIVTTTQKMNYRPELDNICKALESVNLRSSRSLNPPSREVCQNGLINQTLTSSIHRNNDIVFLNVIIPFCFSFCRLYDLPLALTWAPCARQGKVGSRHSDENFSECVSTVDDACIVPDHQSRHFLEACSEHHLLQGEGIVGKAFKATKLFFVPEVTTFSKTNYPLAHHAKISGLHAALAVPLKNKFNSSVEFVLEFFFPKACLDTEAQQEMLKSLSATLQQDFRSLNLFIDKELELEVVFPVREEVVFAENPLLNAGTGENMKPLPLEDMSQEDSSWISHMIKANEKGKGVSLSWEYQKEEPKEEFMLTSGWDNNQIGSGHNNFLSEAEQFQKVSNSGLRIDMDPSFESASFGVVGQTLLGSRRPGEKRRTKTEKTIGLEVLRQYFAGSLKDAAKSIGGCPTTLKRICRQHGITRWPSRKIKKVGHSLKKLQLVIDSVQGVQGSIQLDSFYTSFPELSSPHMSGTGTSFKNLNAQTENGGSAQGTAAAPKSPPSSSCSHSSGSSTCCSTGANQSTNTGNTSNTVTTLMAENASAILKRARSEVRLHTMNQDETKSLSRTLSHKTFSEHPLFENPPRLPENSSRKLKAGGASKVKATFGEAKVRFTLLPTWGFRELQHEIARRFNIDNIAPFDLKYLDDDKEWVLLTCEADLEECIDIYRSSQSRTIKISVHEASQVKLGGSFGSIGLGPSL >fgenesh2_kg.7__557__AT4G35250.1 pep chromosome:v.1.0:7:2422168:2424186:1 gene:fgenesh2_kg.7__557__AT4G35250.1 transcript:fgenesh2_kg.7__557__AT4G35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLPTQLVTRGTLDYHNSSPSSATAASSGRLSWRRSLTPENSISLFPSSSSSSLNRERLIVVPVTCSAAAVNLAPGTPVRPTSILVVGATGTLGRQIVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTVIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKYCTEKFLQESGLNHITIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTLIALRNDKINGKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVSVLRVTRQLTRFFQWTNDVADRLAFSEVLSSDTVFSVPMTETNSLLGVDQKDMVTLEKYLQDYFSNILKKLKGLKAQSKQSDIYF >fgenesh2_kg.7__561__AT4G35220.1 pep chromosome:v.1.0:7:2444225:2445974:-1 gene:fgenesh2_kg.7__561__AT4G35220.1 transcript:fgenesh2_kg.7__561__AT4G35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclase family protein [Source:UniProtKB/TrEMBL;Acc:D7MD29] MAVPPLFLLLTLLSLPSLLISASASDAYPSIPGTAPIDGGFTDELKPIRREVYGHGKIYDISHRYTPDMPSWDSSEGLGRFLWLAASMKNGSLANNSEMKIPTHTGTHVDSPGHVYDNYYDAGFDVDSLDLQVLNGLALLVDVPKDKNITAEVMKSLHIPKGVSRVLFRTLNTDRRLMFKKEFDTSYVGFMKDGAQWLVDNTDIKLVGIDYLSVAAYDDLIPSHLVFLKDRETILVEGLKLDGVKAGLYSVHCLPLRLVGAEGSPIRCILID >fgenesh2_kg.7__562__AT4G35210.1 pep chromosome:v.1.0:7:2446354:2447124:-1 gene:fgenesh2_kg.7__562__AT4G35210.1 transcript:fgenesh2_kg.7__562__AT4G35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carrier [Source:UniProtKB/TrEMBL;Acc:D7MD30] MTVSFHVRSSSYPSRQHPQAAHVDEQLTRLRSSETASSSSIRQRLSNLQDLHDSLEKMIRLSVTNHALSQDQIEKFLDGSIKILDLCNVAKDALSQMKEGLMEIQSILRRKRGDLSAEVKKYLASRKFLKKSFQKACGKWPLVSKMMSQSKVTCEADANEFTRVDMEFQSEKSLQMEDVQNLESCIQDLEDGIGSLSKSLIKYRVSILNI >fgenesh2_kg.7__565__AT4G35180.1 pep chromosome:v.1.0:7:2462514:2464528:1 gene:fgenesh2_kg.7__565__AT4G35180.1 transcript:fgenesh2_kg.7__565__AT4G35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALAKLFDLESQESGGSPLFMSPAPSTDPQPISGDKNGGDGGRIPVEEWLPITESRKGNVYTATFHLLCSGIGLQVILLPAAFAALGWVWGTIILTVGFVWKLYTTWLLVHLHEAVPGIRMSRYVRLAIHSFGAKLGKLLGIFPVMYLSGGACTILVITGGKSLQQLLQIMSEDNIAPLTSVQCFLVFSCIAMIMSQFPNLNSLFGVSLIGAFMGVAYCTVIWILPVTSDSQKTQVSVSYATADKSFVHIFNAIGLIALVYRGNNLVLEIQGTLPSDSKNPSSKTMWRAVMISHALVAICMFPLTFVVYWAYGDKIPATGGPVGNYLKLYTQEHSKRAACFIHLTFIFSCLCSYPINLMPACDNIEMVYITKKQKPASIVVRMMLRVFLSLVCFSIAVGFPFLPYLAVLIGAIALLVTFTYPCFMWISIKKPQRKSPMWLFNVLVGCLGASLSVLLLVASAMRLAQKGLHANFFSP >fgenesh2_kg.7__566__AT4G35170.1 pep chromosome:v.1.0:7:2464594:2466907:-1 gene:fgenesh2_kg.7__566__AT4G35170.1 transcript:fgenesh2_kg.7__566__AT4G35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWPARSSPQKTRKPVYVVHSPPNTDVDKLSTGSGFSPFGSPLNDQGQVSHFRHDSVAESSSNPRSSGPLRNEYRSVQVHDLDRRIHKDEDYDEMDGPDDEKRRRVMRFYSFLLFTLVLAFNLFCLILWGVSKSFSPIVTLKEMVLESLNVQSGNDQSGVVTDMLTLNSTVRILYRNPATFFTVHVTSSPLQLSYSQLILASGQMEKFSQRRKSERIIETKVLGNQIPLYGGIPALYAQRAEPDQVVLPLNLTFTLQARAYVLGRLVKTKCHSNIKCSITFYGDKLGKTLDLSKSCSDH >fgenesh2_kg.7__56__AT4G38570.1 pep chromosome:v.1.0:7:151647:153227:-1 gene:fgenesh2_kg.7__56__AT4G38570.1 transcript:fgenesh2_kg.7__56__AT4G38570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--inositol 3-phosphatidyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MF02] MATLSVYLYIPNIVGYIIVLLNCIAFSVCFSNKTLFSLLYFFSFCCDAVDGWCARKFNQDCETSTTVYCCSTTLFFFFRYIYTREVSTACLLVILSQIYRPSLVFLSLLALDFASHWLQMYRIIASHKDVKDSTSWLFRLYYGNRMFMGYCCVSCEVLYIILLLIAKNQTENLMNVVVKSLMQISPLSLLLALSIFGWSIKQIINVIQMKTAADVCVLYDIEKQHKKP >fgenesh2_kg.7__570__AT4G35150.1 pep chromosome:v.1.0:7:2475406:2476900:1 gene:fgenesh2_kg.7__570__AT4G35150.1 transcript:fgenesh2_kg.7__570__AT4G35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKRNLLDESFFRYMACAIDLKIPEAIENHPSSQPVTLTERSSAVSASPSHLRRIMRFLVHQGLFKEVPTKDGLATGYTNTPLSRRSFASLGNKSRDACSMCTVRICAGACHGLFDGVATVVDVGGGTGDTMGILVKEFPWIKGFNFDLPHVIEVAQVLDGVENVEGDMFDSIPACDAVIIKWVLHDWGDKDCIKILKNCKEAVPPNVGKLLIVECVIGEKKNTMVVEERDDKLEHVRLQLDMVMMVHTSTGKERTLKEWDFVLKEAGFARYEVRDIDDIQSLR >fgenesh2_kg.7__571__AT4G35140.1 pep chromosome:v.1.0:7:2477536:2480153:1 gene:fgenesh2_kg.7__571__AT4G35140.1 transcript:fgenesh2_kg.7__571__AT4G35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7MDF2] MSEKAKKGRLNFNGLHHPVVDFWRREVGGISSRNFSNRFSASENLVLRLEIYKKLEKHKGCVNTVSFNAEGDVLISGSDDRRVVLWDWQLGNVKLSFHSGHANNVFQAKFMPFSDDRTIVTCAADGMVRRASILEGDKVETSFLGLHQGRAHKLCIEPGNPHIFYTCGEDGLVQRFDLRTEAPTELFTCQSVDPRRRNMEAIQLNAIAIDPRNSNLFAVGGMDVYARLYDVRRFQGDGSNGFTRAADHFCPPHLIGNEEVGITGLAFSEQSELLVSYNDEFIYLFTPDMGLGSNPIPSSPISKSSVSKSESASSPKDENEHSVPLVYKGHKNSETVKGVNFFGPRSEYVVSGSDCGRIFIWRKKGGELIRVMEADRHVVNCIEPHPHIPVLASSGIESDIKVWTSKAAERATLPENIELRKRTPRGWMYRVSSPQELLAQLFSLQNRSSSSPEREGESSSATGRELLDLILTFNDQSDDATDDEDGNSHEDFFS >fgenesh2_kg.7__576__AT4G35100.1 pep chromosome:v.1.0:7:2496925:2498535:-1 gene:fgenesh2_kg.7__576__AT4G35100.1 transcript:fgenesh2_kg.7__576__AT4G35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSEEGKTHHGKDYVDPPPAPFFDMGELKSWSFYRALIAEFIATLLFLYVTVATVIGHKKQTGACDGVGLLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRALGYMIAQCLGAICGVGFVKAFMKTPYNTLGGGANTVADGYSKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNEKAWDDQWIFWVGPFLGALAAAAYHQYILRASAIKALGSFRSNATN >fgenesh2_kg.7__577__AT4G35090.1 pep chromosome:v.1.0:7:2505377:2508379:1 gene:fgenesh2_kg.7__577__AT4G35090.1 transcript:fgenesh2_kg.7__577__AT4G35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase [Source:UniProtKB/TrEMBL;Acc:D7MDF9] MDPYKYRPASSYNSPFFTTNSGAPVWNNNSSMTVGPRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISNLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLNMFTFLFDDIGIPQDYRHMDGSGVNTYMLINKAGKAHYVKFHWKPTCGVKSLLEEDAIRVGGTNHSHATQDLYDSIAAGNYPEWKLFIQIIDPADEDKFDFDPLDVTKTWPEDILPLQPVGRMVLNKNIDNFFAENEQLAFCPAIIVPGIHYSDDKLLQTRVFSYADTQRHRLGPNYLQLPVNAPKCAHHNNHHEGFMNFMHRDEEVNYFPSRYDPVRHAEKYPTPPAVCSGKRERCIIEKENNFKEPGERYRSFTPERQERFIQRWIEALSDPRITHEIRSIWISYWSQADKSLGQKLASRLNVRPSI >fgenesh2_kg.7__57__AT4G38580.1 pep chromosome:v.1.0:7:154015:155436:1 gene:fgenesh2_kg.7__57__AT4G38580.1 transcript:fgenesh2_kg.7__57__AT4G38580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDHVSEMFDCSHSHKMKKRKQLQTVEIKVKMDCEGCERKVRRSVEGMKGVSSVTLEPKASKVTVVGYVDPNKVLARMAHRTGKKVELWPYVPYDVVAHPYAAGVYDKKAPSGYVRRADDPGVSQLARASSTEVRYTTAFSDENPAACVVM >fgenesh2_kg.7__582__AT4G35070.1 pep chromosome:v.1.0:7:2518840:2520396:-1 gene:fgenesh2_kg.7__582__AT4G35070.1 transcript:fgenesh2_kg.7__582__AT4G35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQAQLNYNASNANQIGFGGPEFSLINNNGVIGIGNDQSYHHVNNLQSQKDFNQQALFHHQHQQQQQFRSQSFLAAHMEKQKQEIDQFIKIQNERLRYVLQEQRKQEMEMILRKMESKALVLMNQKEEEMSKALSKNMELEDLLRKMEMENQTWQRMARENEAMVQTLNSTLEQVRERAATCYDAGDTEVEDEGSFCGGEGDGNSFPAKKKISSCCCNCGSNGVTRVLFLPCRHLCSCVDCEEGLVLCPICNAPKKNRIEAFIF >fgenesh2_kg.7__587__AT4G35030.2 pep chromosome:v.1.0:7:2541002:2542777:-1 gene:fgenesh2_kg.7__587__AT4G35030.2 transcript:fgenesh2_kg.7__587__AT4G35030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MDG5] MVEEKRKISGRSVSLPSIDILLDEKPGWPFLKRATLGTPQVHQWHTRKVSVVNWVMSLPERFPRHHHQTLNYETSLIKKQIKDILRDNKKWFKYNVLKKATSDFSQENVIGKGGCNEVYRGILEDGKGIAVKILKSSSKEAMTNFVHEINIISSLSHQYISPLLGVCVQDNELISVYNLSTTGSLEETLHGKRKGKYVLSWEERFKIAIGLAEALDYLHNRCSKPVIHRDVKTSNVLLSAELQPQLSDFGLSMWGPTTSSRYSIQGDVVGTFGYLAPEYFMYGKVSDKVDVYAFGVVLLELISGRHPISPQNPRGQESLVMWAKPLIDSGNLKRLLDPDVTDIFDESQFQRMVLAASHCLTRSATHRPNIRQVRFSFLLQEN >fgenesh2_kg.7__588__AT4G35020.1 pep chromosome:v.1.0:7:2544364:2546220:-1 gene:fgenesh2_kg.7__588__AT4G35020.1 transcript:fgenesh2_kg.7__588__AT4G35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVIVDGNTINLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLVSKASYENVSKKWVPELRHYAPGVPIILVGTKLDLRDDKQFFAEHPGAVPISTAQGEELKKLIGAPAYIECSAKTQQNVKAVFDAAIKVVLQPPKNKKKKKRKSQKGCSIL >fgenesh2_kg.7__589__AT4G35010.1 pep chromosome:v.1.0:7:2547020:2551190:1 gene:fgenesh2_kg.7__589__AT4G35010.1 transcript:fgenesh2_kg.7__589__AT4G35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:D7MDG7] MRKHSLDRWLLAAVLVVLLSSSSSFAAKKDVKKKKSNKEVTYDGTSLIIDGKRELLYSGSIHYPRSTPEMWPSIIKRAKQGGLNTIQTYVFWNVHEPQQGKFNFSGRADLVKFIKLIEKNGMYVTLRLGPFIQAEWTHGGLPYWLREVPGIFFRTDNKPFKEHTERYVRMILDKMKEERLFASQGGPIILGQIENEYSAVQRAYKQDGLNYIKWASKLVDSMKLGIPWVMCKQNDAPDPMINACNGRHCGDTFPGPNKENKPSLWTENWTTQFRVFGDPPTQRSVEDIAYSVARFFSKNGSHVNYYMYHGGTNFGRTSAHYVTTRYYDDAPLDEYGLEREPKYGHLKHLHSALNLCKKPLLWGQPKTEKPGKDTEIRYYEQPGTKTCAAFLANNNTEAAETIKFKGREYVIAPRSISILPDCKTVVYNTAQIVSQHTSRNFMKSKKANKKFDFKVFTETLPSKLEGNSYIPVELYGLTKDKTDYGWYTTSFKVHKNHLPTKKGVKTFVRIASLGHALHIWLNGEYLGSGHGSHEEKSFVFQKQVTLKAGENHLIMLGVLTGFPDSGSYMEHRYTGPRGVSILGLTSGTLDLTESSKWGNKIGMEGEKLGIHTEEGLKKVEWKKFTGKAPGLTWYQAYFDAPESLNAAAIRMNGMGKGLIWVNGEGVGRYWQSFLSPLGQPTQIEYHIPRSFLKPKKNLLVIFEEEPNVKPELMDFVIVNRDTVCSYVGENYTPSVRHWTRKQDQVQAITDNVSLTATLKCSGTKKIAAVEFASFGNPIGVCGNFTLGTCNAPVSKQVIEKHCLGKAECVIPVNKSTFQQDKKDSCKNVAKTLAVQVKCGRGKKN >fgenesh2_kg.7__590__AT4G35000.1 pep chromosome:v.1.0:7:2551374:2554446:1 gene:fgenesh2_kg.7__590__AT4G35000.1 transcript:fgenesh2_kg.7__590__AT4G35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIVDAEYLKAITKARRDLRSLIANKNCAPIMLRLAWHDAGTYDAQSKTGGPNGSIRNEEEFTHGANSGLKIALDLCEGVKAKNPKITYADLYQLAGVVAVEVTGGPDIVFVPGRKDSNVSPKEGRLPDAKQGFQHLRDVFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTQEPLKFDNSYFVELLKGESEGLLKLPTDKTLLEDPEFRRLVELYAKDEDAFFRDYAESHKKLSELGFNPNSSAGKAVADSTILAQSAFGVAVAAAVVAFGYFYEIRKRMK >fgenesh2_kg.7__592__AT4G34980.1 pep chromosome:v.1.0:7:2563527:2566003:1 gene:fgenesh2_kg.7__592__AT4G34980.1 transcript:fgenesh2_kg.7__592__AT4G34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIIALLLFLSSPFISFAASQTAKTFIFRIDGGSMPSIFPTHYHWYNTEFAEESRILHVYHTVFHGFSAVVTPDEADNLRNHPAVLAVFEDRRRELHTTRSPQFLGLQNQKGLWSESDYGSDVIIGVFDTGIWPERRSFSDLNLGPIPKRWRGVCESGARFGPRNCNRKIVGARFFAKGQQAAVIGGINKTVEFLSPRDADGHGTHTSSTAAGRHAFKASMSGYASGVAKGVAPKARIAAYKVCWKESGCLDSDILAAFDAAVRDGVDVISISIGGGDGITSPYYLDPIAIGSYGAASKGIFVSSSAGNEGPNGMSVTNLAPWVTTVGASTIDRNFPADAILGDGHRLRGVSLYAGVPLNGRMFPVVYPGKSGMSSASLCMENTLDPKHVRGKIVICDRGSSPRVAKGLVVKKAGGVGMILANGASNGEGLVGDAHLIPACAVGSNEGDRIKAYASSHPNPIASIDFRGTIVGIKPAPVIASFSGRGPNGLSPEILKPDLIAPGVNILAAWTDAVGPTGLPSDPRKTEFNILSGTSMACPHVSGAAALLKSAHPDWSPAAIRSAMMTTTNLVDNSNRSLIDESTGKSATPYDYGSGHLNLGRAMDPGLVYDITNDDYITFLCSIGYGPKTIQVITRTPVRCPTTRKPSPGNLNYPSITAVFPTSTRGLVSKTVIRTATNVGQAGAVYRARIESPRGVTVTVKPPRLVFTSAVKRRSYAVTVTVDTRNVVLGETGAVFGSVTWFDGGKHVVRSPVVVTQMDTL >fgenesh2_kg.7__593__AT4G34970.1 pep chromosome:v.1.0:7:2570553:2572279:-1 gene:fgenesh2_kg.7__593__AT4G34970.1 transcript:fgenesh2_kg.7__593__AT4G34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin binding protein [Source:UniProtKB/TrEMBL;Acc:D7MDH1] MALKTATSGMWMTDDCKKSFMEMKWKKVHRYVVYKIEEKSRKVTVDKVGAAGESYDDLAASLPEDDCRYAVFDFDYVTVDNCRMSKIFFITWSPEASRIREKMMYATSKSGLRRVLDGVHYELQATDPTEMGFDKIQDRAK >fgenesh2_kg.7__594__AT4G34960.1 pep chromosome:v.1.0:7:2575048:2577213:-1 gene:fgenesh2_kg.7__594__AT4G34960.1 transcript:fgenesh2_kg.7__594__AT4G34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7MDH2] MRREISFLLQPRCLLLLVALTIFLVFALFNSGKDEEKQVTEDHEITNRVFLDVDIDGQRLGRIVIGLYGTVVPKTVENFRALCTGEKGKTSSGKPLHYKGTPFHRIISGFVIQGGDIIHGDGKSSESIYGGTFPDENFKIKHSHAGVVAMANTGPDSNGSQFFITTVKASWLEGEHVVFGKVIQGMDNVFAIEGGAGTYSGKPRKKVVIADSGEIPKDKWDEER >fgenesh2_kg.7__595__AT4G34950.1 pep chromosome:v.1.0:7:2583529:2586022:1 gene:fgenesh2_kg.7__595__AT4G34950.1 transcript:fgenesh2_kg.7__595__AT4G34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin family protein [Source:UniProtKB/TrEMBL;Acc:D7MDH3] MGFGRSSSSSSSSALKWLGFVTAVWVQSISGNNYTFSNYSGALKSLMNLTQLELNSLSVAKDIGKAFGILAGLASDRLSTPVILLIGCFEGLLGYGVQWLVVSRTIQPIPYWQMCVFLCMGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTDLCTALFTSDPASFLVLLSVVPFAVCLTAVFFLREIPPSTTSDEDNEESKYFAVFNIVAVVVAVYLQSYDIIGIKTGAFSIAFASILLILLASPIAVPFHAFIRSKDHDEQDVEGRIDEPLLRSGSEIEVEETMVGAAAAADNELPPSLKPLNNEEVENHGNVVTTEKKRPVLGEEHTIMEAMLTVDFWVLFVSFLCGVGTGLAVMNNMGQIGLALGYTDVSIFVSMTSIWGFFGRILSGTISEHFIKKAGTPRPLWNAAAQIIMAVGYLLMALAMPGSLYIGSMVVGVCYGVRLAITVPTASELFGLKYYGLIYNILVLNLPLGSFLFSGLLAGLLYDAEATPTPGGGNTCVGAHCFRMVFIVMTLTSIIGVGLDLLLAYRTKGIYAKIHASKKGKKSSANLR >fgenesh2_kg.7__598__AT4G34890.1 pep chromosome:v.1.0:7:2593334:2599534:-1 gene:fgenesh2_kg.7__598__AT4G34890.1 transcript:fgenesh2_kg.7__598__AT4G34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATXDH1 [Source:UniProtKB/TrEMBL;Acc:D7MDH7] MGSLKKDGEIGDEFTEAILYVNGVRRVLPDGLAHMTLLEYLRDLGLTGTKLGCGEGGCGACTVMVSSYDRNSKTCVHYAVNACLAPLYSVEGMHVISIEGVGHRKLGLHPVQESLASSHGSQCGFCTPGFIMSMYSLLRSSKNSPCEEEIEECLAGNLCRCTGYRPIVDAFRVFAKSDDALYCGVSSLSLQDGSNICPSTGKPCSCGSKTTNEVASCNEDRFQSISYSDIDGAKYTEKELIFPPELLLRKLATLKLRGNGGLTWYRPVSLQNLLELKANFPDAKLLVGNTEVGIEMRLKRLQYQVLISVAQVPELNALNVSDNGIEVGSALRLSELLRLFRKLVKERPAHETSACKSFIEQLKWFAGTQIRNVACIGGNICTASPISDLNPLWMASRAEFRITNCNGVVRSIPAKDFFLGYRKVDMGSKEILLSVFLPWTRPLEYVKEFKQAHRRDDDIAIVNGGMRVFLEEKGQQLFVSDASIAYGGVAPLSLCARKTEEFLIGKNWNKDLLQDALRVIQSDVLIKEDAPGGMVEFRKSLTLSFFFKFFLWVSHNVHNVNSAIETFPPSHMSAVQPVPRLSRIGKQDYETVKQGTSVGSSEVHLSARMQVTGEAEYTDDTPVPPNTLHAAFVLSKVPHARILSIDDTAAKSSSGFVGLFLAKDIPGDNMIGPIVPDEELFATDVVTCVGQVIGVVVADTHENAKTAAGKVDVRYEELEAILSIKEAINAKNFHPNTQKRLRKGDVELCFQSGQCDRIIEGEVQMGGQEHFYLEPNGSLVWTVDGGSEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSAFIAAAASVPSYLLNRPVKLILDRDVDMMITGHRHSFLGKYKVGFTNEGKILALDLEIYNNGGNSLDLSLSVLERAMFHSDNVYEIPHVRIVGNVCFTNFPSNTAFRGFGGPQGMLITENWIQRIAAELDKSPEEIKEMNFQVEGSVTHYSQSLQHCTLHQLWKELKVSCNFLKARREADEFNSHNRWKKRGVAMVPTKFGISFTTKFMNQAGALVHVYTDGTVLVTHGGVEMGQGLHTKVAQVAASAFNIPLSSVFVSETSTDKVPNASPTAASASSDMYGAAVLDACEQIIARMEPVASKHNFNTFAELVSACYFQRIDLSAHGFHIVPDLGFDWISGKGNAFRYYTYGAAFAEVEIDTLTGDFHTRAADIMLDLGYSLNPAIDVGQIEGAFIQGLGWVALEELKWGDAAHKWIKPGSLLTCGPGNYKIPSINDMPFNLNVSLLKGNPNTKAIHSSKAVGEPPFFLATSVFFAIKEAIKAARTEVGLTDWFPLESPATPERIRMACFDEFSAPFVSSDFYPNLSV >fgenesh2_kg.7__59__AT4G38620.1 pep chromosome:v.1.0:7:175623:177072:1 gene:fgenesh2_kg.7__59__AT4G38620.1 transcript:fgenesh2_kg.7__59__AT4G38620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDERLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLINRGIDPTTHRPIQESSASQDSKPTQLEPVTSNTINISFTSAPKVETFHESISFPEKISMLTFKEEKDECPVAEKFPDLNLELRISLPDDVDRRRGLVGHGKSTTPRCFKCSLGMINGMECRCGRMRCDVVGGSSKGSDLSKGFDFLGLAKKETTSLLGFRSLEMK >fgenesh2_kg.7__5__AT4G38150.1 pep chromosome:v.1.0:7:14876:16424:-1 gene:fgenesh2_kg.7__5__AT4G38150.1 transcript:fgenesh2_kg.7__5__AT4G38150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MEW5] MVPSSKAVVFARQMAKQIRVTTPSISATRFLSTGDKGQEKQQNPPEPLPNRPLRGERSSNSHREPPARQAHDLGKIDNTLSDDGFLEQFKLGVNQDSQETPKPEQYPQDPLLPPEDSDEIFKKMKEGGLIPNAVAMLDGLCKDGLVQEAMKLFGLMRDKGTIPEVVIYTAVVEGFCKAHKIEDAKRIFRKMQTNGITPNAFSYGVLVQGLYNCNMLDDAVTFCCEMLESGHSPNIPTFVGLVDALCREKGVEQAQSAIDGLNQKGFALNVKAVKEFMDKRAPFPSLAWEAIFKKKPTDKPL >fgenesh2_kg.7__600__AT4G34910.1 pep chromosome:v.1.0:7:2600098:2603707:1 gene:fgenesh2_kg.7__600__AT4G34910.1 transcript:fgenesh2_kg.7__600__AT4G34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKYKPVEDVNSQVVDDVEIAEEVEEQRNDGEVEEEEEQKQEEAPKSFEELGLDSRLIRALTKKGIEKPTLIQQSAIPYILEGKDVVARAKTGSGKTLAYLLPLLQKLFSADSGSKKKLAPSAFILVPSRELCHQVYSEVSSLIELCRVQLKAVQLTSSMSASDMRNALAGLPEILVSTPACIPKCFAAGVLEPTAVSESLAILVLDEADLLLSYGYEDNLRSVTSIIPRRCQCLLMSATTSSDVEKLKKLILHNPIVLTLTEENDKDEAVPSNVQQFWISCSAQDKLLHILALLKLEVVQKKILIFINTIDMGFRLKLFLEKFGIKSAILNGELPQNSRLHILEQFNAGLFDYLIATDDNSQTKKQKEEAKGEENKENNRNNKRSKPKLDAEFGVVRGIDFKKVHTVINFDMPQSVTGYIHRIGRTGRAYSSGSSVSLVSPDEMEGFEDIKSFLASDKNKDSDIITPFPLLTENAVESLRYRAEDVAKSVTKIAVRESRAQDLRNEIINSEKLKAHFEANPRDLDLLRHDKPLSKTAPAPHLKDIPEYLVDPKTQEASKMVKLARAAMGNTRRSGGGGGRNNKNKKRSRKGSDPLKTFNPNGSKRGHGGGVGQKKDGRDSSDGSTKKQKTV >fgenesh2_kg.7__601__AT4G34880.1 pep chromosome:v.1.0:7:2603801:2605636:-1 gene:fgenesh2_kg.7__601__AT4G34880.1 transcript:fgenesh2_kg.7__601__AT4G34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein [Source:UniProtKB/TrEMBL;Acc:D7MDH9] MVALRFIFSSSLLILLLFLLPQALTSMFSIQEATIEDIRLAFKEKRLTSKQLVELYLEAISKLNPILHAVIETNPDANQQAEIADKERDLKNATKLPILHGVPVLLKDSISTKDKLNTTAGSFALLGSVVARDACVVKRLRESGAVILGKASLSEWANFRSFSIPDGWSAPSQSSVVGIKPSVGLTSRAGVVPISLRQDSIGPICRTVSDAVHLLDAIVGYDPLDKATKSASEFIPEGGYKQFLTTSGLKGKRLGIVMKHSSRLDHHIKTLRREGAIVINNLTIPNMEVIVGGTDSGEEIALLAEFKMSLNAYLKELVKSPVRSLADVIAYNEEFAEQEKVKEWGQEVFLTAEATNGMGEKEKAALQKMKELSRNGIEKLMKERNLDSIVALGSSLSSVLAIGGYPGINVPAGYDNGGVPFGISFGGLRFSEPKLIEIAYAFEQATLIRKPPKFIA >fgenesh2_kg.7__602__AT4G34870.1 pep chromosome:v.1.0:7:2605869:2606853:-1 gene:fgenesh2_kg.7__602__AT4G34870.1 transcript:fgenesh2_kg.7__602__AT4G34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7MDI0] MANPRVFFDMSLSGTPIGRIEMELFADTTPNTAENFRALCTGEKGIGKMGKPLHYKGSIFHRVIPGFMCQGGDFTAKNGTGGESIYGAKFKDENFIKKHTGAGILSMANSGANTNGSQFFICTDKTSWLDGKHVVFGQVVKGLDVVKAIEKVGSDSGKTSKVVTITDCGQLS >fgenesh2_kg.7__603__AT4G34860.1 pep chromosome:v.1.0:7:2608241:2611352:1 gene:fgenesh2_kg.7__603__AT4G34860.1 transcript:fgenesh2_kg.7__603__AT4G34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFNLSVDVNQNGNVKNVDSLSTLDDIDDIDFAKLLEKPRPLNIDRLRSLDERSLHELTGSPQLRNADNASRAPDHADYVISPSVGRRSGFNTPRSQPGFESHPMVGEAWDALRRSMVYFRGQPVGTIAAVDNSEEKLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLRLQSWEKKIDRFQLGEGVMPASFKVFHDPVRNHETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLADMPECQKGIRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKHDGEGKEMVEQIVKRLHALSYHMRSYFWLDLKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWVFDFMPPHGGFFIGNVSPARMDFRWFALGNCIAILSSLATPEQSTAIMDLIESRWEELVGEMPLKVCYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIEVAEARLHKDHWPEYYDGKVGRYVGKQSRKNQTWSIAGYLVAKMMLEDPSHVGMVCLEEDKQMKPVMRRSNSWTC >fgenesh2_kg.7__605__AT4G34850.1 pep chromosome:v.1.0:7:2611297:2612852:-1 gene:fgenesh2_kg.7__605__AT4G34850.1 transcript:fgenesh2_kg.7__605__AT4G34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone synthase family protein [Source:UniProtKB/TrEMBL;Acc:D7MDI2] MGSIDAAVLGSVKKSNPGKATILALGKAFPHQLVMQEYLVDGYFKTTNCDDPELKQKLTRLCKTTTVKTRYVVMSEEILKKYPELAIEGGSTVTQRLDICNDAVTEMAVEASRACIKNWGRSISEITHLVYVSSSEARLPGGDLYLAKGLGLSPDTHRVLLYFVGCSGGVAGLRVAKDIAENNPGSRVLLATSETTIIGFKPPSVDRPYDLVGVALFGDGAGAMIVGSDPDPICEKPLFELHTAIQNFLPDTEKTIDGRLTEQGINFKLARELPQIIEDNVENFCKKLIGKAGLAHKNYNQMFWAVHPGGPAILNRMEKRLNLSPEKLSPSRRALMDYGNASSNSIVYVLEYMLEESKKVRNMNEEEDEWGLILAFGPGVTFEGIIARNLDV >fgenesh2_kg.7__606__AT4G34840.1 pep chromosome:v.1.0:7:2613224:2615074:-1 gene:fgenesh2_kg.7__606__AT4G34840.1 transcript:fgenesh2_kg.7__606__AT4G34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMTN2 [Source:UniProtKB/TrEMBL;Acc:D7MDI3] MEGLMGQVEKRQISTVVFIVAMQKEAQPLINRLRLVKEVNTPFPKEVTWVLFKGIYKDLNINIVCPGKDSTLGVESVGTVPASLVTYASIQAIQPDLIINAGTAGGFKAKGACISDVYVVSTVAFHDRRIPVPVLDLYGVGMRKAFPTPNLIKELSLKVGRLSTGDSMDMSPHDEESITANDATVKDMEGAAVAYVADIFKVPTILIKGVTDIVDGNRPTSEEFLENLAAVTAKLDESLTKVIEFISGKCLSDL >fgenesh2_kg.7__60__AT4G38630.1 pep chromosome:v.1.0:7:179116:181505:-1 gene:fgenesh2_kg.7__60__AT4G38630.1 transcript:fgenesh2_kg.7__60__AT4G38630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiubiquitin chain binding protein MBP1 [Source:UniProtKB/TrEMBL;Acc:D7MFL8] MVLEATMICIDNSEWMRNGDYSPSRLQAQTEAVNLLCGAKTQSNPENTVGILTMAGKGVRVLTTPTSDLGKILACMHGLDVGGEINLTAAIQIAQLALKHRQNKNQRQRIIVFAGSPIKYEKKALEVVGKRLKKNSVSLDIVNFGEDDDEEKPQKLEALLSAVNNNDGSHIVHVPSGANALSDVLLSTPVFTGDEGASGYVSAAAAAAAAGGDFDFGVDPNIDPELALALRVSMEEERARQEAAAKKAADEAGQKDKDGDTASASQETVARTTEKNAEPMDEDSALLDQAIAMSVGDVNMSEAADEDQDLALALQMSMSGEESSEATGAGNNLLGDQAFISSVLSSLPGVDPNDPAVKALLASLPDESKVRNEEEESSSKGEDEKK >fgenesh2_kg.7__611__AT4G34770.1 pep chromosome:v.1.0:7:2630474:2631078:-1 gene:fgenesh2_kg.7__611__AT4G34770.1 transcript:fgenesh2_kg.7__611__AT4G34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7MDI9] MGIQLIGLSQAKQKLQRSLSARIASLLATSGTNNVPKGHVAVYVGETYQMKRFVIPISYLNHPLFQGLLNLAEEEFGFDHPMGGLTIPCTEDYFTALASILSGS >fgenesh2_kg.7__612__AT4G34760.1 pep chromosome:v.1.0:7:2646956:2647656:1 gene:fgenesh2_kg.7__612__AT4G34760.1 transcript:fgenesh2_kg.7__612__AT4G34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7MDJ0] MAIIKKTSKLTQTAMLKQILKRCSSLGKKNGGGCDDDCLPLDVPKGHFPVYVGENRSRYIVPISFLTHPEFQSLLQRAEEEFGFDHDMGLTIPCDELVFQTLTSMIR >fgenesh2_kg.7__613__AT4G34750.1 pep chromosome:v.1.0:7:2653950:2654816:-1 gene:fgenesh2_kg.7__613__AT4G34750.1 transcript:fgenesh2_kg.7__613__AT4G34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNNKIGSVVRIRQMLKQWQKKAHIGSNNNDTVSDVPPGHVAVSVGENRRRYVVRAKHLNHPIFRRLLAEAEEEYGFANVGPLAIPCDESLFEDIIAIVSRSESSSGRGNPEATLEDLRRCSHVGLAKNNVESRPLLPGIAEKSVC >fgenesh2_kg.7__616__AT4G34710.1 pep chromosome:v.1.0:7:2667058:2669696:1 gene:fgenesh2_kg.7__616__AT4G34710.1 transcript:fgenesh2_kg.7__616__AT4G34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase [Source:UniProtKB/TrEMBL;Acc:D7MDJ4] MPALACVDTSFVPPGYAGDVFIPSSPTSAAAVVDSSCRWSTSLSSSLYRIDGWGAPYFIANSSGNISVRPHGSETLPHQDIDLLKIVKKVTDPKSSGGLGLQLPLIVRFPDVLKNRLECLQSAFDFAIKSQGYDSHYQGVYPVKCNQDRFVVEDIVKFGSSFRFGLEAGSKPEILLAMSCLCKGNPDAFLVCNGFKDAEYISLALLGRKLALNTVIVLEQEEELDLVIDLSQKMNVRPVIGLRAKLRTKHSGHFGSTSGEKGKFGLTTTQIVRVLRKLSQSGMLDCLQLLHFHIGSQIPSTSLLSDGVAEAAQLYCELVRLGAHMKVIDIGGGLGIDYDGSKSGESDLSVAYSLEEYAEAVVASVRFVCDRRSVKHPVICSESGRAIVSHHSVLIFEAVSAVKPMVHQATPEDIQFLLEGNEEARANYEDLYAAVMRGDQESCLIYVDQLKQRCVEGFKEGVLSIEQLASVDGLCEWVLKAIGASDPVHTYNINLSVFTSIPDLWGIDQLFPIVPIHKLDQRPGVRGILSDLTCDSDGKIDKFIGGESSLPLHELDNNGGGGYFLGMFLGGAYEEALGGVHNLFGGPSVVRVSQSDGPHSFAVTRAVPGQSSADVLRAMQHEPELMFQTLKHRAEEMMHTKGGSEGENEEEEEDVEFNNVAACLDRSFHNMPYLATEQASPSNSLSAAISNLGFYYCDEDGYDYVSA >fgenesh2_kg.7__61__AT4G38650.1 pep chromosome:v.1.0:7:184995:187760:1 gene:fgenesh2_kg.7__61__AT4G38650.1 transcript:fgenesh2_kg.7__61__AT4G38650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 10 protein [Source:UniProtKB/TrEMBL;Acc:D7MFM0] MKYSYMYCLIFLALSLLTAFTPASSSNGLDGPFYDSTAYTECRAEAEKPLYNGGMLKDQKPSVSGRDSLTGIGARYTPTYILHNLTQNTIYCFSIWVKIEAGAASARVRARLRADNATLNCVGSVTAKHGCWSFLKGGFLLDSPCNQSILFFETSNDDSKIQLQVASASLQPFTQEQWRNIQDYFINTARKRAVTIHVSEENGESVEGAEVTVEQISKDFPIGSAISKTILGNIPYQEWFVKRFDATVFENELKWYATEPDQGKLNYTLADKMMNFVRANRIIARGHNIFWEDPKYNPNWVRNLSGEDLRSAVNRRIKSLMTRYRGEFVHWDVSNEMLHFDFYESRLGKNASYGFFAAAREIDSLATLFFNDFNVVETCSDEKSTVDEYIARVRELQRYDGIRMDGIGLEGHFTTPNVALMRAIIDKLATLQLPIWLTEIDISSSLDHRTQAIYLEQVLREGFSHPSVNGIMLWTALHPNGCYQMCLTDDKFRNLPAGDMVDQKLLEWKTREVKATTDDHGSFSFFGFLGEYRVGIVYQGKTVNSSFSLSQGPETKHVRLQI >fgenesh2_kg.7__620__AT4G34680.2 pep chromosome:v.1.0:7:2674410:2675735:-1 gene:fgenesh2_kg.7__620__AT4G34680.2 transcript:fgenesh2_kg.7__620__AT4G34680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:D7MDJ6] MELWTEARALKASLRGESTTSLKHHQLIVSEDLSRTSSLSEDFSVECFLDFSEGQKEEEELVSVSSSQEEQEQEQDCIFSSQPCIFDQLPSLPDEDVEELEWVSRVVDDCSSPEVSLLLTQTHKTKPSFSRIPVKPRTKRSRNSLTGGRVWPLVSTNHQHAATEQLRKKKQETAVVFQRRCSHCGTNNTPQWRTGPVGPKTLCNACGVRFKSGRLCPEYRPADSPTFSTEIHSNLHRKVLELRKSKELGEETGEASTKSNQVKFGSKW >fgenesh2_kg.7__621__AT4G34670.1 pep chromosome:v.1.0:7:2679305:2681040:-1 gene:fgenesh2_kg.7__621__AT4G34670.1 transcript:fgenesh2_kg.7__621__AT4G34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3a [Source:UniProtKB/TrEMBL;Acc:D7MDJ7] MAVGKNKRISKGRKGGKKKAVDPFSKKDWYDVKAPASFTNRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQVDEDNAYRKIRLRAEDVQGRNVLTQFWGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDGYTLRLFCIAFTKRRANQVKRTCYAQSSQIRQIRRKMSEIMVKEASSCDLKELVAKFIPEAIGREIEKATQGIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYTAEDVGVKVDRPADETMAEEPTEIIGA >fgenesh2_kg.7__622__AT4G34660.1 pep chromosome:v.1.0:7:2681215:2684575:1 gene:fgenesh2_kg.7__622__AT4G34660.1 transcript:fgenesh2_kg.7__622__AT4G34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 2 [Source:UniProtKB/TrEMBL;Acc:D7MDJ8] MDAIRKQASRLREQVARQQQAVFKQFGGGGYGSGLADEAELNQHQKLEKLYISTRAAKHYQRDIVRGVEGYIVTGSKQVEIGTKLSEDSRKYGSENTCTNGNVLTRAALNYGRARAQMEKERGNMLKALGTQVAEPLRAMVLGAPLEDARHLAQRYDRMRQEAEAQATEVARRQAKARESQGNPDILMKLESAEAKLQDLKSNMTILGKEAASALASVEDQQQKLTLERLLSMVESERAYHQRVLQIIDQLEGEMVSERQRIEAPSTPSSADSMPPPPSYEEANGVFASQMHDTSTDSMGYFLGEVLFPYHGVTDVELSLSTGEYVVVRKVTGSGWAEGECKGKAGWFPYDYIERRERVLASKVSEVF >fgenesh2_kg.7__623__AT4G34650.1 pep chromosome:v.1.0:7:2684809:2687465:-1 gene:fgenesh2_kg.7__623__AT4G34650.1 transcript:fgenesh2_kg.7__623__AT4G34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene synthase 2 [Source:UniProtKB/TrEMBL;Acc:D7MEB8] MGSLSTILRHPDELYPLLKLKLAITKAQKQIPLEPHLAFCYSMLHKVSKSFSLVIQQLGTELRNAVCVFYLILRALDTVEDDTSIPMETKVPILIAFHRHLYDGGWHFSCGTKDYKVLMDQFHHVSAAFLELEKGYQEAIEDITKRMGAGMAKFICKEVETIDDYDEYCHYAAGLVGLGLSKIFIASELEILTPDWKQISNSTGLFLQKTNIIKDYLEDINEIPKSRMFWPREIWGKYVDKLEDLKNEEKSTQAVQCLNEMVTNALTHVEDCLKSLASLRDPAIFQSCAIPQIVAIGTLTLCYNNVQVFRGAVRLRRGLIAKVIDLTKTMDDVYGAFYDFSCMLQTKVDKNDPNAMKTLNRLETIKKVCKENGVIHKRKSYVNDETQSKAIFVVMFVLLLAIVVVYISRSKPT >fgenesh2_kg.7__625__AT4G34630.1 pep chromosome:v.1.0:7:2692895:2693577:1 gene:fgenesh2_kg.7__625__AT4G34630.1 transcript:fgenesh2_kg.7__625__AT4G34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSRVITMSPLILNQEDEIELDLDLWEVVNPSDGEFSDDSFSVDSLSDDDVISLDDASFVAPSVISPPPEIIPVADGGDLAVDLDGDGVGDDVVRDEVDENDLGWAQRRMMFLGGGSGYSVGITYGDFVNDDGEEDRGGEDGEYDDSYDLDEELVPRSVNKKVGRQRMRKLGKRAIAKVYASKKMSPFSHLTPGIVRGKHGLGMKFKC >fgenesh2_kg.7__631__AT4G34588.1 pep chromosome:v.1.0:7:2716303:2717622:-1 gene:fgenesh2_kg.7__631__AT4G34588.1 transcript:fgenesh2_kg.7__631__AT4G34588.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GBF6 [Source:UniProtKB/TrEMBL;Acc:D7MEC5] MESSSLGTTSSTIQTSSGSEESLMEQRKRKRMLSNRESARRSRMKKQKLLDDLTAQVNHLKKENTKIVTSVSITTQHYLTVEAENSVLRAQLDELNHRLQSLNDIIEFLDSNNNNNMGMCSNPLVGLECDDFFVNQMNMSYMMNQPLMASSDALMY >fgenesh2_kg.7__634__AT4G34560.1 pep chromosome:v.1.0:7:2731909:2732895:-1 gene:fgenesh2_kg.7__634__AT4G34560.1 transcript:fgenesh2_kg.7__634__AT4G34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRGILNFQVIRSFTSLFRLIELLFLLILISKLSFPSVKLSGDIFREAAVFLVSPRFVFFVGNAIVITLFAKSGRYSSSQEPLKTTTEAESNDLYQDFLHKSEKKKSVVYETKTEQLKKPSGVIKRVSFGRSQSQKAFEAVQPLESTNGEKTMKRYVSEKHMRICDSDKKVVVRVKRPEDGMSNEQFRTKIEAFIARQKRIQKDEEHLII >fgenesh2_kg.7__636__AT4G34550.1 pep chromosome:v.1.0:7:2737458:2738280:-1 gene:fgenesh2_kg.7__636__AT4G34550.1 transcript:fgenesh2_kg.7__636__AT4G34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHFMGLSKIKTESCVHGHESAWLSRWTPSNRDAKEINLPEDGQLLKESTSSRVLVDVDLIPDMNKEPPIVAERENSIDGDKEEASSQATQSKNVEHFLNTNLLRECKRIWSDSETNSRSHVKRLKTNTSDISGNETKSMMVFEEGPSSGKKVNYFFHRIFGINKPGSRRYQKSSTSQIKNLNMGGGEDGTVLEKQFPSIAAMAMMRKALSGTNPTGCRKTNSLFVWNAEDLR >fgenesh2_kg.7__638__AT4G34530.1 pep chromosome:v.1.0:7:2745787:2747493:-1 gene:fgenesh2_kg.7__638__AT4G34530.1 transcript:fgenesh2_kg.7__638__AT4G34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAIGGDLLLNCTDMSVLERQRAHLKYFNPSFDSPLAGFFADSSMINGGEMDGYLATAGLNLPMIYGETTVEGDPRMSISPETTLGTGNFKKRKFDTETKDRNEKKKKMNREEVTEEEEEKSKITELNNGSPKSIKKMKNKAKKEENNCSNDSSKVTKELEKTDYIHVRARRGQATDSHSIAERVRREKISERMKFLQDLVPGCDKITGKAGMLDEIINYVQSLQRQIEFLSMKLAVVNPRPDFDMDDIFDKEVIVSTPMTVVPSPEMVHSGYSHEMVNSGYSNEMVNSGYHHVNPMQQAETSSDPLSCFNNGQAPSMWDSYVQNLYGSLGV >fgenesh2_kg.7__640__AT4G34510.1 pep chromosome:v.1.0:7:2753895:2755379:-1 gene:fgenesh2_kg.7__640__AT4G34510.1 transcript:fgenesh2_kg.7__640__AT4G34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7MF06] MDANGGPVQIRSQHYVKLGYHYLLTHFLKLLLIPFMAVLFMNVSLLSLNQLQLHYNSTGFIFIIAFAILGSIVFFMSRPRSIYLLDYSCYLPPSNQKVSYQTFMNNSSLIQDFSESSLEFQRKILIRSGLGEETYLPESIHCIPPRPTMAAAREEAEQVIFGALDSLFENTKINPREIGVLVVNCSLFNPTPSLSAMIVNKYKLRVNIKSFNLGGMGCSAGVIAIDLASDMLQIHRNTFALVVSTENITQNWYFGNNKAMLIPNCLFRVGGSAVLLSNKPLDRKRSKYKLVHTVRTHKGSDEKAFKCVYQEQDECLKTGVSLSKDLMAIAGEALKTNITTLGPLVLPISEQILFFAAFVAKRFFNVKEKKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLKLSPKHVEASRMTLHRFGNTSSSSIWYELAYTEAKGRMRKGNRVWQIAFGSGFKCNSAVWVALRDVKPSVNNPWEHCIHRYPVKIDL >fgenesh2_kg.7__641__AT4G34500.1 pep chromosome:v.1.0:7:2756308:2759446:1 gene:fgenesh2_kg.7__641__AT4G34500.1 transcript:fgenesh2_kg.7__641__AT4G34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MF07] MSDSGGGGSHKSSATKSSIFGLNLYLVIAICSVFILLISLLIFLFVCLNRVSRARKMRVKHSSGSIPLVSKEISEIKTVGKFINSDDSKGKMGNEVVVVVSASSKEATSGFDTLSVASSGDVGSEVMGWGRWYSLKDLEIATRGFSDENMIGEGGYGVVYRADFSDGSVAAVKNLLNNKGQAEKEFKVEVEAIGKVRHKNLVGLMGYCADSAQRMLVYEYIDNGNLEQWLHGDVGPVSPLTWDIRMKIAIGTAKGLAYLHEGLEPKVVHRDVKSSNILLDKKWNAKVSDFGLAKLLGSETSYVTTRVMGTFGYVSPEYASTGMLNECSDVYSFGVLLMEIITGRSPVDYSRPPGEMNLVDWFKGMVASRRGEEVIDPKIKMSPPPRALKRALLVCLRCIDLDASKRPKMGQIIHMLEAEDFPFRPEHRSNQERLK >fgenesh2_kg.7__643__AT4G34480.1 pep chromosome:v.1.0:7:2763598:2765749:1 gene:fgenesh2_kg.7__643__AT4G34480.1 transcript:fgenesh2_kg.7__643__AT4G34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISISLLLIFLSHFPSSHAEPFIGVNYGQVADNLPPPSETAKLLQSTSIQKVRLYGADPAIIKALAGTGVGIVIGAANGDVPSFASDPNAATQWINSNVLPFYPASKIILITVGNEILMSNDPNLVNQLLPAMQNVQKALEAVSLGGKIKVSTVHSMTVLGSSDPPSTGSFAPGYQTGLKGILQFLSDTGSPFAINPYPFFAYQSDPRPETLSFCLFQPNAGRVDSKTGIKYTNMFDAQVDAVHSALKSMGFEKVEIVVAETGWASRGDANEVGASVDNAKAYNGNLIAHLRSMVGTPLMPGKPVDTYLFALYDENLKPGPSSERAFGLFKTDLSMVYDVGLAKSSSSSQVRY >fgenesh2_kg.7__646__AT4G34460.1 pep chromosome:v.1.0:7:2769301:2771774:1 gene:fgenesh2_kg.7__646__AT4G34460.1 transcript:fgenesh2_kg.7__646__AT4G34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSELKERHAVATETVNNLRDQLRQRRLQLLDTDVARYSAAQGRTRVSFGATDLVCCRTLQGHTGKVYSLDWTPERNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPNGQSVACGGLDSVCSIFSLSSTADKDGTVPVSRMLTGHRGYVSCCQYVPNEDAHLITSSGDQTCILWDVTTGLKTSVFGGEFQSGHTADVLSVSISGSNPNWFISGSCDSTARLWDTRAASRAVRTFHGHEGDVNTVKFFPDGYRFGTGSDDGTCRLYDIRTGHQLQVYQPHGDGENVPVTSIAFSVSGRLLFAGYASNNTCYVWDTLLGEVVLDLGLQQDSHKNRISCLGLSADGSALCTGSWDSNLKIWAFGGHRRVI >fgenesh2_kg.7__650__AT4G34450.1 pep chromosome:v.1.0:7:2771999:2777287:-1 gene:fgenesh2_kg.7__650__AT4G34450.1 transcript:fgenesh2_kg.7__650__AT4G34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit gamma [Source:UniProtKB/TrEMBL;Acc:D7MF12] MAQPLVKKDDDHDDELEYSPFMGIEKGAVLQEARVFNDPQVDPRRCSQVITKLLYLLNQGESFTKVEATEVFFSVTKLFQSKDTGLRRMVYLIIKELSPSSDEVIIVTSSLMKDMNSKIDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVSSAALVSGLHLLKTNPEIVKRWSNEVQEGIQSRSALVQFHALALLHQIRQNDRLAVSKLVGSLTRGSVRSPLAQCLLIRYTSQVIRDMANHGQSGERPFYEFLESCLRHKAEMVILEAARAITELDGVTSRELTPAITVLQLFLSSPRPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVERLMKQITNFMSDIADEFKIVVVEAIRSLCVKFPLKYRSLMTFLSNILREEGGFEYKRAIVDSIVTIIRDIPDAKESGLLHLCEFIEDCEFTYLSTQVILHFLGIEGPNTSDPSKYIRYIYNRVHLENATVRAAAVSTLAKFGFMVESLKPRITVLLKRCIYDSDDEVRDRATLYLSVLGGDGTVDTDKESKDFLFGSLEVPLVNMETSLKNYEPSEEAFDINSVPKEVKSQPLAEKKAQGKKPTGLGAPPAAPASGFDGYEKLLSSIPEFTAFGKLFKVRASLPVELTEAETEYAVDVVKHIFDSHVVFQYNCTNTIPEQLLERVNVIVDASEAEEFSEVTSKALNSLPYDSPGQAFVVFEKPAGVPAIGKFSNTLTFVVKEVDPSTGEAEDDGVEDEYQLEDLEVVAGDYMVKVGVSNFRNAWESLDEEDERVDEYGLGQRDSLGEAVKAVIDLLGMQTCEGTETIPLNARSHTCLLSGVYIGNVKVLVRAQFGMDSSKDIAMKLTVRAEDVSVAEAIHEIVASG >fgenesh2_kg.7__652__AT4G34430.4 pep chromosome:v.1.0:7:2785557:2790031:-1 gene:fgenesh2_kg.7__652__AT4G34430.4 transcript:fgenesh2_kg.7__652__AT4G34430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRRDSAGTLAFAGSSGDSPASEPMPAPRRRGGGLKRKANALGGSNFSSSTPSKRMLTREKAMLASFSPVHNGPLTRARQAPSIMPSAADGVKSELLNVAVGADGEKPKEEEERNKAIREWEALEAKIEADFEAIRSRDSNVHVVPNHCGWFSWEKIHPLEERSLPSFFNGKLEGRTSEVYREIRNWIMRKFHSNPNIQIELKDLTELEVGDSEAKQEVMEFLDYWGLINFHPFPPTDAGSTPSDHDDLGDKESLLNSLYRFQVDEACPPLVPKPRLTAQATPSGLFPDPVATDELLKQEGPAVEYHCNSCSADCSRKRYHCPKQADFDLCTECFNSGKFSSDMSPSDFILMEPAEAPGVGSGKWTDQETLLLLEALEIFKENWNEIAEHVATKTKAQCMLHFLQMPIEDAFLDQIDYKDPSTKDTTDLAVSKEDKSVLKDAPEEAENKKHVNEDETMMEVPEPEDGNEEKVSHESSKPGDASEETNEVEADQKTPKLETVIDERSNDEADENIALKALAEAFEDVGYSSTPEASFSFADLGNPVMGLAAFLVRLAGSDVATASARASIKSLHSNSGLLLATRHCYILEDPPDNKKDPTESKSADADGNDDNVHKDEQPEEKSQKAEEVSLNSDDKEMPDTDTGKENQDSFSEEKQPRSRTENSTTKPDVVQEKRSSKPVTTDKSEKPADIICPSQDKCSGKELQEPLKDGIKLSSENKDASQATVSQSGEDASQPEATRDVEMMDLLQAEKDPQDVVKTVEGEVQQAKEEGAKDVLSTPDMSLSRQPIGSASAPENGTGENPNKEGKKEKDVCEGTKDKHNIEKLKRAAISAISAAAVKAKNLAKQEEDQIRQLSGSLIEKQQLHKLEAKLSIFSEAESLTMRVREQLERSRQRLYHERAQIIAARLGVPPSMSSKASLPTNRIAANFANVAPRPPMGMAFPRPPMPRPPGFSVPGSYVAATTMTGSSDPSPGSDNVSSV >fgenesh2_kg.7__657__AT4G34412.1 pep chromosome:v.1.0:7:2796787:2798282:1 gene:fgenesh2_kg.7__657__AT4G34412.1 transcript:fgenesh2_kg.7__657__AT4G34412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFHLDRGNTLSVSLFSDVTNSKELLNSMLDGSLKLEVSFLNASLIPDIFPLLAAAQKALISKSRDSLSTRTLHSELVYNYSGSKHITESLKRCGISESTTYILAARFNASPVEMEEVAKLIHGKEIALEELKTHANQANILKHYKITSQELGISSLGDAIVCRIAARDAL >fgenesh2_kg.7__660__AT4G34390.1 pep chromosome:v.1.0:7:2807142:2810926:-1 gene:fgenesh2_kg.7__660__AT4G34390.1 transcript:fgenesh2_kg.7__660__AT4G34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extra-large GTP-binding protein 2 [Source:UniProtKB/TrEMBL;Acc:D7MF21] MAAVLRKLLPLPSPNPKRENRESDDDGDENRIEYSFASEYKGPFIANVPRALPVDVDQIPTALPVSFSSLSRDGSYPVVPPLVRRVTKKSPESGIEKKNGLVDSAAGSSVVLKGRDVVSGSSSSSSSSKRLDVSEEVKNSVDLQFSPSSPLSASLREEDTLDDGRVSDVGPRAVRFVEPFQSSECDESSYISDGESIAPTRKAERKGKRGSCYRCLLGNRFTEKEVCIVCDAKYCFNCVRRAMGAMPEGRKCQTCIGFGIDESKRASLGKCSRMLKRHLTDSELRQVMNAEITCKANQLPSRLITVNEKPLSEDELFTLRTCPNPPKNLKPGNYWYDKVSGYWGKIGEKPSQIISPNNSIGGNISENVSNGDTEIYINGREITKQELMMLKWVGVQCEGKPHFWVDSDGTYREEGQKHPIGNIWNKKRTKIACAVFSLPVPPASSAVEPCDVPLYEHKMLNKLLLIGNEKGGATTVYKQARSLYNVSFSVDDREKIKFIIQTNLYTYLAMVLEAHERFEIEMSNDQSSGNVGDETSAKTVNSINPRLKHFSDWLLKEKEDGNLKIFPPSSRENAQTVADLWRVPAIQATYKRLRDRLPRNAVYFLERILEVSRSEYDPSDMDILQAEGLSSMEGLSCVDFSFPTTSQEETLESDYQHDPDMKYQLIRLNPRSLGENWKLLEMFEDADLVIFCVSLSDYGEYIEDGDGNLVNKMLANRQLFENMVTHPSLANKRFLLVLTKFDLLEEKIEEVPLRTCEWFEDFNPLISQNQTSRHNPPMAQRAFHYIGYKFKRLYDSILEPVNMRGRSFRPKLFVCQVSLESDTVDNAMRYAREILKWHVEETSMFQEMSTTSIEASSSS >fgenesh2_kg.7__663__AT4G34360.1 pep chromosome:v.1.0:7:2820650:2822668:-1 gene:fgenesh2_kg.7__663__AT4G34360.1 transcript:fgenesh2_kg.7__663__AT4G34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNSVGPPSALTYLDPHYWDERFSSEEHYEWFKDYSHFQHLIKSNIKTSSSVLELGCGNSQLCEELYKDGIVDITCIDLSSVAVEKMQSRLLSKGYKEIKVVQANMLDLPFDSESFDVVIEKGTMDVLFVDAGDPWNPRPETVSKVMATLDGVHRVLKPDGIFISITFGQPHFRRPLFKDPKFTWSMEYNTFGDGFHYFFYILRKGKRSNEENEDEKCSDPSISLYQDELEGEDYLFRTRIDDDED >fgenesh2_kg.7__664__AT4G34350.1 pep chromosome:v.1.0:7:2823570:2826262:1 gene:fgenesh2_kg.7__664__AT4G34350.1 transcript:fgenesh2_kg.7__664__AT4G34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALQFCRLCVRPDTFMLENHLSGSGSLRRRKALSVRCSSGDGNAPSPSVVMDSDFDAKVFRKNLTRSENYNRKGFGHKEETLKLMNREYTSDILETLKTNGYTYSWGDVTVKLAKAYGFCWGVERAVQIAYEARKQFPEERLWITNEIIHNPTVNKRLADMDVKIIPVEDSKKQFDVVEKDDVVILPAFGAGVDEMYVLNDKKVQIVDTTCPWVTKVWNTVEKHKKGDYTSVIHGKYNHEETIATASFAGKYIIVKNMKEANYVCDYILGGQYDGSSSTKEEFMEKFKYAVSKGFDPDHDLVKVGIANQTTMLKGETEEIGKLLERTMMRKYGVENVSGHFISFNTICDATQERQDAIYELVEEKIDLMLVIGGWNSSNTSHLQEIAEARGIPSYWIDSEKRIGPGNKIASKLHYGELVEKENFLPKGPITIGVTSGASTPDKVVEDALVKVFDIKREELLQLA >fgenesh2_kg.7__665__AT4G34340.1 pep chromosome:v.1.0:7:2826737:2828078:1 gene:fgenesh2_kg.7__665__AT4G34340.1 transcript:fgenesh2_kg.7__665__AT4G34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERAQDGDRNEAASSSRCSESYEFSHAAAKAAVAQVCESVGYEHFKDPALESLAGFALQYILQLGKTATSFANLTGRSQCNVFDIILALDDLTDNGEEGISSESCSLGRSVKLREIIDYVNSSEEIPFSQPLPRFPVAISDKSRNLIPSFVEIGETPPGKHIPLWLPAFPDPHTYKETPMWIERVSDPRGDKIEQARQRRKAERALLSLQRKLVCKLSSRNTVWGDLDGVKEEMREEESDLRSVSPPISEEKVESLNRDGLSVIEAFAPAMEAARDGFSSEAHTEWKKKKPVALSKLRTEKKFLGQPLDLSLQMKGEDRPLSFVREEDRDDKRRRAEFILRQCMENPVDLNQL >fgenesh2_kg.7__666__AT4G34320.1 pep chromosome:v.1.0:7:2831114:2832730:-1 gene:fgenesh2_kg.7__666__AT4G34320.1 transcript:fgenesh2_kg.7__666__AT4G34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQTSKKSQETSAKSVHYTTELRSYEAACKADTELQSFDTCLKARTSHVISTLATGVEVRALSFDSLKEVTQCLLEMNQEVVKVILDCKKDIWKNQEMFELVEDYFENSLKTLDFCAALEKGLRRARDSHLLILVALQQFEDESLVQGGNGYKKTLEELKNFKDAESPFNEDFFKMFQSVYKQQMLMLEKLQLRKNKLDKKLKCIHTWRKLSSIIFVATFATVLICSVVAAAMAAPPVAAALAAATAVPLGSMGKWIDSLWKNYENALKGQKEVISSMQAGTFVAVKDLDNIRVLIERLEIEITGMVKSAEFAVEHNAVKIGIDDIKKKLEVFKKNVEELGTQADLCSRDIRRARTVILQRIIKHPNNASSST >fgenesh2_kg.7__670__AT4G33930.1 pep chromosome:v.1.0:7:2841631:2842731:-1 gene:fgenesh2_kg.7__670__AT4G33930.1 transcript:fgenesh2_kg.7__670__AT4G33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSWTRKLLVVLMVSAFVFSGSADAWSWSWGSDQSGSNGGWGWSSGNSGGSSGSGSGGSDSNSDGSGWGWGWSSDGTDTNWGWGSSSGSNHSSGTGSTHNGHSSGSNHSRGTGSTHNGHSSGSNHSSGTGSTHNALAQTTQASLVLHTRITALAQTTQASLVLPTTVTTLAQTTQASLVLHTTVTARAQTTQASLIAVTVWENGYGYTEWTAKHAPFYVNDVLVFTYNNNDQTQSKTKHHNKKKNDVYLLPDMKSFRRCDVARGKKLVARGGSSSRGFKLLLRKVQTYYFASGDHNGCNHNMKFSIHPIPHPSSH >fgenesh2_kg.7__671__AT4G34290.1 pep chromosome:v.1.0:7:2843293:2844753:-1 gene:fgenesh2_kg.7__671__AT4G34290.1 transcript:fgenesh2_kg.7__671__AT4G34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSGIFSTTFLCVDTAPFRSSLLSPSSLRLSPHRPANLRMVRAVTSATAASSDPTTTTKTREPRGIMKPRPVSPEMQDVVGVPEIPRTQALKRIWAYIKEHDLQDPQNKRDIICDEKLKKIFEGKERVGFLEIAKLIGPHFL >fgenesh2_kg.7__673__AT4G34270.1 pep chromosome:v.1.0:7:2850073:2852443:1 gene:fgenesh2_kg.7__673__AT4G34270.1 transcript:fgenesh2_kg.7__673__AT4G34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVVDKEILKSSGAELLPDGRRGLRIHDWEIETLRGTILTSLAVEEWEEKLKTSHLPEMVFGENALVLKHLSSNTKIHFNAFDALAGWKQEGLPPVEVPAAAQWKFRSKPSQQVILDYDYTFTTPYCGSEVVEKDNEAVEAKANPKGEATLQWDNCEDQIDLAALSLKEPILFYDEVVLYEDELADNGVSLLTVKVRVMPSSWFLLLRFWLRVDGVLMRLRETRMHYTFGKDETPTVLRENCWREATFQSLSAKGYPVDLAVWSDPSSISQRLPVIKHTTQKLKIPRKV >fgenesh2_kg.7__675__AT4G34265.1 pep chromosome:v.1.0:7:2852580:2854195:-1 gene:fgenesh2_kg.7__675__AT4G34265.1 transcript:fgenesh2_kg.7__675__AT4G34265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSAARSFVSATARAPSLRSPPATLPRLRPPQSSLPRRRFASFTNPRNLGELGCTESFLPLYNVVAAARLTSHLNVNLRAFCELSNGNGKDG >fgenesh2_kg.7__677__AT4G34250.1 pep chromosome:v.1.0:7:2864203:2865958:-1 gene:fgenesh2_kg.7__677__AT4G34250.1 transcript:fgenesh2_kg.7__677__AT4G34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7MF36] MDSPMKKVKIFTNYLMAHRFKLCFLPLMVSIAMEASRLSTQDLQNLYLYLQNNITSLTMFFLYLALGSTLYLMTRPKPVYLVDFSCYLPPSHLKASIQKIMDHVRRVREAGAWKQESDYLMDFCEKILERSGLGQETYIPEGLQSLPLQQTLAVSRKETEEVIIGAVDNLFRNTGISPSDIGILVVNSSTFNPTPSLSTILVNKFKLRDDIKSLNLGGMGCSAGVIAIDAAKSLLQVHRNTYALVVSTENITQNLYLGNNKSMLVTNCLFRIGGAAILLSNRSMDRKRAKYELVHTVRVHTGADDRSYECATQEEDEDGVVGVSLSKNLPMVAARTLKINIATLGPLVLPLSEKFHFFVRFVKKKFVNPKLKHYIPDFKLAFEHFCIHAGGRALIDEMEKNLHLTPLDVEASRMTLHRFGNTSSSSIWYELAYTEAKGRMKKGDRIWQIALGSGFKCNSSVWVALRNVKPSANNPWEHCLHKYPVEIDIDLKE >fgenesh2_kg.7__682__AT4G34220.1 pep chromosome:v.1.0:7:2886142:2888773:1 gene:fgenesh2_kg.7__682__AT4G34220.1 transcript:fgenesh2_kg.7__682__AT4G34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNRSNLLFSLVLFYLLFVPTQLQALNTDGVLLLTFKYSILSDPLSVLSNWNYDDATPCLWTGVTCTELGKPNTPDMFRVTSLVLPNKHLLGSITPDLFSIPHLRILDLSSNFFNGSLPDSVFNATELQVISLGSNNLSGDLPKSINSVTNLQLLNLSANAFTGEIPLNISLLKNLTVVSLSKNSFSGDIPSGFEAVQVLDLSSNLLNGSLPKDLGGKSLHYLNLSHNKVLGEISTGFAEKFPANATVDLSYNNLTGPIPSSLSLLNQKAESFSGNQDLCGKPLKILCSVPSTLSNPPNISDTTSPAIAVKPRSTAPINPLTESPNQTAKSKLKPSTIAAITVADIVGLAFIGLLVLYVYQVRKRRRYPESSRFSFFKFCLEKNEAKKSKPSATEVTVPESPEAKRACGSCIILTGGRYDETSTSESDVENQQTVQAFSRTDGGQLKQSSQTQLVTVDGETRLNLDTLLKASAYILGTTGTGIVYKAVLENGTAFAVRRIETERCAAAKPKEFEREVRAIAKLRHPNLVRIRGFCWGDDEKLLISDYVPNGSLLCFFTATKASSSSSSSSSLQNPLSFEARLKIARGMARGLSYINDKKHVHGNIKPNNILLNAENEPIITDLGLDRLMTQARESRTTGPTSSSPYQPPEWSTSLKPNPKWDVYSFGVILLELLTSKVFSVDHDIDQFSNLTGSEAEENGRFLRLIDGAIRSDVARNEDAAMACFRLGIECVSSLPQKRPSMKELVQVLEKMCVLV >fgenesh2_kg.7__684__AT4G34215.2 pep chromosome:v.1.0:7:2889114:2890810:1 gene:fgenesh2_kg.7__684__AT4G34215.2 transcript:fgenesh2_kg.7__684__AT4G34215.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MF41] MEGESITPGPGEDKLEIQSPIPPNQIFILSGQSNMAGRGGVVKDHHHNRWVWDKIVPPECAPNSSILRLSADLRWEEAHEPLHVDIDTGKVCGIGPGMPFANAVKNRLKTDSAVIGLVPCAAGGTAIKQWERGTHLYERMVKRTEESRKCGGEIKAVLWYQGESDVLDIHDAESYGSNMDRLIKNLRHDLNLPSLPIIQVAIASGGGYIDKVREAQLGLKLSNVVCVDAKGLPLKSDNLHLTTEAQVQLGLSLAQAYLSNFC >fgenesh2_kg.7__685__AT4G34200.1 pep chromosome:v.1.0:7:2893565:2896275:1 gene:fgenesh2_kg.7__685__AT4G34200.1 transcript:fgenesh2_kg.7__685__AT4G34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7MF42] MSATAAAASSISVATNSLKNVTLSSRSPLPSAISVAFPSRGRNTLQRRLVLVSCTAGDGSKPTILVAEKLGEAGVKLLEDFANVDCSYNMTPEELNTKISLCDALIVRSGTKVGREVFESSRGRLKVVGRAGVGIDNVDLSAATEFGCLVVNAPTANTIAAAEHGIALMAAMARNVAQADASVKAGEWKRNKYVGVSLVGKTLAVLGFGKVGTEVARRAKGLGMRVIAHDPYAPADRAHAIGVDLVSFDEALATADFISLHMPLTPTTSKILNDETFAKMKKGVRIVNVARGGVIDEDALVRALDSGIVAQAALDVFTKEPPAKDSKLVQHERVTVTPHLGASTMEAQEGVAIEIAEAVVGALNGELAATAVNAPMVSAEVLTELKPYVVLAEKLGRLAVQLVAGGSGVKNVKITYASARATDDLDTRLLRAMITKGIIEPISDVYVNLVNADFTAKQRGLRLSEERVLLDGSPESPLETITVQLSNVESKFASSLSESGEVKVEGRVKDGVPHLTKVGSFEVDVTLEGSIILCRQVDQPGMIGTVGSILGESNVNVNFMSVGRIAPRKQAIMAIGVDDIPSKDTLKKIGEIPAIEEFVFLKL >fgenesh2_kg.7__68__AT4G38690.1 pep chromosome:v.1.0:7:198137:199152:-1 gene:fgenesh2_kg.7__68__AT4G38690.1 transcript:fgenesh2_kg.7__68__AT4G38690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHLSKQLERRKAISTEKKALIDLEKSCGCEFPGCDYMPSDRKNWMSGVGPEKLHINKIVWPGTHDSATNKIGIRFVSRPFAQCQSLSIYNQLVAGTRVLDIRVQEDRRVCHGILKTYSVDVVLADLKRFLSETESEIVILEIRTEFGHEDPPEFDKYLVEQLGEHLIHQDDHVFTKTVAELLTKRVICVWKPRKSPQPKHGDPLWSAGYLKDNWIDTDLPSTKFESNIKHLSQQQPATYRKFFYRVENTVTPQPDNPIMCVKPVTKRIHCYAKVFIIECVKRGCADKLQIFSTDFIDKEFVDACVGLTFAKAEGKA >fgenesh2_kg.7__690__AT4G34140.1 pep chromosome:v.1.0:7:2936692:2940256:-1 gene:fgenesh2_kg.7__690__AT4G34140.1 transcript:fgenesh2_kg.7__690__AT4G34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MFR3] MNRKLDSKPRDGFPTNPPLLNSIIENHRQIFIGYKQKLSIVFGFDCLCIKAWILHNLQYKISHSGFYHDPNAGWYYCIKDGRYYKHENGEYVPLEYDESAINPPGDIVTYEPSEDDDSGRKYAENVENGCEVSLSNPGETPSGDDDAEELEDGEWIPEEDFDPQAENFDEAAPSSEEERWLAQYGQVVESPGKTLPEIPSVDLWDWKLVCESREADNEQVARLVGRLVRRSANLHPSVASGSTLLKTAPICEARLHLVRVRTGQVYKLQNPSAKYIASLSVYDSSNPTKDWGFPDISTAWQNPDTKRKSKKVKQKTDCKLTVKPREVDIEEQRSCSYRDRAAERRNLHGGYGVGPGQKGTTVGHDTDEHSVPDTASEEDTVAEALELSFGSGSYARRIMGNMGWKEGETLGKNTKGLVEPIQAVGNTGNVGLGFPQMRRK >fgenesh2_kg.7__693__AT4G34135.1 pep chromosome:v.1.0:7:2943689:2945483:1 gene:fgenesh2_kg.7__693__AT4G34135.1 transcript:fgenesh2_kg.7__693__AT4G34135.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MFR5] MAKLFSSRGAKSTILTTPLNSKILQKPIDTFKNLNPSLEIDIQIFDFSCVELGLPEGCENVDFFTSNNNDDRNEMIVKFFFSTRFLKDQLEKLLETTRPDCLIADMFFPWATEAAGKFNVPRLVFHGTGYFSLCAGYCIGVHKPQKRVASSCEPFVIPELPGNIVITEEQIIDGDGESDMGKFMTEVRESEVNSSGVVVNSFYELEHDYADFYKSCVQKRAWHIGPLSVYNRGFEEKAGRGKKANIDEAECLKWLDSKKPDSVIYVSFGSVAFFKNEQLFEIAAGLEASGTSFIWVVRKATDDKEEWLPEGFEERVKGKGMIIRGWAPQVLILDHQATGGFVTHCGWNSILEGVAAGLPMVTWPVGAEQFYNEKLVTQVLRTGVSVGAKRHVKVMMGDFISREKVDKAVREVFAGEAAEERRRRAKKLAAMAKAAVEEGGSSFNGLNSFMEEFSS >fgenesh2_kg.7__695__AT4G34120.1 pep chromosome:v.1.0:7:2948195:2950300:-1 gene:fgenesh2_kg.7__695__AT4G34120.1 transcript:fgenesh2_kg.7__695__AT4G34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISLSYSLPITRLPLLTSLNHQCFLPISSSSFPLLPLSNRRRSSTFSPSIAVSAFFAAPASVNNNSVPAKNGGYTVGDFMTPRQNLHVVKPSTSVDDALELLVEKKVTGLPVIDDNWTLVGVVSDYDLLALDSISGRSQNDTNLFPDVDSTWKTFNELQKLISKTYGKVVGDLMTPSPLVVRDSTNLEDAARLLLETKFRRLPVVDADGKLIGILTRGNVVRAALQIKRETENST >fgenesh2_kg.7__69__AT4G38710.1 pep chromosome:v.1.0:7:201630:203785:-1 gene:fgenesh2_kg.7__69__AT4G38710.1 transcript:fgenesh2_kg.7__69__AT4G38710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSSVWAKPGAWALEAEEHEAELKQQAPPSTQKSSAGDSSDFPSLAAAATTKTKKKKAQTISLAEFATYGSAKAAAQTERLTQAELVSLPTGPRERSADELDRSKLGGGFRSYGGGRYGDESSSSRWGSSRVSEDGERRGGGFNRDREPSRDSGPSRADEDDNWAAAKKPIGGNGFERRERGAGGGFFESQSKADEVDSWVSSKPSEPRRYEKRGSFESLSRNRDSQYGGGGSSDSDTWGRRREESSGANGVPSPTAGSRPRLVLQPRTLPVAVVEVVKPESPVLVIVEKPKGANPFGNARPREEVLAEKGQDWKEIDEKLEADKLKDVAAAIEKPDEKSPGKMGGFGLGNGRKDDERTERSWRKSTEQSEEEPAVEEAKKEEAEGELAVEEAKKEETEKEAAVEEAKKEETDDKI >fgenesh2_kg.7__700__AT4G34040.1 pep chromosome:v.1.0:7:2978395:2982897:1 gene:fgenesh2_kg.7__700__AT4G34040.1 transcript:fgenesh2_kg.7__700__AT4G34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MFS4] MQGPQSTGDSSTGINYADGEPIRTTNSETTSNSILNPVDVQFPHNTTGPGRPTYPSSSSHVVQNHNWWSFGESSSRLGPSDQVNSIGSKTDRQLPSDGYGFEEDQSGILLPGESFLRGSSSNHMLGHVNLGKDMDIGSGLQTSGVVIRHNNCESSLGSSSQTAEERSSGPGSSLGGLGSSCKRKALEGAPSHSFPGESHGCFFQTESGAWNEGLAQYDASSSLSLSMPSQNSPNVNNQSGLPEPRFGLGGGRAVTASAFPSTRSTETISRPGRRLNPGQPPESVAFSFSQSGSSVRQQQQLPATSPFVDPLDARAIPVTGSSSSGDGQPSMIHLPALTRNIHQFAWSAASSSRTNNMPEEGFGPPWDAPRINSEQPVFTTPATETRNPVQDQFSWSFTRGNPSTSGDSPFVPRAGSSSGIHGLQPNPTWVTPHNQSRISEVAPWSLFPSIESESANHGTSLPLLPTGPSVSSNEAAAPSGSSSRSHRSRQRRSGLLLERQNEHLHLRHLGRSLAADNDGRNRLISEIRQVLSAMRRGENLRFEDYMVFDPLIYQGMAEMHDRHRDMRLDVDNMSYEELLALGERIGDVSTGLSEEVILKAMKQHKHTSSAAGSHQDMEPCCVCQEEYAEGDDLGTLGCGHEFHTACVKQWLMLKNLCPICKTVALST >fgenesh2_kg.7__705__AT4G34000.1 pep chromosome:v.1.0:7:2989307:2991930:-1 gene:fgenesh2_kg.7__705__AT4G34000.1 transcript:fgenesh2_kg.7__705__AT4G34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLNFKSFVDGVSEQPTVGTSLPLTRQNSVFSLTFDEFQNSWGGGIGKDFGSMNMDELLKNIWTAEESHSMMGNNTSFNNINNGNSGNTVINGGGNNNGGLAVGVGGESGGFFTGGSLQRQGSITLPRTISQKRVDDVWKELMEEDDTGNGVGNGGTSGIPQRQQTLGEMTLEEFLVRAGVVREEPQPVESVTNFHGGFYGFGSNGGLGTAINGFGANQPHDLSGNGAVMRPDLLTAQTQPLQMQQPQTVQQPQQLIQKQERPFPKQTTIAFSNTVDAVNRSQPATQCQEVKPSILGIHNHPMNNNLLQAVEFKTGVTVAAVSPGSQMSPDLTPKSALDASLSPVPYMFGRVRKTGAVLEKVIERRQKRMIKNRESAARSRARKQAYTMELEAEIAQLKELNEELQRKQVEIMEKQKNQLLEPLRQPWGMGCKRQCLRRTLTGPW >fgenesh2_kg.7__708__AT4G33980.1 pep chromosome:v.1.0:7:3004401:3006618:1 gene:fgenesh2_kg.7__708__AT4G33980.1 transcript:fgenesh2_kg.7__708__AT4G33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDYTVNIVSLEMDRDVADSSAESQSESTLSNSLESGVTAETSRADADSKLDECAGWTNEKHNSYLDYLESSFVRQLYSFLGGETQILSRTRDVQSNSHKSTDQFTVLQNGCWQKVNFGKKQAHLETSSEFRFQKKSLRSKSENPNGNYTMGTAVQGDVLCHEETKHSEASGQNFREEEEEEKGEVSRKRDREANNDDSSLKEDQVVPVRMVKPRM >fgenesh2_kg.7__709__AT4G33970.1 pep chromosome:v.1.0:7:3007568:3009874:1 gene:fgenesh2_kg.7__709__AT4G33970.1 transcript:fgenesh2_kg.7__709__AT4G33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPSFGCCFFLLFFSFLSSFFVSFALTDTEAAFIVQRQLLTLPDNGELPDDIEYEVDLKATFANTRLKRAYIALQAWKKAIFSDPFNTTGNWHGPHVCSYTGVVCAPALDDSDVTVVAGVDLNGADIAGHLPAELGLMTDVAMFHLNSNRFCGIIPKSFEKLKLMHEFDVSNNRFVGPFPKVVLSWPNVKYIDLRFNDFEGQVPPELFKKELDAIFLNDNRFTSVIPESLGESPASVVTFANNKFTGCIPKSIGNMKNLNEIVFMDNDLGGCFPSEIGKLSNVTVFDASKNSFIGRLPTSFVGLTNVEEFDISGNKLTGLVPDNICNLPNLVNFTYSYNYFNGQGGSCVPGGGRKEIALDDTRNCLPARPDQRSSQECAVVINRPVDCSKDKCAGGGGGGSSTPSKPSPVHKPTPVPTTPVPKPTPVPTTPVHKPSPVPTTPVHKPTPVPTTPVPKPTPFQLRPLTNHRQFQLRQFHLGRDEQAQPSSTSSIPTSGVHSPPPPPPVHSPPPPVFSPPPPPVHSPPPPPPPVYSPPPPPPVNSPPPPVHSPPPPVHSPPPPPVHSPPPPVHSPPPPAPVHSAPPPVHSPPPPASSPPQTPLKPSPSPTIFSPPPPQFPPVVYSPPPRPPKINSPPAQAPAPSDDEFIIPPFIGHQYASPPPPMFSGY >fgenesh2_kg.7__70__AT4G38730.1 pep chromosome:v.1.0:7:204084:206332:-1 gene:fgenesh2_kg.7__70__AT4G38730.1 transcript:fgenesh2_kg.7__70__AT4G38730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:D7MFM8] MVSDNEMGLALAVSSSVFIGSSFILKKKGLKRAAANGTRAGFGGYTYLLEPLWWVGLVTMTFGEIANFVAYVYAPAVLVTPLGALSIIISAVLAHFLLDEKLRKMGVWGCVCCIVGSVMIVIHAPQEQTPNSVEEIWKLAMQPAFLIYVAISMSIVLALILYCEPLCGQTNILVYIGICSLMGSLTVMSIKAVGIAIKLTFEGINQIWYPETWFFAIVAAICVVMQMIYLNKALDTFNAAIVSPIYYVMFTTLTIVASAIMFKDWNGQNTDSIASEICGFITVLTGTVILHATREEEQASPGRMRWQDSGKSFDEEHLTSLYSPEY >fgenesh2_kg.7__713__AT4G33950.1 pep chromosome:v.1.0:7:3033143:3035640:-1 gene:fgenesh2_kg.7__713__AT4G33950.1 transcript:fgenesh2_kg.7__713__AT4G33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAVSGPMDLPIMHDSDRYELVKDIGSGNFGVARLMRDKQSNELVAVKYIERGEKIDENVKREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKVADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTIHRILNVQYAIPDYVHISPECRHLISRIFVADPAKRISIPEIRNHEWFLKNLPADLMNDNTMNSQYDESDQPGQSIEEIMQIIAEATVPPAGTQNLNHYLTGSLDIDDDMEEDLESDLDDLDIDSSGEIVYAM >fgenesh2_kg.7__717__AT4G33930.1 pep chromosome:v.1.0:7:3046482:3047585:1 gene:fgenesh2_kg.7__717__AT4G33930.1 transcript:fgenesh2_kg.7__717__AT4G33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYWARKLLVVLLVTAFVFSGSAEAWSWSWGSDQSGSNGGWGWSSGNSGGSSGSGSGGSDSNSDGSSWGWGWSSDGTDTNWGWGRSSGSHHSSGTGSTHNRHSSGTNHSSGTGSSHNALALTTQAALALHTTVIARAQTTRASLNHSSGSNHSNIVGSTYNGHSSGLNHSSIIGSTHNNHSSGSNHSSIVGSTHNHTNPIPAGRKIAVTVWKNGYGYTEWSSKHAPFYVNDVLVFTYNNDDRTQSMTKHHSKKKNDVYLLPDMKSFKRCDVARGKKLVARGGSSSRGFKLLLRKVQTYYFVSGDHIGCNHNMKFSIHPIPHPSSH >fgenesh2_kg.7__718__AT4G33925.1 pep chromosome:v.1.0:7:3048234:3049208:-1 gene:fgenesh2_kg.7__718__AT4G33925.1 transcript:fgenesh2_kg.7__718__AT4G33925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLLVAEAVWSNIESTGSVTEEQLSSLHLLFGKNLEKATRIIDKRGVKKISGLPSGRSIFQVVGESQKREEYLCFPGDYCGCYSFFYDVVSRGEHQCCKHQLAARLASSLGTYSGIEVSDDHLALMLSKI >fgenesh2_kg.7__719__AT4G33920.1 pep chromosome:v.1.0:7:3049685:3052020:-1 gene:fgenesh2_kg.7__719__AT4G33920.1 transcript:fgenesh2_kg.7__719__AT4G33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein [Source:UniProtKB/TrEMBL;Acc:D7MFT9] MLRALARPLERCLGSRASGDGLLWQSELRPHAGGDYSIAVVQANSRLEDQSQVFTSSSATYVGVYDGHGGPEASRFVTRHLFPYMHKFAREHGGLSVDVIKKAFKETEEEFCGMVKRSLPTKPQMATVGSCCLVGAISNDTLYVANLGDSRAVLGSVVSGDDSSKGAVAERLSTDHNVAVEEVRKEVKALNPDDSQIVIYTRGVWRIKGIIQVSRSIGDVYLKKPEYYRDPIFQRHGNPIPLRRPAMTAEPSIIVRKLKPQDLFLIFASDGLWEHLSDETAVEIVLKHPRTGIARRLVRAAMEEAAKKREMRYGDIKKIAKGIRRHFHDDISVVVVYLDQKKTSSSNGKLVQQGGITAPPDIYSLHSDEAEQRRLLNVLY >fgenesh2_kg.7__71__AT4G38740.1 pep chromosome:v.1.0:7:207064:207848:-1 gene:fgenesh2_kg.7__71__AT4G38740.1 transcript:fgenesh2_kg.7__71__AT4G38740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7MFM9] MAFPKVYFDMTIDGQPAGRIVMELYTDKTPKTAENFRALCTGEKGVGSSGKPLHFKGSKFHRVIPNFMCQGGDFTAGNGTGGESIYGTKFKDENFERKHTGPGILSMANAGANTNGSQFFICTVKTDWLDGKHVVFGQVVEGLDVVKAIEKVGSSSGKPTKPVVVADCGQLS >fgenesh2_kg.7__720__AT4G33910.1 pep chromosome:v.1.0:7:3053883:3055878:1 gene:fgenesh2_kg.7__720__AT4G33910.1 transcript:fgenesh2_kg.7__720__AT4G33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7MFU0] MKSRLKSYKRKKLGLATVIVFCSLCFLVGFYGSTLLSQNVPRVKPRLRMLEMVENGEEDTGSMPHGVTGEESVGSIPFQVLSWRPRAIYFPNFATAEQCQAIIERAKVNLKPSALALRKGETAENTKGTRTSSGTFISASEDSTGALDFVERKIARATMIPRSHGESFNILRYELGQKYDSHYDVFNPTEYGPQSSQRIASFLLYLSDVEEGGETMFPFENGSNMGTGYDYKQCIGLKVKPRKGDGLLFYSVFPNGTIDQTSLHGSCPVTKGEKWVATKWIRDQDQEE >fgenesh2_kg.7__721__AT4G33905.1 pep chromosome:v.1.0:7:3057105:3058837:1 gene:fgenesh2_kg.7__721__AT4G33905.1 transcript:fgenesh2_kg.7__721__AT4G33905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGALFRNAAFEAARIFRLKLTATANPFGKLDPLPTGGNIRRLQSRPYNRTPQFLGKAKETGVSGFCTSSSSSTVSTAGFIGWYLGMVKSRPVLTKSVTSSLIYIAADLSSQTIPQASVESYDLVRTARMAGYGLLILGPTLHYWFNLMSRLFPKRDLITTFKKMAMGQTVYGPAMNVVFFSLNAALQGENGSEIVARLKRDLLPTMLNGVMYWPLCDFITFKFFPVHLQPLVSNSFSYLWTIYITYMASRAKPTAIAI >fgenesh2_kg.7__723__AT4G33890.1 pep chromosome:v.1.0:7:3062001:3063772:-1 gene:fgenesh2_kg.7__723__AT4G33890.1 transcript:fgenesh2_kg.7__723__AT4G33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDQGSSRLDTLEIKAFIFREIGHQRAESYFHQLGRFFALKITKSEFDNLCIKTIGRQNIHLHNRLIRSIIKNASVAKSPPSRFTKKGGSFVRFGNGNSKNSQTQPLYGDSAFSPSTRKSRSRKFRDRPSPLGPLGKPQSLTTTNEESMSKAQSATELLSLGSRPPVEVASVEEGEEVEQIAGSPSVQSRCPLTAPLGVSMSLRNGATRKSVSNVSMCSSSFNRGTCQNNGELPDTRALRTRLERRLEMEGLKMTMDSVSLLNSGLDVFMRRLIEPCLSLANTRCGTDRLREMNYHYTQQSRRLSYVSMSDFRAGMELNPQILGEDWPMHMEKICSRASDK >fgenesh2_kg.7__724__AT4G33880.1 pep chromosome:v.1.0:7:3074379:3076084:1 gene:fgenesh2_kg.7__724__AT4G33880.1 transcript:fgenesh2_kg.7__724__AT4G33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMGEWSTNLGGMYTYATEEADFMNQLLASYDHPGTGSSSGATGGDHHQGLYWNLGSHHNHLSLVSEAGSFCFSQESSSYSAGNSGYYTVVPPAAEENQNGTMDFGMEDVTINTNSYLVGEETSECDVEKYTSGKTLMPLETVVENQDDEESLLQSEISVTTTKSLTGSKKRSRATSNDKNKRARVNKRSQKNIEMSGDNNGGEEEEGEKLKKRKNGAMMSRQNSSTTFCTEEESNCADQDGGGEDSSSKEDDASKALNLNGKTRASRGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDLWMYAPIAFNGMDIGLSSPR >fgenesh2_kg.7__726__AT4G33865.1 pep chromosome:v.1.0:7:3085781:3086662:1 gene:fgenesh2_kg.7__726__AT4G33865.1 transcript:fgenesh2_kg.7__726__AT4G33865.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S14p/S29e family protein [Source:Projected from Arabidopsis thaliana (AT4G33865) TAIR;Acc:AT4G33865] MGHSNVWNSHPKKYGPGSRLCRVCGNSHGLIRKYGLNCCRQCFRSNAKEIGFIKYR >fgenesh2_kg.7__728__AT4G33840.1 pep chromosome:v.1.0:7:3092876:3095393:1 gene:fgenesh2_kg.7__728__AT4G33840.1 transcript:fgenesh2_kg.7__728__AT4G33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 10 protein [Source:UniProtKB/TrEMBL;Acc:D7MGF0] MKLLLLLLAFCCLSLSRCEEKSVPYDYSATIECLDTPYKPQYSGGIIVNPDLQNGSLGWSQFGNAKVDFRGFGGNKFVVATQRNQSSDSVSQKVYLEKGILYTFSAWLQVSRGKAPVSAVFKKNGEYKYAGSVVAESKCWSMLKGGLTVDESGPADLYFESENTTVDIWVDSVSLQPFTQEEWDSHHEQSIDKARKGSVRIRVMNNKGETIPNATISIEQKKLGFPFGCAVENNILGNQAYQNWFTQRFTVTTFGNEMKWYSTERIRGQEDYSTADAMFSFFKQHGIAVRGHNILWDDPRYQPGWVNSLSRDDLYNAVKRRVFSVVSRYKGQLTGWDVVNENLHFSFFESKLGPKASYNTYAMAHAFDPRTTMFLNEYNTLEQPNDLTSSPARYLGKLRELQSIRVAGKIPLGIGLESHFSTPNIPYMRSALDTLGATGLPIWLTEVDVDAPPNVRSKYFEQVLREGHAHPKVKGMVMWTGYSPSGCYRMCLTDGNFKNLPTGDVVDKLLREWGGLHSQTTGVTDANGFFEASLFHGDYDLNISHPLTNSKSSYNFTLTPDDSSPKTQPSLFVVRI >fgenesh2_kg.7__729__AT4G33830.1 pep chromosome:v.1.0:7:3096241:3098569:1 gene:fgenesh2_kg.7__729__AT4G33830.1 transcript:fgenesh2_kg.7__729__AT4G33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 10 protein [Source:UniProtKB/TrEMBL;Acc:D7MGF1] MKLLLLLLLAFSGLSLSRCEDIFVPYDYSATIEASNALLIQSWSLETFCLDTPYKPQYNGGIIVNPDLQNGSLGWSQFGNAKVDFREFGGNKFVVATQRNQSSDSVSQMVYLEKGILYTFSAWLQVSIGKSPVSAVFKINGEDKHAGSVVAESRCWSMLKGGITVDESGPADLYFESEDTAVEIWVDSVSLQPFTQKEWNAHQEQSIEKARKGAVRIRVVNNKGEKIPNASITIVQNRLGFPFGSAVAQNILGNQAYQNWFTQRFTVTTFENEMKWYSTESVRGIENYTIADAMLRFFKQHDIAVRGHNVVWDHPKYQSKWVTSLSRNDIYNAVKRRVFSVVSRYKGQLAGWDVVNENLHHSFFESKFGPNASNNIFAMAHAIDPRTTMFMNEFYTLEDPTDLKASPAKYLQKLRELQSIRVRGNIPLGIGLESHFSTPNIPYMRSGLDILGATGLPIWLTEIDVKAPSDVQAKYFEQVLREGHAHPHVKGMVMWTAYSPSCYHMCLTDGNFKNLPTGDVVDKLLREWGGLRSQTTGVTDADGFFEASLFHGDYDLNISHPLANSKAYYNFTLTSDDSSSQTQPSIFVFSV >fgenesh2_kg.7__732__AT4G33800.1 pep chromosome:v.1.0:7:3109407:3110804:1 gene:fgenesh2_kg.7__732__AT4G33800.1 transcript:fgenesh2_kg.7__732__AT4G33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSSGCESGWTLYLDQSVSSPTPSCYRDSNGFENRRRSKDSWDQHNVHQGDEEEEEEDDLSMISDASSGPRNISEEDSVNKKINIVGLKKQCKREKKRRDYEKMNSVLDDTASSPLFNFPHMLQKSVGGNKIEQNFPESTLDYSQGFSATQFQDNTAFQEQYGYLHMETRF >fgenesh2_kg.7__733__AT4G33780.1 pep chromosome:v.1.0:7:3120851:3122539:1 gene:fgenesh2_kg.7__733__AT4G33780.1 transcript:fgenesh2_kg.7__733__AT4G33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSASISSPSSLALLSSLKRSPLSFFIFSPKTLIFTRTRISDFPYPLLASRRSRDFINGRDDFADDTRSWNRKIKPEYGFDEDYDGEEDEDDHEEEDRSLDLLLRFVENVFRKISKRARKAVRSILPVSISTKLVGFSVNGVLILAFLWILKAFLEVACTLGTIVFTSILLIRGLWAGVAYMQESRNNRINELADDPRAWNGVQPVS >fgenesh2_kg.7__736__AT4G33760.1 pep chromosome:v.1.0:7:3137134:3141228:1 gene:fgenesh2_kg.7__736__AT4G33760.1 transcript:fgenesh2_kg.7__736__AT4G33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class II family protein [Source:UniProtKB/TrEMBL;Acc:D7MGF9] MSLLLRTLPLRPARFFSATAISASNAANFFFAPKRRNPLPGTRRTFSNSPVAAATGDVVVKPVPSPPSVLRWVSRTELCGELSVDDVGKSVRLCGWVALHRVHGGLTFLNLRDHTGIVQVRTLPDEFPEAHGLINDMRLEYVVLVEGTVRSRPNESVNKKMKTGFVEVVAEHVEILNPVRTKLPFLVTTADENKDLIKEEIRLRFRCLDLRRQQMKNNIVLRHNVVKLIRRCLEDRHGFIEIETPILSRSTPEGARDYLVPSRIQSGTFYALPQSPQLFKQMLMVSGFDKYYQIARCFRDEDLRADRQPEFTQLDMEMAFMPMEDMLKLNEDLIRKVFSEIKGIQLPDPFPRLTYADAMDRYGSDRPDTRFDLELIDVSNVFTESSFRVFTEALESGGIIKVLCVPLGAKKYSNSALKKGDIYNEAMKSGAKGLPFLKVLDNGEIEGIAALVSSLDSAGKINFVKQCGAAPGDLILFGVGPVTSVNKTLDRLRLFVAHDMDLIDHSKHSILWVTDFPMFEWNEPEQRLEALHHPFTAPKPEDMDDLPSARALAYDMVYNGVEIGGGSLRIYKRDVQEKVLEIIGISPEEAESKFGYLLEALDMGAPPHGGIAYGLDRMVMMLGGANSIRDVIAFPKTTTAQCALTRTPSEVDPKQLQDLSIRTN >fgenesh2_kg.7__737__AT4G33750.1 pep chromosome:v.1.0:7:3141448:3142013:-1 gene:fgenesh2_kg.7__737__AT4G33750.1 transcript:fgenesh2_kg.7__737__AT4G33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRSQEDGAPPAGFSEPQPVLELEQNRNESDPNIPISANITNKDFIQDESKNSTSESSQLENIAGSNTTEANENSRSEGDDESGEKDSSNIFSTELTEEADNTSETSISQEEMDALTDPQTLPDIRIEGNGEDEEEALGAERKKL >fgenesh2_kg.7__739__AT4G33740.2 pep chromosome:v.1.0:7:3142303:3143636:-1 gene:fgenesh2_kg.7__739__AT4G33740.2 transcript:fgenesh2_kg.7__739__AT4G33740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSSRSQRGSKGIKGKHVLQICVLLGVCIWLIYQVKYSHDKKKEFYEKDVEKSTVLLSEIEDGVVKLGRKDLLPKYHNQKENEKHVEEDEDEEEVSHEGEEKEKKSKVENGNHEEEEEKEEEEEVVEEDEEDKNKQGEEVAEEDEDENKHEEDEIDEQDQNKTGGDTDKDDETLEEEKESGRSDNDEKEKETDHADEIDMTVDEAREEHYKADDASSAVSHESRL >fgenesh2_kg.7__741__AT4G33720.1 pep chromosome:v.1.0:7:3147348:3148128:-1 gene:fgenesh2_kg.7__741__AT4G33720.1 transcript:fgenesh2_kg.7__741__AT4G33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MGG3] MKIFNSSQNLFVAITFFLVLIVHLKAQDSPQDFLAAHNRARAEVGVGPLRWDEKVAAYARSYANQRKGDCAMKHSSGPYGENIAWSSGSMTGVAAVNMWVDEQFDYDYNSNTCAWNKQCGHYTQVVWRNTARLGCAKVKCNNGQTFITCNYDPPG >fgenesh2_kg.7__742__AT4G33710.1 pep chromosome:v.1.0:7:3150613:3151151:-1 gene:fgenesh2_kg.7__742__AT4G33710.1 transcript:fgenesh2_kg.7__742__AT4G33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMFNSPQTLVLLALALVLAFAVPLKAQDGPKDYLAVHNRARDHVGVPHIKWHAGAARYAWNYAQIRKRDCRLKHSNSRGRYGENLAWSSGDMSGAAAVRLWVKEKSDYFHKSNTCRAGKQCGHYTQVVWKNSEWVGCAKVKCDNGGTFVTCNYFPPGNIRGRWPY >fgenesh2_kg.7__743__AT4G33700.1 pep chromosome:v.1.0:7:3157805:3160848:1 gene:fgenesh2_kg.7__743__AT4G33700.1 transcript:fgenesh2_kg.7__743__AT4G33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MGG8] MAVEYVCCSPNFFIHIAVIVFLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTPEHRKYAAKILPVVKNQHLLLVTLLICNAAAMETLPIFLDGLVTAWGAILISVTLILLFGEIIPQSICSRYGLAIGATVAPFVRVLVFICLPVAWPISKLLDFLLGHRRAALFRRAELKTLVDFHGNEAGKGGELTHDETTIIAGALELSEKMVKDAMTPISDIFVIDINAKLDRDLMNLILEKGHSRVPVYYEQPTNIIGLVLVKNLLTINPDEEIPVKNVTIRRIPRVPEILPLYDILNEFQKGLSHMAVVVRQCDKIYPLPSKNGSVKEARVDMDSEGTPTPQERMLRTKRSLQKWKSFPNRANSFKGGSKTKKWSKDNDGDILQLNGDPLPKLAEEEEAVGIITMEDVIEELLQEEIFDETDHHFEDS >fgenesh2_kg.7__744__AT4G33690.1 pep chromosome:v.1.0:7:3161010:3162517:-1 gene:fgenesh2_kg.7__744__AT4G33690.1 transcript:fgenesh2_kg.7__744__AT4G33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g33690/T16L1_180 [Source:UniProtKB/TrEMBL;Acc:D7MGG9] MDLETENRIASILLREAAELRRQAEKEGVRAYLEKPNVRHRPNSRFLTATVLGVQQANKAVETNEMWSLRSKEIEFDERLKRKSREESSSCPSEQNNRRDFLKRCTSVDENVTTTSLSPSSSRSRNKRWQSEDDDQGLGDVEVKTFLQSRVKRGRGSVGARMDEPLPCLPVKELSRNSDTGDRKLVLQPDRSPLLRRGTDSSSSDEEVHKCAHRKRKEHKKKLSSKKHKSKEKKRDRKKRKYCRD >fgenesh2_kg.7__745__AT4G33680.1 pep chromosome:v.1.0:7:3162704:3165551:1 gene:fgenesh2_kg.7__745__AT4G33680.1 transcript:fgenesh2_kg.7__745__AT4G33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTHQLVSSMISSSSSTFLGPSNFNLRTRNACLPMAKRVNTCKCVATPQEKIEYKTKVSRNSNMSKLQAGYLFPEIARRRSAHLLKYPDAQVISLGIGDTTEPIPEVITSAMAKKAHELSTIEGYSGYGAEQGAKPLRAAIANTFYSGLGIGDDDVFVSDGAKCDISRLQVMFGSNVTIAVQDPSYPAYVDSSVIMGQTGQFNTDVQKYGNIEYMRCTPENGFFPDLSTVGRTDIIFFCSPNNPTGAAATREQLKQLVEFAKKNGSIIVYDSAYAMYMSNDNPRSIFEIPGAEEVAMETASFSKYAGFTGVRLGWTVIPKQLLYSDGFPVAKDFNRIICTCFNGASNLSQAGALACLSPEGLEAMHKVIGFYKENTNIIIDTFTSLGYDVYGGKNAPYVWVHFPNQSSWDVFAEILEKTHVVTTPGSGFGPGGEGFVRVSAFGHRENILEACRRFKQLYK >fgenesh2_kg.7__746__AT4G33670.1 pep chromosome:v.1.0:7:3168263:3170276:1 gene:fgenesh2_kg.7__746__AT4G33670.1 transcript:fgenesh2_kg.7__746__AT4G33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-galactose dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7MGH1] MTKMELRPLGNTGLKVSAVGFGASPLGSVFGPVAEDDAVATMREAFRLGINFFDTSPYYGGTLSEKMLGKGLKALQVPRSDYIVATKCGRYKEGFDFSAERVRKSIDESLERLQLDYVDILHCHDIEFGSLDQIVSETIPALQKLKQEGKTRFIGITGLPLDIFTYVLDRVPPGTVDVILSYCHYGVNDSTLLDLLPYLKSKGVGVISASPLAMGLLTEQGPPEWHPASRELKSACKAAVTHCKSKGKKITKLALQYSLANKEISSVLVGMSSVSQVEENVAAVTDLESLGMDQETMSEVEAILGPVKNLTWPSGIHQN >fgenesh2_kg.7__747__AT4G33660.1 pep chromosome:v.1.0:7:3173901:3174624:1 gene:fgenesh2_kg.7__747__AT4G33660.1 transcript:fgenesh2_kg.7__747__AT4G33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MGH3] MSDPKYAYPYPAPGNYPQGPPPPVGVPPQYYPPPPPPPPPPPQRKVGFLEGLLAALCCCCLVDECCCDPTIICID >fgenesh2_kg.7__748__AT4G33650.1 pep chromosome:v.1.0:7:3175012:3181435:-1 gene:fgenesh2_kg.7__748__AT4G33650.1 transcript:fgenesh2_kg.7__748__AT4G33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEEVSGETPPSTPPSSTPPPSSSTANAAPLGSSVIPIVNKLQDIFAQLGSQSSIALPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLVQTKSRSNGGSDDEWGEFLHLPPDTRFYDFSEIRREIEAETNRLAGENKGVADKQIRLKISSPNVLDITLVDLPGITKVPVGDQPTDIEARIRTMILSYIKSATCLILAVTPANTDLANSDALQIASIVDPDGHRTIGVITKLDIMDRGTDARKLLLGNVVPLRLGYVGVVNRCQEDILLNRTVKEALVAEEKFFRSRPVYHGLTDRLGIPQLAKKLNQILVQHIKVLLPDLKSRISNALVATAKEHQSYGEITESRAGQGALLLNFLSKYCEAYSSLLDGKSEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPDVPFEVLVRRQISRLLDPSLQCARFIFDELIKISHRCMMNELQRFPVLRKRMDEVIGDFLREGLEPSEAMIGDIIDMEMDYINTSHPNFIGGTKAVEVAMQQVKSSRIPHPVARPKDTVEPDRTSSSASQVKPRTFLGRQANGIVTDQGVVSADAEKAAPAANANDTRWGIPSIFRGGDTRAVTKDSLLNKPFSEAVEDMSHNLSMIYLKEPPAVLRPSETHSEQEAVEIQITKLLLKSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIKKLYRENLFEEMLQEPDEIAVKRKRTQETLHVLQQAYRTLDELPLEADSVSAGMSKHQELLTSSKYSTSSSYSASPSTTRRSRRGGDQHQNGYGF >fgenesh2_kg.7__749__AT4G33640.1 pep chromosome:v.1.0:7:3182065:3182798:1 gene:fgenesh2_kg.7__749__AT4G33640.1 transcript:fgenesh2_kg.7__749__AT4G33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEIQKLEEEIHRLGSLQTDGSYKVTFGVLFNDDRCANIFEALVGTLRAAKKRKIVAFQGELLLQGVHDKVEITLRPPPPPPQAAAVASS >fgenesh2_kg.7__74__AT4G38770.1 pep chromosome:v.1.0:7:220293:222186:-1 gene:fgenesh2_kg.7__74__AT4G38770.1 transcript:fgenesh2_kg.7__74__AT4G38770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILPEPRGSVPCILLLVSVLFSATLTLARVVEVVGYAESKIKTPHAFSGLRVTIDCKVNKGHFVTKGSGNIDDKGKFGLSIPHDIVSDDGALKEECYAQLHSAAGTPCPAHDGLESTKIVFLSKSGDKHVLGLKQNLKFSPEICVSKFFWHMPKLPPFKGFDHPFPLPPPLELPPFLKKPCPPKFSPPVEVPPPVPVYEPPPKKEVPPPVPVYDPPPKKEVPPPVPIYEPSPKVELPPPIPKKPCPPKPPKIEHPPPVPVYKPPPKIEHPPPVPVYKPPPKIEHPPSIEHPPPVPVHKPPKKPCPPKPPKVELPPPVPVYKPPTKKPCPPKPPKKVDPPPVPVHKPPPKIVIPPPKIEHPPPVPVYKPPPKIEHPPIYIPPVVIPKKPCPPPVPVYKPPVVIPKKPCPPPVPEYKPPVVIPKKPCPPLPQLPPLPKFPPLPPKYIHHPKFGKWPPHP >fgenesh2_kg.7__753__AT4G33625.1 pep chromosome:v.1.0:7:3187661:3189533:1 gene:fgenesh2_kg.7__753__AT4G33625.1 transcript:fgenesh2_kg.7__753__AT4G33625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPEEIEESPAGPSSGSAKSKLANRADPFLVVCRCFSVVTSLIAILCVVVNVLAAVRSFRDSHDLFDGIFRCYAVVIACFVVLVETEWGFILKFSKVLEFWAGRGMLQIFVAVMTRAFPDFMTQKKDLLLLQNIASYLLLACGVIYVISGVLCIGFLKRARQQKEVSREQAVKDLEEIARRKEELEQLLLEHRSRDDDV >fgenesh2_kg.7__756__AT1G55990.1 pep chromosome:v.1.0:7:3195929:3196366:-1 gene:fgenesh2_kg.7__756__AT1G55990.1 transcript:fgenesh2_kg.7__756__AT1G55990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MGH9] IIQGLDVAAEGGGEKNGMDGRLVGREGMDGRLVGREGIVVGNEGKVGTGGIPVGIVGRFGCGKADGNGNGDIAVGIVGRVGKDGCGNVDGNGGSPIVGIGRFGICWRRRRDAEHVLIIIEEERLTKKAMRKSLYDAIDLGVLMNL >fgenesh2_kg.7__757__AT4G33600.1 pep chromosome:v.1.0:7:3197052:3198461:1 gene:fgenesh2_kg.7__757__AT4G33600.1 transcript:fgenesh2_kg.7__757__AT4G33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRSVSMRLVTCLASPKFSLNVLCLVVTVFVLLQIWSFHITQQPILLPPSLFTYLKEQQQEPEQIISENETAYLVEKLRESVTFLPLKDLRFSNKPLEGHTWFMSSLYDNQTKGEVQYQEFPSESSKGRLLCLKGVDEHDGSWNYYALAWPQALPVNASLQEGLTFVSYNHYSYGNMWHGLSAMVPFVAWSLRNQCESPQRWVLYHWGELRFKMGNWLSEIITATYGQNTKFLRFVDENKPVERRMEAFDLIRCKARKYCNISLSQTSESRIGMTLLMRTGPRSFKNESAVIDVFKRECKRVEGCELKVSYSNNLTFCEQVELMKMTDVLVSPHGAQLTNLVLMDRNSSVMEFLPKGWRKLAGVGQLVYQWGASWSGMRHEGSWHDPDGEICQFPDTDRRCMSVYKNGRIGYNETYFGEWAKSVLGKLREKKMENVLGRNHSYGSLDGCWC >fgenesh2_kg.7__758__AT4G33590.1 pep chromosome:v.1.0:7:3198965:3200488:1 gene:fgenesh2_kg.7__758__AT4G33590.1 transcript:fgenesh2_kg.7__758__AT4G33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKASAWRRLVTCLSSLKFYLNVLCLVVTGFVLLQLCSFQITQRSLSLPPALLTYLRHRPEQLSENKTASLVEKLRESVTFLPLKDYRFSNKPLEGHTWFMSSLYDNQTKGEAQYQEFPSDSSKGRLLCLKGVDEHDGSWNYYVLAWPEALPTNAILQEGLTFVSYNQYDYGNLWHGLTAAVPFVAWSLRNQCEKPQKWVLYHWGELRFGMGHWLSEIITSIYGQAPDFLQFVDQDKPVCFEKAVVMRHNEGGMSRERRIEVFDLIRCKARNYCNISSSETSKPRIGMTLLLRTGARSFRNESVVIDVFKRECKRVDGCELNVSYSNNLTFCEQVELMKKTDVLVSPHGAQLTNLFLMDKNSSVMEFFPKGWLKLAGVGQLVFQWGANWSGMRHEGSWHDPVGEICQFPYTDRRCMSIYKNAKIGYNETYFGEWARRVLRKFSIREMKELAECNHGNSSLDVCS >fgenesh2_kg.7__75__AT4G38780.1 pep chromosome:v.1.0:7:225049:234801:-1 gene:fgenesh2_kg.7__75__AT4G38780.1 transcript:fgenesh2_kg.7__75__AT4G38780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTNDGTPLAPPGTYSSRMQTPTQPADHPSYTSPSNRNPPTEPTSEDAEAKLEKKARTWMQLNSKRDHGDMSSKKHGLDKRVYLGALKFVPHAVFKLLENMPMPWEQVLMLKILNSDVFQVRYVNVVPWVVEPIYMAQWGSMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEDDSALYSWFYDHKPLVKTKLINSPSYQTWNLSLPIMSTLHRLAAQLLSDLVDRNYFYLFDMPSFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRVAFPHLYNNRPRKVKLCVYHTPMIMYIKTEDPDLPAFYYDPLIHPISNSSNTNKEHRKSNGYDDDEDDFVLPEGVQPLLNNSPLYTETTAAGISLLFAPRPFNMRSGRTRRAEDIPLVAEWFKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKSQKKKHLFRSLAATKFFQSTELDWVEVGLQVCRQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRVTKLVVDANVQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRAESHFDLELRASVMHDVVDAMPEGINQNKARTILQHLSEAWRCWKANIPWKVPGLPVAIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNFQKDGPYVTADEGIAIYSTTVNWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSAAVRLNQQQREELGLIEQAYDNPHEALMRIKRHLLTQHSFKEVGIEFMDLYSHLIPVYQIDPLEKITDAYLDQYLWYEGDKRHLFPNSIKPADSEPPPLLVYKWCQGINNLQGIWDTSDSQCVVMLQTKFEKLFEKIDFTVLNSLLRLVLDHKLANYVTGKNNVVLSYKDMSYTNTYGLIRGLQFASFVVQFYGLVLDLLLLGLTRASEIAGPPQRPNEFMTYWDTKVETRHPIRLYSRYIDKVHIMFKFTHEEARDLIQRHLTERPDPNNENMVGYNNKKCWPRDAWMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENGFVSVYSKDNPNLLFSMCGFEVRMLPKIRMGQEAFSSTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRVKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVSHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQLVWAEYALKRQEAQTHNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQSLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDPLEIETVQKETIHPRKSYKMNSSCADVLLFAAYKWPMSKPSLVAESKDMFDQKASNKYWINVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVIIGLDLAYNLHSAFGNWFPGSKTLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGVIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQVIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLMTVSSYTAFQRLILILRALHVNNEKAKMLLKPDMSVVTEPNHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQSKQASQLTAVTTRTTNVHGDELISTTISPYEQSAFGSKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYVMPKNILKKFICIADLRTQIAGYLYGISPPDNPQVKEIRCVVMVPQWGNHQQVQLPSSFPEHQFLDDLEPLGWMHTQPNELPQLSPQDVTFHSRVLENNKQWDGEKCIILTCSFTPGSCSLMSYKLTQAGYEWGRLNKDAGSNPHGYLPTHYEKVQMLLSDRFFGFYMVPDNGPWNYNFMGVNHTVGMNYSLKLGTPKEYYHQDHRPTHFLEFSNMEEDGDLDREDTFA >fgenesh2_kg.7__762__AT4G33560.1 pep chromosome:v.1.0:7:3207442:3207850:-1 gene:fgenesh2_kg.7__762__AT4G33560.1 transcript:fgenesh2_kg.7__762__AT4G33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGKQASWAVATAIAAVEVLKDQGVARWNYPLRLLHKEAMARVPTITVPSRHSPPTSSDSADFIRSKPLTTTPFEKSFEKAMGLSCFGPTTVRF >fgenesh2_kg.7__763__AT4G33550.2 pep chromosome:v.1.0:7:3208248:3208857:-1 gene:fgenesh2_kg.7__763__AT4G33550.2 transcript:fgenesh2_kg.7__763__AT4G33550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGMIRTLVILAIALFMISSDNVHVAIAQVCGANLSGLMNECQRYVNNAGPDSPPPSRSCCALIRPIDVPCACRYVSRDVTNYIDMDKVVYVARSCGKKIPSGYKCGSYTIPAA >fgenesh2_kg.7__765__AT4G33540.1 pep chromosome:v.1.0:7:3209822:3212654:-1 gene:fgenesh2_kg.7__765__AT4G33540.1 transcript:fgenesh2_kg.7__765__AT4G33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-beta-lactamase family protein [Source:UniProtKB/TrEMBL;Acc:D7MGI7] MASASFSAISSLSYHFRSKEAIFSSKASSFSSTALSGRRVFGGSIKAANVTSHQNPRRRSQNVEGDIFVDNTCIDCDTCRWMVPELFTRVDNMSAVTKQPACKEERLNALQALLSCPTGSIRTETPPADIGKAQETFPLAVDKDTLPGVFHCGFHSKKSYGATSYLILHREGNILVDSPRYVEKLARKIEIMGGVRYMFLTHRDDVADHKKWADRFKSTRILHSEDVEPSTSDVELKLEGSGPWSIYEDVELIHTPGHSEGSVCLFHKSLKALFTGDHVIMTESGLSILEQYNHGSVPLQLENVEKLINLDFVWLLPGHGRRVHFKDGEEKAKNLEALVQKHREKQLVSFSKSGKA >fgenesh2_kg.7__768__AT4G33510.1 pep chromosome:v.1.0:7:3231513:3233890:-1 gene:fgenesh2_kg.7__768__AT4G33510.1 transcript:fgenesh2_kg.7__768__AT4G33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:D7M8Q4] MLTLNASSPLTTKSFLPYRHAPRRPISFSPVFAIHSTDPKKSTQSASASAKWSIDSWKSLKALQLPEYPDQKDLDSVLQTLSSFPPIVFAGEARKLEDKLGQAAMGQAFMLQGGDCAESFKEFNANNIRDTFRVLLQMGVVLMFGGQLPVIKVGRMAGQFAKPRSDPFEEKDGVKLPSYRGDNINGDAFDEKSRIPDPHRMVRAYTQSVATLNLLRAFATGGYAAMQRVSQWNLDFTQHSEQGDRYRELANRVDEALGFMGAAGLTNAHPIMTTTEFWTSHECLLLPYEQALTREDSTSGLYYDCSAHMLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMVPSELVRLIEILNPQNKPGRITVIVRMGAENMRVKLPNLIRAVRGAGQIVTWVSDPMHGNTIMAPGGLKTRSFDAIRAELRAFFDVHDQEGSFPGGVHLEMTGQNVTECVGGSRTITYNDLSSRYHTHCDPRLNASQSLELAFIIAERLRKRRLGSGKSSIGV >fgenesh2_kg.7__76__AT4G38790.1 pep chromosome:v.1.0:7:235171:236937:1 gene:fgenesh2_kg.7__76__AT4G38790.1 transcript:fgenesh2_kg.7__76__AT4G38790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor family protein [Source:UniProtKB/TrEMBL;Acc:D7MFN4] MKATAKTPIHVVWSWVRRQPPKVKAFLAVVTGMAALVLLRFIVHDHDNLFVAAEAVHSIGICVLIYKLMKEKTCAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTILDLATLGTTLWVIFMIRFKLRASYMEDKDNFPLYYVLLPCVVLAVFIHPSTSHHILNRISWALCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVVDTRGRLLVALGYGLWPSMVLISEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >fgenesh2_kg.7__773__AT4G33480.1 pep chromosome:v.1.0:7:3241770:3244973:1 gene:fgenesh2_kg.7__773__AT4G33480.1 transcript:fgenesh2_kg.7__773__AT4G33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYSLTSPSPNSTLSYSNTHQFLNPSSSFPVSLSVSSPKNTRHLRLLITSALNPQTGQPTKKASTGSDNSSTNKKRKKKGKTAKPVENWELRDAEDAFEEDDDADYSSSSRSLATFNSPPTIPKPPAGFVIDETGRVLMASKKRIATVIDPTNNSPLDCVIRRVFTSSKGEDCMLLCPVDTPVQILKSTNIDGWSAVSDEEVESLLPAAAYALAKIHMHLVHSGFCYTARGGFCYTEDNVFDFRTDDGQDVEGLPTEGVEITCFHLDGSHYMVYTPSDPLLFVAAKDQNGLLQIADDVLLDDPAVISAIDEETEFNALVEEEAALLESLLGERI >fgenesh2_kg.7__775__AT4G33467.1 pep chromosome:v.1.0:7:3248823:3249410:1 gene:fgenesh2_kg.7__775__AT4G33467.1 transcript:fgenesh2_kg.7__775__AT4G33467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKRMDMLERRSSIETEPMTLHLDQLENAREEAIYVMKTKTMEEAMDIFTKQETHESLRASEERGGRCINLKDEDDDDEDERMMFMSPHGWDIATAPF >fgenesh2_kg.7__777__AT4G33460.1 pep chromosome:v.1.0:7:3258008:3260070:1 gene:fgenesh2_kg.7__777__AT4G33460.1 transcript:fgenesh2_kg.7__777__AT4G33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATNAP13 [Source:UniProtKB/TrEMBL;Acc:D7M8R1] MNGQCLFAASPPRLIPLRCSISSSVSPSGSYRIKFSDNVAVECRNLCFSVSTRQGISVPILRDCSFRIPSGQLWMILGPNGCGKSTLLKILAGVVNPSSGTVFVEKPKNFVFQNPDHQVVMPTVEADVAFGLGKYHDMNQEEVKSRVIKALEAVGMRDYMQRPIQTLSGGQKQRIAIAGALAEACKVLLLDELTTFLDESDQMGVIKAVKDLINAKKGDVTALWVTHRLEELKYADGAVYMENGRVVRHGDAAAISDFIKAKQSSYIDQIGS >fgenesh2_kg.7__77__AT4G38800.1 pep chromosome:v.1.0:7:237139:238958:-1 gene:fgenesh2_kg.7__77__AT4G38800.1 transcript:fgenesh2_kg.7__77__AT4G38800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMTN1 [Source:UniProtKB/TrEMBL;Acc:D7MFN5] MAPHGDESIDIEKPELAQSEILRPISTVVFVIAMQAEALPLVNKFGLSETTDSPLGKGLPWVLYHGVHKDLRINVVCPGRDAALGIDSVGTVPASLITFASIQALKPDIIINAGTCGGFKVKGANIGDVFLVSDVVFHDRRIPIPMFDLYGVGLRQAFSTPNLLKELNLKIGRLSTGDSLDMSTQDESLIIANDATLKDMEGAAVAYVADLLKIPVVFLKAVTDLVDGDKPTAEEFLQNLTVVTAALEETATKVINFINGKNLSDL >fgenesh2_kg.7__780__AT4G33430.1 pep chromosome:v.1.0:7:3267705:3272160:1 gene:fgenesh2_kg.7__780__AT4G33430.1 transcript:fgenesh2_kg.7__780__AT4G33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bri1-associated receptor kinase [Source:UniProtKB/TrEMBL;Acc:D7M8R4] MIPYFFWLILVLDLVLRVSGNAEGDALSALKNSLADPNKVLQSWDATLVTPCTWFHVTCNSDNSVTRVDLGNANLSGQLVMQLGQLPNLQYLELYSNNITGTIPEQLGNLTELVSLDLYLNNLSGPIPSTLGRLKKLRFLRLNNNSLSGEIPRSLTAVLTLQVLDLSNNGLTGDIPVNGSFSLFTPGLISFANTKLTPLPASPPPPISPTPPSPAGSNRITGAIAGGVAAGAALLFAVPAIALAWWRRKKPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVASDNFSNRNILGRGGFGKVYKGRLADGTLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPESQPPLDWPKRQRIALGSARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEALVDVDLQGNYIDEEVEQLIQVALLCTQSSPMERPKMSEVVRMLEGDGLAERWEEWQKEEMFRQDFNYPTHHPAVSGWIIGDSTSQIENEYPSGPR >fgenesh2_kg.7__782__AT4G33410.1 pep chromosome:v.1.0:7:3277300:3279620:-1 gene:fgenesh2_kg.7__782__AT4G33410.1 transcript:fgenesh2_kg.7__782__AT4G33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase family protein [Source:UniProtKB/TrEMBL;Acc:D7M8R6] METLWTLLYLLEPAPATLIVTAVTVTFASAFRALNYGKEMERNRDFSEASITLDSSQALMIPVMSSCSLLLMFYLFSSVSQLLTAFTAIASVSSLFYWLSPYALYMKTQLGLSDPFVSRCCSKSFTRIQGLLLVACAMTVVAWLISGHWVLNNLLGISICIAFVSHVRLPNIKICAMLLVCLFVYDIFWVFFSERFFGANVMVAVATQQASNPVHTVANSLNLPGLQLITKKLELPVKIVFPRNLLGGVVPGVSASDFMMLGLGDMAIPAMLLALVLCFDHRKTRDVVNIFDLKSSKGHKYIWYALPGYAIGLVAALAAGVLTHSPQPALLYLVPSTLGPVIFMSWRRKDLAELWEGPALSNPIEKSHEIEI >fgenesh2_kg.7__783__AT4G33400.1 pep chromosome:v.1.0:7:3281612:3284092:1 gene:fgenesh2_kg.7__783__AT4G33400.1 transcript:fgenesh2_kg.7__783__AT4G33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATHSHEDLEICSSDEDEYEEYEEGRDGEEEEETFQDSRDHTLGISSSARRLRPKAPSSSLDDVEAKLQALKLKYQSTEAPSTQNSARLFRYINGNTPKAKWVTAEKLTAYCFVKTNKGDEDDEDDDENGDVENEWWILKVGSKIREKVSDEMQLKAYKDQRRVDFVAKAVWAMKFASSEDFSVFVSSYNNCLFENNHGVEFNEANKAKIYGKDFIGWANPEAADDSMWEDADDILLQSPGGGSATPARDTQDLTEAFEEATSEGIHSLALGALDNSFLVGDSGIQVFKNMRQGIQGKGVCVNFEPGYGRAHSSAPKKALLMRAETNMLLMSPMSQTPHSRGIHQLDIETGKVISEWKFEKDGVDISMSDITNDGKGAQLDPSASTFLGLDNNRLCRWDMRDRYGMVQDLATANTPVLNWAQGHQFSRGTNFQCFATTGDGSIVVGSLDGKIRLYSSNTMRQAKTAFPGLGAPVTHVDATFDGKWIVGTTDTYLIVICTLFTDKSGKTKTGFEGRMGNKIAAPRLLKLRPLDAHLAGSDNKFRNAQFSWVTEDGKQERHVVSTVGKFSVIWNFQQVKNGSHECYHDQEGLKKCYCYKIVLRNESIVDSRFMNDNFAISGSPEAPLVIATPMKVSSFSLSSKR >fgenesh2_kg.7__784__AT4G33390.1 pep chromosome:v.1.0:7:3284356:3286933:-1 gene:fgenesh2_kg.7__784__AT4G33390.1 transcript:fgenesh2_kg.7__784__AT4G33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKTTDAVSPPEDSSVISNGRIIEPELHQPQAIPTKLENNDEENGKIYMDDTFLPSTLSNSQVEETQDSSTTPTFVSPSAEIVLPRVNTKYEAEGTTRNAVSPRSLYSPRSIGSPRALLSPRFAGSSSPLSNGTPRSMDSFRDSIDTASPFESVKEAVSKFGGITDWKAHRMKVLERRNFVEQELDKIQEEIPEYKKKAEMVEMSKMLAVEELESTKRLIEELKLNLDKAETEEKQAKQDSELAKLRVQEMEQGIADEVSVAAKAQLEVAQARHTSAISELESVKEELQNLQKEYDDLVKEKELAVKEAEEAVLASKEVERKVEELTIELIATKESLECAHSSHLEAEEHKIGAAMLRDQETHRWEKELKQAEEELQRLKQHIVSTNELQVKLEFASALLLDLKKELADHMESSKLKQETGESVTNTEISLQEKTTDIQKAVASAKKELEEVNANVEKATSEVNSLKVAFSSLRLEIEKEKSALDSLKQREGMASVTVASLEAEIDITRCEIALVKSKEKEAREEMVELPKQLQQASQEADEAKSFAELAREELRKSQEEAEQAKAGASTMESRLFAAQKEIEAIKASERLALAAIKALQESESSSKDNAVDSPRTVTLTIEEYYELSKRAHEAEEAANARVAAAVSEIEEAKETENRTLEKLEEVNKEMVSRKETLAGAMEKAEKAKEGKLGVEQELRKWREESEKKRKNGDNNGVNIEKSHGKSIQGSKEKEAETNESNVTETNPIPQANPVKKKKKLFPRFFMFLMKKKSHK >fgenesh2_kg.7__793__AT4G33270.1 pep chromosome:v.1.0:7:3310555:3315919:1 gene:fgenesh2_kg.7__793__AT4G33270.1 transcript:fgenesh2_kg.7__793__AT4G33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDC20.1 [Source:UniProtKB/TrEMBL;Acc:D7M8S7] MDAGMNTSSHYKSQARCPLQEHFLPRKTSKENLDRFIPNRSAMDFDYAHFALTEGRKGNDQTAAVSSPSKEAYRKQLAETMNLNHTRILAFRNKPQAPVELLPSNHSASLHQQPKSVKPRRYIPQTSERTLDAPDIVDDFYLNLLDWGSANVLAIALDHTVYLWDASTGSTSELVTIDEEKGPVTSINWAPDGRHVAVGLNNSEVQLWDSASNRQLRTLKGGHQSRVGSLAWNNHILTTGGMDGLIINNDVRIRSPIVETYRGHTQEVCGLKWSGSGQQLASGGNDNVVHIWDRSVASSNSNTQWLHRLEEHTSAVKALAWCPFQANLLATGGGGGDRTIKFWNTHTGACLNSVDTGSQVCSLLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLYMAQSPDGCTVASAAGDETLRFWNVFGVPETAKKAAPKAVSEPFSHVNRIR >fgenesh2_kg.7__794__AT4G33240.2 pep chromosome:v.1.0:7:3322807:3331283:1 gene:fgenesh2_kg.7__794__AT4G33240.2 transcript:fgenesh2_kg.7__794__AT4G33240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDHKAPGFVDIVKSWIPRKSESSNMSRDFWMPDQSCPVCYECDAQFTVFNRRHHCRLCGRVFCAKCAANSIPSPSDETKDSHEEPERIRVCNYCYKQWEQGIVPPDNGASIISLHFSSSPSARSVASTTSNSSNCTIDSTAGPSPRPKMNPRASRRVSLNIDSEKSEKQNGTSRRSLDPYGHVLDSENQVEFFVNSGRSDGEADDDDDYHSDFAQSYAQGNDYYGAISLDEVDQIYGSHEAHDVGVKIEPNISCFPPDQDLDSLNPDTIDKTRQQENGWNDVKEGSPPCEESFEPEVVDFESDGLLWLPPEPENEEDEREAVLSDDDGDEGDRGDWGYLRPSNSFNDKDFHSKDKSSGAMKNVVEGHFRALVAQLLEVDNLPMVNEGDKEGWLDIITSLSWEAATLLKPDTSKSGGMDPGGYVKVKCIPCGRRSESMVVKGVVCKKNVAHRRMTSKIEKPRLLILGGALEYQRISNQLSSFDTLLQQEMDHLKMAVAKIDSHNPDILLVEKSVSRFAQEYLLAKDISLVLNIKRSLLERISRCTGAQIVPSIDQLTSPKLGYCDLFHVEKFVEKHVSPCQVAKKMAKTLMFFDGCPKPLGCTILLKGAHEDELKKVKHVIQYGVFAAYHLALETSFLADEGASLPELPLQTPITVALPDKPSTINRSISTIPGFTVSSAEKSPTTDLRGEPHKANGDLTGNFTSSKTHLQGELDGNDRIDPSERLLHNLDTVYCKPPEIITTKDDGLVPTLEPRQLSFHVEEPSVQKDQWSVLPGATEKVTEGAYTNDSAVIGNQNCSRQEQIDSSKGDFLPSASDHQSILVSLSTRCVWKGSVCERAHLLRIKYYGSFDKPLGRFLRDNLFDQDQYCPSCTMPAEAHIHCYTHRQGSLTISVKKLPELLPGQREGKIWMWHRCLKCPRINGFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVANCGHSLHRDCLRFYGFGRMVACFRYASINIFAVFLPPAKLEFNNENQEWLQKESKEVIKKAEVLFNEVQEALSQISLKTMGTGSKGSTPNKIKLSLEELAGLLEQRKKEYKESLQQMLNVVKDGQPTIDILLINKLRRLILFDSYAWDECLARAASMVRNNYSEAPKNSASKVMGRNVSLEKLSDEKLKSIPSHVASSNDSLLQDAEYETCRNQGKSFADTSGKFAIPEDVGPDIPPECRMEFDPSEGGKDNFVESSQVVKAVHSESQYQATDLSDTLDAAWIGEQTTLENGISRPPSRAASTNGTQIPDLRLLGSESELNFKGGPTNDEHTTQVQLPSPSFYYSLNKNYSLNTRKHIMAEDRPVYVSSYRELEWRSGARLLLPLGCNDLVLPVYDDEPTSIIAYALTSSEYKAQMSGSDKSRDRLDSGGSFSLFDSVNLLSLNSLSDLSVDMSRSLSSADEQVSQLLHSSLYLKDLHARVSFTDEGPPGKVKYSVTCYYAKEFEALRMICCPSETDFIRSLGRCRKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFIKFGPAYFKYLTESISTKSPTSLAKILGIYQVSSKHLKGGKEFKMDVLVMENLLFKRNFTRLYDLKGSTRARYNPDTSGSNTVLLDQNLVEAMPTSPIFVGSKAKRLLERAVWNDTSFLASIHVMDYSLLVGVDEERNELVLGIIDFMRQYTWDKHLETWVKTSGLLGGPKNSTPTVISPQQYKKRFRKAMTAYFVMVPDQWSPATVVPSNSSSAEVKEEEERDNPQVVGNKS >fgenesh2_kg.7__79__AT4G38810.2 pep chromosome:v.1.0:7:239108:242861:-1 gene:fgenesh2_kg.7__79__AT4G38810.2 transcript:fgenesh2_kg.7__79__AT4G38810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7MFN6] MKSNNHQQQQQVLDGSDIVELVENEKVFDKFVEQKFQQLDQDEDGKLSVTELQPAVADIGAALGLPAQGTSPDSDHIYSEVLNEFTHGSQEKVSKTEFKEVLSDILLGMAAGLKRDPIVILRMDGEDLSEFVHGPGYEIELVSVFSELSSCKDASLRDCIVKALQSLSVDHGMPPSNDPWVMSNIVEPIVDSCLDEEDKREKSASQEKFLEAFKRVVERVAQRLNEQPVIVAHSENTFDGSGVRRLLSNKFEFDKALNVALETIPKDRHGKVSKEYIRAVLDTVAPSASLPPIGAVSQMDNMIMEALKMVNGDDGNMVKEEEFKKTMAEILGSIMLQLEGNPISVSSNSVVHEPLTSATFLPPTLNETEEPST >fgenesh2_kg.7__800__AT4G33180.1 pep chromosome:v.1.0:7:3364737:3366772:-1 gene:fgenesh2_kg.7__800__AT4G33180.1 transcript:fgenesh2_kg.7__800__AT4G33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSYLSPTRLLEGYLRRCLTSAGLTSQTLSIDSETTIHFWGPPPLDHRSDDDDRPVMLLLHGFGPSSMWQWRRQIQAFSPSVFRLYSPDLVFFGDSTSSSTNRTEVFQAECMAKLMEKIGVEKYNVVGTSYGGFVAYHMAKMWPEKVEKVVIASSGINMRKCDSESLLQRSNCECIEKVMLPSTATELRTLMALASSWRLVRMFPDALWNDVINNLYKKNRKEKIELLKGVTFGRNENLNIDPLSQEVLIVWGDKDQIFPVKMAYELKEILGEKAKLEIIDNTSHVPQIECAQEFNNIVLKFLKGS >fgenesh2_kg.7__801__AT4G33170.1 pep chromosome:v.1.0:7:3367260:3370253:1 gene:fgenesh2_kg.7__801__AT4G33170.1 transcript:fgenesh2_kg.7__801__AT4G33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M989] MRATSKAIPFSFHRAPKVQCLFFFPSLPPPLRLTSAASPFSSSSTSSQCFGFLRDAISTSDLMLGKCTHARILALEENPERFLVNNLISMYSKCGSLTYARRVFDKMPERDLVSWNSILAAYAQSSEGVVENVKEAFLLFRILRQDVVYTSRMTLSPMLKLCLHSGYVCASESFHGYACKIGLDGDDFVAGALVNIYLKFGKVKEGRVLFEEMPYRDVVLWNLMLKAYLEMGFKEEAIDLSSAFHTSGLHPNEITLRLLSRISGDDSEAGQVKSFENGNDASAVSEIISRNKILSGYLHAGQYSALLKCFMDMVESDLECDQVTFILVLATAVRLDSLALGQQVHCMALKLGLDLMLTVSNSLINMYCKLRKIGLARTVFNNMSERDLISWNSVIAGIAQSDLEVEAVCLFMQLLRCGLKPDHYTMTSVLKAASSLPEGLSLSKQIHVHAIKTNNVADSFVSTALIDAYSRNRCMKEAEVLFGRNNFDLVAWNAMMSGYTQSHDGHKTLELFALMHKQGERSDDFTLATVLKTCGFLFAINQGKQVHAYAIKSGYDLDLWVSSGILDMYVKCGDMSAAQFAFDSIPVPDDVAWTTLISGCIENGEEERALHVFSQMRLMGVLPDEFTIATLAKASSCLTALEQGRQIHANALKLNCTSDPFVGTSLVDMYAKCGSIDDAYCLFKRIEMMNITAWNAMLVGLAQHGEGKEALQLFKQMESLGIKPDKVTFIGVLSACSHSGLVSEAYKYIRSMHRDYGIKPEIEHYSCLADALGRAGLVKEAENLIDSMSMEASASMYRTLLAACRVQGDTETGKRVATKLLELEPLDSSAYVLLSNMYAAASKWDEMKLARTMMKGHKVKKDPGFSWIEVKNKIHLFVVDDRSNPQTELIYKKVKDMIRDIKQEGYVPETDFTLVDVEEEEKERALYYHSEKLAVAFGLLSTPPSTPIRVIKNLRVCGDCHNAMKYISKVYDREIVLRDANRFHRFKDGICSCGDYW >fgenesh2_kg.7__804__AT4G33150.1 pep chromosome:v.1.0:7:3375848:3381678:1 gene:fgenesh2_kg.7__804__AT4G33150.1 transcript:fgenesh2_kg.7__804__AT4G33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-ketoglutarate reductase/saccharopine dehydrogenase bifunctional enzyme [Source:UniProtKB/TrEMBL;Acc:D7M991] MKSSGGEENKLGNGVVGILAETVNKWERRTPLTPSHCARLLHGGRDRTGISRIVVQPSAKRIHHDALYEDVGCEISDDLSHCGLILGIKQPELEMILPERAYAFFSHTHKAQKENMPLLDKILSERVTLCDYELIVGDHGNRLLAFGKYAGRAGLVDFLHGLGQRYLSLGYSTPFLSLGSSYMYSSLAAAKAAVISVGEEIASQGLPLGICPLVFVFTGTGNVSLGAQEIFKLLPHTFVEPSKLPELFVKDKGISQNGKSTKRVYQVYGCIITSQDMVEHKDPSKSFDKADYYAHPEQYNPVFHEKISPYTSVLVNCMYWEKRFPRLLSIKQLQDLTTKELPLVGICDITCDIGGSIEFVNRATLIDSPFFRFNPSNNSYYDDMDGDGVLCMAVDILPTEFAKEASQHFGDILSGFVGSLASMTEIADLPAHLKRACISYRGELTSLYEYIPRMRKSNPEEAQDNITNGVSSQRTYNILVSLSGHLFDKFLINEALDMIEAAGGSFHLAKCELGQSADAESYSELEVGADDKRVLDQIIDSLTRLANPNEDYISPCREANKISLKIGKVQQENEVKEKPEMTKKSGVLILGAGRVCRPAAELLASVRTISSQQWYKTYFGADSEEQTDVHVIVASLYLKDAKETVEGISDVEAVQLDVSDSESLLKYISQVDVVLSLLPASCHAFVAKTCIELKKHLVTASYVDDETSMLHEKAKSAGITILGEMGLDPGIDHMMAMKMINEAHIKKGKVKSFTSYCGGLPSPAAANNPLAYKFSWNPAGAIRAGQNPAKYKSNGDIIHVDGKNLYDSATRFRVPNLPAFALECLPNRNSLVYGDHYGIESEATTIFRFSMIMATLSKLGFFDNEANQVLSTGKRITFGALLSNILKKDADNEPEPLAGEEEISKRIFKLGYSKETAAKAAKTIVFLGFNEEREIPSLCKSVFDATCYLMEEKLAYSGNEQDMVLLHHEVEVEFPESKRIEKHTATLLEFGEIKNGQTTTAMAKTVGIPAAIGALLLIEDKIKTRGVLRPLEPEVYLPALDILQAYGIKLMEKTE >fgenesh2_kg.7__807__AT4G33130.1 pep chromosome:v.1.0:7:3390215:3391757:1 gene:fgenesh2_kg.7__807__AT4G33130.1 transcript:fgenesh2_kg.7__807__AT4G33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCIKAEALHEDQNLASSSSSSPSTDRTFGNATFRLPINWGSSIRRYLKKTGTFSRRSYSSGDGSLFFPHLDQESKMLDQTRGRFDGKTKLWYKCELEQDIKRLQQQLQEEINLRLALTSAVEHSSSPFMDSPCQLPDKELLDSLAILEITVSKLEQESVSLRYLLRQEKNERRLSEILQKKAHYSAPSKFTNAQNFPNKLVTRKRESKQVDSVESEALQVE >fgenesh2_kg.7__811__AT4G33090.1 pep chromosome:v.1.0:7:3398077:3402834:1 gene:fgenesh2_kg.7__811__AT4G33090.1 transcript:fgenesh2_kg.7__811__AT4G33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase [Source:UniProtKB/TrEMBL;Acc:D7M999] MDQFKGQPRLPKFAVPKRYDLRLNPDLIACTFAGTVAIDLDIVADTRFIVLNAADLSVNDASVSFTPRSSSKALAAPKVVLFEGDEILVLEFSEILPHGVGVLKLGFNGVLNDKMKGFYRSTYEHNGEKKNMAVTQFEPADARRCFPCWDEPACKATFKITLEVPTDLVALSNMPVMEEKVNGNLKIVSYQESPIMSTYLVAIVVGLFDYVEDHTSDGVKVRVYCQVGKADQGKFALHVGAKTLDLFKEYFAVPYPLPKMDMIAIPDFAAGAMENYGLVTYRETALLYDEQHSAASNKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDSLFPEWKIWTQFLDESTEGLRLDGLEESHPIEVEVNHAAEIDEIFDAISYRKGASVIRMLQSYLGAEVFQKSLAAYIKNHAYSNAKTEDLWTALEAGSGEPVNKLMSSWTKQKGYPVVSAKIKDGKLELEQSRFLSSGSPGEGQWIVPVTLCCGSYEKRKNFLLESKSGAYDLKELLGCSIAEGSDKNNGICSWVKINVDQAGFYRVKYDDSLAAGLRNATESKSLTSIDRYGILDDSFALSMARQQSLASLLTLISAYKEELDYTVLSNLIAISYKVVKIGADADQALMSGIKHFFIGVFQFAAGKLGWDPKQGESHLDAMLRGEVLTALAVFGHDETLKEAVRRFDAFLADRNTSLLPPDIRRAAYVAVMQRANKSDKSGYESLLRVYRETDLSQEKTRILGSLASCPDPTIVQDVLNFVLSDEVRNQDALYGLSGVSWEGREVAWKWLQEKWEYIGNTWGSGFLITRFISAVVSPFASFEKAKEVEEFFATRSKPSMARTLKQSIERVHINANWVESIKKEDNLTQLVAQLSSN >fgenesh2_kg.7__814__AT4G33070.1 pep chromosome:v.1.0:7:3428661:3431103:1 gene:fgenesh2_kg.7__814__AT4G33070.1 transcript:fgenesh2_kg.7__814__AT4G33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIGSIDYCKPTNGDVGSPPNGAVSTIHNSVPSSAITVNSCDSTIGRYIARRLVQAGVTDIFSVPGDFNLTLLDHLMAEPELNLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCYQAVVNNLEDAHEQIDTAISTALKESKPVYISISCNLAAIPHHTFSRAPVPFSLAPRLSNKMGLEAAVEATLEFLNKAVKPVMVGGPKLRVAKACDVFVELADASGYAMAAMPSAKGLVPEHHPHFIGTYWGAVSTPFCSEIVESADAYIFAGPIFNDYSSVGYSLLLKKEKAIVVHPDRVTVANGPTFGCVQMSDFFRELAKRVKRNETAYENYHRIFVPEGKPLKCESKEPLRVNTMFQHIQKMLSSETAVIAETGDSWFNCQKLKLPRGCGYEFQMQYGSIGWSVGATLGYAQASPEKRVLAFIGDGSFQVTAQDISTMLRNRQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGNCWTTKVRYEEELVEAIKTATMEKKDCLCFIEVILHKDDTSKELLEWGSRVSAANSRPPNPQ >fgenesh2_kg.7__815__AT4G33060.1 pep chromosome:v.1.0:7:3431172:3434681:-1 gene:fgenesh2_kg.7__815__AT4G33060.1 transcript:fgenesh2_kg.7__815__AT4G33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase cyclophilin-type family protein [Source:UniProtKB/TrEMBL;Acc:D7M9A3] MSTVYVLEPPTKGKVIVNTTHGPIDVELWPKEAPKSVRNFVQLCLEGYFDNTIFHRVIPGFLVQGGDPTGSGTGGESIYGGVFADEFHSRLRFNHRGIVAMANASSPNSNGSQFFFTLDKSDWLDKKHTIFGKVTGDSIYNLLRLGEVDTGKDDRPLDPAPKILSVEVLWNPFEDIVPRVLAKASQESVAEIKEPPKKPVKKLNLLSFGEEAEEEEKELAVVKQKIKSSHDVLNDPRLLKAEATDKERNASESKEVLSVREALNTKKEAAQKDKSFSVSDTVGHSDDDDDDEDETKFDAKMRNQVLSRRKEMGDTPSKPTQKKKSSSLKGREEPMQRSDAVSSEDEKPRMEKLSLKRKGIGSEAKAEQMEKGDTDLQLYNASERARQLHKLKKRRLQGNEDAVLAKLEKFKQSISAKPFTSSNEPVAFTSSSEPVDNKEEDLSDWKKVKLKFAPERGKDKMSRRDDPDAYVVVDPLLEKGKEKFNRMQAKQKRREREWSGKSLA >fgenesh2_kg.7__81__AT4G38820.1 pep chromosome:v.1.0:7:248077:248491:1 gene:fgenesh2_kg.7__81__AT4G38820.1 transcript:fgenesh2_kg.7__81__AT4G38820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVRGLMSAKKIFQGRSMTASTPKGFLAVYVGESQMKRYIVPVSYLNQPSFQALLSKSEQEFGFDHPMGGLTIPCPEDTFITVTSQLH >fgenesh2_kg.7__820__AT4G33040.1 pep chromosome:v.1.0:7:3481487:3482227:1 gene:fgenesh2_kg.7__820__AT4G33040.1 transcript:fgenesh2_kg.7__820__AT4G33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELGLERFSNDVVRLDLTPPQTSSTSLSIDEEESTEAKIRRLISEHPVIIFSRSSCCMCHVMKRLLATIGVIPTVIELDDHEVSSLPTALEEEYSGEVSAVVPPPALFIGRECVGGLESLVALHLSGQLVPKLVQVGALWV >fgenesh2_kg.7__821__AT4G33030.1 pep chromosome:v.1.0:7:3485537:3487247:-1 gene:fgenesh2_kg.7__821__AT4G33030.1 transcript:fgenesh2_kg.7__821__AT4G33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLSASCPSVISLSSSKNSVKPFGLGQIFFNAQLLSRSSLKGLLFQDKKPRRSCVFRATAVPITQQAPPETSTDNSSPKPKRVMVIGGDGYCGWATALHLSKKNYQVCIVDNLVRRLFDHQLGLESLTPIASIHDRISRWKALTGKSIELYVGDICDFEFLAESFKSFEPDSVVHFGEQRSAPYSMIDRSRAVFTQHNNVIGTLNVLFAIKEFGEECHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVKTDETEMHEELRNRLDYDAVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVEIAIANPAKAGEFRVFNQFTEQFSVNELASLVTKAGSKLGLDVKKMTVPNPRVEAEEHYYNAKHTKLMELGLEPHYLSESLLDSLLNFAVQFKDRVDTKQIMPSVSWKKIGVKTKSMTA >fgenesh2_kg.7__823__AT4G33010.1 pep chromosome:v.1.0:7:3493441:3500689:1 gene:fgenesh2_kg.7__823__AT4G33010.1 transcript:fgenesh2_kg.7__823__AT4G33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system P protein [Source:UniProtKB/TrEMBL;Acc:D7M9B4] MERARRLAYRGIVKRLVNDTKRHRNAETPHLVPHAPARYVSSLSPFLSTHRSVNPAAAFGRHQQTRSISVDALKPGDTFPRRHNSATPDEQSHMAKFCGFDHIDSLIDATVPKSIRLDSMKFSKFDGGLTESQMIEHMVDLASKNKVLKSFIGMGYYNTHVPTVILRNIMENPAWYTQYTPYQAEISQGRLESLLNFQTVITDLTGLPMSNASLLDEGTAAAEAMAMCNNILKGKKKTFVIASNCHPQTIDVCKTRAEGFDLKVVTADLKDIDYSSGDVCGVLVQYPGTEGEVLDYAEFVKNAHANGVKVVMATDLLALTMLKPPGEFGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGISVDSSGKQALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPAGLKSIAQRVHGLAGIFSLGLKKLGVAEVQELPFFDTVKIKCSDAHAIADAASKSEINLRVVDSTTITASFDETTTLDDVDKLFKVFASGKPVPFTAESLAPEVQNSIPSSLTRESPYLTHPIFNMYHTEHELLRYIHKLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPSFTDIHPFAPVEQAQGYQEMFENLGDLLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHMSRGDHHRNVCIIPVSAHGTNPASAAMCGMKIITVGTDAKGNINIEEVRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICNIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKNHLAPFLPSHPVIPTGGIPQPEKTAPLGAISAAPWGSALILPISYTYIAMMGSGGLTDASKIAILNANYMAKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKNTAGIEPEDVAKRLMDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAQIEKGNADVQNNVLKGAPHPPSLLMADTWKKPYSREYAAFPAPWLRSSKFWPTTGRVDNVYGDRKLVCTLLPEEEQVAAAVSA >fgenesh2_kg.7__825__AT4G33000.2 pep chromosome:v.1.0:7:3500736:3502411:-1 gene:fgenesh2_kg.7__825__AT4G33000.2 transcript:fgenesh2_kg.7__825__AT4G33000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M9B5] RSSSLTVGEQFCAVFIPFFAIVDVLISSVGQCFDCRSTSPDTCQHADLERLARESRFSVNEVEALYELFKKLSCSIIDDGLIHKEELRLALFQAPYGENLFLDRVFDLFDEKKNGVIEFEEFIHALSVFHPYAPIEEKIDFSFRLYDLRQTGFIEREEVQQMVSAILMESDMLLSDELLAMIIDKTFADADSDKDGKINKEEWKVYVLKHPSLLKNMTLPYLKDVTTAFPSFIFNTEVED >fgenesh2_kg.7__829__AT4G32980.1 pep chromosome:v.1.0:7:3510056:3513326:1 gene:fgenesh2_kg.7__829__AT4G32980.1 transcript:fgenesh2_kg.7__829__AT4G32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNTFSSLDNAMTNQNPLLMDFIPSREDSTSFSTMLPWNSIRSDPLQMGGFDIFNSMLTNKYLSSSSRSIDVQDNRNVESFEFMAPPPPPPLHPLDHLRPYDDSSNNMWGFEANSVFQTYSGVVGPSEPIMSTFGEEDFPFLISNRRNNELSLSLATDVSDECSEISICAATRLASEQASCSSKDISNNVVTQGFSQLIFGSKYLHSVQEILSHFAAYSLDYSSRGTEPGAASSAFTSRFENITEFLDSDSNNSEAVFGSTFQRRALEAKKTHLLDLLQMVDDRYSHCVDEIHTVVSAFHAATELDPQLHTRFALQTISFLYKNLRERICKKIISMGSVLERGKEKSQENSMFHQHCLLQQLKRKNHQIWRPQRGLPEKSVSVLRTWMFQNFLHPYPKDSEKHLLAIRSGLTRSQVSNWFINARVRLWKPMIEEMYAEMNKRKLNNSHLQPNGATLRMPKSVMMSQAMQK >fgenesh2_kg.7__82__AT4G38830.1 pep chromosome:v.1.0:7:248865:251431:1 gene:fgenesh2_kg.7__82__AT4G38830.1 transcript:fgenesh2_kg.7__82__AT4G38830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MFN9] MLSLLLPLISLLFQIQCFTVKSQPVPLNQICSNVTGNFTVNTPYAVNLDRLISSLSSLQSNDNGFYNISVGDSDEKVNAISQCRGDVKPEDCINCIAMAGKRLVTLCPVQKEAIIWYEKCTFRYSNRTIFNRLEIFLHTSITGTRNFTGDRDAWEKSLRGLLEGLKNRASVIGRSKKNFVVGETSGPSFQTLYGLVQCTPDISEEDCSYCLSQGIAKIPSCCDLKMGSYVLSPSCIVAYATWRFYDPVDTDEPSSVVQGDKNRGVPPKALIFAAASVAIVVLLIVFLVVFLRLRRKKKNKNSEHRHENENISTDSMRFDFSVLQDATSYFSLENKLGEGGFGAVYKGVLSGGQKIAVKRLSKNAQQGETEFKNEFLLVAKLQHRNLVKLLGYSIEGTERLLVYEFLPHTSLDKFIFEPIQGKQLEWDIRYKIIGGVARGLLYLHQDSRLRIIHRDLKASNILLDEEMTPKIADFGMARLFDMDHTTQRYTNRIVGTFGYMAPEYVMHGQFSFKTDVYSFGVLVLEIISGKKNSCFSNEDSMEDLLSFAWRNWKEGVALNLVDKILMTMSSYSSNMILRCINIGLLCVQDNVSERPSMASVLLMLDGHTIALSEPSKPAFFSHSNAVSDSSSSLGHNAKTSNYNSNTELYPR >fgenesh2_kg.7__831__AT4G32960.1 pep chromosome:v.1.0:7:3517879:3519379:1 gene:fgenesh2_kg.7__831__AT4G32960.1 transcript:fgenesh2_kg.7__831__AT4G32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDRVAVTGVGATRYALKPGRIKSEDILICIDVDAESMVEMKTTGTNGRPLIRMECVKQAIILFIHNKLSINPDHRFAFATLAKSAAWLKKEFTSDAESAVASLRGLSGNKSSSRADLTLLFRAAAQEAKISRAQNRIFRVILIYCRSSMRPTHEWPLNQKLFTLDVLYLHDKPSPDNCPQDVYDSLVDAVEHVSEYEGYIFESGQGLARSVFKPMSLLLSHPQQRCAQDDLDIPRSLAKKVPVTEAANALDHHNGNNIQIIN >fgenesh2_kg.7__833__AT4G32940.1 pep chromosome:v.1.0:7:3525556:3531331:1 gene:fgenesh2_kg.7__833__AT4G32940.1 transcript:fgenesh2_kg.7__833__AT4G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAMMA-VPE [Source:UniProtKB/TrEMBL;Acc:D7M9C3] MATTMTRVPVGAFLLVLLVSLVAVSTARSGPDDVIKLPSQASRFFRPAQDDDDSNAGTRWAVLVAGSSGYWNYRHQADICHAYQLLRKGGLKEENIVVFMYDDIANNYENPRPGTLINSPHGKDVYQGVPKDYTGDDVNVDNLFAVILGDKTAVKGGSGKVVDSGPNDHIFIFYSDHGGPGVLGMPTSPYLYANDLNDVLKKKHASGTYKSLVFYLEACESGSIFEGLLPEGLNIYATTASNAEESSWGTYCPGEEPSPPPEYETCLGDLYSVAWMEDSGMHNLQTETLHQQYELVKRRTAPVGYSYGSHVMQYGDVGLSKDNLDLYMGTNPANDNFTFADANSLKPPSRVTNQRDADLVHFWEKYRKAPEGSARKTEAQKQVLEAMSHRLHVDNSVILVGKILFGISEGPEVLNKVRSAGQPLVDDWNCLKNLVRAFERHCGSLSQYGIKHMRSFANICNAGIRTEQMEEAASQACTSIPPGPWSSLHRGFSA >fgenesh2_kg.7__836__AT4G32915.1 pep chromosome:v.1.0:7:3547799:3549311:-1 gene:fgenesh2_kg.7__836__AT4G32915.1 transcript:fgenesh2_kg.7__836__AT4G32915.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit C, chloroplastic/mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7M9M5] MATRALLAVISASPNRCFISPSRIKIQSLTSSSSSHYYQRRQSRKIHRIAPSYSSDSDSSVLQPPDVSRLAQTARISLTPDEIEECETKIRRVIDWFGQLQQVDVNSVEPAIRAEMDGGNLREDAPETFENRDSIRASIPSFEEAYLKVPKILNKE >fgenesh2_kg.7__837__AT4G32910.1 pep chromosome:v.1.0:7:3551567:3555618:-1 gene:fgenesh2_kg.7__837__AT4G32910.1 transcript:fgenesh2_kg.7__837__AT4G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGITSESGGGELVLSSAKEKVPVLYPLSYGLKSPVHRLSISWGCGNNLRVTVLRNPKLRDDNDGDAGGKVVNVRLSGEDGEISDAQWRRIAYGSVSPFALLQSRRNSISSLSMMDMSSSLHQTAWWEYVMEYSRDIKSLLSNTISSPAPLIEDPRSVITNAEEPTSLKAAWELMELFYADKTCLSWLPERLVDWLSVYDILLSSSHPTIYSKLEDFQKELVGLQAIEDDPRYWGVMASALSVGWLEIVVKLLHLHGSYQLDQLGHRETENGLVEALAVLISKMPRMRPKLEDGKFGECYAAKPDFMKTRERWQSQITKLECSAFWVQCAHHQTREGLRNMLKIMMGNADCLTAATCNWMELFVSHLLYLRPFTKGLDGMHSLAQKCVQSKPCNTFHKLLRLLIGILGENTEVVLAECSKEFGSWMVAHAMELLTAGSDEGEALVHEEQRKLGGINMEELHRLVYAQVLSSHALTWQIAPIYLASCEKQGLGLLELLFYRQPVQENQLLIKSLEICRLYELSNVSAKLMKISGVHHWKHGRKGSGIFWLQQARDEHCLSMIAQQLFDSVGKSLSDERLKQWEGLVELLGSESQISGGLDFLHKYRDFKRSLKLVHDGKTIDAAYEAVERLVWLMKSASTPQRFWLPLLHDSLKLLNWPERSLLNVAQTELMLNKLQELSIARLRPGFIESDLSAQAVGSVRLALATNLGRAFLEEC >fgenesh2_kg.7__839__AT4G32900.1 pep chromosome:v.1.0:7:3555872:3558184:1 gene:fgenesh2_kg.7__839__AT4G32900.1 transcript:fgenesh2_kg.7__839__AT4G32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSSRNSSQPQAMRQEKKSISGSFRAENLIPGVVIGFIIGMILDLSQQVKSPVKRSRLLSSKVQKQSSVPGNGKDQELKMVLVVRQDLKMRTGKIASQCAHAATGMYAELMQSDRYLLRRWEENGQPKIVVTCKNQQEM >fgenesh2_kg.7__83__AT4G38840.1 pep chromosome:v.1.0:7:251481:252046:-1 gene:fgenesh2_kg.7__83__AT4G38840.1 transcript:fgenesh2_kg.7__83__AT4G38840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRIPRVLQSSRQILRQAKLLSSSSSSSLDVPKGYLAVYVGEQKMKRFVVPVSYLNQPSFQDLLRKAEEEFGFDHPMGGLTIPCSEEIFIELASRFN >fgenesh2_kg.7__840__AT4G32890.1 pep chromosome:v.1.0:7:3560089:3561442:-1 gene:fgenesh2_kg.7__840__AT4G32890.1 transcript:fgenesh2_kg.7__840__AT4G32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:D7M9N0] MERLTSELFLVAGNTDSFVVDDLLDFSNDDGEIDDGFDTLPDSSALSTGTLTDSSNSSSLFTDGTGFSDLCVPRDDIAELEWLSNFVEESFSGEVQDKLHLLSGLKNPQTTGSTLTHLIKPEPEPDFDQFIDIDESNVAVPAKARSKRSRSAASTWASRLLSLADSNETNPKKKQRRVKEQDFAADMDVDCGETGGGRRCLHCATEKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPASSPTFVMARHSNSHRKVMELRRQKEMRDEHLLSQLRCENLLMDIRSNGEDLVMHNNNNHVAPDFRHLI >fgenesh2_kg.7__841__AT4G32880.1 pep chromosome:v.1.0:7:3569176:3574555:1 gene:fgenesh2_kg.7__841__AT4G32880.1 transcript:fgenesh2_kg.7__841__AT4G32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHB-8 [Source:UniProtKB/TrEMBL;Acc:D7M9N1] MGGSNNSHNIDNGKYVRYTPEQVEALERLYNDCPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSHLVYENSYFRQHPQNQGNLATTDNSCESVVTSGQHHLTPQHQPRDASPAGLLSIADETLTEFISKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGIAARACGLVGLDPTRVAEILKDKPCWLRDCRSLDIVNVLSTANGGTLELIYMQLYAPTTLAPARDFWMLRYTSVMEDGSLVICERSLNNTQNGPSMPPSPHFVRAEILPSGYLIRPCEGGGSILHIVDHFDLEPWSVPEVLRSLYESSTLLAQRTTMAALRYLRQISQEISQPNVTGWGRRPAALRALSQRLSKGFNEAVNGFSDEGWSILESDGIDDVTLLVNSSPTKMMMTSSLPFANGFTSMPSAVLCAKASMLLQNVPPSILLRFLREHRQEWADNSIDAYSAAAIKAGPCSLPIPRPGSFGGQVILPLAHTIENEEFMEVIKLESLGHYQEDMMMPADIFLLQMCSGVDENAVESCAELIFAPIDASFSDDAPIIPSGFRIIPLDSKSEGLSPNRTLDLASALDVGSRTAGDSCGSRGNSKSVMTIAFQLAFEMHMQENVASMARQYVRSVIASVQRVALALSPSSHQLSGLRPPPASPEAHTLARWISHSYRCYLGVDLLKPHGTDLLKSLWHHPDAVMCCSLKALAPVFTFANQAGLDMLETTLVALQDITLDKIFDNNNGKKNLCSDFPQIMQQGFMCMDGGICMSSMGRAVTYEKAVAWKVLNDDEDAHCVSFMFLNWSFI >fgenesh2_kg.7__842__AT4G32870.1 pep chromosome:v.1.0:7:3575878:3576477:-1 gene:fgenesh2_kg.7__842__AT4G32870.1 transcript:fgenesh2_kg.7__842__AT4G32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTETASFKWEGKKVAQVNGVTAEKVWSVFSDFCNVQEWFPAVDTCYRVQGTDGEPGLIRYCATTKTKEEESRWAKERLVKMDPIGRCLSYEILENNVGFRSYVATVEVMTVDGDDQVSRIEWSFVADPVDGWKKEDLESYVDFCLQHMAKKMELNL >fgenesh2_kg.7__843__AT4G32860.1 pep chromosome:v.1.0:7:3581352:3582074:1 gene:fgenesh2_kg.7__843__AT4G32860.1 transcript:fgenesh2_kg.7__843__AT4G32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCSTVTTKKLSSLAKLVLFTIQKVSDASRHKLLTTLDPHLLAKRGKILRKSLNDAVSTSHSRITCRPSDHQDVRSSFISPVPLQLEYEFSCSSTPPRPSYATTVSKGRRSNGSHNKPLINKRQRQAYIRYNTLPKVRDSIWDRHVSAAVFPDVASSTGTMESCHVDRAAEEFIQRFYRQLRLQKWMMAQEV >fgenesh2_kg.7__844__AT4G32850.6 pep chromosome:v.1.0:7:3584538:3589683:-1 gene:fgenesh2_kg.7__844__AT4G32850.6 transcript:fgenesh2_kg.7__844__AT4G32850.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) polymerase [Source:UniProtKB/TrEMBL;Acc:D7M9N5] MVGTQNLGGSLPPLNSPKSYGITKPLSLAGPSSADIKRNVELEKYLVDEGLYESKDDTMRREEVLGRIDQIVKHWVKQLTQQRGYTDQMVEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEMEEVTELHPVPDAHVPVMKFKFQGIPIDLLYASISLLVVPQDLDISSSSVLCDVDEPTVRSLNGCRVADQILKLVPNFEHFRTTLRCLKYWAKKRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCAIEEDELGFPVWDPRKNHRDRYHLMPIITPAYPCMNSSYNVSQSTLRVMTEQFQFGNNILQEIELNKQHWSSLFEQYMFFEAYKNYLQVDIVAGDAEDLLAWKGWVESRFRQLTLKIERDTNGMLMCHPQPNEYVDTARQFLHCAFFMGLQRAEGVGGQECQQFDIRGTVDEFRQEVNMYMFWKPGMDVFVSHVRRRQLPPFVFPNGYRRPRQSRHQNQPGGKSGEDGTVSHSGSVVERHAKRKNDSEMMDARPEKPEKRASLSPQSLDIVSPESSAITTGWTPPVCNLRRPPSEEIEAENLNTECTELTNFARNECNSGSEQVLEVDSMALVQECSDPAEPLGKCVTPDSSDVVACVSGQEENLDRNLRSVSISGTDSQPLPRLLDTKVFNPADLVGRTVIMRLGFGFPAANSDPLGKENLYSQSGMSEDLQSNSLVSGMEKSEDRASTSRLSLKSTV >fgenesh2_kg.7__854__AT4G32840.1 pep chromosome:v.1.0:7:3591102:3594613:1 gene:fgenesh2_kg.7__854__AT4G32840.1 transcript:fgenesh2_kg.7__854__AT4G32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:D7M9N6] MASNGVDEIKLVEGPAGYVLEDVPHLSDYILDLPTYPNPLQSNAAYSVVRQYFVDEDDTVQEKIVVHKDSPRGTHFRRAGPRQKVYFKPSDVRACIVTCGGLCPGLNTVIREIVCGLHFMYGVTEVIGVDCGFRGFYSKNTVALTPKTVSDIHKRGGTILGTSRGGHDTSKIVDNIEDREINQVYIIGGDGTQKGASAIYKEIRRRGLKVSVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEANSVENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLYEFIAKRLRENGHMVIVIAEGAGQDLVAESTDQQDASGNKLLKDVGLWMSLKIKEHFAKQSVMDITLKYIDPTYMIRAIPANASDNVYSTLLAQSAVHGAMAGYTGFVSGLVNGRHTYIPFNRITERQNKVVITDRMWARMLSSTNQPSFMNPS >fgenesh2_kg.7__855__AT3G43710.1 pep chromosome:v.1.0:7:3595277:3596182:1 gene:fgenesh2_kg.7__855__AT3G43710.1 transcript:fgenesh2_kg.7__855__AT3G43710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M9N7] MERKPKRSKTLLMSNEEERRSMTFGIQMLPDDLVLNCLARVSRMYYPVLSLVSKRFRSFLTSTELFQTRNLLGRTESFLYVCLRIRHVSNPLRLFTLCRRPNSSTKVMVPILSPDSIPKYLPDVVLVGSNIYVIGGSIKNNASSSVMVMDCRSHTWREAQIMRAARVNPSACVLDGKIYVAGGCQNPDATIWMEVFDTKTQTWEFVSSPGEEICRDLTRCESIGYDGNVYVKSMQTYGLYELHKGRWRKGQPSMSRGGSLSSLCVIDNVLYSCWSCMIDWYDSEEKLWKRLKGLEGLF >fgenesh2_kg.7__860__AT4G32790.1 pep chromosome:v.1.0:7:3636066:3638444:-1 gene:fgenesh2_kg.7__860__AT4G32790.1 transcript:fgenesh2_kg.7__860__AT4G32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7M9P2] MLNLWEQLLVYESEEAMKLLRFAGLVFIFISTFQFVNIRYYGGSMFSLSSQDKFPVSSSIDVSTEPVRTLSGPERLNFSSSRSIEVDEEESTGLEEDHVIGSDKNDTVQAHDSFIEDVKDKETLDLLPGIRSSTNETYEKIVEDADTAFENTRKVEILESKTDPSVDNLSSEVKKFMKVSNSGVVSITEMMNLLHQSRTSHVSLKLKRSSTVDQELLYARTQIENPPLIENDPLLHTPLYWNLSMFKRSYELMEKKLKVYVYREGKRPVLHKPVLKGIYASEGWFMKQLKSSRTFVTKDPRKAHLFYLPFSSKMLEETLYVPGSHSDQNLIQFLKNYLDMISSKYNFWNKTGGSDHFLVACHDWAPSETRQYMAKCIRALCNSDVSEGFVFGKDVALPETTILVPRRPLRALGGKPVSQRQILAFFAGGMHGYLRPLLLRNWGGNRDPDMKIFSEIPKSKGKKSYMEYMKSSKFCICPKGHEVNSPRVVEALFYECVPVIISDNFVPPFFEVLNWEAFAVFVLEKDIPDLKNILVSITEERYREMQTRVKMVQKHFLWHSKPERFDIFHMILHSIWYNRVFQS >fgenesh2_kg.7__862__AT4G32780.1 pep chromosome:v.1.0:7:3640540:3642478:-1 gene:fgenesh2_kg.7__862__AT4G32780.1 transcript:fgenesh2_kg.7__862__AT4G32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLHKTLFTQNPTTLDQLMMCHTGRDNSWKKLENIDEEGPAAVAREVKTALPPETPTETMEFLGRSWSISAVELTRAFFNNSTADTNSFLLSTIVNTNKEDREDEEDSTSMASSRDLLLPHMGNKTSPPITPRTGREMKHLYKSMIRGRTMGRRLKDQKEKKKQETRTRNAEIHAAVSVAGVAAVVAATAASNAIAAAEHAVESTTVAAAVASAAALIASHCIEIAGEIGAGYNQIATAVSSATNAKTNGDVMALTASAATGTNVLLSRIFYGGVSQIKPHI >fgenesh2_kg.7__863__AT4G32770.1 pep chromosome:v.1.0:7:3643806:3646619:-1 gene:fgenesh2_kg.7__863__AT4G32770.1 transcript:fgenesh2_kg.7__863__AT4G32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRSLIVSMNPNFSSFELSRPVTRSLRSTKLVPRSISRVSASISTPASDTDKISVKPVYVPTPPNRELRTPHSGYHFDGTARKFFEGWYFRVSIPDKRESFCFMYSVENPAFRQRLSPLEVALHGPRFTGVGAQILGANDKYLCQYTQESHNFWGDRHELVLGNTFSAVPGANSPNKEVPPEEFNRRVSEGFQATPFWHQGHICDDGRTDYAETVKSARWEYSTRPVYGWGDVGAKQKSTAGWPAAFPVFEPHWQICMAGGLSTGWIEWGGERFEFRDAPSYSEKNWGGGFPRKWFWVQCNVFEGASGEVALTAGGGLRQLPGLTETYENAALVCVHYDGKLSEFVPWNGVVRWEMSPWGYWYITAENETHMVELEARTNEAGTPLRAPTTEVGLATACRDSCYGELKLRIWERLYDGSKGKVILETKSSMAAVEIGGGPWFGTWKGDTSNTPELLKRALQVPLDLESTLGLVPFFKPPGL >fgenesh2_kg.7__864__AT4G32760.1 pep chromosome:v.1.0:7:3647702:3653018:-1 gene:fgenesh2_kg.7__864__AT4G32760.1 transcript:fgenesh2_kg.7__864__AT4G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transporter [Source:UniProtKB/TrEMBL;Acc:D7M9P6] MVERATSEMLIGPDWAMNLEICDMLNSDPAQAKDVVKGIKKRIGSRNPKAQLLALTVLETIVKNCGDMVHMHVAEKGVIHEMVRIVKKKPDFHVKEKILVLIDTWQEAFGGPRARYPQYYAGYQELLRAGAVFPQRSERSAPVFTPPQTQPLTSYPPNLRNTGPGNDVSEPSAEPEFPTLSLSEIQNAKGIMDVLAEMLSALEPGNKEDLKQEVMVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLALNDDLQRVLTNYEAIASGLPGTSAQIEKPKSETGKSLVDVDGPLIDTGDSSNQANGATSSSGNGVLNQLALPAPPVTNGSANSKIDLLSGDDLALVPVGPPQPASPVASDQNALALIDMFSDNTNNPSPATAPTGNPAQSIPLNPQGHQQPNSQAGEAGLQQSNGSAPQMGYSQFEQPSYGQGVSSPWSSQPAQQPHQPSYGAQDSMAFPPPPWEAQLQDFSPSAESGSPFSPGMHPTQTPFTHVQPVNNNNPYPQIPQTGPPVNNNSPYAQMPQTGQAVNNNSPYHQMPQNGMYMPNQPNQVIGSGYPPQQQQQQQMMMAQYYAQQQQQQQQAYGNQMGGYGYGYNQQQQGSSPYLDQQMYGLSMRDQTSHQVPSSSSSTSYLPPMKPKNKPEDKLFGDLVDISKFKPTKPTSGRAGTM >fgenesh2_kg.7__866__AT4G32730.2 pep chromosome:v.1.0:7:3656981:3662348:-1 gene:fgenesh2_kg.7__866__AT4G32730.2 transcript:fgenesh2_kg.7__866__AT4G32730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-MYB1 [Source:UniProtKB/TrEMBL;Acc:D7M9P9] MKREMKAPNTPQESLQVDLKGKQGRTSGPARRSTKGQWTPEEDEVLCKAVERFQGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDNTIIDLVEKYGPKKWSTISQHLPGRIGKQCRERWHNHLNPGINKNAWTQEEELTLIRAHQIYGNKWAELMKFLPGRSDNSIKNHWNSSVKKKLDSYYASGLLDQCQSSPLIALQNKSIASSSSWMHSSGDEGNSRPGVDAEESECSQASTVFSQSTNDLQDEVKPGNEEYYIPEFHSGTKQQISNAASHAEVYYPSFEDVKIVVPEISCEAECSKKIQNRNCAHEVRTTTAAEDQLQGVSNDAKQDLDLDLLTHNMDNYGKNQALQQAFQSSVRLSDQPSLPNSDTDPEAQTLITDEECCRVLFPDTVKDSSISSGEQGRNMVDLQKGKGSLCSQAAETQDHETGKPTALSWHPSSSEGLAGHNCVPLLDSDLKDSLLLCNDSNAPIQGCHLFGATELERKTDTNDCFIDTDGHVTSHGNDDNDGIPEQQGLSYIPKDSMKLVPLNSFSSPSRVNKIYFPIDDKPAEKDKGALCYEPPRFPSADIPFFSCDLVPSNNDLRQEYSPFGIRQLMISSMNCTTPLRLWDSPCHDRSPDVMLNDAAKNFSGAPSILKKRHRDLLSPVLDRRKDKKLKRAATSSLANDFSRLDVMLDEGGDCMSSRLSDSPENENRCASPSKDKDNRNSASGRSDQEMIPIDEEPKETLESAGVTSMQNEKGCNDGDASAKNDQEISGSFVKIRLCSPGMTRSRPDNKVNTSAKDLSNQHKRSFGDIPTEEISSEPSCTVDSIPLSEIDKTNTAETSFDIENFNIFDGTPFRKLLDTPSPWKSPLLFGTFLQSPKLPPEITFEDIGCFMSPGEKSYDAIGLMKHLSEHSATAYADALEVLGNDTPETILKKRQLNKSIQGKENQHQPHDQLGNRSQVECRTLDFSDCGTPGKATVPSASPGGYSSPSSYLLKSCR >fgenesh2_kg.7__869__AT4G32720.1 pep chromosome:v.1.0:7:3662797:3665599:-1 gene:fgenesh2_kg.7__869__AT4G32720.1 transcript:fgenesh2_kg.7__869__AT4G32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M9Q0] MSIPCLTEETAKTVLRQVEFYFSDSNLPIDDFLKKTVTESEDGLVSLALICSFSKMRGYLKLGDSKGDDIPEDTIKAVAATLRTSSALKISEDGKKVGRSTELLKLEDLVEQLNARTVAASPFSYDVKREDVESFFSQYGKVNSVRMPRHVAESRIFSGVALVEFPTEEEAQNVMKQNLVFAGQELELKPKKDFDNEREKDEVKFANYQPQKGSANQKNGSDHKNNSDYEPDYPKGLIISFTLKRSAEEGTTEQKNSEETTDKTMDESESKPADTPDADKENTDEVQAQGAEDEDDEKEEKGALATHKDNKDVVLREDLKAVFGKFGDVKFVDFKMGSETGYLRFDEPEASQKARAAAVLAKEGGLAVKNFIAVLEPVTGEAEKEYWTLLRSKDRFDKGGRGGRGGRRGGRFGRKRGSDSPGGRWNKSQKVEA >fgenesh2_kg.7__872__AT4G32690.1 pep chromosome:v.1.0:7:3692740:3694372:-1 gene:fgenesh2_kg.7__872__AT4G32690.1 transcript:fgenesh2_kg.7__872__AT4G32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQEKASVLSGVDQAEAFAIDESNLFEKLGLQAFINLSTNFYTRVYDDEEEWFRSIFSNSNKEDAIQNQYEFFVQRMGGPPLYSQRKGHPALIGRHRPFPVTHQAAERWLQHMQNALEDSVDIDEDSKIKMMKFFRHTAFFLVAGNELKNQNEKPKHKPQCACKHAASKPAEE >fgenesh2_kg.7__874__AT4G32670.1 pep chromosome:v.1.0:7:3697346:3704778:1 gene:fgenesh2_kg.7__874__AT4G32670.1 transcript:fgenesh2_kg.7__874__AT4G32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPAEEKLVGSGEAVTKEVSDITNKAVDICRICQSPEEPDNPLRHPCACRGSLKYIHSDCLFLWLNRRKRNHCEICKHCYSIVPIYSENAPERLPWHEFLMGLLMRALRFMNLMLPWVFMIPFNAYCSSFRPWDREGVFVNQTVFELSLKFPGLFYTAEIVSSTTDMVVQMEIIRVLLRRHPEFLRHMIILENGLKDIDVTGIVLLLANHLHILCDWWHDQLLHLPFLHIIQRGPLALAFVPRNTPLHQFGAIRRFFSLLSDNTFAVLAINIYWSFFNVLLPFSIGRVVLVLLRCFSLGWIAENATEVAAGDMVIRSVLLAFLASVFTLSRNTYLTRVRWFLPSVKDTFILCFKLVVLPWILGCWLDFCTFHILGKTASHSVEVLSDYPLMADKHWLMGMLYLVVALSCMELIQKIVPKRAFWYLLDVAEPNYKITKLHLGPILLAFAFHGAMVVIVLHLPIKTISLISQSFFPLQFGVYEDEFLLGLLVAYTGLIIFGPQWLANLIRPSIRPIVHKWVITISSLLKLSDFLLGEPRKQRANRNVRVRPRFLVFGIAEGSMVSLYGSQSDTTCEEDTNDQRDKRFMLRIGVMLVLASLSMFLVSTTFMALPILLGRAFFHSISFFMLSFGLKHDDICAFWIGFCILRGIYIITCFVYDHFVTGRVHLLINHFMIFIRNFLLFSIWISVIPGLLGLLIDLMIIIPSQVALDESPVYNLLHDWLIGVLVLHIWIFLTMLTPINCFATVVWREKLHRITSVGINGLPFKWLIRDVIGSIIVSLLFTLCVPYVVVNSLFPILGFSSAVNLTVQRFVWPAILVFIPIWFSVKLISDLIIYLHQLEFDNRYKVGERLVDFTEDLE >fgenesh2_kg.7__877__AT4G32660.1 pep chromosome:v.1.0:7:3704731:3707766:-1 gene:fgenesh2_kg.7__877__AT4G32660.1 transcript:fgenesh2_kg.7__877__AT4G32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANGFENMDKERVRKRPRMTWDEAPAEPEAKRAVIKGHGSDGRILSPPLREDDRDGHYVFSLRDNLTPRYKILSKMGEGTFGRVLECWDRDTKEYVAIKIIRSIKKYRDAAMIEIDVLQKLVQSDKGRTRCVQMKDWFDYRNHICIVFEKLGPSLFDFLKRNKYSAFPLALVRDFGCQLLESVAYMHELQLVHTDLKPENILLVSSENVKLPYNKRSAANETHFRCLPKSSAIKLIDFGSTVCDNRIHHSVVQTRHYRSPEVILGLGWSYQCDLWSIGCILFELCTGEALFQTHDNLEHLAMMERALGPLPEHMTRNASRGAEKYFRRGCRLNWPEGANSRESIRAVKRLDRLKDMVSKHVDSTRSRFSDLLCGLLTYDPSERLTANEALDHPFFKSSS >fgenesh2_kg.7__87__AT4G38890.1 pep chromosome:v.1.0:7:267940:271228:-1 gene:fgenesh2_kg.7__87__AT4G38890.1 transcript:fgenesh2_kg.7__87__AT4G38890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-dihydrouridine(47) synthase [NAD(P)(+)] [Source:UniProtKB/TrEMBL;Acc:D7MFP6] MSDVIAELAAATSVEGDAKPASPVQSTKQFSVYEATSQELIERSMAPIKKEFLCPPPPSRSGNQNDAADVRAPQSGLVQEKKSKRQLKRERREQSTINLCPQVARTEDVDSCQYKDKCRFNHDIEAFKAQKPDDIEGQCPFVASEMKCAYGLSCRFLGTHRDIAGNSDEKEKSEMNFFNKETQRLLWKNKMTFTNADAKLKSLGLLGHAKKSNAAEENSAEKTQNGVTGTQATEVAVDSAVSSEPTSEMIQDADIPGPLKTEEVRPMKKAKSEDQENSKPGDVGGVNDGVKVEEDAKKNGFSTSKADVEDEDSIKIVETDSSLKLHPREKKKLIDFRDKLYLAPLTTVGNLPFRRLCKVLGADVTCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGSYPDTVSRTVELIDRECTVDFIDINMGCPIDMVVNKSAGSALLNKPLRMKNIVEVSSSILETPITIKVRTAFFEGKNRIDSLIADIGNWGATAVTIHGRSRQQRYSKSADWDYIYQCTKNASTNLQVIGNGDVYSYLDWNKHKSDCPELSSCMIARGALIKPWIFTEIKEQRHWDITSGERLNIMKDFVRFGLQHWGSDTKGVETTRHFLLEWLSYTFRYIPVGLLDVIPQQINWRPPSYFGRDDLETLMMSESAGDWVRISEMLLGKVPEGFTFAPKHKSNAYDRAENG >fgenesh2_kg.7__880__AT4G32650.1 pep chromosome:v.1.0:7:3713134:3716636:1 gene:fgenesh2_kg.7__880__AT4G32650.1 transcript:fgenesh2_kg.7__880__AT4G32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTSEARSPLPLLLKRGRSSTGTSTTAEARSPLSVLQFRRRSSKDVRNITSVSSSLLPAFGTVIEDDSSSSRPYIVLHYDRRYRLWELFLVILVGYSAWASLFELAFMRAAEGALMTVDLVVDFFFALDIILTFFVSYLDKSSYLVVDDHKLIAKRYLKSVAFVMDVASTLPIQFIYKTITGNIGRGQAFGFLNLLRLWRLRRVAELFKRLEKDPRFNYFLIRLIKLLCVTIFWIHMAGCILYWIAYHYPRPTETWIGSQVEDFKERSIWLGYTYSMYWSIVTLTTVGYGDLHAVNSREKTFNMFYMLFNIGLTAYIIGNMTNLVVHGALRTFSMRSAINQILRYTSKNRLPDTMREQMLAHMQLKFKTAELRQEEVLQDLPKAIRSSINQHLFRSVIVEAYLFKGFPNGLIVQLVSQIQAEYFPPKMEIILQNEIPTDFYIIVSGGVDIVASKGVSEQVLAKLGPGSMAGEIGVVFNIPQPFTVRTRRLSQVIRIGHHKFKEMVQSDNDVDAKMIITNFMTYLKGLNDELKKEIPFLRDLLADADAQVQETVQTEETPQSNNEEIVTVSRDEYEQKEERRSEGVPKRVIIHGQAPPNQDNNNNGDSNGRLVILPDSIQLLFDLAEKKLGKRGSTIAMADGAHVEQIDALRENDHLYIF >fgenesh2_kg.7__881__AT4G32640.2 pep chromosome:v.1.0:7:3717042:3725942:-1 gene:fgenesh2_kg.7__881__AT4G32640.2 transcript:fgenesh2_kg.7__881__AT4G32640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVPPGPPRPNSQQNSGPPNFYPGSQGNSNSLADNMQNLSLNRPPPMMPGSGPRPPPPFGQSPQPFPQQSPSYGAPQRGPSPMSRPGPPAGMARPGGPPPGSQPAGFQSNAPLNRPTGPPSSQPPFGSRPSMPGGPVPQPAASSSGFPAFGPSGSVAAGPPPGARPMAFGSPPPIGSGLSMPPSGMLGGPVSNGHQMAGSGGFPRGTQFPGAAVTTPQAPYMQPPSAPFARAPPQPLGTHSLSGNPPLNLSTAPSMPPPATFPGAPHGRPAVSNLPYGPPSAQVPPPLGFPGPMQPPRYGMGPLPNQSMTNIPTAMGQPGASVPGPSRIDPNQIPRPGSSSSPTVFETRQNNQANPPPPATSDYVVRDTGNCSPRYMRCTINQIPCTVDLLSTSGMQLALMVQPLALSHPSEEPIQVVDFGEGGPVRCSRCKGYINPFMKFIDQGRKFICNFCGYTDETPRDYHCNLGPDGRRRDVDERPELCRGTVELVATKEYMVRDPMPAVYFFLIDVSMNAIQTGATAAACNAIQQVLSDLPEGPRTFVGIATFDSTIHFYNLKRALQQPLMLIVPDVQDVYTPLETDVIVQLSECRQHLELLLDSIPTMFQESKTPESAFGAAVKAAFLAMKSKGGKLMVFQSILCSVGVGALSSREAEGRANMSAGEKEAHKLLQPADKTLKTMAIEFAEYQVCVDIFITAQAYVDMASISVIPRTTGGQVYCYYPFSALSDPPKLYNDLKWNITRPQGFEAVMRVRCSQGIQVQEYSGNFCKRIPTDIDLPAHDDKLQDGAECAFQCALLYTTIYGERRIRVTTLSLSCTNMLSNLFRAADLDSQFACMLKQAANEIPSKALPLVKEQATNSCINALYAYRKFCATVTSSGQLILPEALKLFPLYTLALTKSVGLRTDGRIDDRSFWINYVSSLSTPLAIPLVYPRMISVHDLDAKDNEGSVLPPPIPLSSEHISNEGVYFLENGEDGLLYVGESVDSDILQKLFAVPSAAEIPNQYLLQQYDNQLSKKFNDAVNEIRRQRCSYLRSPYRSFVPSVSCSECVVEKHSRRGKGISLMAA >fgenesh2_kg.7__884__AT4G32630.2 pep chromosome:v.1.0:7:3726293:3730045:-1 gene:fgenesh2_kg.7__884__AT4G32630.2 transcript:fgenesh2_kg.7__884__AT4G32630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7M9R4] MKEGERTEKAIRSLLKLPENRRCINCNSLGPQYVCSTFWTFVCINCSGIHREFTHRVKSVSMAKFTAEEVSALRAGGNERARQIYFKEWDTHRDGYPDGSNIFKLRDFIRNVYVEKRYSSNGKLSQQKSDVIEDYRESKKASANFLGSRSLHSVDKSEIERYSAVGRSGSESLKFYFDDKNHKQQYVTHNPRSRGLPKSPIRFEIVDDRFRDDGTVKRYDARKESRGSSKSLDLSSNKDMPSFPIVRHTSELNVVKFEKKKDPVNTQVTASSEKMESPRSLIDDDVPVSEPSDESISKSSSAIITSLKTIEEPAPNSLEALLFGPSVLSVVPGTNNSELWNTSDISSTDNYTAANLGTQTMPGIPDNVTSFVTSPTTVHAHAGSSGPVVPVAPDNLNTKETATPSVAANNQGPSDFSMEQSTLAITNYAHGVGSEQDHPDETQSSIRKALPEDLFSGGFSFAPQQVHGQHHGMGYGMEYYQYPMQAMGAITYTAKPANPFDLSYDDTAPNQTPQFPSMAYVQGGGLPHVSAPIGYSDSASPAADSIGLMTSQSPFHTTALSPNSPALASNLSPGALMGQQSQVNMSPSFRQEYSGLGTEGNTFNGAHTFHQANNGYPCANPNAYISRGNPF >fgenesh2_kg.7__895__AT4G32560.1 pep chromosome:v.1.0:7:3751437:3753708:-1 gene:fgenesh2_kg.7__895__AT4G32560.1 transcript:fgenesh2_kg.7__895__AT4G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDDNSDKVCLSVKGKCTLEQPEEMVTYLKASIRELSVKVNEQNQRKCHVKDKLQQLRERISKEGVDVSVQELIPLLRSFKELEKEESQVRSNCKRSALEDAVHGLEERVAKGLDGEIHEEDLDGLLFESLDNLTSAKKELAAALREIVSLKRQIDDVPCQSELLQYERRFSELNVCIQEKLQQTRKLYATYNTLLEIKDLMVKETSLLNSIGSQFEDVIGTPAGRVKLIDSMEGVMKGIQQKIGKVQLGLQEEQRLRDASKEKYVAAAAEQRKCYTVLRAFQEECTKNEKLRSHISAVNTSDSKEGVE >fgenesh2_kg.7__897__AT4G32551.1 pep chromosome:v.1.0:7:3753930:3761154:-1 gene:fgenesh2_kg.7__897__AT4G32551.1 transcript:fgenesh2_kg.7__897__AT4G32551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKATAQAFQAEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQMIKAREQQLQQSQHPQVSQQQQQQQQQQIQMQQLLLQRAQQQQQQQQQHHHHQQQQQQQQQQHQNQPPSQQQQQQPTPQHQQQPTPQQQPQRRDGSHLANGSANGLVGNNSEPVMRQNPGSGSSLASKAYEERVKMPTQRESLDEAAMKRFGDNVGQLLDPSHASMLKSAAASGQPAGQVLHSTSGGMSPQVQARNQQLPGSAVDIKSEINPVLTPRTAVPEGSLIGIPGSNQGSNNLTLKGWPLTGFDQLRSGLLQQQKPFMQSQSFHQLNMLTPQHQQQLMLAQQNLNSQSVSEENRRLKMLLNNRSMSLGKDGLGSSVGDVLPNVGSSLQPGGSLLPRGDTDMLLKLKMALLQQQQQHQQQGGGNPPQPQPQPQALNQLALSNPQPQSSNHSIHQQDKLAGGGSITMDGSMSNSFRGNEQVLKNQGGRKRKQPLSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPNLPHSGGSSKSMMMFGTEGTGTLTSPSNQLADMDRFVEDGSLDDNVESFLSQEDGDQRDAVTRCMDVSKGFTFNEVNSVRASTTKVTCCHFSSDGKMLASAGHDKKAVLWYTDTMKPKTTLEEHTAMITDIRFSPSQLRLATSSFDKTVRVWDADNKGYSLRTFMGHSSMVTSLDFHPVKDDLICSCDNDNEIRYWSINNGSCTRVYKGGSTQMRFQPRVGKYLAASSANLVNVLDVETQAIRHSLQGHANPINSVCWDPSGDFLASVSEDMVKVWTLGTGSEGECVHELSCNGNKFQSCVFHPAYPSLLVIGCYQSLELWNMSENKTMTLPAHEGLITSLAVSTATGLVASASHDKLVKLWK >fgenesh2_kg.7__8__AT4G38160.2 pep chromosome:v.1.0:7:16662:18634:1 gene:fgenesh2_kg.7__8__AT4G38160.2 transcript:fgenesh2_kg.7__8__AT4G38160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE191 [Source:UniProtKB/TrEMBL;Acc:D7MEW6] MWFFRDKGFDDPSIDKMLRKCKQLDKAQSDVASENWDYLRNIVGIQERKLPYIVSRCPKILTLRLDERLIPMVECLSSLGRNPREVSSAITKFPPILSHSVEEKLCPLLAFFQALGVPETQLGKMILFNPRLISYSIDTKLTVIVSFLASLGLDQDGMIGKVLVKNPFLMGYSVDKRLRPTTEFLKSSVGLSEDGIQSVVMNFPQLLCRDVNKILKPNYDYLRECGFGDSQIATMVTGYPPILIKSIKNSLQPRIRFLVQVMGRGMDEVASYPEFFHHGLKKKVESRFKLVKKNNIVCSLREMLDCNTKKFHEKFGFPDSLSFLACDYCSNRQATEDSA >fgenesh2_kg.7__904__AT4G32520.1 pep chromosome:v.1.0:7:3802224:3805354:1 gene:fgenesh2_kg.7__904__AT4G32520.1 transcript:fgenesh2_kg.7__904__AT4G32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MA38] MQACCGGTSMASLQQPGRVQGSVFPPLMPPVTKFSQQLKFNFSKPFRSSFLERNLVFERRASSVSLPNVEMSSKDIAFADYGLGEVDPEVRTIITKEKDRQFRSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDQLETLCQNRALAAFRLDSTKWGVNVQPLSGSPANFAVYTAILSPHDRIMGLDLPHGGHLSHGFMTAKRRVSGTSIYFESMPYRLDESTGIVDYDMLEKTATLFRPKLIIAGASAYSRDFDYPRLRKIADSIGAFLMMDMAHISGLVAASVVADPFEYCDIVTTTTHKSLRGPRGGMIFFRKDPINGVDLESAVNNAVFPGLQGGPHNHTIGGLAVCLKHAQSLEFKAYQKRVVSNCRALANRLVELGFKLVSGGSDNHLVLVDLRPMVSMDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGLSEKDFVAVADFIKEGVEITMEAKKATPGSKLQDFTKFVTSPDFPLIEKVKSLKERVESFTSHFPIPGV >fgenesh2_kg.7__913__AT4G32450.1 pep chromosome:v.1.0:7:3834328:3836190:-1 gene:fgenesh2_kg.7__913__AT4G32450.1 transcript:fgenesh2_kg.7__913__AT4G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MA46] MDNSSHHIGYVNGFNSGEQLFGGFQHLNPVSVQNPNRFYQNCSNQNQSYEICYQRHQNWQTSDACSSYGTGQQDHSGHSSLDELDAICREGKVKEAVDIIKSWRNEGYVVDLLRLLWIAQLCGDAQALQEAKVVHEFITSSVGTSDISAYNSIIQMYSGCGSAEDALTVFNSMPERNLETWCGVIRCFAKNGQGEDAIDIFSRFKEEGNRPDGEIFKDIFFACGVLGDMNEGLLHFESMVKEYGIFPSVEHYVSLVKMLAEPGYLDEALRFVESMEPNVDLWETLMNLSRVHGDFILGDRCQDMVEQLDASRLNKESRAGLVPVKSSDLVKEKLQRMAKGPKYGSRHMAAGDISRPENREFYMALKSLKEHMIEIGYVPESKLALHDVDKESKDENLFNHNERFAFIRTFLDTPARSTIQVVKNIRVCVDCHNALKLMSKIVGRELISRDAKRYHHMKDGVCSCRDYW >fgenesh2_kg.7__914__AT4G32440.2 pep chromosome:v.1.0:7:3836971:3840470:-1 gene:fgenesh2_kg.7__914__AT4G32440.2 transcript:fgenesh2_kg.7__914__AT4G32440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MA47] MRFRKGSRVEVFSNKEAPYGAWRCAEIVSGNGHTYNVRFYSFQLEHEEAVMERVPRKIIRPCPPLLDVEKWETGELVEVLDNFSWKAATVREELSGNYYVVRLLGTPAERTFHKVNLRARKSWQDEKWVAIGKISGSVKSSTLTGSDVYQKLQPHRNNIPLHEPSDVSARMLKRPSPYNWSEFAESCTGNNPKKIRSLEKEGQQQKVDAIACRPEKRGGKSHVQASSNNHKTDYCQIVRVRSKGFSESVRADDSSDSDACSVGSCSATSYDESNMPPCMLDGSSQQADSCSSDAESSCGLGEEPRRKHSSAGDGARRSCRSELYSYRSTLGELFSSGPLSWEQEASLTDLRLSLNISDDEHLMEEQCCLVRACRPFTSRGLTLMLGFTTSPLAI >fgenesh2_kg.7__916__AT4G32430.1 pep chromosome:v.1.0:7:3841660:3844250:-1 gene:fgenesh2_kg.7__916__AT4G32430.1 transcript:fgenesh2_kg.7__916__AT4G32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MA48] MDEVTLCLALKACRGDLKRGCQIHGFSTTCGFTSFVCVSNAVMGMYRKAGRFDNALYIFENLVDPDVVSWNTILSGFDDNQIALNFVVRMKSAGVVFDAFTYSTALSFCVGSEGFRLGLQLQSTVVKSGLESDLVVGNSFITMYSRSGSFRGARRVFDEMPFKDMISWNSLLSGLSQEGTFGFEAVLIFRDMMREGVELDHVSFTSVITTCCHETDLKLARQIHGLCIKRGYESLLEVGNILMSRYSKCGVLEAVKSVFYQMSERNVVSWTTMISSNRDDAVSIFLNMRLDGVYPNEVTFVGLLNAVKCNEQIKEGLKIHGLCIKTGFVSEPSVGNSFITMYAKFEALEDAKKAFDDITFREIISWNAMISGFAQNGFSHEALKMFLSATAETMPNEYTFGSVLNAIAFAEDISVKHGQRCHAHLLKLGLNSCPVVSSALLDMYAKRGNINESEKVFNEMSQRNQFVWTSIISAYSSHGDFNSVMNLFHEMIKENVAPDLVTFLSVLTACNRKGMVDKGHEILNMMIEDYNLEPSHEHYSCMVDMLGRAGRLKEAEELMSEVPGGPGESMLQSMLGSCRLHGNVKMGAKVAELAMEMKPELSGSYVQMYNIYAEKEQWDKAAEIRKAMRKKNVSKEAGFSWIDVGDTEGSLTMQGFSSGDKSHPKSDEIYRMVEIVGLEMNLEGKV >fgenesh2_kg.7__917__AT4G32420.1 pep chromosome:v.1.0:7:3844364:3849763:1 gene:fgenesh2_kg.7__917__AT4G32420.1 transcript:fgenesh2_kg.7__917__AT4G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNPQVFMDVSIDGDPAETMVFELFPEVAPKTSENFRALCTGEKGIGPRSGKPLHYKGSFFHRILQGSSAQAGDFVNRDGTAGESIYAGKFPDESPKLRHEEPGLLSMSVADRDKFGSHFHITFRPNQQLDRNNVVFGKLIQGKELLKKIERVGDEEGKPTVIVKIIRCGEYSGDKKKSDSRKNAKHKKSIRERRKKRRRHSSSESESSSDSEADSSESDSESDSDLSSPSDLSSPSHERQKKRKRSSKKDKHRRSKRRDKRHEQKRRMHDKRSKRKSRRSPDSLTGEDSNSGSEASLSDANVEIGAKKRKPRVSRRTGNSAPAVEKGAEPLHQGKREGPDLLENRGLRSNGISDAASEQISDRQPDIVDDHPSKSRSRSLSPKRTVSKSMSVSPRRSQSKSPSSSPRRNGGRSPAKGIRQVKNLTNIRRESPGSEEKGRHVRRSPTKSVSRSPVRMKRERDISRSPVRERDISRSPSKSLSRSPLRGPKRVISRSPVRGRIARSPSRSPVRSASRGSLGRGPLRRSSRRSSSRTPARSSRRSLSRSPIRLSRRSPSGSPIRLSRRSLSRSPIQLSRRSLSRSPIRSPRRSLSRSPVRLSRKSVSRSPVRSSRRSISRSPVRSSRKSVSRSPIRLSRRSNSRSPVRGRRRISRSPVPARRRSARPRSPPCDRIRSLSRSASPDGRIRRGRGFSQRFSYARRYRTSRSPYRFSDRGDRDRFRSHRRFSPRRFRSPLRGRTPPRYRRRSRSVSPGPRYRNRRYSRSRSPIRSRSPPYRKRRSPSASYSPSPSRSRSKSYSKSPIGSGKARSVSRSPSKARSPSKSDSTSSDNSSGGKKGLVAYD >fgenesh2_kg.7__919__AT4G32410.1 pep chromosome:v.1.0:7:3850655:3856654:1 gene:fgenesh2_kg.7__919__AT4G32410.1 transcript:fgenesh2_kg.7__919__AT4G32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:D7MA50] MEASAGLVAGSYRRNELVRIRHESDGGTKPLKNMNGQICQICGDDVGLAETGDVFVACNECAFPVCRPCYEYERKDGTQCCPQCKTRFRRHRGSPRVEGDEDEDDVDDIENEFNYAQGANKARHQRHGEEFSSSSRHESQPIPLLTHGHTVSGEIRTPDTQSVRTTSGPLGPSDRNAISSPYIDPRQPVPVRIVDPSKDLNSYGLGNVDWKERVEGWKLKQEKNMLQMTGKYHEGKGGEIEGTGSNGEELQMADDTRLPMSRVVPIPSSRLTPYRVVIILRLIILCFFLQYRTTHPVKNAYPLWLTSVICEIWFAFSWLLDQFPKWYPINRETYLDRLAIRYDRDGEPSQLVPVDVFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVACYVSDDGSAMLTFESLSETAEFAKKWVPFCKKFNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKIPEEGWTMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTDGNELPRLIYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKAIKEAMCFMMDPAIGKKCCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIIVKSCCGSRKKGKSSKKYNNEKRRGINRSDSNAPLFNMEDIDEGFEGYDDERSILMSQKSVEKRFGQSPVFIAATFMEQGGIPPTTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCNPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYHGRLRLLERIAYINTIVYPITSIPLIAYCILPAFCLITDRFIIPEISNYASIWFILLFISIAVTGILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYIFKWTALLIPPTTVLLVNLIGIVAGVSYAVNSGYQSWGPLFGKLFFALWVIAHLYPFLKGLLGRQNRTPTIVIVWSVLLASIFSLLWVRINPFVDANPNANNFNGKGGVF >fgenesh2_kg.7__920__AT4G32400.1 pep chromosome:v.1.0:7:3857306:3859083:-1 gene:fgenesh2_kg.7__920__AT4G32400.1 transcript:fgenesh2_kg.7__920__AT4G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7MA51] MGRTGIQLFDDSRNGFFSVSDLGFDSSLNSSNYHPIGGLFASVNHTNPFASLSSPDPSQRGNTSFSAQLNDLYTKYMPGKEEEEEEVNGEKRKKKKGGLKLKIKIANPSLRRLLSGAVAGAVSRTAVAPLETIRTHLMVGSGGNSSTQVFGDIMKHEGWTGLFRGNLVNVIRVAPARAVELFVFETVNKKLSPQHGEESKIPIPASLLAGACAGVSQTILTYPLELVKTRLTIQRGVYKGIFDAFLKIIREEGPTELYRGLAPSLIGVVPYAATNYFAYDSLRKAYRSFSKQEKIGNIETLLIGSLAGALSSTATFPLEVARKHMQVGAVSGRVVYKNMLHALVTILEHEGILGWYKGLGPSCLKLVPAAGISFMCYEACKKILIENNQEA >fgenesh2_kg.7__926__AT4G32340.1 pep chromosome:v.1.0:7:3889795:3891341:1 gene:fgenesh2_kg.7__926__AT4G32340.1 transcript:fgenesh2_kg.7__926__AT4G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMRSASSLDLNLRAAQKPSVPRVVTTARTVSASSPRVAKPITRASSDGNLYKIQSPESRTKTISVYHEETASYRVLEGSRLTHGGSNGGFGGRGGDGAGGGGGVGGGNVDGYYEEMIQRYPGDTLLLSNYARFLKETAMLSENGRDGELLSMYGDLIWKNHGDGVRAHSYFDQAVQFSPDDCHVLASYARFLWDAEEEEEEEESKHGNGFSFSTYNPSSVS >fgenesh2_kg.7__928__AT4G32330.1 pep chromosome:v.1.0:7:3898901:3901957:-1 gene:fgenesh2_kg.7__928__AT4G32330.1 transcript:fgenesh2_kg.7__928__AT4G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPETIMAADGTDSAPENGALTMESVCGKENGVVSVETVDTTSESQNENSGNSSTFDAIEHVKEAAEGTQVEIVDDSKCMKPEKAQRKLKHEKLSGGKNISSVHIKKNKEGKSADAKVAASNGSVAPIAQTTKPLKSKSFNGREAQVTKQGKHDTAPAESVDGDKVKPKPQKKQTHETSEDDTQSSNSPKADDGKPRKVGALPNYGFSFKCDQRAEKRREFYVKLEEKTHAKEEEINSMQAKSKETQEAELRMLRKSLNFKATPMPSFYQEPQPPKTELKKIPPTRPKSPKLGRKKTASGGDCEETQTPRLGRLSLDERASKDNPTAKGIMPTVDLKKQPVRKSLPRLPSQKTALPDGKPAPAKAATISAKVKPEKKKLEKDTETVNQSSHPIEEEAQVTVSSSADAEDSHEIVSPRMNEDRADKSIEVSEAVAVEH >fgenesh2_kg.7__92__AT4G38930.2 pep chromosome:v.1.0:7:296198:298207:1 gene:fgenesh2_kg.7__92__AT4G38930.2 transcript:fgenesh2_kg.7__92__AT4G38930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin fusion degradation UFD1 family protein [Source:UniProtKB/TrEMBL;Acc:D7MFQ2] MFYDGYAYHRTTFEQTYRCYPSSFIDKPQIESGGKIIMPPSALDRLASLHIDYPMLFELRNASTDRVSHCGVLEFIAEEGVIYMPYWMMQNLLLQEGNIVRVRNVTLPKGTYVKLQPHTTDFLDIANPKAILETALRNYSCLTVGDSIMVPYNNKKYFIDIVEAKPSNCISIIETDCEVDFAPPLDYKDPERPVVPASAKGQAKANEVAVAEAEPKFNPFTGSGRRLDGRPLSYEPQPVAANSNGQSHSVASSSGSEKATQQTQGKLVFGSHVNRATKETTKVGAGKDRKQEEEAEKKDKFNAFSGKKYSLRG >fgenesh2_kg.7__931__AT4G32300.1 pep chromosome:v.1.0:7:3908301:3911445:-1 gene:fgenesh2_kg.7__931__AT4G32300.1 transcript:fgenesh2_kg.7__931__AT4G32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MA62] MRGVFIVFVTCLVFLPDPLLAGVASIGKIIPGFGGSQMNYINNDGIFLESNNSDFGFGFVTTQDSVTLFTLSIIHKSSTKLIWSANRASPVSNSDKLVFEDNGNVVLRREDGGTEVWRLDNSGKNASRMELRDSGNLVVVSVDGTSIWESFDHPTDTLITNQAFKEGMKLTSSPSSSNMTYALEIKSGDMVLSVNSLTPQVYWSMGNSRERIINKDGGVVTSSSLLGNSWRFFDQKQVLLWQFVFSDNKDDNTTWIAVLGNNGVISFSNLGSGTSAADSSTKIPSDLCGTPEPCGPYYVCSGSKVCGCVSGLSRARSDCKTGITSPCKKTKDNATLPLQLVNAGDGVDYFALGFAPPFSKKTDLDSCKEFCNNNCSCLGLFFQNSSGNCFLFDWVGSFKTSGNGGSGFVSYIKIASTSSGGGDNGEDDGKHFPYIVIIIVVTIFIIAVLIFVAFRIHKRKKMILEAPQESSEEDNFLENLSGMPIRFAYKDLQSATNNFSVKLGQGGFGSVYEGTLPDGSRLAVKKLEGIGQGKKEFRAEVSIIGSIHHLHLVRLRGFCAEGAHRLLAYEFLSKGSLERWIFRKKDGDVLLDWDTRFNIALGTAKGLAYLHEDCDARIVHCDIKPENILLDDNFNAKVSDFGLAKLMTREQSHVFTTMRGTRGYLAPEWITNYAISEKSDVYSYGMVLLELIGGRKNYDPSETSEKCHFPSFAFKKMEEGKLMDIVDGKMKNVDVNDERVQRAMKTALWCIQEDMQTRPSMSKVVQMLEGVFPVVQPPSSSTMGSRLYSSFFKSISEDGGGTTSSGPSDCNSENYLSAVRLSGPR >fgenesh2_kg.7__932__AT4G32295.1 pep chromosome:v.1.0:7:3930765:3932143:-1 gene:fgenesh2_kg.7__932__AT4G32295.1 transcript:fgenesh2_kg.7__932__AT4G32295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYDCIRRAWHSDRHQPMRGLLIQEIFRIVCEIHSQSTRKNTEWQEKLPVVVLRAEEIMYSKANSEAEYMDMKTLLDRTNDAINTIIRLDETTETGEFLQPCIEAALHLGCTPRRASRSQRNINPRCYLSQDSTNFDNILSQVFMKPNNNFAPKNLAVAQEKCPVSKYSVYPLCYSFRPISDSCKSKNSRPASLFDATNGITFGGGECDLSLRLGPLGPPPPTHKRSKMSNNNNNS >fgenesh2_kg.7__934__AT4G32290.1 pep chromosome:v.1.0:7:3933388:3934720:1 gene:fgenesh2_kg.7__934__AT4G32290.1 transcript:fgenesh2_kg.7__934__AT4G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPTLFPLFCALFLCLPVAVIFTVQRELTGVITPPEFGFRSLSVFSLYSRNVPDASSSPVVRIRQPIPKEDEPLLRLASRVNPNLPPGSTRKLAFMYLTTSPLPFAPLWEKFFNGCSKNLYNVYVHADPTREYDPPFSGVFLNRVIHSSKPSMRHTPTLTAAARRLIAHALLDDPLNYMFAVISPSCVPIRSFDFTYKTLVSSRKSFIEILKDEPWQFDRWTATGSHAMLPEVKLEEFRIGSQFWVLKRRHARVVARDRRIWVKFNKTCVREDSCYPEESYFSTLLNMRDPRGCVPATLTHVDWTVNDGGHPRMYEPEEVVPELILRLRKTRPRYGEDGINGSEWSAVERMDSFLFARKFSPEALEPLLGMARTVLFNDSAGGV >fgenesh2_kg.7__938__AT4G32272.1 pep chromosome:v.1.0:7:3945673:3948557:1 gene:fgenesh2_kg.7__938__AT4G32272.1 transcript:fgenesh2_kg.7__938__AT4G32272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMRTNPVLPVSDPPLAGENDVDDRLFKGSAMTKRGAYAALSYMACAVMLVLFNKAALSSYDFPCVNVITLFQMVSSSLFLYALRRRKIISFTAADSFSIDNASNFVPVKTLFHTLPLSIAYLMYMLASMASVRGVNVPMYTTLRRTTVAFTMVIEYMLTGQRYTRSIIGSVGVILLGAFFAGARDLSFDFYGYGVVFLANISTAVYLATIARTGKSSGLNSFGLMWSNGIICGPILMIWTFICGDLEKTINFPHLLSPGFMVVLLCSCVLAFFLNYCIFLNTTLNSALTQTICGNMKDLFTVGLGWMLFGGLPFDLMNVIGQLLGFFGSGLYAYYKIIGR >fgenesh2_kg.7__939__AT4G32270.1 pep chromosome:v.1.0:7:3948930:3950476:1 gene:fgenesh2_kg.7__939__AT4G32270.1 transcript:fgenesh2_kg.7__939__AT4G32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPRRSFAASLSPLRLIDGLPRRRSFNYNQMPEEPIKLTVLKLDGSSFGIQVLKTATVGELKMAVEAAFSHLPISGPGKISWPHVWGQFCLSYEDKRLINESEYLLEFGIKDGDQLRFIRHISNYCMLMVKHKSKTPRVSSFKQLKLFSTTPETRKKKVREGQEDGVDSIPRIQPSFLATVLGGWLSYKSTTPSQRGTKHRNVTASRVFNKLIARFRFKCYSEKDVWNRKKLISET >fgenesh2_kg.7__941__AT4G32260.1 pep chromosome:v.1.0:7:3950754:3951790:1 gene:fgenesh2_kg.7__941__AT4G32260.1 transcript:fgenesh2_kg.7__941__AT4G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase family [Source:UniProtKB/TrEMBL;Acc:D7MA68] MAANSIMASSKPLISLSSNQQPSRVQIPKFAKLPQIPKSLTSSTDLRSKALSLSSATAKSLALIAAFAPPSMAEAMEKAQLFDFNLTLPIIVVEFLFLMFALDKVYYSPLGNFMDQRDASIKEKLASVKDTSAEVKELDEKAAAVMRAARAEIAAALNKMKKETQVEVEEKLAEGRKKVEEELKEALASLEKQKEETIKALDSQIAALSEDIVKKVLPS >fgenesh2_kg.7__942__AT4G32250.2 pep chromosome:v.1.0:7:3952796:3955932:1 gene:fgenesh2_kg.7__942__AT4G32250.2 transcript:fgenesh2_kg.7__942__AT4G32250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MA69] MASKIIAGKPDDSEYEIIEGESESALAAGTSPWMNSSTLKLRHRIGRGPFGDVWLATHHQSTEDYDEHHEVAIKMLHPIKEDQRRVVVDKFEDLFSKCQGVENVCLLRGVSSISGKICIIMKFYEGSVGDKMARLKGGKLSLPDVLRYGVDLATGILELHSKGFLILNLKPSNFLLSDNDKAVLGDVGIPYLLLSIPLPSSDMTVRLGTPSYMAPEQWQPEVRGPMSFETDSWGFGCSIVEMLTGVQPWSGRSADEIYDLVVRKQEKLSIPNTIPPPLDNLLRGCFMYDLRSRPSMTDILLVLKSLQSLEEEQVWRGIDSREIMKSSGTLGYTEWFLSKDHLQVGDTVRSRKPANSCKHENMDVPEGIVVGLERDTTDPDGFMLVKVHGVHDPLRVHVSVLERVTSGLASGDWVRLKVRKDKRHSPVGVLHSIDREGNVAVGFIGLPTLWKGTSSQLQMAKAYSVGQFVKLKTNVVIPRFKWMRKSRGIWATGRISQVLPNGCLEVDFPGVLPFGEEHGSCLADPAEVEIVNFNTCQGVVKKYQHLEDIHWAVRPLLIAMGLLTAMKLGFFVGKKIGRSKDGKQRDGSSGQDDCKIPDGKGSGKSKWLVFSV >fgenesh2_kg.7__948__AT4G32180.1 pep chromosome:v.1.0:7:3975261:3981486:1 gene:fgenesh2_kg.7__948__AT4G32180.1 transcript:fgenesh2_kg.7__948__AT4G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPANK2 [Source:UniProtKB/TrEMBL;Acc:D7MAQ9] MAGQEDEHDPILDDKKEAEAKSEVCVGGGERDMAPSTSGTSIHRSGSRPQLDLSKAEIQGNLEERDPTILLPNQSDDISHLALDIGGSLIKLLYFSRHEDYSNDGDKRKRTIKERLGITNGNLRSYPVLGGRLHFVKFETHKINECLDFIHSKQLHRRDPYPWSSKTLPLGTGVIKVTGGGAYKFADLFKERLGVSIEKEDEMHCLVSGANFLLKAIRHEAFTHMEGEKEFVQIDPNDLYPYLLVNVGSGVSIIKVDGEGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQKGDNSAIDMLVGDIYGGMDYSKIGLSASTIASSFGKAISENKELDDYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEMQAMFLRHEGFLGALGAFMSYEKHGLDDLMSHQLVERFPMGAPYTGGNIHGPPLGDLNEKISWMEKFVRRGTEITAPVPMTPSKTTGLGGFEVPSSRGSALRSDASALNVGVLHFVPTLEVFPLLADPKTYEPNTIDLSDQGEREYWLKVLSEHLPDLVDTAVASEGGTEDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFVDAYRSIKQRENEASLAVLPDLLEELDSMSEEARLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPWRVDDFDAFKERMLGSGGKQPHRHKRALLFVDNSGADVILGMLPLAREFLRRGTEVVLVANSLPALNDVTAMELPDIVAGAAKHCDILRRAAEMGGLLVDAMVNPGDGSKKDSTSAPLMVVENGCGSPCIDLRQVSSELAAAAKDADLVVLEGMGRALHTNFNAQFQCEALKLAMVKNQRLAEKLIKGNIYDCVCRYEPPSL >fgenesh2_kg.7__949__AT4G32175.1 pep chromosome:v.1.0:7:3981465:3983591:-1 gene:fgenesh2_kg.7__949__AT4G32175.1 transcript:fgenesh2_kg.7__949__AT4G32175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVSVSPTSLIDQIVVPGDVVLDLSNMTNQTIKLGSGLHQDNDVISAMRAGKLSFCKPNKYWVESSHKRYIPRPEDHVLGIVVDSKTDNFWVDIKGPQLALLPVLAFEGGTQRNIPKFEVGTLLYLRVVKTNTGMNPELSCTDASGKAALFGPLRDGFMLETSTGLSRMLLSSPTCPVIEALGKKLSFEIAVGLNGRVWVNSAAPRTVIIVANAMMNSESLSVTQQRIMVEKLLAKISD >fgenesh2_kg.7__94__AT4G38940.1 pep chromosome:v.1.0:7:298614:300018:1 gene:fgenesh2_kg.7__94__AT4G38940.1 transcript:fgenesh2_kg.7__94__AT4G38940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MFQ3] MSSQIIRAQKKQPPEPPCLISLLPDEIVVDIVARVPRCYYPTLSQVSRRFRSLVASPELYARRSFFGCTEQCLYVAISKDQTSDIHWFTLCRKPNGQQFSGTASDHRLVHIPSLPPMPIQGSYVGIGSNIFVMGGFCNWKITPSVSLIDCLTHTAQTLPDMPKAVAISVTELIDRKIYVIGGSDTLSPLKSPSRSMMVYDTDTEMWELRTRPDWEAGKRWFSSVVIGGKIYMRTYYNSFVYDPIEDSCVRDEVLHSKEWSSSCVIDDVLYYYDVRGNCLRAYDPKQRSWGVVKGFEGLLPEACKWSKTVSCTGGKLVLFLQKTEETEIWCAEIAVERREGGEIWGKVEWCSVVLGGNFHIMDCVAVEL >fgenesh2_kg.7__950__AT4G32170.1 pep chromosome:v.1.0:7:3983752:3985309:-1 gene:fgenesh2_kg.7__950__AT4G32170.1 transcript:fgenesh2_kg.7__950__AT4G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP96A2 [Source:UniProtKB/TrEMBL;Acc:D7MAR1] MASISLLQVSVAILCFFILHYFLFKKPHGRFRRNWPVLRMLPALLKALHRIYDYSVKILETSDLTFPFKGPRFAGIDMLITVDPANIHHIMSSSFSNYIKGPEFQDVFDVLGDSFITTDSELWKNMRKSYQAMLHTQEFQRFSMSTMTSKLKDGLVPLLNHFAEEGTTLDLQGVFGRFTFDTICILVTGSDPRSLSIEMPGDEFAKALDDVGEAILYRHVKPRFLWKLQNWMGFGQEKKLIEANATFDRVCAKYISAKREEIKRSQGVSNGESEDLLTSIIKLDTTKYKLLNPSDDKFLRDNILAFILAGRDTTATALSWFFWLLSENPQVVAKIRQEINNNTDLSRTGNSQENVDKLVYLHGALCEAMRLYPPVSFGRKSPIKSDVLPSGHKVDANSKIIICLYALGRMRAVWGEDATQFKPERWISENGGIKHEPSYKFLAFNAGPRTCLGKHLAMTQMKIMAVVILRNYDIKVLQGQKIVPALGFILSMKHGLKITVTKRCSA >fgenesh2_kg.7__953__AT4G32140.1 pep chromosome:v.1.0:7:3993831:3996365:1 gene:fgenesh2_kg.7__953__AT4G32140.1 transcript:fgenesh2_kg.7__953__AT4G32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRYKAGLFLIGTVVIIWVTSAEVTQDIFTAYKQPFAVTYLGASLMIVYLPVAFLKDWLCRYLDRRSSKSSKVPALTDESSVGSPLKHKIIEMGLQGTITKKDSEEHLSSHEEDERPLIGKVREEVQTLKQRKEITTKQIAMYGLYLAPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVFLGQDTLNLSKVVAVFVSMAGVVMTTLGKTWAADESQLNSSLNGERSLMGDLFGLLSAVSYGLFTVLLKKFAGEEGEGVDVQKLFGYIGLFTLVALWWLVWPLTALGIEPKFTIPHSVKVDEVVLANGFVGSVLSDYFWALSVVWTTPLVATLGMSLTIPLAMVADMMIHGRHYSAIYILGSTQVFAGFVIANISDLFSKKLGL >fgenesh2_kg.7__955__AT4G32120.1 pep chromosome:v.1.0:7:3999503:4002460:1 gene:fgenesh2_kg.7__955__AT4G32120.1 transcript:fgenesh2_kg.7__955__AT4G32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MAR5] MESLPTTVSAKSDRRGRSSKSQNTSKPSLILAFFSCLAWLYVAGRLWQDAQYRAALNTVLKKNYDQRPKVLTVEDKLVVLGCKDLERRIVETEMELAQAKSQGYLKNQKSVSSSGKKMLAVIGVYTGFGSHLKRNKFRGSWMPRDDALKKLEERGVVIRFVIGRSANRGDSLDRKIDEENRATKDFLILENHEEAQEELPKKVKFFYSAAVQNWDAEFYVKVDDNVDLDLEGMIGLLESRRGQDGAYIGCMKSGDVITEEGSQWYEPEWWKFGDDKSYFRHATGSLVILSKNLAQYVNINSGLLKTYAYDDTTIGSWMIGVQTTYIDDNRLCCSSTRQEKVCSMA >fgenesh2_kg.7__956__AT4G32105.1 pep chromosome:v.1.0:7:4002995:4003810:1 gene:fgenesh2_kg.7__956__AT4G32105.1 transcript:fgenesh2_kg.7__956__AT4G32105.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MAR6] MASNACKLICLVLFFAFINQGYGDCHLNDLSVKQSKTGKLIQNKPEWEVRVTNPCKCKFQYTKLSCVGFQSVTPVATSLLSKSGDLCLLNAGKFIFPHVDFVFKYVWDTSFDLKVIDGVIVCP >fgenesh2_kg.7__957__AT1G45100.1 pep chromosome:v.1.0:7:4006134:4010036:-1 gene:fgenesh2_kg.7__957__AT1G45100.1 transcript:fgenesh2_kg.7__957__AT1G45100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F27F5.17 [Source:UniProtKB/TrEMBL;Acc:D7MAR8] MESSSKESAAKVLLLGKRKPEDDPETKPILRKHKETSEEKETTEGFACSLQQNSEQDNLTSAKAASVTLFVSGLSRQTKISDIIDFFSDVGEVVHVRICITHEGRRLRSGFVEFTSASEANKAMEKKNGEYLHDQEISLRVAQTTPYRPPKNHDSFQLESLPIEEDETPLDFVEEVVIVSNLSPQTKIAHIKGFFNDVAEVVSVRLVVNHEGKHVGYGFVEFASAYGANKALEEKNGDYLHDHQILLMRGHESPGFVEEAAITKTLFVANLCDTIKISDIINFFKDVGEVVHVRLVVNSQGKHAGWGFVEFASANEAEKALVKNGEYLHNYKISLDVAKTAPYRPPKFCLDHKVWYEDYLRRESLLIKEDEAVEGLDETPDFLEEAAARKKTLFVANLPYSCRRIVPTIINFFKDVGEIVHVRIIVDHMGVPVGCGFVEFNSSNEAEKALQKKNGQYSKISVDVAEIALYPVGPKYNVAKKLWYEDNLRRGRLLIKEKTEPDLKKPSLMSLCCGQKVTFSDDD >fgenesh2_kg.7__962__AT4G32050.1 pep chromosome:v.1.0:7:4030184:4034633:-1 gene:fgenesh2_kg.7__962__AT4G32050.1 transcript:fgenesh2_kg.7__962__AT4G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neurochondrin family protein [Source:UniProtKB/TrEMBL;Acc:D7MAS5] MAAAQEERSPSLDDCLKLLKGERDEQRLAGLLLVTKFCKNDDIVSLKKVYEAVGTHFLDRLFRTGTGSGDGVDNRDVYLQLSVTVLAALCRVPEIASSVDMVSRIPLILEIMSKGITTNMLEDCYELLYLVSTASEDGVMTLINSGGLRVIAPQMSDLPDGSHAMEVAIKILQLLVSKLSGESMNIECFLELSLVVAAVARQFAVLHNALKFEALHLLSAVFSSEYSALLHEPLRSMPDNNWADYMRTGIVAILQNRVAPSEKFHALILAENMMSILGEKWLIGGVKLPNVDLPADRCLLLVLESSHVEISVLLNDLAYKKYEAPKNNSTAEDILLKQRYLPIMFSLVEKIIKYISSAGENEGTLTDEAVFQKVIKILNETVGAVLEYLRDAKEHGIKKGNDLLASVRVIGSYLAETPVACKEQVQDVLDYMLSVEGEDESSPFLSTCFLLPMLCQITMKAEGCKLLAYSRGDIAVVECLIKLIERSAESVDEDGSVFLACDTIMNILLKEQISLMSEIYTFSSLLKALAYWADRSNDHSVVMTAASICSLIFDFTSEDALLKQPNFNSSSMDSLARLITRSLSSCGQDMSDTADLLEIITAGYSRWIDRFPTIMKHPFEQ >fgenesh2_kg.7__966__AT4G32020.1 pep chromosome:v.1.0:7:4044405:4045855:-1 gene:fgenesh2_kg.7__966__AT4G32020.1 transcript:fgenesh2_kg.7__966__AT4G32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVLNPQDCLKDPFSHMKHHRNPSACPNKQKKPVSNNRTRRSPPRNQSTRSSPSPPPVAPPLPPPRAAVSAFVPKRTVKKSPSNTVVVSQVRILKRGEEIPKEASDLAVEMSDLGSTRRIGPDPGLIPSQIRLSGHKSKSAPFYAGPVTMTSPPPSDVPLPAFFTKKATNDLIRILRLDIA >fgenesh2_kg.7__968__AT4G32000.1 pep chromosome:v.1.0:7:4058673:4061256:1 gene:fgenesh2_kg.7__968__AT4G32000.1 transcript:fgenesh2_kg.7__968__AT4G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:TAIR;Acc:AT4G32000](projected from arabidopsis_thaliana,AT4G32000) MRKILHLLLILKVSVLEFIISVSAFTSPASQPSLSPVYTSMASFSPGIQMGKGQEHKLDAHKKLLIALIITSSSLGLILLFCLCFWVYWSKKSPENNKNSGESGISLSKKGFVQSFDYKTLEKATGGFKDSNLVGRGGFGDVYKACLGNNNTLAAVKKIENVCQEAKREFQNEVDLLSKIHHPNIISLFGYGNEISSSFIVYELMENGSLDAQLHGPSRGSALTWHMRMKIALDTARAVEYLHERCRPPVIHRDIKSSNILLDSSFNAKISDFGLAVMVGTQGKNNIKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELLLGRRPVEKLSSVQCQSLVTWAMPQLTDRSKLPKIVDPVIKDTMDHKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRLIPSSS >fgenesh2_kg.7__96__AT4G38950.2 pep chromosome:v.1.0:7:300523:305987:-1 gene:fgenesh2_kg.7__96__AT4G38950.2 transcript:fgenesh2_kg.7__96__AT4G38950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7MFQ4] MEKTHVPVAREEKILVLVRLRPLNEKEIAANEAADWECINDTTILYRNTLREGSNFPSAYSFDKVYRGECPTRQVYEDGAKEIALSVVKGINCSIFAYGQTSSGKTYTMTGITEFAVADIFDYIFQHEERAFSVKFSAIEIYNEAIRDLLSSDGTSLRLRDDPEKGTVVEKATEETLRDWNHLKELLSICEAQRKIGETSLNERSSRSHQMIRLTVESSAREFLGKENSTTLMASVNFIDLAGSERASQAMSAGTRLKEGCHINRSLLTLGTVIRKLSKGRQGHINFRDSKLTRILQPCLGGNARTAIICTLSPARSHVELTKNTLLFACCAKEVTTKARINVVMSDKALLKQLQRELARLETELRNPASSPASNCDCAMTVRKKDLQIQKMEKEIAELRKQRDLAQSRLEDFMRMIEHNVASKPGTPHFGNHTDKWDDGSVSETSGVVDSDRRSYISDGMSTPLSISRAYVHSHSDDDDLDEDLPRRSEDLPEEYCREVQCIETEESVTVYNNKKDKRAEPENVLGRGEDANGETSVGQNVRVRSWNRRETVSGTSTPPENLGTGFLGRPESHKISFPDLEFGSTISRNDSMSSCGSDSTGAQSIRTPLGEEGGITSIRTFVEGLKEMAKRQGELSNGEDSGKMGRDIGLISMDGEFERQRQEILDLWQTCNISLVHRTYFYLLFKGDEADSIYIGVEIRRLLFMKDSFSQGNQALEGGETLTLASSRKALHRERKMLSKLVGKRFSEEERKRIYHKFGIAVNSKRRRLQLVNELWSNPKDTTQVVESADVVAKLVRFAEQGRAMKEMFGLTFTPPSFLTTRRSHSWRKSMPTLF >fgenesh2_kg.7__970__AT4G31990.2 pep chromosome:v.1.0:7:4063179:4065946:1 gene:fgenesh2_kg.7__970__AT4G31990.2 transcript:fgenesh2_kg.7__970__AT4G31990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:D7MAT0] MASSMLSLGSTPLLPREINKDKLKLGTSSSNPFLKAKSFSRVTMTVAVKPSRFEGITMAPPDPILGVSEAFKADTNEMKLNLGVGAYRTEELQPYVLNVVKKAENLMLERGDNKEYLPIEGLAAFNKATAELLFGAGHPVIKEQRVATIQGLSGTGSLRLAAALIERYFPGAKVVISSPTWGNHKNIFNDAKVPWSEYRYYDPKTIGLDFEGMIADIKEAPEGSFILLHGCAHNPTGIDPTPEQWVKIADVIQEKNHIPFFDVAYQGFASGSLDEDAASVRLFAERGMEFFVAQSYSKNLGLYAERIGAINVVCSSADAATRVKSQLKRIARPMYSNPPVHGARIVANVVGDATMFSEWKAEMEMMAGRIKTVRQKLYDSLVSKDKSGKDWSFILKQIGMFSFTGLNKAQSDNMTDKWHVYMTKDGRISLAGLSLAKCEYLADAIIDSYHNVS >fgenesh2_kg.7__974__AT4G31950.1 pep chromosome:v.1.0:7:4075041:4076982:-1 gene:fgenesh2_kg.7__974__AT4G31950.1 transcript:fgenesh2_kg.7__974__AT4G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP82C4 [Source:UniProtKB/TrEMBL;Acc:D7MAT3] MDTSLFSLFVPILVLVFIALFKKSKKPKHVKAPAPSGAWPIIGHLHLLSGKEQLLYRTLGKMADHYGPAMSLRLGSSETFVVSSFEVAKECFTVNDKALASRPMSAAAKHMGYNFAVFGFAPYGAFWREMRKIATIELLSNRRLQMLKHVRVSEIAMGVKDLYSLWVKKGGAEPVMVDLQSWLEDISLNMMVRMVAGKRYFGGGGSLSSEDTEEARQCRKGVANFFHLVGIFTVSDAFPKLGWFDLQGHEKEMKQTGRELDVILERWIENHRQQRKVSGTKHNDSDFIDVMLSLAEQGKLSHLQYDANTSIKSTCLAMILGGTETSPATLTWAISLLLNNKEMLKKAQEEIDIHVGRDRNVEDSDIENLVYLQAIIKETLRLYPAGPLLGHREAMEDCTVAGYNVPCGTRMLVNVWKIQRDPKVYMEPDEFRPERFITGEAKEFDVRGQNFELMPFGSGRRSCPGASLAMQVLHLGLARFLHSFEVKTVMDMPVDMSESPGLTIPKGTPLEVLISPRLKEELFV >fgenesh2_kg.7__979__AT4G31890.1 pep chromosome:v.1.0:7:4123847:4126671:1 gene:fgenesh2_kg.7__979__AT4G31890.1 transcript:fgenesh2_kg.7__979__AT4G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MAU3] MAKCHRNNIGSLILDRAPSTSSSSTSGNHFRLWSTFSRSTFRRKIVDAVSCGGSSRYRHELREEDDEGSYVTVTAKSTVASKDAKANTIGAALNGVAFEEKSKKSEKLCDLLNLAEVEADVETKKKEEALEVLKRVVRELQSAAAARGDNDDVEDYRKKLTAASEVRLLAKEDSEARVTLAMLGAIPPLVSMIDDSRIVDAQIDSLYALLNLGIGNDTNKAAIVKAGAVHKMLKLIESPNAPDQEIAEAVVANFLGLSALDSNKPIIGSSGAIIFLVKTLQNLDETSSSQAREDALRALYNLSIYQPNVSFILETDLITYLLNTLGDMEVSERILAILSNLVAVPEGRKAISLVCDAFPVLVDVLNWTDSPGCQEKATYILMLMAHKGYGDRQAMIEAGIESALLELTLLGSALAQKRASRILECLRVDKGKQVLDSTGSCGALSAPIYGTRDNGLDHEENDLMMSEERKAVKQLVQQSLQSNMKRIVKRANLPQDFVPSEHFKSLSLSSTSKSLPF >fgenesh2_kg.7__980__AT4G31880.1 pep chromosome:v.1.0:7:4129259:4134212:1 gene:fgenesh2_kg.7__980__AT4G31880.1 transcript:fgenesh2_kg.7__980__AT4G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDKDLENQILEAGEKLIDPPSSLDELLSFLDKLFVSLSEVEQSPPDSMQNALSPLMKGLVGGKLFKHSDVDVKVAVAACISEITRITAPDAPYDDDQMKEVFKLIVSSFEDLVDKSSRSYTKRISILETVAKVRSCVVMLDLECDALLIEMFQHFLKAIRDHHSANVFSSMENIMTLVLEESEDIPSEMLSPFLHYVKKDDEISQISRRLAEKVLSNCASKLKTYLTEAVKSSGVPLDKYGNIVALICEGTFSALQEDQVFANEKEDSEGHISREAEVEKAAEISTPERTDAPKDESGKSGVSNGVAQQNDSSVDTDSTKKQDDTSAKDEPQQLDNPRNTDLDNTTEEKPDVEHQIEEKENQSSSVKHADSSKNSDIKEETEPAEHLDSKDVLTSPPVDSSVNAATSSENEKNISVQALPSKTSADETNNVSSPSRAEDLLEQSRPKKTANQKKKESSTKEVKPAASIATEEVSEEPNTSEPHVTKKSGKKVASSSKTKPIVPPSKKSTSETKVAKQSEKKVAGSDYAQESTKPKEEKKKPGRGKAIDEESLHTSSGDNEKPAVSSGKLASKSKKEAKQTVEESPNTNTKRKRSLDQGKPFGESLVGSRVKVWWPMDQAYYKGEVTSYDAAKKRHMVIYDDGDQEILNLKTQKWSPLDESDFSQDEEAAEQSGQDEEASTVPLRKKAKTGKQSKMDNSSAKKGGGAGSSKSKAAPASKSSKKSQDDKTASKSKEAGREEEENSEEESEEEETPKTVGKSGSSKSKKDISSVSKAGKSKASSKKKEEPSKTTTSSKSKSGPVKSVPAKSKTGKGKAKSASKAKESDSESESEETLKEPETASKGKAGKSQGSQAKSGKKRKR >fgenesh2_kg.7__982__AT4G31875.1 pep chromosome:v.1.0:7:4144545:4145170:1 gene:fgenesh2_kg.7__982__AT4G31875.1 transcript:fgenesh2_kg.7__982__AT4G31875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSESLAWLEKYELRETSTIDTAVSYQRLMLSFDEDLLYRRHELATAFNSFVWPVYSDFVDLQEDLFLGEVSEEPRTSLSKTRRIRDPPPRVSRRSSEVSRPIYLRHRFLPQSRKQYSSSRRQR >fgenesh2_kg.7__984__AT4G31860.1 pep chromosome:v.1.0:7:4149087:4151775:1 gene:fgenesh2_kg.7__984__AT4G31860.1 transcript:fgenesh2_kg.7__984__AT4G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSTPKTDKFSEDGENDKLRYGLSSMQGWRASMEDAHAAILDLDDNTSFLGVYDGHGGKVVSKFCAKYLHQQVLSNEAYAAGDVGTSLQKAFFRMDEMMQGQRGWRELAVLGDKINKFSGMIEGLIWSPRSGDNANKPDAWAFEEGPHSDFAGPNSGSTACVAVIRDKQLFVANAGDSRCVISRKNQAYNLSRDHKPDLEAEKERILKAGGFIHAGRVNGSLNLSRAIGDMEFKQNKFLSFEKQIVTANPDVNTVELCDDDDFLVLACDGIWDCMTSQQLVDFIHEQLNSETKLSVVCEKVLDRCLAPNTAGGEGCDNMTMILVQFKKPVQSTEPTPSETELKLEPSQAEANHYEPSSSN >fgenesh2_kg.7__985__AT4G31850.1 pep chromosome:v.1.0:7:4151737:4155184:-1 gene:fgenesh2_kg.7__985__AT4G31850.1 transcript:fgenesh2_kg.7__985__AT4G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLCSTSLCGDITVGDAFLISNSKAKCCWRRDNLVTGELKFHALKIGSRKKHWRMKSMRCSLVSMKSSGFSGSMIRKSSKPDLSSSEVARVLMSFPDTDSSFSYFKSVAGNSNLVHTTETCNYMLEALRVDGKIEEMAYVFDLMQKRIIKRDTNTYLTIFKCLSVKGGLRQAPFALRKMREFGFVLNAYSYNGLIHLLLKSRFCTEAMEVYRRMILDGFRPSLQTYSSLMVGLGKRRDIESVMGLLKEMETLGLKPNVYTFTICIRVLGRAGKINEAYEILKRMDDEGCGPDVVTYTVLIDALCTARKLDCAKEVFAKMKTGRHKPDRVTYITLLDRFSDNRDLDSVNQFWSEMEKDGHVPDVVTFTILVDALCKAGNFGEAFAKLDVMRDQGILPNLHTYNTLICGLLRVHRLDDALEIFDNMESLGVKPTAYTYIVFIDYYGKSGDSVSALETFEKMKTKGIAPNIVACNASLYSLAKAGRDREAKQIFYGLKDIGLVPDSVTYNMMMKCYSKVGEIDEAIKLLSEMVENCCEPDVIVVNSLINTLYKADRVDEAWKMFMRMKEMKLKPTVVTYNTLLAGLGKNGKIQEAIELFEGMVQKGCPPNTITFNTLFDCLCKNDEVTLALKMLFKMMDMGCVPDVFTYNTIIFGLVKNGQVKEAMCFFHQMKKLVYPDFVTLCTLLPGVVKAGLIEDAYKIIANFLYSCADQPANLFWEDLMGSILAEAGIDNAVSFSERLVANGICRDGDSILVPIIRYSFKHNNASGARMLFEKFTKDLGVQPKLPTYNLLIGGLLEADMIEIAQDVFLQVKNTGCIPDVATYNFLLDAYGKSGKIDELFEIYKEMSAHECEPNTITHNIVISGLVKAGNVDDALDLYYDLMSDRDFSPTACTYGPLIDGLSKSGRLYEAKQLFEGMSDYGCRPNCAIYNILINGFGKAGEADAACALFKRMVKEGVRPDLKTYSVLVDCLCMVGRVDEGLHYFRELKESGLNPDVVCYNLIINGLGKFHRLEEALVLFNEMKKSRGITPDLYTYNSLILNLGIAGMVEEAGKIYNEIQRAGLEPNVFTFNALIRGYSLSGKPEHAYAVYQTMVTGGFSPNTGTYEQLPNRA >fgenesh2_kg.7__986__AT4G31840.1 pep chromosome:v.1.0:7:4155634:4156619:-1 gene:fgenesh2_kg.7__986__AT4G31840.1 transcript:fgenesh2_kg.7__986__AT4G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLVTIFLCISFFFFLSVDANEVTVGGKSGDWKIPPSSSFSFNEWAQKARFKVGDFIVFRYEAGKDSVLQVTREAYEKCNTTSPKASYTDGNTKVKLDQAGPVYFISGTEGHCQKGQKLRLVVITPRNSAFSPAPSPSEFDGPAIAPTSGAAKLTGGFSVVFGLVLGLWAFFF >fgenesh2_kg.7__989__AT4G31810.1 pep chromosome:v.1.0:7:4167401:4170885:1 gene:fgenesh2_kg.7__989__AT4G31810.1 transcript:fgenesh2_kg.7__989__AT4G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase/isomerase family protein [Source:UniProtKB/TrEMBL;Acc:D7MAV3] MQTVKALRRVTKPLQWVRSVSYGRSFSALPNYSAADADIEDQVLVEGKAKSRAAILNSPSSLNALSTPMVGRLKRLYESWEENPAISFVLMKGSGKTFCSGADVLPLYHSINEGNTEESKLFFDNLYKFVYLQGTYLKPNIAIMDGVTMGCGGGVSIPGMFRVATDKTVLAHPEVQIGFHPDAGASYYLSRLPGYLGEYLALTGQKLNGVEMIACGLATHYCLNARLPLIEERIGKLLTDDPAVIEDSLAQYGDLVYPDSSSVLHKIEMIDKYFGLDTVEEIIEAMENEAANSCNEWCKKTLKQIKEASPLSLKITLQSIREGRFQTLDQCLTREYRISICGVSKTVSGDFCEGIRARLVDKDFAPKWDPPRLQDVSKDMVDCYFSPAIELDDSDSELKLPTAQREPYF >fgenesh2_kg.7__999__AT4G31750.1 pep chromosome:v.1.0:7:4196759:4199995:1 gene:fgenesh2_kg.7__999__AT4G31750.1 transcript:fgenesh2_kg.7__999__AT4G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLNSVLSSSSQVHSDDGPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKQNLFSNLIRHPKFISDTTAAIADAYNQTDSEFLKSENSQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKVDSSLEFLILASDGLWDVVSNEEAVGMIKAIEDPEEGAKRLMMEAYQRGSADNITCVVVRFFSDQAGGIGSSSTSVPIDHGIIPDRISGDSST >fgenesh2_kg.80__1__AT2G31930.1 pep scaffold:JGI8X:scaffold_80:2175:2851:1 gene:fgenesh2_kg.80__1__AT2G31930.1 transcript:fgenesh2_kg.80__1__AT2G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVINPTKPRFDLSMSKRTRKPWSSLINDTHHHEISTQSSQPKPDKEQEQIEDKEIDRKSLNNLMRYEEKPNGEANVNNDKSSLDQHFGDDEGIKQTMQLVVKKEKQGGVKFKGMMGRYVKVWSGLIKAKRDRKTPVLRFKT >fgenesh2_kg.80__2__AT2G31940.1 pep scaffold:JGI8X:scaffold_80:5595:5957:1 gene:fgenesh2_kg.80__2__AT2G31940.1 transcript:fgenesh2_kg.80__2__AT2G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase/ transition metal ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7MW82] MGRDQEGSPPVPLHLCVFVLILLMFVTISWYASYEPVIEGFTDQFKLALMASPLLLLLAVHFLSNDRGVGGMMTSLIHLNERESLYRAGGTPWGVAFMLVFLFFMVSYQSQFQERWFPLR >fgenesh2_kg.80__3__AT2G31945.1 pep scaffold:JGI8X:scaffold_80:6842:7215:1 gene:fgenesh2_kg.80__3__AT2G31945.1 transcript:fgenesh2_kg.80__3__AT2G31945.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGLGTMLMKVALFALVQGLVYLILSKSSKVFSTSNSLRKAYSFRPMRSVSIRRILASLQDIPAGDDMSPSSNGSSSSLTSPSSQDEAATTTTSPS >fgenesh2_kg.80__5__AT2G31957.1 pep scaffold:JGI8X:scaffold_80:14985:15916:1 gene:fgenesh2_kg.80__5__AT2G31957.1 transcript:fgenesh2_kg.80__5__AT2G31957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGQKMCEAKSMNWKGMCMKWRKCRQVCISQVISGNRVPVRVSGSDRVLPYIHGPSFTDGRCKGFTRNCICSKLCFVPSN >fgenesh2_kg.80__6__AT2G31980.1 pep scaffold:JGI8X:scaffold_80:38492:39174:-1 gene:fgenesh2_kg.80__6__AT2G31980.1 transcript:fgenesh2_kg.80__6__AT2G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:D7LEC0] MAAMLKVSLILSLLGFLVIAVVTPSAANPFRKSVVLGGKSGVPNIRTNREIQELGRYCVEQFNQQEQTEQGNIGSIAKTDTAMSNPLVFSRVVSAQKQVVAGTKYYLRIEVTQPNGSTRMFDSVVVIQPWLHSKQLLGFTPVVSPIY >fgenesh2_kg.810__1__AT3G16050.1 pep scaffold:JGI8X:scaffold_810:2128:3336:-1 gene:fgenesh2_kg.810__1__AT3G16050.1 transcript:fgenesh2_kg.810__1__AT3G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAMTDQEQGAVTLYSGAAITDAKKNHPFSVKVGLAQVLRGGAIVEVSSVNQAKIAESAGACSVIVSDPVRSRGGVRRMPDPVLIKEVKRAVSVPVMGRARVGHFVEAQILESLAVDYIDESEIISVADEDHFINKHNFRSPFICGCRDTGEALRRIREGAAMIRIQGDLTATGNIAETVKNVRSLMGEVRVLNNMDDDEVFTFAKKISAPYDLVAQTKQMGRVPVVQFASGGITTPADAALMMQLGCDGVFVGSEVFDGPDPFKKLRSIVQAVQHYNDPHVLAEMSSGLENAMESLNVRGDRIQDFSQGSV >fgenesh2_kg.811__1__AT2G38240.1 pep scaffold:JGI8X:scaffold_811:3:1968:-1 gene:fgenesh2_kg.811__1__AT2G38240.1 transcript:fgenesh2_kg.811__1__AT2G38240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7MY61] MATCWPEPIVSVQSLSQTGVPTVPNRYVKPAHQRPVFNTTQSDAEMEIPVLDMDDVWGKPEGLRLVRSACEEWGFFQMVNHGVNHSLMESVRGAWREFFELPLDEKRKYANSPDTYEGYGSRLGVVKDAKLDWSDYFFLNYLPSSIRSPSKWPSQPPKIRELIEEYGEEVKKLCERLTETLSESLGLEPNHLMKALGGEDKVGASLRTNYYPKCPQPHLTLGLSSHSDPGGITILLPDEKVAGLQVRRGDGWITVKSVPNALIVNIGDQIQILSNGIYKSVEHQVIVNSGMERVSLAFFYNPRSDIPIGPIEELVTENRPALYKPIRFDEYRSLIRQKGPCGKNQVDSLLLSR >fgenesh2_kg.835__1__AT5G63240.1 pep scaffold:JGI8X:scaffold_835:1270:1730:1 gene:fgenesh2_kg.835__1__AT5G63240.1 transcript:fgenesh2_kg.835__1__AT5G63240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein 17 [Source:UniProtKB/TrEMBL;Acc:D7MY78] MVTKTISLPLTLYFFLLVSTVSVNATLRNLSRAAENKGVWCIANDKGTDKQLQANIDWVCSDEGGFRDCGAINPGGPCFEPNTVRDHASFAMNLYYQNLGATKAQCNFHNTGIEVYTDPSHGSCVFVSY >fgenesh2_kg.878__1__AT2G14830.1 pep scaffold:JGI8X:scaffold_878:663:2071:1 gene:fgenesh2_kg.878__1__AT2G14830.1 transcript:fgenesh2_kg.878__1__AT2G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MYA0] TMFCFLFGWRKTSKCKSVVKQLQCRLKLLKNKKYAISSHLRNDIAQLLRIGERDRALHRAQQLFLDESLMSLYHLLLHFSDIILFNLSYIRRHRDLPNGINEAVSTLVFASARCGDLPELRALRILFGDRYGKHFVDTALNLLPCNRVNPQVIEKLSIITVSDDAKSKLLGEIAEEYNLRLEVLALEYTPEFHKQVTLCKSEYVEEEKEVMGTDSAQPCASQKADSETEVYKFTLTDADTEEKQAQQSRSKALSDEDDCIEEEVVEKDQSVFRFIETEEEKKERKRSRRKSRSSSSSSSSPIAKDVECWRYYYKGKRSRQKKECGKCYHIVYNVFTMFPDQKESEEGERSLKKAMHVHLKLPDYDQIVAHFTALRKQQQQQQ >fgenesh2_kg.88__2__AT3G05710.2 pep scaffold:JGI8X:scaffold_88:21767:24020:1 gene:fgenesh2_kg.88__2__AT3G05710.2 transcript:fgenesh2_kg.88__2__AT3G05710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTLLFRKYRSSLRSVRAPMGSSSSSTVTEHTSLTGAKSGLGPVIEMASTSLLNPNRSYAPVSTEDPGNSSRGTITVGLPPDWLDVSEEISVYIQRARTKMAELGKAHAKALMPSFGDGKEDQHQIESLTQEITFLLKKSEKQLQRLSAAGPSEDSNVRKNVQRSLATDLQNLSMELRKKQSTYLKRLRLQKEDGSDIEMNLNGSSYNAEDDDFDDMVFSEHQISKIKKSEEISVEREKEIQQVVESVSELAQIMKDLSALVIDQGTIVDRIDYNIQNVASTVDDGLKQLQKAERTQRQGGMVMCASVLVILCFIMLVLLILKEILL >fgenesh2_kg.891__1__AT1G79510.1 pep scaffold:JGI8X:scaffold_891:1:980:-1 gene:fgenesh2_kg.891__1__AT1G79510.1 transcript:fgenesh2_kg.891__1__AT1G79510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MYA9] MAFLLPNLSPSFLLPTGKSLKDKPISSQALSSSSSSSSSNSYEFDEDTLSPLSLFSVQAPPPVRGAQVKTKPSAQDKYQHGKDDFYINLGLAVRTLREDLPLLFTKDLNYDIYRDDITFVDPMNTFSGIDKYKLIFWALRFHGKILFRDISLEIFRVWQPSENMILIRWNLKGVPRVPWEAKGEFQGTSRYKLDRNGKIYEHKVDNLAFNFPHQLKPATSVLDLVTACPASPNPTFMFGAVDSYSSS >fgenesh2_kg.8__1001__AT5G51170.1 pep chromosome:v.1.0:8:14370611:14371996:-1 gene:fgenesh2_kg.8__1001__AT5G51170.1 transcript:fgenesh2_kg.8__1001__AT5G51170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MQY0] EKSTARKQEKDSISLPPPPLALLDSIVSTGSLDSTEPGVRVRNFPHVDGNYALHVYIPVSIPPLPKKEIVCFLKRVASVVPHLHLVEADVPLSILCKDDQKFERALGREFHISLGRSVPLRVHQINSVVSMLRQKLQLQKRYAIDFNKWEVFVNDDCTRSFLSLEITTSGLSEISKQIDAVNEVYKLHNLPEFYKDPRPHISLVWALGDIRTSLKGAVDAELRKLRAGGCVQNRIFTSKFGGIECKIGNKTHKICKLPDE >fgenesh2_kg.8__1003__AT5G51180.1 pep chromosome:v.1.0:8:14373617:14376745:1 gene:fgenesh2_kg.8__1003__AT5G51180.1 transcript:fgenesh2_kg.8__1003__AT5G51180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENGVCKAESVDGSLDVWNCQNSDSSSADHLVVMVHGILGSTDDWKFGAEQFVKKLPDKVFVHCSEKNVSALTLDGVDVMGERLAAEVLDIIQRKPNIRKISFVAHSLGGLAARYAIGKLYKPANQKDVKDSLADSSEGPPKGTICGLEAMNFITVATPHLGSMGNKQVPFLFGFSSIEKVAGLIIHWIFKRTGRHLFLKDEEEGKPPLLRRMVEDTDDCHFISALRAFKRRVAYSNVGHDHVVGWKTASIRRDSELPKWEDSLNEKYPHIVYEELCKACDAEDIPEGENHSDDIEEEMIKGLSTVSWEKVDVSFHSSRQRFAAHSVIQVKNEDMHIEGADVIEHIIDHFHA >fgenesh2_kg.8__1005__AT5G51190.1 pep chromosome:v.1.0:8:14379467:14380299:-1 gene:fgenesh2_kg.8__1005__AT5G51190.1 transcript:fgenesh2_kg.8__1005__AT5G51190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNQQQQEQDQSALDLITQHLLTDFPSLETFVSTIHHCTTSTLSQRKPPLATIAVPTTGPVAQEDDQRHYRGVRRRPWGKYAAEIRDPNRKGVRVWLGTFDTAMEAARGYDKAAFKLRGSKAILNFPLEAGKHEDLGDNNKTVSLKAKRKRQVTEDVSHLSSHKAVKREETQAQADACPLTPSSWMGFWDGADSKEMGIFSVPPLSPYLSLGHSHLVVM >fgenesh2_kg.8__1006__AT5G51200.1 pep chromosome:v.1.0:8:14383501:14399620:1 gene:fgenesh2_kg.8__1006__AT5G51200.1 transcript:fgenesh2_kg.8__1006__AT5G51200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKELVAIVHSSLLGTSLPTPTQRIELTHAIRNSFSSIQNLLSFPPPKPSDRAQVQSKEIRLPDSLPISLDDQDVAISLKLSDELHLNEIDSVRLLVSANQEWGLMGRDPLEIQRLATGLWYTGRRDLTSTLYTLLRAVVLDQGLEPDLIADIQGLLEELIKAGLRQRLITLVKELNRQDPTGLGGPLCERYLIDSRGALVERRAVVQRERLILGHCLVLSILVDRPGSKDVKDIYYVFKDNAAQLTEGNDTISSQITFSLLFSLIITFVSDAISGLSDKSSMISQDASFRTDFQDIVMASGSDPTADGFIGGIRLAWAVHLMLIHDGISGMDTISTASTKDMGHICSCLESIFSKNVFQFLLDNVLRTAAYQVKESKDMAMSVLNSYRTCDSLDGSMQTEEADRPLPFISLMEFKEPELLSGNDVLWTFVNFAGEDHTNFKTLVAFLEMLCTLASTQEGASKVYELLRGTSFRSIGWPTLFDCIRIYDEKFKQSLQTAGAMMPEFLEGDAKALVAYLNVLQKVVENGNPTERKNWFPDIEPFFKLLGYENIPPYLKGALRKTIAAFVNVFPEMRDSIWAFLEQYDLPVVVGSPVGKSDQSSQVYDMQFELNEVEARREQYPSTISFLNLINALIAGEKDVNDRGRRAYSDPCEKWQLVVACLQHFHMILSMYDIQEEDLDGFTEHPHFLVSVETSSLQTQLPIIELLKDFMSGKALYRNLMGILQVGVNAIISERLSKTYGKILEKAVQLSLEILLLVFEKDLLVSDVWRPLYQPLDIILSQDHNQIIALLEYVRYDSLPQIQRSSIKIMNILRCSRLVGLVPMLIKIDAANSLIEDYAACLEVRLEEGEVVENSCDDLGVLIMQLLVDNINRPAPSITHLLLKFDLDAPVEGTVLQPKFHYSCLKVILEMLEKLPNPDINFLLFEFGFQLLCELNLDPLTSGPTMDLLSSKKYQFFLQHLDTIGVATLPKRSGSQALRISSLHQRAWLLKLLAIALHTGSGSSSAHLEACQSILSHLFGREVTEAANEPFSSSTYPQDGLDYTGTSSISKSKALALLEILQFRSPDTSMQLPQIVSSLKYDSLVEDILENRDNSVSGSIYYYSERGDRLIDLSSFSNKLWQKLHSGFPLVDSFPNVAELSEVRETIQQLLKWGWKYNRNLEEQAAQLHMLAGWSQIVEVSACRRISSLDNRSEILYRILDASLSASASPDCSLKMAFVLTQVALTCIAKLRDDRFSFQGALSSDTVTCLDVMMVKHLSTGACHSVLFKLVMAILRHESSESLRRRQYALLLSYFQYCQHMIALDVPTSVVQFLLLNEQDGEDLDIQKIDKEQADLARANFFIIKKEAQGILDLVIKDASQGSEFGKTISLYVLEALVCIDHERYFLSQLQSRGFIRSCLGSISNISYQDGTHLLESQQRACTLEAEFALLLRISHKYGKSGGQVLFSMGALEHIASCRAISFKGNMRRVDMKLQSDVGYNVQKQRTIITAVLRLMFALTSLVETSEFFEGRNKIVREVIEFIKGHQFLFDQLLREDFTQADDLLMEQIILAVGILSKVWPFEENDGYGFVQGLFDMMSNLFIVSPIKLISSQVSELKLSQLRFSLTSYLYFLVTKNSLRLQVSDDSLDSSTKLRQPTLLLLASLLSHVTDSLERAAEKKSLLLHKIRDINELSRQDVDAIIKICDCQEYVTPSDNIHKRRYIAMVEMCQIVGNRDQLITLLLQLAEHVLNIILIHLQDRSVSSNERGSYGSKSHLQQDVTDLCGKLSPTIERLALLNEGKVGHNLKVFQRLATTVKEMAIQKCL >fgenesh2_kg.8__1007__AT5G51210.1 pep chromosome:v.1.0:8:14401874:14402746:1 gene:fgenesh2_kg.8__1007__AT5G51210.1 transcript:fgenesh2_kg.8__1007__AT5G51210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:D7MQY4] MADQTRTHLEMISRDSTQESHPKERQMVKAATAVTAGGSLLVLSGLTLAGTVIALTVATPLLVIFSPVLVPAVITVALIITGFLASGGFGIAAITAFSWVYRHLTGSGSEKIENARMKVGSRVQDTKYGQHNIGVQHQQAS >fgenesh2_kg.8__100__AT5G46940.1 pep chromosome:v.1.0:8:622425:623039:1 gene:fgenesh2_kg.8__100__AT5G46940.1 transcript:fgenesh2_kg.8__100__AT5G46940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLYLVTFFVLLNGFATAQTLIRNSCKKAAATNPKFKYNLCVTSLETNPQSKAAKDLAGLVMASTKNAVTKATSLKGTVDKILKGKKFNKMTEMPLRDCLQLYTDAIGSLNEASAGVKSRNYPTVKTVLSAAMDAPSTCETGFKERKAPSPVTKENDNLYQMILIPLAFTNMLK >fgenesh2_kg.8__1010__AT5G51230.2 pep chromosome:v.1.0:8:14406094:14411829:1 gene:fgenesh2_kg.8__1010__AT5G51230.2 transcript:fgenesh2_kg.8__1010__AT5G51230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPLVSRETSSCSRSTEQMCHEDSRVRISEEEEIAAEESLAAYCKPVELYNILQRRAIRNPLFLQRCLHYKIEAKHKRRIQMTVFLSGTIDVGVQTQKLFPLYILLARLVSPKPVAEYSAVYRFSRACILTGGLGDDGVSQAQANFLLPDMNRLSLEAKSGSLAILFISFAGAQNSQFGIDSGKIHSGNIGGHCLWSKIPLQSLYASWHKSPNMDLGQRVDSVSLVEMQPCFIKLKSMSEEKCVSIQVPSNPLTSSSPQQVQVTISAEEVGATEKSPYSSFSYNDISSSSLLQIIRLRTRNVVFNYRYYNNKLQRTEVTEDFSCPFCLVKCASFKGLRYHLPSTHDLFNFEFWVTEEYQAVNVSLKTETMMSEINEDDVDPKQQTFFFSRRRQKSQVRSSRQGPHLGLGCEVLDKTDDAHSVRSEKIQIPPGKHYERIGGAESDQRVPPGTSPADVQSCGDPDYVQSIAGSTMLQFAKTRKLSIERSDLRNRSLLQKRQFFHSHRAQPMALEQVLSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHMWNSFVRKQRVLADGHIPWACEAFSRLHGPIMVRTPHLIWCWRVFMVKLWNHGLLDARTMNNCNTFLEQLQI >fgenesh2_kg.8__1012__AT5G51260.1 pep chromosome:v.1.0:8:14414891:14416479:-1 gene:fgenesh2_kg.8__1012__AT5G51260.1 transcript:fgenesh2_kg.8__1012__AT5G51260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYVIFLVVISLFASAFSDSILEYPSEIESRHKKATDEDVNLHCTTWRFAAEMNNLAPWKTIPVECADYVKDYVMGKGYVTDLERVSEEALIFASSVEFSGDGKDIWIFDIDETLLSNLPYYIDHGFGLELFDHSEFDKWVEKGVAPAIAPSLKLYQRVIDLGYKVFLLTGRKESHRLVTVENLINAGFQNWDKLILRSPEEQHKMATLYKSEKRDEMVKDGYRIRGNSGDQWSDLLGTSMSQRSFKLANPMYYIP >fgenesh2_kg.8__1015__AT5G51290.1 pep chromosome:v.1.0:8:14435399:14439673:-1 gene:fgenesh2_kg.8__1015__AT5G51290.1 transcript:fgenesh2_kg.8__1015__AT5G51290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRDDEDSSFSSSGDRDGGLSGCFFLDHVGEVFLSRNHDGLSWKCSDSSDCEGTTCLGTINCENFETEIKFSDIYAVEFVNYGLVHSPKSGLRHAKECFRERLLYTQEMYRFTVHGFQSSPKEPCLWKLAAFTFGHMDLQTCQSWMDQLNYSLIKEVERPRNLLVFVHPKSGKGNGSKVWETVSKIFIRAKVNTKVIVTERAGHAFDVMASIQNKELHSYDGIIAVGGDGFFNEILNGYLLSRLKVPLPPNPSDSFNSAQSRASSSVAESGDAVHETDQKEHYPLLPDSVQEVMNFRTVNGSCEGIEYLDHPFTGGRPRFGLIPAGSTDAIVMCTTGARDPVTSSLHIILGRKLFLDAMQVVRWKTTSTSTIEPYIRYAASFAGYGFYGDVISESEKYRWMGPKRYDYVGTKIFLKHRSYEAEVTYEEAESENSKASLHSRSKTWPFRNTSRSEKILCRANCSICNSKVDGNIVSTTPNSCPEKTRWCRSKGRFLSIGAAVMSNRNERAPDGLVVDAHLSDGFLHLILIKDCSRPKYLWHLTELAKRGGEPLNFEFVEYHKTRAFTFTSFGEESVWNLDGEIFEAHQLSAQVLRGLIPLFASGPEI >fgenesh2_kg.8__1018__AT5G51300.2 pep chromosome:v.1.0:8:14441505:14444032:-1 gene:fgenesh2_kg.8__1018__AT5G51300.2 transcript:fgenesh2_kg.8__1018__AT5G51300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVELINPNPNSQTLAQPPPSSNGDTAPLASDHMNPQNSESVTLNGTNGSSAKPEFLRPLLSENGVSKTLSGNDKDQSGGEEETTSRRKRRSRWDPPPSESGNNPSAEGSTDSGTGTRKRKSRWADDEPKPHIQLPDFMKDFTGGIEFDPEIQALNSRLLEISRMLQSGMPLDDRPEGQRSPSPEPVYDNMGIRINTREYRARERLNRERQEIIAQIIKKNPAFKPPADYRPPKLHKKLFIPMKEFPGYNFIGLIIGPRGNTQKRMERETGAKIVIRGKGSVKEGRHQQKKDLKYDPSENEDLHVLVEAETQDALEAAAGMVEKLLQPVDEVLNEHKRQQLRELATLNGTIRDEEFCRLCGEPGHRQYACPSRTNTFKSDVLCKICGDGGHPTIDCPVKGTTGKKMDDEYQNFLAELGGTVPESSLKQSATLALGPGSSGSNPPWANNAGNGASAHPGLGSTPTKPPSKEYDETNLYIGFLPPMLEDDGLINLFSSFGEIVMAKVIKDRVTGLSKGYGFVKYADVQMANTAVQAMNGYRFEGRTLAVRIAGKVPPPTAPPGPPAPQPPTQGYPPSNQPPGAYPSQQYATGGYSTAPVPWGPPVPSYSPYAPPPPPPGSYHPVHGQHMSPYGMQYPPPPPHVTQAPPPGTTQNPASSEPQQSFPPGVQADSGSATSVPPNVYGSSVTAMPGQPPYMSYQSYYNAVPPPPPPAPASSTDHSQNMGNMPWANNPPVSTPDHSQGPGNAHWAPTPPMPPTVGYSQSMGNVPWAPKPPVQPPAENPSAVGDSEYEKFMAEMK >fgenesh2_kg.8__1019__AT5G51310.1 pep chromosome:v.1.0:8:14445786:14448242:-1 gene:fgenesh2_kg.8__1019__AT5G51310.1 transcript:fgenesh2_kg.8__1019__AT5G51310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELPVFDISKPLSESSLTSLQDACKEWGFFYVTNHGVSGDMYKKLRRLSGGVFELEDEEKMKMGASNYTPRFIASPFFESLRVSGPDFYASAKSSVYAFSDQATDEEFSALMKEYGEKMTELCEKIMKAILSSFGDDLHHKYYESEFGNCHGYFRINNYTIPSEQEGDHDHNDDDDQDLIEGLGMHTDMSCITIVDQDDIGGLQVRSRDGIGLMDINPKDEALVVNVGDLLHAWTNGRLRSSQHRVILKRRGFVGNRFSLAFFWCFDDGKVVFAPDEVVGGCEGVRIFRSFKCGDYLRFREKFEKVGDTVEDFARIEDYR >fgenesh2_kg.8__1020__AT5G51330.1 pep chromosome:v.1.0:8:14456069:14458778:-1 gene:fgenesh2_kg.8__1020__AT5G51330.1 transcript:fgenesh2_kg.8__1020__AT5G51330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTMFVKRNPIRETSAGKNSPPSSPTLNVAVAHIRVGSYYEIDPSILPQRSPENLKSIRVVMVGKITASDVSLRYPSMCSLRSHFDYSRMNRNKPLKKRSGGGLLPVFDESHVIASELAGDLLYRRIAPHEVSMNRNSWSFWVSGSSRRNRFPRREMVSQPAYNTSLCRAASPEGKCWSELKSGGMIKWGRRLRVQYQSRHIDSKKNKEGEESSRVKDEEACKEEIEKEDDDDDGNETEGTKQEDAKEITDGNRKRKLIESSTERLAQKAKVRVEVRSKGTLIGDTGLLDHLLKHMASKVAPGGQDRFRRKHNADGAMEYWLESSDLIHIRKEAGVEDPYWTPPPGWKIGDNPTQDPVCAGEIRDIREELASLKRGLEKLASKKEEEELVIVTTPNSCVTSQNDNLMTPAKEIYADLLKKKYKIEDQLVIIGETLRDMEEGMGYLKKTVDENYPRKPDSTETPLLLEDSPPIQTLEGEVKVVNKGNQITESPQNREKGKKHDQQERSPLSLISNTGFRICRPVGIFAWPKLSALAAATDTNASSLSHRPAYPSPCPVKPLAAKRPLGLTFPFTIIPEEAPTNLFNV >fgenesh2_kg.8__1021__AT5G51340.1 pep chromosome:v.1.0:8:14464118:14468949:1 gene:fgenesh2_kg.8__1021__AT5G51340.1 transcript:fgenesh2_kg.8__1021__AT5G51340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MQZ5] MEGAAVAEGLWGLADHHQKLGDIGKTIKCLEAICQSQISFLPLVEVKSRLRLSALLLRYSHNVSQAKSHLERSLLLLKSIPSSYDLKFRTYSLLSHCYHLLALFPPQRNLLLKALELASSVPQDVSAYLWSCNFNSQLANTFIIQADFPSSLSALESGFLSASHICFPELQMFFTASMLHVHIMQWTDDYSVEKAVQRCDEIWQTISSDKTDRCPGLFFYNEMLHVFYRLRLCDYKNAQHHVDRLDQAMNAHSHKMQEIQELLDELSSLNLSLSRYDLPSRERSALSARQSQLQDRLNAVSPSSTTDNSLEPAYFGNIDRGWTERLLLSPSPIDGEWLPKSAICALVHLMVVISGRPKGLFKECSKRIESGLQIIQDELIKLGITDEVREADLRHTAIWMSRVFLMLQMQFLENRVALELTRSDFVEAEEALVEMKNWFTRFPTILQASECVIEMLRGQYSHSVGCYSEAAFHCIEATKLTESISMQASCQAFAAVSYLTIGDAESSSKALDLIGPLNGMTNSLSGVREEASILFAYGLLLMKQRDLQEARNRLAKGLQIAHNHMGNLQLVAQYLTLLGNLALSLHDTVQAREILRSSLTLAKKLYDIPTQLWVLSIFTALYQQLGEKGNEMENEEFRKKKWDELQSRLAEARGSIHHIELVAKARIELHQVDDAQEQSLVASGQSMQANLDIPESVGIEGPSPAPSSSRLVGLDTGKRWGKRRV >fgenesh2_kg.8__1023__AT5G51370.2 pep chromosome:v.1.0:8:14473363:14474812:1 gene:fgenesh2_kg.8__1023__AT5G51370.2 transcript:fgenesh2_kg.8__1023__AT5G51370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MQZ8] MSEFDKHVLNHNKNEKPFSQIKSSEIDSTLSLSDSLLLKILEKLPESQSNDVSLVCKRWLNLQGRRLRSLKVLDFDFVLSERLTTRFPKLTHVDLVNACMNPPVNSGILLCHKSIYFHLSSESSNWEFLEENLLHSDVIDRGLSVLSRGNCDLLKLVVINATELGLLSLAEDCSDLQELELHKCNDNLLHGIAACKNLRALRLVGSVDGLYSSSVSDIGLTFLAQGCRSLVKLELSGCEGSFDGIKAIGQCCEVLEELSICDHRMDDGWIAALSYFESLKILRISSCRKIDASPGPEKLLQSCPAMESLQLKRCCLNDKEGMRALFKVCDGATEVSIQDCWGLSDDTFSLAKAFRRVRFLSLEGCSVLTSGGLESVILHWEELESMRVVSCKSIKDSEISPALSSLFSLLKELTWRPDTRSHLSSSLEGAGIGKRGSKFFKKSVLH >fgenesh2_kg.8__1025__AT5G51380.1 pep chromosome:v.1.0:8:14513022:14515433:1 gene:fgenesh2_kg.8__1025__AT5G51380.1 transcript:fgenesh2_kg.8__1025__AT5G51380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MR02] MSFMEKLPTSPKSPLRRRRSSWTGPWLNHPTTSFKQVVSAVIQAQSPRSRFKSLSSDFSDVDRTLSLSDSLLLKILEKLPESQNNDVALVCKRWLCLQGRRWRRMKVFDWDFVMSGRLVSRFPKLTNVDLVNACLNPLRNSGILLCHKSISFHISTDSSSNWDFVEENLLDNEMVDRGLRVLGRGSFDLLKLVVINATELGLLSLAEDCSDLQELELHKCSDNLLRGIAACENLRGLRLVASVDGLYSSSVSDIGLTILAQGCKRLVKLELSGCEGSFDGIKAIGQCCEVLDELSICDHRMDDGWIAALSYFESLKTLRISSCRKIDSSPGPEKLLGSCPALESLQLKRCCLNDKQGMRALFKVCDGVTKVNIQDCWGLDDDSFSLAKAFRRVRFLSMEGCSILTTSGLESVILHWEELESMRVVSCKNIKDSEISAALSSLFSLLKELTWRPDTRSHLSSSLEGTGIGKRGSKFFKKR >fgenesh2_kg.8__1026__AT5G51390.1 pep chromosome:v.1.0:8:14515511:14516011:-1 gene:fgenesh2_kg.8__1026__AT5G51390.1 transcript:fgenesh2_kg.8__1026__AT5G51390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRTTSTPEKMIGGKIPESRVSASLPCFPASVEGLRRRKSLAEAGKRDIFYGEDDVADLMFREEEVEQDTVMRAYRSNEAMKSIQDTRRRQRRLFCFECFDFLKLFFSRDRN >fgenesh2_kg.8__1027__AT5G51400.1 pep chromosome:v.1.0:8:14516228:14517666:-1 gene:fgenesh2_kg.8__1027__AT5G51400.1 transcript:fgenesh2_kg.8__1027__AT5G51400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGAPSRYVKLTKEQAPVDEINPGELNQPIEVSHLAVHKCNECGQPLPENFEAPADEPWTTGIFGCTEDMNSFWLGLFCPSVLFGRVYETLSDEETSWTKACICHSIVVEGGLTAASMLACVPGIDPHTSFLIWEGLLFVWWMCGIYTGNVRQTLQRKYHLQNAPCDPCMVHCCLHFCAVCQEHREMKNRLSDNFVMPMTVINPPPVQEMSASGDRDHHHNSVPVSHHSSDLEMRPL >fgenesh2_kg.8__1028__AT5G51410.2 pep chromosome:v.1.0:8:14519789:14523702:-1 gene:fgenesh2_kg.8__1028__AT5G51410.2 transcript:fgenesh2_kg.8__1028__AT5G51410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGAARNLTDEERRGFKEVKWDDREVCAFYMVRFCPHDLFVNTKSDLGACSRIHDPKLKESFENSPRHDSYVPKFEAELAQFCEKLVNDLDRKVRRGRERLAQEVEPPPPPSLSAEKAEQLSVLEEKVKNLLEQVEALGEEGKVDEAEALMRKVEGLNTEKTVLLQRPTDKVLAMAQEKKMALCEVCGSFLVANDAVERTQSHVTGKQHVGYGLVRDFIAEQKAAKDKGKEEERLVRGKEADDKRKPREKESESKRSGSSDRESYRDRDRDRDGDRHRDRGRDHRKPHDRRSRSGREGRDRSRSRSPHGRSGHRRVSRSPIRQY >fgenesh2_kg.8__1030__AT5G51430.1 pep chromosome:v.1.0:8:14527294:14531566:-1 gene:fgenesh2_kg.8__1030__AT5G51430.1 transcript:fgenesh2_kg.8__1030__AT5G51430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDLGPFSDEKFDAKRWVNSSCQARHPQDSLEKHLVDLEMKLQIASEEIGASLEEQSGGALLRVPRATRDVLRLRDDAVSLRGSVAGILQKLKKAEGSSADCIAALARVDNVKQRMEAAYKTLQDAAGLTQLSSTVEDVFASGDLPRAAETLASMRNCLSAVGEVAEFANVRKQLEVLEDRLEAMVQPRLTDALTYHKVDVAQDLRGILIRIGRFKSLELQYSKVRLKPIKQLWEDFDTKQRANKLANERSESQRLSSGDEFRLTSSQTSFASWLTSFYDELLLYLEQEWKWCMVAFPDDYMTLIPKLLVETMGVLGGSFVSRLNLATGDAVPETKALAKGVMDLLSGDLPKGINIQTKHLEALIELHNVTGSFARNIQHLFAESELRVLIDTLKAVYSPFESFKQKYGKMERAILSSEIAVVDLRGAVTRGVGAQGIELSETVRRMEESIPQVVVLLEAAVERCIGFTGGSEADELILALDDIMLQYISMLQETLKSLRVVCGVDGTGDVVGSKKDASAEKRESSRKMDLTSNEEWSIVQGALQILTVADCLTSRSSVFEASLRATLARLNSSLSISLFGTNLDHNLSHLKSEQTAGDLSMAGRASLDVAAIRLVDVPEKAHKLLNLLEQSKDPRFHALPLASQRVAAFADTVNELVYDVLISKVRQRLGEVSRLPIWSSVEEQTAFPLPNFSSYPQSYVTSVGEYLLTLPQQLEPLAEGISTNGDSNNEDAQFFATEWMFKVAEGATALYMDQLRGIQYISDRGAQQLSVDIEYLSNVLSALSMPIPPVLATFQTCLATPRDDLKDLMKSEAGNELDFPTANLVCKMRRISFD >fgenesh2_kg.8__1031__AT5G51440.1 pep chromosome:v.1.0:8:14531888:14532785:1 gene:fgenesh2_kg.8__1031__AT5G51440.1 transcript:fgenesh2_kg.8__1031__AT5G51440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLALRRLLSSSTVVVPRALRAVRPVAASSRLFNTNAVRNYEDGVDRNHNSNRHVSRRGGDFFSDVFDPFTPTRSLSQMLNFMDQVSEIPLVAATRGMGASGIRRGWDVKEKDEALHLRIDMPGLSREDVKLALEQNTLVIKGEGKTEEGEEGDVSGDGRRFTSRIGLPEKVYKTDEIKAEMKNGVLKVVIPKVKEEERNNVRHINVD >fgenesh2_kg.8__1033__AT5G51451.1 pep chromosome:v.1.0:8:14539058:14539712:1 gene:fgenesh2_kg.8__1033__AT5G51451.1 transcript:fgenesh2_kg.8__1033__AT5G51451.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MR09] MSSIHVASFLLLFLLLHLADSRHLDNVHITESRFSLVKDQNVVSGSTSKEPVKVSRFVPGAVKHHHRRSPLLFADYPKPSTRPPRHN >fgenesh2_kg.8__1034__AT5G51460.1 pep chromosome:v.1.0:8:14545165:14548712:1 gene:fgenesh2_kg.8__1034__AT5G51460.1 transcript:fgenesh2_kg.8__1034__AT5G51460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:D7MR10] MDIKSGHSSPVMTDSPPISNSRLTIRQNRLPYSSAAATAISQNSNLLLTVPRKKTGILDDVKSNGWLDAMKSSSPPPTILNKDNLTSDAADMTYREWTQLKYPSALSSFEKIMSFAKGKRIALFLDYDGTLSPIVEEPDCAYMSSAMRSAVQNVAKYFPTAIISGRSRDKVYEFVSLSELYYAGSHGMDIMSPAGESLNHEHSRTVSVNEQGKDVNLFQPASEFLPMIDKVLCSLIESTKDIKGVKVEDNKFCISVHYRNVEEKNWALVAQCVDDVIRTYPKLRLTHGRKVLEIRPVIDWDKGKAVTFLLESLGLNNCEDVLPIYVGDDRTDEDAFKVLRDGPNHGYGILVSAVPKDSNAFYSLCDPSEVMEFLKSLVTWKRSMG >fgenesh2_kg.8__1039__AT5G51510.1 pep chromosome:v.1.0:8:14575174:14576673:1 gene:fgenesh2_kg.8__1039__AT5G51510.1 transcript:fgenesh2_kg.8__1039__AT5G51510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRKPTAGRPSGTDGSDFSYRMVVDSRYTKVTKEKARLRPLIFVQAAIYLVGLSCAFLTTTKKDERNTLAIAAAAAGLVSSFIGELGCRRSRVNLLRLYTAASTIVMVLSVFCAVRSRLTMEERNSTGTTAKLELAGFICAQLGAVVQILVIIVTGSLVNNMSPPTKAA >fgenesh2_kg.8__103__AT5G46890.1 pep chromosome:v.1.0:8:651946:652419:1 gene:fgenesh2_kg.8__103__AT5G46890.1 transcript:fgenesh2_kg.8__103__AT5G46890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSKIALLLLFNVIFFTFVSSTSVPCPPPPPKKPSTSSPNHKPTCKDALKLKVCANVLDLVKVSLPPTSKCCALIKGLVDLEAAVCLCTALKANLLGINLNVPISLNVVLNHCGKKVPSGFKCA >fgenesh2_kg.8__1040__AT5G51520.1 pep chromosome:v.1.0:8:14579974:14580588:1 gene:fgenesh2_kg.8__1040__AT5G51520.1 transcript:fgenesh2_kg.8__1040__AT5G51520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7MR16] MSQVLYSLTLVFVFFASTNIQISSASPSSYSQNHKTFVKTACNSTTYPDKCYKSLSSYSSNIKSDPIKLCTTALNLNVKSAKEATSVVSKLFKKSQKSTAGRKDKMSPETLILKDCLEEMKDAIIELKQAVTEMKTLQDGGSMAEHITNVRTWVSSALTDEGTCTDGFEEVKVNKETKKKVNKVVEELATTTSNTLALITNLRY >fgenesh2_kg.8__1041__AT5G51530.1 pep chromosome:v.1.0:8:14581062:14585491:-1 gene:fgenesh2_kg.8__1041__AT5G51530.1 transcript:fgenesh2_kg.8__1041__AT5G51530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFLEDVEFIKLIIKSREACAKENYIKALEITEDLIFVHKEDKDSWFLHILEGQMFLKLEGNTENPDVGFAYLLASVECFSQDVMLSRPCAMGFYNLAKQLGSVSYYKKCVEKSKQALAVTYPENTSPVARSMLQELKKDLEKEFKTLIRDAERKIAGAKTSPLSSEPKVWEPKKELRKYWMGLDIKIKRDFMKVSIAKLKSFVEGVYYREGRDVLEKVLTSAREDRKWTFWMCETKCLKKFSSAEECKNHLEQQHAADFKNASKKDLVQRIGKDWARKISVGAWEPVDAVAAVEMIKNRLADVKSFAPKNGWSKEWPLAADEDRSMLLKEIKLLLVSYCDHKILPSSIREWLMRFPVQYLGKLEVSGQSLIDSHLVETPQSICFLESHELNQILDFLKTINCKRIDGTDLICRAVDSFLDHTRVKEKIDFDPQFSFLLLDRRLLKCKDVPFDDEGIINVFDPNVHYAKAPAQGDDIISWLTDYNSVDKIFARPIREHNFGIWVAVLKAVQFTFRSLGTKYAKKFLVLDYDAALTVVENLCMSEDEGERIFRKISGIDMHLFYVIAVKRESPKIHSLPIFSCGQYEILSDDIALKSIHHLKSVVTHKVLLLDSKILLIDNSRITLLNNLTRLSAFDNRTYILRLLKPFLLSEIVNMESKAKSDAAEAYLLLEEEKTSRSKKKKYKSNKRNSTSMSSPLDKTVDHEPSVNLEPRASSPSLKIVKEDSMELEGALSSERGRLEISSNSGIQDEATKDDPDMRNIPGEDLLSEHLESAPGEVAARYNSALDMTLKLILYLFTFLFSLISSQKYMVLQALLNIKILKEDLMHNMQLFQNHLEEQVPSALQNLFTAFVSEVIKNEGVYSCLLSDLLVSVEEMFSMVYVEKLSDADAAEVVVAIFEFWHCWKYRERESLVTRLFTLEENERMSCIKCRSKPNYPEQRSQGIVVAAHSIRDLKCTFGNIKFVDILKVTRMECKMLCDIKSGGCGMKNIVHHIISRCPPIFTIVLEWEKNETEKEVSETTKALEWEIDISRMYDGLEPNTNYRLVSVVGCSEVEEEHICIAYEKNRWVNLRRESLVGEDIGNWKSVVRFCGERKVRPEILFYEAAGSMAINIEKPSNVV >fgenesh2_kg.8__1043__AT5G51545.1 pep chromosome:v.1.0:8:14590560:14591920:1 gene:fgenesh2_kg.8__1043__AT5G51545.1 transcript:fgenesh2_kg.8__1043__AT5G51545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQIHSSCFFSTRPYHLFITTRNPRFAIKCQNSQIESETTEDPAQPKNSSASGVGFGSSASSSPAKKLSAATSGIKKGKGKRELKRRAPVEKPVFMSEEGAAKAEEQRRNENAFLLTWLGLGIVILIEGIILAASGFLPEELDKFFVKYVYPVFTPSVGLFLAGTTAYGVLKYIQNEKMKGQE >fgenesh2_kg.8__1045__AT5G51590.1 pep chromosome:v.1.0:8:14608436:14610911:-1 gene:fgenesh2_kg.8__1045__AT5G51590.1 transcript:fgenesh2_kg.8__1045__AT5G51590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEREGTNIRTSFGLKQHEAPLLPPPGYHMEPPRSENPNLFPVGQSSTSSVAAAAVKASENVAPPFSLTMPVENSSSDLKKKRGRPRKYNPDGSLAVTLSPMPISSSVPLTSELGSRKRGRGRGRGRGRGRGQGSREPNNDNNNNNWLKNPQMFEFNNTPSSGGGGPAEFVSPSFTPHVLTVNAGEDVTMKIMTFSQQGSRAICILSANGPISNVTLRQSMTSGGTLTYEGHFEILSLTGSFIPSESGGTRSRAGGMSVSLAGPDGRVFGGGLAGLFIAAGPVQVMVGSFIAGQEELQQQQQQQQIKKQRRERLGIPTTTQASNISFGVSVEDPKARYGLNKPVVIQPPPVSAPPVSFSHEPSTNTVHGYYANNTANHIKDLFSPLPEEDEEEDEEDLEGEDDEEFGGHSESDTEVPS >fgenesh2_kg.8__1046__AT5G51600.1 pep chromosome:v.1.0:8:14612943:14616520:-1 gene:fgenesh2_kg.8__1046__AT5G51600.1 transcript:fgenesh2_kg.8__1046__AT5G51600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQKDPILQVETTCGSLLYELQIIWDEVGETETDRDKMLLELERECLEVYRRKVDQANRCRAQLRQAIADAEAELAAICSAMGERPVHIRQTDQSVGSLKQELGRILPELEEMQKRKIERRNQFIVVLEEIDSITNDIRGQGELVHSKPLIDETDLSMRKLEDLHCQLQALQKEKIDRVETIRKHLCTLYSHCSVLGMDFNEVVGQVNPTLSDPEGPRSLSDHTIEKLGAAVQKLREVKIQRMQRLQDLATTMLELWNLMDTPIEEQQEYQHITCNIAASEQEITEANSLSEDFIKYVEAEVVRLDEVKASKMKELVLKKRSELEDICRKTHLLPVSDSAIDQTIAAIESGIVDATMVLEHLEQHISKIKEEALSRKDILERVEKWLSACDEESWLEEYNRDDNRYNAGRGAHLTLKRAEKARNLVNKLPGMVEALASKTIVWEQEKGTEFLYDGIRLLSMLEEYNLLRQEREEEHRRQRDQKKLQGQLIAEQEALYGSKPSPSKPLGGKKAPRMSTGGATNRRLSLGAAMHQTPKPNKKADHRQNDGALSNGRRGLDIAGLPSRKQSMNPSEVLQSPLVRKPFSPISTMVVASKANIATTTQQQLPKNNAVNEISSFATPVKNINSIRNLEEEKMMTMMMQTPKNVAAMIPIPSTPATVSVPMHTAPTPFTDNARLMSEKPEVVEYSFEERRLAFMLQAECGLV >fgenesh2_kg.8__1047__AT5G51640.1 pep chromosome:v.1.0:8:14623081:14625503:-1 gene:fgenesh2_kg.8__1047__AT5G51640.1 transcript:fgenesh2_kg.8__1047__AT5G51640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yellow-leaf-specific gene 7 [Source:UniProtKB/TrEMBL;Acc:D7MR25] MTLASPRVSNSKTVLLFPRKVSSIAFAIGGLTSFVIFASLLLFTYPIGSSVTDYLYRTETTQNVQFHHSIDPDSSSHSPPLLTQDSDHKVLPKDSSDSNDVRLGEETKSSNVSMDEEEATQDSVETECDLYHGNWFFDPMGPVYTNNSCPLLTQMQNCQGNGRPDKGYENWRWKPSQCELPRFDAKKFLELMRGKTLAFIGDSVARNQMESMMCLLWQVETPVNRGNRKMQRWYFRSSSVMIARMWSSWLVHEFNEPFGFAADGVTKLKLDQPDERIIEALPKFDVVVLSSGHWFAKQSVYILNDEIVGGQLWWPDKSKPAKINNVEAFGISVETILKAMAKHPNYTGLTILRTWSPDHYEGGAWNTGGSCTGKVEPLPPGKLVTNGFTEIMHEKQATGFHRAVADDKLGNRSKKLKLMDITEAFGYRHDGHPGPYRSPDPKKITKRGPDGQPPPQDCLHWCMPGPVDTWNEMVLEIIRRDLEGRRSTPSS >fgenesh2_kg.8__1048__AT5G51660.1 pep chromosome:v.1.0:8:14634656:14643869:1 gene:fgenesh2_kg.8__1048__AT5G51660.1 transcript:fgenesh2_kg.8__1048__AT5G51660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAFKMMHWPTGVENCASGYITHSLSDSTLQIPIVSGDDDMEAEWPNHKRGIGPLPNVVITAGNILEVYIVRAQEEGNTQELRIPKLVKRGGVMDGVSGVSLELVCHYRLHGNVESIAVLPMGGGNSSKGRDSIILTFRDAKISVLEFDDSIHSLRMTSMHCFEGPDWLHLKRGRESFPRGPLVKVDPQGRCGGVLVYGLQMIILKASQVGSGLVGDDDAFSSGGTVSARVESSYIINLRDLEMKHVKDFVFLHGYIEPVIVILQEEEHTWAGRVSWKHHTCVLSALSINTTLKQHPVIWSAINLPHDAYKLLAVPSPIGGVLVLCANTIHYHSQSASCALALNNYASSADSSQELPASNFSVELDAAHGTWISSDVALLSTKSGELLLLTLIYDGRAVQRLDLSKSKASVLASDITSVGNSLFFLGSRLGDSLLVQFSCRSGPAASLPGLRDEDEDIEGEGHQAKRLRISSDTFQDTIGNEELSLFGSTPNNSDSAQKSFSFAVRDSLVNVGPVKDFAYGLRINADANATGVSKQSNYELVCCSGHGKNGALCVLRQSVRPEMITEVELPGCKGIWTVYHKSSRGHNADSSKMAADEDEYHAYLIISVEARTMVLETADLLTEVTESVDYYVQGRTIAAGNLFGRRRVIQVFEHGARILDGSFMNQELSFGAPNSESNSGSESSTVSSVSIADPYVLLRMTDDSIRLLVGDPSTCTVSISSPSVLEGSKKKISACTLFHDKGPEPWLRKASTDAWLSSGVGEAVDSADGGPQDQGDIYCVLCYESGALEIFDVPGFNCVFSVDKFASGRRHLSDMPIHELEYELNKNSEDNASSRNEEIKNTKVVELSMQRWSGPHTRPFLFAVLADGTILCYHAYLFEGVDSTKAENSVSSENPAALNSSGSSKLRNLKFLRIPFDTSTREGTSDGVASQRITMFKNISGHQGFFLSGSRPGWCMLFRERLRFHSQLCDGSIAAFTVLHNVNCNHGFIYVTSQVVLKICQLPSASIYDNYWPVQKIPLKATPHQVTYYAEKNLYPLIVSYPVSKPINQVLSSLVDQEAGQQIDNHNLSSDDLQRTYTVEEFEIQILEPERSGGPWETKATIPMQSSEHALTVRVVTLLNASTGENETLLAVGTAYVQGEDVAARGRVLLFSFGKNGDNSQNVVTEVYSRELKGAISAVASIQGHLLISSGPKIILHKWNGTELNGVAFFDAPPLYVVSMNVVKTFILLGDVHKSIYFLSWKEQGSQLSLLAKDFGSLDCFATEFLIDGNTLSLAVSDEQKNIQVFYYAPKMAESWKGQKLLSRAEFHVGSHVTKFLRLQMVTSGADKTNRFALLFGTLDGSFGCIAPLDEVTFRRLQSLQKKLVDAVPHVAGLNPHSFRQFRTSGKARRSGPDSIIDCELLCHYEMLPLEEQLELAHQIGTTRSVILLNLVELSVGTSFL >fgenesh2_kg.8__1054__AT5G51710.1 pep chromosome:v.1.0:8:14662837:14667298:-1 gene:fgenesh2_kg.8__1054__AT5G51710.1 transcript:fgenesh2_kg.8__1054__AT5G51710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFAVIGFTFLLLLDTSLSARSDEETRERFYGNVVNSTAPGNGEGSIAKMFDRVLEKEFSDNDSPEGSDGASFNSSVADQQAEIETVAKVTHEKGKRNDTQENNGTRPFQLQDVFSLENEDSDDMTLIDKKNNVFVMSNKKSKYPILQVDLRLISDLVVIIVFAAIGGIVFSCLGQPVIVGYLLAGSIIGPGGLKFISEMVQVETVAQFGVVFLLFALGLEFSMTKLKVVGPVAVLGGLLQIVLLMFLCGVTALLCGARLSEGIFVGAFLSMSSTAVVVKFLVERNSTSSLHGQVTIGILIFQDCVVGLLFALLPVLGGNSGLLQGIISMGKLLLILSIYLTVASLLTWSFVPRFLKLMIQLSSQTNELYQLAAVAFCLLSAWCSDKLGLSLELGSFVAGVMLSTTEFAQHTLEQVEPIRNLFAALFLSSIGMLINVHFLWNHVDILLASVILVIVIKTAIAAVVVKAFRYNMRISFHVGVLLAQIGEFAFVLLSRASNLHVIEVKMYLLLLGTTALSLVTTPLLFKLIPSAMNLGVLLRWFPSENSAPNESLQEKASLIEVHNRTK >fgenesh2_kg.8__1055__AT5G51720.1 pep chromosome:v.1.0:8:14669775:14670492:1 gene:fgenesh2_kg.8__1055__AT5G51720.1 transcript:fgenesh2_kg.8__1055__AT5G51720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIATTFGTGLSYAGQLPFKRVTAGEMGQKQQRMVVVRAEGGGGGINPEIRKNEEKVVDSVVVTELSKNITPYCRCWRSGTFPLCDGSHVKHNKANGDNVGPLLLKKQ >fgenesh2_kg.8__1056__AT5G51740.1 pep chromosome:v.1.0:8:14679410:14681597:1 gene:fgenesh2_kg.8__1056__AT5G51740.1 transcript:fgenesh2_kg.8__1056__AT5G51740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M48 family protein [Source:UniProtKB/TrEMBL;Acc:D7MRI3] MSWYRRTKLVFDSLRRNINPKILPRSHLPSRINPIGSSGFSSISSRQVGLRSWTSLGQNTNRIAYNPFLSVPKRNYYVDRYQVRHFKPRGPGRWFQNPRTVFTVVIVGSVALITLYVGNLETVPYTKRTHFILLSKPMEKLLGETQFEQIKKTYKGKILPAIHPESIRVRLIAKEVIDALQRGLSNERVWSDLGYASTESSLGGGDKGVKEIEMAMSGEDTMTDMKWSKADQVLDDEWIQQSRKKDSKAHAASSHLEGISWEVLVVNEPIVNAFCLPAGKIVVFTGLLDIFKSDAEVATVIGHEVGHAVARHVAEGITKNLWFAVLQLVLYQFVMPDLVNTMSALFLRLPFSRKMEIEADYIGLLLLASAGYDPRVAPKVYEKLGQLGGNVLADYLSTHPSGKKRSQLLAQANVMEEALMIYREVQSGRRGVEGFL >fgenesh2_kg.8__1057__AT5G51750.1 pep chromosome:v.1.0:8:14682672:14685246:1 gene:fgenesh2_kg.8__1057__AT5G51750.1 transcript:fgenesh2_kg.8__1057__AT5G51750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MRI4] MDKSAMPLPYTNHIQWYSSKINSVTQGKSQEEEGNNNRILYTYQTAFHGLAARLTDEEAERLEEEDGVVAVIPETRYELHTTRSPTFLGLERQESERVWAERVTDHDVVVGVLDTGIWPESESFNDTGMSPVPSTWRGACETGKRFLKRNCNRKIVGARVFYRGYEAATGKIDEELEYKSPRDRDGHGTHTAATVAGSSVKGANLFGFAYGTARGMAPKARVAAYKVCWVGGCFSSDILSAVDQAVADGVQVLSISLGGGISTYSRDSLSIATFGAMEMGVFVSCSAGNGGPDPISLTNVSPWITTVGASTMDRDFPATVKIGTLRTFKGVSLYKGRTVLSKNKQYPLVYLGRNASSPDPTSFCLDGALDRRHVAGKIVICDRGVTPRVQKGQVVKRAGGIGMILTNTATNGEELVADSHLLPAVAVGENEGKLIKQYAMTSKKATASLEILGTRIGIKPSPVVAAFSSRGPNFLSLEILKPDLLAPGVNILAAWTGDMAPSSLSSDPRRVKFNILSGTSMSCPHVSGVAALIRSRHPDWSPAAIKSALMTTAYVHDNTLKPLTDASGAAPSSPYDHGAGHIDPLKAIDPGLVYDIGPQEYFEFLCTQDLSPSQLKVFTKHSNRTCKHTLAKNPGNLNYPAISALFPENTHVKAMTLRRTVTNVGPHISSYKVSVSPFKGASVTVQPKTLNFTSKHQKLSYTVTFRTRMRLKRPEFGGLVWKSSTHKVRSPVIITWLPPL >fgenesh2_kg.8__1058__AT5G51760.1 pep chromosome:v.1.0:8:14708945:14711362:1 gene:fgenesh2_kg.8__1058__AT5G51760.1 transcript:fgenesh2_kg.8__1058__AT5G51760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIYRTISTGRGDDVSPTKCRERRRRRIEMRRQAAVFGDPSSSRNRDRTDMEVYSSFAVPLRKQARRSEIGGLPADIGGFIAPSTSSCQISEAPVWKGEEPEEEPLYGIVSVMGRSRKMEDTVNVKPNLCKPEFNRKRPVHFFGVYDGHGGSQVSTLCSTTMHTLVKEELEQNLEEEGGGSENDVVEKKWRGVMKRSFKRMDEMATCTCVCGTSVPLCNCDPREAAISGSTAVVAVLTQDHIVVANTGDSRAVLCRSGLAIPLSNDHKPDRPDERARIEAAGGRVLVVDGARVEGILATSRAIGDRYLKPMVAWEPEVTFMRREAGDDCLVLASDGLWDVLSSQLACDIARFCLREETPSSLDLNRMALEDDNDGEQNPSRSVLAATLLTRLALGRQSSDNISVIVIDLKNNT >fgenesh2_kg.8__1059__AT5G51780.1 pep chromosome:v.1.0:8:14720084:14723489:1 gene:fgenesh2_kg.8__1059__AT5G51780.1 transcript:fgenesh2_kg.8__1059__AT5G51780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basix helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7MRI8] MEKMMHRETERQRRQEMASLYASLRSLLPLHFIKGKRSTSDQVNEAVNYIKYLQRKIKELSVRRDYLMVLSRGSFLGSSNDDSKEEVEMMSRKNHVVVRQCLVGVEIVFSSRCCGGQPRFSSVLQVLSEHGLCLLNSISSIVDDRLIYTIQAEVNDMALMIDLAELEKRLIRMK >fgenesh2_kg.8__1062__AT5G51810.1 pep chromosome:v.1.0:8:14751022:14752559:-1 gene:fgenesh2_kg.8__1062__AT5G51810.1 transcript:fgenesh2_kg.8__1062__AT5G51810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATGA20OX2/GA20OX2 [Source:UniProtKB/TrEMBL;Acc:D7MRJ1] MAILCTTTSPAEKEHEPKQDLEKDQTPLIFNPSLLNLQSQIPNQFIWPDEEKPSIDIPELNVPFIDLSSQDSTLEAPRVIAEACTKHGFFLVVNHGVSESLISDAQRLMERFFDMPLAGKQKAQRKPGESCGYASSFTGRFSTKLPWKETLSFQFSNENSGSRTVQDYFSDTLGQEFEQVGKVYQDYCEAMSSLSLKIMELMGLSLGVNRDYFRGFFQENDSIMRLNHYPPCQTPELTLGTGPHCDPSSLTILHQDHVNGLQVFVDNQWQSIRPNPKAFVVNIGDTFMALSNGIFKSCLHRAVVNRESARKSMAFFLCPKKDKVVKPPSDILEKMTTRKYPDFTWSMFLEFTQKHYRADVNTLDSFSNWVITNKNPI >fgenesh2_kg.8__1063__AT5G51820.1 pep chromosome:v.1.0:8:14758969:14763533:-1 gene:fgenesh2_kg.8__1063__AT5G51820.1 transcript:fgenesh2_kg.8__1063__AT5G51820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTYTRFDTVFLLSRFAGAKYSPLFPSPSSSHSSLLPSGIHLRTKPTSRLHSIIASSSSIVAGTDSIEIKSLPTKPIEGQKTGTSGLRKKVKVFMEENYLANWIQALFNSLPLEDYKHATLVLGGDGRYFNKEASQIIIKIAAGNGVGQILVGKEGILSTPAVSAANGGFIMSASHNPGGPEYDWGIKFNYSSGQPAPETITDKIYGNTLSISEIKVAEIPDIDLSHVGVTKYGNFSVEVIDPVSDYLELMEDVFDFDLIRGLLSRTDFGFMFDAMHAVTGAYAKPIFVDNLGAKPDSISNGVPLEDFGHGHPDPNLTYAKDLVDVMYRDDGPDFGAASDGDGDRNMVLGNKFFVTPSDSVAIIAANAQEAIPYFRAGPKGLARSMPTSGALDRVAEKLKLPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSILAHRNKDTKPGDKLVSVADVVKEYWATYGRNFFSRYDYEECESEGANKMIEYLRDIVSKSKAGESYGNYVLQFADDFSYTDPVDGSVASKQGVRFVFTDGSRIIFRLSGTGSAGATVRIYIEQYEPDVSKHDVDAQIALKPLIDLALSVSKLKDFTGREKPTVIT >fgenesh2_kg.8__1065__AT5G51840.1 pep chromosome:v.1.0:8:14769309:14771338:1 gene:fgenesh2_kg.8__1065__AT5G51840.1 transcript:fgenesh2_kg.8__1065__AT5G51840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPKLKAEIGADGLAREAPVIAYTEKIIEEEQVQLRKYIEENYTKIRDVEREFGNLTMELKLTAGPKKAAMEHLRKKIEVATEKIHVAKLKEEEARKAFEAASKVVKDEEAAKQSLCDDLNRLVQQSSNTQYARLEELKRRLEALNPNRSSTSVQQVQEPETKSVVDNSPAANANQTHTEKLDGKEEGGKEHGQRPATAEGESKAKKKPQNQGKGRGIGIMNKGRGGWTGAGFDVDGRT >fgenesh2_kg.8__1071__AT5G51890.1 pep chromosome:v.1.0:8:14796904:14798460:-1 gene:fgenesh2_kg.8__1071__AT5G51890.1 transcript:fgenesh2_kg.8__1071__AT5G51890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7MRK0] MAFSKVLIFAMTIVVLAIVRPSEAALDAHYYDRSCPAAEKIILETVRNATLYDPKVPARLLRMFFHDCFIRGCDASILLDSTWSNQAEKDGPPNISVRSFYVIEDAKRKLEKACPRTVSCADVIAIAARDVVTLSGGPYWSVLKGRKDGTISRANETRNLPAPTFNVSQLIQSFAARGLSVKDMVTLSGGHTIGFSHCSSFESRLQNFSKLHDIDPSMNYEFAQTLKRKCPRSSNRGKNAGTVLDSTSSVFDNVYYKQILSGKGVFGSDQALLGDSRTKWIVETFARDQKAFFREFAASMVKLGNFGVKETGQVRVNTRFVN >fgenesh2_kg.8__1074__AT5G51910.2 pep chromosome:v.1.0:8:14819087:14820236:-1 gene:fgenesh2_kg.8__1074__AT5G51910.2 transcript:fgenesh2_kg.8__1074__AT5G51910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNQEGKAIQEIDQVATMTHLSDPNPKTKPGMMMLMKQEDGYLQPVMTKPAPKRPAKDRHTKVEGRGRRIRMPAGCAARVFQLTRELGHKSDGETIRWLLERAEPAIIEATGTGTVPAIAVSVNGTLKIPTSAPVENNGGGDGDGDGDLMKKRRKRNCTSEFVDVNEHDSCYSSVTSGLAPITASNYGVNILNVNTHGLMPFWPMGMGTAYVTGGPNQMGQMWAIPTVATASFLNVGATPVSSYDVSNASAAEPEMETSGGGGATQPLRDFSLEIYDKKELQFLGGSGNSSPSSCHET >fgenesh2_kg.8__1077__AT5G51940.1 pep chromosome:v.1.0:8:14832573:14833755:1 gene:fgenesh2_kg.8__1077__AT5G51940.1 transcript:fgenesh2_kg.8__1077__AT5G51940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDYNEVDDLGYEDEPVEPEIEEGVEEDAEMKENDDVNGEPLETEDKVETEPVQRPRKTSKFMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRQRKIPFTIRRYLPDGSFEEWGVDELIVEDSWKRQVGGD >fgenesh2_kg.8__1079__AT5G51950.1 pep chromosome:v.1.0:8:14833841:14836251:-1 gene:fgenesh2_kg.8__1079__AT5G51950.1 transcript:fgenesh2_kg.8__1079__AT5G51950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MRK8] MGLQRLWLFRIIFIVTYISHASCLPDKAGYYSFMKDATSAPMFARFDYIVIGGGTSGCSLAATLAQNASVLVLERGGAPYDNPTATDIENFATTLSNTSPNSWSQLFVSEDGVYNTRARVLGGGSVLNAGFYTRAGEEYVKETEWKSDEVEAAYEWVEKKVAFQPPVMGWQTAFKDGLLEAGEFPYNGFTYDHIYGTKIGGTIFDRAGHRHTAADLLEYANPGNIVVYLHASVHKILFTTKGRPRPKAYGVIFQDANGVIHKAELEKNAMNEVILSAGAIGSPQLLMLSGIGPAAHLTAHGIKPVVLDHPMVGQGMGDNPMNAIFIPSPTPVEVSLIQVVGITKFESYIEGASGVIFSYSWTRRFFDGVLNYLNEIQTSRTTSTTSPTLSTQSITDFFKSNPLLNATTRAGLILQKIAGPISRGHLELRNTNPDDNPSVRFNYYQEPEDLQICVEGINTIIKVINSKAFSKFKYPDATIHGLLDLMLSVPTNLRPRHITSMFNLKQFCIDTVMTIWHYHGGCQVGRVVDKNYRILGIDSLRVIDGSTFLKSPGTNPQATVMMLGRYMGQRILQERANYRKTDKEA >fgenesh2_kg.8__107__AT5G46860.1 pep chromosome:v.1.0:8:665252:667220:1 gene:fgenesh2_kg.8__107__AT5G46860.1 transcript:fgenesh2_kg.8__107__AT5G46860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLESGKGRSTRKINGGRQDSTQAVASGIFQINTGVSTFQRLVNTLGTPRDTPELREKLHKTRLHIGQLVKDTSAKLKIASETDHQSGVNPSKKIADAKLARDFQAVLKEFQKAQQTAAERETTYTPFVPQSALPSSYTAGEVDKVPEQRAQVQESKRQELVLLDNEIAFNEAVIEEREQGIQEIHHQIGEVNEIFKDLAVLVNDQGVMIDDIGTHIDNSRAATSQGKSQLAQAAKTQRSNSSLTCLLLVIFGIVLLIVIIVLAA >fgenesh2_kg.8__1082__AT5G51980.1 pep chromosome:v.1.0:8:14841206:14844080:-1 gene:fgenesh2_kg.8__1082__AT5G51980.1 transcript:fgenesh2_kg.8__1082__AT5G51980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLNGGNKRVFNRLGGGGGGGGSTRTMAPTDTRQKVCFHWRAGRCNRSPCPYLHRELPGPGPGQGQGPGYTNKRVAEESGFAGPSHRRGPGFNGNSNSSWGRFGGNRTVTKTEKVCNFWVDGNCTYGDKCRYLHCWSKGESFSLLTQLDGHEKLVSGIALPSGSDKLYTGSKDETLRVWDCASGQCTGVLKLGGEVGCVLSEGPWLLVGMPNLVKAWNIETNAEQSLNGPVGQVYSLVVGTDLLFAGTQDGSILAWRYNAATNCFEPAASLTGHTLAVVTLYVGANRLYSGSMDKTIKVWSLDNLQCIQTLTDHTSVVMSLICWDQFLLSCSLDNTVKIWAAVEGGNLEATYTHKEEHGVLALCGVHDAEAKPVLLCSCNDNTLRLYDLPSFTERGKIFAKQEIRAIQIGPGGIFFTGDGTGQVKVWKWCTEPTAALP >fgenesh2_kg.8__1089__AT5G52030.2 pep chromosome:v.1.0:8:14888073:14890087:1 gene:fgenesh2_kg.8__1089__AT5G52030.2 transcript:fgenesh2_kg.8__1089__AT5G52030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSNPPLTFFFPFSTPLTSKPFFRPIKVSVSVQTPPPDFDFRNEIASDSRAAIAKTFPELLDLADNGTLILVQKQSFGPVPAWRKEFVEPEAIWLVGTSHISPESASVVERVVRTVKPDNVAVELCRSRKVHFFIQLKLGAGIMYTSSVGGEVDQNLKSGVLSLTGTGFLGAVGRSLDLGGQTALALRLLLAVFSSKLSSVADRPFGDEFRAARKASEEVGAQLVLGDRPIEITLQRAWNSLKWGEKFNLVMAVTRAITSSSGISAAELKEQETDESNGSLQLYERLSFSYPSLLLPLIHERDTYLAWSLKRSKAVNGCKTVVGVIGKGHMNGVIYALVSDSGDLRFKDLVGRRDSSDGSTTSNGWIQKVLKSLARDTIIGFLLWELYEEYLKFMMMNQNLS >fgenesh2_kg.8__1091__AT5G52040.2 pep chromosome:v.1.0:8:14891988:14894490:1 gene:fgenesh2_kg.8__1091__AT5G52040.2 transcript:fgenesh2_kg.8__1091__AT5G52040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVFCGNFEYDARESDLERLFKRYGKVERVDMKAGFAFVYMEDERDAEDAIRALDRFEYGRTGRRLRVEWTKNDRGGAGRSGGSRRSSSGMRPSKTLFVINFDAQNTRTRDLERHFEPYGKIVNVRIRRNFAFIQYESQEDATRALDATNSSKLMDKVISVEYAVKDDDSRGNGYSPERRRDRSPDRRRRSPSPYRRERGSPDYGRGASPVAHKRERTSPDYGRGRRSPSPYKRARLSPDYKRDDRRRERVASPENGAVRNRSPRKGRGESRSPPPYEKRRESRSPPPYEKRRESRSPPPYEKRRERSRSRSKSSPENGQVESPGQIMEEEAGRGYDGADSPIRESSPSRSPPAEE >fgenesh2_kg.8__1092__AT5G52050.1 pep chromosome:v.1.0:8:14902953:14904721:1 gene:fgenesh2_kg.8__1092__AT5G52050.1 transcript:fgenesh2_kg.8__1092__AT5G52050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7MRM1] MSQSNRVRDEVTTPLLQKSSHLKNHSSVFINEAISIGKISYPLVLTGLFLYVRSFVSLSFLGGLGDATLAGGSLAAAFANITGYSLFSGLTMGVESICSQAFGARRYNYVRATIKRGIILLLVTSLPVTLLWMNMEKILLILKQDKKLASEAHIFLLYSVPDLVAQSFLHPLRVYLRTQSKTLPLSICTVIASFLHLPITFFFVSYLGLGIKGIALSGVVSNFNLVAFLFLYICFFEDKLSINEEEKITEETNEDSVREWKKLLCLAIPSCISVCLEWWCYEIMILLCGFLLDPKASVASMGILIQITSLVYIFPHSLSLGVSTRVGNELGSNQPKKARRAAIVGLGLSIALGFTAFMFTVSVRNTWAMFFTDDKEIMKLTAMALPIVGLCELGNCPQTTGCGVLRGSARPKIGANINGVAFYVVGIPVGAVMAFWFGFGFKGLWLGMLAAQITCVIGMMAATCRTDWELEAERAKELTTAVDCGSSDDKEDVEAGMVDN >fgenesh2_kg.8__1093__AT1G17277.1 pep chromosome:v.1.0:8:14916838:14917405:1 gene:fgenesh2_kg.8__1093__AT1G17277.1 transcript:fgenesh2_kg.8__1093__AT1G17277.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7MRM3] MSCNSRNSNVESGGCNSGMISNVEAGGFNSRGFPVKCKCGLDVVMLTSSTAKNPGRPFFRCKSCKDDHFFKWVEDCMYEEVVDALPKISSIDNEIINAKAEVAVEIANLKELMIEEIQRWKKMTKVCLVCLCFSVIAIVISMFYKTKNQKFVLGY >fgenesh2_kg.8__1097__AT5G52100.1 pep chromosome:v.1.0:8:14967783:14970014:1 gene:fgenesh2_kg.8__1097__AT5G52100.1 transcript:fgenesh2_kg.8__1097__AT5G52100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrodipicolinate reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MRM8] MAAVNCHFFKLSRHLKPSRPSFSCSASQPSQNNIKVIINGAAKEIGRAAVIAVTKARGMELAGAVDNRFVGEDIGLLCDMEEPLEIPVVSDLTMVLGSISQGKEVGVVIDFTDLSTVYENVKQATAFGMKSVVYVPRIKPETVSALSALCDKATMGCLVAPTLSIGSILLQQAVIMASFHYNNVELVESRPNAADFPSPEAIQIANNISNLGQIYNREDSSTDVKARGQVIGEDGVRVHSMVLPGLPSSTQVYFSSPGDVYTLKHDIIDVRSLMPGLLLAIRKVVRLKNLVYGLEKFL >fgenesh2_kg.8__1099__AT5G52110.1 pep chromosome:v.1.0:8:14970129:14971627:-1 gene:fgenesh2_kg.8__1099__AT5G52110.1 transcript:fgenesh2_kg.8__1099__AT5G52110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRICNFPFHPKFALQPRAQRSTRIFARTENDSPQQQQQLNLSVLRFTFGIPGLDESYLPRWIGYGFGSLLLLNHFSASAPISESQMRSEALGLSLASFSIALPYIGKFLKGSVVEQRTLPEEGEQIFVISSNIGDSLKEDLAWATYVLLRNTSTIAVLILVQGELCVRGYWNCPDQMSKAQLHDWFKKKVDEIGLADVKDTLYFPQYAGSALSWDILPDGTRSLFMQPLVQNISEPQKVNGFLLVASTAGYAYSDKDRAWIGAMAEKFRG >fgenesh2_kg.8__109__AT5G46840.1 pep chromosome:v.1.0:8:681212:683369:-1 gene:fgenesh2_kg.8__109__AT5G46840.1 transcript:fgenesh2_kg.8__109__AT5G46840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MQN7] MGKKKSKETETESLNDESQTKSGIFSTLFSGEVAEGETSGFASLFSDDNPFRRKKPQEIKESSSPDEKKGDKRNAENEEGDETVLPVKTKKSKKEKKLTDSGDDGVEKETNLDVVEESGLVSKRKKRKRDEIENEYETKKYGSVEKKKVGEKRKKADEVADTMVSKEGFDDESKLLRTVFVGNLPLKVKKKVILKEFSKFGEVESVRIRSVPIVDSKRTRKGAIMLKQINEKASSVHAYVVFETEKSAEASLAHNMSLIDGNHVRVDRACPPRKKQKGQDDTHLYDPKRTVFMGNLPFDVKDEEVYQLFTGKSNLENSIEAVRVIRDPHLNIGKGIAYVLFKTREAANLVIKKGYLKLRERELRISRVKPDATPSKRKSNPSEAYSPAQKRLQKDKVVTPTPTGKANLSYQGVRASKSGDDKKNPYQKSPAQAKMRPRGSSSNEDNKKSGNNSALKQRSQKRPAVAARKAKANSKGSKESGGKRFAGTKRKQENRTPESFSKKKKTKRF >fgenesh2_kg.8__1100__AT5G52140.1 pep chromosome:v.1.0:8:14983747:14986200:-1 gene:fgenesh2_kg.8__1100__AT5G52140.1 transcript:fgenesh2_kg.8__1100__AT5G52140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7MRN2] MSRLVNQSSLDENIAIYLQYELSELTVNDYVIGREIQQQYQNKFICNDAAIALEMQQEEVACVHSCLHDDEGLARTLQDLEGNLQPSLSDDEKLARYLQEQDESANNTDDDIQETHHHHYQPMQVNRSYIPQRDAPSTSRAFFDYDDGENFSGHHTHIRSPSNISHNPPDNENIDPASMTYEELSELEDSIGDVSKGLSQERISRLSTHKYGTKTKTWSCWLKKKKFVADDSQCSICLVDYAEGDKITTLPCKHIYHKDCISQWLKQNKVCCVCKAEVYP >fgenesh2_kg.8__1101__AT5G52150.1 pep chromosome:v.1.0:8:14988807:14990455:-1 gene:fgenesh2_kg.8__1101__AT5G52150.1 transcript:fgenesh2_kg.8__1101__AT5G52150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MRN4] MSQSLNQAAIDEAIALGIDLQFDDLYLTINDPDDIALKIKQKEEALSIQADEEYAKMLQLQEDEELAKNLHAMEENPPNIPQPDVPSTSRGTSGLNDGERPQDQPTLVANQTAIENNDPENGLGESTEEVSKEGLPENIISGLPTQKYSRKTWWCSKKTFVPDKKECSICIVDYEKGDKITILPCKHAFHKDCISNWLKENKV >fgenesh2_kg.8__1103__AT5G52190.1 pep chromosome:v.1.0:8:15003645:15004398:-1 gene:fgenesh2_kg.8__1103__AT5G52190.1 transcript:fgenesh2_kg.8__1103__AT5G52190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar isomerase domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MRN8] MANKKTQEMANLSSQICNQISSVFTKPTSPYPPPLDLLVTELAAISRNRNNSRIFLYGVGREGLMLKAFAMRLFHLGLSTHLVFDMTTPPISSSDLLIASAGPGGFSTVDAICSVAKSNGAKVILITAEPEIGSCVKHATDVCYVPAQTMASDIGGDAAAEMEERRLLPMGSVYEGALFVLFEMVVYKLGDVLGESSESVRARHTNLE >fgenesh2_kg.8__1105__AT5G52210.2 pep chromosome:v.1.0:8:15007104:15009111:1 gene:fgenesh2_kg.8__1105__AT5G52210.2 transcript:fgenesh2_kg.8__1105__AT5G52210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLWSYMFSKTEFHVLILGIDKAGKTTFLEKLKTIYSISEGLPHDRIVPTVGLNIGRIEVSNAKIVFWDLGGQPGLRSIWEKYYEEAHALIYLIDAACPTRFEDSKSALEKALRHEDLQGAPLLILANKQDLPNAVSAEELDRYLDLKKLDERVYMFEAVSGYDGRGIKESIEWLVGVMERSKRTETLRARAGYTPVPNS >fgenesh2_kg.8__1108__AT5G52230.1 pep chromosome:v.1.0:8:15009944:15013674:-1 gene:fgenesh2_kg.8__1108__AT5G52230.1 transcript:fgenesh2_kg.8__1108__AT5G52230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEGISDGLSAEPERKVEIRVRKNGRKDKVIVEKSAAQGLPEGWIKKLEITSRSGRKNRRDPFFIDPKSEYIFQSFKDASRYVETGNVGHYARKLKESDIEDDDSGNGKTDLRLEYVEKKSADDLLEKEKKIDIHIRRSKRRNLSSSDEHSKNYKMNSDWSIVTSPVLQAKDPIEKQPIAKRVTRSQTKASTNEEVVDIKRKNLSSSNAKSEKDSVKSRLSSARSQEPKKESVMKEEEEQDSTEKQLTRSKAKVKKNELSISVARRTSKRLAGIELEPTPELKTRTKVQRIVPPDDEPTPDLKTRTKVQRVVPPDDQPKRILPPDDGIAGKCNQPVNPVATSGLEKTDIPFNKEVAKSYNEHRSQKPYDVAANNNRVSAEMVVGTQNIGKSVGRKPSSDKKTMKTPMIVYELNPVFHLDGYKQKEERSPVSPLSCQTSATMCEKTAAGKRLGRSSPKAKLTTSVKATEISPLRSSNKGKQPHPSDSGNAIQRRNKLGNELSNSSVVKGTCSEVMEKNTNSFSSSFDSTLADLWKDPCIAFAIKTLTGESLCLPNTPAISSDPINNHAKQKGVSFIPETSRNVNTGSENPGFTSTPPGTDIWQDPCIDFAIKTLTGAIPIGLDEPDTKSKSEGMTITTAATQEAKGRQNNCEYMVQQCNMKNKTAGKPEDLRFTQSFSKD >fgenesh2_kg.8__1109__AT5G52240.1 pep chromosome:v.1.0:8:15015299:15016934:1 gene:fgenesh2_kg.8__1109__AT5G52240.1 transcript:fgenesh2_kg.8__1109__AT5G52240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELWQTLKEAIHAYTGLSPVVFFTALALAFAIYQVISGWFASPFDDVNRHQRARSLAQEDEPPIPQPVQVGEITEEELKQYDGSDPQKPLLMAIKHQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTWDISGLGPFELEALQDWEYKFMSKYAKVGTVKVAGSEPETASVSEPAENVDRDAHVTTTPENTAVDKSDEAPAETELKE >fgenesh2_kg.8__1110__AT5G52250.1 pep chromosome:v.1.0:8:15019712:15022003:1 gene:fgenesh2_kg.8__1110__AT5G52250.1 transcript:fgenesh2_kg.8__1110__AT5G52250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALFCSEIPNNNIRSSINDLSSSSYTWPMIMTSSSTSSSSPTIMNIENIPRCDWDLSLSAVVSSASTGSDAIGAIEFDPTGEIIATGGIARKIRSYRLSSLLESRDDHVTASDSCIYTPAKLSSLKWRPDLSGRVIGSGDYDGVVTEYDVEKQVPVSERDEHGGRRIWSVDYTLYNGSLIGASGSDDGTVQMWDPRNGGTLEETVRPGGGAAICSVEFDPFGGSSIAVGCADRNAYVYDIRRLVDPLIVLDGHTKTVTYARFMDSHTIVTGSTDGSLKQWNIDNGRRVVRTYRGHVNSRNFVGLSVWRHGGLVVSGSENNQVFVYDKRWEEPVWVCGLGQPDRFGADRRFVSSVCLRQVDEDWCTLAAGGSDGALEIFSGKRS >fgenesh2_kg.8__1111__AT5G52260.1 pep chromosome:v.1.0:8:15027833:15028974:1 gene:fgenesh2_kg.8__1111__AT5G52260.1 transcript:fgenesh2_kg.8__1111__AT5G52260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSGERPKQRQRKGLWSPEEDQKLKSFILSRGHACWTTVPILAGLQRNGKSCRLRWINYLRPGLKRGTFSEEEEETILTLHASLGNKWSRIAKYLPGRTDNEIKNYWHSYLKKRWLKSQPQLKTQRSDLTDSSPSSLLSCGKRNPETETLDHVISFQKFAEKPTSSPSKDSNNNMIMNNSNNLPKLFFSEWISSSNPHIDYSSAFTDSKHINETQDQIDEEEVMMINNNNNYSSLEDVMLRTEFLQPDHEYANYYSSGDFFINNDQNYV >fgenesh2_kg.8__1112__AT5G52270.1 pep chromosome:v.1.0:8:15029363:15030321:-1 gene:fgenesh2_kg.8__1112__AT5G52270.1 transcript:fgenesh2_kg.8__1112__AT5G52270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MRP7] MVKLTIVGRVEDGLPLAQDQTYVNQQDNASFLLYKKQAEFLLKQISKDSLLHPKMTILLDHHSFHFLVEKKICCIALSDSSYPRKLLFHYLQDLRKELDQPDETALIQKISKPYSFVRFGKIIGRIRKQYMDTRTQANLSKLNSSRKQELNVVTEHFNDIIQRRQILGVLRSTNVCFNHLEITMSSGYCFKMDTSDDHYSRYSCSFQSKLDYD >fgenesh2_kg.8__1115__AT5G52300.1 pep chromosome:v.1.0:8:15055387:15057462:1 gene:fgenesh2_kg.8__1115__AT5G52300.1 transcript:fgenesh2_kg.8__1115__AT5G52300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQLTRPYGHEQVEEPIRIHHPEEDEHHDKGASKVLRKVKEKAKKIKNSLTKHGNGHDHDVEEEEDEYDEQDPEEHGAPVYESSAVRGGVTGKPESLSHTGETNVPAPEEIVPPGTKVFPVVSSEHTKPIEPVSLQDTSYGHEALSAPGGGSDYLSGVSNYQSKVTDPTHKGGEAGVPEIAESLSRMKVTDESPDQKSRQGFGEDLPTRSQEFGLKNESDISKDSPARLGGESRAGLGEDFQRRSDDVKAETGLGRDLPTGTHDQFSPELSRPKERDDFEETRDETKQERKPSTYTEQLASATSAITNKAIAAKNVVASKLGYGESGGGQYESAEKDETPRSATGYGQKVAVTVAEKLTPVYEKVKETGSTVMTKLPLSGGGSGVKETQQGEEKGVSTRDYLSEKLRPGEEDKALSEVIAEKLHFGGGQKKSTATKEVEVTVEKIPSDQISKGKEHGEAVTEEGKEEGMVGKVKGAVTSWLGGKPKSPHSVEESPQSLGTTVGTMGFTDSSGSELAGTGGAKGVQDSGN >fgenesh2_kg.8__1118__AT5G52320.1 pep chromosome:v.1.0:8:15066261:15067922:-1 gene:fgenesh2_kg.8__1118__AT5G52320.1 transcript:fgenesh2_kg.8__1118__AT5G52320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP96A4 [Source:UniProtKB/TrEMBL;Acc:D7MRQ3] MALIIGLLEIFITFIFFLAYQCFSLHKKTPKHMVTNWPVLGMLPGVVLHISRVYDLVTEALEGENMTGCFIGPWLSGTNILLTADPVNIQYILSSNFVNYPKGKKFNKIFEFLGDGIFNVDSGLWEDMRNSSHAIFSHQDFQSFSVSTSVSKLRQGLVPILDNAVEKHILVDLQDLFHRFLFDTSSTLMTGYDPKSLSIEMPKVEFADAMDGVADAMFYRHLKPAFLWELQSWIGVGVEKKMRRGLAVFDQMLGKIISAKREEIKSHGIHDSKGEEAMDVLTYYMTIDTTKYKHLKPSNDKFIRDTILGFLIAARDTTSSALTWLFWLLSKNPEAMTKIRQEINNKMPKFNPADLDKLVYLDGAVCETLRLYPSVPFNHKSPAKPDVLPSGHRVDENWRVVIPIFAVGRMKSVWGDDAEDFRPERWISDSGMLRQESSYKFLAFNAGPRTCLGKRLTFLQVKTVAVEIIRNYDIKVVEGHNPKPVPSVLLRMQHGLKVSVTKI >fgenesh2_kg.8__1121__AT5G52360.1 pep chromosome:v.1.0:8:15084293:15085508:-1 gene:fgenesh2_kg.8__1121__AT5G52360.1 transcript:fgenesh2_kg.8__1121__AT5G52360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVEDECKLKFLELKAKRNYRFIIFRIDGQQVVVEKLGSPEENYDDFSNSLPPNECRYAVYDFDFTTAENCQKSKIFFIAWSPDSSRVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSLDIIKSRAL >fgenesh2_kg.8__1122__AT5G52380.1 pep chromosome:v.1.0:8:15085761:15087573:-1 gene:fgenesh2_kg.8__1122__AT5G52380.1 transcript:fgenesh2_kg.8__1122__AT5G52380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle (CCHC-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7MRQ8] MVNQRRRLAQKRYKEANPELFPKAEPTPPKDPNKKKKKKSLFKKKKPGSSTDRPQRKGSSTRHPLRVPGMKPGEGCFICHSKTHIAKLCPEKSEWERNKICLQCRRRGHSLKNCPEKNDESSEKKLCYNCGDTGHSLSHCPYPLEDGGTKFASCFICKGQGHISKNCPQNKHGIYPMGGCCKVCGSVAHLVKDCPDKFNQESAQPKKTSRFDATPRGKVTKLSGDDLEDDFTEEPKSSKKINISDDSDQKSVDVKKKKQGPKIVNFVG >fgenesh2_kg.8__1128__AT5G52420.1 pep chromosome:v.1.0:8:15124665:15125741:1 gene:fgenesh2_kg.8__1128__AT5G52420.1 transcript:fgenesh2_kg.8__1128__AT5G52420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGPTYNDITLPKEEQHQTQTSTVSSTGKPAGFFSFRQLNILAIIIVLSASGLVTIQDFIFTILTLIYFFVFSKLIFPPHNNPNRDAPLTSSTNKIFRIYVTSAGIVGLIIPICYIFEGIVEDDKNGVSAAAPHVFLLACQVFMEGLASMFGFSAPARILVPIVYNARRVLTLVDWIMSEFSREDGTGTVSVRRMYAGKVLAAVNLGIWSFNLFGVLIPVYLPRAFKRYYGSDKEN >fgenesh2_kg.8__1129__AT5G52430.1 pep chromosome:v.1.0:8:15125788:15128158:-1 gene:fgenesh2_kg.8__1129__AT5G52430.1 transcript:fgenesh2_kg.8__1129__AT5G52430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7MRR7] MRNVNNSVETVNAAATAIVTAESRVQPSSVQKGRWGKCWSLYSCFGTQKNNKRIGNAVLVPEPVASGVPVVTVQNSATSTTVVLPFIAPPSSPASFLQSDPSSVSHSPGGQLSLTSNTFSPKEPQSVFTVGPYANETQPVTPPVFSAFVTEPSTAPYTPPPESSVHITTPSSPEVPFAQLLTSSLELTRRNSSSGMNQKFSSSHYEFRSNQVCPGSPGGGNLISPGSVISNSGTSSPYPGKSPMVEFRIGEPPKFLGFEHFTARKWGSRFGSGSITPVGHGSGLASGALTPNGLEIISGNLTPSNTTWPLHNQISEVASLANSDHGSEVIVADHRVSFELTGEDVARCLASKLNRSHDRMNNNDRIETEESSSTDLRRNMEKRSADRETEQQRIQKLNSSSIGSSKEFKFDNTKDENIEKVAGNSWSFFPGLRSGVS >fgenesh2_kg.8__1131__AT5G52460.1 pep chromosome:v.1.0:8:15138341:15145128:-1 gene:fgenesh2_kg.8__1131__AT5G52460.1 transcript:fgenesh2_kg.8__1131__AT5G52460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MS50] TERCQRIDEEVVASKDEINLLPDDLLIQILLLVPWRYIWTLMPKLEYKDTDVGKLVANVVDRFVRKLELELHWTAEPSSLPKNLYTCKMLVELTLSDKIIVDVPSSICLPSLNILRLFHVVFKDEDSLERLISSCSVLARSRTDVQAFDAKEDHQSKQNQKMNKLQMNKLRFGKPHIDIVCHTDDKFLKAISLVTFLVLLPLEDPMALDFSGFTFSRLEKLVICGRIWLDILPLILNNSPKLSVLAITSISGYLPGNLPTSWSQPSFVPRCLSAHLEEFLWHGYRGNEEETQLIRYIFANAKCLKKVTVTFIWEHIQISAFNLEERERVSTTSKLVFK >fgenesh2_kg.8__1133__AT5G52470.1 pep chromosome:v.1.0:8:15152438:15154883:1 gene:fgenesh2_kg.8__1133__AT5G52470.1 transcript:fgenesh2_kg.8__1133__AT5G52470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLTGGRGGGGFRGGRDGGGRGFGGGRSFGGGRGDRGRGPPRGRGRGAPRGRGGPPRGGMKGGSKVLVEPHRHAGVFIAKGKEDALVTKNLVPGEAVYNEKRISVQNEDGTKIEYRVWNPFRSKLAAAILGGVDNIWIKPGAKVLYLGAASGTTVSHVSDLVGPEGCVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILGLNASFFLKTGGHFVISIKANCIDSTVAAEAVFQSEVKKLQQEQFKPAEQVTLEPFERDHACVVGGYRMPKKQKAPAT >fgenesh2_kg.8__1135__AT5G52510.1 pep chromosome:v.1.0:8:15168961:15172256:1 gene:fgenesh2_kg.8__1135__AT5G52510.1 transcript:fgenesh2_kg.8__1135__AT5G52510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGFSGGGGGSDFHGGGGRSIPGGPGTVINVGNNNPQPTYRNQIPGIFLDQIGNRVSAGNGFAGKRTLADFQAAQQYQQQQQQQQQQQQPFYNQAALNAFLLRSVKPRNYQNFQSPSPMIDLTSVNDMSLFGGSGSSQRYGSPVLKSQTQQQQSNFGLFGGIRMGYGSGNNNNMTLTGVPCIEQVQHNRVHESENMLNSLRELEKQLLDDDDESGGDDDVSVITNSNSDWIQNLVTPNPNPNPVLSFSPSSSSSSSSLSTASTTTSVCSRQTVMEIATAIAEGKTEIATEILARVSQSPNLERSSEEKLVDFMVTALRSRINQAESLSSPARELYRKEHLISTQLLNELSPCYKLGFTAANLAILNAAGNNDAGMMMLHVIDFDIGEGGQYVNLLQTLSTRRNGKNQNQNSPVVKITAVTNNVYGFLVDGGGEERLKVVGDLLSQLGNRLGISVSFNVVASLRLGDLSRESLGCDPDEPLAVNLAFKLYRVPDESVCTENPRDELLRRVKGLKPRVVTLVEQEMNSNTAPFLGRVSESCACYGALLDSVESTVPSLNSDRVKVEEGIGRKLINAVACEGIDRIERCEVFGKWRMRMSMAGFELMPLSEKIADRLNNGNLAHPGFTVKEDNGGVCFGWMGRTLAVASAWR >fgenesh2_kg.8__1136__AT5G52520.1 pep chromosome:v.1.0:8:15180352:15183513:1 gene:fgenesh2_kg.8__1136__AT5G52520.1 transcript:fgenesh2_kg.8__1136__AT5G52520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLRLPSLTSLLFPATTRYPASLRRTVCLRNRPLSGFATAPSGTASPETKSTEVDRLRSDRAVTPRSQDFNAWYLDVIASAELADYGPVRGTMVIRPYGYAIWEAIQEYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTVGGGKELEEKLVVRPTSETIVNHMFTQWIHSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATPEEAEKEAKQMIEIYTRFAFEHTAIPVIPGRKSKLETFAGADITYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFADENGERQHVWQTSWAVSTRFIGGIIMTHGDDTGLMLPPKIAPIQVVIVPIWKKDTEKSGVLCAASSVKEALQTAGVRVKLDDTDQRTPGWKFNFWEMKGIPLRIEIGPRDVSSNSVVVSRRDIPGKAGKVFGISMEPSTLVAYVKEKLDEIQSSLLEKALSFRDSNIVDVNSYDELKDAISSGKWARGPWSASDADEQRVKEETGATIRCFPFEQTQGTKTCLMTGNPAEEVAIFAKSY >fgenesh2_kg.8__113__AT5G46800.1 pep chromosome:v.1.0:8:702600:704722:1 gene:fgenesh2_kg.8__113__AT5G46800.1 transcript:fgenesh2_kg.8__113__AT5G46800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVWKDLASGTVGGAAQLVVGHPFDTIKVKLQSQPTPAPGQLPRYTGAIDAVKQTVASEGAKGLYKGMGAPLATVAAFNAVLFTVRGQMEGLLRSEAGVPLTISQQFVCGAGAGFAVSFLACPTELIKCRLQAQGAAVAGASTTSSVVAAMKYGGPMDVARHVLRSEGGARGLFKGLFPTFAREVPGNATMFAAYEAFKRFLAGGSDTSSLGQGSLIMAGGVAGASFWGIVYPTDVVKSVLQVDDYKNPKYTGSMDAFRKILKSEGVKGLYKGFGPAMARSVPANAACFLAYEMTRSSLG >fgenesh2_kg.8__1141__AT5G52552.1 pep chromosome:v.1.0:8:15204963:15207267:-1 gene:fgenesh2_kg.8__1141__AT5G52552.1 transcript:fgenesh2_kg.8__1141__AT5G52552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSLMQPIVRKARKKKVKHEVDRIKQAEKKKRRLEKAIATSAAIRAELEKKKQMKKEGRLDAAVEEDSADAANNKQERDELECSKQAEKKNKNIRLEKSIATSAAIMAELEKKKLRKLEEQKRLDEEGAAIAEKKKRRLEKAIATSAAIRAELEKKKQMKKEGQLDAAVEEDSAKNKQERDELERIKQAERKKRRLEKSIATSAAIRAELEKKKLRKLEEQRRLDEEGAAIAEAVALHVLLGEDCDDSYRNTLNQETGFKPWDSTTKFNLFGGGRNIFCPHQRCSSYAVHSNNRTRESNWSSVSYEPFARGWDNNNNNNNMRISADLIAAQAVSSLQISENTDVDAIVFNGMFRR >fgenesh2_kg.8__1143__AT5G52560.1 pep chromosome:v.1.0:8:15209088:15213362:1 gene:fgenesh2_kg.8__1143__AT5G52560.1 transcript:fgenesh2_kg.8__1143__AT5G52560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVDSNIFSSVPALQSNLGILSPDQIELAKILLENGQSHLFQQWPQLGVDDKQKLDFFDQIARLNSSYPGGLAAYIKTAKELLADSKLGKNPYDGFSPSVPSGENLTFGNENFIEMENRGVVEARNAAFVLVAGGLGERLGYNGIKVALPRETTTGICFLQHYIESILALQEASNKIASDGSQRDIPFIIMTSDDTHSRTLELLESNSYFGMKPTQVHLLKQEKVACLDDNDARLALDPHNKYSIQTKPHGHGDVHSLLYSSGLLHKWLDAGLKWVLFFQDTNGLLFNAIPASLGVSATKQYHVNSLAVPRKAKEAIGGITKLTHVDGRSMVINVEYNQLDPLLRASGFPDGDVNCETGFSPFPGNINQLILDLGPYKDELQKTGGAIKEFVNPKYKDSTKTAFKSSTRLECMMQDYPKTLPPTARVGFTVMDIWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILQKAGVKVEEPVKQVLNGQEVEVWSRITWKPKWGMIFSDIKKKVSGNCEVSQRSTMAIKGRNVFIEDLSLDGALIVDSIDDAEVKLGGLIKNNGWTMESVDYKDTSVPEEIRIRGFRFNKVEQLEKYFTQPGKFSVED >fgenesh2_kg.8__1144__AT5G52570.1 pep chromosome:v.1.0:8:15215926:15218049:-1 gene:fgenesh2_kg.8__1144__AT5G52570.1 transcript:fgenesh2_kg.8__1144__AT5G52570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLSSIAVTLKPLTRLNHPISAAVFPPSLRFNGLRRRKILTICFVVEERKQSSPMDDKPESTTSSSEILMTSRLLKKAEKKKSERFTYLIAAVMSSFGITSMAIMAVYYRFSWQMKGGEVPLSEMFGTFALSVGAVVGMEFWARWAHRALWHDSLWNMHESHHKPREGAFELNDVFAIINAVPAIGLLYYGFFNKGLVPGLCFGAGLGITVFGMAYMFVHDGLVHKRFPVGPIANVPYLRKVAAAHQLHHTDKFKGVPYGLFLGPKEVEEVGGKEELEKEVSRRIKLYNKGSSTS >fgenesh2_kg.8__1146__AT5G52580.1 pep chromosome:v.1.0:8:15219773:15224787:1 gene:fgenesh2_kg.8__1146__AT5G52580.1 transcript:fgenesh2_kg.8__1146__AT5G52580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEASELQDLSDDADYAASQQQGSASMMRSDSGKRSSPSEHDDAELIYLKDNVAIHPTQFASERISGRLKLTKQDSVLFLSWIPYKGQTSNAKLSEKDRSLYTITAVPFTEVRSIRRHTPTLGWQYVIVVLSSGLAFPPLYFYNGGVREFLAIVKQHVFLARSSEDPNVFIVNDFQSPLQRTLSSLELPSSLPVASGQSVYPLDGGSSNENQGRTSADIGNRVSSVIQSGLRKHKSHDPTRDLSIHLLEKFSLVTKFARDTTTQLFSENNGFGSVDKRWNNLPVHSYPEKLSNIAEEKHNEIRHSYSENDLLKDEEISNDIDVPADPLEFNKLSLVWGKPRQPPMGHKEFTALLDSEGRVVESKALRERVFYGGIEHQLRREVWPFLLGYYAYDSTYAEREYLRSVKRMEYATLKQQWQSISPEQAKRFTKYRERKGLIDKDVVRTDRAFEYYEGDDNLHVNSMRDILLTYSFYNFDLGYCQGMSDYLSPILFVMEDESESFWCFVALMERLGPNFNRDQNGMHTQLFALSKLVELLDTPLHNYFKQNDCLNYFFCFRWILIQFKREFEYEKTMQLWEVMWTHYLSEHFHLYVCVAVLKRCRSKIMGEQMDFDTLLKFINELSGHIDLDSTVRDAEALCICAGENGAASIPPGTPPSLPLDDGTLYPQEDDVL >fgenesh2_kg.8__1148__AT5G52620.1 pep chromosome:v.1.0:8:15232000:15233105:-1 gene:fgenesh2_kg.8__1148__AT5G52620.1 transcript:fgenesh2_kg.8__1148__AT5G52620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MS70] RETQIQSRLTSFSSLDILSKLSNKSIARFGLAYKFCSSILRGQDFTKLFQTRPLTRPRLLFAVQNSDKWCLYSSPQPKNPDENHSLVLSTDFHMQLPRDMCQEIFGLVSGLLYFPNTLTDKVPVICNPSTGQYARLTQWTSKSNLSSLLGYDPIGKQYKVLTTSNSYFNSQNIQTLRTGKVAWRTIQCLVDHYPISEGICINGVLYYMARHHDQFSGFLNADHDITLCSLLAKLINYKGKLGVLRCYWDNQIYKKPWAVVKLCLWVLEDAEKEEWLKCEYTLPVFKGYVSVVGVTATGEIILSMDYTSKSFFVYYFNPETNTLQRVGILGLEGSEKNLSSRVHTFVDYAEDFKFI >fgenesh2_kg.8__114__AT5G46795.1 pep chromosome:v.1.0:8:715665:716880:1 gene:fgenesh2_kg.8__114__AT5G46795.1 transcript:fgenesh2_kg.8__114__AT5G46795.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIEQQRHSLSSLPMLSRLEHLDFVIKNLERQQNLPIPKWKEESASTTRGLIDRGTAIREAYFKGSLLDRIAALETRLFQICLELESSSASSTSTGGSGETSNQRIKRDLTKTLPIFSSNINPFHVPLQHPQDPREMEEKIEEEKEEEINVEKPLLEKKNKKTKKNDATETCKPKKKKKTKSPKKWSRFSLLGC >fgenesh2_kg.8__1150__AT5G52640.1 pep chromosome:v.1.0:8:15235722:15238617:1 gene:fgenesh2_kg.8__1150__AT5G52640.1 transcript:fgenesh2_kg.8__1150__AT5G52640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 81-1 [Source:UniProtKB/TrEMBL;Acc:D7MS72] MAEVQMADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDGQPELFIRLVPDKANKTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDVDGEPLGRGTKITLFLKDDQLEYLEERRLKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDEDEPKKENEGEVEEVDEEKEKDGKKKKKIKEVSHEWELINKQKPIWLRKPEEITKEEYAAFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEYLSFVKGVVDSDDLPLNISRETLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYTKFYEAFSKNLKLGIHEDSQNRSKIADLLRYHSTKSGDEMTSLKDYVTRMKEGQKDIFYITGESKKAVENSPFLEKLKKRGYEVLYMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLEDETEEEKKKREEKKKSFENLCKTIKEILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSGYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVMLLFETALLTSGFSLDEPNTFAARIHRMLKLGLSIDEDENVEEDGAMPELEEDAAEESKMEEVD >fgenesh2_kg.8__1151__AT5G52650.1 pep chromosome:v.1.0:8:15239029:15240503:-1 gene:fgenesh2_kg.8__1151__AT5G52650.1 transcript:fgenesh2_kg.8__1151__AT5G52650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISEANRKEICKYLFKEGVCFAKKDFNLAKHPLIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWFLTNEGIEFLRTYLNLPSDVVPATLKKSAKPAGRSFGGPPGDRSRGPRHEGGDRPRYADRDAYRGGPRGGGEFGGEKGGAPADYQPSFQGSGRGFGRGAGGYSAAAPSGSGLP >fgenesh2_kg.8__1154__AT5G52670.1 pep chromosome:v.1.0:8:15246757:15247309:1 gene:fgenesh2_kg.8__1154__AT5G52670.1 transcript:fgenesh2_kg.8__1154__AT5G52670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy-metal-associated domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MS75] MPEKVVFKLEVFEERIKRRAMKVVCDFPGVTLIDVKEKGKLKVNGEFDKFEMTKKLKKVYEFVDIIAVGPDGEPAKNQKLVKKPEPKVKKAPSYRGWNLGFFK >fgenesh2_kg.8__1156__AT5G52750.1 pep chromosome:v.1.0:8:15261177:15262005:1 gene:fgenesh2_kg.8__1156__AT5G52750.1 transcript:fgenesh2_kg.8__1156__AT5G52750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMKAVLQLSIHEERIRKKAFVTVSRCPGVTSITIDDKTGKMTVVGEVDVPVIVMKLRKLCNTEIVSVEVVKPPEKKPEPEKPAPLKPAPAPAKPGEIVAWPVQMNNPYQYHPAYANSYYQPYGNSRFVTDESNCVIM >fgenesh2_kg.8__1157__AT5G52780.1 pep chromosome:v.1.0:8:15270620:15271119:-1 gene:fgenesh2_kg.8__1157__AT5G52780.1 transcript:fgenesh2_kg.8__1157__AT5G52780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MS83] MRALLCSHHLLPLSSLSRTTLKTELQNPKTLIPNNKPRWESKLHAGPKGFQSTKSSENPGRPDPDQEDDPPIPQEVFERMMGRIVVSVGTPLGLGVAILKILEVLKDRKVWDVPLWVPFLTTLVTFGSSALGIAYGSLSTNLDPTKTNSLFGLKEAKENWVEMWKE >fgenesh2_kg.8__1158__AT5G52790.1 pep chromosome:v.1.0:8:15271399:15274088:-1 gene:fgenesh2_kg.8__1158__AT5G52790.1 transcript:fgenesh2_kg.8__1158__AT5G52790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDVPCCATMFWVYLLVCVALVAFAGLMSGLTLGLMSLSLVELEVMIKAGEPHERKNAEKILPLVKNQHLLLCTLLIGNALAMEALPIFVDSLLPAWGAILISVTLILAFGEIIPQAVCSRYGLSIGAKLSVLVRLIIIVFFPLSYPISKLLDLLLGKRYSTLLGRAELKSLVYMHGNEAGKGGELTHDETTIISGALDMSQKSAKDAMTPVSQIFSLDINSKLDEKTMGLIASEGHSRIPIYSVNPSVIIGFILVKNLIKVRPEDETPIRDLPIRRMPRVDLNLPLYDILNIFQTGRSHMAAVVGTKNYTNINTPVHDKSINGSPNKDANVLSIPVMNSSESNRQSPIRYIDTIADEDEEIIGIITLEDVVEELIQEEIFDETDRCVQLHKRITINMPISGNSPETATWASELASPISPYRSSPLSPSLMISTLLRSPINSPYRHQSSFLRPTLHASPPAQPPSVLSPDSNERYYYISPSRVWRKSYEKLSRSNGS >fgenesh2_kg.8__115__AT5G46790.1 pep chromosome:v.1.0:8:719785:720611:1 gene:fgenesh2_kg.8__115__AT5G46790.1 transcript:fgenesh2_kg.8__115__AT5G46790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSESSQVNEEEENNQRISTLHHQTMPSELTQDEFTSLSQSIAEFHTYQLGHGRCSSLLAQRIHAPPETVWSVVRRFDRPQIYKHFIKSCFVKEGFEMRVGCTRDVNVISGLPANTSRERLDLLDDDRRVTGFSITGGEHRLRNYKSVTTVHRFEKEDRIWTVVLESYVVDVPEGNSEEDTRLFADTVIRLNLQKLASITEAMNRNNNNAGDGGRNSSSSQVK >fgenesh2_kg.8__1160__AT5G52800.1 pep chromosome:v.1.0:8:15275533:15278534:1 gene:fgenesh2_kg.8__1160__AT5G52800.1 transcript:fgenesh2_kg.8__1160__AT5G52800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLSANAMDDVDRLFQCFKCGISPPASAMREKKRSKKMVNPENDSPGTCKKLQLSSLSRLSGKKGQRNQIDGGVSLDSVSGSRNVKKSVGRQFSPIVFYGSPNGVPPKRPLSLLRLLREIRIDLSEERKAISRKGVWATFPRQDEAIKFGKRHDNVRIFSYQDHFSGQRRFLVSTYEEFWNRYKSMDPRHRHHYEVIQEGLPCHMYFDLEFNQKENEGKNVDEMVDILVSVILEALHEKYAIEGQEDWIVELDSSTKDKFSRHVIVIIPKVAFKDNSHVGAFVGELCSRIVNAKETDERLRKLFVHKEANDSASLLFVDTAVYSRNRCFRLALSSKAGKASVLLPTGRFKCKDMGEPDVFMTSLICNIESDCEKLLVCKMESDCMKTLCFDTEVNSNNLVRDQNAQRFQLDACTSDMSTSYFGGKSPFPQLDQFVESTASTGNVPGKIRCWYWFSEDGLIVYSMLRNRYCERIGREHKSNHGTICSQPINAI >fgenesh2_kg.8__1161__AT5G52810.1 pep chromosome:v.1.0:8:15278986:15280264:1 gene:fgenesh2_kg.8__1161__AT5G52810.1 transcript:fgenesh2_kg.8__1161__AT5G52810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPVFIPAESFPSILSHETLIHHFQTNLPKHSSTITSPVRQNYTVSSPSSLLLMPSWSSSSSLPYMGVKLVTYFPHNSAQNLPGIHGSYTLFSSTTGQTLATMDGTVLTLYRTSSVSGLGSKILARDDSQVLIMVGSGALAPHLIKSHLAAKPSLRRVVIWNRTHQKAQGLAETLSKDPQHKEISFESHDSLDEIIPLGDIISCATNSTVPLVKGEFLKPGTHLDLVGSFSHEMKECDDNAIQRGSVFVDNDTAMIEAGELVGAFARGVIKKEDICGNLVELIKGDKEGRKSSTEITVFKSVGSGTVDLLTAQLVHETYFNRC >fgenesh2_kg.8__1163__AT5G52830.1 pep chromosome:v.1.0:8:15297318:15298864:1 gene:fgenesh2_kg.8__1163__AT5G52830.1 transcript:fgenesh2_kg.8__1163__AT5G52830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDWDLFAVVRSCSSSVSTTNSCAGHEDNRGNCKQEQDPPPPPLFTHIHGDRDNKEPSSSSSCNELQDSCKPFLPATTTTTWSPPPLLPPPTVSSSPSPKILMKQEQVLHESQDQKPPLSVRVFPPSTSSSSSVFVFRGQRDQLLQQQSQPPLRSRKRKNQQKRTICHVTQENLSSDLWAWRKYGQKPIKGSPYPRNYYRCSSSKGCLARKQVERSNLDPNIFIVTYTGEHTHPRPTHRNSLAGSTRNKSQPVNPVPKPNNPSPLSDTVKEEIHLSPTTPLKGNDDVQATNGDEDIISQEVNMEEEEEEEEEVEEEEEDDDDGEDDDVDDLLIPNLAVRDRDDLFFAGNFPSWSAGSSGDGGG >fgenesh2_kg.8__1164__AT5G52840.1 pep chromosome:v.1.0:8:15300204:15301684:1 gene:fgenesh2_kg.8__1164__AT5G52840.1 transcript:fgenesh2_kg.8__1164__AT5G52840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRAIGRPLLAKVKQTTGIVGLDVVPNARAVLIDLYSKTLKEIQAVPEDEGYRKSVESFTRHRLNVCKEEEDWEVIEKRLGCGQVEELIEEARDELTLIGKMIEWDPWGVPDDYECEVIENDAPIPKHVPQHRPGPLPEEFYKTLEGLLAESKTEIPAASSSDPQLKE >fgenesh2_kg.8__1165__AT5G52850.1 pep chromosome:v.1.0:8:15301615:15304290:-1 gene:fgenesh2_kg.8__1165__AT5G52850.1 transcript:fgenesh2_kg.8__1165__AT5G52850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MS90] MATKVATTASTFLSRTNELSNLQQSCIRILSFCESSSSRIGLHIHCPVIKFGLLENLDLCNNLLSLYLKTDGIWNARKLFDEMPQRTVFAWTVMISAFTKSQEFASALSLFEEMMASGIHPNEFTFSSVIRSCAGLGDLSYGGRVHGSVLKTGFEGNSVVGSSLTDLYSKCGKLKEARELFSSLQNADTISWTMMISSLVGARKWSEALRFYSEMIKAGVPPNEFTFVKLLGASSFLGLEFGKTIHSSIIVRGIPLNVVLKTSLVYFYSHFSIMEDAVRVLNSTGEQDVFLWTSVVSGFVRNLRAKEAVGTFLEMRSLGLHPNNFTYSAILSLCSAVRSLDLGKQIHSQTIKVGFEDSTDVGNALVSMYMKCSASEVEASRVFGAMISPNVVSWTTLILGLVDHGFEQDCFGLLMEMVKREVEPNFVTLSGVLRACSKLKYLRLVLEIHGYLLRRHVDGEMIVGNSLVDAYASSGKVDYAWNVTRSMDMRDNITYTSLVTRFNELGKHEMALSVINHMYGDGIRMDQLSLPGFISASANLGAHETGKHLHCYSVKSGFSGAVSVLNSLVDMYSKCGSLEDAKKVFEEIAMPDVVSWNGLVSGLASIGRISSALSAFEEMRMKGTEPDSVTFLILLSACSKGRLTEMGLEYFQSMKTIHNMEPQIEHYVHLVGILGRAGRLEEATGVVETMHLKPNAMIFKTLLRACRYHGNLSLGEDMANKGLALAPSDPAFYILLADLYDESGKPELAQKTRNLMSEKGLCKKLSKSTVEVQGKVHSFVGEDVITVEKTKRIYAEIESIKEEIKRFGSSYRGNENASFHSAKQAVVYGFIYASPEAPVHVVKNKILCKDCHDFVSILTRYMSLRMAYVLAKGKKHHL >fgenesh2_kg.8__1169__AT5G52882.1 pep chromosome:v.1.0:8:15329367:15334046:-1 gene:fgenesh2_kg.8__1169__AT5G52882.1 transcript:fgenesh2_kg.8__1169__AT5G52882.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7MS96] MEQKSVLLSALGVGVGLGIGLASGQSLGRWANGSGSVEDGLTGEQIEQELMRQIVDGRESTVTFDEFPYFLSKRTRVLLTSAAYVHLKEYDISKHTRNLAPASKAILLSGPAEFYQQMLAKALSHYFESKLLLLDITDFSIKIQSKYGCTKREPFHKRSISELTLDKMSSLMGSFSMLSQREVEPRGTLRRHTSGNDLKSRSIESSNRPPRHKRNASAASDISSMSSRSSSSVSASSRRSTNLCFDEKLFLQSLYKVLVSVSETTPLIIYLRDVEKLLESERFYKLFQRLLNKLSGPVLILGSRVLEPEDDCQEVGEGISALFPYNIEIRPPEDESQLVSWKSRLEDDMKMIQFQDNKNHIAEVLAANDIQCDDLASICHADTMCLSNHIEEIVVSAITYHLIHTKEPEYRNGKLVISSRSLSHGLGIFQEGGNRSFEDSLKLDTNTDSKRKEGEVCSKSESKSGPENKNESEISLPSNKNDNPLPPKAPEVVPDNEFEKRIRPEVIPANEIGVTFADIGSLDETKDSLQELVMLPLRRPDLFQGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLMTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSIESREKILRTLLLKEKTENLDFHELGQMTEGYSGSDLKNLCITAAYRPVRELIQQERLKDQERKKREEAGKGTEEPKEKEEAEASEERVITLRPLNMEDMRKAKNQVAASFASEGAGLNELKQWNDLYGEGGSRKKEQLTYFL >fgenesh2_kg.8__1171__AT5G52900.1 pep chromosome:v.1.0:8:15359862:15361222:-1 gene:fgenesh2_kg.8__1171__AT5G52900.1 transcript:fgenesh2_kg.8__1171__AT5G52900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKQEASMPLVPIDSFSYSWLVNFPSLEASSDDHHQTYEDSSSSSSFIEMDPRLPPSRRFFIKTSHETSFKFDNFVSFSDEDHSLVHADELFRDGYVMPYRLKATSAATEEESEPLDTTTTTGKIDGVKSKSSPTSSRKLRRVSKWVLLKYLDFLTPLCKRLRRCRSAGPTRSIGMDSRIRVTTSCRSRVYSDEMTSSPRISVADDYYWRRSCDSESSIYEAVLHCKQSFGTL >fgenesh2_kg.8__1172__AT5G52920.1 pep chromosome:v.1.0:8:15374482:15377870:1 gene:fgenesh2_kg.8__1172__AT5G52920.1 transcript:fgenesh2_kg.8__1172__AT5G52920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:D7MSA3] MAQVVATRSIQGSMLCPNGGSVSTRSEKLLKPASFAVKVLGNEAKRSGRVSVRSRRVVDTTVRSARVETEVIPVSPEDVPNREEQLERLLEMQQFGDTSVGMWSKPTVRRKTKIVCTVGPSTNTREMIWKLAEAGMNVARMNMSHGDHASHKKVIDLVKEYNAQTKDNTIAIMLDTKGPEVRSGDLPQPIMLDPGQEFTFTIERGVSTPSCVSVNYDDFVNDVEAGDMLLVDGGMMSFMVKSKTKDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENKVDFYAVSFVKDAQVVHELKKYLQNSGADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPILQEEIINLCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAAGVMHTVALRTEATITSGEMPPNLGQAFKNHMSEMFAYHATMMSNTLGTSTVVFTRTGFMAILLSHYRPSGTIYAFTNEKKIQQRLALYQGVCPIYMEFSDDAEETFANALATLLKQGMVKKGEEIAIVQSGTQPIWRSQSTHNIQVRKV >fgenesh2_kg.8__1173__AT5G52930.1 pep chromosome:v.1.0:8:15380452:15381979:1 gene:fgenesh2_kg.8__1173__AT5G52930.1 transcript:fgenesh2_kg.8__1173__AT5G52930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MSA4] PEPPPCETCDREIILLLSLTNGFSTSSLQTPPCSILRAEPCGEDLGKLIILTATGELNTLDKKVPLELMKEMVTMLDDLNPSASDLDPKRIPLPPLVTLPHCQTQVVTNVAMSSSSPEDKECVVAVKFLGPQISLCRPAQRNSQWINIRIENPCFHSSPVMFSKKDGMFHIPGSGGHLIGSWDLHKHKNKPNIQRLRFKNLPELTKTKRELLHSCCTSQHLVESRTTDETFFVKCYRKATSRGVVKMKTKAVMVFKLDEEGNAVYTQDIGHLCIFLSKSEPFCVPANSISGMCPNIVEILDVDESAIVGLDESSLFSYSYTFRAPYHIPPQNILD >fgenesh2_kg.8__1174__AT5G52940.1 pep chromosome:v.1.0:8:15382406:15383687:1 gene:fgenesh2_kg.8__1174__AT5G52940.1 transcript:fgenesh2_kg.8__1174__AT5G52940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MSA5] LRLGKPVFVRSSLLHSNGFSTSLLQIPPYHVFSADPCGPRYPDLGKLIIFKANEYDSTHLEKKVPMELVDGKAMVTIGSSHGWVATLKDDGVVRLQDDLNLVASDTDPKRIPLPPLVTLPHCQTQVVTNVAMSSSSPEDEDCLVAVKFLGPQISLCRPAQRNSQWINIRIENPCFHSSPVMFSKKDGMFHIPGSGGHLIGSWDLHKHKNKPKIQRLRFKNLPELTKTKRELLHSCCTSEHLVESRTTNETFLVKWYRKVTTSGVVKMKTRALMVFKLDEEGNAVYTQDIGDLCIFLSKSEPFCVTASSYPGMCSNKVHTLDVDEDALVDLSDSSIISGICTFGSPYYIPPQKFDS >fgenesh2_kg.8__1178__AT5G52970.1 pep chromosome:v.1.0:8:15389978:15391841:1 gene:fgenesh2_kg.8__1178__AT5G52970.1 transcript:fgenesh2_kg.8__1178__AT5G52970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumen 15.0 kDa protein [Source:UniProtKB/TrEMBL;Acc:D7MSA9] MAMLFLPPPTQCRSFSPSVFNHISREISLSLLSLKTSGDEENWVSRFRSKSLSLVFSGALALGLSISGVGFAEAKVGVNKPELLPKEFTSVIDVAGFLSNGQEKRIAQEIANLEKDTGFKLRVLAQNYPVTPGLAIKDFWQVDDSTIVFVADPTFGNILNFNVGATVDLDIPRSFWSRLAGKYGNMFYWKEKGEDASIEAAVMAISSCLREPVGPNNCAEIQ >fgenesh2_kg.8__1179__AT5G52980.1 pep chromosome:v.1.0:8:15395353:15396738:-1 gene:fgenesh2_kg.8__1179__AT5G52980.1 transcript:fgenesh2_kg.8__1179__AT5G52980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPDPKNGAGLILSATEPIRSFLALASGDRHLSEELREIATDLRSKNTVPYKLLRALWTGSDPSTRPDLLGLFSGSDFVFTSPKPREKSEELKLRLLKLREIAERKEYAELVKDITPKKQVEEPFSSYKDQLGFGLHVGLTMFTGYLVGYASFRALFNRNPALSAAGGILGLVLAMLVETLLFIIKTSKDDQIQSSKSFTQSSASFTPTTKKNQ >fgenesh2_kg.8__1181__AT5G52990.1 pep chromosome:v.1.0:8:15423230:15424603:1 gene:fgenesh2_kg.8__1181__AT5G52990.1 transcript:fgenesh2_kg.8__1181__AT5G52990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNPSLLSYTCIAKGTVVLAEFVSKEEPGIEAVALRCIENTPPHHSMFSHTVHKKRYTFAIDDDSFVYFAILDESMEKPESFWVLNRLRSAIEDLIRDGGSDVETLINPVSHCLQLKIDPIFAEIVGVVDLELDMDLVGSPRSVARESRNPSIDSSKGRRAALMPLLGKPLKALKKKKRLHNEAKGEDSCEVGSIQEISEKNVDLCGNGNNGVLRKELRNGLLSDHHHRQKAKQIWKKHVWVVLMFDFCICAVLFGIWLWICEGFQCIQG >fgenesh2_kg.8__1182__AT5G53020.1 pep chromosome:v.1.0:8:15458522:15460935:1 gene:fgenesh2_kg.8__1182__AT5G53020.1 transcript:fgenesh2_kg.8__1182__AT5G53020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGDEKQCEMRNEMMLFGLLIRRVFDEEKGKLLQRLEDATSEITELKKVRNDDAKANEKVVSIIASQKQNWLRERYGLRLQIEALMKELRNIEKRKRKSLSEMQERLKEKEGLVESKDKAVEDEKRKCEELEERLVKAEKEFQDLRETQERDVQEHSSELWRQKKTFLELASSQRQLEAELSRANKQIEAKGHELEDLSLEINEMRKDLEQKDRILAVMMKKSKLDMTEKQMTLLKEAKKKQDEEETKKWRTNPKSRKHERRSLRSMFAFEATSKPKSNSVGSITHIEHLESNKDPDVVPYSIGDLSDLGVDGIAKKRENLIFGEEELCIRVIGKKQEIEIGDFTEHMKLKDEKVETLCLHLMNSELESKRLRSCIEGLSQEMSQLRHDNTELEGMVNRRGEESVSLKNQDFKTQPKSLVPHKNNMSCRRKNTKTEALGEQEREFESREVSQENATEKGRESYSPDELRHLTLKAAQSDAEEGSENERHVPENKCTREKANGKENKKLIKSSSTSNPPWRMDLHALGVSYKIKRLKQQLMMLERYIGKPESQETEKNISDTGKRALLLLITLLNKQVTRYQSLHEKIDDLCKRMHVNDPEKISGNMRANGEAKTSLEHFLDETFQLQRYIVATGQKLMEIQSKIASGFVEFLVDLITTESSSSSSSFDPERFAENIKSLFQEVQRGLEVRISRCIGYLEGTLAREGMIHLKRRGDMELQV >fgenesh2_kg.8__1187__AT5G53050.3 pep chromosome:v.1.0:8:15469119:15472508:-1 gene:fgenesh2_kg.8__1187__AT5G53050.3 transcript:fgenesh2_kg.8__1187__AT5G53050.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7MSC9] MPFCEVVKEDVGPETTLNNAAIKIFYRTYGHGPIKALLIIGLAGTHESWGPQIMGLTGTDKPNDDDEDDGGIVSDGSGIEVCAFDNRGMGRSSVPTHKSEYTTTIMANDSISLLDHLGWKRAHIIGHSMGAMIACKLAAMVPERVLSLALLNVTGGGFECFPKLDRQSLSIAIRFLKAKTPEQRAAVDLDTHYSKDYLEESVGTNTRRAILYQQYVKGISETGMQSKYGFDGQINACWLHKITKPEIEVIRSAGFLVSVIHGRHDVIAQICYARRLAQRLYPVARMVDLHGGHLVSHERTEEVNKALLELIKASEMKKRPTDWTNLTMETPGYLKRRLALITSSSEGKNAVSPAHFIAEKFHRFLLFLFGLLVLAFEYSRRAFRAVKPVKVGPCLT >fgenesh2_kg.8__1190__AT5G53060.1 pep chromosome:v.1.0:8:15475843:15479199:1 gene:fgenesh2_kg.8__1190__AT5G53060.1 transcript:fgenesh2_kg.8__1190__AT5G53060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRSKRNYHYDQDYDGDSMPRSKPRYNNNYHFGGGGGGGNNRYRGGGGGGGGNGRPSKSHPETMATTTYRILCHDAKAGGVIGKSGTIIKSIRQHTGAWINVHELVPGDVERIIEISDNRRRDPDGRMPSFSPAQEALFNVHDRILESEPQFGYGGAQPEEEEDYGGVRPGGGRVVTRLVVSRMHVGCLLGKGGKIIEQMRIETKTHIRILPRESNLPRCVSLSEEIVQIVGELSAVKNALLIVSSRLRESQHRDRSNFQGRSHSPERQFAAAGDDYIPQRRQSSDRFPRGNYRNNNFSSRQSNYAEEAPAVPVGENVYTEELVFQILCPADKIVRVVGESQGILDLLQNEIGVDVRVSDPVTGSDEQIITISSEEAPDDPFFPAQEALLHIQTQIIDLLPDKDNLITTRLLVSSRDSVCLEGKAGSVSEISRLTGTSVQILAREEIPRCASINDVVIQITGDIRAARDALVELTLLLRSHMFKELSQKETPPASTSTTGPLEGVAGVMEVASSNNTIQSREGLTGSNLKLQQTSTILPQFKEGFGSVAKAGESEHREEVPVTTSRMAVPLVTRSTLEVVLPEAVVPKLVTKSRNKLAQISEWSGASVTLVEDRPEETQNIIRISGTPEQAERAQSLLQGFILSIQEDGP >fgenesh2_kg.8__1191__AT5G53080.1 pep chromosome:v.1.0:8:15481128:15483961:1 gene:fgenesh2_kg.8__1191__AT5G53080.1 transcript:fgenesh2_kg.8__1191__AT5G53080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSISLLSSTTSLTTWANQLSSQSGSAPRDSTWQYGVCFRNQKRKTKLYLIPARHFLLTPIDSVTSSESASVHATSGVSEVQRSTSSNNVHEMEEFEMELQELFNEVKAMVKIGKERDAVDLLRANYVAVKEEMDSGLKGIEQAAVLDIIALGYMAVGDLKPIPALLDMINKIVDNLKDSEPLLDSVLMHVGSMYSAIGKFENSILTHQRAVRILENRYGKGNTLLVTPLLGLAKIFASDGKATKAIGVYERTVTILERNRGSQSEDLVMPLFSLGKLLLKEGKAAEAEIHFTRIVNIYKKIYGEKDGRVGMAMCSLANAKCSKGDANEAVDIYKNALRIIKDSMAIDNSILENMRTDLAELLHFVGRGDEGRELLEECLLINERFKGKNHPSMATHLINLAASYSRSKNYVEAERLLRTCLNIMEESVGSEDQSITFPMLNLAVTLSQLNRDEEAEQVALKVLRIREKAFAKDSLPVGEALDCLVSIQARLGRDDGEILGLLKRVMMIQEEEFGSSAEELIVTLQKIIHFLEKLEMKDEKFKFRRRLALLREKHKQSLSF >fgenesh2_kg.8__1192__AT5G53090.1 pep chromosome:v.1.0:8:15484086:15486393:1 gene:fgenesh2_kg.8__1192__AT5G53090.1 transcript:fgenesh2_kg.8__1192__AT5G53090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protochlorophyllide reductase [Source:UniProtKB/TrEMBL;Acc:D7MSD3] MSSETLSLTKKKKEALGWIELIRGWGCVFHEFLFQRFMASHLQNPLPLPSLNHLTCIVTGSTSGIGRETARQLAVAGAHVVMAVRNTKAAHELIQQWQKDWSGKGLPLNLEAMELDLLSLGSVVEFCNVWNARLSPLHVLINNAGIFSMGEEQKFSKDEYEQHMQVNHLAPALLSLLLLPSLIRGTPSRIINVNSVMHYVGFVDPDDMNVVSGKRKFTSLVGYSGSKLAQVMFSNVLLKRLPLETRISVVCLSPGIVLTNVARDLPRYVQVQYALIPYFIFSPQEGCRSTLFSATDSQIPEHCEKLKTGDKPICTFISQDCKHTKPSEEAHNVETATRVWEKTIEMIGLPLDALERLIEGEEVQCRYGTHQQ >fgenesh2_kg.8__1194__AT5G53110.1 pep chromosome:v.1.0:8:15501991:15502728:1 gene:fgenesh2_kg.8__1194__AT5G53110.1 transcript:fgenesh2_kg.8__1194__AT5G53110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTKIISFSLLFLSLLIPTTTTSTITCTNAVCRRDGPIIRFPFRLKHQQSPSCGYDKGFDLTCDINAVNRTTITLPFSGNFTVEEIDYAAQEIWINDPNNCLPQRILELNLNTTPFTGVYMRQFTFFNCPTSEYLRFRPLNPITCLSDKNSTVFATPSPRVINYLSSQSCRLMKTVYVPVRWPFYEQIVSSSDLSDNLWLTWRVPRCSRCEIKGGKCGVKSNSSSEIICSDVHKPGNYFYLFSP >fgenesh2_kg.8__1195__AT5G53120.1 pep chromosome:v.1.0:8:15506875:15510155:1 gene:fgenesh2_kg.8__1195__AT5G53120.1 transcript:fgenesh2_kg.8__1195__AT5G53120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDVGKGLVCPKTMDGKASNGNGLEKTVPSCCLKAMACVPEDDAKCHSTVVSGWFSEPQPRSGKRGGKAVFFNNPMWPGEAHSLKVEKVLFKDNSDFQEVLVFESATYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSISSPKNVLVVGGGDGGVLREISRHSSVEVIDICEIDKMVIDVSKKFFPELAVGFEDPRVQLHIGDAAEFLRKSPEGKYDAIIVDSSDPVGPALALVEKPFFETLARALKPGGILCNMAESMWLHTHLIEDMISICRQTFKSVHYAWSSVPTYPSGVIGFVLCSTEGPAVDFKNPINPIEKLEGAMAHKRELKFYNSDMHRAAFALPTFLRREVASLLAS >fgenesh2_kg.8__119__AT5G46750.1 pep chromosome:v.1.0:8:737019:739157:1 gene:fgenesh2_kg.8__119__AT5G46750.1 transcript:fgenesh2_kg.8__119__AT5G46750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 8 [Source:UniProtKB/TrEMBL;Acc:D7MQP9] MATENLTDKNVVFRKLKSKSENKVCFDCSAKNPTWASVPYGIFLCIDCSAVHRSLGVHISFVRSTILDSWSPEQLRTMMFGGNNRAQVFFKQHGWNDGGKIEAKYTSRAADLYRQTLAKEVAKAMAEETVLPSLSSVATSQPVESSENGFTSESPKESSLVKQEATVVSTSSPKASQKVVASTFKKPLVSRKTGKTGGLGARKLTTKPKDNLYEQKPEEPVPVIPAASSTNDTSSAGSSFASRFEYFDDEQSGGQSGTRVLSHVAPPKSSNFFNEFGMDSAFPKKSSSSSSNAQVEETDEARKKFSNAKSISSAQFFGNQNRDADLDSKATLQKFSGSAAISSSDLFGHGPDDSNIDITASDLINRISFQAQQDMSSIANLAEETKNKLGTFASSIFSDLQDRML >fgenesh2_kg.8__1202__AT5G53150.1 pep chromosome:v.1.0:8:15522401:15524959:1 gene:fgenesh2_kg.8__1202__AT5G53150.1 transcript:fgenesh2_kg.8__1202__AT5G53150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MSU9] MECNKDEAKRAMDIAERKMTEKDYDGAKKFANKAQNLFPELDGLNQLLTAINVFISGEKKFCGEADWYGVLGVDPFVSDEALKKQYRKLVLMLHPDKNKCKGAEGAFKLVAEAWNLLSDKDNRILYNLKRGKDVKEAQQRFPPTQSGIPPHQPTSNGIPNVREHVVLSARARSKPAARKPAAHMDRSRMGSPAFVSPMHEENSTFWTKCNKCNTQYEYQRVYLNQTLLCPHCHQGFVAEEKTPPKNIPKPPVNISSSQQHRSSKNQASNKNSNGSSSRREPAPSVNLNFQWDSSSRMGGSNSRNATNEAANVVQQAQDKLKRGFGETQERDAARGFTNSDLGNFKRQKTDDSHMRGPSAGSRHPYVQALLRSDIKKALMDRGQSEIFKRLPKMIAETEGKVNATEREKNSMKATSKMSSKANEVERSKISSTANEVERSVEVDEEMDDVVKEIVVPDSDFHNFDLDRSESSFKDDQIWAAYDDDDGMPRFYARIQKVISVNPFKMKISWLNSKSTSEFGPIDWMGAGFAKTCGEFRCGRYESTDTLNAFSHSVEFTKGARGLLHILPKKGQVWALYRNWSPEWDKNTPDEVKHKYEMVEVLDDYTEDNQSLTVALLLKAEGFRAVFRRSTERLGVRKIAKEEMLRFSHQVPHYILTGKEADNAPEGCLELDPAATPCAFASENAEANEKSEAVEEKKKWE >fgenesh2_kg.8__1205__AT5G53170.1 pep chromosome:v.1.0:8:15533939:15539143:-1 gene:fgenesh2_kg.8__1205__AT5G53170.1 transcript:fgenesh2_kg.8__1205__AT5G53170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH11 [Source:UniProtKB/TrEMBL;Acc:D7MSV1] MSSSTLQASLFLRLPLHTCSFKPYPCLFSSSLSYHPQSLSSFYRFSSVLHNSRFRPLPCSLRQDNVASDSDFLPKDSAFVTDGEIRDSAESNRLVTDTEVSELETNDRFVGGEGTSEAEVSNGVTEGKEQDQKKSKFRIVVLMMALWTAIKRAIEKVMEWEWLSWWPFSRQEKRLEKLIAEADANPKDAALQGALLAELNKHIPEAVVQRFEQREHAVDSRGVAEYIRALVITNAISEYLPDEQTGKPSSLPALLQELKHRASGNMDESFVKPGISEKQPLHVTMVNPKVSNKSRFAQELVSTILFTVAVGLVWLMGAAALQKYIGSLGGIGTSGVGSSSSYSPKELNKEITPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPSPDVRGRQEILELYLQGKPMSEDVDVKAIARGTPGFNGADLANLVNIAAIKAAVEGAEKLSSEQLEFAKDRIVMGTERKTMFVSEDSKKLTAYHESGHAIVALNTRGAHPIHKATIMPRGSALGMVTQLPSNDETSVSKRQLLARLDVCMGGRVAEELIFGLDHITTGASSDLSQATELAQYMVSSCGMSEAIGPVHIKERPSSDMQSRIDAEVVKLLREAYERVKSLLKRHEKQLHTLANALLEYETLTAEDIKRILLPKQEGEKFEEQQQEEGDLVLA >fgenesh2_kg.8__1206__AT5G53180.1 pep chromosome:v.1.0:8:15539327:15542842:-1 gene:fgenesh2_kg.8__1206__AT5G53180.1 transcript:fgenesh2_kg.8__1206__AT5G53180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGTVVNTKCNVGANRNQAFIEFEDLNQAIQMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVVGNVLLVTVEGEDARMVSIDVLHLVFSAFGFVHKITTFEKTAGYQALVQFSDEETATSAKNALDGRSIPRYLLAEQVGQCSLKITYSAHTDLTVKFQSHRSRDYTNPYLPIAPSAIDSTGQVAVGVDGKKMEPESNVLLASIENMQYAVTLDVLHMVFAAFGDVQKIAMFDKNGGLQALIQYKDVQTAVVAKEALEGHCIYDGGFCKLHITYSRHTDLSIKVNNDRSRDYTMPNPPVPMPQQPSQNPYTGNPQQYHAAGGSHQQQPQGGWVQPGGQGSMGMGGGGYNPYMAPPSSSSMHHGPGGHMPPHHYGGPGPMH >fgenesh2_kg.8__1208__AT5G53190.1 pep chromosome:v.1.0:8:15547929:15549845:-1 gene:fgenesh2_kg.8__1208__AT5G53190.1 transcript:fgenesh2_kg.8__1208__AT5G53190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:D7MSV3] MGDKLRLSIGILGNGASLLLYTAPILTFSRVFKKKSTEEFSCFPYVMTLFNCLIYTWYGLPIVSHLWENLPLVTINGVGILLESIFIFMYFCYASPKEKIKVGVTLVPVIVVFGLTTAISAVVFDDHRHRKSFVGSVGLVASISMYGSPLIVMKKVIETKSVEYMPFYLSFFSFLASSLWLAYGLLSHDLFLASPNMVATPLGILQLVLYFKYKNKKELAPTTMVMSRRNDDEKNKAALELEVDVDRDSDANEKNSNNAC >fgenesh2_kg.8__1211__AT5G53220.2 pep chromosome:v.1.0:8:15586448:15588739:1 gene:fgenesh2_kg.8__1211__AT5G53220.2 transcript:fgenesh2_kg.8__1211__AT5G53220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPVKDYILERDRDRDNMSSRRRVNKMLSFKDDGHELTGLVDLCDGETEERSEKESADVMDTLEERSVGENYEDEDVEPCDVNTSTPLSRRKRKRVIASDEDDDDEDNIPISILKNLKPTNQEMSDLVDTSNKGESESRRLSGKRRVSSRLNKQRVLEEISATTERLVGILTSDNAADDETEEESESESLDGFIVDDDSHESVSKNSDETGEEESDGEPGYAEVMSRLRRDKKPENRKWEYEADMLADFGKDPELCMRAVCVLFRFQTEDEKVGRSSHVSNGRGFSKVDAERGTSIALFLTDGDPAGDLKKSVEHLKSFKFEDVKKCEILACKYSKQLFEIYNNREDPFFAIPPSP >fgenesh2_kg.8__1214__AT5G53250.1 pep chromosome:v.1.0:8:15595753:15596366:1 gene:fgenesh2_kg.8__1214__AT5G53250.1 transcript:fgenesh2_kg.8__1214__AT5G53250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGP22/ATAGP22 [Source:UniProtKB/TrEMBL;Acc:D7MSV9] MASLKFPLEILAVFVIISVILLPIAHAQSSSPAPAPTSDGTSIDQGIAYVLMMVALALTYFIH >fgenesh2_kg.8__1217__AT5G53280.1 pep chromosome:v.1.0:8:15600984:15602947:1 gene:fgenesh2_kg.8__1217__AT5G53280.1 transcript:fgenesh2_kg.8__1217__AT5G53280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEIEAVLEKIWDLHDKLSDEIHLISKSHFLKSVKPSNRSEKRKNPHGNSGEDKRPGYVFIKGFAFDDNDSTIQEAKSLNAIRTALENLEDQLEFFHTIHTQQRTERDLAIARLEQSRILLAMRLAEHHGKNYGVLEEALAFVGSIKTTSHYVSLDHLYDSSPNPDGANSTPAGIKSNFVINAFASTFGFAKRALGFNHVKGVLGNAAIFAISMVAMLHLHQVATSEHHLQKKEDRFYRSQQRKTYGRDKSSGDRSLDHLDVMMARG >fgenesh2_kg.8__1223__AT5G53340.1 pep chromosome:v.1.0:8:15666702:15669559:-1 gene:fgenesh2_kg.8__1223__AT5G53340.1 transcript:fgenesh2_kg.8__1223__AT5G53340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MSX5] MARKGSSLRLSSSRISSLLLSMFATFASFYVAGRLWQESQTRVHLIKELDRVTGQGKSAISVDDTLKIIACREQKKTLAALEMELSAARQEGFVSKSPKLTDGTETKKRPLVVIGIMTSLGNKKKRDAVRQAWMGTGASLKKLESEKGVIARFVIGRSANKGDSMDKSIDAENSQTDDFIILDNVVEAPEEASKKVKLFFAYAADRWDAQFYAKAIDNIYVNIDALGSTLAAHLENPRAYIGCMKSGEVFSEPNHKWYEPEWWKFGDKKAYFRHAYGEMYVITHALARFVSINRDILHSYAHDDVSTGSWFVGLDVKHVDEGKFCCSAWSSEAICAGV >fgenesh2_kg.8__1225__AT5G53370.1 pep chromosome:v.1.0:8:15678169:15680215:-1 gene:fgenesh2_kg.8__1225__AT5G53370.1 transcript:fgenesh2_kg.8__1225__AT5G53370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7MSX8] MGYDRLGPAGPSDPNQTIPATSVPELQKKTKTKLILFTLAVLVVGVICFGIFAGIRAVDSGKTEPKQTSKPTQAISRTCSKSLYPNLCIDTLLDFPGSLTADENELIHISFNATLQRFSKALYTSSTITYTQMPPRVRSAYDSCLELLDDSVDALTRALSSVVVVSGDESHSDVMTWLSSAMTNHDTCTDGFDEIEGQGGEVKDQVIGAVKDLSEMVSNCLAIFAGKVKDLSGVPVVNNRKLLGTEETEELPNWLKREDRELLGTPTSAVQADITVSKDGSGTFKTIAEAIKKAPEHSSRRFVIYVKSGRYEEENLKVGRKKTNLMFIGDGKGKTVITGGKSIADDLTTFHTATFAATGAGFIVRDITFENYAGPAKHQAVALRVGGDHAVVYRCSIIGYQDALYVHSNRQFFRECEIYGTVDFIFGNAAVILQSCNIYARKPMAQQKITITAQNRKDPNQNTGISIHACKLLATPDLEASKGSYPTYLGRPWKLYSRVVYMMSDMGDHIDPRGWLEWNGPYALDTLYYGEYMNKGPGSGMGQRIKWPGYHVITSMVEASKFTVAQFISGSSWLPSTGVAFFSGLSQ >fgenesh2_kg.8__1226__AT5G53380.1 pep chromosome:v.1.0:8:15688680:15690666:1 gene:fgenesh2_kg.8__1226__AT5G53380.1 transcript:fgenesh2_kg.8__1226__AT5G53380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEKVEEEPLSPMARLFQSPGIDNCIVTMIGFKAKINRDIILDELKQNVSKHPRFCSKLSDDGARWMKIKVNVEDHVFAPDIDPQEINKDGDSFVDDYVSRLTLIPLDKSKPLWDIHILNVKTSDAEAVGVMRCHHSLADGMSLMSLSVAFTRKTSDLEAFPTIPAIKRREQIMSQRLGNKGWLLRWIFAIYFAVRLIWNTIVDLLLLLATIMFLKDTETPLNEGASVGNNARRFYHRTISLDDIKLIKNAMNMTINDVLLGVTQAALSRYLNQRYGDKDGEDGTTTSDLNNLPGEIRIRAGVAVNLRQDIGIQPVEDMLAKGSKCRWGNYDSLVFVPLSISLEIDPLVPLLKAKSIMDRKKHSHCAAMHYSVLEFIINTFGPKVFKRTCSNTTTILSNIVGPVEEVSLHGNCITYIALSGYGHSQALMIHFISYAKKMVITIAVDPAVIPDPHNICDEMEKSLKDTLSSKSDRFVGVHS >fgenesh2_kg.8__1228__AT5G53400.1 pep chromosome:v.1.0:8:15701399:15703332:1 gene:fgenesh2_kg.8__1228__AT5G53400.1 transcript:fgenesh2_kg.8__1228__AT5G53400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISEVEEESSSSRPRMLPFRAVLDSSNPLGFLEKVFDFLGEQSDFLKKPSAEHEIAVAVRAAKEKLKKKAEKEIVKPVEKKAEKETVKPVERKVEKESVKPVEKESVKPTVATSSAEPMEVEKPKEEEKKESGPIVPNQGNGTDLENYSWVQNLQEVTVNIPVPTGTKARSVVCEIKKNRLKVGLKGQNPIIDGDLYRSVKPDDCYWNIEDQKMISILLTKHDQMEWWKCCVKGEPEIDTQKVEPETSKLGDLDPETRQTVEKMMFDQRQKQMGLPTSDELQKQEILKKFMSEHPEMDFSNAKFN >fgenesh2_kg.8__122__AT5G46730.1 pep chromosome:v.1.0:8:748401:749563:-1 gene:fgenesh2_kg.8__122__AT5G46730.1 transcript:fgenesh2_kg.8__122__AT5G46730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-rich protein [Source:Projected from Arabidopsis thaliana (AT5G46730) TAIR;Acc:AT5G46730] MVSLKAFSTVFFLILGVSICYATSRNLLHYGEAAGGAGHGGGGGAGGVSGGAYGGGSGEGAGGGYGGAEGYASGGGGGHGGGGGGAASSGGYASGGGEGGGGGYGGAAGGHAGGGGGGSGGGGGSAYGAGGEHASGYGNGAGEGGGAGGSEYGSGAYGGGGGHGGGGGGGSAGGAHGGAYGGGEGGGAGGGGSHGGAGGYGGGGGGGSGGGGAYGGGGAHGGGYGSGAGEGGGYGGGAAGGYGGGGGGGEGGGGGYGGEHGGGSGGGHGGGGGHGGGGGYA >fgenesh2_kg.8__1230__AT5G53420.1 pep chromosome:v.1.0:8:15721500:15723738:1 gene:fgenesh2_kg.8__1230__AT5G53420.1 transcript:fgenesh2_kg.8__1230__AT5G53420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQDSGLMLRYMQNCSPDIQQFEDLFKSYKLSDEMNNTFVESSNISEYHIGEEGDLFKAPDPILEEQILPVDPLSAALTMISCGEDTSQGLCELPDLGSLQSGQQLLDKAFYECEQDLMMKSAMESPFSDVLDIKNISVVTTINENQDMQKSVSSGNLSSMDWSHAQQETVMIQNFPDFDFGYGMRRAFSEGDIQVLSIFMDRIIVSCTSEDRREKLSRYKNKKSRRNFGRKIKYACRKALADSQPRVRGRFAKTEERK >fgenesh2_kg.8__1233__AT5G53450.1 pep chromosome:v.1.0:8:15737427:15741119:1 gene:fgenesh2_kg.8__1233__AT5G53450.1 transcript:fgenesh2_kg.8__1233__AT5G53450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive gene 1 [Source:UniProtKB/TrEMBL;Acc:D7MSY5] MALCGVCSTPNLPNLQVFRSVRNSSIGLKRNHGLWQSTSSFRAKVVKFHCSSSLRPSSSNVEEIDEDVDNNPSVSLDGESANVMQFKWSDFKILNCVSIGHGGRADELVFEAIVQVPDSPLFNQGVVLRKLNTTRAQRRGRRAIEVFKKLVRRRLLYHSYSMQVHGYITNNLSDDQYSFTLVHGCHGSFSIRHWLQQSDWLPTLEATLALDEESFRRVGDDTTGGPAVSRQLRLIRILMRDILIGVNYLHSHGLAHTELRLENVHISPVDRHIKVGILGNAADFNGDGPSTSSAYSTMDRRQMMIAFDMRCVGFMMAKMVLQELMDPLIFAKLKSFLAKGNDPSSLREFFVTTLNTNSESGNTGVQILDRNWGAGWHLLSSLIATRPSKRISCLDALKHPFLCGPRWRVAPSMDIIRWGLGSTAVKISEEYIYRMPQRQRLAHFIGLMEMLNPYPKPNCWLELLPGRWRLLYSTGKHIGLTLRQPSTRALIGNVHLTITRASESANNTSLSFTSDIGFTAITSKDWPHNKIGATGKLETLSQFRLIAGKRLYLKEEKKNIGKFSMGEPDAEEGLAEKLETEKWKKVVPFKEFPSSLPVAKLISGDIEVTMNMNDRIDSPGSVIGEVRKQIPPEMFDLSKLVCGTYIDSRLLVLRCVNGSALLFTRSSLDHMSM >fgenesh2_kg.8__1236__AT5G53480.1 pep chromosome:v.1.0:8:15772388:15776809:1 gene:fgenesh2_kg.8__1236__AT5G53480.1 transcript:fgenesh2_kg.8__1236__AT5G53480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVTQLLINAQSIDGTVRKHAEESLKQFQEQNLAGFLLSLAGELANDEKPVDSRKLAGLVLKNALDAKEQHRKYELVQRWLALDMSTKSQIRAFLLKTLSAPVPDVRSTASQVIAKVAGIELPQKQWPELIVSLLSNIHQLPAHVKQATLETLGYLCEEVSPDVVEQEHVNKILTAVVQGMNAAEGNNDVRLAATRALYMALGFAQANFNNDMERDYIMRVVCEATLSPEVKIRQAAFECLVSIASTYYEKLAHYMQDIFNITAKAVREDDESVALQAIEFWSSICDEEIDILEEYGGEFAGDSDVPCFYFTKQALPGLVPLLLETLLKQEEDQDLDEGAWNIAMAGGTCLGLVARAVGDDIVPHVMPFIEEKISKPDWREREAATYAFGSILEGPSADKLMAIVNAALTFMLNALTNDPSNHVKDTTAWTLGRIFEFLHGSTIETPIITQANCQQIITVLIQSMNDAPNVAEKACGALYFLAQGYEDIGPNSPLTPFFQEIIQSLLAVAHREDATESRLRTAAYEALNEVVRCSTDETSTMVLQLVPVIMLELHNTLEGEKLSLDEREKQNELQGLLCGCLQVIIQKLGSEPTKSAFMQYADQMMGLFLRVFGCRSATAHEEAMLAIGALAYAAGPNFAKYMPEFYKYLEMGLQNFEEYQVCAVTVGVVGDICRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGEDFDKYWRYSMPMLQSAAELSAHSSGADDEMTEYTNSLRNGILEAYSGIFQGFKNSPKTQLLIPFAPHILQFLDSIYMEKDMDEVVMKTAIGVLGDLADTLGSHVGGLIQQSVSSKEFLNECLSSEDHTIKEAAEWAKHAITRAISV >fgenesh2_kg.8__1237__AT5G53486.1 pep chromosome:v.1.0:8:15781298:15782373:1 gene:fgenesh2_kg.8__1237__AT5G53486.1 transcript:fgenesh2_kg.8__1237__AT5G53486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRIKPLSLLFLILIVCSSSLAIVEGSIQLGGAKMHESSMLYKSEEVEVKKMTHRKLMFHSTADYDDAGPNPKHDPRRRPGGKG >fgenesh2_kg.8__1242__AT5G53490.1 pep chromosome:v.1.0:8:15784956:15786423:-1 gene:fgenesh2_kg.8__1242__AT5G53490.1 transcript:fgenesh2_kg.8__1242__AT5G53490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumenal 17.4 kDa protein, chloroplast [Source:UniProtKB/TrEMBL;Acc:D7MSY9] MASLPVQFTRNHFSSPFFSVKLRREPRSLVTVMFSAGENRENGDGIKKSLLPIKELGSIACAALCACTLTMASPVIAANQRLPPLSTEPDRCEKAFVGNTIGQANGVYDKPLDLRFCDYTNDQTNLKGKTLSAALMVGAKFDGADMTEVVMSKAYAVEASFKGVNFTNAVIDRVNFGKSNLKGAVFRNTVLSGSTFEEANLEDVVFEDTIIGYIDLQKICRNESINEEGRLVLGCR >fgenesh2_kg.8__1243__AT5G53500.1 pep chromosome:v.1.0:8:15788734:15792612:-1 gene:fgenesh2_kg.8__1243__AT5G53500.1 transcript:fgenesh2_kg.8__1243__AT5G53500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MSZ0] MDYLSQEEDLQFFDANEEMMALNPSGFGFDVWNDSPGSVVERRRKFLEWMGVEEEDRVEAKDSVSVSSVESGFDGAEEISIEAEERSGGFSSSSSQVSLSGSSVEVSEELCLRVDRNVGGCDVARRQSSSMASCSDSRYCQVKETEKQRDIAGLVTRFKKGWLSRLRSMGCTADIKIESGGRIRASSGYGDVISRVKVKHCKKQAKELSALYQSQDIKAHDGAILAMKFSGDGKFLASSGQDGIVRVWKVVEDKRSRLRRDCLNEIDPSCMYFEVNDLSQLKPVLVNEEKPKKTTESFRKTSDSACIVFPPKVFRIMEKPLYEFRGHTGEVLDISWSKDNYLLSASMDKTVRLWKVGSDACLGVFAHNSYVTSVQFNPVNENYFMSGSIDGKVRIWNIAGCNVVDWADLKDIISAVCYRPDGQGGIIGSLTGSCRFFNMSGEYLELDSRIHLHNKKKSSNKRITGFQFLPQDPSKVLVVSADSKVRIIQGNNVVRKYKGVCKTRSLTSASLTSDGKHIVSACEDSNVYIWSNDEESETKKIRSFERFSTNASVAATWCGFSDHNTTLPFSSPSCLSLSEGFVPGSISKGSATWPEENLPANPLFTSAMNASHYKFLKSSYQRASSSLSWGMVIVTGGWDGRIRTFQNYGLPVTT >fgenesh2_kg.8__1247__AT5G53540.1 pep chromosome:v.1.0:8:15817959:15819665:-1 gene:fgenesh2_kg.8__1247__AT5G53540.1 transcript:fgenesh2_kg.8__1247__AT5G53540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSGKKDSNFIEQLILYVASAALSSLVLYVGLRAIDPNRDAAKKSLEHKREIAKRLGRPLIQTNQYEDVIACDVINPLHINVEFGSIGGLESIKQALYELVILPLKRPELFAYGKLLGPQKGVLLYGPPGTGKTMLAKAIARESEAVFINVKVSNLMSKWFGDAQKLVSAVFSLAYKLQPAIIFIDEVDSFLGQRRSTDNEAMSNMKTEFMALWDGFTTDQNARVMVLAATNRPSELDEAILRRFPQSFEIGMPDYRERAQILKVVLKGERVEPDINYDHIARLCEDYTGSDIFELCKKAAYFPIREILEAEKKGKQISVPRPLSQLDLEKVLATSKKTQVAASEYTGLSSQSSVWRSPSNADEVQAAINGISKLFVSRLRNIQPDSQDSYQHDSEGDSE >fgenesh2_kg.8__1249__AT5G53570.1 pep chromosome:v.1.0:8:15833255:15836107:-1 gene:fgenesh2_kg.8__1249__AT5G53570.1 transcript:fgenesh2_kg.8__1249__AT5G53570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSYTSTSSGNSSSSSSSSLPSSSSSSLPSSSSSSPPPSNSNSSSSNSSSSNSSSSWIHLRSVLFVANPSSPSSVTSSDRRRKSPWSRRKRKWALTPHQWRSLFTPEGKLRDGGVGFLKKVRSRGVDPSIRAEVWLFLLGVYDLNSTSEEREAVKTQKRKEYEKLQRRCQMLLKCGNGNTNNLEELPSDEANDQCVQFVDDYKITGSMTNQDVVSAVNTDSSDSDSCEDNEDVLLLPSFVYSDAKKPEEDNSNNNSEESSSPPEAEIQIEVPVHEDFSTWQRIIRLDALRADSEWATYSPYSTAITESKARGLAESVGLKDYDHLESCRLYHAARLVAILEAYAMYDPEIGYCQGMSDLLSPILAVISEDHEAFWCFVGFMKKARHNFRLDEAGIQRQLSIVSKIIKNKDSQLYKHLENLQAEDCSFVYRMVLVMFRRELSFEQTLCLWEVMWADQAAIRAGVGKSPWSRIRQQAPPTDDLLLYAIAALVLRRKLIIQKYSSMDEIVEECNSMAGQLNVWKLLDDAHHLVVTLHDKIESLSQSHSI >fgenesh2_kg.8__1250__AT5G53580.1 pep chromosome:v.1.0:8:15837734:15839576:-1 gene:fgenesh2_kg.8__1250__AT5G53580.1 transcript:fgenesh2_kg.8__1250__AT5G53580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MSZ9] MALTLSTTKTFTNINCSNITTFKPLKLPLFWPWEKVKMGPLSVSPMGFGTWAWGNQLLWGYQTSMDDQLQEAFELALENGINLFDTADSYGTGRLNGQSERLLGKFIRESQVLKGKQNEVVVATKFAAYPWRLTSGQFVNACRASLDRLQIDQLGIGQLHWSTANYAPLQELVLWDGLVQMYEKGLVRAVGVSNYGPQQLVKIHDYLKTRGVPLCSAQVQFSLLSMGKEQLEIKSICDKLGIRLISYSPLGLGMLTGKYSPSKLPTGPRSFLFRQILPGLEPLLLALSEIAKKRGKTMPQVAINWCICKGTVPIPGIKSVRHVEDNLGALGWKLTNDEQLQLEYAAKESPKSMIQNIFQTR >fgenesh2_kg.8__1251__AT5G53588.1 pep chromosome:v.1.0:8:15847580:15848539:1 gene:fgenesh2_kg.8__1251__AT5G53588.1 transcript:fgenesh2_kg.8__1251__AT5G53588.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7MT01] MGFEENQKQSPKQSPNQIKHMVFNFHFHVPHLHILHHHHHHHHDVPKGCVAIMVGHEDDEEGLHRFVVPLVFLSHPLFLDLLKEAEKEYGFKHDGPITIPCRVDEFKHVQEIIDEETHRRHSHGGHGHNNHNHHNNHLRCF >fgenesh2_kg.8__125__AT5G46700.1 pep chromosome:v.1.0:8:762260:764065:-1 gene:fgenesh2_kg.8__125__AT5G46700.1 transcript:fgenesh2_kg.8__125__AT5G46700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSNNVIGCINFITVLLSIPVIGAGIWLAIGTVNSCVKILQWPVIILGVLILLVGLAGFIGGFWRITWLLVVYLVAMLVLIVLLGILVGFIYMVTIKGAGHPEPSRAYLEYSLQDYSTWLRRRVQRSYKWERIRTCLSTTSICPELNQRYTLALDFFNAHLDPIQSGCCKPPTKCGFTFVNPTYWISPIDMSADMDCLQWSNDQNTLCYSCDSCKAGLLANIKVDWLKADLFLLLALIGLIIVYIIGCCAFRNAETEDIFRKYKQGYT >fgenesh2_kg.8__1260__AT5G53650.1 pep chromosome:v.1.0:8:15975468:15976647:1 gene:fgenesh2_kg.8__1260__AT5G53650.1 transcript:fgenesh2_kg.8__1260__AT5G53650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLRVRLASFFAGAATASFIGLSVLYKDYKVAHESISQQAKSFHDSLDRRISTLESLRQTEAPQLAETTE >fgenesh2_kg.8__1264__AT5G53710.1 pep chromosome:v.1.0:8:15989005:15989434:-1 gene:fgenesh2_kg.8__1264__AT5G53710.1 transcript:fgenesh2_kg.8__1264__AT5G53710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRLSLKQILVTLSNLGVCLCVKHIDSNKIQDSNTEIKNGSLCPQDDSAIEGRRRIKVVITRKQLERLLAKQVSLEQLVFVNQRTSLSCFDDSKWIPRLESIHESPEL >fgenesh2_kg.8__1265__AT5G53730.1 pep chromosome:v.1.0:8:15991988:15992826:-1 gene:fgenesh2_kg.8__1265__AT5G53730.1 transcript:fgenesh2_kg.8__1265__AT5G53730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harpin-induced family protein [Source:UniProtKB/TrEMBL;Acc:D7MT25] MSQISITSPKHCAKKGGININNRHKKLFFTFSTFFTGLLLVIFLVWLILHPEKPEFSLTEADIYSLNLTSSSTHLLNSSIQLTLFSKNPNKKVGIYYDKLLVYAAYRGQQITSEASLPPFYQSHEEINLLTAFLQGTELPVAQSFGYQISRDRSTGKIIIGLKMDGKLRWKIGTWVSGAYRFNVNCLALVAFGQNMTTPPLASIQGTRCSTTI >fgenesh2_kg.8__1266__AT5G53742.1 pep chromosome:v.1.0:8:16003876:16004219:1 gene:fgenesh2_kg.8__1266__AT5G53742.1 transcript:fgenesh2_kg.8__1266__AT5G53742.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MT28] MFPLNPLFPPLLKDGCSRIISGAPAHTTPQFPFIPGSPIDLTKCLSSLVNVEGCVTEIHKAVFTGKFDNVAPMCCKAFSAVDAKCWPQMFPFNPFFPPLLKNECSRINEATPTH >fgenesh2_kg.8__1267__AT5G53750.1 pep chromosome:v.1.0:8:16010388:16011870:1 gene:fgenesh2_kg.8__1267__AT5G53750.1 transcript:fgenesh2_kg.8__1267__AT5G53750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLLSHKVSDLCIGKPPLCCLSVVTATVSDAIAALKSSDEPFLTVWSCNHDEKTEDNDKCECLGKICMADVICYLAKFDNNVLSLSSAFDESVSVLLPKSRSLVVHVQSSCNLIEAIDLIIKGAQNLIVPIQTKSITKRRQQQKLLTRNVVVSLTNTTSTTHKNSRQFCWITQEDIIRFLLDSISVFSPLPSLSISDLGVINSTHTILAIDYYSSAASAVSTISRAILDNVSVAVVDKGCDQEDPCMALIGEISPMTLACCDETAVAAVATLSAGDLMSYIDGSGPPESLVGVVRNRLEDKGMVGLISLIDSLSLSSGSSSDEESPAGRTRMTSSYGRSVSSAARMARKSVAIVCNRKSSLMAVMIQAIAHRVSYVWVIDEDGCLIGMVTFVDILKLFREFLDGEN >fgenesh2_kg.8__1269__AT5G53760.2 pep chromosome:v.1.0:8:16020854:16024795:1 gene:fgenesh2_kg.8__1269__AT5G53760.2 transcript:fgenesh2_kg.8__1269__AT5G53760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:D7MTI7] MGEGEENGNEADSNERSLALSPTWSVAIVLTVFVVVSLIVERSIYRLSTWLRKTKRKPMFAALEKMKEELMLLGFISLLLTATSSTIANICVPSSFYNDRFVPCTRSEIQEELESGSTVKRNLLTKSLFFNIFRRRLDVIKRTTCSEGHEPFVSYEGLEQLHRFIFIMAVTHVTYSCLTMLLAIVKIHSWRIWEDVARMDRHDCLTVVAREKIFRRQTTFVQYHTSAPLAKNRLLIWVTCFFRQFGRSVDRSDYLTLRKGFIVNHHLTLKYDFHSYMIRSMEEEFQRIVGVSGPLWGFVVAFMLFNIKGSNLYFWIAIIPVTLVLLVGAKLQHVIATLALENAGLTEYPSGVKLRPRDELFWFNKPELLLSLIHFILFQNSFELASFFWFWWQFGYSSCFLKNHYLVYFRLLLGFAGQFLCSYSTLPLYALVTQMGTNYKAALIPQRIRETIRGWGKATRRKRRHGIYGDDSTVRTETSTIASIEEYDHQVLDVTETSFEQQQKQQQQGTTELEMQPIQPRSDCVPNESSIRVGTPLLRPWLSISSPTTTIELRSEPMEPLSRSFSLPSEKRV >fgenesh2_kg.8__126__AT5G46690.1 pep chromosome:v.1.0:8:767966:770070:-1 gene:fgenesh2_kg.8__126__AT5G46690.1 transcript:fgenesh2_kg.8__126__AT5G46690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BHLH071 [Source:UniProtKB/TrEMBL;Acc:D7MRB6] MTLEALSANGLLNFLLSETLSPTPFKSLVDLEPLPENDVIISTKTIPEIPHQEPPPPRQPPATNRGKKRRRRKPRVCKNEEEAENQRMTHIAVERNRRRQMNQHLSVLRSLMPQPFAHKGDQASIVGGAIDFIKELEHKLLSLEAQKLHNAKSNQSVTSSTSQDSNGEQENPHQPSSLSLSQFFLHSYDPGQENRNGSTSSVKTPMEDLEVTLIETHANIRILSRRRGFRWSTMATARPPQLSKLVSALQSLSLSILHLSVTTLDTYVIYSISAKVEESCQLSSVDDIAGAVHHMLSIIEEEPFCCSPMSELPFDFSLNHSNATHSL >fgenesh2_kg.8__1270__AT5G53770.1 pep chromosome:v.1.0:8:16025040:16028390:1 gene:fgenesh2_kg.8__1270__AT5G53770.1 transcript:fgenesh2_kg.8__1270__AT5G53770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSDAKTEAPAFVYDTLPPLSFSDSNQSPPTHDESHQYSVFRKEISDFTVATTPVESATVDFFSLDVDGGTTENGVEPVTPVVVASSKKKSKKRKKDEEPRLESNWFSENSFSKIPMLQLHKEIVDFCDFLLPTQAEKAERDAAVESVSSVITYIWPSCKVEVFGSYKTGLYLPTSDIDVVILESGLTNPQLGLRALSRALSQRGIAKNLVVIAKARVPIIKFVEKKSNIAFDLSFDMENGPKAAEFIQDAVSKLPPLRPLCLILKVFLQQRELNEVYSGGIGSYALLAMLIAFLKYLKDGRSAPEHNLGVLLVKFFDFYGRKLNTADVGVSCKTGGSFFSKYDKGFLNRARPGLISIEDPQTPENDIGKSSFNYFQIRSAFAMALSTLTNTKAILSLGPNRSILGTIIRPDRILSERKGGKNGDITFNSLLPGAGEPLPMASNSKTNGGLFCNWELEEDEEGSFPRGSTTNGDITPVVDTPGKKSKESSRKKKKKSSKKEVDEEEEEGASSKKKKKRRRNIVGLTWTY >fgenesh2_kg.8__1274__AT5G53820.1 pep chromosome:v.1.0:8:16084617:16085339:1 gene:fgenesh2_kg.8__1274__AT5G53820.1 transcript:fgenesh2_kg.8__1274__AT5G53820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNSQSMSFNAGQAKGQTQEKASNLMDKASNAAQSAKESIQEGGQQLKQKAQGASETIKEKTGISK >fgenesh2_kg.8__1275__AT5G53830.1 pep chromosome:v.1.0:8:16091367:16092249:1 gene:fgenesh2_kg.8__1275__AT5G53830.1 transcript:fgenesh2_kg.8__1275__AT5G53830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MTK6] MSSKPEQMQNPAPMMISSPRFQPQIRSPHHHDQHQHLSNPYPTTFVQADTSTFKQVVQMLTGSSTDNTTGKHHEAPSPVNNNNNKGSSFSIPPIKKTNSFKLYERRQNNNNNNMFAKNDLMINTLRLQNSQRLMFTGGNSSHHQSPRFSPRNSSSSENILLSPSMLDFPKLGLNSPVTPLRSNDDPFNKSSPLSLGNSSEEDKAIAEKGFYLHPSPVSTPRDSQPLLLPLFPITSPASNP >fgenesh2_kg.8__1276__AT5G53840.1 pep chromosome:v.1.0:8:16093746:16095162:-1 gene:fgenesh2_kg.8__1276__AT5G53840.1 transcript:fgenesh2_kg.8__1276__AT5G53840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MTK7] ISQLPDHLICQILSHLPTKEAVRTSILSTRWRNLWQLVPVLDLNGREFRLFVSFVGRFFDIHKDSCIQKLCLRISDQAGKSYLTSWIDLVTRRRIQHIDISHVFYRPEFGDIPLSLYTCDTLVHLRLSLLTMVNVEFVSLPCLKILHLEFVKYTNETTLDKLISCSPVLEDLTIAKYSEDNANVLQVRSQTLKRVDIHGWFDRHTRLVIDTPLLQFLMIQTHSIKNIDFINLGFTAKVDMDANWLTTLDPNDLSNRSMMRDFFTSISRVKSLVISYATIKVYIAVCSISNLEMLLNLLKSCPKLESLSLKLFNYKKKKKAEVMSSTVPPCLVSSLKFVKLESHELFGCGTELKVARYFLENSTILEKLTLRNDYWEENVNHIRQTLHAIPRCSSTCEVVLL >fgenesh2_kg.8__127__AT5G46680.1 pep chromosome:v.1.0:8:773408:774946:-1 gene:fgenesh2_kg.8__127__AT5G46680.1 transcript:fgenesh2_kg.8__127__AT5G46680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MRB7] MVRGLMKFPGISTKLLNISVDSLCKFRNLEKAETLLIDGIRLGVLPDVITYNTLIKGYSRFIGIDEAYAVTRRMREAGIEPDVATYNSLISGAAKNLMLNRVLQLFDEMLHSGLSPDMWSYNTLMSCYFRLGKHGEAFRILHEDIRLAGLVPGVDTYNILLDALCKSGHTDNAIELFKHLKSRVKPELMTYNILINGLCKSRRVGSVNWMLRELRKAGYTPNAVTYTTMLKMYFKTKRIEKGLELFLKMKKEGYTFDGYANCAVVSALIKTGRAEEAYGCMNELVRSGTRSQDIVSYNTLLNMYFKDGNLDAVDDLLEEIEMKGLKPDDYTHTIIVNGLLKIGHTGGAEKHLARIGEMGMQPSIATCNCLIDGLCKAGHVDRAMRLFASMEVRDEFTYTSVVHNLCKDGRLVCASKLLLSCYNKGMKIPSSARRAVLSGLRETVSHQAARKTHFKIKAAIECNALIYP >fgenesh2_kg.8__1283__AT5G53890.1 pep chromosome:v.1.0:8:16135221:16138639:1 gene:fgenesh2_kg.8__1283__AT5G53890.1 transcript:fgenesh2_kg.8__1283__AT5G53890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIILLLAFFVGSSVSLTCHPNDLSALREFAGALKNMSVTEPWLNGSRCCEWDGVFCEGGDVSGRVTKLVLSDKGLEGVISGSLGELSELRVLDLSRNQLKGDLPVEISKLEQLEVLDLSHNLLSGSVLGAVSGLKLIQSLNISSNSLSGNLSDVGVFPGLVMFNVSNNLFEGEIHPELCSSSGEIQVLDLSMNRLVGNLDGLYNCSKSIQRLHVNSNGLTGQLPDYLYLIRDLEQLSVSGNYLSGQLSQNLSNLSGLKSLLISENRFSGVIPDVFGNLTQLEHLDVSSNKFSGRFPPSLSQCSKLRVLDLRNNSLSGSINLNFTGFTDLCVLDLASNHFSGPLPDSLGHCPKMKILSLAKNEFSGKIPDTFKNLDSLLFLSLSNNSFVDFSETMNVLQHCRNLSTLILSKNFIGEEIPSNVTGFNNLATLALGNCGLRGQIPSWLLNCKKLEVLDLSWNHIYGTIPHWIGKMESLFYIDFSNNTLTGEIPVAITELKNLIHLNCTASQMTTSSGIPLYVKRNKSSSGLPYNQVSRFPPSIYLNNNRLNGTILPEIGRLKELHMLDLSRNNFSGRIPDSISGLDNLEVLDLSYNHLYGSIPLSFQSLTFLSKFSVAYNRLTGAIPSGGQFYSFPHSSFEGNLGLCRAIDSPCDVLMSNMLNPKGPSRSNNTGGRFGRSSIVVLTISLAIGITLLLSVILLRISRKDSDDRINDVDEETISGVPKALGPSKIVLFHSCGCKDLSVEELLKSTNNFSQANIIGCGGFGLVYKANFPDGSKAAVKRLSGDCGQMEREFQAEVEALSRAEHKNLVSLQGYCKHGNDRLLIYSFMENGSLDYWLHERVDGNMTLKWDVRLKIAQGAARGLAYLHKVCEPNVIHRDVKSSNILLDEKFEAHLADFGLARLLRPYDTHVTTDLVGTLGYIPPEYSQSLIATCRGDVYSFGVVLLELVTGRRPVEVCKGKSCRDLVSWVFQMKSEKREAELIDTTIRENVNEKTVLEMLEIACKCIDHEPRRRPLIEEVVTWLEDLPMESVQQQ >fgenesh2_kg.8__1285__AT5G53900.2 pep chromosome:v.1.0:8:16139269:16141498:-1 gene:fgenesh2_kg.8__1285__AT5G53900.2 transcript:fgenesh2_kg.8__1285__AT5G53900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGAGGDRSKDAVGMMALHEALRSVCLNSDWIYSVFWTIRPRPRVRGGNGCKIGDESGSLMLMWEDGFCGGGSCLETDIEGEEDLVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSESEPNLANYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRQMFESIGYRSGFYLSQLFSSNRTATTPSSSSVPNQIPQSQAFNWGSHSPLLPSPSFQNQLPASARFGFLQDTNVPPQMLPPMEEHEDDIKWPNGLSLFNALTGRADEASRLLFNQEQNPMNVENQNEFLNLEGHHPNKFRRSFTLPARMDSSSSSTSLDQQPPLEFRNNNSGSNSGLFPDVMETFLR >fgenesh2_kg.8__1288__AT5G53920.1 pep chromosome:v.1.0:8:16157007:16159204:1 gene:fgenesh2_kg.8__1288__AT5G53920.1 transcript:fgenesh2_kg.8__1288__AT5G53920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWNFIKHFPCKNLSRHILRDRVRPLCLFTFSTPPSSFSITASLSYSSSSSSSCFTDESFTAPYLSVRIHCPKHVLDPFSEALLCFGASSVTVDEDIDEDAASGSSLVSKEICIELIFPVHEEVKMCISQAANSIGLKEIPKFKVEIGDEQDWITKNQELFQPVEIAEMLWIVPEWTSPPVTEAVNIILNPGFAFGTGEHPTTKLCLLLLQSLIKGGEAFLDYGTGSGILAIAALKFGAASSVGVDIDPLAIKSASHNAALNNIPLEKLELHLAPSEDSSSGREIPLRKQQFDVVIANILLNPVMELADHILSFAKPGATIGISGILSEQLTNIIERYSPFLEDISVATIGDWVCMSGTKKGKFIDN >fgenesh2_kg.8__1290__AT5G53940.1 pep chromosome:v.1.0:8:16161935:16163218:-1 gene:fgenesh2_kg.8__1290__AT5G53940.1 transcript:fgenesh2_kg.8__1290__AT5G53940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:D7MTM3] MGRIFTVELEGRSYRCRFCRTHLALPDDLVSRSFHCRRGKAYLFNRSVNISMGPLEERLMLSGMHTVADIFCCSCGQNVGWKYESAHEKAQKYKEGKFVLERGRIVDEIDLSTEVYIDTHGSTSDTEDS >fgenesh2_kg.8__1293__AT5G53980.1 pep chromosome:v.1.0:8:16210254:16210947:1 gene:fgenesh2_kg.8__1293__AT5G53980.1 transcript:fgenesh2_kg.8__1293__AT5G53980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHB52 [Source:UniProtKB/TrEMBL;Acc:D7MTN0] MENSQSQSKNKKKRLTQEQVRQLEKCFTVNKKLEPDLKLQLSNQLGLPQRQVAVWFQNKRARSKTQSLEVQHCTLQSKLEAALSDKAKLEHQVQFLQDEVKRTRNQLALFTNQDSPVNNSNLGSCDEGHDDQVVVFDELYACFVSNGHGSSSTSWV >fgenesh2_kg.8__1298__AT5G54060.1 pep chromosome:v.1.0:8:16232675:16234146:-1 gene:fgenesh2_kg.8__1298__AT5G54060.1 transcript:fgenesh2_kg.8__1298__AT5G54060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MTN6] MGDFGSNGSSSMTIVMYPWLAFGHMTPFLHLSNKLAEKGHKIVFLLPKKALNQLEPLNLYPNLITFRTISIPQVKGLPPGAETNSDVPFFLTHLLAIAMDQTRPEVETIFRTIKPDLVFYDSAHWIPEIAKPVGAKTVCFNIVSAASIALSLVPAAEREVIDGKEMSGEELAKTPLGYPSSKVVLRAHEAKALTFVWRKHEGIASFFDGKVTAMRNSDAIAIRTCRETEGKFCDYISSQYSKPVYLTGPVLPGSQPNQPSLEPQWAEWLAKFNPGSVVFCAFGSQPVVDKIDQFQELCLGLESTGFPFLVAIKPPSGVSTVEEALPEGFKERVQGRGVVYGGWIQQPLVLNHPSVGCFVSHCGFGSMWESLMSNCQIVLVPQHGEQILNARLMTEEMEVAVEVEREENGWFSQRSLENAVKSVMEEGSEVSDKVRKNHEKWRCVLTDSGFADGYIDKFEKNLIELVKS >fgenesh2_kg.8__129__AT5G46640.1 pep chromosome:v.1.0:8:781737:783862:-1 gene:fgenesh2_kg.8__129__AT5G46640.1 transcript:fgenesh2_kg.8__129__AT5G46640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRDIPPSQNQLQPPPGMLMSHYRNPNAAAAALMVPTSTSQSIQHHHRLPFSNQQQQQSQTFHQQQQMDQKTLESLGFGDGSPSSQPMRFGIEDQNQNQQLQVKKKRGRPRKYTPDGSIALGLAPTSPLLSAASNSYGGGDGGVGDSGGGGGNGNSADPPAKRNRGRPPGSSKKQLDALGGTAGVGFTPHVIEVKTGEDIASKVMAFSEQGPRTICILSASGAVGRVTLRQASHSSGIVTYEGRFEIITLSGSFLNYEVNGSTNRSGNLSVSLAGPDGRIVGGSVVGPLVAATQVQVIVGSFVAEAKKPKPSSVNNARGQNPEPASAPANMLNFGSVSQGPSSESSEENESGSPAMHRDNTNGIYGAQQQQPLHPHQMQLYHHLWPNHGQ >fgenesh2_kg.8__12__AT5G47720.2 pep chromosome:v.1.0:8:71558:74516:-1 gene:fgenesh2_kg.8__12__AT5G47720.2 transcript:fgenesh2_kg.8__12__AT5G47720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHVSDDSLQPRDVCVVGVARTPIGGFLGSLSSLTATRLGSIAIQAALKRAHVDPALVEEVFFGNVLTANLGQAPARQAALGAGIPYSVICTTINKVCAAGMKSVMLASQSIQLGLNDVVVAGGMESMSNVPKYLPDARRGSRLGHDSVVDGMMKDGLWDVYNDFGMGVCGEICADQHHITREEQDAYAIQSFERGIAAHNTQLFAWEIVPVEVSTGRGRPLVVIDKDEGLGKFDAAKLKKLRPSFKEDGGSVTAGNASSISDGAAALVLVSGEKALELGLHVIAKIRGYADAAQAPELFTTTPALAIPKAIKQAGLDASQVDYYEINEAFSVVALANQKLLGLDPERLNAHGGAVSLGHPLGCSGARILVTLLGVLRAKKGKYGVASICNGGGGASAIVLEFMSEKTIGYSAL >fgenesh2_kg.8__1300__AT5G54070.1 pep chromosome:v.1.0:8:16242050:16243206:1 gene:fgenesh2_kg.8__1300__AT5G54070.1 transcript:fgenesh2_kg.8__1300__AT5G54070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIPNVIDIESSSSLCQETVSPSVVETAKLDHVVSLIKEEEDDVVSLGFWKLHEIGLITPFLRKTFEIVEDTVTDPVVSWSLTRKSFIIWDSYDFSENLLPKYFKHKNFSSFLRQLNSYGFKKVDSDRWEFANEGFQGGKKYLLKNIKRRSKSTKCNKEASTTTTTTTETEVELLKEEQSPMRSEMLKLKQQQEESQHQMVTVQEKIHGVESEQQHMLSFFAKLVKDQRFVERLLKKRKMKQQRELQAAEFVKKLKLLQDQETQNNLLDVENHLVIREFMAMAATQHNPKPDILMNNESGNRRCQLNTEDLLVDGGSMEAKHVT >fgenesh2_kg.8__1303__AT5G54090.1 pep chromosome:v.1.0:8:16246404:16250842:-1 gene:fgenesh2_kg.8__1303__AT5G54090.1 transcript:fgenesh2_kg.8__1303__AT5G54090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair muts family protein [Source:UniProtKB/TrEMBL;Acc:D7MTP1] MQTLAFCNNCALVIRNSIGNRNRNRVNLSLISSSSPTLVCRSKSKSQTDSLRVLEWDKLCDVVASFARTSLGREATKKKLWSLDQSFSESLKLLDETDAAIKMLEHGSFCLDLSSIHISLVESGIRHAKRRLSLRADQALEIASLLRFFDTLQFDLKAAIKQDGDWYKRFMPLSELIMHPVINRSFVKLVEQVIDPDGTIKDSASSALRQSRERVQTLERKLQQLLDAIIRSQKDDESVLVAAEIDGRWCIQMSSNQLTSVNGLLLSSYASLSMIYFHILSLEGNMCFSGSGGGTVAEPIAAVSMNDDLQSARASVAKAEAEILSMLTEKINARATYSRAYGGAHPDIYLPPEDGVESLSAGENSLQSNLSSEKSLPRKEWLLYLPRCYHPLLLYQHKKGIRKTRETIKFHKTADTVLSGTPPIPADFQISKGTRVLVITGPNTGGKTICLKSVGLAAMMAKSGLYVLATESARIPWFDNIYADIGDEQSLLQSLSTFSGHLKQISEILSHSTSRSLVLLDEVGAGTNPLEGAALGMAILESFAESGSLLTMATTHHGELKTLKYSNSAFENACMEFDDLNLKPTFKILWGVPGRSNAINIAERLGLPCDIIESARELYGSASAEINEVILDMERYKQEYQRLLNESRVYIRLSRELHDNLLIAQKNINDHATKERRKMRQELTQAGSMTRSTLRRTLQQFRSSAAQSSQSKVATQLQTKVETTKDEDSGIRSSSVVERRPISEAAAQKVPEVGSSVFVSSLGKKATVLKVEQSKKEILVQVGIMKMKVKLTDVVA >fgenesh2_kg.8__1306__AT5G54110.1 pep chromosome:v.1.0:8:16257045:16259136:1 gene:fgenesh2_kg.8__1306__AT5G54110.1 transcript:fgenesh2_kg.8__1306__AT5G54110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-associated mannitol-induced [Source:UniProtKB/TrEMBL;Acc:D7MTP4] MATGDQNPSVDKKKKNLFRLCPFWQRRRSTTSSSSSSTQNPNQNYRSRHGHRNTDISAVSKSSLTMSSVARSLLPARRRLRLDPSSYLYFPYEPGKQVRSAIKLKNTSKSHTAFKFQTTAPKSCYMRPPGGVLAPGESVFATVFKFVEHPENNEKQKLNQKSKVKFKIMSLKVKPGVEYVPELFDEQKDQVAVEQVLRVIFIDADRPSAALEKLKRQLDEAEAAVEARKKPPPETGPRVVGEGLVIDEWKERREKYLARQQVEAIDSSS >fgenesh2_kg.8__1309__AT5G54130.2 pep chromosome:v.1.0:8:16261100:16263943:1 gene:fgenesh2_kg.8__1309__AT5G54130.2 transcript:fgenesh2_kg.8__1309__AT5G54130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7MTP5] MKGRISKIGSYAISSSIRDQHQQQPCISCTTFNILAPIYKRLSHKDQSLRESDNRAYWLGRNHRILDWLLYERSSIICLQEFWVGNEELVNLYEKRLGDAGYLSYKLGRTNNRGDGLLTAVHKDYFRVVNSRDLLFNDCGDRVAQLLHVELVPPYSQYDAHQEVLIVNTHLLFPHDSTLSIVRLQQVYKILQYVESYQKEVNLSPMPIILCGDWNGSKRGHVYKFLRSQGFVSSYDTAHRYTDSDAHKWVSHRNHRGNICAVDFIWLLNPNRYRKLLKTSWSEAVFGMFRYLLRRASLTAEDAFAFLKTDNDGDHITFMGFCETLRQLNLTGHCNGLTTKEIKDLWIQADIDGNGLLDYKEFQQRIWNQTWSEQRDAEDGEAKGNQEQTVGFSVKNAVLFPPEVEKGMWPENYSLSDHARLTVVFSPIRMPCSQLVS >fgenesh2_kg.8__130__AT5G46620.1 pep chromosome:v.1.0:8:795016:796795:1 gene:fgenesh2_kg.8__130__AT5G46620.1 transcript:fgenesh2_kg.8__130__AT5G46620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKKLTGILGFGHNDGGGGHGAVREEDGDGGDNTGTVSGDGDKRREENQTRFRETGLPRKGFGVPVQVAVERSNPGPVLQPCAASDGGIQGLRWYSMRLKIDEDGDVADEFLEDHNCKTLPRKCKTKAAKVRGLVISSDGKLQPLMH >fgenesh2_kg.8__1310__AT5G54140.1 pep chromosome:v.1.0:8:16264312:16266506:1 gene:fgenesh2_kg.8__1310__AT5G54140.1 transcript:fgenesh2_kg.8__1310__AT5G54140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSISALLLLILVIASSVNGGDQEYSRQLLTEALGDKEWLVSIRRQIHENPELLFELHKTSALIRRELDELGVSYSYPVAKTGIVAQIGSGYPPVVALRADMDALPLQELVEWDHKSKIDGKMHACGHDSHTTMLLGAAKLLSKRKRMYNGTVRLLFQPAEEGGAGAFHMIKEGALGDSEAIFGMHVHTGLPTGELETISGPVMASTSIFSVRISGILPASSETYACVDPVLAASSTILALQLIVSREVDPLLSHVLSVTFMKSGGSEFDVIPAYVEFGGTLRSLTTDGMNLLIKRLKEVVEGEAEVHRCKVDIDMHEDDHPMYPATVNDHKLHEYAEKVLKLLLGPEKVKPGGKVMAGEDFAFYQQKIPGYYLGIGIRNEEIGSVHSVHSPYFFLDENVLPIGSASFAALAEMYLQEHRNQTKSGD >fgenesh2_kg.8__1311__AT5G54145.1 pep chromosome:v.1.0:8:16275390:16276006:1 gene:fgenesh2_kg.8__1311__AT5G54145.1 transcript:fgenesh2_kg.8__1311__AT5G54145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVVIITELLVEYTAALAKLTAGILPRRTGDRNSVRIGGFLLPTPSSTSMIPDFSSHLVDF >fgenesh2_kg.8__1312__AT5G54150.1 pep chromosome:v.1.0:8:16279395:16281489:-1 gene:fgenesh2_kg.8__1312__AT5G54150.1 transcript:fgenesh2_kg.8__1312__AT5G54150.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKARTKTSSLKEEGSPPLRGIFCVKTRQDMKRIEETEDCFILDFNPFDSFDVKRLSYSLDKDLEIIHETGQKKMEKKEEDDTPLRGIFCLKTRQDMKRFEETEDCFILDFDPFDSFDVKKLTFTDDDHHEGDKDLAIIHETGQCYCYVCDKPAPCAEWIISTSHCNAYDSTSWNLCRTK >fgenesh2_kg.8__1313__AT5G54160.1 pep chromosome:v.1.0:8:16327023:16329446:1 gene:fgenesh2_kg.8__1313__AT5G54160.1 transcript:fgenesh2_kg.8__1313__AT5G54160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase 1 [Source:UniProtKB/TrEMBL;Acc:D7MTQ7] MGSTAETQLTPVQVTDDEAALFAMQLASASVLPMALKSALELDLLEIMAKNGSPMSPTEIASHLPTKNPEAPVMLDRILRLLTSYSVLTCSNRKLSGDGVERIYGLGPVCKYLTKNEDGVSIAALCLMNQDKVLMESWYHLKDAILDGGIPFNKAYGMSAFEYHGTDPRFNKVFNNGMSNHSTITMKKILETYKGFEGLTSLVDVGGGIGATLKMIVSKYPNLKGINFDLPHVIEDAPSHPGIEHVGGDMFVSVPKGDAIFMKWICHDWSDEHCVKFLKNCYEALPEDGKVILAECILPETPDSSLSTKQVVHVDCIMLAHNPGGKERTEKEFEALAKASGFKGIKVVCDAFGVNLIELLKKL >fgenesh2_kg.8__1315__AT5G54180.1 pep chromosome:v.1.0:8:16333831:16335590:1 gene:fgenesh2_kg.8__1315__AT5G54180.1 transcript:fgenesh2_kg.8__1315__AT5G54180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC15 [Source:UniProtKB/TrEMBL;Acc:D7MTR0] MVILSLVSCSFSVFSPPISLRLHLPPVTSLYSNGTFPASSTFRSQRQPLLMSCLNQREPSLIFRCCCLSSNIDAGSQIESLFSLFRDIGFIEEETEMILAKNPDIKSASLDKIGARVASLQSLKIDGFALQGLIAKCPTLLTSEEFDPIISFLVDELEARLDPELVERLLSVVDTSILLSFNQKVRLLLLHGIPKEKISHVLNKVYLNKLLYQKSVEDIERLISFMEPFGGIGIIARRPVILNSDLDSQLIPRVDFIRNLSGEDDFATGTVLRRLPAILSYSVEHMNSHVEFLKSFAGLTSEQVFKIVHVFPNVISTSKERKLRPRIEFLKECGFDSPGMFKFLSKAPLILALSEDNLSHKLGFLVKIGYKHRTKELAFAMGAVTRTSSDNMQRVIGLYLSYGLSFEDILAMSTKHPQVLQYNYSSLEEKLEYLIEYMGREVEELLAFPAFLGYKLDSRIKHRYEEKLKSRGENMSLNKLLTVSDERFSKAADNIEMICL >fgenesh2_kg.8__1316__AT5G53742.1 pep chromosome:v.1.0:8:16342327:16343150:-1 gene:fgenesh2_kg.8__1316__AT5G53742.1 transcript:fgenesh2_kg.8__1316__AT5G53742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKCWPKLFPLNPLFPPLLKDGCSRIISDVKDVFLFPPSHNQKLIKNTKKKEEESKTIKLFFKISPGSIDPYESSLPPPLGAPAHTTPQFPFIPGSPNDLTKCLSSLVNVQGCVNEIHKSVFTGKFGNVGPMCCKAFSAVNAKCWPQMFPFNPFFPPLLKNECSRINAATPTHK >fgenesh2_kg.8__1317__AT5G54190.1 pep chromosome:v.1.0:8:16343976:16345779:-1 gene:fgenesh2_kg.8__1317__AT5G54190.1 transcript:fgenesh2_kg.8__1317__AT5G54190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAASLVSSAFSVPKDGKLNASSSSFKESSLFGVSLSEHSKADFVSSSLRCKREQSLRNNKAIIRAQAIATSTPSVTKSSLDRKKTLRKGNVVVTGASSGLGLATAKALAETGKWHVIMACRDFLKAERAAQSAGMPKDSYTVMHLDLASLDSVRQFVDNFRRAEMPLDVLVCNAAVYQPTANQPTFTAEGFELSVGINHLGHFLLSRLLIDDLKNSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSAMIDGGDFVGAKAYKDSKVCNMLTMQEFHRRFHEDTGITFASLYPGCIATTGLFREHIPLFRTLFPPFQKYITKGYVSETEAGKRLAQVVGDPSLTKSGVYWSWNKTSASFENQLSQEASDVEKARRVWEVSEKLVGLA >fgenesh2_kg.8__1319__AT5G54200.1 pep chromosome:v.1.0:8:16346333:16350100:-1 gene:fgenesh2_kg.8__1319__AT5G54200.1 transcript:fgenesh2_kg.8__1319__AT5G54200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MTR4] MKMMMMMNRRSNVVRFEAKKVDEEEEEDNNDDCFYESLDRVLSSCSCSTSNSDYDSDPNVSDSIHDPSPFPVPVFPIGSSGFELWKSEPESVKERRIRLLRGLGLSNEPDLPPVSQLRSRSRRNSSHFARSVSSDVLISNHHGKCVLRSDVAGSDNVDREYTGSRMRNDGVDFISKEPMVRSLRDIVEKESYGNGRDVVLEEQMCTIRNLDTGREFVVNEVREDGMLERLKEVGTDRQLTLEEFEMCVGTSPIVLELMRRQNVEDVCKDSVDLDTNVSGSRVTKHRRRGSWLKSIKNVASSVTGYKERRSTDDRDSPSERGGQRFSSATDDSRDMSFHGPERVKVRQYGKSCKELTALFKSQEIQAHKGSIWSIKFSLDGRYLASAGEDCVIQIWKVVESERKGELLSMDKQEDGSINLFLLANDSPEPVSMSPKRRGRTSFSRKSVSLDNVLVPEAVFGLSEKPVCSFVGHLDDVLDLSWSKSQHLLSSSMDKTVRLWDLSSKTCLKVFSHSDYVTCIQFNPVDDNYFISGSLDAKVRIWSIPDHQVVDWNDLHEMVTAACYTPDGQGALVGSYKGTCCLYNTHDNKLQQRREINLKNRKKKTHHKKITGFQFVAGSSSEVLVTSADSRTRVVDGVDLVHKFKGFRNTNSQISASLTSNGKFLVSASEDSNVYVWNYDSDSRAGRSKRVTVTNSYEHFYCRDVSVAAPWPGKMRNNQSNNSPQQSSFTANNAPPTPVNDPTNNKTVTNGIISSATNRYFFDRISATWPEEKLLLAAKNRTRTSPRVSVDMSNIQVNTKPSASAWSMVIVTGSLRGEIRTFQNFGLPVRL >fgenesh2_kg.8__1326__AT5G54250.1 pep chromosome:v.1.0:8:16420408:16424890:-1 gene:fgenesh2_kg.8__1326__AT5G54250.1 transcript:fgenesh2_kg.8__1326__AT5G54250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCNGC4 [Source:UniProtKB/TrEMBL;Acc:D7MU74] MATEHESTRASRFSRDSSSVGYYSEEDNTEEEEEDEEEMEEIEEEEEEEEEEDPRVGLACGGRRNGSSNNNKWMMLGRILDPRSKWVREWNKVFLLVCATGLFVDPLFLYTLSVSDTCMCLLVDGWLALTVTALRSMTDLLHLWNIWIQFKIARRWPYPGGDSDGDTNKGGGTRGSTRVAPPYVKKNGFFFDFFVILPLPQVVLWVVIPSLLRRGSVTLVVSVLLVTFLFQYLPKIYHSIRHLRRNATLSGYIFGTVWWGIALNMIAYFVAAHAAGACWYLLGVQRSAKCLKEQCENTMGCDIRMLSCKEPVYYGTTVMVLDRARLAWAQNHQARSVCLDINTNYTYGAYQWTIQLVSNESRLEKILFPIFWGLMTLSTFGNLESTTEWSEVVFNIIVLTSGLLLVTMLIGNIKVFLHATTSKKQAMHLKMRNIEWWMKKRHLPLGFRQRVRNYERQRWAAMRGVDECEMVQNLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFTKGETIQKEGDAVQRMLFVVRGHLQSSQLLRDGVKSCCMLGPGNFSGDELLSWCLRRPFVERLPPSSSTLVTLETTEAFGLDAEDVKYVTQHFRYTFVNEKVKRSARYYSPGWRTWAAVAVQLAWRRYKHRLTLTSLSFIRPRRPLSRCASLGEDKLRLYTAILTSPKPNPDDFDDY >fgenesh2_kg.8__1327__AT5G54260.1 pep chromosome:v.1.0:8:16427743:16433346:1 gene:fgenesh2_kg.8__1327__AT5G54260.1 transcript:fgenesh2_kg.8__1327__AT5G54260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREDFSDTLRVLVATDCHLGYMEKDEIRRHDSFKAFEEICSIAEEKQVDFLLLGGDLFHENKPSRTTLVKAIEILRRHCLNDKPVQFQVVSDQTVNFQNAFGHVNYEDPHFNVGLPVFSIHGNHDDPAGVDNLSAIDILSACNLVNYFGKMVLGGSGVGQISLYPILMKKGSTTVALYGLGNIRDERLNRMFQTPHAVQWMRPEVQEGCDVSDWFNILVLHQNRVKSNPKNAISEHFLPRFLDFIVWGHEHECLIDPQEVSGMGFHITQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPTKIPLTSVRPFEYTEIVLKDEGDIDPNDQNSILEHLDKVVRNLIEKASKNAVNRSEIKLPLVRIKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKASKKGRSEANIDDSERLRPEELNQQNIEALVAESNLKMEILPVNDLDVALHNFVNKDDKLAFYSCVQYNLQETRGKLAKDSVAQKFEEDDLILKVGECLEARRYKFSYFLPHIERLKHRSTRPTDSSQFLSTGLTSENLTKRSSGIADASFSDDEDTTQMSGLVPATRGRRGSSTANTSRGRAKAPTRGRGRGKASSAMKQTTLDGSLGFRQSQRSASAAASASFKNASTIGEDDVDSPSSEEAEPEDFTKLDSTSEDDESTKGKGRKRPATTKRGRGRGSGTSKRGRKTESSSSLHRLLSSKDDDDDEDDEDIEKKLNKSQPRVTRNYGALRR >fgenesh2_kg.8__1328__AT5G54270.1 pep chromosome:v.1.0:8:16435293:16436588:1 gene:fgenesh2_kg.8__1328__AT5G54270.1 transcript:fgenesh2_kg.8__1328__AT5G54270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7MU76] MASTFTSSSSVLSPTTFLGQTKASSFNPLRDVVSLGSPKYTMGNDLWYGPDRVKYLGPFSVQTPSYLTGEFPGDYGWDTAGLSADPEAFAKNRALEVIHGRWAMLGAFGCITPEVLQKWVRVDFKEPVWFKAGSQIFSEGGLDYLGNPNLVHAQSILAVLGFQVILMGLVEGFRINGLDGVGEGNDLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWAFATKFAPGA >fgenesh2_kg.8__1330__AT5G54290.1 pep chromosome:v.1.0:8:16449802:16452746:1 gene:fgenesh2_kg.8__1330__AT5G54290.1 transcript:fgenesh2_kg.8__1330__AT5G54290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein family [Source:UniProtKB/TrEMBL;Acc:D7MU78] MNLSVNRCITGGFSSCRLNQEKKWVRAAKNCELQRETSLVSDAVSLHRKESKSMKLAMLASGLGVANLVTFSSAKAADLKMIVLDQATSIYILAEGSLGDSVGNFLYSANQQANEAVQDQLSALSVTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKSRGQVIGDTVAFALGLATTLALLGIVASFAGKAYGQIGQGLPVAASGLAIVMGLNLLEIIELQLPSFFNNFDPRAAAANFPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPVIGGSLLLTYTTGYVAPLIVAASFAGALQSLLSLRKVSAWINPISGALLLGGGLYTFLDRLFPAATMVM >fgenesh2_kg.8__1331__AT5G54300.1 pep chromosome:v.1.0:8:16452729:16454112:-1 gene:fgenesh2_kg.8__1331__AT5G54300.1 transcript:fgenesh2_kg.8__1331__AT5G54300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLPNSSFKTTATVVIAGVLSIATAVILTVPPVSHFVVSCFPIIYDNTVFLLKPPYLYLVINCIIVCIVATSKLTHKSSSVDGSEISEVITPVLVPVHLPSDIDTGYLNVVHVVSDYTGFVEKIDDVSINSTVEDAQKFPEVQEAEKSKQSSDSPEQETEMPKLKNDSPEISILKHSTRKPPRFHQQKSLKSNSEGGNKKTALGVVTKPPRRQDTLETTWKKITEGRSTPLTKHLTKSDTWQERAHVQSSPEKKKMTKSENLNDINTPAEEEEKTVLKREPSPGQEELNRRVEAFIKKFNEEMRLQRLESLAKYNEMVNGGTPL >fgenesh2_kg.8__1332__AT5G54310.1 pep chromosome:v.1.0:8:16455968:16460156:-1 gene:fgenesh2_kg.8__1332__AT5G54310.1 transcript:fgenesh2_kg.8__1332__AT5G54310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 5 [Source:UniProtKB/TrEMBL;Acc:D7MU80] MNEKANVSKELNARHRKILEGLLKHPENRECADCKTKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEEKRWVSRGEKGRSPPRVEQERRKSVERSGPGYEHGHSSSPVNLFEERKTIPVSRTRNNVGATRISLPVPPQGPSQVIKPQQKMESAAAPVETEKQAVNVAPASDPPKVDFATDLFNMLSMDEATPADEATPADDNSWAGFQSAGSGQTAEKIVTAKPAESSSPPAPATGIEDLFKDTPNLTTQQAPKDVKGDIMSLFEKTNIVSPFAMHQQQVAMLAQQQALYMAAAKAAGGTPNGVNQQAIANALNVASANWSNTGGYQIPGMTNPVGGQADLQKLMQNMNMNANMNMRPAQPQENTLQYPSSSFYTMGQANQVNGMTPNSTGKPQSSSAAQPTSTTPSSQSGKDFDFSSLMDGMFTKH >fgenesh2_kg.8__1334__AT5G54380.1 pep chromosome:v.1.0:8:16478416:16481193:-1 gene:fgenesh2_kg.8__1334__AT5G54380.1 transcript:fgenesh2_kg.8__1334__AT5G54380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTKSLLVLLLFLSCYTTTSSALFNPPDNYLISCGSSQNITFQNRIFVPDSLHSSLVLKIGNSSVATSSTTSNSTNSIYQTARVFSGLASYRFKITSLGRHWIRLHFSPIKNSTWNLTCASITVVTDDFVLLNNFSFNNFNGSYIFKEYTVNVTSEFLTLSFIPSNNSVVFVNAIEVVSVPDNLIPDQALALNPSTPFSGLSQLAFETVYRLNMGGPLLTSQNDTLGRQWDNDAEYLHVNSSVLVVTANPSSIKYSPSVTQETAPNMVYATADTMGEANVASPSFNVTWVLPVDPEFRYFVRVHFCDIVSQALNTLVFNLYVNDDLALGSLDLSTLTNGLKVPYFKDFISNGSVESSGVLTVSVGPDSQADITNATMNGLEVLKISNEAKSLSGVSSVKSLVPGGSDKEKKKAVIIGSAVGAVTVVLLIAVCCYCCLAASRKKRSTSPQEGGNGHPWLPLPLYGLSQTLTKSTASHKSATASCISLASTHLGRCFMFQEIMDATNKFDESSLLGVGGFGRVYKGTLEDGTKVAVKRGNPRSEQGMAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGADLPPLSWKQRLEVCIGAARGLHYLHTGASQSIIHRDVKTTNILLDENLVAKVADFGLSKTGPSLDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCCRPALNPVLPREQVNIAEWAMVWQKKGLLDQIMDSNLTGKVNPASLKKFGETAEKCLAEYGVDRPSMGDVLWNLEYALQLEETSSALMEPDDNSTNHIPGIPMAPMEPFDNSMSIIDRGVNSGTGTDDDAEDATTSAVFSQLVHPRGR >fgenesh2_kg.8__1335__AT5G54390.1 pep chromosome:v.1.0:8:16495180:16497359:1 gene:fgenesh2_kg.8__1335__AT5G54390.1 transcript:fgenesh2_kg.8__1335__AT5G54390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVESLETEIDTAVRVVHLASSLCVKVQEKLHLPNGGHVKSKDDDSPVTVADFGVQAIVSWVLAEVFGDQNLSIVAEEDTETLSDADSLGLLGAVSNAINDALSEAPKYGLPKPDKPLGSTEILKAISRCNSVGGPKGRHWVLDPVDGTLGFVRGDQYAVALALIENGKVLLGVLGCPNYPVKKECLSNGCNQAMKTKSVPGSVSKGCVMYAKRGSGQAWMQPLIVGGIPESATLLKVSSVDDPVLATVCEPVERANSNHLFTAGLANSMGVRKQPMRVYSMVKYAAIARGDAEVFMKFAQSSYKEKIWDHAAGVVIVEEAGGVVTDAGGKNLDFSKGVYLEGLDRGIIACSGQVLHEKIIGAVYASWESSSL >fgenesh2_kg.8__1337__AT5G54330.1 pep chromosome:v.1.0:8:16504993:16506063:1 gene:fgenesh2_kg.8__1337__AT5G54330.1 transcript:fgenesh2_kg.8__1337__AT5G54330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLNQPLKLLCFRRKPVLVRSSLMQTPPCSIVGVQPCGADHLGRLDVMMMSRRTCLEKKVPLELVHSDPMVTIGSSHGWVATLKDDGILRLQDDLNPAASDMNPKRIPLPPLVTLPHCQTQIVTNVSMSSSSPEDEDCVVAVKFLGPQLSFCRPAQRKPEWINVRIANPCFYSSRVMYSEKDDMFRIPGSGGHLIGSWDLGTHMHTPKIQKLRFKKFPNLAKTTRKLLDSCCTSEHLVESRSTGGEMFLVKWYKRTSEIVNGVAKMGTEALMVFKLDEQGNAVYTQDIGDLCMYLSKSEPFCVPASSFPGLPSNQVIIYDFDEAGFFFLDNLRFIGGNSTFRAPFHIPPPNMNN >fgenesh2_kg.8__1338__AT5G54320.1 pep chromosome:v.1.0:8:16507366:16508475:1 gene:fgenesh2_kg.8__1338__AT5G54320.1 transcript:fgenesh2_kg.8__1338__AT5G54320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLNQPLKLLCFRRKPVLVRSSPLLSNDGLSSSLLQTPPCFIVSAKRCGRLAKEGKLVILNPYEDDCTSLDKKVPMALLYDNELVTIGSSHGWIATLSQDDGLLRLQDDLNPVASDTNPKRIPLPPLVTLPHCQTQIVTNVSLSASSPEDEDCVVAVKFLGPQLSFCRPAQSNSEWINIRIENPCFYSSRVMYSKKDNMFCIPGSGGHLIGTWDLRTHKHNLQSLQFQNLPKLTKTKQKLLDSCCKSEHLVESPAGETFLVKWYKKSSGKIIKGIAQMKTQALMVFKLDEQGNAVYTQDIGDLCIFLSKSEPFCVPASSFPGLCPNTVTLFDCDEGGFVHLDDSTIITDVMPTSFKSNYLMPPQKLD >fgenesh2_kg.8__1339__AT5G54340.1 pep chromosome:v.1.0:8:16510150:16510877:1 gene:fgenesh2_kg.8__1339__AT5G54340.1 transcript:fgenesh2_kg.8__1339__AT5G54340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLEEKETVNNPPQYYNKIYICYLCKRAFPTHHALGGHGTTHKEDREMERQQIESRLLNKDKSNLLFGGSSQDVLSNDNHLGLSLGPLKSIEGSSSSSNVNPLLNVGVPRGPTDMNMNMNNYSSHALSTDDINLDLTLGPSKSIGGSNNIINNSSFDGNLIIPVCPRVSTILNIPPSITLPHLNINLSHDSFSLQENGSGSSHS >fgenesh2_kg.8__133__AT5G46610.2 pep chromosome:v.1.0:8:802529:805576:1 gene:fgenesh2_kg.8__133__AT5G46610.2 transcript:fgenesh2_kg.8__133__AT5G46610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVHERGMEMDEEGSTKMKMKTKVLELPTKIKKILKSIWKVGKDDPRRVKHALKVGVSLTLVSLLYLMEPLFKGIGNSAIWAVMTVVVVLEFSAGATLCKGLNRGLGTLIAGSLAFFIEFVANDSGKIFRAIFIGAAVFIIGALITYLRFIPYIKKNYDYGMLIFLLTFNLITVSSYRVDTVIKIAHERFYTIAMGVGICLLMSLLVFPIWSGEDLHKSTVAKLQGLSYSIEACVNEYFEEEEKNEETSDLSEDTIYNGYKTVLDSKSADEALAMYASWEPRHTRHCHRFPWKHYVKVGSVLRLFGYTVVALHGCLKTEIQTPRPLRGLFKDPCVRLAGEICKVLSELAASIRNRRHCSPEILSDSLQVALQDLNTAIKSQPKLFLGANQTGNVSQGNSGRHNPNVAVSQHINKDTNDAASHQTVSRLEQNTGTPRGERLSRFGPNVSFSRLRADTLERRSAAAINERKILRQQLSKIVVMTSLEFSEALPFAAFASLLVEMVARLDNVIEEVEELGTIACFKEYDNNVDQKDVEVRVEKPVDLVVGVE >fgenesh2_kg.8__1341__AT5G54450.1 pep chromosome:v.1.0:8:16534208:16535332:1 gene:fgenesh2_kg.8__1341__AT5G54450.1 transcript:fgenesh2_kg.8__1341__AT5G54450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLRRLSELCLSKPASVRSSLLLSNGFSSSSLLQSPPCTIIGARRCGPYFGRLIIFNANEDDSTYLEKKVPMELVASDPMVVYNNATVTIGASHGWVATVNKDDGIMRLRDDLNPAASDTDPRSIRLPPLVTLPHCQTQIITNVAMSCSSPEDEDCVVAVKFLGPQLSFCRPARSHSDWTNIRIENPCFFSSRVMFSKKDDIHKHDPVLQRLRFRKLPELPKTKRELLDSCCITEHLVESPAGETFLVKWYRKTAPAPKTINGMVKMQTKTVMVFKLDEKGNAVYTQDIGDLYIFLSKSEPFCVPASSFPGMSSNRVEILDVNENVVVDLSDYSMTGGNARFGAPYSIPPQKLD >fgenesh2_kg.8__1344__AT5G54470.1 pep chromosome:v.1.0:8:16551084:16552010:-1 gene:fgenesh2_kg.8__1344__AT5G54470.1 transcript:fgenesh2_kg.8__1344__AT5G54470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKCELCCGVARMYCESDQASLCWDCDGKVHGANFLVAKHTRCLLCSACQSHTSWKASGLRLGPTVSICESCLARKKNHSSVAGKNQNLNQVEIISREDDDAESYDEESDEEEVENQVVPAAVAEELPVVSSSSSVSTGDGDLVVKRTRLDLDLNLSDEENESRPLKRLSRDEALSRSTVVMNSVVKLQGGRRKSEGCDTSSSSSFY >fgenesh2_kg.8__1346__AT5G54490.1 pep chromosome:v.1.0:8:16558799:16559312:1 gene:fgenesh2_kg.8__1346__AT5G54490.1 transcript:fgenesh2_kg.8__1346__AT5G54490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pinoid-binding protein 1 [Source:UniProtKB/TrEMBL;Acc:D7MU98] MASPKSPTRPNQENQEPKFQDFFPTMAGKLGGEGLIEELCKGFELLMDKDKGVITFESLRRNASTVLGLGDLTDDDVRCMINEGDCDRDGALNQMEFCVLMFRLSPELMEASRCVVTEVIEEEFSDYRHRH >fgenesh2_kg.8__1347__AT5G54500.1 pep chromosome:v.1.0:8:16567823:16569824:1 gene:fgenesh2_kg.8__1347__AT5G54500.1 transcript:fgenesh2_kg.8__1347__AT5G54500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVYIVYYSMYGHVEKLAEEIRKGAASVEGVEAKLWQVPETLHEEALSKMSAPPKSESPIITPNELTEADGFVFGFPTRFGMMAAQFKAFLDATGGLWRTQSLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMLFVPIGYTFGAGMFEMENVKGGSPYGAGTFAGDGSRQPTELELQQAFHQGKYIATITKKLKGSTA >fgenesh2_kg.8__1349__AT5G54530.1 pep chromosome:v.1.0:8:16604837:16606591:1 gene:fgenesh2_kg.8__1349__AT5G54530.1 transcript:fgenesh2_kg.8__1349__AT5G54530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLISRMILLFITLLLSFSLSSPYYPTVHEVLRSKGLPAGLLPQEVDSYILHNDGRLEVFLAAPCYAKFETNVHFEAVVRANLSYGSLIGVEGLSQKELFLWLQVKDIVVENPNSGVIVFDIGVAFKQLSLSLFEDPPKCNPDGVLKKKMRRDRGFEAQI >fgenesh2_kg.8__1350__AT5G54540.1 pep chromosome:v.1.0:8:16608528:16609989:1 gene:fgenesh2_kg.8__1350__AT5G54540.1 transcript:fgenesh2_kg.8__1350__AT5G54540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRAMATAAKVAGIGVAKGGFRGGFGFPPATEHFRVKTAAAAASKPVSASISSAVHPSVEEDGMIMQRPVWDDWEFAEEEPIPRVVFSKPPSLEEAKEATEDLKEAINMVYMSSPKSSAAMEGSNDGGAVSKMLSGFQSSENRAVESAVPQVALQAFAFLSENTAAQTVVASIACDPKVWDAVMENKDLMKFLQTNNTAASSQVESDNDDQSEHSSTTECEVMETKPMELLEILQDMKLKAVRLMENVSSYFGDLFGLGSVTEDGKDKKQTLFNDPRSLFGLAVVVIFMVVLKRA >fgenesh2_kg.8__1351__AT5G54550.1 pep chromosome:v.1.0:8:16610402:16626503:1 gene:fgenesh2_kg.8__1351__AT5G54550.1 transcript:fgenesh2_kg.8__1351__AT5G54550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MUA6] LPLEASHWETCVREILSNGFSSSSVQTPPCSIICADPCGAGLGKLVIMNANEGKCTDLEKKVPLELVDSDPMVTIGASHGWVATLKDDGVVRLQDDLNPVASDTNPKRIPLPPLVTLPRCQTQIVTNVAMSSSSPKDEDCVVAIKFLGPQLSFCRPAQSNSEWINIRIANPGFFSSRVMYSKKDDMFRIPGSGGHLIGSWDPRTEYHNPKIQRLRFRNLPELPKTKRELLDSCYRSEHLVESRTTGETFLVKWYKKATTKDTVKMKTKAVMVFKLDEKGNAVYTKDIGDLCIFLSKSEPFCSSSSMFPNCVDICDVDEAAIASLEYDSIIGGTYY >fgenesh2_kg.8__1352__AT5G54570.1 pep chromosome:v.1.0:8:16648872:16651499:-1 gene:fgenesh2_kg.8__1352__AT5G54570.1 transcript:fgenesh2_kg.8__1352__AT5G54570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7MUB4] MESLMRLVLVLLPFLVVFFVPLDHVSSESISRADFPDGFVFGTASSAYQFEGAVKEGNKGQSIWDTFTKKPGKILDFSNADTTVDQYHRFHSDIDLMKDLRMDAYRFSISWSRIFPNGTGEVNPDGVKYYNSLIDALLAKGIKPYVTLYHWDLPQALEDRYEGWLSREVVEDFEHYAFTCFKAFGDRVKYWITINEPHGVSIQGYDTGIQAPGRCSLLGHWFCKEGKSSVEPYVVAHNILLSHAAAYHTYQRNFKEKQRGQIGISLDAKWYEPMSDCDEDKDAARRAMDFGIGWFMDPLIYGDYPASMKSLVEERLPKITPEMSQSIKGAFDYVGINHYTALYARNDRTRIRKLILRDASSDSAVITSSFRGGVAIGEKAGSSWLHIVPWGIRKLAVYLKDMYGNPPVFITENGMDEKNKPFIDMEKALKDNKRISFHRDYLSNLSAAIRTDECDVRGYFVWSLLDNWEWNSGYTVRFGIYYVDYKNNLTRIPKASARWFQRILSGSTSSDSSKLQEATEQKEYKFQEK >fgenesh2_kg.8__1354__AT5G54585.1 pep chromosome:v.1.0:8:16657578:16658657:1 gene:fgenesh2_kg.8__1354__AT5G54585.1 transcript:fgenesh2_kg.8__1354__AT5G54585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLLELVVPCFGSQQFQESAATAEDSVSDETQTLMKQRRRRKRVRVAGQPGQVAEWRPSLSVISENKPAITKISEKDEEKKKVRRKSEGNGGGDASSLSGGGHVRFCSDDFGRNAFEPVIPAFSPTPFMF >fgenesh2_kg.8__1356__AT5G54590.2 pep chromosome:v.1.0:8:16665959:16668231:1 gene:fgenesh2_kg.8__1356__AT5G54590.2 transcript:fgenesh2_kg.8__1356__AT5G54590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MUB7] MEGESFGLIVGISLGLVIGVALAISALFCFRYHRKRSQIVNSGSRRSATIPIRENGADSCNVMSDSTIGPDSPVKSSKNGRSVWLEGFNKRSNVISASGILEYSYRDLQKATCNFTTLIGQGAFGPVYKAQMSTGEIVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLIGYCAEKGQHMLIYVYMSKGSLASHLYSEKHEPLSWDLRVYIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAANIRGTFGYLDPEYISTRTFTKKSDVYGFGVLLFELIAGRNPQQGLMELVELAAMNAEEKVGWEEIVDSRLDGRFDLQEVNEVAAFAYKCISRAPRKRPNMRDIVQVLTRVIKVRHCRKRQKNSPSPSPRPLPPPIVEESEGELTANGSLRSETHRRDNSVDSSIAEDL >fgenesh2_kg.8__1358__AT5G54600.1 pep chromosome:v.1.0:8:16668591:16669945:1 gene:fgenesh2_kg.8__1358__AT5G54600.1 transcript:fgenesh2_kg.8__1358__AT5G54600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L24, chloroplast [Source:UniProtKB/TrEMBL;Acc:D7MUB8] MATMSALQSSFTSLSLSSNSSFLGQRLISPISLSVASPVKPAENPCLVLAKLKRWERKECKPNSLPILHKMHVKFGDTVKVISGRDKGKIGEVTKIFTHNSTIVIKDVNLKTKHMKSREEGEPGQIVKIEAPIHSSNVMLYSKEKDVVSRVGHKVLEDGQKVRYLIKTGELIDTIEKWKQLKEAKDKETTQVAVTSAS >fgenesh2_kg.8__135__AT5G46590.1 pep chromosome:v.1.0:8:814157:815651:-1 gene:fgenesh2_kg.8__135__AT5G46590.1 transcript:fgenesh2_kg.8__135__AT5G46590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC096 [Source:UniProtKB/TrEMBL;Acc:D7MRC4] MGSSCLPPGFRFHPTDEELIEYYLKRKVEGLEIELEVIPVIDLYSFDPWELPDKSFLPNRDMEWFFFCSRDKKYPNGFRTNRGTKAGYWKATGKDRKITSRSSSIIGYRKTLVFYKGRAPLGDRTNWIMHEYRLCDDDTSQGSQNLKGAFVLCRVAMKNEIKTNSKIRKIPSEQTIVSGESSGFSSRVTSPSRDETMPFHSFVNPVSTETDSSNIWISPDFILDSSKDYPQIQDVASQYFQQDFDFPIIGNQNMDYSASTSLDQNMDESMQTSYWTNYGYDQTGLFGYSDFS >fgenesh2_kg.8__1362__AT5G54650.1 pep chromosome:v.1.0:8:16692403:16696948:-1 gene:fgenesh2_kg.8__1362__AT5G54650.1 transcript:fgenesh2_kg.8__1362__AT5G54650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:D7MUC1] MVGMIQGGMGDQNWTRLVFWLILLSGFLVITLEENSDKDEIFLSQFMAPSTGQVNEQMEETSWAQRCWQDSDCVKEAVAAFNLCFPGSKDSRELFGLNHSHLKQALLDCIQEKGKLNAHNPNYLELLSSMLDIPRRNLATRPGSSPSPSPLPSRPPKHSPGTRRPPTRSRSPPPRKSSFPPSRSPPPPPAKKNVSKNSTSAPVSPAKRKEDHEKTIIIAVVVTAVSTFLFAALFFLCCTRVCGNGSGGRKNDERPLLSLSSSDYSVGSSINYGGSVKGDKLGHQSFNIYSNQGKMSSFDGSNSDNSDSLEERLSHEGMRNNSITNHGLPPLKPPPGRTASVLSGKSFSGKVEPLPPEPPKFLKVSSKKGSAPPPPVPAPPMPSSAGPPRPPPPAPPPGSGGPKPPPPPGPKGPRPPPPMSLGPKAPRPSSGPATASDDDAPKTKLKPFFWDKVQANPEHSMVWNDIRSGSFQFNEEMIESLFGYAAADKNKNDKKGSSGQAALPQFVQILEPKKGQNLSILLRALNATTEEVCDALREGNELPVEFIQTLLKMAPTPEEELKLRLYCGEIAQLGSAERFLKAVVDIPFAFKRLEALLFMCTLHEEMAFVKESFQTLEVACKELRGSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRTEGVRAARTIRESQSFSSVKTEDLLAEETSEETEENYRNLGLQKVSGLSSELEHVKKSANIDADGLTGTVLKMGHALSKARDFVNSEMKSSGEESGFREALEDFIQNAEGSIMSILGEEKRIMALVKSTGDYFHGKAGKDEGLRLFVIVRDFLIILDKSCKEVREARGRPVRMARKQGSTASSSSETPRQTPSLDPRQKLFPAITERRMDQSSSDSD >fgenesh2_kg.8__1365__AT5G54680.1 pep chromosome:v.1.0:8:16714279:16716502:1 gene:fgenesh2_kg.8__1365__AT5G54680.1 transcript:fgenesh2_kg.8__1365__AT5G54680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPENANWICDLIDADYGSFTIQGPGFSWPVQQPIGVSSNSSAGVDGSAGNSEASKEPGSKKRGRCESSSATSSKACREKQRRDRLNDKFMELGAILEPGNPPKTDKAAILVDAVRMVTQLRGEAQKLKDSNSSLQDKIKELKTEKNELRDEKQRLKTEKEKLEQQLKAMNAPQPSFFPAPPMMPTAFASAQGQAPGNKMVPIISYPGVAMWQFMPPASVDTSQDHVLRPPVA >fgenesh2_kg.8__1366__AT5G54690.1 pep chromosome:v.1.0:8:16716453:16719103:-1 gene:fgenesh2_kg.8__1366__AT5G54690.1 transcript:fgenesh2_kg.8__1366__AT5G54690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MUC4] MQLHISPSLRHVTVVTGKGLREFIKVKVGSRRFSYQMMFYSLLFFTFLLRFVFVLSTVDTIDGDPSPCSSLACLGKRLKPQLLGRRVDSGNVPEAMYQVLEQPLSEQELKGRSDIPQTLQDFMSEVKRSKSDAREFAQKLKEMVTLMEQRTRTAKIQEYLYRHVASSSIPKQLHCLALKLANEHSINAAARLQLPEAELVPMLVDNNYYHFVLASDNILAASVVAKSLVQNALRPHKIVLHIITDRKTYFPMQAWFSLHPLSPAIIEVKALHHFDWLSKGKVPVLEAMEKDQRVRSQFRGGSSVIVANNKENPVVVAAKLQALSPKYNSLMNHIRIHLPELFPSLNKVVFLDDDIVIQTDLTPLWDIDMNGKVNGAVETCRGEDKFVMSKKFKSYLNFSNPTIARNFDPEECAWAYGMNVFDLAAWRRTNISSTYYHWLDENLKSDLSLWQLGTLPPGLIAFHGHVQTIDPFWHMLGLGYQETTSYADAESAAVVHFNGRAKPWLDIAFPHLRPLWAKYLDSSDRFIKSCHIRAS >fgenesh2_kg.8__1367__AT5G54730.1 pep chromosome:v.1.0:8:16725055:16728698:-1 gene:fgenesh2_kg.8__1367__AT5G54730.1 transcript:fgenesh2_kg.8__1367__AT5G54730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNGDGSSPKSPDGVMSRSARSSFRALSNCLKVISSGASTVARSAVSAASSAVRDVDSHHDQVLWAGFDNLQKEDGDTRRVLLLAFKSGFQVWDVEDTENVHVIVSTHDGQAFFMQMLLNPIKSGALDDRFYKSRPLLAVCGDSWEEHSSKKISSDNSGSETVATPTNVYVYSLKSQSYVHTLKFRATIYSVRCCSRIVAVQQAAQIDCFDAATLEMDYTIVTNSIVCGSSGVGYGPLAVGPRWIAYSGSRIATSSSAIFTSELLSLSSSPSVAQFARDSSKQLASGIVNLGDKGYKSLTRYCAEVLPNPYIPGLKSIGVGNENVPDAESIGMVIVKDITNKSVITQFKAHKSPISALCFDPSGLLLVTASIQGHNINVFRIMPTISTSRAVKKTTFAHLFRLQRGFTNAVIQDICFSSDSNLIVVSSSRGTSHLFEINPEKEGDSPVPMSAISRIRSGNSSGWIGTVSDAASAAAGMVGGSVPGTITSTFCYCDEKSNNNYYGSVADMCSKTNLLVFAPSGCMTQYALRENAAGAGHETAAMMGFDFESGLETEGKLAVDPIRRWSIIQNRSRRETQDHHSDIYGGGTSVDSKSKVFPEVVRKQSVEEAWKVTKKGKTHVDDNRHLYIFEAEQQTHLPTQLPLWERRKFRFQKLALNRGEDISGGGGEMEIEGIQTRTIEARTRDLVPVWGYLHSPRSQQVTNESMQSPSTTTEDDKVAPLEGHGTETDLGAVHSEEETQSESVDKEGIAEEKNHSEDEDEEQVD >fgenesh2_kg.8__1368__AT5G54740.1 pep chromosome:v.1.0:8:16730089:16730913:-1 gene:fgenesh2_kg.8__1368__AT5G54740.1 transcript:fgenesh2_kg.8__1368__AT5G54740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7MUC6] MAKLILVFATLALFILLANASIYRAVVEFDEEDDVSNPQQDKCQREFMQHQQLRGCKQWIRKRAQQGRIGYEADDFELTLDVDFEDDENPTPQQHQPALRMCCNELRQVDKMCVCPTLKKAAQQVRFQGMHGQQQMKHVFQTAQNLPKICKIPAVESCQFKASPY >fgenesh2_kg.8__136__AT5G46580.1 pep chromosome:v.1.0:8:823832:825967:1 gene:fgenesh2_kg.8__136__AT5G46580.1 transcript:fgenesh2_kg.8__136__AT5G46580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MRC5] MATVLTTAIDVCFNPQNSDTKKHSLFLKPSLLRQSRTRKLNISCSSLKQPKTLEEEPITTKTPSLSEQLKPLSATTLRQEQTQILSKPKSVWVNPTRPKRSVLSLQRQKRSAYSYNPQIKDLRAFAQKLNSSNFTEKSEFLSLLDEIPHPPDRDNALLVLNSLREWQKTHTFFNWVKSKNLFPMETIFYNVTMKSLRFGRQFYLIEEMALEMVKDGVELDNITYSTIITCAKRCNFYDKAIEWFERMYKTGLMPDEVTYSAILDVYSKLRKVEEVLSLYERAVATGWKPDAIAFSVLGKMFGEAGDYDGIRYVLQEMKSMDVKPNVVVYNTLLEAMGRAGKPGLARSLFNEMLEAGLTPNEKTLTALVKIYGKARWAKDALQLWEEMKAKKWPMDFILYNTLLNMCADIGLEEEAERLFNDMKESVQCKPDNFSYTAMLNIYGSGGKAEKAMKLFEEMLEAGVQVNVMGCTCLVQCLGKAKRIDDLVYVFDLSIQRGVKPDDRLCGCLLSVMALCESSEDAEKVMACLERANRKLVTFVNLIVDEKTEFETVKEEFKLVINATQVEARRPFCNCLIDICRGKKRHERAHELLYLGTLFGLYPGLHNKTIKEWSLDVRSLSVGAAETALEEWMRTLANIIKRQEDLPELFLAQTGTGTHRFSQGLANSFALHLQQLSAPFRQSDRAGIFVATKEDLVSWLESKFPPLVTSQA >fgenesh2_kg.8__1372__AT5G54760.1 pep chromosome:v.1.0:8:16740479:16742647:1 gene:fgenesh2_kg.8__1372__AT5G54760.1 transcript:fgenesh2_kg.8__1372__AT5G54760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDSQVPTAFDPFADANVEDSGAGTKEYVHIRVQQRNGRKSLTTVQGLKKEYSYTKILKDLKKEFCCNGTVVQDSELGQVIQLQGDQRKNVSTFLVQAGLVKKDNIKIHGF >fgenesh2_kg.8__1375__AT5G54780.1 pep chromosome:v.1.0:8:16745797:16749164:-1 gene:fgenesh2_kg.8__1375__AT5G54780.1 transcript:fgenesh2_kg.8__1375__AT5G54780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGAFAEPADSYYQIRPECNDVPNTKFKIKPGKTLSVRKWQAAFTTEGFLDIGKTLSRIQRGGIHPSIRGEVWEFLLGCYDPKSTFEEREQIRQRRRLQYASWKEECKQMFPVIGSGGFITAPVITNKGEPIYDPIVLQETNLGANGSDFFKDLASRGPLDKKVIQWLLTLHQIGLDVNRTDRTLVFYEKKENLSKLWDILALYAWIDNDVGYCQGMSDLCSPMIMLLEDEADAFWCFERLMRRLRGNFRDTGRSVGVEAQLTHLASITQIIDPKLHHHLENLGGGDYLFAIRMIMVQFRREFSFCDSLYLWEMMWALEYDPEMYSLYEEPQFEGERTEGSSKGKPKSINQCGKYERENMKNGGKSAEGPLPISVFLVASVLKDKSSKLMTEARGLDDVVKILNDITGNLDAKKACTGAMKLHKKYLKKVWFFNYPFS >fgenesh2_kg.8__1376__AT5G54790.1 pep chromosome:v.1.0:8:16752296:16753942:-1 gene:fgenesh2_kg.8__1376__AT5G54790.1 transcript:fgenesh2_kg.8__1376__AT5G54790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSMNNAFIMGKHETATFTGDQNPEESSWTMYFEDFFEASSSIVDVGDCSSSSVSDAASFVATKKTLHVSKQEGSNLDIKRTRNREIPFGRRHDLEDTASSPSHSPNVYSMMNLQDNNTRHGGGIVADDEKRESAVQNQGGLSIDLKKKGLCLVPLSMVTNFLG >fgenesh2_kg.8__1378__AT5G54810.1 pep chromosome:v.1.0:8:16780377:16782761:-1 gene:fgenesh2_kg.8__1378__AT5G54810.1 transcript:fgenesh2_kg.8__1378__AT5G54810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase [Source:UniProtKB/TrEMBL;Acc:D7MUW5] MAASGTAATFRASVSSAPSSSSSQLTHLRSSPFKALKFTPLPSSRSKSSFSVTCTIAKDPPVLMTAGSDPTLWQRPDSFGRFGKFGGKYVPETLMHALSELESAFYALATDDDFQRELAGILKDYVGRESPLYFAERLTEHYRRENGEGPLIYLKREDLNHTGAHKINNAVAQALLAKRLGKKRIIAETGAGQHGVATATVCARFGLECIIYMGAQDMERQALNVFRMRLLGAEVRGVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVRDFHAVIGKETRRQALEKWGGKPDVLVACVGGGSNAMGLFHEFVNDTEVRMIGVEAAGFGLDSGKHAATLTKGDVGVLHGAMSYLLQDDDGQIIEPHSISAGLDYPGVGPEHSFFKDMGRAEYYSITDEEALEAFKRVSRLEGIIPALETSHALAYLEKLCPTLSDGTRVVLNFSGRGDKDVQTVAKYLDV >fgenesh2_kg.8__137__AT5G46570.1 pep chromosome:v.1.0:8:826071:829187:-1 gene:fgenesh2_kg.8__137__AT5G46570.1 transcript:fgenesh2_kg.8__137__AT5G46570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MRC6] MGCLHSKTANLPSSDDPSAPNKPESVNGDQVDQEIQNFKEFELNELRKATNGFSPSCIVSEGGEKAPNVVYRGKLEGNHLVAIKRFSRQSWPDAQQFVVEATGVGKLRNKRIVSLIGCCAEGDERLLVAEYMPNDTLSKHLFHWEKQPLPWDMRVRIADFIAQALDYCNIENRKIYHDLNAYRILFDEEGDPRLSTFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIFSYGTILLDLLSGKHIPPSHALDIIRGKNALLLMDSSLEGQYANEDATKLVELASKCLQSEAKDRPDTKFLLSAVAPLQKQEEVASHVLMGLPKNTVILPTMLSPLGKACAKMDLATFHDILLKTGYRDEEGAENELSFQEWTQQVQEMLNTKKFGDIAFRDKDFKNSIEYYSKLVGMMPVPSATVFARRAFSYLMTDQQELALRDAMQAQVCIPEWPTAFYLQALALSKLGMETDAQDMLNDGAAYDAKRQNSWRC >fgenesh2_kg.8__1380__AT5G54840.1 pep chromosome:v.1.0:8:16802073:16803970:-1 gene:fgenesh2_kg.8__1380__AT5G54840.1 transcript:fgenesh2_kg.8__1380__AT5G54840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7MUW9] MAEKVHEIAGKLTPFCRKIVHVNIKWRIIERVSIFGDFFRFLWRKIVSCSSIIEKPILYRQIVHRISSTAGDIFDGDDDTATEHTVSTRRFGSGSDSDLVSLKISLLGDCQTGKTTFVIKYVGDENQGFLEMTGLNLMDKTFYVQGVTISFSIWDVGGDEKRSKDHIPIACKDAVAILFMFDLTSRSTLNRVFGWYSQARKWNKTAIPILIGTKFDDFVRLPPNLQWTIVTQARAYAKVMKASLFFSSATHNINVNKIFKFILAKLFNLPWKIDRNLTLGEPIIDYDS >fgenesh2_kg.8__1384__AT5G54860.1 pep chromosome:v.1.0:8:16813406:16815926:1 gene:fgenesh2_kg.8__1384__AT5G54860.1 transcript:fgenesh2_kg.8__1384__AT5G54860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7MUX2] MIHWLKQLRSAFGVAFLWLVCLIYFTQGFRSFVWTAVSYQLKDRLQLSPSASQFVFSVAFFPWSIKPLYGIVSDCIPIGGKKRTPYLVLSTVLSLVPWLFLGLDSTSRSSSLYLMIFLTVQNLGSAMADVVIDAMIAEAVRLEKASFAGDLQSVSWFAMAVGGVCGSLLGGYALSNLKIETIFLLFTVLPTLQLLSCALVEEIPANNEPLPELLDSNEFEEKSKLSSDNYRDTNKSSTRRRKGQKKGKKGASNGKSEKQKKQSKSLASQWFQSLKAATFGLGRAFKQPIILRPMAWFFIAHITVPNLSTVMFYYQTEVLQLDAAFLGTARVVGWLGLMFGTFIYNRYLQDMTLRKSLLFAHIGLSITILLDMVLVSRENVGYGVSDKTMVLFGSALGDAINQLKFMPFLILSGRLCPPGIEGTLFALFMSINNLGNTVGSFMGAGLASLLGISSGSFENMFMGLAIQVFCTYIPVLFLFLIPKEATGVSAS >fgenesh2_kg.8__1388__AT5G54900.1 pep chromosome:v.1.0:8:16824316:16827253:1 gene:fgenesh2_kg.8__1388__AT5G54900.1 transcript:fgenesh2_kg.8__1388__AT5G54900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPPSNAAGAGQIPSGQQQLWIMMQQQQQQQQQQQQQQQRQSAALLGQQQYGVGSQNPGSEVKSLWIGDLQQWMDENYIMSVFNQSGEAQSAKVIRNKLTGQSEGYGFIEFINHSVAERVLQTYNGAQMPSTEQTFRLNWAQAGAGEKRHQTEGPDHTIFVGDLAPEVTDYMLSDTFKNVYGSVKGAKVVVDRTTGRSKGYGFVRFADENEQMRAMTEMNGQYCSTRPMRIGPAANKNALPMQPAMYQNTQGGNAGDSDPNNTTIFVGGLDANVTDDELKSIFGQFGELLHVKIPPGKRCGFVQYANRATAEHALSVLNGTQLGGQSIRLSWGRSPNKQPDQAQWNGGGYYGYPPQPQGGYEPYGYAAQPNQDPNAYYGGYTGYGNYQQQRQVTQLH >fgenesh2_kg.8__1389__AT5G54910.1 pep chromosome:v.1.0:8:16828311:16831466:-1 gene:fgenesh2_kg.8__1389__AT5G54910.1 transcript:fgenesh2_kg.8__1389__AT5G54910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase [Source:UniProtKB/TrEMBL;Acc:D7MUX7] MVKLKKTKGMRKQIRLNEVEEINLLNQWIESQKPDSGSNPLSLRPLPKDSKIGKFEDGKNGTVFSRYAGVRKFAQLPISDKTKRGLKDAKYVDMTDVQSAAIPHALCGRDILGAARTGSGKTLAFVIPILEKLHRERWSPEDGVGCIIISPTRELAAQTFSVLNKVGKFHKFSAGLLIGGREGVDVEKERVNEMNILVCAPGRLLQHMDETPNFECSHLQILILDEADRVLDSAFKGQLDPIISQLPKHRQTLLFSATQTKKVKDLARLSLRDPEYISVHEEAPTATPASLMQTVMIVPVEKKLDMLWSFIKTHLNSRILVFLSTKKQVKFVHEAFNKLRPGIPLKSLHGKMSQEKRMGVYSQFIERQSVLFCTDVLARGLDFDKAVDWVVQVDCPEDVASYIHRVGRTARFYTQGKSLLFLTPSEEKMIEKLQEAKVPVKLIKANNQKLQEVSRLLAALLVKYPDLQGVAQRAFITYLRSIHKRRDKEIFDVSKLSIENFSASLGLPMTPRIRFTNLKTKKKGVFESSIAMEPENAQEYEAPLVVKKDLLGEDLEEEDFALKPRGEGKEVEKSTKEEEVPMQGTRVLKNKKLKINLHRPFGSRVVLDEEGNSLAPLASVAATAGTEVALDEEKRKDFYKKVGAEMRKADAEDKKVEREKRREKRMKQKIKRKRGAMEDEEEEEEEEEGHDGSGSSEEETGRNRKRAKKIVSDNEENEGKINTDSLSVAQLEEMALKFITQ >fgenesh2_kg.8__138__AT5G46560.1 pep chromosome:v.1.0:8:837033:839207:-1 gene:fgenesh2_kg.8__138__AT5G46560.1 transcript:fgenesh2_kg.8__138__AT5G46560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIPRKRPKSETRTPKRNPKSSSSPIRSMLEPPQSFFPSKEEFFRLLKVLLVACVVAFTCNFLAKSLSSNPTKSFCDSNYDSIESDLDFCEPCPINGECCQGKLKCNLGYKKQRNLCVEDGEINESTKKLVGYFERKVCEAYAHNECYGTGAIWVPENDVWEELRSNSFLNNLDESAYNFLKGKAVEAVTELLEKRTNSNGRKLQTLDLPHASMALEAHLNYLVRMCNGILVGSAILRRKIQRKRYFSRRVEELYDQVCDFLEENAVASNSADTSNCEPWVIASWLRDYLLLPRERRDPLLWTKVEELIKEDSRIDQYPKVVKGEQKVVYEWQVEGSLSLSKLKKQRETQKKVRKSIGPSTSLQENYNRRMPRQVLSTFTKEQYRSLL >fgenesh2_kg.8__1391__AT5G54920.2 pep chromosome:v.1.0:8:16831846:16835808:1 gene:fgenesh2_kg.8__1391__AT5G54920.2 transcript:fgenesh2_kg.8__1391__AT5G54920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAKKLEDEISRSSPSSSSSLNEALLFSTMCIIGLQVHVHISDGSVFSGIFYTISLENEFSIVLKNAKLTKKGRSKSNVASGNIVETLVILSSNIVQIVAEGVSLSSNVAGEMEGENVVSAVGVSSETRSCIANKSIDSGKNRRGTNRRRNSAKRENRLENKARTLTSGKVNGTAGAMKEPGERDEAGILQNKHHPSSLNHQRQAGARILKHSKKNTDVHQEDNVEARSSSCSLDNMSERVKPMGQENTMPEPSSNGFHDPAERPSSTENSSSQSTTLVENSEMSRALVASTNRLLPTQATDPDQKAKEFKLNPGAKTFSPSLAKRLTSAHDGMTPVVANMGYVPSNTPMLPVPEVVQPEIGVSPLLSHASSPSKFVPYTNLATGNTGGGSHFPQHMVGPTINRGQPHRFTTQYHSVQATPMLVNPNPQVMVGRSGQLMYMQPISQDLVQGAPHNSHLPPRPLFASQQLQYPKHQSLIATGQPMQLYAPQPFAANGHQPYTVMPTDIPVMQPPFPINRVIPIPVPNGFYGTKFQ >fgenesh2_kg.8__1395__AT5G54960.1 pep chromosome:v.1.0:8:16843063:16845059:-1 gene:fgenesh2_kg.8__1395__AT5G54960.1 transcript:fgenesh2_kg.8__1395__AT5G54960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate decarboxylase-2 [Source:UniProtKB/TrEMBL;Acc:D7MUY2] MDTKIGSIDVCNPTHDDIGGPPNGGVSTVQNSSPLHSITVSPCEATLGRYLARRLVEIGVTDVFSVPGDFNLTLLDHLIAEPSLKLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFTQELRCFQAVTCYQAVINNLEEAHELIDTAISTALKESKPVYISISCNLPAIPLPTFSRHPVPFMLTPKVSNQMGIDAAVEAAAEFLNKAVKPVLVGGPKMRVAKAADAFVELADASGYGLAVMPSAKGQVPEHHKHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVTIGNGPAFGCVLMKDFLSGLAKRIKHNNTSYENYHRIFVPEGKPLRDNPNEPLRVNVLFQHIQNMLSSETAVLAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQAMPNRRVIACIGDGSFQVTAQDVSTMIRCGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTAFVDAIHNGEGKCWTAKVRCEEELVKAINTATNEEKDSFCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >fgenesh2_kg.8__1396__AT5G54970.1 pep chromosome:v.1.0:8:16846720:16847296:1 gene:fgenesh2_kg.8__1396__AT5G54970.1 transcript:fgenesh2_kg.8__1396__AT5G54970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDISKSPARRSDGYHRYLKPGALAQIRNSRINARSTTSLVSRSSLSQLLDPISPSQNSVDEAAAARNLTIDQVPHLLRKIYGPYSYQRKKLAAARSVSSMMMMNINPPINSVLVEPSSDVIAH >fgenesh2_kg.8__1397__AT5G54980.1 pep chromosome:v.1.0:8:16849972:16850761:1 gene:fgenesh2_kg.8__1397__AT5G54980.1 transcript:fgenesh2_kg.8__1397__AT5G54980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 2D1 [Source:UniProtKB/Swiss-Prot;Acc:D7MUY4] MRANNNNTREEERSSSSKQQQPQAHMSLKIIDSCLRLSVVPLSVATIWLTVTNHESNPDYGNLDYNSIMGLKYMVGVSAISAIYALLSTISLWVTCLVSKAWLFFVPDQVLAYVMTTSVAGATEIVYLLNKGDKIVTWSEMCSSYPHYCSKLTIALGLHVFVLFFFLFLSVISAYRAFSPFDPPCDSQTNIDA >fgenesh2_kg.8__1398__AT5G54990.1 pep chromosome:v.1.0:8:16852283:16852966:1 gene:fgenesh2_kg.8__1398__AT5G54990.1 transcript:fgenesh2_kg.8__1398__AT5G54990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MUY5] MRDSYKHELISTSTNETDRVINRCFLRKKTTQEIIHLRAFQVEESDSYSFTVTYQPNLRIRHENLDGHELKTTIERHRDRALQLEDSIPKKFLVSQETCLEHVMIILSAMYLPHSIQERLVRYISTESVKFSNRRRGRGGGLRVEVDVKVDVEQWVRIDCCCKQKGTCLVPAMDCPICLTELSSEVSRMELPCSHVFHRDCVMTWLKMKPSCPICRTKPLGETVSIY >fgenesh2_kg.8__139__AT5G46540.1 pep chromosome:v.1.0:8:843684:848882:1 gene:fgenesh2_kg.8__139__AT5G46540.1 transcript:fgenesh2_kg.8__139__AT5G46540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:p-GLYCOPROTEIN 7, PGP7 [Source:UniProtKB/TrEMBL;Acc:D7MRC9] MAEKAKKKKKGEGGTQRIAFYKLFTFADRYDIALMVIGTLSAMANGLTQPFMAILMGQLINVFGFSDHDHVFKEVFKVAVKFLYLAAYAGVMSFLQVSCWMVTGERQSTRIRRLYLKTILRQDIGFFDTETNTGEVIGRMSGDTILIQDSMGEKVGKFTQLVSSFVGGFTVAFIVGRKLTLALLPCIPLLVGTGGAMTYIMSKKAQRVQLAYTEAGNVVQQAVGSIRTVVAFTGEKQAMEKYEKKLEIAYRSMVKQGLYSGLGIGIMLVVVYCTYGFAIWYGARLIMEKGYTGGQVINVIMSILTGGMALGQTLPSLNSFAAGTAAAYKMFETIKRRPKIDAYDMSGKVLEEIKGDIELRDVYFRYPARPDVQIFAGFSLTVPNGMTMALVGQSGSGKSTVISLIERFYDPESGEVLIDGIDLKKFQVKWIRSKIGLVSQEPILFATTIRENIVYGKKDASDQEIRTALQLANASKFIDKLPQGLETMVGEHGTQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESERIVQDALVKLMLSRTTVVVAHRLTTIRTADMIAVVQQGKIIEKGTHDEMIKDPEGTYSQLVRLQEGSKKEEAEPEKCEMSSEIERSDNQNGIHRRNSSSSRHSLTLTSPFGLPGVISLNQTEEFPENIPSTENQTAKKSKKLSLRRLAHLNKPEISVLLVGSLAAVIHGIVLPVQGLLLSHTIRIFFEPFNQLKNDSHFWALIFVSLGLTNLIVIPFQNYFFAIAGGKLIKRIRSLSFDKVLHQDISWFDDTTNSSGAIGARLSTDASTVKSIVGDALGLIMQNMATIIAAFIIAFTANWLLALMALLVAPVMFFQAYYQIKFITGFGAKAKGKYEEASQVANDAVSSIRTVASFCAEDKVMDLYQEKCDVPKQQGFKLGLVSGLCYGGSFLALYLIESLCFVGGSWLIQTRRATFGEFFQVFFALTLTAIGVTQSSAMAPDINKAKDSAASIFDILDTKSKIDSSSEKGTVLPIVHGDIELQHVSFRYPMRPDIQIFSDLCLTISSGQTVALVGESGSGKSTVISLLERFYDPDSGKILLDEVEIQSLKLSWLREQMGLVSQEPVLFNETIRSNIVYGKTRGATEEEIITAAKAANVHNFISSLPQGYETSVGERGVQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLTTIKDADVIAVVKNGVIAESGRHETLMEISDGAYASLIAFHMSAN >fgenesh2_kg.8__1401__AT5G55020.1 pep chromosome:v.1.0:8:16866448:16868123:-1 gene:fgenesh2_kg.8__1401__AT5G55020.1 transcript:fgenesh2_kg.8__1401__AT5G55020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYGGGGAGKDGGSTNHLSDGGVILKKGPWTAAEDEILAAYVRENGEGNWNAVQKNTGLARCGKSCRLRWANHLRPNLKKGSFTGDEERLIIQLHAQLGNKWARMAAQILSLTINSIHIHNIIINNNNNNNIIPNHQLHPHPQHYHQQQQQQQHNHHHHHHHHQQQQHHHQQMYFQPQSSQPNTPSSSPLPSPTPVSANANSSSSFTFHTTTANLLHPLSPHTPNTPQTPSQLSSTPPPPPLSSPLSSPRNNQYPTLPLFALPSSQINNNNANFTFPRPPPLLQPPSSLFAKRYNNANTPLNCINRVSTAPFSPVSRDSYTSFLTLPYPSPTAQTATYHNTNNNYSSSPSFSLNPSSSSSYPTATSSPSFLHSHYTPSSTSFHTNPVYSMKPEQLPSNQIPQIDAFNNVNNFTDNERQNHNLNSSGVHRRSSSCSLLEDVLEEAEALASGGRGRPPKRRQLTASPPNNNDNNNNDNFFSVSFGHYDSSDNLCSVQGTI >fgenesh2_kg.8__1402__AT5G55040.2 pep chromosome:v.1.0:8:16869139:16873684:-1 gene:fgenesh2_kg.8__1402__AT5G55040.2 transcript:fgenesh2_kg.8__1402__AT5G55040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIARRRRKGRPSKADLAARRSSSSPGESGYELRRGQRQRNVRYNFDFDDYFDEEEEDDVEEEKKRQKKLKQVLKLNQSRARADPPIKSRARVRHASDYEEEEEEDDEDDEAEEEEEDVSEKRQVKKRKINRHDDEDEEEEKDYDVEEKEEEEADSEEYDDKERKRRSASGIQCDHFSETTPILDKKSLELILDKLQKKDIYGVYAEPVDPEELPDYHEMIEHPMDFSTVRKKLAHGSYSTLEELESDVLLICSNAMQYNSSDTVYYKQARTIQEMGKRKFDKARIKIKRAEKELKTDEKVKPGSSVKKQVRQPFSRNGLEPVGSDFSFGANLASGGASQNEPVLTQTGGHEKHSCTDVFFEGNASLVDNLEKAEDLSSGKGLVGKCGRKLSVVEEDRRATYENSDQQADRSESIFTTFESEIKQFVAVGLHAEHAYGRSLARFAATLGPVAWKIASQRIEQALPADFKFGRGWVGEYEPLPTPVLLFETYTPKEPPKEASVFSKPKSNAAAKKNETLFKTPLPVKEQQGNRPVRDGNRAFPFPTSIGASSEGSPSFVATQVGNLKSMSQHEYRNPSPLDFVKSQNRIPQQVELNLPPPAEQSNSGSTCVSENRSFGKSDTVASYRSSSDMMRNMTSKDSEHYKHQMTTNGIFPGGLSNGKDSPGVSNRMFDLSTDFANQMSRTATSSQQPMRQQSQSHGEQAQLMRNFNEKARTQHNSTYNHPKADAPPNVSSPQSARSEDSSNASVAAARAWMSIGAGGNNKQTFENPTNLRSSHISAESLYNPSREQLHQQAFKPRDPEETQFHPQRNGFPFQTFVHQPVHGMMNVGSQPIQNNRPIVFPQMAAPTSDFTRFHVQSPWRGGITPQVQLKQRQENLNLPPDLNIGVHSPDSPAKQSSGVRVDSQQPDLALQL >fgenesh2_kg.8__1404__AT5G55050.1 pep chromosome:v.1.0:8:16882600:16884975:1 gene:fgenesh2_kg.8__1404__AT5G55050.1 transcript:fgenesh2_kg.8__1404__AT5G55050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7MUZ1] MPTNNTPFLTIFLLFLGLFRFDSFPGLEAATGKLASVPGLYVFGDSLVDAGNNNHLLLSISKANYPHNGVDFPNKKPTGRFCNGKNAADAIAEKFGLPLPPPYLSLRGLLKREQRKSAAVTGVNFASGGAGIFNSSDEKLGQGIPLSKQVNNWLSIHEELMKLEPSEAQIHLSKSLFTVVIGSNDLFDYFGSFKLRRQSNPQQYTQLMADKLKEQLKRIHDSGARRFLIVGVAQIGCTPGKRAKNSTIHECDEEANMWCSLYNEALVKMLQQLKQELQGSLTYTYFDNYKSLHDIISNPARYGFADVTSACCGNGKLNADLPCLPLAKLCSDRTKYLFWDRYGHPTEAAARTIVDLMLTDDSHYSSPITLTQLVST >fgenesh2_kg.8__1405__AT5G55060.1 pep chromosome:v.1.0:8:16886986:16891662:1 gene:fgenesh2_kg.8__1405__AT5G55060.1 transcript:fgenesh2_kg.8__1405__AT5G55060.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAPPSLVSKARTAFNSAAAKAERVFTDLKSDREEEKQSTRDVNDSQEENEVKHQGWRTAPIRKKQEWQNKLKYLRIGRKEVEDQDKLEDSAMAAPFYDENLFILKAKQEQEAKASDVGCLVESLNAVDANSIPPASIVKQLAAAIETGKRAKTVKDFVASSGSSSPVRERGGLSLSAVKSLVLGEKEDKLGFDAGDEEKLVSLINALFNVDSGFLSRKIVSDLESPTNRPSFSKDLHAAPPSSFVVKLAEVIGSFTTPRRMALFWCKVVDEWIVLSVVEEILEPWIPLDNNPDLKSCLLHQWLQVINCCLARKARSVAASEALDAVMRQASSANEESDISEATGSLLYAKSNSGELILRLGVYHQVENLSMLETGEPVYAPITQEGPLLTEDLIRETEELVLRTGSMGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTENGNLSGDDSSPVRGQLSTRMQKEGNLWRELWETAKPLPAVKQAPLFDEELAVEGILNYLEDIPAAELFEQLFTSLLSLGFVMVEPVLATNDDLSKLFFECKDYVVAICQGGGWTDKLDDLCQVYETVETMLLSPEEVLRSMKQTEDSPSSGSETKRRFKRLGFIFRGSKEQKQTRVPSETEQKSTEPSPRQSFSSLFDGKSSLFAKRPPRPENVTLV >fgenesh2_kg.8__1406__AT5G55070.1 pep chromosome:v.1.0:8:16895851:16899392:1 gene:fgenesh2_kg.8__1406__AT5G55070.1 transcript:fgenesh2_kg.8__1406__AT5G55070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate dehydrogenase E2 subunit [Source:UniProtKB/TrEMBL;Acc:D7MUZ3] MMLRALIRRASTRGSSSASGLGKSLQSSRVVASAQFHSVSATETLVPRGNHSRSFHHRSCPGCPDCSRTVFNGYQGTALQRWARPFSSDSGDVVEAVVPHMGESITDGTLATFLKKPGDRVEADETIAQIETDKVTIDIASPASGVIQEFLVKEGDTVEPGNKVARISTSADAVSHVAPSEKTPEKPAPKPSPPAEKPKVESTKVAEKPKAPSPPPPPPKQSAKEPQLPPKDRERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRSQYKDAFFEKHGVKLGLMSGFIKAAVSALQYQPVVNAVIDGDDIIYRDYVDISIAVGTSKGLVVPVIRDADKMNFADIEKTINGLAKKANEGTISIDEMAGGSFTVSNGGVYGSLISTPIINPPQSAILGMHSIVQRPMVVGGSVVPRPMMYVALTYDHRLIDGREAVYFLRRIKDVVEDPQRLLLDI >fgenesh2_kg.8__1407__AT5G55090.1 pep chromosome:v.1.0:8:16906094:16907417:-1 gene:fgenesh2_kg.8__1407__AT5G55090.1 transcript:fgenesh2_kg.8__1407__AT5G55090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPKKK15 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MUZ5] MEELNWIRGPIIGRGSTATVSLAITNSGDFFAVKSTEFSSSAFLQREQSILSNLSSPYIVKYIGSNVTTENDKLMYNLLLEYVSGGSMHDLMKNSGGKLPEPVIRSYTRQILKGLMYLHDQGIVHCDLKSQNVMIGGEIAKIVDLGCAKTVVEDENLEFSGTPAFMSPEVARGEEQSFPADVWALGCLVIEMATGTSPWPELNDVVAAIYKIGFTGESPEIPVWLSEKGQDFLKKCLRKDSKERWSVQELLQHPFLDEEDDDEARNCLNSSSPSTVLDQGFWDLCETSRSRFIKADHEDPFANSTNFLWDDSLPGDRIKKLAGDENSGELEWETNGWIEVRGEIEKRNEEEDENCVEATSLEEEEEVGGFENWILDQQDSLFLEYSPEDNINYFYSYNNIFHEDIILYYDHLEDGFVLKDDDNNKKIRFFSHISSSCFEIT >fgenesh2_kg.8__1408__AT5G55100.1 pep chromosome:v.1.0:8:16913478:16917007:-1 gene:fgenesh2_kg.8__1408__AT5G55100.1 transcript:fgenesh2_kg.8__1408__AT5G55100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Swap (Suppressor-of-White-APricot)/surp domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MUZ7] MDLEIVGRHALFFDDDSMATFVNSPTALVDWNSLFIDRYDVRHLLSSAPPPRIKRRRPNSNDADLESELDHERYFDLPSESPSPSDDENDMNDVSANTNGDGYRAVPFAYGSSSDVSDQKDTDMESGFQPPFPVPDYLLQNLPPTEKLHQIITRTSSFVSKHGGQSEIVLRVKQGGNPTFGFLMPDHHLHPYFRFLVDHQELLTGKSSVEEKKNESEKDGGALFLLGSVYGTVEDEDANEESANDSKTSESAKGDDGVKVTDSNGPEWSKGAVKIASKHSLPLNDQASVIKRNPSVSAVNVVERKQINTEDNATEKLLTSDKSQPKLELQIVEPSTEMKRVIDKIVDFIQKNGKELEATLVAQDVKNGMFPFLRPASLYHAYYRKVLQEAEELKSCDKGVITRKEDVKQEKMGNAVKDGKYTFGSVLPDDSAKKEKLKVVSDKPKVELHNESFKPVQPQMRVNVDANTAAAILQAARRGIRNPQLGILSGKPMDETSQSLGNDGSYPSSKSPDLAKSSGQSLSGSTAPSEADSSEAGLSKEQKLKAERLKRAKMFVAKLKPDAHPVQQAEPSRSISVEPLDSGISGLGANAAKERDSSSIPSVAETKLADDGNSERRPKRNYRSRSHRDEDVKMEQEEGEEEESSMDEVTEETKTDKKHSSSRKRHIRHKHKTRYSSKDRHSRDKHKHASSSDDEYHSRSRHRHRYSKSSDRHELYDSSDNEGEHRHRSSKHSKDVDYSKDKRSHHHRSRKHDKHRDSSDDEHHHYNRHRSSRRKHEDSSEDEHGHRHKSSKRIKKDEKTVEEEAVSKSDQSDLKASPEDNIQYPRNEPTQVSDELRAKIRAMLADTLGDGR >fgenesh2_kg.8__1415__AT5G55140.1 pep chromosome:v.1.0:8:16930873:16932027:1 gene:fgenesh2_kg.8__1415__AT5G55140.1 transcript:fgenesh2_kg.8__1415__AT5G55140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30 family protein [Source:UniProtKB/TrEMBL;Acc:D7MV04] MSGFRAFKAQVPIEWSQSLYITLVRGLPGTRKLHRRTLEAMGLRRCHRTVLHSNNSSIRGMINQVKRMVVVETEEMYNARKEAEANHKALRPPLVISHSSPATDSSNMS >fgenesh2_kg.8__1416__AT5G55150.1 pep chromosome:v.1.0:8:16932321:16933448:-1 gene:fgenesh2_kg.8__1416__AT5G55150.1 transcript:fgenesh2_kg.8__1416__AT5G55150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MV05] MAVSSSSWSEFLPELLNAVFHNLNDARDILNCATVCSSWKDSSSAVYYSKKFAPFLFVSHLSSNEDIRFFDQFRVLSPGKLGFSGNQQAWVCGSTLGFLLTVNASFPFEVHLRNPFTKSVTSLPPLISFEDVQRLLQSQAIFPDSEALKNFVKKAVSSTSLLDDEWVVLVIYNTDRKLAFCRRGDKQWTDLESVASAVDDIVFCNGVFFAIDRFGEIYHCELSPNSPKAIPLCSSSPFRYDSCKKYFAESDYDELWVVLKKLELNDDCDFATSFEIYEFNRETNEWTKVISLRGKALFLSPQGRCIAVLAGERGSREFIKDNSVYFIDGDDPSIGGLGPQNLSVFEWESKQIMKIYQPRSWNCQMFWVAPTNVLQ >fgenesh2_kg.8__1419__AT5G55180.1 pep chromosome:v.1.0:8:16946903:16948776:1 gene:fgenesh2_kg.8__1419__AT5G55180.1 transcript:fgenesh2_kg.8__1419__AT5G55180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7MV09] MAVFVLSLVLLLSSFSAIPFTYADSGMIGVNYGRIADNLPAPEKVVELLKTQGINRVKLYDTEKTVLTALANSGIKVVVSLPNENLASAAADQSYTDTWVQENIKKYIPATDIEAIAVGNEVFVDPRNTTTYLVPAMKNVQSSLVKFNLDKSIKISSPIALSALASSYPPSAGSFKPELIEPVIKPMLDLLRKTSSHLMVNAYPFFAYAANADKISLDYALFKDNAGNVDSGNGLKYNSLLDAQIDAVFAAMSAVGFNDVKVVVTETGWPSAGDENEIGAGSANAAAYNGGLVKRVLTGNGTPLKPKEPLNVYLFALFNENQKTGPTSERNYGLFYPNENKVYDVSLSGKSTPVNDNKEKVVPVKPSHVGQTWCVANGKTTKEKLQEGLDYACGEGGADCRPIQPGATCYNPESLEAHASYAFNSYYQKNARGVGTCDFGGAAYVVSQPPKYGKCEFPTEH >fgenesh2_kg.8__141__AT5G46470.1 pep chromosome:v.1.0:8:997049:1001951:-1 gene:fgenesh2_kg.8__141__AT5G46470.1 transcript:fgenesh2_kg.8__141__AT5G46470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSRNWSYDVFPSFSGEDVRNTFLSHFLKELDSKLIISFKDNEIERSQSLDPELKHGIRNSRIAVVVFSKNYASSSWCLNELLEIVKCKKEFGQLVIPIFYHLDPSHVRKQTGDFGKIFEKTCRNKTVDEKIRWKEALTDVANILGYHIVTWDNEASMIKEIANDILGKINLSPSNDFEDLVGIEDHITRMSSLLHLESEEVRMVGIWGPSGIGKTTIARALFSQLSCQFQSSVFIDRVFISKSMEVYSGANLVDYNMKLHLQRAFLAEFFDKKDIKIDHIGAMENMVKHRKALIVIDDLDDQDVLDALAGRTQWFGSGSRIIVVTRNKHFLRANGIDHIYKVCLPSNALALEMFCRSAFRKSSPPDGFMELSSEVALRAGNLPLGLNVLGSNLRGRDKGYWIDMLPRLQGLDGKIGKTLRVSYDGLNNRKDEAIFRHIACIFNGEKVSDIKLLLANSNLDVNIGLKNLVDRSLICERFNTVEMHSLLQEMGKEIVRTQSDEPGEREFLVDLKDICDVLEDNAGTKKVLGITLDIDETDELHIHESSFKGMHNLLFLKIYTKKLDQKKEVRWHLPERFNYLPSKLRLLRFDRYPLKRLPSNFHPENLVKLQMQQSKLEKLWEGVHSLAGLRNMDLRGSKNLKEIPDLSMATNLETLKLSSCSSLVELPSSIQYLNKLNDLDISYCDHLETIPTGVNLKSLYRLNLSGCSRLKSFLDISTNISWLDIDQTAEIPSNLRLQNLDELILCERVQLRTPLMTMLSPTLTRLTFSNNQSLVEVPSSIQNLNQLEHLEIMNCRNLVTLPTGINLESLIALDLSHCSQLRTFPDISTNISDLKLSYTAIEEVPLWIEKLSLLCNLDMNGCSNLLRVSPNISKLKHLEGADFSDCVALTEASWNGSSSEMAKFLPPDYFSTVKLNFINCFNLDLKALIQNQTFSMQLILSGEEVPSYFAHRTTGSSISLPHISVCQSFFSFRGCTVIDVESFSTISVSFDIEVCCRFIDKLGNHVDSTDFPGYFRTTNLGAHLVIFDCCFPLNEDTTTFLDGQFNYDHMDIQFRLTNGNSQLKLKGCGILLSEDVPSLDNQPCSPNILPDVCEDSAVERSFRTKMRKMRRRLLKKVLNR >fgenesh2_kg.8__1421__AT5G55200.1 pep chromosome:v.1.0:8:16959990:16961946:1 gene:fgenesh2_kg.8__1421__AT5G55200.1 transcript:fgenesh2_kg.8__1421__AT5G55200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:UniProtKB/TrEMBL;Acc:D7MV12] MLVSRVLSRVSRSAGLRSSLSSVVTPKRNQIPIVSSRFHSLVHGNPNKLVAVPVSLLNHGTLDLNVLQRFGFFSSSSAEPKGNESYTEVPKTGEASEDVEVGRDTDAEIDFDDMSRDDLVKLVGEKEDLLKVQQEDIKEMKDKFLRTYAEQQNLMDRTKRNAENAKKFAVQNFATSLLDVADNLERASSVVKESFSKIDTSKDSAGAAPLLKNLLEGVEMTEKQLAEVFKKSGLVKEDPLNEPFDPNKHNAVFQVPDASKPKGTIAHVLKPGYSLYDRVIRPAEVGVTCAVENEEGEKESAA >fgenesh2_kg.8__1423__AT5G55220.1 pep chromosome:v.1.0:8:16962996:16966196:1 gene:fgenesh2_kg.8__1423__AT5G55220.1 transcript:fgenesh2_kg.8__1423__AT5G55220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7MV14] MELCVISTTTTAKAINPFLPSISRRVSSRLFQSDSVLQFGGRLKKPISSPLEMSCVSRNFGFLGGSISISGGGDFRLFAAASPAVETSVKEDKLPADLKVTETVQANSSVKLSVEVPAIVCEDCYQRVLTEFMKLSKVPGFRPKTRVPENIIVGFVGRQYVLRATVESILKRTLPHAMESVTGRALKDSIQIVSSFPDMEKAYSKLKTLSYEVVVDVVPELRWNPEDGYKNMKVVVELGDEIDAKKACERQLRQKYKSLGALKIVTDRGLQVGDLAVVDISATTIDEDGSTGQAIPDAESKGFHFDTEEGNRILPGFLDSIIGIRAGESKSFTLVFPESWKQESLRGQRAQFTVDCKELFYRDLPTLDDSLADKLLPGCTTLKEVEETLAKRCQEMEQEAKEQATDNAILDQIRKMVEVEIPQSLFEEQGRQFYGARLLEIQASGNMKLTEDQLASLSSQKAVNEFLETQRESITNIIKQNLAVGDIFKRENLEFSTDELVKEVENSISEFKKHKQEYDEERVKDQVQEILEGAKVLEWLKDRAEIQYITR >fgenesh2_kg.8__1424__AT5G55230.1 pep chromosome:v.1.0:8:16967486:16970855:1 gene:fgenesh2_kg.8__1424__AT5G55230.1 transcript:fgenesh2_kg.8__1424__AT5G55230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Atmap65-1 [Source:UniProtKB/TrEMBL;Acc:D7MV15] MAVTDAESPHLGEITCGTLLQKLQEIWDEVGESDEQRDKLLLQIEQECLDVYKRKVEQAAKSRAELLQTLSDANAELSSLTTSLGEKSLVGIPDKSSGTIKEQLAAIAPALEQLWQQKEERVREFSDVQSQIQKICGEIAGGLSNEVPIVDESDLSLKKLDDFQSQLQELQKEKSDRLHKVLDFVSTVHDLCAVLGLDFLSTVTEVHPSLDEETGVQSKSISNETLSRLAKTVLTLKDDKKQRLQKLQELATQLIDLWNLMDTPDEERELFDHVTCNISSSVDEVTVPGALARDLIEQAEVEVHRLDQLKASRMKEIAFKKQSELEEIYARAHVEINPESARERIMSLIDTGNVEPTELLADMDSQIAKAKEEAFSRKDILDRVEKWMSACEEESWLEDYNRDQNRYSASRGAHLNLKRAEKARILVSKIPAMVDTLVAKTRAWEEEHSMSFAYDGVPLLAMLDEYGMLRQEREEEKRRLREQKKVQEQPHIEQDSAFSTRPSPARPVSAKKTVGPRANNGGANGTPNRRLSLNANQNGSRSTAKEAGRRETLNRPAAPTNYVAISKEEAASSPVSGAVDHKVPASP >fgenesh2_kg.8__1425__AT5G55240.1 pep chromosome:v.1.0:8:16971388:16972586:1 gene:fgenesh2_kg.8__1425__AT5G55240.1 transcript:fgenesh2_kg.8__1425__AT5G55240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMERMERDAMETVAPYAPVTYHRRVRGNLDDTLPKPYLPRALQAPDMEHPQGTPEHRHNGLSVLQQHVAFFDLDDNGIIYPFETFTGFRLLGFNLLASLVLAAGINIALSYATLPGWLPSPLFPIYIHNIHKAKHGSDSKTYDNEGRYTPANLELMFSKYARTVSDKLSLGELWDMTEGNRDAFDFFGWLASKVEWGVLYALASDEEGFLSKEAIRRCFDGSLFEYCAKNYAEIKEYKTYY >fgenesh2_kg.8__1427__AT5G55250.1 pep chromosome:v.1.0:8:16973252:16976575:-1 gene:fgenesh2_kg.8__1427__AT5G55250.1 transcript:fgenesh2_kg.8__1427__AT5G55250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGDNVAVCNMKLERLLSMKGGKGQDSYANNSQAQAMHARSMLHLLEETLDNVHLNSSASPPPFTAVDLGCSSGANTIHIIDFIVKHISKRFDVAGIDPPEFTAFFSDLPSNDFNTLFQLLPPLVSNSCMEECLAADGNRSYFVAGVPGSFYRRLFPARTIAFFHSAFSLHWLSQVPESVTDRRSAAYNRGRVFIHGAGEKTATAYKRQFQADLAEFLRARAAEVKRGGAMFLVCLGRTSVDPTDQGGAGLLFGTHFQDAWDDLVREGLVAAEKRDGFNIPVYAPSLQDFKEVVEANGSFAIEKLVVYKGGSPLVVSEPDDASEVGRAFASSCRSVAGVLVEAHIGEELSNELFSRVERRATSHAKDVLVNLQFFHIVASLSFT >fgenesh2_kg.8__1428__AT5G55260.1 pep chromosome:v.1.0:8:16983892:16986060:1 gene:fgenesh2_kg.8__1428__AT5G55260.1 transcript:fgenesh2_kg.8__1428__AT5G55260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7MKF9] MSDIDQQIEQLKRCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTSYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALVENKIFCVHGGLSPAIMTLDQIRAIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVTSFNHSNNIDYICRAHQLVMEGYKWMFNSQIVTVWSAPNYCYRCGNVAAILELDENLDKEFRVFDAAPQESRGALAKKPAPDYFL >fgenesh2_kg.8__1429__AT5G55270.1 pep chromosome:v.1.0:8:16986550:16987453:1 gene:fgenesh2_kg.8__1429__AT5G55270.1 transcript:fgenesh2_kg.8__1429__AT5G55270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MKG0] MSLLLNQPLKICFRRKPGLVRSSPPLSNGFSTSLLQTPPCTIIDADPCGRGLGKLVVKYHNEYHLTDLEKKVAMELVDIPMATIGSSHGWVATLKEDGILRLQDDLNPNASYTEPKRIPLPPLVTLPHCQTQIVTNVSMSSSSPEDEDCVVAVKFLGPQISFCRPAKSNSEWINIRIANPCFYSSRVMFSEKDEMFRILGSGGHIIGSWDLHTQKQNPFQRLQFLNLPKLTKTKRELMDSCYKSEHLLESRTTGETFLVKWYRKAVWRGMSKLKTKALMVFKLDYKGNAV >fgenesh2_kg.8__142__AT5G46460.1 pep chromosome:v.1.0:8:1002243:1004348:-1 gene:fgenesh2_kg.8__142__AT5G46460.1 transcript:fgenesh2_kg.8__142__AT5G46460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MRE6] MWSRAIFQRFRFRAFSMSHVIHGKSFRSYSVTVEFQNREVLICNHLLNRRLDEAREVFDQVPSPHVSLYTKMISGYTRSNRLVDALNLFDEMPLRDVVSWNSMISGCVECGDIDTAVKMFDEMPERSVVSWTAMVNGCFRFGMVDQAERLFCQMPVKDIAAWNAMVHGYLQFGKVDDALKLFKQMPRKNVISWTTMICGLDQNERSGEALNLFKNMLRCCIKSTSRTFTCVITACANAPAFHMGTQVHGFIIKSGFLYEEYVTASLITLYANCKRTEDSRKVFGEMVHEKVAVWTALLSGYSLNRKHEDALNVFSEMIRNSILPNQSTFASGLNSCSALGTLDWGKEIHGVAVKLGLGTVAFVGNSLVVMYSDSGNVNDAVSVFIEIFKKSIVSWNSIIVGCAQHGRGKWAFVIFGQMIRLNKEPDEITFTGLLSACSHCGFLQKGRKLFYYISSGLNHIDRKIQHYTCMVDILGRCGELKEAEKLIESMVVKPNEMVWLALLSACRMHSDVDRGEKAAAAIFNLDSKSSAAYVLLSNIYASAGRWSSVSKLRVKMKQKGIMKKPGSSWVVIRGKKHEFFSGDRPHCLRIFEKLEFLREKLKELGYVPDYRSALHDVEDEQKEEMLWYHSERLAIAFGLINTVEGSTVTVMKNLRVCEDCHTVIKLISRVVGCKIVLRDPTRFHHFKNGMCSCGDYW >fgenesh2_kg.8__1431__AT5G55300.1 pep chromosome:v.1.0:8:16992116:16996635:-1 gene:fgenesh2_kg.8__1431__AT5G55300.1 transcript:fgenesh2_kg.8__1431__AT5G55300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOP1BETA [Source:UniProtKB/TrEMBL;Acc:D7MKG3] MGTETVSKPVMDNGCEDSDDDKAVVFKRRSTVASNSNQSKSNPQRSKAVPTTKESPMRSPVTSPNGTTPSNRTSTVKSSVPSSSAKASPAKSPLRNDTLSTVKDRSQLHKDQSKCKIEHEDSEDDRPLSSILSGNSNRQNKGPTSSRQVSSPQPEKKNNGDRPLDRANRIIKDESDDETPISLMFRKKIDSGMSGGKQISNDENKPLAKKLHQNGSALKNEVPNCKVLGKRPVEKNSSADQSSLKKVKLSASPTSVKMKQDSVKKESDDKGRVLGSPKPLKAKKLSTREDGTDDDDDVPISKRFKSDSSNSKTSSAKPKAVKQNSTSSAAKPKVTNVVSPRSRTTNKNSKKVTKDSKYSASSKSSPSSGDGQKKWTTLVHNGVIFPPPYKPHGIKILYKGKPVDLTTEQEEVATMFAVMRETDYYNKPQFRENFWNDWRALLGRKHVIQKLDDCDFTPIYEWHLEEKEKKKQMSTEEKKALKEEKLKQEEKYMWAVVDGVKEKIGNFRVEPPGLFRGRGEHPKMGKLKKRIRPCEITLNIGKGAPIPECPIAGERWKEVKHDNTVTWLAFWVDPINQKEFKYVFLAPSSAMKGLSDKEKYEKARNLTDHIDNIRTTYTKNFTAKDVTTKQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVGNVECIPPNKIKFDFLGKDSIQYVNTVEVEPLVYKAIGQFQAGKSKTDDLFDELDTSKLNAHLKELVPGLTAKVFRTYNASITLDEMLSQETKDGDLNQKIVVYQKANKEVAIICNHQRTVSKSHGAQIEKLTARIEELKEGLKELKTNLDWAKKGKPPLEGSDGKKIRSLEPNAWEKKIAQQSAKIEKMERDMHTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFTKSLLEKFAWAMDVEPDYRFSHRMV >fgenesh2_kg.8__1433__AT5G55320.1 pep chromosome:v.1.0:8:17008778:17009269:-1 gene:fgenesh2_kg.8__1433__AT5G55320.1 transcript:fgenesh2_kg.8__1433__AT5G55320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MKG6] PHFYEPYLATSLQDFWGRRWNLIVSASLRAIVYTPVRHVCQGVMSSNYAMLIGIFVTFVVSGVAHEVVFFYITREMPTGEVALFFVLHGVCTVAEVAVKRTAFVRRWPVRPAVSWLLTMGFVNVTAGWLFFPQLIRSNLMERCSNEISLLIDFFKRKLVDFPQ >fgenesh2_kg.8__1434__AT5G55330.1 pep chromosome:v.1.0:8:17011045:17012095:-1 gene:fgenesh2_kg.8__1434__AT5G55330.1 transcript:fgenesh2_kg.8__1434__AT5G55330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELNLFIEVWVSAIISVTYCYYLPLKIKTSVLRLLYVLPVCALFLALPMFFSTVHFSFTIAFFLSGLAVPKLILFALEKGPLFPLPHNLLQFICFACFPINFQINPNPGNPNHFPKWVFALKVLIFGALLLQVYDYKQFLPPNFLLGLYALHIYLELEISLTLIKFLVSITLGSTSLYDFWGHRWNLMVSKILWLAVYLPIRKWRAKSSEWDRFFAIFATFLVSGVAHEILYFYLTREKPTWEVTWFFVLHGLCMAAEVAVKRKTKLVQRWQVNPAVSRLLTVGFVFVTGVWLFSPHPIRHGLRERFIINEDLFLIDFFNRKLFILIGLFTSIG >fgenesh2_kg.8__1435__AT5G55340.1 pep chromosome:v.1.0:8:17015785:17020142:-1 gene:fgenesh2_kg.8__1435__AT5G55340.1 transcript:fgenesh2_kg.8__1435__AT5G55340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-alcohol O-fatty-acyltransferase family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MKG9] MDEELKNLIKVWVSAIISISYCYYLPPRIKSGVPRFLSVSPVLALFLVLPLFFSSLHLSLITAFFLTWLANFKLILFSFDKGPLIPIPTNLSRFFCFTCFPIKVQQNPKSQNHLPKLVFAIKVAIFGVLLHLYGYRQNLSPTVLLGLYFVHLYLEIEIILTFVKVVVFISLGCDLEPQSNKPYLATSLQDFWGRRWNLMVPAILRPAVYAPMRRVSERKMSSGWALFPGILAAFIVSGLVHELLFFYMIREMPTGEVTLFFVLHGVCTAAELAVKKKTTVMQRWRLSPAVSRLITVGFVFVTGGWLFTPQLKRSGVVERFTYEAVLFVEFVKHKL >fgenesh2_kg.8__1436__AT5G55350.1 pep chromosome:v.1.0:8:17024978:17026015:-1 gene:fgenesh2_kg.8__1436__AT5G55350.1 transcript:fgenesh2_kg.8__1436__AT5G55350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELMSLIKVWVYATISISYCYYISTRIKAGVFRLLSVLPVCVLFLVLPLFVSSVHFSGSTAFFLAWLANFKLILLSFDQGPLFPLPPNLSRLICFTCFPIKLQQNPKPQNQLPKWVFAIKLAIFGLLLYMYAYKQYMSPTVLLVLYSLHIYLEYEILLAPLKVLLSISLGCDLEPQFNEPYLATSLQDFWGRRWNLMVPAILRPAVYVPVRRMAGRKMNSDQALFLGVFATFLVSGVVHELIFFYFTRESPTGEVTLFFVVHGVCTAAEGAAKRTSLVRRLKMSQMVSRLLTVGFVVLTGGWLFFPQLTRSGMIERLADEAFLFIDFVKHKFFYLWRNKSLQT >fgenesh2_kg.8__1437__AT5G55380.1 pep chromosome:v.1.0:8:17030115:17031358:-1 gene:fgenesh2_kg.8__1437__AT5G55380.1 transcript:fgenesh2_kg.8__1437__AT5G55380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKFRNLIEVWISALISLSYCYYISSKLSKGVLRLLSILPICILFFVLPLFLSCVHCCAISALFLSWLANFKLLLFAFDEGPLFPLPPKLSRFICFACLPIKIRHNPSPNAITNLHLRKHEPMPKWVLAVKILVLGVLLHVYEYRDGLPRFVVLALYCLHIYLEVELVLVFVGAVVSTLLGCNIEPVFNEPYLATSLQDFWSRRWNLMVSAVLRSTVHIPVQRFFKRLLSPNGAMFAGVMASFFVSGLMHELLYFYMIRKPPTWEVTCFFVLHGAATATEIGVKRTQWWRPPHRAVSGLAALTFVSVTGVWLFLPQVLRNNVHEKAISECLLVLHLVKRKLFTSS >fgenesh2_kg.8__1438__AT5G55390.1 pep chromosome:v.1.0:8:17031439:17037962:-1 gene:fgenesh2_kg.8__1438__AT5G55390.1 transcript:fgenesh2_kg.8__1438__AT5G55390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVDDDEEEDFSVPQSASNYYFEDDDKEPVSFARLPIQWSGKDKVDGSSLGFYLRGRSDNGLLPLHKLVKAWRFDLSNFRPEVSVLTKDNIWIKLEEPRKSYGELIRTVFVTLHSIQFLRRNPQASEKSLWEKLTKSLRSYDVKPSQNDLVDHIDLISEAAERDVNLGKSKFILAFLTKKPTKRRLPDEDNAKDDFIVGDEDTYVASDEDELDDEDDDFFESVCAICDNGGELLCCEGSCLRSFHATKKDGEDSLCDSLGLNKMQVEAIQKYFCPNCEHKIHQCFICKNLGSSDNSTGAAEVFQCVSATCGYFYHPRCVTRRLRLGNKDDSEALERQIIAGEYTCPLHKCSVCENGEVKTDSNLQFAVCRRCPKSYHRKCLPREISFEDIADEDIFTRAWDGLLHNRVLIYCQEHEIDEELLTPLRDHVKFPFTEEKKVFIKEQRRILESHVGRDKARPKVKDPALQDTCGKASDKSFRSSFPSSKDGFSTKKHGLGSSVPDHSRKRKDIDPSIKHKMVPQKSHKMMENSREAGKNRLGVKETRESGKSKVSLGERLFNYIQEPNLVKPGRMIPVDSKHNKTDSIASKEPGSEIPTLDNDSQRRLLAVMKKATEEITMGTMLKKFKVQSTMNTNSTRNVVDKTITMGKVEGSVQAVRTALKKLEEGGNIEDAKAVCEPEVLSQILKWKDKLKVYLAPFLHGARYTSFGRHFTNPEKLQQIVDRLHWYAEDGDMIVDFCCGSNDFSCLMNTKLEETGKKCLYKNYDLFQAKNNFNFERKDWMTVSKDELEPGSKLIMGLNPPFGVNASLANKFITKALEFRPKILILIVPPETERLDKKKSSYVLIWEDKTFLSGNSFYLPGSVNEEDKQLEDWNIVPPPLSLWSRSDFAAKHKKIAEKHCHLSRDVGSSKIKIVEEEAKASLHPLGPSDGMCDDIPVEKDELEVAECVHKILLSEKIETPEAVAREHQSDHLLQRSQLKRKEKTKDYSGRKRGKSVDSNNVDWKSNDMEEDRGELTRAPESIKVKVPEMTSDWQSPVRSSPDDIYAVCTSISNTTPQRSHESVEASLPAITRTKSNLGKDIREPDCKVQGSGKPEVTRNRSSSVRTSREDIYTVRPSPENTGQKPLEAFEPSYGAGLSHFDDGLAARYGFGGGYRMPDPPFLPDQFPLRNGPNEMFDFRGYSDLDRGVGQREYPQQYGGHLDPMLAPPPNLMDNAFPLQQRYASHFDQMNYQRMSSFPPPPPMQPTGHNLPNPHGFSLQPPPPSDFEMSPLGFAPGPNPNYPYMSRSGGWIND >fgenesh2_kg.8__1441__AT5G55410.1 pep chromosome:v.1.0:8:17044213:17044943:1 gene:fgenesh2_kg.8__1441__AT5G55410.1 transcript:fgenesh2_kg.8__1441__AT5G55410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7MKH4] MGKNNTKFLMQFAALAMVLTVAIMVKEATSMSICNMDTNDMQKCRPAITGNNPPPPVNECCVVVRGANLECLCRFKFYLPILRIDPSKVAALVAKCGVTTVPRACQV >fgenesh2_kg.8__1442__AT5G55420.1 pep chromosome:v.1.0:8:17045487:17045742:1 gene:fgenesh2_kg.8__1442__AT5G55420.1 transcript:fgenesh2_kg.8__1442__AT5G55420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MKH5] ILMQFSALAVVLTAAIMVKEATSIPICNIETNDLGKCGPAFTGNNPPPPGPDCCAVVKAANLQCLCPYKPFLSRFGIDPSKVRPL >fgenesh2_kg.8__1444__AT5G55450.1 pep chromosome:v.1.0:8:17048139:17048840:1 gene:fgenesh2_kg.8__1444__AT5G55450.1 transcript:fgenesh2_kg.8__1444__AT5G55450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7MKH7] MGKNNTRFLMQFAVLAIVLSAAIMVKEATSIPVCNIDTNDLEKCRPAVTGNNPPPPGPDCCAVARAANLQCLCPYKPYLSTVGIDPSRVRPLLANCGLNSPSCF >fgenesh2_kg.8__1445__AT5G55460.1 pep chromosome:v.1.0:8:17049476:17050033:1 gene:fgenesh2_kg.8__1445__AT5G55460.1 transcript:fgenesh2_kg.8__1445__AT5G55460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNNTRTHVKFPALVIVLAALVLMEEPTSIPTSVTGDNPPSPRKECCEVLQAANLECICRFKYFLPVLAVYPSKVQALLSKCGVTTIPPACQGKIKIP >fgenesh2_kg.8__1446__AT5G55470.1 pep chromosome:v.1.0:8:17050130:17053426:-1 gene:fgenesh2_kg.8__1446__AT5G55470.1 transcript:fgenesh2_kg.8__1446__AT5G55470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATNHX3 [Source:UniProtKB/TrEMBL;Acc:D7MKH9] MGIGLTEFLTNKLAAEHPQVIPISVFIAILCLCLVIGHLLEENRWVNESITAILVGALSGTVILLISKGKSSHILVFDEELFFIYLLPPIIFNAGFQVKKKKFFHNFLTIMSFGVIGVFISTVIISFGTWFLFPKLGFKGLSARDYLAIGTIFSSTDTVCTLQILHQDETPLLYSLVFGEGVVNDATSVVLFNAVQKIHFESLNGWTALRVFGNFLYLFSTSTLLGIAVGLVTSFVLKTLYFGRHSTTRELAIMVLMAYLSYMLAELFSLSGILTVFFCGVLMSHYASYNVTESSRITSRHCFRQLHIFAMMSFIAETFIFLYVGTDALDVTKWNTSSLSVGGTLGVSSVITGLVLLGRAAFVFPLSILTNFMNRHTERNESITFKHQVIIWWAGLMRGAVSIALAFKQFTYSGVTLDPVNAAMVTTTTIVVLFTTLVFGFLTKPLVNYLLPHDASHNTGNRGKRTEPGSPKEDATLPLLSFDESASTNFNRAKDSISLLMEQPVYTIHRYWRKFDDTYMRPIFGGPRRENQPEC >fgenesh2_kg.8__1447__AT5G55480.1 pep chromosome:v.1.0:8:17054852:17058810:1 gene:fgenesh2_kg.8__1447__AT5G55480.1 transcript:fgenesh2_kg.8__1447__AT5G55480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7MKI0] MHGLQASKFLLLALILIQLLSTQLFAQRSKSPWQTLTGDAPRIIARGGFSGLLPDSSIDAYSFVSQTSVPGTLLWCDVQLTKDAIGICFPDVKMMNASHIQDVYPKRKNSYLLNGVLTQDWFTIDFNFKDLNTVFLKQGILSRSDAFEGNNYAISTVKDISTQLKPEGFWLNVQHDAFYAQHNLSMSSFLLSISKTVIIDYLSSPEVNFFRNIGSRFGRKGPKFVFRFLEKDDVEVSTNQTYGSLAGNLTFVKTFASGILVPKSYIWPVESQYLLPHTSFVQDAHKAGLEVYASGFANDFDLAYNYSFDPLAEYLSFVDNGDFSVDGVLSDFPLTASSAVDCFSHLGSNASSQVDFLVISKNGASGDYPGCTDLAYTKAIKDGADVIDCSLQMSSDGIPFCLSSINLGDSTNVVQSPFRNRSTAVPEIGSLPGIYSFSLAWSEIQTLRPAIENPYSREFTLFRNPREKSSGKFVTLSDFLNLAKNSSSLAGVLISVENATYLREKQGLDAVKAVLDTLTEAGYSNKTTTTRVMIQSTNSSVLVEFKKQSRYETVYKVEEVIRDILDSAIEDIKKFADAVVIRKTSVFPTSESFTTGQTKLVERLQKFQLPVYVEVFRNEFVSQPWDFFADATVEINSHVTGAGINGTITDFPLTAARYKRNSCLTRKDPPPYMIPVQPAGLLTIVSPASLPPAEAPNPVITDADVTEPPLPPVTARAPTTTPGPQSTGEKTPNGQTRVAQSLLLSAFATVFASLLLV >fgenesh2_kg.8__1448__AT5G55490.1 pep chromosome:v.1.0:8:17059437:17061913:-1 gene:fgenesh2_kg.8__1448__AT5G55490.1 transcript:fgenesh2_kg.8__1448__AT5G55490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRKFLMFLLIVLLDSPLTCHSWGWFSSSSSSSAKDTYSSSFSRSYKSNPDFSMEVFSDQKAVRVLKDAKNKLDGPNSCWQNAYSYLFTGCKETIATEEKRKRFAWYLSDCFIKDSGRTAFPTCKDESTMMSCLKKLDDHEHKIYLDFLLETNTICQQLQSYAFKHEIERLVNELKSTAQNTEDKLDILESKSDSLIQTSSMIHDSLGSLDVRVQNVAHVANTLETSVSGLSQQTIEISQEQKNIAESQLALMDGQVKMKETLKDGMEMFSDAYTNIQEGVDKLKSDTKQIEVEISVLGNNLSTKMVDLQSTTEDIGIKAGSSLEKQQKLLDGQSVALDGIQFLTRFQSEALQESRNTLQRLKEFSQEQQEDLAKRQEKLQEVHDHLFENSKSMLEAQEAFEAKQANMFVALDKLFALHNAMLLESRVIKAFVIYFLSIFVIYMFTSTKQTYIIRPRLYIGLCVTLALEVASLRYVNDTERQAWIINLIRSLFALLASAQLLHAAFSYRDYEVLNHQILLRLVDKVNGMQSNKDLSYDEDTESEVDWTSWVDTDLTDDDDNLGDPDYKIPLVIKDNPVTTSSMTRRLYNFRPR >fgenesh2_kg.8__1450__AT5G55510.1 pep chromosome:v.1.0:8:17067288:17068965:-1 gene:fgenesh2_kg.8__1450__AT5G55510.1 transcript:fgenesh2_kg.8__1450__AT5G55510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase [Source:UniProtKB/TrEMBL;Acc:D7MKI4] MAAENSSNAIDVDTSLNSDSKPNHEANDVTNHDSSKALVIPSPAVCLVRFAGDAASGAFMGSIFGYGSGLFKKKGFKGSFVDAGQSAKTFAVLSGVHSLVVCLLKQIRGKDDAINVGVAGCCTGLALSFPGAPQAMLQSCLTFGAFSFILEGLNKRQTALAHSVSLRHQTRSIQHDLPLLSLALPVHDEIKGAFTSFCNSLTKPKKLKFPRAR >fgenesh2_kg.8__1453__AT5G55530.2 pep chromosome:v.1.0:8:17073668:17076767:1 gene:fgenesh2_kg.8__1453__AT5G55530.2 transcript:fgenesh2_kg.8__1453__AT5G55530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MKI6] MDSPQSVVSPFKIGESENENANSVQSYGNRSNGINSNGKDSKSCGRQDLVGTLEVYVHQARDIHNICIYHKQDVYAKLCLTSDPEKSVSTKIINGGGRNPVFDDNVKLDVRVLDTSLKCEIYMMSRVKNYLEDQLLGFTLVPMSELLFQNGKLEKEFSLSSTDLYHSPAGFVQLSLSYNGSYPEVMVLPSMPSSVSVDETTKDPEGSESVPGELEKIEFPDPNVANENEKMVSEYFGISCSTIDSETSDSLVTSDAENHVTNSVTSILRQDSPESSTGTNGAASPHASAHSATETPNHEHLSVVNSKASSQESGSEASGETSEEKIVKSVLTVKVEPESKVVQQDIVDMYMKSMQQFTDSLAKMKLPLDIDSPTKSENSSSDSQMQPTPKSNNGSRVFYGSRPFF >fgenesh2_kg.8__1456__AT5G55540.1 pep chromosome:v.1.0:8:17077007:17081356:-1 gene:fgenesh2_kg.8__1456__AT5G55540.1 transcript:fgenesh2_kg.8__1456__AT5G55540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEPDQSFKDLSWFLQAIKDPQQTCFNLQTLSFSSYGKTTHCQVITESSMNINVTRDNLTSLSQIFIELATSLETQTSLRNLEFEGISWEIELLQSLGLLLDNTSKIKQLAFRKNRFSEQCLNELSEILKRNSFLKEVMFSESRIGYRGATLLGSALQVNDSLEELQIWEDSIGSKGAEELSKMIEVNSSLKLFSIFDSSPFTATPLISAVLGMNREMEVHVWSGDHKRDRSTKLVEFLPESNTLRIYQIDISGSCRVAAALGMNTTVRALDMTGAKLNSRWAKEFRWVLEQNKTLREVKLSKTGLKDKAVVYVAAGLFKNKSLQSLYVDGNRFGSVGVEDLLCPLSRFSALQLQANITLRSIVFGGSKTKIGREGLTAVLKMVTTNETVVHLGIHDDASLGPDDIIHIFKTLQKNSSLRRFSLQGCKGVRGDRVLEAITETLQINTLIEEIDLARTPLQDSGKADEIYQKLGHNGRKIDEAEMDDSLKDMPLTEPKSIRAFLCGQDYAGKTTLCNSILQSSSSSGFPYVENVRTLMNPVEQAVKTVGGMKIKTFKDEETKILMWNLAGQHEFFALHDLMFPSPSLFLIVLSLFRKPSNKEPKTPAEVEEELQYWLRFIISNSRKAVQQCMKPNVTIVLTHSDKINLQSESFQATVGCIQRLRDKFQALVEFYPTVFTVDARSSPSVSKLTHHIRMTSKAILQRVPRVYQLCNDMVQLLSDWRSENSNKPIMRWKAFADLCQFKVPSLRIKSRNENIEIVETRRQAIATCLHQIGEVIYFDDLGFLILDYEWFCGEVLTQLIKLDVRKQSTGERNGFVSRKELEKTLRSSLQSPIPGMTSKVLEHFDVCDLVKMMKKVELCYEQDPSSPDSSLLVPSILEEGRGKTQKWQINTHDCVYSGRHLQCDDSSHMFLTAGFFPRLQARDQKHFSVHLHNRIMELKNQHGATYNLEKYLIAITIHGINIRVELGGQFGNYIDVLACSTKSLTETLRLIHQLIIPAIQSSCQGVILLEHIIRPQCVQDLTPPRFRQSQFVSLQRLKEALSSVPAETMYDYQHTWDSVLDSGKTVLRAGFDLARNLLSDDDFREVLQRRYHDLHNLAQELQVPTDENPEADNHVPVTNELEKVDPSFGGIAKGVEAVLQRLKIIEQEIRDLKQEIQGLRYYEHRLLIQLHHKVNYLVNYNVQMDERKVPNMFYFIRAENYGRRLITSMVPGMVALRIHMLCEFRREMHVVDDQLGCDVMQIDNQAVKCLAPYMTNFMKLVTFALRIGANWAAGMGHMIPDLGHAIAHLANPAVMTGAAGAAGAMGVAAALGRNRGRDRDIQEQEQRAAQQWLIDYLREQNCSTGRDIAEKFGLWRVRYRDDGSIAWICKRHMITRANEVIQVPL >fgenesh2_kg.8__1457__AT5G55550.1 pep chromosome:v.1.0:8:17082607:17085145:-1 gene:fgenesh2_kg.8__1457__AT5G55550.1 transcript:fgenesh2_kg.8__1457__AT5G55550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLGKLFIGGISWDTDEERLRDYFSNYGDVVEAVIMRDRATGRARGFGFIVFADPCVSERVIMEKHIIDGRTVEAKKAVPRDDQQVLKRHASPIQLMSPVHGGGGGRTKKIFVGGLPSSITEEEFKNYFDQFGTIADVVVMYDHNTQRPRGFGFITFDSDDAVDRVLHKTFHELNGKLVEVKRAVPKETSPVSNNRSPLPGGLNYGGGSNRISANSYFNNFAPGPGFYNNLGPVGGRFSPIIGSGRNAVSAFGLGLNHELSLNLNPSYDGTSSTFGYNRIPSNPYFNGASPNRYTSPIGHNRTESPYISNNRDLWGNRTDTAGPGWNLNVPNENNRGNWGLPSSAVGNDNNGHGRNYRTSSGLSSSPFNGFEGSIGELYRGSSVYSDSTWQQQQQLASQSSHELDNLSRSYGYDIDNVGSDPSANDSEVYNGSYNVGNRQTNRGIAA >fgenesh2_kg.8__145__AT5G46420.1 pep chromosome:v.1.0:8:1007295:1010217:-1 gene:fgenesh2_kg.8__145__AT5G46420.1 transcript:fgenesh2_kg.8__145__AT5G46420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:16S rRNA processing protein RimM family [Source:UniProtKB/TrEMBL;Acc:D7MRX0] MLRPSLLCSSASITFTPIQLFNPNFSPHFQSFEVSRPVSALSRTKNCHFSLVRARGKSSFLVRSTATQEAVETSSKSELDLVEVGFLSGVHGLQGEICIKPNTDFPDLRFSKPGRRWLKQQLMGQEKIDEVELVEGRPHPAQKSWILKFRGLDDVDQVRQLVGATLLAEDDDRPELDDDEFYSRDLVGMKVLLKETGQLVGTVANIFDNGGNDLLHVLLDSSMEVCNGSAKTNQLVWIPFVDAIVPDVDLERKEMYITPPKGLLEVNMRADDRSKKERRQLEWKERKKQQKRLISAKKKLCELEQKHVFDGLRFGEKSQRNLLADHILDVNSTLLQKALQSIDTSSKRWNVIEEINELRARVSECTLNVSRECLSFDASKEKMGDNFSYLQQGKSLFSEGKVSICLVLNDHENEQPEGENGVVSYLHTLLDEEQSFIKEEDHACVPLVIVSPEHTVEALQKLFQDNDHFGFEAEKIWILKEETLPVVCSSPEEPKKHKILMKSPWEILKSPVGSGGVLSILASHGITDSLSSLGIDYLQVHSIETKPQPSQHYINPMLVGFASAKGAEIGIQMTEESVFKNLEMAFSMKFLKRLKGKIEFEAVMKMHSHVQKVEKEWVESVPSEPNSFEFHSDICRVLSECSSSAKICLMNITV >fgenesh2_kg.8__1460__AT5G55560.1 pep chromosome:v.1.0:8:17092295:17095719:-1 gene:fgenesh2_kg.8__1460__AT5G55560.1 transcript:fgenesh2_kg.8__1460__AT5G55560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCASSDDNDSEKDKDSESFVEVDPTGRYGRYGELLGSGAVKKVYRAFDQEEGIEVAWNQVKLRCFSDDPAMTERLYSEVRLLKNLKNSNIITLYKVWRDERNNTLNFITEICTSGNLREYRKKHRHVSMRALKKWSKQILKGLDYLHTHEPCIIHRDLNCSNIFVNGNIGQVKIGDLGLAAIVGKNHLAHSILGTPEFMAPELYEENYTEMVDIYSYGMCVLELVALEIPYSECDSVAKIYKRVSNGLKPEALNKVNDPEAKAFIEKCIAQPTARPSAAELLCDPFFDGILDDDDEDGENNDNTGACRIVS >fgenesh2_kg.8__1461__AT5G55580.1 pep chromosome:v.1.0:8:17104455:17106790:1 gene:fgenesh2_kg.8__1461__AT5G55580.1 transcript:fgenesh2_kg.8__1461__AT5G55580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:UniProtKB/TrEMBL;Acc:D7MKJ2] MAGFSLYCFINPRILFTLPSESPLFVLGSDKCSPATRRRSRKTRGFVVTYAHSNPKIINPKKKSRYGQTLSPYDSDEDEELDDDEDDDWLLNDDFAEVTEYEKKKPKSQKQTMAKKGVKKGIVKNWEKPEESETDEDDLDIGISRNRESWRLDGRGKVSSRKYVEKLYPRLSEEIDIDPKWVPLLDYLSTFGLKESHFVQMYERHMPSLQINVLSAQERLDYLLSVGVKHRDIKRMLLRQPQILQYTVENNLKAHISFLMGLGIPNSKIGQIVAATPSLFSYSVENSLRPTIRYLIEEVGIKETDVGKVVQLSPQILVQRLDITWNTRYMFLSKELGAPRDSVVKMVKKHPQLLHYSIDDGFLPRINFLRSIGMCNSDILKVLTSLTQVLSLSLEDNLKPKYMYLVNELNNEVHILTKYPMYLSLSLDQRIRPRHRFLVELKKVRKGPFPLSSLVPNDESFCQQWAGTSVDTYLAFRQRLLLKEFANKYDKRV >fgenesh2_kg.8__1462__AT5G55590.1 pep chromosome:v.1.0:8:17109656:17111581:1 gene:fgenesh2_kg.8__1462__AT5G55590.1 transcript:fgenesh2_kg.8__1462__AT5G55590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7MKJ3] MKVEAFIPAVLLLCFGCLKSSCALQIGNNDLKNYISWEDLRVVEDGRTERSFSLKENSNWVTTNANSKANANATNVSRVIVVDKNGGGDSVTVQGAVDMVPDYNSQRVKIFILPGIYREKVIVPKSKPYISFIGNESYAGDTVISWSDKASDLDSDGRELGTYRTASVSIESDFFCATAITFENTVVAEAGEQGKQAVALRIIGDKAVFYRVRVLGSQDTLFDDNGSHYFYQCYIQGNVDFIFGNAKSLYQAKPDCDIHSTAKRYGAIAAHHRDSETEDTGFSFVNCDINGTGQIYLGRAWGNYSRTVYSNCFIADIITPVGWSDWKHPERQSKVMFGEYNCRGRGAERGGRVPWSKTLTRDEVKPFLGREFIYGDQWLRL >fgenesh2_kg.8__1463__AT5G55600.2 pep chromosome:v.1.0:8:17111578:17114544:-1 gene:fgenesh2_kg.8__1463__AT5G55600.2 transcript:fgenesh2_kg.8__1463__AT5G55600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCENDHHFVEWKEHFVSQERGNRVVHYFLKDSAGESILAVVGTERSVRHMFYVVSEEFVRIHGSENSIHAGFKWRSRREVVDWLTSMLSKQSTQGNWSKSPKCESGESNGSPEFPSNGFAAQRAQASEEVRLPINLSFHSWEIMWSGTPWMCGKQLKHYPSFCRNGTTIGVQSFVFVMSKGEDRYVAYLEDMYEDKRGLKKVKVRWFHYTKEVKGAVALKNPNPKEVFITPHSQVISAECVDGPATVLTREHYEECVASFPNSLLAKVYMCYRQLRNSKVKPFDLSKLRGYLDQPIMSCLSSMEAGPADCAMNIEKDEECSEGENVMVGAERSMKKRAGIMSDHLLTTYESSCKRLKLNASGKRFPSPTDVQKHPCYNGVVKADAKIEFLCQDSGIRGCWFRCTVLEVSRKQVKLQYDDIEDEDGYGNLEEWVPAFKSAMPDKLGIRLSNRPTIRPAPPDAKTSYFDLTIGEAVDAWWNDGWWEGVVIATGKQDTEELKIYIPGENLCLTMLRKDIRISRDWVGDSWVDIDPKPEILAIVSSDISSEVKLSTSSTLAKDTKAKPIVMPDIVEEAEPEGDKADNYLLGEQNKEHKDDGVVKEDDENKLSKEEDKEVGSNETNTYVNYENTVEDHKEDDVTLNDEKARKSESVFTLSKTTTTLVTT >fgenesh2_kg.8__1466__AT5G55610.1 pep chromosome:v.1.0:8:17115660:17117899:1 gene:fgenesh2_kg.8__1466__AT5G55610.1 transcript:fgenesh2_kg.8__1466__AT5G55610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAIVLDLLKKSQSKHSLHSSSFSSASAAAVSAAATAPFASRFLFGSFEPRVAYCDAAAGIDDDYLGAIRKMSADVLQREPLAYISSSKEYNIQPKPIFSAFEFRALAMTTVRSLLMFYLPLLEPKTASEDDDDFLNSAAEENRRTDLIVPLKKSAKQIARETTVVTTRRLLERLALSYVSQRMAWKLLKDAPQSALRKAQRGLPTHVYIFKVSQTTLRGHFLGIAASWVVQVGIEIYRCVFPNVKPEEEEEEQVEISQQAKDLGNKVVGITVRCGASLVFAAIGAGICSCLIRPSTGQWIGCALGDLAGPMVVSVCLQKTLQADY >fgenesh2_kg.8__1467__AT5G55630.2 pep chromosome:v.1.0:8:17121551:17122830:1 gene:fgenesh2_kg.8__1467__AT5G55630.2 transcript:fgenesh2_kg.8__1467__AT5G55630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outward rectifying potassium channel KCO1 [Source:UniProtKB/TrEMBL;Acc:D7MKJ8] MSIDSARTPLLPTEKLDPMAQDFNLNSRTSSRKRRLRRSRSAPRGDCMYNDDVKIDEPPPHPSKIPMFSDLNPNLRQVIMLLALYLAIGTLCFYFVRDQISGHKTNAVLDAVYFCIVTMTTVGYGDLVPNSSASRLLACAFVFSGMVLVGHLLSRAADYLVEKQETLLVRAFHLRQSFGPTDILKELHTNKLRYKCYATCLVLVVLFLVGTIFLVIVEKLPVIEAFYCVCSTVTTLGYGDKSFNSETGRLFAVFWILTSTICLAQFFLYVAELNTENKQRALVKWVLTRRITNNDLEAADLDEDGVVGAAEFIVYKLKEMGKIDEKDISGIMDEFEQLDYDESGTLTTSDIVLAQTTSQIQR >fgenesh2_kg.8__1468__AT5G55640.1 pep chromosome:v.1.0:8:17123147:17124012:-1 gene:fgenesh2_kg.8__1468__AT5G55640.1 transcript:fgenesh2_kg.8__1468__AT5G55640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPVMQKNLPKVEDDYEWDTDGFVIPSLEIGEENVTNDSEVETSKPKIKAEENIYLGPHGAPPSQLQDGSNTSSRKQRFKQKLKEADQKMSGSGRENKMANLRELVGSGGAEGRTKTGKGVSRDWLDPHCHESQFEKRRLP >fgenesh2_kg.8__1469__AT5G55660.1 pep chromosome:v.1.0:8:17128987:17134006:1 gene:fgenesh2_kg.8__1469__AT5G55660.1 transcript:fgenesh2_kg.8__1469__AT5G55660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDTKVTVEPTANGTSSLQKTSDAISGKELLENASGKETQESKKDEDTGPEKMEIDDESKQHQGKSETGDKEAEVTEKDKKEEAETAKIDEDKEQPEADKMDEDTDDKNLKADDGVSGGATEVDTVMDENVESTDKKDVKGAENPEGDQEKTEKESKKEKLEGGKVNGNEEGNKEEIKEEEKLVGGDKGGDVDEDEKVENVDESDKEEAPKEKNEGELAEVGKEEETNKGEEVEADTEVDEPKVEDKKTESKDENENEINDSKDENEEKEEEKEDEKEESMDDKEDEKEESNDDKEDKKEEIKKSNKRGKGKTEKARGKTKSEEEKKDIEPRTPFSDRPVRERKSVERLVAVVDKDSSKEFHVEKGKGTPLKDIPSVAYKVSRKKSDEVFKQLHFILFGGRRGKATQLKANILRFSGYKWQGDEEKAKLKVKEKIEKINKEKLLEFCDLFDISVAKTTTKKEDIVTKLVEFLEKPHATTDVNEKEKGAKRKRTPKKSSPAAGSSSSKRSAKSQKKTEEATRTKKSLPHSDDESEEEKEDDEEEEKEQEVEEEEEENENEIPDKSEDEAPQPSESEEKVESEEESEEETKKKKRGSRTSSGKKESAGKSRSKKTAVSAKSSPPKKATQKRSAGKRKKSDDDSDTSPKASSKRKKTEKPAKEQPLAPSKSASKEKPGKRGGKGKDKTKEPSDEELKTAIIDILKGVDFNTATFTDILKRLDDKFKISLASKKSSIKLMIQDELTKLADEAEDEEGEEEDAEHEEEEEKEKEKAGGSGGGEEVKA >fgenesh2_kg.8__1470__AT5G55670.1 pep chromosome:v.1.0:8:17134571:17137426:-1 gene:fgenesh2_kg.8__1470__AT5G55670.1 transcript:fgenesh2_kg.8__1470__AT5G55670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MKK2] RWERSDGRDQMDQFHQNEAISAVADDGFLAEEEDDDYEDLYNDVNVGEGFLQSIQKNDEAGSRNEEKDKVSIEEEDRVEPVLGASEAEVSIPGLVGESVAKEEGGGGSGTDVVVASSGYGAQEVKVSDVSREISGGIGTGTGGGLRVELGQAANRANDLEASRGNNMSQGLLPPPHVLGNNENLMRPVMGNANGGTPPGPGSIMVGNGANIAMPGVVGGGTGGGGGGGGGAILFVGELHWWTTDAELEAELCKYGAVKEVKFFDEKASGKSKGFCQVEFYDPMAASACKDGMNGYAFNGRPCVVDYASPYSVKRMGEAQVNRTQQAQSVIAQAKRGGPADPPSKPVVTNNNNNNNAIGGNFQGGENRGFGRGNWRGNAQGMGGRGPGGPMRNRPGGMGGRGLMGNGGGGFGQGMGTGPPMNMMHQPMMGQGFEQAFGGPMARMGGYGGFPGAPGPPFPGLLSSFPPVGGVGLPGVAPHVNPAFFGRGMPMNGMGMMPNAGVDGGHNMGMWDPNSGGWGGGEDLGSGRAAESSYGEEAASDHQYGEVNHDRGARPNPVKEKERVSEREWSGSSDRRNREDKDAGYERDIPREKDVGHGYDMPERRHRDDRDTGREREREHHHKDRERSREHVRDRERDRERDRHREERERYGGDHRTRHRDEPEHDEEWNRGRSSRGHSKSRLSREDNHRSRSRDTDYGKRRRLTTE >fgenesh2_kg.8__1472__AT5G55700.1 pep chromosome:v.1.0:8:17142414:17145769:1 gene:fgenesh2_kg.8__1472__AT5G55700.1 transcript:fgenesh2_kg.8__1472__AT5G55700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:D7MKK4] MAETGVIGCGCRGVTGGNFFHPGGFSLKSCFLEQSTKRNRNFFRSVSMIPPSKRGRFITKWRSVAGNSRIFSMDAREKSRSFVLVSSRHKRVPVFVMMPFDTFGIDASGCPKIKRLKALTVSLKALKLAGVHGIAVEVWWGIVERFCPLEFKWSLYEELFRLISEAGLKLHVALCFHSNMHLFGGKGGISLPLWIREIGDVNKDIYYRDKRGLSNNDYLTLGVDQLPLFGGRTAVQCYEDFMLSFSTKFEPYFGNVIEEISIGLGPSGELRYPAHPSGDGRWKFPGIGEFQCHDKYMMEDLMAVASQEGKPQWGSRDPPNTGCYNSFPSGVPFFEEGNDSFLSDYGRFFLEWYSGKLICHADAILAKAADVLRRRQEDEKSSVMLVAKIGGIYWWYKTSSHPAELTAGYYNTALRDGYDPVASVLSRHGAALHIPCLDMADSETPEKYLCSPEGLRKQIHDVSKKWTIQVTGRNTSERFDEMGLRQIRENCVQPNGDTLRSFTFCRMNEKIFRGENWNNFVPFIRQMSADM >fgenesh2_kg.8__1474__AT5G55720.1 pep chromosome:v.1.0:8:17146894:17148669:1 gene:fgenesh2_kg.8__1474__AT5G55720.1 transcript:fgenesh2_kg.8__1474__AT5G55720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7MKK6] MSIVCTIFLFLLTVSSAFAFAVPKPPIVRQLSTSVTSNSTASCSANGNPIDDCWRCDENWKDNRKNLADCAVGFGRDSIGGRAGEFYTVTDSGDDNPLNPSPGTLRYAATQDQPLWIIFDRDMVIQLKQDLQVASYKTIDGRGNNVQIAYGPCLTLYKVSNVIINNLYIHDCVPAKRNALSSLGGYSDGDGISIFESRDIWIDHCTLEKCYDGLIDAVNGSTDITISNSYMLNHNEVMLLGHSDEYSGDRDMRVTIAFNYFGEGLVQRMPRCRHGYFHIVNNIYREWKMYAIGGSANPTIFSQGNVFIASNNQFTKEVTKRESADGDEEWKEWNWKSEGDEMVNGAYFTPSGKEDSPSYAKFSSMVARPASLLKTTHPSVGVLSCEIDQAC >fgenesh2_kg.8__1475__AT5G55730.1 pep chromosome:v.1.0:8:17148918:17151312:-1 gene:fgenesh2_kg.8__1475__AT5G55730.1 transcript:fgenesh2_kg.8__1475__AT5G55730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKMSSLISIFNILLLLTIQTHAHNVTRLLANHPSFSSFSHFLTQTHLADEINRRTTITVCAVDNAAMSALTSKGYTISTLKNILSLHVLLDYFGAKKLHQIRDGSALAATLFQATGAAPGTTGFVNITDLRGGKVGFGPDGGDLSSFFVKSIEEVPYNISIIQISRVLPSETAAAPTPAPAEMNLTGIMSAHGCKVFAETLLTNPGASKTYQESLEGGMTVFCPGDDAMKGFLPKYKNLTAPKKEAFLDFLAVPTYYSMAMLKSNNGPMNTLATDGANKFELTVQNDGEKVTLKTRINTVKIVDTLIDEQPLAIYATDKVLLPKELFKASAVEAPAPAPAPEDGGAADSPKPAKGKAKGKKKKAAPSPDEAFGDSDSPAEGPDGVADDATADDAGAVRVIGGAKAGLVVSLLCLFASSLLL >fgenesh2_kg.8__1477__AT5G55760.1 pep chromosome:v.1.0:8:17158374:17162144:1 gene:fgenesh2_kg.8__1477__AT5G55760.1 transcript:fgenesh2_kg.8__1477__AT5G55760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYAEKLSFIEDVGQVGMAEFFDPSHVLQCKIEELAKLIQKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQREGKDLPKASLPFHRAMPSMTHMALVELERAGILKFVISQNVDGLHLRSGIPREKLSELHGDSFMEMCPSCGAEYLRDFEVETIGLKETSRRCSVEKCGAKLKDTVLDWEDALPPKEIDPAEKHCKMADLVLCLGTSLQITPACNLPLKCLRGGGKIVIVNLQKTPKDKKANVVIHGLVDKVVAGVMESLNMKIPPYVRIDLFQIILTQSLSGDQRFINWTLRVASVHGLTSQLPFIESIEVSFSDNQNYKDAVLDKQPFLMKRRTARNETFDIFFKVNYSDGCDCVSTQLSLPFEFKVSTEEHEEIIDKEAVLQSLREKAVEESSCGQSGVVERRAVSEPRSEAVVYATVTSLRTYHCQQSLLANGYLKWKLEGSGTSRKRSRTGKRKSKAQEEESKA >fgenesh2_kg.8__1478__AT5G46660.1 pep chromosome:v.1.0:8:17169079:17169404:-1 gene:fgenesh2_kg.8__1478__AT5G46660.1 transcript:fgenesh2_kg.8__1478__AT5G46660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MKL1] TCKIVCAGLCFVACTAMELVRTKFISFIVAPKKRDYRELTDIMLVAIKQRSALLITASCAGEMRRRIKWPKLLLILLRYRTEKKRLKREVVAVRIYG >fgenesh2_kg.8__1479__AT5G55810.1 pep chromosome:v.1.0:8:17171742:17173766:-1 gene:fgenesh2_kg.8__1479__AT5G55810.1 transcript:fgenesh2_kg.8__1479__AT5G55810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide-nucleotide adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MKL3] MDVPLPVEKLSYESNPEDKTCVVLVATGSFNPPTFMHLRMFELARDELHSKGFHVLGGYMSPVNDAYKKKGLLSAEHRLEMCNLACHSSDFVMVDPWEASQSSYQRTLAVLSRVKTFLTTIRRVPEESLKVMLVCGSDLLQSFCIPGVWIPEQVRTICNDYGIVCIRREGQDVENMISGDTILNENHGNIKIVDNIVPNQISSCRLRQCISRGLSVKYLTEDGVIDYIRQHQLYTELT >fgenesh2_kg.8__147__AT5G46410.2 pep chromosome:v.1.0:8:1011086:1015103:-1 gene:fgenesh2_kg.8__147__AT5G46410.2 transcript:fgenesh2_kg.8__147__AT5G46410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MRX1] MKSKISKVLGVCKKHKATTRNSCSLSKISGDLESMTAAITHACDGDEKQHPVSSGLDTFTRDTETNEPAEMLVDDTSQSQGFASSVDGSESVEKMENACNYMSNSDTIFSPVLNDELNGTDRVFSAGNSVHWETPRWGADESSNKIYFDNQTCNVSDFYISDVLIASLPFDESGNNDAFTEISPLPHYIFPEQYMVLPYLEDGSANTDDIKSDVGKINLDNHDLFLAFNRTRSPNMEPEDHAESELAEDFDPQLFIKNQPELSDVVSNYWPRDTLRKKSVTLVLDLDETLVHSTLESCNVADFSFRVFFNMQENTVYVKQRPHLYRFLERVGELFHVVIFTASHNIYASQLLDILDPEGKFISQRFYRDSCILLDGIYTKDLTVLGLDLAKVAIIDNCPQVYRLQINNGIPIKSWYDDPTDDGLISILPFLETLAVADDVRPIIGRR >fgenesh2_kg.8__1480__AT5G55830.1 pep chromosome:v.1.0:8:17181979:17184021:1 gene:fgenesh2_kg.8__1480__AT5G55830.1 transcript:fgenesh2_kg.8__1480__AT5G55830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRKLLVLFFTWITALSMAKPIFVSSDNVNFTFKSFTIRNLTFLGDSHLRNGVVGLTRELGVPDTSSGTVIYNTPIRFYDPDSNTTASFSTHFSFSVQNLNPDPTSAGDGLAFFLSHDNDTLGSPGGYLGLVNSSQPMKNRFVAIEFDTKLDPHFNDPSGNHVGLDVDSLNSIATSDPSNSSQIDLKSGKSITSWIDYKNDLRLLNVFLSYTDPIAITKKPEKPLLSVKIDLSPFLNGEMYVGFSGSTEGSTEIHLIENWSFKTSGFLPVRSKSNHLHNVSDSSVNDDPVAIPSKKRKHRHNLAIGLGISCPVFFCLALLVFGYFTLKKWKSVKAEKELKTELITGLREFSYKELYTATKGFHSSRVIGRGAFGNVYRAMFVSSGTISAVKRSRHNSTEGKTEFLAELSIIACLRHKNLVQLQGWCNEKGELLLVYEFMPNGSLDKILYQESETGAVALDWSHRLNIAIGLASALSYLHHECEQQVVHRDVKTSNIMLDINFNARLGDFGLARLTEHDKSPVSTLTAGTMGYLAPEYLQYGTATEKTDAFSYGVVILEVACGRRPIDKEPESQKTVNLVDWVWRLHSEGRVLEAVDERLKGEFDEEMMKKLLLVGLKCAHPDSNERPSMRRVLQILNNEVEPSPVPKMKPTLSFSCGLSLDDIVSEDEEGDSIVYVVS >fgenesh2_kg.8__1481__AT5G55840.1 pep chromosome:v.1.0:8:17185752:17189860:1 gene:fgenesh2_kg.8__1481__AT5G55840.1 transcript:fgenesh2_kg.8__1481__AT5G55840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7ML46] MGFAKDLKFLGVYETGFDMEKSIYNILTIDRWGSLNHMDYRQARLRPVHGKLALKFLKWVVKQPGLDTDHIVQLFCITTHILVRARMYDPARHILKELSLMSGKSSFVFGALMATYRLCNSNPSVFDILIRVYLREGMIQDSLEIFRLMGLYGFNPSVYTCNAILGSIVKSCEDVSVWSFLKEMLKRKICPDVATFNILINVLCAEGSFKKSSYLMQKMEKSGYAPTIVTYNTVLHWYCKKGRFKAAIELLDHMNLKGVNADVCTYNMLIHDLCRSNRSAKGYLLLRDMRKRMIHPNEVTYNTLLNGFSNEGKVLIARQLLNEMLTFGLSPNHVTFNALIDGHISEGNFKEALKMFHMMEAKGLIGTEVSYGVLLDGLCKNAEFDLARGFYMRMKRNGVCVGRITYTGMIDGLCKNGFLDEAVVMLNEMSKDGIDPDIVTYSALINGFCRVGRLKTAKEIVCRIYRVGLSPNGIIYSTLIYNCCRMGCLKETIRIYEAMILEGNTPDHFTFNVLVTSLCKAGKVAEAEEFMRCMTSDGILPNAVSFDCLINGYGSSGEGLKAFSVFDEMTKVGHHPTFFTYGSLLKGLCKGGHLIAAEKFLKSLQNVPAAVDTVMCNTLITAMCKSGNLDKAVSLFGEMVQRSILPDSFTYTSLISGLCRKGKTVIAILFAKEAEARGNLVPNKVMYTCFVDGMFKAGQWKAGFYFRQQMDKLGLTRDVVTTNAMIDGYSRMGKIEKTHDLLFEMGNQNQGPNLTTYNILLHGYSKRKHVSTSFMLYRSMILSGILPDKLTCYSIILGICESNMLEIGLKILKAFICRGVEVDRHTFNMLISKCCANGEINWAFDMVNVMTSLGISLDKNTCDAIVSVLNRNHRFQESRMVLHEMSKQGISPESRKYIGLLNGLCRVGDIKTAFVVKEEMIAHKICPPNVAESAMVRALAKCGKADEASLLLRSMLKMKLVPTIASFTTLMHLFCKNGNVTEALELRVVMSNCGLKLDLVSYNVLITGLCAKGDMAIAFELFEEMKRDGFLANVTTYKALVGGILSQGTEFSGTDIILKDLLARGFITAMSFNHMINFPQQISVNAYLKHLFHCSTMILPFYHREELDVQSNFLILYCYTEQDKQDSEAATLLAPFSDRYNSKTSPKETVIESLKLPGGFINAVFNSTCIKDLCFFTETKQEDEQALNSWNCVVISCAEFTCNQSGLTKDNGSGKNDAEPWKQKKKASSVSLKNLFDEYVGTTRN >fgenesh2_kg.8__1482__AT5G55850.1 pep chromosome:v.1.0:8:17190794:17192783:1 gene:fgenesh2_kg.8__1482__AT5G55850.1 transcript:fgenesh2_kg.8__1482__AT5G55850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7ML47] MSDKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPGSPGKSSEGHAKSGGGDPSKPQPVKKMALLHAISSCGLLTDTKMDLLAAQRMSQCLHILL >fgenesh2_kg.8__1484__AT5G55860.1 pep chromosome:v.1.0:8:17197208:17199483:1 gene:fgenesh2_kg.8__1484__AT5G55860.1 transcript:fgenesh2_kg.8__1484__AT5G55860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKGRRDSSDSSPIVEVGEIDTSAPFQSVKDAVNLFGEAAFSAEKPVIRKPSPQSAEKVLVKQTELHLAQKELNKLKEQLKNAETVREQALSELEWAKRTVDELTRKLEAVNESRDSANKATEAAKSQIGEAKPGNVSVASSSSDAQTRDMEEYGEVCKELDTAKQELRKIRQVSNEILETKTVALSKVEEAKEVSKVHSEKIELLRKEIATVNESVEQTKLACSQARKEQSEIFAEKEIQQQSYKAGMEESAKKSLALKNEFDPEFAKKLEVQLTETYNEIDELQKQMETAKASDMDSVNGVSLELNEAKGLLEKLVEEEKSLQELVESLKAELKNVKTEHDEVEAKEAEIESVAGDLHLKLSRSKSELEECVAEESKAKAALEDMMLTINQISSETEAARHEAEEMRNKAGELMKEAETAHLALEDSELHLRVALDEAEEAKAAETKALEQIKSMSVKTNAARNSTSSESGSQSITLSQKEFKSLSKRAEVFDKLAEMKVAAALAQVEAVRASENETLKKLETTQEEIKKLKSATEEALKKAAMADAAKKAVEGELRRWRERDQKKAEEAATRILAEAEMNMASESSPQQHYKAPKQRPVHNKLEKTKTSVVSKKVLLPNLSGIFNRKKNQVEWGSPSYLPGEKPF >fgenesh2_kg.8__1485__AT5G55890.1 pep chromosome:v.1.0:8:17200327:17201431:-1 gene:fgenesh2_kg.8__1485__AT5G55890.1 transcript:fgenesh2_kg.8__1485__AT5G55890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLNQPSKLLCFRRKSVLVRSSPLLSNGLSSSLRQTPPCTIIDAVPCGADLGKLVISNANAVRYTYLEKKVPLELVDNPMLTIGSSHGWIATLSQDDGILRLQDDLNPVASDIEPKRIPLPPLVTLPHCQTQYKNIPAQRNSEWINVRIANPCFYSSRVMFSEKHDMFCIPGSGGHLIASWDLHTDKHKNPKFQRLRFQNIPKLTKTKREIMDSCYKSEHLVESRTTGETFLVKWYRKAVWRGMSKLSTKALMVFRLDDEGNAVYTIDIGDLCIFLSKSEPFCVSLSSLPGMFFPNNVEYMDADEAGYYDLADSSIVGDLTLMGTGVYIPPQNIDN >fgenesh2_kg.8__1488__AT5G55900.1 pep chromosome:v.1.0:8:17211617:17213828:1 gene:fgenesh2_kg.8__1488__AT5G55900.1 transcript:fgenesh2_kg.8__1488__AT5G55900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRYLDDPLTFTRNPPSSSSPITESSFLGESISRSGSFESESLRGGDGDSFSDADFSLEKLAGTVQFYERHVFLCYKKPSVWPARIEASEFDRLPRLLSSVISARKSSMTKETLLTICEGHDGSETSNGDVLIFPDMIRYRRLTHFDVDTFVEEVLVKDVEWLPGNSESLRGSYVFVCCHGSRDRRCGVCGPSLVSRFREEIELCSLRGEVSVSPCSHIGDHKYTGDVLIYGLNINQRVTGHWYGCVTLEDVPLLLEQHINKGEIVDRLWRGEMGLPEEDQKKTQEQRLLLNSEKISNREVTQESFNNGICCQSRAVPELNGSCCQQNWNSSYCLEENHTEKNTPERVASVKKASLRISSSENGSSCGFKACATISMWLESWEKEDTYAALAVACAAASVAIAYNCYKQLK >fgenesh2_kg.8__1489__AT5G55910.1 pep chromosome:v.1.0:8:17213890:17216466:-1 gene:fgenesh2_kg.8__1489__AT5G55910.1 transcript:fgenesh2_kg.8__1489__AT5G55910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASKTPEGSLTNSSQSMSINTLADQVSSSLSFADPSSDGKTGNSKINEQGESGKSSTCRPSTSSDISDESTCSSFSSSINKPHKANDVRWEAIQAVRTKHGGLGLNHFRLLKRLGCGDIGTVHLAELNGTRCYFAMKVMDKTALASRKKLLRAQTEREILQCLDHPFLPTLYSHFETEKFSCLVMEFCPGGDLHTLRQRQPGKRFTEQAAKFYVAEVLLAMEYLHMLGIIYRDLKPENVLVRDDGHVMLSDFDLSLRCTVSLSIVRSANVGSEGLSKNSVSCSQQPACIQQPSCISMAPTSCFSPRFFSSKSKKDKKPKTENGNHQVTPLPELVAEPTGARSMSFVGTHEYLAPEIVKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRSLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALVRCASPPEIPKPVDLEPLNPTPAMPSAASSSVRSDQSNYLEFDFF >fgenesh2_kg.8__148__AT5G46400.1 pep chromosome:v.1.0:8:1015537:1020283:-1 gene:fgenesh2_kg.8__148__AT5G46400.1 transcript:fgenesh2_kg.8__148__AT5G46400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MRX2] MVTTEVRTAVSDKEPLPPSPQLDGSSDFLDNDRLQETFSSGALDFDEWILLISEIETSFPDDIEKLCLVYDAFLLEFPLCHGYWRKYAYHKIKLCTSEDALEVFERAVQAATYSVAVWLDYCAFAVAAYEDPHDVSRSFERGLSFVGKDYSCCNLWDKYIEYLMGQQQWSSLAHLYLRTLRYPSKKLDLYYKNFRKIVASLKEKIKCRIHVNGDLSSDPMEEDLVLTRHTDEEISIVVRDLMGPSSSSAVSKALHAYWSIGEQFYQDSQQLMEKISCFETQIRRPYFHVNPLDTNQLDNWHAYLSFAETYGDFDWAIHLYERCLIPCANYTEFWFRYVDFVESKGGRELANFALARASQTFVKNASVIHLFNARFKEHVGDASAASVALSRCGEELGFVLVENVTKKANMERRLGNFEGAVTTYREALNKTLIGKENLETTALLYVQFSRLKYMITNSADEAAQILIEGNEKVPHCKLLLEELIRLLMMHGGSRGVDLLDSIIDKEISHQEDSSDGLSAEDKEEISNLYMEFIDLSGTIHDVRKALGRHIKLFPHSARAKLHGSRPSGNSFRELIQRREKTRDCLNQDLLTNKGISSTVDSTPKEKKESSLDPDGAQSKDAVRADYVNTEPNQGCLASGHLVERKDNVAEREDLWESQSDLSMGLKADDGGERSREVSLPIQATPKHGFVTKQAHFSSSSVDTVKSDAIVIQPSGSQSPQSYQSQESLRQTGRNRYPRRDLNQTHRDSKPRSRERPPQMSYSPVGTGREIPGQHMGVAPRDNRAALQSSISRNPQNQFHNSALQMHPVVQTSNAYPQTQILGQHMIVLPPDSQNPQNQYQNSTSQVQTSFAYPQTQIPQNPVQSNYQQEGQMQSHEAYNQMWQQYYYSYYYYQQQQQLMSEQPQPNQNPQPQLDQNLLQLLSKQYQSQANTQYPQPQQVKEQLNTQQQSQEPQNQQQIQFQQQQQEWFQQQQWQQQQYFLYLQQQQLQGEAKGDEQRLSMPQPQVSTTNSDVQKSQESGAVNEANLSSDTSISSI >fgenesh2_kg.8__1490__AT5G55920.1 pep chromosome:v.1.0:8:17220989:17224895:-1 gene:fgenesh2_kg.8__1490__AT5G55920.1 transcript:fgenesh2_kg.8__1490__AT5G55920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALTRNKKKAATKSPTSPTKQVTKSKAPPSKIQSKPQTSTLKKGAKSQNKPPLKKQKKEFVEEEPLEDDEDDVSTDEESEELDESDDGEKGSNALFSDDDDEEEEEENDDDEETLGDDFLVGSGDEDEEGPSDADSDSDDNDIVRKSDAIDRQLAKDKKDAAAEVRDNIKQDGPDEELEHDAFRLPTEEELAEEARGPPDLPLLKSRIEEIVRALKNFKAFRPKDTTRKACVDQLKDDLGSYYGYNSFLIGTLVEMFPPGELMELIEAFEKQRPTSIRTNTLKTRRRDLADVLLNRGVNLDPLSKWSKVGLVIYDSQVPIGATPEYLAGYYMLQGASSFLPVMALAPRENERIVDVAAAPGGKTTYIAALMKNTGLIYANEMKVPRLKSLTANLHRMGVTNTIVCNYDGRELPKVLGQNTVDRVLLDAPCSGTGIISKDESVKITKTVDEIKKFAHLQKQLLLGAIDMVDANSKTGGYVVYSTCSIMVTENEAVIDYALKKRDVKLVTCGLDFGRKGFTRFREHRFQPSLDKTRRFYPHVHNMDGFFVAKLKKMSNMKQSSEGDDEAVETVEQAEVSSDDDDDEAEAIEEIEKPSVPDRQPKEAKEKKNKERLAKSKEDKRGKKDKKSKSENVEEPSKARKQKKKRSEWKNEIAQAREEKRIAMREKAKEEKQ >fgenesh2_kg.8__1491__AT5G55930.1 pep chromosome:v.1.0:8:17228892:17232284:1 gene:fgenesh2_kg.8__1491__AT5G55930.1 transcript:fgenesh2_kg.8__1491__AT5G55930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOPT1 [Source:UniProtKB/TrEMBL;Acc:D7ML54] MTSVFDEPKPSDDSHESKIVINAGEEEKEEENDNPIEEVRLTVPITDDPTLPVLTFRTWFLGLLSCILLAFVNQFFSFRSNQLWVSSVAAQIVTLPLGKLMAKTLPTKKFGFPGTNWSWSLNPGPFNMKEHVLITIFANTGAGGVYATSIITIVKAFYNRQLNVAAAMLLTQTTQLLGYGWAGMFRKFLVDSPYMWWPSNLVQVSLFRALHEKEDLQKGQQTRFRFFLIVFGVSFAYYIIPGYLFPSISTISFVCWIWKSSVTAQIVGSGLKGLGIGSFGLDWSTVAGFLGSPLAVPFFAIANFFGGFFIFLYIVLPIFYWTNAYDAQKFPFYTSHTFDQTGHTYNITRILNEKNFDINLDAYNGYSKLYLSVMFALLYGLSFGSLFATISHVALYDGKFIWGMWKKAKTATKDKYGDVHSRLMKKNYQSVPQWWFIVVLVISFAFALYACEGFDKQLQLPWWGLILACAIALFFTLPIGVIQATTNQQMGLNVITELIIGYLYPGKPLANVAFKTYGYISMSQALYFVGDFKLGHYMKIPPRSMFIVQLVATVVASTVCFGTTWWLITSVENICNVDLLPVGSPWTCPGDEVFYNASIIWGVIGPGRMFTKEGIYPGMNWFFLIGLLAPVPFWYLSKKFPEKKWLKQIHVPLIFSAVSAMPQAKAVHYWSWAIVGVVFNYYIFRRFKTWWARHNYILSAALDAGTAIMGVLIFFAFQNNDISLPDWWGLENSDHCPLASCPTAKGVVVEGCPVF >fgenesh2_kg.8__1493__AT5G55950.1 pep chromosome:v.1.0:8:17235391:17237624:-1 gene:fgenesh2_kg.8__1493__AT5G55950.1 transcript:fgenesh2_kg.8__1493__AT5G55950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDSLLGKDARKFLKRKDSDAAEAGRALEELRSSLYNELKTSEGAKRQQQRFCGPVVAMSFNFFVAVGIILANKLVMGRVGFNFPIFLTLIHYTVAWILLAFFKSLSLLPMSPPSKTTPFSSLFSLGAVMAFASGLANTSLKHNSVGFYQMAKIAVTPTIVLAEFVLFKKTISSTKVMALAVVSLGVAIATVTDLEFNLFGALVAVAWIIPSAINKILWSNLQQQANWTALALMWKTTPFTVFFLLALMPWLDPPGVLLFKWDLANSSAILVSALLGFLLQWSGALALGATSATSHVVLGQFKTCVILLGGYVIFGSDPGFISICGAVAALAGMSVYTWLNLPGKSIDHVSNKQLPKQNVTVSKPKAEADDGGGETGVTVVSVDPLLSKTITANIV >fgenesh2_kg.8__1495__AT5G55990.1 pep chromosome:v.1.0:8:17250638:17252151:-1 gene:fgenesh2_kg.8__1495__AT5G55990.1 transcript:fgenesh2_kg.8__1495__AT5G55990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCVDGFKHLCTSVLGCFDLDLYKQSGGLGDPELLARDTVSHLRKSALPLSDLIICLIYSSLTNKKESLFADRVFDFFDTKHNGILGFEEFARALSVFHPNAPIDDKIHFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLKDTVIEDIIDKTFEEADTKHDGKIDKEEWRSLVLRHLSLLKNMTLQYLKDITTTFPSFVFHSQVEDT >fgenesh2_kg.8__1496__AT5G55970.2 pep chromosome:v.1.0:8:17260009:17262126:1 gene:fgenesh2_kg.8__1496__AT5G55970.2 transcript:fgenesh2_kg.8__1496__AT5G55970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7ML60] MNTRYSVQPELSSNNISITISSSASLSSSPRGENSHAADGNAQERSPSSFYIRLAMKVSRARWFIFLRRVFHYQNGSRSDLGSNPFNSSTWMMSELIALLVQLTVITFTLAISKEERPIWPVRLWITGYDVGCLLNLMLLYGRYRQLDVYQGNGFVLGDVEQQQRGREETRSSHLMNKCRTSLELFFAIWFVIGNVWVFDSRFGSFHHAPKLHVLCVSLLSWNAICYSFPFLLFLFLCCLVPLISSLLGYNMNMGSSDRAASDDQISSLPSWKFKRIDENASDSDSDSATATDDPECCICLAKYKDKEEVRKLPCQHKFHSKCVDQWLRIISCCPLCKQDLPR >fgenesh2_kg.8__1499__AT5G56010.1 pep chromosome:v.1.0:8:17272419:17275142:-1 gene:fgenesh2_kg.8__1499__AT5G56010.1 transcript:fgenesh2_kg.8__1499__AT5G56010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 81-4 [Source:UniProtKB/TrEMBL;Acc:D7ML64] MADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDGQPELFIHIIPDKTNNTLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVADKVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEALGRGTKMVLYLKEDQLEYLEERRLKDLVKKHSEFISYPISLWIEKTIEKEISDDEEEEEKKDEEGKVEEVDEEKEKEEKKKKKIKEVSHEWDLVNKQKPIWMRKPEEINKEEYAAFYKSLSNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTKKKPNNIKLYVRRVFIMDNCEDIIPDYLGFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCLELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIFYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAIGQLKEFEGKKLVSATKEGLKLEETEDEKKKKEELKEKFEGLCKVIKDVLGDKVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSNTGSYMSSKKTMEINPENSIMDELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDEPNTFGSRIHRMLKLGLSIDDDDAVEADAEMPPLEDDADAEGSKMEEVD >fgenesh2_kg.8__149__AT5G46390.2 pep chromosome:v.1.0:8:1021880:1024571:-1 gene:fgenesh2_kg.8__149__AT5G46390.2 transcript:fgenesh2_kg.8__149__AT5G46390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein [Source:UniProtKB/TrEMBL;Acc:D7MRX3] MRLLLPFSSPLSATSSPATPQFLPEYTLPSQFDYSALSKILKKSVIGTLTGALSLTLVFSSPISSVAAANDPYLSLNPPSSSFESSLNHFDSSPEDCPNEEEADTEMQDDDFKPQLVTNEGIVEEAWEIVNGAFLDTRSHSWTPETWQKQKDDILASPIKSRSKAHEVIKNMLASLGDQYTRFLSPDEFSRMSKYDITGIGINLREVSDGGGNVKLKVLGLVLHSPADIAGVKQGDEILAVNGMDVSGKSSFEVSSLLQGPSKTFVVLKVKHGKCGPVKSLKIQRQVNAQTPVSYRLEKVDNGKVSVGYIRLKEFNALARKDLVIAMKRLQDKGASYFVMDLRDNLGGLVQAGIETAKLFLDEGDMVIYTAGRDPEAQKTVVSDKKPLIIAPLIVMVNNRTASASEIVASALHDNCKAVLVGERTYGKGLIQSVYELRDGSGVVVTIGKYVTPNHMDINGGGIEPDFRNLPDWNEVKERLSKCNILQRS >fgenesh2_kg.8__1504__AT5G56030.1 pep chromosome:v.1.0:8:17277378:17280134:1 gene:fgenesh2_kg.8__1504__AT5G56030.1 transcript:fgenesh2_kg.8__1504__AT5G56030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 81-3 [Source:UniProtKB/TrEMBL;Acc:D7ML65] MADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDGQPELFIHIIPDKTNNTLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGHFGVGSTLLTWLLTRLLLLPSTMTMSSIDTSGEALGRGTKMVLYLKEDQLEYLEERRLKDLVKKHSEFISYPISLWIEKTIEKEISDDEEEEEKKDEEGKVEEVDEEKEKEEKKKKKIKEVSHEWDLVNKQKPIWMRKPEEINKEEYAAFYKSLSNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTKKKPNNIKLYVRRVFIMDNCEDIIPEYLGFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCLELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIFYITGESKKAVENSPFLERLKKKGIEVLYMVDAIDEYAIGQLKEFEGKKLVSATKEGLKLEETEDEKKKKEELKEKFEGLCKVIKDVLGDKVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGGYMSSKKTMEINPENSIMDELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDEPNTFGSRIHRMLKLGLSIDDDDAVEADAEMPPLEEDADAEGSKMEEVD >fgenesh2_kg.8__1505__AT5G56020.1 pep chromosome:v.1.0:8:17280552:17282204:1 gene:fgenesh2_kg.8__1505__AT5G56020.1 transcript:fgenesh2_kg.8__1505__AT5G56020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein [Source:UniProtKB/TrEMBL;Acc:D7ML66] MEKMAKGWFSMSGTSSSGDQQQQPLQTGGTSLLADWNSYAASRDFEEDPGTLGFDIESAVRSANDTVSGTFNVVSKGVRDNLQSATSSMPSGKALMYFGLLLASGVFFIFIAFTMFLPVMVLMPQKFAICFTLGCGFIIGSFFALRGPQNQLAHMSSAERLPLTLGFIATMVGTIYVSMVLHSYILSVIFSALQVVALAYYCISYFPGGSSGMKFLSSTLTSSVFRMFGR >fgenesh2_kg.8__1508__AT5G56050.1 pep chromosome:v.1.0:8:17298943:17300086:-1 gene:fgenesh2_kg.8__1508__AT5G56050.1 transcript:fgenesh2_kg.8__1508__AT5G56050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLPPPPQSQPQPQPPETPPWETPSSKWYSPIYTPWRTTPRSTQSTPSTTPIALTEVIVSESPLSHLKSPTTPKLDGVQAQSLNETMVLLRLRTSRTNPWIWCGAALCFIFSILLIVFGIATLILYFAVRPRTPVFDISNAKLNTILFESPVYFNGDMLLQLNFTNPNKKLNVRFENLMVELWFSDTKIATQGVLPFSQRNGKTRLEPIRLISNLVFLPVNHILELRRQVTSNRIAYEIRSNFKVKAIFGIIHYSYMLHGSCQLQLSSPPAGGLVYRNCTTKRW >fgenesh2_kg.8__1509__AT5G56075.1 pep chromosome:v.1.0:8:17309755:17310771:1 gene:fgenesh2_kg.8__1509__AT5G56075.1 transcript:fgenesh2_kg.8__1509__AT5G56075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVTKRLRHYTNKQKILLVGEGDFSFSLSLARAFGSATNLTATSLDTQGELERKFKNGKSNVEELERLGCSVVYGVNVHSMTTKPSVGGSAIYDRVIFNFPHAGRHQELVRGFMKSARVMVKDEDKGGEIHVIHKTEYPFSEWKLKTLGEKEGLDLIREIEFCLSQYPGYSNKRGSGGYSDSSFPIGKSSTFMFTKQFFY >fgenesh2_kg.8__1514__AT5G56120.1 pep chromosome:v.1.0:8:17337641:17338869:1 gene:fgenesh2_kg.8__1514__AT5G56120.1 transcript:fgenesh2_kg.8__1514__AT5G56120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKDSHVVEIPVDEEHKERQQQQLVAVSNPGMGILKVIQQHPLSEISESPGHLLLLKLWQREEDLFCRRVLLKESRLESIKREIFQLCCFFLVFHGFFFTLVYSSSCSDDDEVVKSNAVCKKWWIPSAVSLATSLVLVFLVQAKLFVFWKVYRGVHRERNDNRTLTRCVLELRMKGSSFDLSKEPMSGKRMKSSSVEIKWKPVTWFSQYLITIVLLCFAGLFFPISKFILCGF >fgenesh2_kg.8__1516__AT5G56140.1 pep chromosome:v.1.0:8:17341923:17344927:1 gene:fgenesh2_kg.8__1516__AT5G56140.1 transcript:fgenesh2_kg.8__1516__AT5G56140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7ML83] MSSLGGGGGGGGSGVGGGGGGRFMTYSSSLSVPPSAPQSPNYSGGLRSQSSVFVEQEKYLSELLAERHKLTPFLPVLPHAYRLLNQVDKHTTAKRDLIFLSQSGLDHPSPLASGGIFQNARADLNGWASQFPSERSVPSSPGPNWLNSPGSSSGLIAKRTIRVDIPVDNYPNFNFVGRLLGPRGNSLKRVEASTDCRVLIRGRGSIKDPIKEEMMRGKPGYEHLNEPLHILVEAELPIEIVDARLMQAREILDDLLTPMEETHDLYKKQQLRELALLNGTLREEGSPMSGSVSPYNSLGMKRAKTREG >fgenesh2_kg.8__1517__AT5G56150.1 pep chromosome:v.1.0:8:17345797:17347960:1 gene:fgenesh2_kg.8__1517__AT5G56150.1 transcript:fgenesh2_kg.8__1517__AT5G56150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRINKELRDLQRDPPVSCSAGPMGDDMFHWQATIMGPTDSPFAGGVFLVTIHFPPDYPFKPPKVSFRTKVYHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHIYKTDRVKYESMAQSWTQKYAMG >fgenesh2_kg.8__151__AT5G46380.1 pep chromosome:v.1.0:8:1025269:1027695:1 gene:fgenesh2_kg.8__151__AT5G46380.1 transcript:fgenesh2_kg.8__151__AT5G46380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MRX4] FQKVKSKREKKKEAKDASEFHSGSNRYREFKNGRDYYVDQSRGNKLNSYDTSNVQGIRNHLASSSTTADILGLSPPLNSAVSNVETTRVPTNSGETVPSLSVPSSRFITAWGCGTSGQRTMADVVKMGSASPKESVTKAPVKDVHENPVVPNQFSNSFIESAARRDQLQESASVSTQMSNDNYNNKNDETYQVKRCSFENNRTKDPFAPANLDQYINELKKLRFGRFGSGINGSGEHSSLPSQFLNDDSEDISGFADDLSLRRLNLRDGECHEEEQQQPRMNVANEQMAYEINCDQTEPIQENQYTSSSATDFSFYNSQLFNPVTAPSERSLQMQSLNTFPDTMHQQAYTRELDPWYLASPHNQSMPGASSLGRRLSVSMTEMNHLYSQPNVPSEHYSNMMNCPYSLPTQSDTYDMPTSAFRQHGGGNNNAYHLHPLVAPPLHRNSYPCLPTVAAGTSTRSSAYGSTNGSAYDSAYSFGMLSDNTANLRFEYEDDLHTRFSNHLASLQHQDRNSNMWTPQGHNESRRNYCSVFPGDQNQ >fgenesh2_kg.8__1520__AT5G56170.1 pep chromosome:v.1.0:8:17354786:17356151:1 gene:fgenesh2_kg.8__1520__AT5G56170.1 transcript:fgenesh2_kg.8__1520__AT5G56170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSRALFFFLLLSTTLSSFSSSSFISDGVFESQTLVLGRNLLQTKKTCPVNFEFMNYTVITSKCKGPKYPPKECCGAFKDFACPYTDQLNDLSSDCATTMFSYINLYGKYPPGLFANECKEGKEGLACPAGSQLPAQSTSDVNAATTSSSRLWLTIAAALFVFVKLF >fgenesh2_kg.8__1522__AT5G56180.1 pep chromosome:v.1.0:8:17356293:17359823:-1 gene:fgenesh2_kg.8__1522__AT5G56180.1 transcript:fgenesh2_kg.8__1522__AT5G56180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRKVWGSVWNRSNSGKDSVCHQRAMTHVAPPLLLSSSSSLGAFDQLPMDILVQILMMMEPRDAVKLGLTCKTWKCVAAGNRLWIFYLQCSQEPWDSIFFAETSLRSGYPLRMISSQSGELSFMHIYSQRAQVPGSIIIDGGSGYCKFGWSKYASPSGRSATFLEFGNIESPIYARLQHFFATIFTRMQVKPSMQPIVVSLPLCHFDDTESAKASRRQLKTAILNVLFDMNVPAVCAVNQAVLALYAARRTSGIVVNIGFQVITILPILQGKVMRQVGVEVISFGALKLTGFLKEKMQENNISFQSLYTVRTLKEKLCYVALDYKAELSKDTQASVEVSGEGWFTLSKERFQTGEILFQPRLAGMRAMSLHQAVSLCMDHCDAAGLTGDDSWFKTVVLTGGSACLPGLSERLDRELHEHLPSSISNGVRVIPPPCGVDTSWHGAKLISNLSTFPGPWCITRKQFRRKSRLMW >fgenesh2_kg.8__1525__AT5G56200.1 pep chromosome:v.1.0:8:17367601:17369057:1 gene:fgenesh2_kg.8__1525__AT5G56200.1 transcript:fgenesh2_kg.8__1525__AT5G56200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7ML89] MEHLRGYKTNCGFSLGKPRFGSMKVKIPRIQPEEEDDHGAKSMDLEEGDVEEVEEEEEEKKHICGECGKRFVSGKALGGHKRIHALETRKFSMMRPKMVSGMVGRSERGDLEVACCVCYKKFTSMKALYGHMRFHPDRGWKGVLPPPLPHPLGNSSSSTLSIDDHDDEFISSDYDDDDFDDDDDENSELWDSNLELENVVEPIKGWSTKGKRGSRSALKFDELDLKDPSPEDVKDIDDANGLLFLATTAEAAANLNVAETSASHSVEEKYLEMVKKRKKKQKRLSEMEKESTSTQDHQLDQVVVAAEEGGGAGARENSHVCVTCNKSFSSYQALGGHRASHNKVKILENHQARANAEASLLGTEAITTGLASAQGSNTSLSSSHNGDHVCNICHKSFSTGQALGGHKRCHWTGPVSTEAATAAPTSAPTAPAGASSSQVTETVQEVKKLKRKFLEFDLNELPPNEEE >fgenesh2_kg.8__1529__AT5G56240.1 pep chromosome:v.1.0:8:17380158:17384956:-1 gene:fgenesh2_kg.8__1529__AT5G56240.1 transcript:fgenesh2_kg.8__1529__AT5G56240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSTENPPNDPLSSSSSPPFLHLPTSSHELGQSHLSNFSIRDYAYSNRKNNIKNNWPFSSKSLQLFSTHGITDPLPPLQKVSTVSNQFETKASSSSGKQIVSYVHHGRDLAKLGLNQTLAETSKGGVSSQSRIIENGLFSSTSVSKSEVEIVVAATSNKKDNHSKKCGRGMVKSKEDSCGGLVTTSESIMASKTCPICKTFSSASNTTLNAHIDQCLSVDSALPPVVSSKPNKPRSKPRVKVKTMVDIYASAKEGTLEDLDKRNGTKWVSILSYTNRVVAEKSEVSKKRKVSPVGVGPVYIDAKGQKLRILSEFSEKKTSTTPSREQHEDGSGGKKCLSQGSKGNNKCLRKIRRVKKPHKYVKLTNHKANAPEIPGDQRGFSGEGSHTGHHRIHNQRMLAKRGLISKKLNEKGHELYGLRDQLSDDEDTWSGGDPTVLRGTDLFATDSYPLYKQKLGSEVARPKKALFGSKSAQSRSFRVPQSEKEDESLEGIQINTLRLKKSIASFQEDKYPPGKNFCSDAVDVSDASPRGTSMRKFSPPFVPNAWRRLSVPVELNKARLDFSEEEDEEETGKWESEMTQERELPDNDYVSGDNGERNEVLLRSNPSSSGYDDYNDDDDESSEEEEANNKRAHVLDKTDDMGAEFYQSDSPPSIEILPRERAMYYSEVGNMIYGQTSCKENERFDSVVGQGSLFVEVDTIPIPGPPGSFLPSPRDMGFDENLGNSSVITSQVQSSMDQLDRNSSESPVSAVSNFAAGRLNFPAELSSTFRENFSPDIAMSYSTTSMSFCVPSHHGTTEAEPITIDKTTLPSRFRNNDQESCCCQRKERISEGITRNHQGSHLLQRRAASSSITMNLTNSPTRLDPNHPFEQSPYKIQQALDLQSKFSSRTNPNAVVPPSPSNPVLRLMGKDLMVMNQGEADEEASRSSLTPTPQFVDPPCGGTGLYFNTGLYLRNSFESTQQPQAQTQPQPQPQAAAFRNNFDHVRYFSPS >fgenesh2_kg.8__152__AT5G46370.1 pep chromosome:v.1.0:8:1036244:1038356:1 gene:fgenesh2_kg.8__152__AT5G46370.1 transcript:fgenesh2_kg.8__152__AT5G46370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGNGDNNNDPLRQYLINRPPSLLPLPENNDVTIPMPITPSEFKNRLIFGPLPRSQKDSSLLIDALSLNPSPSSSATTSFSDSTDLLIPLTEPNKPTRKPKPTVNFHRSKTAPAMAGINDKSHPNDPKTEQQSDSKKIVKQAIALLVVYLSLGVFIYWLNRDSYNVKKTHPVVDALYFCIVTMCTIGYGDITPDSVVTKLFSILFVLVGFGFMDILLSGMVTYVLDLQENYMLETARNESLNLNDREKAKSYIIDVKKGRMRIRLKVGLALGVVVLCLGFGVLIMHFVEEIGWLDSFYFSVMSVTTVGYGDRAFNTLPGRLLAAIWLLVSTLAVARAFLYLAEARVDKRNRERAKKVLGENMSISQFFDADIDYNGCVSKAEFVIYKLKKMEKITDKDINPIGFQFDKLDRTNSGRITLLDLLETSTDDLSTATSI >fgenesh2_kg.8__1531__AT5G56250.1 pep chromosome:v.1.0:8:17393677:17398040:-1 gene:fgenesh2_kg.8__1531__AT5G56250.1 transcript:fgenesh2_kg.8__1531__AT5G56250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSSTDQTSPSNNNPSSSSNFLHLTNSSDELGQSHLSSFSIRDYAFSYRTKNIKKSWPFSSTSLQLCLKHGLSDPLPPIQPLGTVISHPPEVKKPNITHVEAISNKKKSEKLGSNQTLVEIKQGFENGLLASGSKSKIQVAMANKNPRKKGGLVVKPGACVDSGSKEDHSCLFSASESMALRTCPICKTFSSASNTTLNAHMDQCLSVDSGQQLISKPNRPKTKPRLKVKSMSDIYASAKEGTLEDLDKRNGTKWAMISSYSNRVVSDNKHEVVNKEKKRSVLRVRIDEDAAGIGPVYIDAKGQKLRILSKFNEKASDPSREYEDVCEKKSSSEGKGSKSLKKKLRGEKYYKHRKLVPQTRKVTVRKGNASEMPEYSRGYSKEGKDFERLETSGPGQRRIFNQRILTKRRLSRHGNKNGTKFCDQPSENGHSLSEDPLVSRGPSHVSTDLSETVSSPINSLGSWRICGESQVSGKSWALSRNKSVESDLFVANPLRCSIPVEKEFSSKAKGIMKFKKARLDFSENEDTGKWESEMTQERELSDYDDWDADDGETDKVVLSSNPSFSGEDNDYDSFEETGYNNKGDDAMLDKNNDADVEFESMIYEKTGCETAEQESSFMEVDPIPIPGPPGSFLPSPWDMGTDAIEHHGNSSVITSQVHSSQDQFDLTDRNSSESPVSAISHFAAPETQTLSLPNIITTDKRPSRFRDSDQSCCCQRKEKALEDTTFCQPPQRMIQQDLDFLSKSVPAVPSNPNPVLRLMGKDLMVINQREEPSHNESSPKPTSQFLHLSKTQQASPAVNHLHRPYSGSGYFDTSTRFYNIP >fgenesh2_kg.8__1532__AT5G56260.1 pep chromosome:v.1.0:8:17400743:17402725:-1 gene:fgenesh2_kg.8__1532__AT5G56260.1 transcript:fgenesh2_kg.8__1532__AT5G56260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-4-methyl-2-oxoglutarate aldolase [Source:UniProtKB/TrEMBL;Acc:D7ML95] MAAFATAEACDSNAELISNGDLRALHPIFKIYGQRRCFSGPVVTLKVFEDNVLVRNQLETKGEGGVLVIDGGGSMRCALVGGNLGQLAQNNGWSGIVVNGCVRDVDEINDCDVGVRALGSNPLKSTKKGHGEKNVPVHIGGTLIRDGEWLYADSDGILISMTELSV >fgenesh2_kg.8__1534__AT5G56280.1 pep chromosome:v.1.0:8:17410028:17412159:-1 gene:fgenesh2_kg.8__1534__AT5G56280.1 transcript:fgenesh2_kg.8__1534__AT5G56280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSGLTFKLHPLVIVNISDHYTRVKTQLNPPASICASGHGSNNGEAMIQQNPRVYGCVIGVQRGRTVEIFNSFELLYDPSTQTLDRSFLEKKQELYKKVFPDFYILGWYSTGSDADESDMHIHKALMDINESPVYVLLNPAINHAQKDLPVTIYESELHVIDGIPQLIFVHTSYTIETVEAERISVDHVAHLQPSDGGSAATQLAAHLTGIHSAIKMLNSRIRVLYQYLAAMQKDDIPCDNSLLRQVSSLLRRLPAMESERFKDNFLMEYNDKLLITYLAMITNCSSNMNELVDKFNTAYDRNTRRGGRTAFM >fgenesh2_kg.8__1535__AT5G56290.1 pep chromosome:v.1.0:8:17419172:17424742:1 gene:fgenesh2_kg.8__1535__AT5G56290.1 transcript:fgenesh2_kg.8__1535__AT5G56290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRDLVNGGAACAVPGSSSSSNPLGALTNALLGSSSKTQERLKEIPNANRSGPGPQFYSEDQQIRSLPGSELDQPGLQPGAQGSEFFRGFRSVDQNGLGAAWDEVHQGGPMPPMGPMYEPVQPTFEGPPQRVLSNFLHSFVESSRGGIPFRPAPVPVLGLSQSDKQCIRDRSSIMARHFFADRGEEFINSQVNALLSSLDIDDGIQARGHVPRRFRELDDYWNESQAIVKPNLHPADNWAAEFNQHGMDHGGPDSWVQSFEQQHGVNGWATEFEQGQSQLMSNQMRSMDMQNIAAMEQTRKLAHTLSQDGNPKFQNSRFLQFVSKMSRGELIIDENQVKQASVPGEWATEYEQQYLGPPSWADQFANEKLSHGPEQWADEFASGRGQQESAEDQWVNEFSKLNVDDWIDEFAPVGESSADAWANAYDEFLNEKNAGKQTSGVYVFSDMNPYVGHPEPMKEGQELFRKGLLSEAALALEAEVMKNPENAEGWRLLGVTHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQATALKYLYGWLRNHPKYGAIAPPELADSLYHADIARLFNEASQLNPEDADVHIVLGVLYNLSREFDRAITSFQTALQLKPNDYSLWNKLGATQANSVQSADAISAYQQALDLKPNYVRAWANMGISYANQGMYKESIPYYVRALAMNPKADNAWQYLRLSLSCASRQDLIEACESRNLDLLQKEFPL >fgenesh2_kg.8__1538__AT5G56320.1 pep chromosome:v.1.0:8:17444880:17446457:1 gene:fgenesh2_kg.8__1538__AT5G56320.1 transcript:fgenesh2_kg.8__1538__AT5G56320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXPA14 [Source:UniProtKB/TrEMBL;Acc:D7MLP7] MEIFGKVIMSLSLMMMLMWKSVDGYSSGWVNARATFYGGADASGTMGGACGYGNLYSQGYGTNTAALSTALFNGGQSCGACFQIKCVNDPKWCLRGTITVTGTNFCPPNFAQANNAGGWCNPPQHHFDLAQPIFLRIAQYKAGVVPVQYRRVACRRKGGIRFTINGHSYFNLVLITNVAGAGDVVSVSIKGTRTGWQSMSRNWGQNWQSNANLDGQALSFKVTTSDGRTVVSNNATPRNWSFGQTYTGKQFGAQR >fgenesh2_kg.8__1539__AT5G56325.1 pep chromosome:v.1.0:8:17450706:17451754:1 gene:fgenesh2_kg.8__1539__AT5G56325.1 transcript:fgenesh2_kg.8__1539__AT5G56325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPGGDEFFNTLISSCPILEDLVVKQILNDNVSMVTVRVPSLKRLVLNQEVKNAVNGIANGFVINTPSLECMDIVDLTTGCHIVENDMPNIVKAKVDIFHPQTEQLLGSITLSKYLHLCLPTSMNVSYPAGNVFNRLVHLRICTCETEWINLLMRMLRNSTSLRVLEIEQAHFLRSYQPRPCWSEPSSIPECLICNLETFKWEHYYGAEEEKEVTAFILRSSICLKKATIIPHKSIFHEKKLEMHKELSLLPICSPVCQLAFS >fgenesh2_kg.8__153__AT5G46350.1 pep chromosome:v.1.0:8:1043997:1046808:1 gene:fgenesh2_kg.8__153__AT5G46350.1 transcript:fgenesh2_kg.8__153__AT5G46350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 8 [Source:UniProtKB/TrEMBL;Acc:D7MRX8] MSHEIKDLNNYHYTSSYNHFNTNNQNMINLPYVSGPSTYNANMISSSQAGFDLPSKNLSPQGAFELGFELSPSSSDFFNPSIDQENGLYNAYNYNSSHKSHEVVGGGCATIKSEVRVSPSPSSSEADHHPGEDSGKIQRKREAGDGGEDDQRSQKVVKTKKKEEKKKEPRVSFMTKTEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCNVKKRVERSYQDPTVVITTYESQHNHPIPTNRRTAMFSGTTASDYNPSSSPIFSDLIINTPRSFSNDELFRVPYASVNVNPNYHQQQQGFHQQESEFELLKEMFPSVFFKQEP >fgenesh2_kg.8__1541__AT5G56340.1 pep chromosome:v.1.0:8:17461376:17463428:1 gene:fgenesh2_kg.8__1541__AT5G56340.1 transcript:fgenesh2_kg.8__1541__AT5G56340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MLQ1] MEETMASRYWCHMCSQMVNPIMESEIKCPFCQSGFIEEMSGNSGGGGGRGIRDVQDSETDFGTDRALSLWAPILLGMMSSPRRRRRFRRSEFGEENDDNGDELSNADGNDNNNNSNVYYHHRARRHGGEIDLDREFESILRRRRRSSGNILQLLQGIRAGIASEYESSDNDWDNSRERDRVIMINPYNQSLVVQGSSDQNPNHPSLTSLGDYFIGPGLDLLLQHLAENDPNRQGTPPARKEAVEALPTVKIMEPLQCSVCLDDFEKGTEAKELPCKHKFHIRCIVPWLELHSSCPVCRFELPSSADDDDETKIDSERPPRTRNVGESNNRNIVENVGNAERGRQDEARSGNGRRFSFPWPFSGLFSSSSSSSSSSSSGSQSAENNFYSRSSGSSR >fgenesh2_kg.8__1542__AT5G56350.1 pep chromosome:v.1.0:8:17463780:17466551:-1 gene:fgenesh2_kg.8__1542__AT5G56350.1 transcript:fgenesh2_kg.8__1542__AT5G56350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:D7MLQ2] MAMIEQRPKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSHEYHQETLDNLHQAMLNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKQGQEITISTDYDLKGDENTICMSYKKLAVDVNPGMVILCADGTISLLVLSCDKENGTVRCRCENSAMLGERKNVNLPGVVVDLPTLTEKDKEDIMQWGVPNQIDMIALSFVRKGSDLVQVRKLLGKHAKNILLMSKVENQEGVANFDDILVNSDAFMIARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICVEAESTLDYGDVFKRIMLYSPVPMSPLESLASSAVRTANSARATLIMVLTRGGSTARLVAKYRPGMPILSVVVPEIKTDFFDWSCSDESPARHSLIFRGLIPVLYAGSARASHDESTEEAIEFATQYGKEKELCKTGDSVVALLRVGNASVIKILTVK >fgenesh2_kg.8__1544__AT5G56368.1 pep chromosome:v.1.0:8:17474076:17474777:1 gene:fgenesh2_kg.8__1544__AT5G56368.1 transcript:fgenesh2_kg.8__1544__AT5G56368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATSYIALTYLLASALLTTVTLENRQCVQGMDCTDVCSHGGLCTENGKCVCWSPNAVINSGPPCWSDEMCFSTCGGNGGYCNYDIGGCYCQ >fgenesh2_kg.8__1546__AT5G56370.1 pep chromosome:v.1.0:8:17479371:17486203:-1 gene:fgenesh2_kg.8__1546__AT5G56370.1 transcript:fgenesh2_kg.8__1546__AT5G56370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MLQ8] MDSISLLPDDFLLHILSLLPTKDVLNTSVLSKRWRYLWKLVPKLQYIDIDENADHGIFVRFVDRSLLLSMAPVLESLHLKLGRQCSDVDIGFWVRVAVEQGLRELNFDYEHYQIVPCRLPQSLFTCGTLVVLKLKNVSLKDVQFPVCFKLLKTLHLESVIFLDDESPKKLLSSCPILEVLDLTREDDDVDNVTSFSVMVPSLQRLIYNGGFGAELVMNTPSLKYFKTLDCGYECMIEYLPEIVEAHVEVTCSNTDDILKSLASVKRLLLCLPSEPELPTGSIFHQLEHLDFCTCDTEWDLLMFMLKHSPKLRSLMLNDVSITHGYTIVSQSPMFHWEEPNSVPETLMFVLETLEWRNYRGWKIEKELATFILKHSRRLKIATFSPADCPQVRMELRTTVGMKYRILTELARLPRGSAECEFVFG >fgenesh2_kg.8__1548__AT5G56400.1 pep chromosome:v.1.0:8:17522261:17523822:-1 gene:fgenesh2_kg.8__1548__AT5G56400.1 transcript:fgenesh2_kg.8__1548__AT5G56400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MLR3] MNLIRFFLVFQGKIQVKESAKIFNCQRGQFNVDKISDLPEDLLVHILSLLPTTNDIVATSGVSKRWKSLWKKVHKLRFNDQIYHGKKYESFLHFVEKALVLHKAPTLVSLRLSVGPKCTADDIGLWIKLALDRNICELIIKHYADHGHIRLSRRLCDSKTLVSLKLKNAILGAICLPACFSSLKTLHLRYAKYSGDEFVRNLISSCPSLQNLVVKRHNEDNVKRFVIIVRYLKSLTVYLSPLHDVADSYAYVINTPNLKYLNIKDHYTALCSFEDMPYLDEANLDVAFTHTEKFFESLTSVKKLSLCLKKSEAQYPEGIIFSQLVHLELCTCDDSKWLYLLAKLLRDSPKLRVLKLNDKNHDFVSKYCTSSWNQQPSYVPQCLTENLEIFEWRNYKATFKERDVAVYILKNSTCLKKSVISPKFKLSGEICDHHIIREDLASMFMGSSSCELKLD >fgenesh2_kg.8__1549__AT5G56430.1 pep chromosome:v.1.0:8:17545778:17547221:-1 gene:fgenesh2_kg.8__1549__AT5G56430.1 transcript:fgenesh2_kg.8__1549__AT5G56430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNISDLSNDLLVKILLLIPSKVAVSTSLLSKRWGSVWKLIPKLDYDDTYSASASEFIGNLYTHETLVVLRLMKVTIDDVPSTTCFRSLKTLSLLDVFFTSDKTVERLLSCFPILETLVVDRWGGENVKTFAICVPSLQSLKIRYRVGGYHDPKNDHGFVINAPSLKYLDIVDHFSGFYSLVNMPEQLDAEIHVRHCDSETLLGYLTSSKKLSLCLKPQMGSYPEGDFDQLVSLDLCVMCSLDWLNLILSRSPKLRALRLYQSRERGGSCRNSRNVRTKWEQPSSVPECLLVSLKTVEWILYKGTQEEKDVVKYLLENGNFIKTMSIGFSSVITLEERNKIQLEFESMPRSSRRCQLSFT >fgenesh2_kg.8__154__AT5G46340.1 pep chromosome:v.1.0:8:1050363:1054103:1 gene:fgenesh2_kg.8__154__AT5G46340.1 transcript:fgenesh2_kg.8__154__AT5G46340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPGPITPGQVSFLFGVIPIFVGWIYSESLEYRKSLVPLKPHSDNNLVELGDVAEKDDDKADLLEGGLTRATSVRFHNSSIRTNIVRFLSMEDSFLLEHRATLRAMSEFGAILIYFYICDRTELLGDSTKHYNRDLFLFLYVLLIIVSAMTSLRKHNDKSPITGKSILYLNRHQTEEWKGWMQVLFLMYHYFAAAEIYNAIRIFIAAYVWMTGFGNFSYYYVRKDFSVARFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIFSKYNDIGSVMALKIFSCFLVVFLLWEVPGAFEIFWGPLTFLLGYSDPAKPDLHRLHEWHFRSGLDRYIWIIGMIYAYYHPTVERWIEKLEDCETKKRLSIKTAIVTITVLVGYVWYECIYKLDKNSYNMYHPYTSWIPITVYICLRNFTHQLRSVSLTLFAWLGKITLETYISQFHIWLRSNMPDGQPKWLLSIIPGYPMLNFMLTTAIYVLVSHRLFELTNTLKTVFVPTKDSKRLFSNFIAGIAIALPLYCFSFVLLQIHR >fgenesh2_kg.8__1550__AT5G56450.1 pep chromosome:v.1.0:8:17553274:17554841:-1 gene:fgenesh2_kg.8__1550__AT5G56450.1 transcript:fgenesh2_kg.8__1550__AT5G56450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7MLR9] MCISKEDEDPSRNRRNHSPLSLPQTLKHFQKDLLAGAVMGGVVHTIVAPIERAKLLLQTQESNIAIVGDDGHAGKRRFKGMFDFIFRTVREEGVLSLWRGNGSSVLRYYPSVALNFSLKDLYRSILRNSSSQENHIFSGALANFMAGSAAGCTALIVVYPLDIAHTRLAADIGKPEARQFRGIHHFLSTIHKKDGVRGIYRGLPASLHGVIIHRGLYFGGFDTVKEIFSEDTKPELALWKRWVLAQAVTTSAGLASYPLDTVRRRIMMQSGMEHPMYRSTLDCWKKIYRSEGLASFYRGALSNMFRSTGSAAILVFYDEVKRFLNWGGI >fgenesh2_kg.8__1551__AT5G56452.2 pep chromosome:v.1.0:8:17560513:17561321:-1 gene:fgenesh2_kg.8__1551__AT5G56452.2 transcript:fgenesh2_kg.8__1551__AT5G56452.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MLS1] WVHKIVINVPSLKYLNFEDFYGHDLYLCENMPEFMEAIVKVVYNSPKKLLESLPSVKNLYLCSTASKPSMIQHRIGFYHLVHLEICQHSPGWWDLLSWMLDSSPKLQVLKLNKCKERPCFTSFIEHCWRGPSSVPECLRLHLSTFEWKYYNGRDEEKKVVAYILKNARQLKTAAFSVQRVFTTEERFQKHKELVSLPRASSSCKLMLD >fgenesh2_kg.8__1555__AT5G56500.1 pep chromosome:v.1.0:8:17577174:17580873:1 gene:fgenesh2_kg.8__1555__AT5G56500.1 transcript:fgenesh2_kg.8__1555__AT5G56500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7MLS6] MASTFSATSSMGSCLAPPSNRLSSFVSISSSSFGKSQTFAQRKARFPKIYAAKQLHFNKDGTAIKKLQAGVNKLADLVGVTLGPKGRNVVLESKYGSPRIVNDGVTVAREVELDDPVENIGAKLVRQAASKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTKALVAELKKMSKEVEDSELADVAAVSAGNNYEVGNMIAEAMAKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMSAEYENCKLFLVDKKITNARDIINILEDAIKGGYPLLIIAEDIEQEPLATLVVNKLRGTIKVAALKAPGFGERKSQYLDDIAALTGATVIREEVGLQLEKVGPEVLGNAGKVVLTKDTTTIVGDGSTEEVVKKRVEQIKNLIEAAEQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKETLANDEEKVGADIVKKALSYPLKLIAKNAGVNGSVVSEKVLSSDNPKHGYNAATGKYEDLMAAGIIDPTKVVRCCLEHASSVAKTFLMSDCVVVEIKEPESAAPAGNPMDNSGYGF >fgenesh2_kg.8__1557__AT5G56510.1 pep chromosome:v.1.0:8:17585311:17587489:1 gene:fgenesh2_kg.8__1557__AT5G56510.1 transcript:fgenesh2_kg.8__1557__AT5G56510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APUM12 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MLS7] MDQRRGNELDEFEKLLGEIPKVTSGNDYNHFPICMSSSRSSQSIKKMDQYLPDDRAFTTSFAEANLHFGIPNQAPESPHPLFINPTYHSPSSSPCVYDKFDSRKLDPVMFRKLQQVGCFPNFSSGISPAQPQHYLPHSQSLSHYQSPMNWRNMEEENFQRLKLQEEQYLSMNPHFLHLQGMDTVPRQEHFDYRRAEQSNRNLFWNGEDDNESVRKMCYPEKILMRSQLDLNTAKVIKYGAGDDSQNGRFWLQNQLNEDHLTMSLNNLSLQPQKYNSLAEARGKIYYLAKDQHGCRFLQRIFAEKDGNDIEMIFDEIIDYISELMIDPFGNYLVQKLLEVCNEDQRMQIVHSITRKPGLLIKISCDMHGTRAVQKIVETAKREEEISIIISALKHGIVNLIKNVNGNHVVQRCLQYLLPHCGKFLFEAAITHCVDLATDRHGCCVLQKCLGYSEGEQKQHLVSEIASNALLLSQDPFGNYVLQYVFELQLQWATFEILEQLEGNYTELSMQKCSSNVVEKCLKLADDKHRARIIRELINYGRLDQVMLDPYGNYVIQAALRQSKGNVHALLVDAIKLHISSLRTNPYGKKVLSALSSKK >fgenesh2_kg.8__1559__AT5G56530.1 pep chromosome:v.1.0:8:17596245:17598942:1 gene:fgenesh2_kg.8__1559__AT5G56530.1 transcript:fgenesh2_kg.8__1559__AT5G56530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAHFSKERVFGGFLFWFCFWGLLSLTCAGRLSVSKQNLEVHKHLNRLNKPAVKSIQSPDGDIIDCVHISKQPAFDHPFLKDHKIQMKPSYSPESLFDESKVSEKPKERVNPVTQLWHQNGVCSEGTIPVRRTKKEDVLRASSVKRYGRKKHRSVPLPRSADPDLINQSGHQHAIAYVEGGKFYGAKATINVWEPKVQNSNEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSQIAMGASISPVSGFHNPQYDISITIWKDPKEGHWWMQFGDGYVLGYWPSFLFSYLADSASIVEWGGEVVNMEEDGHHTTTQMGSGQFPDEGFTKASYFRNIQVVDSSNNLKEPKGLNTFTEKSNCYDVEVGKNDDWGHYFYYGGPGRNPNCQ >fgenesh2_kg.8__155__AT5G46320.1 pep chromosome:v.1.0:8:1062299:1062842:1 gene:fgenesh2_kg.8__155__AT5G46320.1 transcript:fgenesh2_kg.8__155__AT5G46320.1 gene_biotype:protein_coding transcript_biotype:protein_coding SARRVRSSTRQTRILKNQAKLDCLIAELEQSKAYEKVLRKGQEQNLKNYNRKEISDLKLEDLVVFKKKLENLQNDLEKKRVVLKASLS >fgenesh2_kg.8__1560__AT5G51960.1 pep chromosome:v.1.0:8:17607275:17607853:1 gene:fgenesh2_kg.8__1560__AT5G51960.1 transcript:fgenesh2_kg.8__1560__AT5G51960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVVIAARVYRELLKAVVKHVGKEDYKSHFIDFVKQEFRKNANSETINLARNYTYLLNSIHSHKDLLFSYNIAVDRTEEMKRVLNKSAASVGLRLPEVYEP >fgenesh2_kg.8__1562__AT5G56570.1 pep chromosome:v.1.0:8:17623927:17625732:1 gene:fgenesh2_kg.8__1562__AT5G56570.1 transcript:fgenesh2_kg.8__1562__AT5G56570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCQKKKQKELETPTELSDMPDELILKIFSLLPFFKEDLATRFISEYGKGHWKPEPNVIFDDQSIDMSFVYGSLMSKDAQILDSLHLKLNNYYSASDINFLVQLGVNRSVRELRIDLFGKTLELPCCLSTCTTLKELVLDNLSIRPVPRWFRLPSLETLQLSSVAGGNSGASLIRIYSVHERLVVHQNRYDDVTIININVPTLRSLSIDNRKGMYGRTGGFWINAPSLMFLNIKDTFSNCIMFESMPAMIKSDIEVTHNQSEKFLESLTSIRHLSLCSPTSMTPYPTDTKFPNLEHLKLCTCSAGWQNLLACMLNDAPNLRSLTLKLRHKNDINPKGIWEKPTVVPECLSTRLEILKWRDYEGTEHEKDMVGYILANATFLQRATFSTKCRDQCDSRFSELQSMERVSEICEFVFD >fgenesh2_kg.8__1563__AT5G56580.1 pep chromosome:v.1.0:8:17625816:17627849:-1 gene:fgenesh2_kg.8__1563__AT5G56580.1 transcript:fgenesh2_kg.8__1563__AT5G56580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMKK6 [Source:UniProtKB/TrEMBL;Acc:D7MLT9] MVKIKSNLKQLKLSVPAQESPISSFLTASGTFHDGDFLLNQKGLRLMSDEKQSRQSDSKELDFEITAEDLETVKVIGKGSGGVVQLVRHKWVGKFFAMKVIQMNIQEEIRKQIVQELKINQASSQCPHVVVCYHSFYHNGAFSLVLEYMDRGSLADVIRQVKTILEPYLAVVCKQVLLGLVYLHNERHVIHRDIKPSNLLVNHKGEVKISDFGVSASLASSMGQRDTFVGTYNYMSPERISGSTYDYSSDIWSLGMSVLECAIGRFPYLESEDQQNPPSFYELLAAIVENPPPTAPSDQFSPEFCSFVSACIQKDPPARASSLELLSHPFIKKFEDKDIDLGILVGTLEPPVNYLR >fgenesh2_kg.8__1567__AT5G56610.1 pep chromosome:v.1.0:8:17632877:17634528:1 gene:fgenesh2_kg.8__1567__AT5G56610.1 transcript:fgenesh2_kg.8__1567__AT5G56610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7MLU2] MTGGTEEDDTTQQRSSRNDGVSKKKKKSVGSKGEKAKRALIGAGGRILFYPTLLYNLVRFKLQSQFRWWDQIDEFLLMGAVPFRKDVPRLKQLGVGGVITLNEPYETLVPSSLYNAYEMEHLVIPTRDYLFAPSIADITRAVNFIHKNALLGKTTYVHCKAGRGRSTTVVLCYLIEHKSMTVAAAFEHVRSIRPRVLLHASQRKVVEEFNRLQSPLSESAFIATSDDIVS >fgenesh2_kg.8__1569__AT5G56620.1 pep chromosome:v.1.0:8:17634728:17637779:-1 gene:fgenesh2_kg.8__1569__AT5G56620.1 transcript:fgenesh2_kg.8__1569__AT5G56620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC099 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MLU3] MKNSKCNLIDSKLEEHHHLCGSKHCPGCGRMIQAATKPNWVGLPAGVKFDPTDQELIEHLEAKVKGKEENKKWSSSHPLIDEFIPTIDGEDGICYTHPQKLPGVTRDGLSKHFFHKPSRAYTTGTRKRRKIIQTDHDSEVTGSSETRWHKTGKTRPVMINGQQRGCKKILVLYTNFGKNRRPEKTNWVMHQYHLGINEEEREGELVVSKIFYQTQPRQCVSNIHWSDNHGSKDMIGIGVGDEISVAATLQTLGSGVGDIVSRVNMNPYTRGFDEGTSEASKGRENQRVYGTCEEVHNEILTSSTSSMSSHHMIHDHHNQHHQIGDRRDLHMSSSYPMTPTITSRNDSIFHGTSTMPFQGSVVWFGIRRPNYGLYHSYLHRRRKFLTNTCI >fgenesh2_kg.8__156__AT5G46310.1 pep chromosome:v.1.0:8:1066667:1067949:-1 gene:fgenesh2_kg.8__156__AT5G46310.1 transcript:fgenesh2_kg.8__156__AT5G46310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVPPSKPSKTVEEDDPKLETFKEPNLDSIDSSFGKREERNLNAVDQSPAKDSESVVCDQTKVKTSVDPPLDFSFKDSVAGFDSLDWFWRTPSFEQLRVELSEEPLDLYLKDSFNSIASLRHVYVATEPEWVVSEPFVPVSCATVNFNETEVKKRDDQKDRGEKAKNKTLNDEILSISSSRRAEEKKSDDEEDKDGDDKRVEDSLRTKEATMSKASKKFLWLNQQVLEEEVQKNMATKRRSEETKTCSTSNKKNKNEVVSPRRLGAETWLSLPYPYDHYPIRYQIIQSSSQMQNSRIGTEPLVTFLGQNRLNQPIGGIVGLSEFPQVIEEHCSPPNVPPLPNLNLQTHPQPHLGGRVRKEQP >fgenesh2_kg.8__1570__AT5G56630.1 pep chromosome:v.1.0:8:17642118:17645304:1 gene:fgenesh2_kg.8__1570__AT5G56630.1 transcript:fgenesh2_kg.8__1570__AT5G56630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:D7MLU4] MSSPRSNKPKIVNGPGGYILQDVPHLIDYLPDLPTYPNPLQDNPAYSVVKQYFVDADDSVPEKVVVHKDGPRGIHFRRAGPRQKVYFESDEVHACIVTCGGLCPGLNTVIREIVSSLSYMYGVKRILGIDGGYRGFYAKNTIPLNSKVVNDIHKRGGTILGTSRGGHDTTKIVDSIQDRGINQVYIIGGDGTQRGASVIFEEIRRRGLKVAVVGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESNENGIGFVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEFVERRLKENGHMVIVLAEGAGQDLMCKSMESTLKDASGNKLLKDVGLWLSQSIKVHFNKNKMVMNLKYIDPTYMIRAVPSNASDNVYCTLLAQSAVHGAMAGYTGYTSGLVNGRQTYIPYYRITETQNNVVITDRMWARLLSSTNQPSFLGPKDIPEEKKELPETPLLDDGAVDIPPVTKEVTK >fgenesh2_kg.8__1571__AT5G56640.1 pep chromosome:v.1.0:8:17645799:17648186:-1 gene:fgenesh2_kg.8__1571__AT5G56640.1 transcript:fgenesh2_kg.8__1571__AT5G56640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISVENPVFVHEDSTTQKKTGELRMDSGIPMSKISSNDEVFLAPEMNAFGRQFRDYTDTNSERQKSVEHFYKTQHINQSLDFVKKMRSEYGKLDKMVMNIWECCELLNEVVDESDPDLDEPQIQHLLQSAEAIRKDYPNEDWLHLTALIHDLGKVLTLPQFGGLPQWAVVGDTFPVGCAFDESNVHHKYFMENADFNNPKYNTKTGIYSEGCGLENVLMSWGHDDYMYLVAKENGSTLPSSGLFIIRYHSFYPLHKAGAYTHLMNEEDKENLKWLHVFNKYDLYSKSKVHVDVEKVKPYYMSLIKKYFPENLRW >fgenesh2_kg.8__1573__AT5G56650.1 pep chromosome:v.1.0:8:17652032:17653881:1 gene:fgenesh2_kg.8__1573__AT5G56650.1 transcript:fgenesh2_kg.8__1573__AT5G56650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNFLTFQLLLLLRVSSESSWIAGDVSQIQKNLLESAKSPDVFDWMVRIRRKIHENPELGYEEFETSKLIRSELDLLGIKYRYPVAITGIIGYIGTGEPPFVALRADMDALPIQEAVEWEHKSKIPGKMHACGHDGHVAMLLGAAKILQEHRHDLQGTVVLIFQPAEEGLSGAKKMREEGALKNVEAIFGIHLSPRTPFGKAASRAGSFMAGAGVFEAVITGKGGHAAIPQHTIDPVFAASSIVISLQQLVSRETDPLDSKVVTVSKVNGGNAFNVIPDSITIGGTLRAFTGFTQLQQRIKEIITKQAAVHRCNASVNLTPKGREPMPPTVNNMDLYKQFKKVVRDLLGQEAFVEAAPEMGSEDFSYFAETIPGHFSLLGMQDETNAYASSHSPLYRIKEDVLPYGAAIHATMAVQYLKEKASKGSVSGSHDEL >fgenesh2_kg.8__1574__AT5G56680.1 pep chromosome:v.1.0:8:17657949:17660360:1 gene:fgenesh2_kg.8__1574__AT5G56680.1 transcript:fgenesh2_kg.8__1574__AT5G56680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2755 [Source:UniProtKB/TrEMBL;Acc:D7MLU9] MADEIAPPANQLAAVSLGNDGSTVQKAQFSNRVLIRTILDRPDGGAKLAGQKIRIGGWVKTGREQGKGAFAFLEVNDGSCPANLQVMVDSSLYDLSKLVATGTCVTVDGVLKVPPEGKGTKQRIELSVVKVIDVGIVDATKYPLPKTKLTLEFLRDVLHLRSRTNSISAVARIRNALAFATHSFFQEHSFLYIHTPIITTSDCEGAGEMFQATTLINYTERLEQDLIDNPPPTEADVEAARLIVKERGDVVAKLKAAKASKEEITAAVAELKIAKESFAHIEERSKLKPGLPKKDGNVDYSKDFFGRQAFLTVSGQLQVETYACALSSVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFADLEDDMNCAEAYVKYMCKWLLDKCRDDMELMAKNFDSGCIDRLELVESTPFGRITYTEAIELLEEAVAKGKEFDNKVEWGIDLASEHERYLTEVLFQKPLIVYNYPKGIKAFYMRLNDDEKTVAAMDVLVPKVGELIGGSQREERYDVIKKRIEEMGLPIEPYEWYLDLRRYGTVKHCGFGLGFERMILFATGIDNIRDVIPFPRYPGKADL >fgenesh2_kg.8__1576__AT5G56700.1 pep chromosome:v.1.0:8:17665039:17676167:1 gene:fgenesh2_kg.8__1576__AT5G56700.1 transcript:fgenesh2_kg.8__1576__AT5G56700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLDVDVKSWAGIAVSRCVRELSIYFHFYKEPGLLLPSILYTCKSLVTLKLEGYKILVDVPPTVCLPSLKTLRLRWVKYLKEDSLGLLLSHCPVLEDLSIERCHNDNVRALVVIVSSLQRLSLIIDSGCSSDAYMIVTPSLKYFKVVDPRDSLSYLIEHMPELEEADINVKQNIEKLLVSITSVKRLSLQVGFRINKETVYRNGIVFNRLENLKLCICNDDWSKLLVQLLKDSPNLRVLNLLVDDYPSIYGEYEPVRWKNNKSSVPKCLLNSLETFEFA >fgenesh2_kg.8__1578__AT5G56710.1 pep chromosome:v.1.0:8:17677131:17678206:-1 gene:fgenesh2_kg.8__1578__AT5G56710.1 transcript:fgenesh2_kg.8__1578__AT5G56710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L31 [Source:UniProtKB/TrEMBL;Acc:D7MLV1] MSEKKGRKEEVVTREYTINLHRRLHKCTFKKKAPNAIKEIRKFAEKAMGTKDVRVDVKLNKQIWSKGIRGPPRRIRVRVARKRNDDEDAKEEFFSLVTVAEIPAEGLSGLGTKVIEEED >fgenesh2_kg.8__157__AT5G46300.1 pep chromosome:v.1.0:8:1069132:1070273:-1 gene:fgenesh2_kg.8__157__AT5G46300.1 transcript:fgenesh2_kg.8__157__AT5G46300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGEMSTKETTNVKLIPGYENVMELVQRREEMKAVEKRMKNFIGEKKIDDLNLRELIEFEKKLEAKQPKKMEKICLKDMTEETKDLIILKEFVNFKIGEMQTKISSPQDDEFDIIMEVGKKEDVKGLKMRMKVFIGEKEFEDIHLEELIEFSRKLEALYPKSVKDVHLDDMKGEIKDIIIMKEIVKKMIAKRDPTSSKTTIIDDYF >fgenesh2_kg.8__1580__AT5G56730.1 pep chromosome:v.1.0:8:17680183:17685923:-1 gene:fgenesh2_kg.8__1580__AT5G56730.1 transcript:fgenesh2_kg.8__1580__AT5G56730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M16 family protein [Source:UniProtKB/TrEMBL;Acc:D7MM64] MDLIAGESSKVLRKQGFRSLKLMSVDMEQELGNEPEPFGADYGRLDNGLVYYVRRNSKPRMRAALALAVKVGSVLEEEDQRGVAHIVEHLAFSATTRYTNHDIVKFLESIGAEFGPCQNAMTTADETIYELFVPVDKPELLSQAISILAEFSSEIRVSNEDLEKERGAVMEEYRGNRNATGRMQDSHWQLMMEGSKYAERLPIGLEKVIRSVPAATVKQFYQKWYHLCNMAVVAVGDFPDTKTVVDLIKTHFEDKRSSSEPPEIPVFPVPSHEETRFSCFVESEAAGSAVMISYKMPVSDLKTVKDYRDMLAESMFLHALNQRLFKISRRKDPPFFACSVAADVLVARVRLHGFSEREISVVRALMMSEIESAYLERDQVQSTSLRDEYIQHFLHKEPVIGIEYEAQLQKTLLPQISASDVSKYSEKLRTSCGCVIKSMEPKSAATIDDMRNVVSKVNSLEEEKMIAPWDEEKIPEEVVSEKPTPGYITHQLEYPEVGVTELTLSNGMQVCYKSTDFLDDQILFTGFSYGGLSELPESDYISCSMGSTIAGEIGMFGYKPSMLMDMLADLETALQLVYQLFTTNVMPQEEEVGIVMQMAEEAVRARERDPYTVFANRVKELNYGNSYFFRPIRISELRKVDPLKACEYFNSCFRDPSTFTVVIVGNLDPTIALPLILQYLVSGIPKPPQPVLNFNRDDLKGLPFTFPTKITREFVRSPMVEAQCSVQLCFPVQLTNGTMIEEIHCIGFLGKLLETKIIQFLRFEHGQIYSAEVSVFLGGNKPSRTADLRGDISVNFSCDPEISSKLVDLALEEIVRLQEEGPSQEDISAILEIEQRAHENGLQENYYWLDRILRGYQSRVYAGDLGASCKILEEGRLRMRESLAPQTAQAALQRILPHPSKKQYTAVILMPQRSRFGFLPSIFSSRSETPYIRDTKILAGVAGLGVLVFGIWRYSRK >fgenesh2_kg.8__1581__AT5G56740.1 pep chromosome:v.1.0:8:17686146:17689033:-1 gene:fgenesh2_kg.8__1581__AT5G56740.1 transcript:fgenesh2_kg.8__1581__AT5G56740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MM65] MVQKQQAAAGPVTEPKKRRRVGFSPADTGVEANECIKIYLVSSKEEVDSPDISCVKPVDLNDFFDGDGKIYGYQGLKINVWINSISLHSYADITYQSTTNGDKGITDLKSALQNIFAETIVDNKDEFLQTFSTQSDFIRNMVSNGEVMRSGATDGSSNNAEVVPSDLQVIRMEIGSPNAGLLYSRLVPLVLLFVDGSNPIDVTDPDWHLYLLIQKKEEKEDPLYRIVGFTAIYKFYRYPDRLRMRLSQILVLPSFQGKGLGSYLMEVVNNVAITEDVYDLTVEEPSEKFQHIRTCIDINRLRSFDPIKPDIDSAVQTLTKGKLSKKAQIPRFTPPLNAIEKVRESLKINKKQFLKCWEILIYLALDPIDKYMEDYTSVITNHVRTDILGKDIETPKKQVVDVPSSFEPEASFVVFKSVNREEANTNVQVDENKPDQEQQLKQLVEERIREIKLVAEKVSKSCLEFFSYQKLFVE >fgenesh2_kg.8__1582__AT5G56750.1 pep chromosome:v.1.0:8:17690211:17693289:1 gene:fgenesh2_kg.8__1582__AT5G56750.1 transcript:fgenesh2_kg.8__1582__AT5G56750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ndr family protein [Source:UniProtKB/TrEMBL;Acc:D7MM66] MTDSYGAVSVDVGTIYLGGKEHRVKTASGVVSVIVYGDREKPALITYPDLALNHMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAPICPNDSAPSAENLADQILEVLNFFGLGVVMCMGVTAGAYILTLFAMKHRERVLGLILVSPLCKAPSWSEWFYNKVISNLLYYYGMCGVVKEFLLQRYFSKEVRGNVEIPESDIAQACRRLLDERQSVNVMRFLDAIDRRPDISSGLKKLKCRTLIFIGDQSPFYSEAVHMAATLDRGYCALVEVQACGSMVTEEQPHAMLIPMEYFLMGYGLYRPSLFTESPRSPLSPSCISPELLSPESMGLKLKPIKTRISAA >fgenesh2_kg.8__1583__AT5G56760.1 pep chromosome:v.1.0:8:17693760:17695180:-1 gene:fgenesh2_kg.8__1583__AT5G56760.1 transcript:fgenesh2_kg.8__1583__AT5G56760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine O-acetyltransferase Sat-52 [Source:UniProtKB/TrEMBL;Acc:D7MM67] MPPAGELRHQSPSKDKQSSDTQSTEAAAAAAAAISAAAADAEAAGLWTQIKAEARRDAEAEPALASYLYSTILSHSSLERSISFHLGNKLCSSTLLSTLLYDLFLNTFSSDPSLRNATVADLRAARVRDPACISFSHCLLNYKGFLAIQAHRVSHKLWTQSRKPLALALHSRISDVFAVDIHPAAKIGKGILLDHATGVVIGETAVIGNNVSILHHVTLGGTGKACGDRHPKIGDGCLIGAGATILGNVKIGAGAKVGAGSVVLIDVPCRATAVGNPARLVGGKEKPTIHDEECPGESMDHTSFISEWSDYII >fgenesh2_kg.8__1585__AT5G56790.1 pep chromosome:v.1.0:8:17700783:17704426:1 gene:fgenesh2_kg.8__1585__AT5G56790.1 transcript:fgenesh2_kg.8__1585__AT5G56790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MM70] MKQKGFKERGVVVGKKVMVAVRASKEIPKAALLWTLTHVVQPGDRIRLLVVVPSNYTSKKIWGFSRFTSDCASGYGRFLAGTNSDRKDDIHESCSQMMFQLHNVYDAEKINVRIKIVFASPDGVIAAEAKKSNSNWVILDRGLKYEKKCCIEQLECNLVVIKKSQPKVLRLNLVKNADTEHPEALSRLASKSVESWRSSRTGKKLREPFVTPASSPDQEVSSHTDIGTSSISSSDAGASPFLASRVFEGLKEENLWVNDGNKSFFESDSDSDGEKWSPLSMASSSSHPVTTADILSPSGDLLKAHTETPRKSRFAVLRLALSKREPEPGKEIRNSDTCLNKSVREVVSLSRKPAPGPPPLCTICQHKAPKFGNPPRWFTYGELETATKGFSKGSFLAEGGFGSVHLGTLPDGQIIAVKQYKIASTQGDREFCSEVEVLSCAQHRNVVMLIGLCVEDGKRLLVYEYICNGSLHSHLYGMGREPLGWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILLTHDFEPLVGDFGLARWQPEGDKGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELITGRKAMDIKRPKGQQCLTEWARPLLQKQAINELLDPRLMNCYCEQEVYCMALCAYLCIRRDPNSRPRMSQVLRMLEGDVVMNPI >fgenesh2_kg.8__1586__AT5G56810.1 pep chromosome:v.1.0:8:17716471:17717930:1 gene:fgenesh2_kg.8__1586__AT5G56810.1 transcript:fgenesh2_kg.8__1586__AT5G56810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MM73] MEEVTYPDRISQLPNDLLFRILSSIPVSDAMSTSLLSKRWKSVWKMLPRLVYNENLCPNIGSLGFDQFCGRSLSLHEAPLLKTLNLKLRNQSDSLDSLIFPNIRSTLLEMSIKSTDYPCYYSTISFPNNLDVFQTLVVLKLQGNITLDVVDSPVCFQSLKSLYLKCVNFKNEESFSKLLSACPVLEDLFLQRLCSVGRFLFTISVPSLQRLTYNKEQCYYSNDEAILEITAPSLKYLNIFDRVGVFSFIEDMDKLVEASVKVNLSKNEKLSKALTSVEHLSLDLYPSMVFHLNDRFISKQLLHLELDIYDNFRSNLLLSFLKDLPKLQSLKLNHTHPNYTVEDQPSSVSGPSSVPECLSFHLETFQWIGYAGTIEETEAAVYVLKNARCLKNATISLHSRGTENDLMMIKELESMSKASNMCQLLVKF >fgenesh2_kg.8__1587__AT5G56820.1 pep chromosome:v.1.0:8:17719142:17720643:1 gene:fgenesh2_kg.8__1587__AT5G56820.1 transcript:fgenesh2_kg.8__1587__AT5G56820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MM74] MMPTLDLDEDSCRNLGSLRFDEVCCSFLPSHEARVLTSLNLKLMKKSNDIDRLLSNIQSILHELTITSYSWSRISFPRNLNVCQTLVVMKLQDKVLVDVFSPPVCFRSLKTLHLTRVKYSCQESFRRLLSVCPVLEDLYLFIDRVRYECLPSFTIFVPSLQRLSICEESYSRTLFEISVPSLIYLKIAARYGWFKFFEDMPKLVEAHVEVNPYEINNLLRCLTSVERLSIEFFSSMDIVLTDRIFHRLLYLELHMHNGLQENQILSLLKHSPNLQALKLNEKPSCSVRDQPRFVPKPNSVPECLTFHLETLEWRGYAGRPEDKEIAVYILGNALRLNTAKISRYFSSSRFRPRHHQKKDRKIVKELKSLSKASTSCQLVIQRQYYP >fgenesh2_kg.8__158__AT5G46295.1 pep chromosome:v.1.0:8:1072284:1072609:1 gene:fgenesh2_kg.8__158__AT5G46295.1 transcript:fgenesh2_kg.8__158__AT5G46295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKGKFSLWKTTKERTRLKFLGLGGVKWKRLNLKMSFLETLRYRIMSVIEAMVLVSKLAFFFLCCGCKF >fgenesh2_kg.8__1592__AT5G56860.1 pep chromosome:v.1.0:8:17735810:17737864:-1 gene:fgenesh2_kg.8__1592__AT5G56860.1 transcript:fgenesh2_kg.8__1592__AT5G56860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNFHYSIDLNEDQNHHEQPFFYPLGSSSSLLHHHHHQVPSNSSSSSSSISSLSSYLPFLINSQEDQHVAYNNTYHANHLHLSQPLKAKMFLATGGSSSCDHMVPKKETRLKLTIRKKDHEDQTHPLHQNPTKPDSDSDKWLMSPKMRLIKKTITNNKQLTDQSNNNNHKESDHYPLNHKTNFDEDHDEDLSFKKVLTRTTTAATTKNRYNTINENGYGNNNGVIRVCSDCNTTKTPLWRSGPRGPKSLCNACGIRQRKARRAAMAAAAAAGDQEVVVASRSSQLLLKKKLQNKKKRSNGGEKYNLSPPVVAKAKKCKIREEDEVDMEAETMIARDLEISKSTTSSNSSISSNKLCFDDLTIMLSKSSAYQQVFPQDEKEAAVLLMALSYGMVHG >fgenesh2_kg.8__1594__AT5G56880.1 pep chromosome:v.1.0:8:17758912:17759496:-1 gene:fgenesh2_kg.8__1594__AT5G56880.1 transcript:fgenesh2_kg.8__1594__AT5G56880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRKKEDEKAAKEVTTTTTIVAGEDDEYTPDEIMKLVESSSPTMTTTDIEGTNFSGEGGFKVRFIDDPYAVPVVVQSSSGYITINVNEESCGPSFSDSDSSVMASVDASGLFGCCFEYGGEKGGAWSTNEVRASEYEWNDDMLARFLGEDCV >fgenesh2_kg.8__1595__AT5G56890.1 pep chromosome:v.1.0:8:17760333:17766102:-1 gene:fgenesh2_kg.8__1595__AT5G56890.1 transcript:fgenesh2_kg.8__1595__AT5G56890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILILLLWICLLSSVLVVGSSSSGLDLLPPLSSPPSPLPETPKGFGQAPSNSPESGNVPPSKASQPSLPPLADVAAPPPSDSAGGNAPAGEPIVLVPNAPAPAAIPVKDLPGTSPPVQPITPVASPPPFVPGDAPKEPPFSGRVTPGPISSPVSDIPPIPSVALSPPTPSIVPHRNASNNHKPPIEKPSAPVASPPTISIDISQPVHPVIPKLTPSSSPVPTSTPTKGSPRRNPPTTHPVFPIESPAVSPDPAANPVKHPPPSENGDDNKSPGAAPSNETAKPLPVFPHKAPPPSIAPSAPKFNRHSHHTSPSTTPPPDSTPSNVHRHPSSSSPPPPLSSHHQHHQERKKITNSPAPSSPPPHLISPKKSNRKGLMTPPPSSHYAPSPPIPASLISPAHAPIPSTMQRISPAPSPSPTQVFPLRSSSRPSKSRKFPLGPPLQAFPPPPPNSDCTSTICLEPYTNTPPGSPCGCVWPIQVELRLSMALYDFFPMVSEFAREISAGVFMKQSQVRIMGANAASEQPDKSIVLIDLVPLGDKFDNLTAMVTYQRFWSKNVYIDEPIFGGYDVIYVRYPGLPASPPTSGMTIIDPGPYSGNNNGRAIKPLGVDVPKKPHKKDLNAGSIAVIVLSAAAFIGLCFIIVWFLVFRRQRDRRRLSKRAPLARPSLPSLSKPSGSARSLTGSRFSSTSLSFESSIAPFTLSAKTFTASEIMKATNNFDESRVLGEGGFGRVYEGVFDDGTKVAVKVLKRDDQQGSREFLAEVEMLSRLHHRNLVNLIGICIEDRNRSLVYELIPNGSVESHLHGIDKESSPLDWDARLKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLENDFTPKVSDFGLARNALDDEDNRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVSWTRSFLTSTEGLAAIIDQSLGPEISFDSIAKVAAIASMCVQPEVSHRPFMGEVVQALKLVSNECDEAKELNSATSITQDDFRDDTRAESSCGEGSARMARYPLLPNYDSEPDTERGLSTSEMYSGSGRFERQSNSGPLTSGRGKRFWQKMRRLSTGSLSEHGTPTVMLRSGSR >fgenesh2_kg.8__1596__AT5G56900.2 pep chromosome:v.1.0:8:17770061:17773549:-1 gene:fgenesh2_kg.8__1596__AT5G56900.2 transcript:fgenesh2_kg.8__1596__AT5G56900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILLCGDPLGRLNQLFKRVQSVSKSAGPFDALICVGQFFPDTPELLDEFLDYVEGRTQVPIPTYFTGDYGVVAPKILSMTSKKAENQGFKMDGLEVCHNLFWLRGSGKFTLHGLSVAYLSGRQSSDSQFGKYSQDDVDALRALAEESGVVDLFLTYPFFLYTNEWPAGVTNRAAVSDIPVGVSDSSCSDSTVSELVMEVKPRYHIAGSMGVFYAREPYLNAESTHVTRFIGLAQVGNKNKQKFLHALSPTPTSTMSPAELSAKPPKTTLWPYNLQESAAESKKRPNDSESDSQYWRYDVSKRQKNGSQGEKLCFKFVCSGSCPRGEDCHFQHNAEAREQCRRGVCLDLIIKGKCEKGPECSYKHEFQDESSIQRKPRSENANRSKECWFCLSSPSVESHLIVSVGESFYCALPKGSLVEDHILIIPIEHLPNTLVLSPEVESELSRYQNGLRNCYKSQGNDAVFFELVSKRVSHANLQVVPVPSSRARLLPNIFSLAAEKLGFKLVTKKFSDSSDGRKYLQKEYNAALGLFYVELPDGTVLSHTLEENEVFPAQFGREVLAGLLKIPDRADWRNCKISQEEEAKLAEDFKKQFQEFDPCQ >fgenesh2_kg.8__1603__AT5G56980.1 pep chromosome:v.1.0:8:17825313:17826888:-1 gene:fgenesh2_kg.8__1603__AT5G56980.1 transcript:fgenesh2_kg.8__1603__AT5G56980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g56980/MHM17_10 [Source:UniProtKB/TrEMBL;Acc:D7MM90] MELLTTVASFFTPTTLFLLLNLMIGTIVVTSRLGSGLRKHYQHHDGFGSGHAPAPLARAPSLIDRVKSINFHLYKFPHPETELFSMTAHHDVIGSDLHVYPDPNPAPLQRAPSLLDRVKSINMSYFKFPHDVTGSDPHSHSHLDPHPDPTPAQLQRAPSLLDRVKSIDMSYFKFQQYNPEDKDYAIHKEPTRFENIPTRKGRVDPIDISKFRIPEEDQPTGTGINHPIDPPGLTRAPSILERVKSIKLSSFYRSDPELDQNPDPVLHEEHKHVRSKSESKKPVKKKKKASTKMTKSASEKSGFGFTGSHEEAAETVESVERRRPDTTRVERSTSFGDGEDGVDAKASDFINKFKQQLKLQRLDSILRYKEMLKAN >fgenesh2_kg.8__1606__AT5G57000.2 pep chromosome:v.1.0:8:17845587:17846945:-1 gene:fgenesh2_kg.8__1606__AT5G57000.2 transcript:fgenesh2_kg.8__1606__AT5G57000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGVNTVSGDEDRSDKKIHADIDESKVKAPNMFERAKEEFDAVVGAIHQRKSSKDKMESKSEKPDGKKKPNMIKKAREDLKSLFQKEKPPRHHHHHHKETHGRSDDINENTPVDEVKAPNVLERAKEEIEAVIDTIHPNLRSRSVSPERAGFACNIGKGLEKICSPWGDGKKD >fgenesh2_kg.8__1609__AT5G57020.1 pep chromosome:v.1.0:8:17857748:17859724:1 gene:fgenesh2_kg.8__1609__AT5G57020.1 transcript:fgenesh2_kg.8__1609__AT5G57020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MM96] MADNNSPPGSVEEKADQILEANPLVNDDTSLETIVRRFQDSMSEAKTHKFWETQPVGQFKDIGDTSLPEGPIEPATPLSEVKQEPYNLPSVYEWTTCDMNSDDMCSEVYNLLKNNYVEDDENMFRFNYSKEFLRWALRPPGYYQSWHIGVRAKTSKKLVAFISGVPARIRVRDEVVKMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVILPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDAPITPGFRKMEPRDVPAVTRLLRNYLSQFGVATDFDENDVEHWLLPREDVVDSYLVESPETHDVTDFCSFYTLPSTILGNPNYTTLKAAYSYYNVATQTSFLQLMNDALIVSKQKGFDVFNALDVMHNESFLKELKFGPGDGQLHYYLYNYRLKSALKPAELGLVLL >fgenesh2_kg.8__160__AT5G46290.1 pep chromosome:v.1.0:8:1077527:1080150:1 gene:fgenesh2_kg.8__160__AT5G46290.1 transcript:fgenesh2_kg.8__160__AT5G46290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kas I [Source:UniProtKB/TrEMBL;Acc:D7MRY8] MQALQSSSLRASPPNPLRLPSNRQSHQIITTNARPLRRHRSFISASASTVSAPKRETDPKKRVVITGMGLVSVFGNDVDAYYDKLLSGESGISLIDRFDASKFPTRFGGQIRGFSSEGYIDGKNERRLDDCLKYCIVAGKKALESANLGGDKLNTIDKRKAGVLVGTGMGGLTVFSEGVQNLIEKGHRRISPFFIPYAITNMGSALLAIDLGLMGPNYSISTACATSNYCFYAAANHIRRGEADMMIAGGTEAAIIPIGLGGFVACRALSQRNDDPQTASRPWDKARDGFVMGEGAGVLVMESLEHAMKRGAPIVAEYLGGAVNCDAHHMTDPRADGLGVSSCIERCLEDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFKSTSGIKINATKSMIGHCLGAAGGLEAIATVKAINTGWLHPSINQFNPEQAVDFDTVPNQKKQHEVDVAISNSFGFGGHNSVVAFSAFKP >fgenesh2_kg.8__1612__AT5G57040.1 pep chromosome:v.1.0:8:17866850:17868006:-1 gene:fgenesh2_kg.8__1612__AT5G57040.1 transcript:fgenesh2_kg.8__1612__AT5G57040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase family protein [Source:UniProtKB/TrEMBL;Acc:D7MM99] MASIFRPSASFDLRPKVICKKLSTRERFEFQKKSLRKERINVRFCSLKANKAQGSIEGISVVEEKQLNNKTDYGVVGVHHVGLLCENLERSLEFYQNILGLEINEARPHDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHACIAIRDVSNLKEILDKAGIAYTMSKSGRPAIFTRDPDANALEFTQV >fgenesh2_kg.8__1613__AT5G57050.1 pep chromosome:v.1.0:8:17871861:17874218:1 gene:fgenesh2_kg.8__1613__AT5G57050.1 transcript:fgenesh2_kg.8__1613__AT5G57050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVSPAVAVPFRPFPEPHAGIRGYCNGESRVSLPESSCSGDGAMKDSSFEINTRQDSLTSSLSSTSSSAMAGVLDATVDISAGDEINGSDEFDSRSTNQSEKKVLSRTESRSLFEFKSVPLYGVTSICGRRPEMEDSVSTIPRFLQVSSNSLLDGRVTNGFNPHLSAHFFGVYDGHGGSQVANYCRERMHLALTEEIVKEKPEFCDGDTWQEKWKKALFNSFMRVDSEIEPVAHAPETVGSTSVVAVVFPTHIFVANCGDSRAVLCRGKTPLALSVDHKPDRDDEAARIEAAGGKVIRWNGARVFGVLAMSRSIGDRYLKPSVIPDPEVTSVRRVKEDDCLILASDGLWDVMTNEEVCDLARKRILLWHKKNAMAGEALLPAEKRGEGKDPAAMSAAEYLSKMALQKGSKDNISVVVVDLKGIRKFKSKSLN >fgenesh2_kg.8__1615__AT5G57060.1 pep chromosome:v.1.0:8:17874752:17877947:1 gene:fgenesh2_kg.8__1615__AT5G57060.1 transcript:fgenesh2_kg.8__1615__AT5G57060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESNSKFVSGNGRTELAKFIADRHAKLMKQAARYSSALKDAMARGKRRYTLVKDVDDMETGAYDKPLPCFGCGIGWFSFLLGFMFPLLWYYAAFLYFGNYYRKDPRERAGLAASAITAMGFSLVLLVIFAFRWFYYP >fgenesh2_kg.8__1617__AT5G57070.1 pep chromosome:v.1.0:8:17882653:17884834:1 gene:fgenesh2_kg.8__1617__AT5G57070.1 transcript:fgenesh2_kg.8__1617__AT5G57070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQPPLIWPQFESTGYTHRRSPIPAMLVPAMIGVISAAIFLLFVNFVIPPFLSVTSQILQPSSVKRGWDSINVVLVVFAILCGVLARRNDDGLSSESLHGGEEEEVGGAVTSGEMTLGEISKISSSSSAVSEQWFDDVYDAERLKIYESVSSRSFSHGLPVTGTVPLRRSCSSYPDLRQGVFRETGDRRFRFYDDFEIHNRSYEEFQNRSKIEIEEESEPKEIQIDTFVVKPSSPPQQPPAPPTPPPPPPPPPVEVSQKPRRTHRSVKNRDIQENVKRNDIKFKRAFQPPNPPPPPPPPPPLITATPPRKQGTLQRRKSNAAKEIKMVFASLYNQGKRKKKIQKSKRKERIESSPVVVDVTEPPQYQSLIPPPSPPPPPPPPPPPPRTSQSVFYGLFKKGVKSNKKIHSVPAPPPPPPPRHTQFDPQTPTRRVNSGRPPRPTKPTNFNEENNGQGSPLIQITPPPPPPPPFRVPPLKFVVSGDFAKIRSNQSSRCSSPEREVIDIGWGLELTQSDDGVKTKAAVGGGGMPGFCPSPDVDTKADNFIARLRDEWRLDKINSVNRKR >fgenesh2_kg.8__161__AT5G46280.1 pep chromosome:v.1.0:8:1080539:1084468:1 gene:fgenesh2_kg.8__161__AT5G46280.1 transcript:fgenesh2_kg.8__161__AT5G46280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase [Source:UniProtKB/TrEMBL;Acc:D7MRY9] MDVPEETRLRHKRDFIQFLDNMYMDEIKALVQQKRHRLIINISDIHHYFRDSASRILKNPNEYMQSFCDAATEATRGIDPKYLKEGELVLVGFEGYFVSRVVTPRELLSEFIGSMVCVEGIVTKCSLVRPKVVKSVHFCPSTGEFTNRDYRDITSHAGLPTGSVYPTRDDKGNLLVTEYGLCKYKDHQTLSIQEVPENAAPGQLPRSVDVIAEDDLVDSCKPGDRVSVFGIYKALPGKSKGSVNGVFRTILIANNIALLNKEANAPIYTPRDLQNIKNIAGRDDAFDLLARSLAPSIYGHAWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADKGIVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDAGIDSLISEHVLRMHRYKNDRGEAGPDGTLPYAREDDGESELFVKYNQTLHGKKKRGQTHDKTLTIKFLKKYIHYAKHRITPKLTDEASERIAEAYADLRNAGSDTKTGGTLPITARTLETIIRLATAHAKMKLSREVTKADAEAALKLMNFAIYHQELTEMDDREQEERQREQAEQERTPSGHRGNQRRNNENGEENDAANVDSETADPMEVDEPPVEQFSGTVSAARIETFERVFGQHMRTHRLDDISIADIETVVNNNGVGASRFSADEIMALLEKLQDDNKVMISDGKVHII >fgenesh2_kg.8__1622__AT5G57120.1 pep chromosome:v.1.0:8:17915202:17916972:-1 gene:fgenesh2_kg.8__1622__AT5G57120.1 transcript:fgenesh2_kg.8__1622__AT5G57120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNESKTSTLESEQKALLLRSIAQYLELCGFSKCFKKLLSEAEIEKKELNTSLPDLEDIFSEFLKKRDHEAAAGNTEANVVEGVENVKKEKKKKKKKETKVEVTEEEKVKETDAEIDDGVKEKKKKKNKSKSVEAGDDKEKVSKKRKRSEPEETKEETEDDDEESKRRKKEENVQETPVKETETKENGNVEKSEMKSTNQKSGKGLSNSKEPKKPFQRVNVDEIVFTEQSNSYNSKHGAAYGYGLKAQEVLGQVKGRGFRHEKTKKKRGSYRGGEIDLESHSTKFPNSDSENE >fgenesh2_kg.8__1623__AT5G57123.1 pep chromosome:v.1.0:8:17920830:17921510:-1 gene:fgenesh2_kg.8__1623__AT5G57123.1 transcript:fgenesh2_kg.8__1623__AT5G57123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVCLCDEEETELGRQQAPGSCPYCGGKVQMLDVERKWMFCFVPLCFKIKRKYLCSSCDRRLVLYH >fgenesh2_kg.8__1627__AT5G57150.1 pep chromosome:v.1.0:8:17943585:17946094:1 gene:fgenesh2_kg.8__1627__AT5G57150.1 transcript:fgenesh2_kg.8__1627__AT5G57150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7MMS1] MEDIVDQEFSNYWEPNSFLQNEDFEYDSWPLEEAISGSYDSSSPDGAASSPASKNIVSERNRRQKLNQRLFALRSVVPNITKMDKASIIKDAISYIKGLQYEEGKLEAEIRELESTPKSSLSFSKDFDRDLLVPVTSKKMKQLDSGSSTSLIEVLDLKVTFMGERTMVVSVTCNKRTDTMVKLCEVFESLNLKILTSNLTSFSGMIFHTVFIEADEEEQEVLRLKIETGIGAYKETQSPTLSIDSLY >fgenesh2_kg.8__1628__AT5G57160.1 pep chromosome:v.1.0:8:17946180:17953166:-1 gene:fgenesh2_kg.8__1628__AT5G57160.1 transcript:fgenesh2_kg.8__1628__AT5G57160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase [Source:UniProtKB/TrEMBL;Acc:D7MMS2] MTEEIKFSVLVSLFNWIQKSKTSSQKRSKFRKFLDTYCKPSDYFVAVRLIIPSLDRERGSYGLKESVLATCLIDALGISRDAPDAVRLLNWRKGGTAKAGANAGNFSLIAAEVLQRRQGMASGGLTVKELNDLLDRLASSENRCRAEKTLVLSTLIQKTNAQEMKWVIRIILKDLKLGMSEKSIFQEFHPDAEDLFNVTCDLKLVCEKLRDRHQRHKRQDIEVGKAVRPQLAMRISDVNAAWKKLHGKDVVAECKFDGDRIQIHKNGTDIHYFSRNFLDHSEYAHAMSDLIVQNILVDKCILDGEMLVWDTSLNRFAEFGSNQEIAKAAREGLDSHKQLCYVAFDVLYVGDTSVIHQSLKERHELLRKVVKPLKGRLEVLVPEGGLNVHRPSGEPSWSIVVHAAADVERFFKETVENRDEGVVLKDLGSKWEPGDRSGKWLKLKPEYIRAGTDLDVLIIGGYYGSGRRGGEVAQFLVALADRAEANVYPRRFMSFCRVGTGLSDDELNTVVSKLKPYFRKNEHPKKAPPSFYQVTNHSKERPDVWIESPEKSIILSITSDIRTIRSEVFVAPYSLRFPRIDKVRYDKSWHECLDVQAFVELVNSSNGTTQKQKESESTQDNPKVNKSSKKGEKKNVSLVPSQFIQTDVSDIKGKTSVFSNMIFYFVNVPLSHSLETFHKTVVENGGKFSMNLNNSVTHCIAAESSGIKYQAAKRQRDVIHFSWVLDCCSRNKMLPLQPKYFLHLTDASRTKLQDDIDEFSDSYYWDLDLEGLKQVLSNAKQSEDSKSIDYYKKKLCPEKRWSCLFSCCVYFYPYSQTLSTEEEALLGIMAKRLALEVLMGGGKVSNNLAHASHLVVLTMAEKSLDFASVSKSFSEMEKRLLLKKRLHVVSSRWLEDSLQREEKLCEDVYNLRPKYMEESDTEESDKSEHDTTEVASEGSAQTEEPSSSKMTITSSRGRSTTRAVKRGRSSTNSLQRVQRRRGKQPSKITGDETEESDAAEEKVSTRLSDIAEETDSFGEAQRNSSRGRSAKRGKSRVGQTQRVQRSRRGKKPSKIGGDESEENDEFGDKNNVSSDAQESNAAGRSVENEETREPDIAKYTESLQRDNTAAVEDASQDSKNAKTEMDMKEKLKIHEDPLQAMLMNMFPSLSQKNTETSNRITGENRKANVSGECESSEKRKLDAETDSTCVNAGTDSEAVPPLVKKKKVSYRDVAGELLKDW >fgenesh2_kg.8__162__AT5G46260.1 pep chromosome:v.1.0:8:1085278:1090132:1 gene:fgenesh2_kg.8__162__AT5G46260.1 transcript:fgenesh2_kg.8__162__AT5G46260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSRNWLYDVFLSFRGGDVRVTFRSHFLKELDRKLITAFRDNEIERSHSLWPDLEQAIKESRIAVVLFSKNYASSSWCLNELLEIVNCNDKIVIPVFYGVDPSQVRHQIGDFGSIFEKTCRRHSEEVKNQWKKALTDVANMLGFDSATWDDEAKMIEEIANDILGKLLLTTPKDFENFVGIEDHIANMSGLLQLESEEVRMVGIWGSSGIGKTTIARALFNQLSRNFQVSKFIDRAFVYKSREIYSGANPDDHNMKLNLQESFLSEILRMPDIKIDHLGVLGERLQHQKVLIIVDDLDDQVILDSLVGQTQWFGSGSRIIVVTNNKHFLRAHGIDHIYELSLPTEEHAVAMLCQSAFRKKSPPEGFEMLVVQVARHAGSLPLGLNVLGSCLRGRDKEYWVDMLPRLQNSLDDKIEKILRISYDGLGSAEDQAIFRHIACIFNHMDVTTIKSLLADSKLGVNVGLQNLVDKSLIHVRWGHVEMHRLLQEMGQNIVRTQSIDKLGKREFLVDPNDICDVLSEGIDTRKVLGISLETSKIDQLCVHKSAFKGMRNLRFLKIGTDIFGEENRLDLPESFNYLPPTLKLLCWSEFPMRCMPSNFRPENLVKLKMPNSKLHKLWDGVVPLTCLKEMDLDGSVNLKEIPDLSMATNLETLELGNCKSLVELPSFIRNLNKLLKLNMEFCNNLKTLPTGFNLKSLGLLNFRYCSELRTFPEISTNISDLYLTGTNIEELPSNLHLENLVELSISKEESDGKQWEGVKPLTPLLAMLSPTLTSLHLQNIPSLVELPSSFQNLNNLESLDITNCRNLETLPTGINLQSLYSLSFKGCSRLRSFPEISTNISSLNLDETGIEEVPWWIENFSNLGLLSMDRCSRLKCVSLHISKLKHLGKVDFKDCGELTRVDLSGYPSGMEEMEAVKIDAVSKVKLDFRDCFNLDPETVLHQESIVFKYMLLPGEQVPSYFTYRTTGVSSLTIPLLPTHLSHPFFRFRVGAVVTNVIHGKNMEVKCEFKNRFGNSFHVGSDFYVYLLFTKSQKGSQMLTILECCIPLNEGNAPLAQGNYDHVVMNIHIRAGGWRSTFELKEWGIRLLEDCSSAENRLGNPNSNLPHFSEAEEGNIGYTPPQGLVNEIEHSEESVDNDVETERSTKRMRITRFVLRQETYDLPYRYT >fgenesh2_kg.8__1631__AT5G57180.2 pep chromosome:v.1.0:8:17961585:17964673:1 gene:fgenesh2_kg.8__1631__AT5G57180.2 transcript:fgenesh2_kg.8__1631__AT5G57180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSACISSGGGGAAAYSFELEKVKSPPSSSTTTTTRATSPSSTISESSNSPLAISTRKPRTQRKRPNQTYYEAATLLSSAYPNIFSSNLSSKQKTHSSNSHFYGPLLSDNDDASDLLLPYESIEEPDFLFHPTKSEFFSEHKEVNSGGGYGGEIEKFDFSDEFDAESILDEEIEEGIDSIMGTVVESNSNSNLGIYESRVPGINRIGKLEQMMMINSWNRSSNGFNFPLGLGLRSALRENDDPNLWRIQTVDFEQISPRIPTVTTETAISTVHEEKSDGKKVISGEKSNKKKKKKKMTAAATPLLITESKSSEDTEETSPKRTGPLLKLDYDGVLEAWSDKTSPFPDEILGSEATGIDVNARLAEIDLFGDSGMREASVLRYKEKRRTRLFSKKIRYQVRKLNADQRPRMKGRFVRRPNESTPSEQR >fgenesh2_kg.8__1632__AT5G57190.1 pep chromosome:v.1.0:8:17965211:17968571:-1 gene:fgenesh2_kg.8__1632__AT5G57190.1 transcript:fgenesh2_kg.8__1632__AT5G57190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGNSREAKESRRSKLRQKLQKFRIHRRHLRCSRNSAGMVIQRAVSAEDFSGIALLTLRTSGSLVFLSVNRLLELKSQILQRSQFGTQRRSFCWRKMDQVLLGSLYLRFLLSIFLSLVLNHDITSRLSRNKIIGYCELDIFDFVVQEPESACKSFDLLDPTSSNVVGTIFLSCSIEDPVETERRFAKRILSIVDYNQDGQLSFSEFSDLIKAFGNLVAANKKEELFKAADLNGDGVVTIDELAALLALQQEQEPIINNCPVCGEALQLSDKLNAMIHMTLCFDEGTGNQVMTGGFLTDRQASYGWMFKLSEWTHLSTYDVGLNTGSSASYIVVIDRKSKRLVEELIDSKIVLSMRAIYQSKIGFRLMDQGAKEILQRLSEKQGKKMSSVESAQKIPCFLEFFKAFEIFCLLLDQINMAEVKYSLQHFKTFNEFFIRELKPGARPIACMKRDDVAVCAADCRVMAFQSVEDSTRFWIKRTLKADKLQHILKFLPPWEECESKMPSLMDLWLEHYIVLDYHRFHVPVSGVIEKFAFVAIGATMVGSINFVRKEGEHVKKGDEVSSFDFSQETLEAWLFFVWWKHDMIYSLFFKNQSELTTLFSLNTFLQDSIRIDEDLLVNSGRSLETLVRVGMQLGVSPRTFARST >fgenesh2_kg.8__1634__AT5G57210.1 pep chromosome:v.1.0:8:17997643:18000670:-1 gene:fgenesh2_kg.8__1634__AT5G57210.1 transcript:fgenesh2_kg.8__1634__AT5G57210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHEEIQISRTQDPCTSNASPSQDHRFEKLRGVRWRINLGILPSSPSSTIDELRRVTADSRRRYAALRRRLLIDPHLPKKGTNSPDLTIDNPLSQNPDSTWGRFFRNAELEKTLDQDLSRLYPEHGSYFQSSGCQGMLRRILLLWCLKHPEIGYRQGMHELLAPLLYVLQVDVQYLTEVRSNYEDQFVDLFDELAFQERDSGAYDFDIKKVLDDSMEDEEDGDGNGSPSGSTKKKKPKSFDELDTETQTVVLLSDAYGAEGELGIVLSEKFMEHDAYTMFDALMYGGSSLGSVSVANFFVYSAPNDSVTGLPPVIEASGALYHLLSLVDASLHSHLVELGVEPQYFALRWLRVLFGREFPLNNLLIVWDEIFSADNSEVERGIEADLGFEFRILSSPRGALVAGMAVSMILYLRSSLLATENATSSLKKLLNFPEDIDLSKVIEKAKTLQSLALEINAHRDLIPKGSRKPMRGHSLSVDSISLGSSPVGIVPESYWEEKWRVLNSAEEEERKKKALQRPKAGKKSWSERVKLRLTRTESDPSPAEANKSGNKPPIRRSLLDDLSRQLGEKEIEPPEFPNPDTDIERSSTVSDTPSADYEDNSSDRGKSDNHTDLPLSIPENEPEAKSGMNIFRERKILSGKFQRLWRLGRNLSGEETSETKEAKQIDFEDGKTDSDSTAGNGDALKNTGRSMLEHIKVIESVLELSPPENMAVTENGRITVDEALRELRRLGNMLLSEM >fgenesh2_kg.8__1638__AT5G57250.1 pep chromosome:v.1.0:8:18013728:18017443:-1 gene:fgenesh2_kg.8__1638__AT5G57250.1 transcript:fgenesh2_kg.8__1638__AT5G57250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MMT6] MDNAIEVLEMMTNKKVNYPFDNFVSSAVISGFCKIGKPELALGFFETAVDSGVLVPNLVTYTAVVSALCQLGKVDEVRDLVRRLEDEGFEFDCVFYSNWIHGYFKGGALVDALMQDRKMVEKGINRDVVSYSILIDGLSREGNIEKALGLLGKMIKEGIEPNLITYTAIIRGLCKKGKLEDAFVLFDRILSFGIEVDEFLYVTLIDGICKKGNLNRAFSMLGDMEQRGIQPSILTYNTVINGLCKAGRVSEADDISKGVVGDVITYSTLLDSYVKVENIDAVLEIRRRFVEAKIPMDLVMCNILLKAFLLVGAYGEADALYRAMPEMGLTPDTATYATMIEGYCKTGQIEDALEMFNELRKSSVSAAVCYNHIIDALCKKGMLETAMEVLIELWEKGLYLDIHTSRTLLHSIHANGGDKGILDLVYKVEQLNSDICLGMLNDAILLLCNRGSFEAAIEVYMIMMRKDLTVTFPSTILKTLVDNLRSLDAYLLVVNAGETTLSSMDVIDYTIIINGLCKEGFLVKALDLCNFAKSKGVTLNTITYNSLINGLCQQGCLVEALRLFDSLDNIGLVPSEVTYGILIDNLCKEGLFLDAEKLLDSMVSKGLVPNILIYNSIVDGYCKLGQTEDAMRVLSRKMMGRVKPDAFTVSSIIKGYCKKGDMEEALRVFAEFKEENISADFLGFLFLIKCFCTKGRMEEARGLLREMLVSESVVKLINRVDAELVESESIRGFLVELCEQGRVPQAIKILDEISSTIYLSGKNPGSYQRLQFLNGVNEKEIKKEDYVHDFHSLHSTISSLCTSGKLEQANEFVMSVLSCMP >fgenesh2_kg.8__1641__AT5G57270.1 pep chromosome:v.1.0:8:18023140:18026414:-1 gene:fgenesh2_kg.8__1641__AT5G57270.1 transcript:fgenesh2_kg.8__1641__AT5G57270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETQNLHLSPRHRSSLKKPLLIVLLVCITSVLLVITYMYPQHNGKSSACAGLSSRGCEAALSGWLPVHVRKFTDEEVAARVVIKDILRLPPALTAKSKIAFMFLTPGTLPFERLWDKFFQGQEGRFSIYIHPSRLRPVHISRHFSDREIHSDHVTWGRISMVDAERRLLANALEDPDNQHFVLLSESCIPLHTFDYTYRYLMHANVSFIDSFEDLGPHGTGRHMDHMLPEIPRQDFRKGAQWFTMKRQHAVIVMADGLYYSKFREYCRPGVEANKNCIADEHYLPTFFHMLDPGGISNWSVTYVDWSERRWHPKTYRARDVSLKLLKIITSDDMSVHVTSVGKRGEELHWPCTWKGIRRPCYLFARKFHSDALYKLVRLFPNYTSAVV >fgenesh2_kg.8__1643__AT5G57280.1 pep chromosome:v.1.0:8:18027092:18029303:1 gene:fgenesh2_kg.8__1643__AT5G57280.1 transcript:fgenesh2_kg.8__1643__AT5G57280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRPELLAPPEIFYDETEARKYTSSSRIVEIQAKLSERALELLALPEDGVPRFLLDIGCGSGLSGETLSENGHHWIGLDISASMLNVAVEREVEGDLLLGDMGQGLGLRSGVIDGAISISAVQWLCNADKSSHEPRLRLKAFFGSLYRCLSRGARAVFQVYPENIAQRELILRQALQAGFGGGLVVDYPHSTKKRKEFLVLTCGPVQTSIQTGKNEYDESCSEDDNSEDEENGEVGISDRNRPRKKRRTNKQGKGREWVLKKKEQSRRKGNNVPADSKYTARKRKSRF >fgenesh2_kg.8__1645__AT5G57300.1 pep chromosome:v.1.0:8:18031662:18034231:-1 gene:fgenesh2_kg.8__1645__AT5G57300.1 transcript:fgenesh2_kg.8__1645__AT5G57300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-methoxy-6-polyprenyl-1,4-benzoquinol methylase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7MMU2] MALRSVSRRLGSRILNHRSFVASLHSHATSFGFQEVKEEEKSKLVGNVFTNVASSYDIMNDVMSGGLHRLWKERLVGKLSPFAGMKHLDVAGGTGDVAFRIYDAVYSVKRRALQKVDEASLEETQIYVCDINPNMLNVGKQRAAERGLRDNKSLVWVEGDAEALSFDDNSMDGYTIAFGIRNVTHIEKALAEAYRVLKRGGRFLCLELSHVEIPIFKNLYDLYSFQVIPNLGELIAGDRESYQYLVESVRRFPPQERFASMIADAGFEKVEYENLVGGVVAIHSAIKL >fgenesh2_kg.8__1646__AT5G57320.1 pep chromosome:v.1.0:8:18035670:18040587:1 gene:fgenesh2_kg.8__1646__AT5G57320.1 transcript:fgenesh2_kg.8__1646__AT5G57320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSMRDLDQALQGAGQKSGIEIWRIENFKPVTVPKESHGRFFTGDSYIVLKTTASRSGSLHHDIHYWLGKDSSQDEAGAVAVMTVELDSALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFNHVKPEEHQTRLYICKGKHVVRVPFVRSTLNHEDVFILDTESKIFQFNGSKSSIQERAKALEVVQYIKDTYHDGKCDIAAVEDGRMMADAEAGEFWGLFGGFAPLPKKPAVNDDETAESDGIKLFSVDKGKTDAVEAESLTKELLDTNKCYILDCGLELFVWKGRNTSIDQRKNATEAAEEFFRSSEPQKSNLVSVMEGYETVMFRSKFDSWPASSTIAEPQQGRGKVAALLQRQGVNVQGLVKTSSSSKDEPKPYIDGTGNLQVWRINCEEKILLEAAEQSKFYSGDCYIFQYSYPGEDREEHLVGTWFGKQSVEEDRTSALSMANKMVESMKFMPAQARIYEGKEPIQFFVIMQSFITFKGGLSEAFKKYIAENEIPDTTYEAEGVALFRVQGSGPENMQAIQIEAVSTGLNSSHCYILHGDSTVFTWCGNLTSSDDQELMERMLDLIRPNEPTKAQKEGSESEHFWELLGGKSEYPSQKIKKDGESDPHLFSCTFTNENLKVGYGINCHKPHSCYDPTSRVTEIFSFTQDDLMTEDIFILDCHTEIFVWVGQQVDPKKKPQVLAIGENFLKHDFLLENLASETPIYIVTEGNEPPFFTRFFTWDSSKSGMHGNSFQRKLAILTNKGKPLLDKPKRRVPAYSSRSTVPDKSQPRSRSMTFSPDRARVRGRSPAFNALAANFEKLNIRNQSTPPPMVSPMVRKLYPKSHAPDLSKIAPKSAAIAARTALFEKSPPTSQEPPTSPSSSEATNQAEAPKSTSETNEEEAMSSIHEDSKEEEAEEDSSLPTFPYERLKTDSEDPASDIDLTRREAYMTSSEFKEKFEMTKNEFYKLPKWKQNKLKMSVNLF >fgenesh2_kg.8__1650__AT5G57345.1 pep chromosome:v.1.0:8:18055543:18057007:-1 gene:fgenesh2_kg.8__1650__AT5G57345.1 transcript:fgenesh2_kg.8__1650__AT5G57345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAVASTRQSFLSNSFSFRHSFKPKSNVNLTRPNSICCKSSHHDDETDSSRKNENQLAKLAIATLAVGVLAFGSVGDAFAAKSGGRIGGQAFRSSAPRPPPRINNRSRTNIYVNPPVAPPLIGGYGYGYGGYGLSPFSFFAPGPAVAVGVGGGFDLLLLFMFFGAASAVARNFFRSRNDEDDEDDY >fgenesh2_kg.8__1653__AT5G57370.1 pep chromosome:v.1.0:8:18072989:18073701:1 gene:fgenesh2_kg.8__1653__AT5G57370.1 transcript:fgenesh2_kg.8__1653__AT5G57370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERDRHERGRDRDRERDRDRRRGRDDRDRDRDRDRERDRERDRDRGLRSKKSRSRTPDHHARARHVRSPERYRSRSRSIDRDRDRQRHHRRRTPSPDASSRKRSRQGSVDDEKERNRKRSVSDFVDEIGKELTTTKKKDKQPSDAAADNGGGEAEEGMDVNEVEMMKMLGIPTGFDSTKGKPVAGADVSGIRAVTKRQPRQYMNRRGGFNRPLPAERNR >fgenesh2_kg.8__1654__AT5G57380.1 pep chromosome:v.1.0:8:18074458:18077541:-1 gene:fgenesh2_kg.8__1654__AT5G57380.1 transcript:fgenesh2_kg.8__1654__AT5G57380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VERNALIZATION insensitive 3 [Source:UniProtKB/TrEMBL;Acc:D7MN96] MQAASLSKTWRFDGNVGPENMDSSPFQDNECIETCKPNVLNVSERRELIHALSNQPQEASELLNSWSRNEIMKIICAEMGKERKYTGLNKPKLIENLLNLVSRPLGETSYSDRRNSRKKQKKMIGYIICCENLACRAALGSDDTFCRRCSCCICQKFDDNKDPSLWLTCEACGSSCHLECGLKQDRYGIGSDDLDCRFYCAYCGKDNDLLGCWRKQVKVAKETRRVDILCYRLSLGQKLLRGTRKYRNLLELMDEAVKKLEGDVGPLSGWAMKMARGIVNRLSSGSQVQKLCSQAMEALDKVVSPSESVSGQVDKMTVIVEEIQARSVTVRLESEVPSSSTQNQITGFRVFCRKSKDEECSSEVNCVAYLPETRSTIQGLEPDTEFCLRVVSFNKEGDLDESELQFSTLKDNIDEARDRQSPLTNSSSGLCSNPSLHEDESNNVHKSCSEENGNKDNTEHCSAGEVESKLEEERLVKRKANKIDGRDLLVTPCKRDISKGKQGGNKRFKSRTISVNEKPEINNAANGVGDKDLGQIVKTIRCLEQEGHIDKSFRERFLTWYSLRATHREVRVVKIFVETFVEDLPSLGEQLVDTFSECIVSKRSSTSGVVPAGICLKLWH >fgenesh2_kg.8__1655__AT5G57390.1 pep chromosome:v.1.0:8:18080707:18083719:-1 gene:fgenesh2_kg.8__1655__AT5G57390.1 transcript:fgenesh2_kg.8__1655__AT5G57390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/EREBP transcription factor [Source:UniProtKB/TrEMBL;Acc:D7MN97] MKNNNNKSSSSSSYDSSLSPSSSSSSHQNWLSFSLSNNNFNSSSNPNLTSSTSDHHHPHPSHLSLFQAFSTSPVERQDGSPGVSTGDATAVLSIYPGGPKLENFLGGGATTTTTRSMQQVQSLGGVVFSSDLQPPLHPPSAAEIYDSELKSIAASFLGNYSGGHSSEVSSVHKQQQNPLAVSEASPTPKKNVESFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPISNYESELEEMKHMTRQEFVASLRRSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDISRYDVKSIASCNLPVGGLMPKPSPATAAAEKTVDLSPSDTPSPTTPSLTFNVATTVHDHGGTFYHTGIPIKPDPADHYWSSIFGFQANPKAEMRPIATFGSDLHNPSPGYAIMPVMQEGENNFGGSFVGSEVYNNHSAHSSPVSAIPLSSTTNGNEGYGGNINWINNNISDSYQTAKSNLSVLQTPVFGLE >fgenesh2_kg.8__1657__AT5G57410.1 pep chromosome:v.1.0:8:18095689:18098682:-1 gene:fgenesh2_kg.8__1657__AT5G57410.1 transcript:fgenesh2_kg.8__1657__AT5G57410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANDAEYDLKVLSQSATGDYTFANVDNLEHCTKYLNQTMVTFGFPASLDLFSNDPVSISRTCNCMYSLLQQRQRDIEFRESANELRQRQQSDIARLEAKVERLEALLQQKDREIATITRTEAKNAAALKSQIEKLQQERDEFQRMVIGNQQVKAQQIHEMKKKEKDYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKTDTDFYKKIVDAYEAKNQELMAENTNLRALLRSMQTDMRDFLNAPNGSANPSLAGSEKREADASQSPLGGKTDVFDLPYRMARGQIEESLRTKMASIKERMVQLQDAPKGASVTSEATERELELEAQLVEARSIIQEQESIMSKHLPKSEKRRQSVVPLAAEVYL >fgenesh2_kg.8__1659__AT5G57440.1 pep chromosome:v.1.0:8:18102060:18103961:-1 gene:fgenesh2_kg.8__1659__AT5G57440.1 transcript:fgenesh2_kg.8__1659__AT5G57440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphatase 2 [Source:UniProtKB/TrEMBL;Acc:D7MNA1] MSNPAAVAGRGSITHVIFDMDGLLLGTEKFYTEVQEIILARFNKKFDWSLKAKMMGRKAIEAARIFVEDSGISDSLSAEDFLVERESMLQDLFPTSELMPGASRLIKHLHSKNIPICIATGTHTRHYDLKTQRHRELFSLMHHIVRGDDPEVKQGKPAPDGFLAAARRFKDGPVDSQKVLVFEDAPSGVLAAKNAGMNVVMVPDPRLDITYQDVADQIITSLLDFKPEEWGLPPFEDSN >fgenesh2_kg.8__1662__AT5G57460.1 pep chromosome:v.1.0:8:18106178:18108446:1 gene:fgenesh2_kg.8__1662__AT5G57460.1 transcript:fgenesh2_kg.8__1662__AT5G57460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLALALQPANGSDILLQTREWFPPARALIALSYFRQMRQALASSKQQHQQQSNQKQNQASSSSSVADPDDATAAEFVGDDPLAASNGQVIVGVESKYRVVYRLVNSIYILGVTVADHDNSINVFECIHIVNQAVSVIVTACRGVEVTPEKLGRKYAEVYMALDIVLRGVSNIRLAAMLGAMHGDGIAKMVHSALDTENKIRGADSWMAVESHAAEHQASVHAFSNARFELPLETISAGDEFAASLAPVVPESEQLKEEPEPENKDPFAASETINKEKELVGGFKKTKDPSSTDLTLALAGLEVTTLPPAEATQSTHINVEGFEGQYGGIEFSNEQATIGETFESFSDAWGGGLDPSEFMGPKKIQKKEGLGGLELLHTSDPKAVEGKDGGNLDNLVKKPEMKGPEMYISEEIRTEFRESLLARVGVMGVIYLKTMPPKGSGEEKETEFSFRVEGTTAVKRFAMQSSRISSLGNGLFHVRTAPSEEPIPILKYSLQPKLTPLPLRVRMVKRTSGTLLSLMIQYVSNPDLPQPLKNVDFILKLPVDPTLLKVSPKAILNRTDRELKWQIPEIPLKGSPGRLRARMPIDSDNSEEEPEIICYVKFSVQGNTSLSGISLRAAAEGNTDFYEVDHRYETGVYMCN >fgenesh2_kg.8__1664__AT5G57490.1 pep chromosome:v.1.0:8:18117484:18147463:-1 gene:fgenesh2_kg.8__1664__AT5G57490.1 transcript:fgenesh2_kg.8__1664__AT5G57490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPAPFADIGKKAKDLLNKDYIFDHKFTLTMLSATGTEFVATGLKKDDFFFGDISTLYKGQNTIVDLKIDSHSSVSTKVTVKNLMPSAKAVISFKIPDHKSGKLDVQYVHPHATLNSSIGLNPTPLLDLSATIGSQSVCLGGEVSFDTASSSLTKYNAGIGFNNQGVSAALILEDKGESLRATYVHTVNPTTSFGAELIRRFSNYNNSFTVGSSHSLDQFTVVKTRFSNNGKAGMVVQREWRPKSLITLSAEYDSKAVTSSPRLGLALALKP >fgenesh2_kg.8__1668__AT5G57530.1 pep chromosome:v.1.0:8:18163681:18165034:-1 gene:fgenesh2_kg.8__1668__AT5G57530.1 transcript:fgenesh2_kg.8__1668__AT5G57530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MNA7] MAASATKQSLLLLASLLVLIGVTTGSFYDSFDITWGAGRANIFESGQLLTCTLDKISGSGFQSKKEYLFGKIDMKMKLVAGNSAGTVTAYYLSSKGEKWDEIDFEFLGNVTGQPYVLHTNVFTGGKGDREMQFYLWFDPTADFHTYTVLWNPLNIIFLVDGIPIRVFKNNEANGVAYPKNQPMKIYSSLWEADDWATQGGRVKIDWTNAPFSASYKSFNDVDCCSRTSIWDWVTCNANSNSWMWTTLNANQLGQLKWVQDDYMIYNYCTDFKRFPQGLPTECNHN >fgenesh2_kg.8__1669__AT5G57550.1 pep chromosome:v.1.0:8:18166391:18167985:-1 gene:fgenesh2_kg.8__1669__AT5G57550.1 transcript:fgenesh2_kg.8__1669__AT5G57550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MNA8] MDRSTLILSLLFTLTVSTRTLFSPVFCGTFDTEFDITWGDGRGKVLNNGELLTLSLDRASGSGFQTKKEYLFGKIDMQLKLVPGNSAGTVTAYYLKSKGDTWDEIDFEFLGNLTGDPYTMHTNVYTQGKGDREQQFHLWFDPTADFHTYSVLWNPHHIVFMVDDIPVREFKNLQHMGILYPKLQPMRLYSSLWNADQWATRGGLVKTDWSKAPFTASYRNFRADACVSSGGRSSCPAGSPRWFSQRLDLTAADKMRVVQRKYMVYNYCTDTKRFPQGFPKECRH >fgenesh2_kg.8__166__AT5G46240.1 pep chromosome:v.1.0:8:1108272:1111615:1 gene:fgenesh2_kg.8__166__AT5G46240.1 transcript:fgenesh2_kg.8__166__AT5G46240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRTRNFFQRFCVEEYNIDTIKQSSFLSADLLPSLGARINQSTKLRKHIISPFNPRYRAWEMWLVFLVIYSAWICPFQFAFITYKKDAIFIIDNIVNGFFAIDIVLTFFVAYLDSHSYLLVDNPKKIAIRYLSTWFAFDVCSTAPFQPLSLLFNYNGSELEFRILSMLRLWRLRRVSSLFARLEKDIRFNYFWIRCTKLISVTLFAVHCAGCFNYLIADRYPNPRKTWIGAVYPDFKEASLWTRYVTALYWSITTLTTTGYGDLHAENPREMLFDIFFMLFNLGLTAYLIGNMTNLVVHWTSRTRTFRDTVRAASEFASRNQLPHDIQDQMLSHICLKFKTEGLKQQETLNNLPKAIRSSIANYLFFPIVHNIYLFQGVSRNFLFQLVSDIDAEYFPPKEDIILQNEAPTDLYILVSGAVDFTCYVDGHDQIQGKAVIGDTFGEVGVLCYRPQPFTVRTTELSQILRISRTSLMSAMHTHADDGRIIMNNLFMKLRGQQSIAIDDSNSGQENRDFKSMGWEEWRDSRKDGYGLDVTNPTSDNALMDAMHKGDTELVKKIVKEQKLERAKEDISNSETAGRSYANDSPKKDPYCSSSNQITKPCKREDKRVTIHMMSQCKNGKLILLPSSIKELLRLASEKFGGCSFTKITNADNAEIDDLDVIWDGDHLYFSSN >fgenesh2_kg.8__1671__AT5G57580.1 pep chromosome:v.1.0:8:18208075:18211543:-1 gene:fgenesh2_kg.8__1671__AT5G57580.1 transcript:fgenesh2_kg.8__1671__AT5G57580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein [Source:UniProtKB/TrEMBL;Acc:D7MNB6] MDRGNNNMNRAKRNLDGNDDDQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARLTGSSGSSPKRIEGPDGRNLRLHFKSRLSLPLFTGGKVEGEQGAAIHVVLIDANTCRAVVHGPEASAKLQVVVLEGDFNTEDDEDWTQEEFESHVVKERSGKRPLLTGDVYVTLKEGVGTLGELVFTDNSSWIRSRKFRLGLRVVSGYCDGMRIREAKTEAFIVKDHRGELYKKHYPPALNDDVWRLEKIGKDGAFHKKLNAEGINTVEDFLRIMVKDAPKLRSILGSGMSNKMWDALVEHAKTCVLSGKLYIYYAEDSRNVGVVFNNIYELSGLISGDQYFSADSLTDSQKVYVDGLVKKAYESWNLVIEYDGKSLLDLKQPQRLSITHTDLENYSTAAIDHPMQMAGHSSSMPANQPPVLSDFAIGGYDQTLATRYHSQPQLLNSNPRAQFEVASCSTAQDQFMGNLHHTQSTIHNQNMNGLALGPPQPSTSGYQNINSSTVHQANLNHLEDWSNPRERGPEDFFSEEEIRLRSHEMLESEDMQQFLRLFSMGGGGNGSATHLPEDGYTFPSFLHTPMQGYDEDRGRSGRAVVGWLKIKAAMRWGFFIRRKAAERRAQIVELDDDDDEDGE >fgenesh2_kg.8__1672__AT5G57590.1 pep chromosome:v.1.0:8:18211997:18216360:-1 gene:fgenesh2_kg.8__1672__AT5G57590.1 transcript:fgenesh2_kg.8__1672__AT5G57590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial bifunctional diaminopelargonate synthetase [Source:UniProtKB/TrEMBL;Acc:D7MNB7] MIPVTATLIRHRLRHLRHRIRFNSTAVSPPPTHSIHLPLNHPTYLIWSANTSLGKTLVSTGIAASFLLQQPSSSATKLLYLKPIQTGFPSDSDSRFVFSKLDSLSLRRQIPLSISNSVLRSSLPAAESLGLNVEVSDTGMCNLNFREEKTVTGAPELLCKTLYAWEAAISPHLAAERENATIEDSIVLRMIEKCLKEEMECGSRSEKSDLLCLVETAGGVASPGPSGTLQCDLYRPFRLPGILVGDGRLGGISGTIAAYESLKLRGYDIAAVVFEDHGLVNEVPLTSYLRNKVPVLVLPPVPKDPSDDLIEWFVESNGVFKALKETMVLAYLGRLERLNSMAKQAGKVFWWPFTQHKLVHEETVTVIDSRCGENFSIYKASDNSSLTQQFDACASWWTQGPDPTFQAELAREMGYTAARFGHVMFPENVYEPALKCAELLLDGVGKGWASRVYFSDNGSTAIEIALKMAFRKFCVDHDFCEKREEEKHIVVKVLALRGSYHGDTLGAMEAQAPSPYTGFLQQPWYTGRGLFLDPPTVFLSNGAWNIFLPECFSEIAPEECGTFSIRDEIFDESRDATTLARIYSAYVSEHLQEDSGVRQSAHVGALIIEPVIHGAGGMHMVDPLFQRVLVNECRNRKIPVIFDEVFTGFWRLGVETTAELLDCKPDIACFAKLLTGGMVPLAVTLATDAVFDSFSGDSKLKALLHGHSYSAHAMGCATAAKAIQWFKDPETNHNITSQGKTLRELWDDELVQQISFHSAVQRVVVLGTLFALELKADASNSGYASLYAKSLLEMLREDGIFTRPLGNVIYLMCGPCTSPEICRRLLTKLYKRLGEFNRT >fgenesh2_kg.8__1673__AT5G57610.1 pep chromosome:v.1.0:8:18218226:18222239:1 gene:fgenesh2_kg.8__1673__AT5G57610.1 transcript:fgenesh2_kg.8__1673__AT5G57610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MNB8] MDSGSVNSSSVTSPVSSLNDEPHRVKFLCSFLGSILPRPQDGKLRYVGGETRIVSVNRDIRYEELMSKMRELYDGAAVLKYQQPDEDLDALVSVVNDDDVTNMMEEYDKLGSGDGFTRLRIFLFSSPEQDGSLHYVERDDQRESERRYVDALNNLIEGTDFRKLQQYPDSPRFNFVDEFSMVEPMLNQLSIETGGGSQRGTEIPTAQYSNLHQLRIPRVGSGQMLAQRYGEVEGTWSPYYSPRHHGHHDPRTFQEFPSSPSSARYRMPYGEIPDKGFDRMPEEYVRPQASHHPLYEHQAHIPESVVWVPAGAMPPESKGGFPGNVLHSGPGGYEGGNVCENCRVPYHRNHQLLEQPNIGNNGFPPVHCAHCPPNRESFLLNTDPKPTHHGAYPNETFGHERGRMVQQQVNSNPPRIEEGRSHISNVGRPNDHYTPDYPVSNYPLAQRAGHEISNEGFHDKPLGGIPLNSANRSAEERVFHYGNNLYPPGPESIQSAGHSHMHPQQNIWQNVSNPVAGPPGLPMQINGTVNQTIIRNPIETAPRYSIGVENQGVLVGSPQRISGFDGMPSPGQPYYPNPHLQDRAFPLDPNWVPSENPTVHNEHLQVREPLSGPLLQTNLNAAPIMQTPVIQTPESKLAQGGEKFNYVDTGVSNGVPYLDKPQPLAEGKKDMVEVSPEGAELSVERLSFLPELMESVKRAALEGAAEVKAHPEESKNQVRPELVENESEHMNAQDEPEIDSDNDNPNNFKIEQTKAEAEARSRGLQSIRNDDLEEIRELGHGTYGSVYHGKWKGSDVAIKRIKASCFAGKPSERERLIEDFWKEALLLSSLHHPNVVSFYGIVRDGPDGSLATVAEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDTAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQKTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYADMHCASIIGGIVNNTLRPKIPQWCDPEWKGLMESCWASEPTERPSFTEISQKLRTMAAAMNLK >fgenesh2_kg.8__1675__AT5G57625.1 pep chromosome:v.1.0:8:18231533:18232801:1 gene:fgenesh2_kg.8__1675__AT5G57625.1 transcript:fgenesh2_kg.8__1675__AT5G57625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSTTQVIVFTISLLLVAFQAVHADYYRQRPPVTPTPYVPKPRVPLPSPSPKPVYRPPTTPTLPAGSIARLFLDPHNALRSRLGLYPLVWDGKLASYAQWWANQRRYDCSLTHSTGPYGENLFWGSGSSWAPGFAVQSWIVEGRSYNYNTNSCDGSGMCGHYTQMVWRDTKRLGCARVVCENGAGVFITCNYDPPGNYVGERPY >fgenesh2_kg.8__1679__AT5G57660.1 pep chromosome:v.1.0:8:18255882:18257445:1 gene:fgenesh2_kg.8__1679__AT5G57660.1 transcript:fgenesh2_kg.8__1679__AT5G57660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGLESIKSISGGWGAAARSCDACKSVTAAVFCRLDSAFLCISCDTRIHSFTRHERVWVCDVCEQAPAAVTCKADAAALCVTCDSDIHSANPLASRHERVPVESFFDSAETAVAKISPSSTFGILGSSTTVDLTAVPVMGDDLGLCPCSSEFMFADFDRLIDFEFPNSFNHPSNNDAGGDSLVPVQTKTEPLPLTNNDHCFDIDFCRSKLSAFTYPSQSVSHSVSTSSIEYGVVPDGNTNNSVSEISIPFNRSMITTSTAASTGDHQTSSMDREARVLRYREKRKNRKFEKTIRYASRKAYAESRPRIKGRFAKRTETENDDVFLSHVYASAATQYGVVPTF >fgenesh2_kg.8__167__AT5G46230.1 pep chromosome:v.1.0:8:1111991:1112586:1 gene:fgenesh2_kg.8__167__AT5G46230.1 transcript:fgenesh2_kg.8__167__AT5G46230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQETKSDQREGAEICNGESNCKQKAKEILSTMNLPKGLLPLDNMTEIGHNKSTGYVWIKIKNKVQHRFKAIGKNVSYDSEVTAIVENRRMRQLTGIKSKEILIWVTISEIFVNDQDPTIITFANPTGLSRTFPVTAFEEDE >fgenesh2_kg.8__1680__AT5G57670.2 pep chromosome:v.1.0:8:18260604:18264105:-1 gene:fgenesh2_kg.8__1680__AT5G57670.2 transcript:fgenesh2_kg.8__1680__AT5G57670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7MNU6] MISCSEEGSNKILVAISLDRNESQNVLSWAINVLAKPSDTIVALHLLVGEEPRKLPMKKKKRTQIRHAKAHVISMLGEFAYTCCHNQVNLEAKVGFSSNIGRGLIDEVKSISAHYLVLSRPTTHEFRIWNDITRYVSDFAPSSCSVVLVGNQRKPHKDCYSDSAISRDIKSEKYSPRSVLNALSRDSLSSSGDDASSFNGSMVSSSFASPSGKPKHKPMSPYRFISSLIMNSPLRKWRGSETKSKLKPQPLIQCFTYNEISKATNGFDQENIVGIGGYSEVYRGDLWDGRRIAVKRLAKESGDMNKEKEFLTELGIISHVSHPNTALLLGCCVERGLYLVFRFSENGTLYSALHEKENGSLDWPIRYKIAVGVARGLHYLHKRCNHRIIHRDIKSSNVLLGPDYEPQITDFGLAKWLPNKWTHHAVIPVEGTFGYLAPESLMQGTVDEKTDIYAFGILLLEIITGRRPVNPTQKHILLWAKPAMETGNTRELVDPKLQDKYDDQQMNRLILTASHCVQQSPILRPTMTQVLELLTNGNEAEIAKSWRMPKDMTNDHDDILIIMDGMTIL >fgenesh2_kg.8__1681__AT5G57685.1 pep chromosome:v.1.0:8:18266944:18267822:-1 gene:fgenesh2_kg.8__1681__AT5G57685.1 transcript:fgenesh2_kg.8__1681__AT5G57685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRQYYPPRENVDGNRTAMGGPHSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWRLSGYLDGEENQSRERDLEAGDVKTEKAAVKPVALPEKFLVIMAGNIKPTYLATPAVKTCTCDDDDDGDDDDVEGNDQVVRRSSESNGETH >fgenesh2_kg.8__1683__AT5G57700.2 pep chromosome:v.1.0:8:18278857:18281123:1 gene:fgenesh2_kg.8__1683__AT5G57700.2 transcript:fgenesh2_kg.8__1683__AT5G57700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETDFKVLLETFTFPADSAPFKSCHASTIVEVVEDHFLTAYFGGTTEGAPDVKIWLQHFKDGQWDSPVIVDEEPGVPMWNPVLFKLPSQELLLFYKIGQEVQKWSGCMKRSYDKGRTWTEREQLPPGILGPIKNKPILLEDGTLLCGSSVESWNSWGAWMEVTSDAGRSWRKQGPIYIQGKSLSVIQPVPYQTAAGKLRVLLRSFTGIDKICISESSDGGENWSFAVPTVLPNPNSGIDGVKLKDGRLVLAYNTDSRGVLKVGVSLDDGDSWTDVLTLEESPGMEFSYPAVIQAGDGNVHVTYTYNRTQIKHVVLKTATDIDSKPYRHREAGGGHVNLGLYELNIN >fgenesh2_kg.8__168__AT5G46220.1 pep chromosome:v.1.0:8:1114831:1118436:-1 gene:fgenesh2_kg.8__168__AT5G46220.1 transcript:fgenesh2_kg.8__168__AT5G46220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFITTTLSPPLYARSKLLCFSLLYLFTTLSLFLYVSLSRNQCVFRYSPFDPIQPKLFSYPSSYGEHKYALPTHRSSCSSPVFFSDYWTVLKEIQSILSDSSSQENLRYINGKSESFGGNFSTQKRFSYFNHSNIDIEVPCGFFRDFPVSNSDRVEMEKCGLVVASAIFNDHDKIRQPVGLGVKTLETVCFYMFIDDKTLNSLFHHNVILKNNPKDYRVGAWRVIKISKSENLYLNPAMNGVIPKYLIHRLFPNSKFSIWVDAKIQLMIDPLLLIHSMLVVPEVDMAISKHPFFVNTMEEAMATARWKKWGDVDGLRIQMETYCEHGLKPWSSHKLPYPTDVPDTALILRRHGIRSNLFSCFMFNELEAFNPRDQLAFAFVRDHINPKVKMNMFEVEVFEQVVVEYRHNLKKIETSTYEEQEEEQKQESLRKIQKRRKWLDHESWSLNSSSCKNYLTDMWG >fgenesh2_kg.8__1691__AT5G57790.2 pep chromosome:v.1.0:8:18334988:18336574:-1 gene:fgenesh2_kg.8__1691__AT5G57790.2 transcript:fgenesh2_kg.8__1691__AT5G57790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKRSSLGARKKLKRKKKNRRLMRSSVTYLKSDAYLFAPLFSNFPPLTTEINTPPPSNSKPSTLDDISMAGFESLGIERVLKKKRRMSEKVKEYLKSECFMYAPMISSPPKLGSSVKGNMQITMEVSTGTTTMKEDNNNYRNLRSDIVEQALHSGRINSPKRVQVILEGQRTGLSRETDVSPALSSPTNVM >fgenesh2_kg.8__1692__AT5G57800.1 pep chromosome:v.1.0:8:18344315:18348143:1 gene:fgenesh2_kg.8__1692__AT5G57800.1 transcript:fgenesh2_kg.8__1692__AT5G57800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLSAWPWENFGNLKYFLYAPLAAQVVYSWVYEEDISKVLWCIHILIICGLKALVHELWSVFNNMLFVTRTLRINPKGIDFKQIDHEWHWDNYIILQAIIVSLICYMSPPLMMMINSLPLWNTKGLIALIVLHVTFSEPLYYFLHRSFHRNNYFFTHYHSFHHSSPVPHPMTAGNATLLENLILCVVAGVPLIGSCLFGVGSLSVIYGYAVMFDFMRCLGHCNVEIFSHKLFEMLPILRYLIYTPTYHSLHHQEMGTNFCLFMPLFDVLGDTQNPNSWELQKKIRLSAGERKRVPEFVFLAHGVDVMSAMHAPFVFRSFASMPYTTRIFLLPMWPFTFCVMLGMWAWSKTFLFSFYTLRNNLCQTWGVPRFGFQYFLPFATQGINDQIEAAILRADKIGVKVISLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPKDVKEVFLTGATSKLGRAIALYLCRRGVRVLMLTLSVERYQKIQKEAPVEFQNYLVQVTKYNAAQHCKTWIVGKWLTPREQSWAPAGTHFHQFVVPPILKFRRNCTYGDLAAMKLPKDVEGLGTCEYTMERGVVHACHAGGVVHMLEGWKHHEVGAIDVDRIDLVWEAAMKYGLSAVSSLTN >fgenesh2_kg.8__1693__AT5G57810.1 pep chromosome:v.1.0:8:18348495:18349857:-1 gene:fgenesh2_kg.8__1693__AT5G57810.1 transcript:fgenesh2_kg.8__1693__AT5G57810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNAQVVPVEEPAATATATATTTTEPEANSSDKMESQSDNKPPVGTLVALVNILAAGVLPIFTFVLSLTLLGYAVWLLYMRSYDCEDILGLPRVQTLASVGLLAVFVVSNAALFLRRKFPMPALVVMVVILLLMLFIGLAYAGVNEMQSRRFPATRMWFKLKIMDDVNWNNIKSCIYDKGACNDLIYESPNEKPYNRRNMPPIKNGCCMPPETCNMDAINATFWYRRKDEGPPSSMTLMYGDEMMVGRISDCQLWRNDWSILCYDCRSCKFGFVRSVRRKWWQLGIFLIVISILLLISHLLIFLATFWERFKG >fgenesh2_kg.8__1695__AT5G57830.1 pep chromosome:v.1.0:8:18353443:18355060:-1 gene:fgenesh2_kg.8__1695__AT5G57830.1 transcript:fgenesh2_kg.8__1695__AT5G57830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERTMSLGVGGNGETSGVRETKWAQQELLQKINQELDAEREASSSAASEALSMILRLQGEKAALEMEASQYKRMAEEKMCHAETSLALFEDLIYHKEMEIASLEFQVQAYRCKLLSLGCSDPAVIENKFPENLIFYGENSRGNQKRKMKRNLSSPFDGIFSERSLLLSDVNGESFEEKKGLVESSVSPREDLNAYWEQIRRIDEHVREISDSRDAQKVSKFPLLRRESMSHALVSQVSNTILESAKSDVSSIMEMMKNPERFSVKDASLETPILSPKNVQDIFEVPKTKESLTIISEEDEIKERNARGKMASSNYDRKLSKPPRDTSIKGEHLSLLKEIREQLNVMQSEMRSLRSELHQSKPVSNPEEDRVLNSIQEAMIHFWL >fgenesh2_kg.8__1698__AT5G57860.3 pep chromosome:v.1.0:8:18360541:18362228:1 gene:fgenesh2_kg.8__1698__AT5G57860.3 transcript:fgenesh2_kg.8__1698__AT5G57860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein [Source:UniProtKB/TrEMBL;Acc:D7MNW7] MAMYIRVKRMKTTYFIQCDPTETVLDVKQKLFVLIEQPVTNQRLVLMSTEEVLEDSKTLADQKVENDAVVALTLRKDDNEFEDVNIAQPTDFSIS >fgenesh2_kg.8__1703__AT5G57870.1 pep chromosome:v.1.0:8:18362469:18366754:1 gene:fgenesh2_kg.8__1703__AT5G57870.1 transcript:fgenesh2_kg.8__1703__AT5G57870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGDQTVLSLRPGGGRGNRLFGSSSSSSSLSFGSLSSSDLPLLRPHGGAPASSFPFKGGDSRFDGRERVKYTREQLLELKEITQLSDEILRVQRETATELFGEEGTWARGESVVSNLVPVQSASRFSEPDSRDWRSRSTQPPPSGEERSWDNLRETRDSRYVEASQYNRQDQPNSQFSRANISSNQGGGPAPVLVKAEVPWSARRGNLSENDRVLKTVKGILNKLTPEKYDLLKGQLIESGITSADILKGVITLIFDKAVLEPTFCPMYAKLCSDINDKLPTFPPAEPGDKEITFKRVLLNICQEAFEGASQLREELRQMSAPDQEAERNDKEKLLKLKTLGNIRLIGELLKQKMVPEKIVHHIVQELLGADEKVCPAEENVEAICHFFKTIGKQLEGNVKSKRINDVYFKRLQDLSKNPQLELRLRFMVQNIIDMRSNGWVPRREEMKARTITEIHTEAEKNLGLRPGATANMRRGMVSSGGPMSPGPVYPGGRPGAGGLMPGMPGTRRMPGMPGVDNDNWEVPRTRSMSRRDGPGPLHSPAVSKSASMNTRLLPQGSSGIMSGKTSALLQGSGSVSRPVTVSAVPPAQSAAPLTVPVPVEKPQPSAPKLSEEVLQRKTKSLLEEYFNVRLRDEALQCVEELGSPSYHPEFVKEAISLSLEKSPPVVEPIADLLEYLLSKKVLTPKDLETGFLLYGAMLDDIGIDLPKAPNNFGEIVGKLILAGGVDFKLVREIIGKMEDDRFQKMVANAALRIVESSEQGKSLLASQAADV >fgenesh2_kg.8__1705__AT5G57887.1 pep chromosome:v.1.0:8:18369799:18370307:1 gene:fgenesh2_kg.8__1705__AT5G57887.1 transcript:fgenesh2_kg.8__1705__AT5G57887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIKGLLILSIILLLVFSQCLLLCSGHGDDEAGATRKLGVFIRRRARRFRGHRPTSASTTLLSGSFHMTACLATSFLLSLLI >fgenesh2_kg.8__1706__AT5G57900.1 pep chromosome:v.1.0:8:18371052:18372131:-1 gene:fgenesh2_kg.8__1706__AT5G57900.1 transcript:fgenesh2_kg.8__1706__AT5G57900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGSDWGGLAPEILINIISRLTIQELWTGPMFVRKSWLTVCRDPHLWSTFDLEPWFESYPESTHLWSPDFEGKVDSMLRSVVDWSDGGLTEIRIRHCSDHALSYAADRCPNLQVLAVRSSSNVTDASMTKIAFRCRSLKELDISYCHEISHDTLVMIGRNCPNLRFLKRNLMDWSSRHIGSVPTEYLDACPQDGDTEADAIGKHMINLERLEIQFSRLSVKGLASICEGCPKLEYLDLFGCVHLSSRDITGNVSRLKWLKEVKKPDVYVPRSGDVAQRERYGHWRLYDERFDIQAMRI >fgenesh2_kg.8__1707__AT2G06555.1 pep chromosome:v.1.0:8:18374519:18375102:-1 gene:fgenesh2_kg.8__1707__AT2G06555.1 transcript:fgenesh2_kg.8__1707__AT2G06555.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MNX3] CYEFASRTPRSGETEDDILVLAYKLYHQDQKTKFSLEHVWRILKTDQKWCNWCETKLPAKKKAKLSSVEEESLQRPIGVKAAKALAKSKVKEKDLAFKERLSKQKLLDSLLGRSDGLSEMEIELKNTLIKEYLSGSNVFVSENEYSVLSDILCLF >fgenesh2_kg.8__1710__AT5G57940.2 pep chromosome:v.1.0:8:18389681:18393605:1 gene:fgenesh2_kg.8__1710__AT5G57940.2 transcript:fgenesh2_kg.8__1710__AT5G57940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCNGC5 [Source:UniProtKB/TrEMBL;Acc:D7MNY0] MAGKRDNFVRVDDLDSRLPSSSVAFQQNYASNFSGQLHPIHGANDTSRSFKKGIQKGSKGLKSIGRSLGFGVYRAVFPEDLKVSEKKIFDPQDKILLYCNKLFVASCILSVFVDPFFFYLPVINAESKCLGIDRKLAITASTLRTFIDVFYLAHMALQLRTAYIAPSSRVFGRGELVIDPAQIAKRYLQRWFIIDFLSILPAPQIVVWRFLQSSNGSDVLATKQALLFIVLVQYIPRFLRVLPLTSELKRTAGVFAETAWAGAAYYLLLYMLASHIVGAFWYLLALERNDACWQKACNDAGNCSTDFLYCGNQNMDGYAVWNRTKESVLQSKCRADLDDNNPPFDFGIYTQALSSGIVSSQNFIVKYCYCLWWGLQNLSTLGQGLETSTYPMEIIFSIALAISGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRMLPQDLRERVRRYDQYKWLETRGVDEEYLVQNLPKDLRRDIKRHLCLALVRRVPLFESMDDKLLDAICMRLKPCLFTESTYLVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGEFCGEELLTWALDPKSGVNLPSSTRTVKALTEVEAFALTSEELKFVASQFRRLHSRQVQHTFRFYSHQWRSWAACFIQAAWRRYCKRKKMEEAEAEAAAVPSSTAGPSYSIGAAFLATKFAANALRTIHRNRNTKIRDLVKLQKPPEPDFTAD >fgenesh2_kg.8__1716__AT5G57970.2 pep chromosome:v.1.0:8:18400415:18402466:1 gene:fgenesh2_kg.8__1716__AT5G57970.2 transcript:fgenesh2_kg.8__1716__AT5G57970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyladenine glycosylase family protein [Source:UniProtKB/TrEMBL;Acc:D7MNY3] MTSSPRVQSMNVAEAEARSTMGTAAAKKANPFITHKAVSKSLRKLERSSSGLTGSDEKTSYATPTETLSSSSSQKHTLNAASILRRHEQNLNSNLSLNASFSSDASMDSFHSRASTGRLIRSYSVGSRSKSYPSKPRSVVSEGALDSPPSGSETKKRCAWVTSNSDPCYIVFHDEEWGVPVHDDKRLFELLVLSGALAEHTWPMILSKRQTFREVFADFDPNAIVKINEKKLIGPGSPASTLLSDLKLRGVIENARQILKVIEEYGSFDKYIWSFVKNKAIVSKFRYQRQVPAKTPKAEVISKDLVRRGFRSVGPTVVYSFMQAAGVTNDHLTSCFRFHHCIFEQERYV >fgenesh2_kg.8__1717__AT5G57980.1 pep chromosome:v.1.0:8:18402506:18403236:-1 gene:fgenesh2_kg.8__1717__AT5G57980.1 transcript:fgenesh2_kg.8__1717__AT5G57980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDDEITRLFKVRRTVLQMLRDRGYTIEESDLNLKREEFVQRFCKPMNNMNKVNKEALFVAANKGPNPADKIYVFYPEGPKVGVPVIKKEVAIKMRDDKVPRGIVVVPMPITGPARMAISELNKILTIEVFEEAELVTNITEHKLINKYYVLDDQAKKELLKTYTVQDTQLPRILVSDPLARYYGLKRGQIVKIRRSDVTSLDYYTYRFAV >fgenesh2_kg.8__1718__AT5G57990.1 pep chromosome:v.1.0:8:18403541:18407520:-1 gene:fgenesh2_kg.8__1718__AT5G57990.1 transcript:fgenesh2_kg.8__1718__AT5G57990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 23 [Source:UniProtKB/TrEMBL;Acc:D7MNY5] MEVATSSTEFRIQTDRDPSSNNNGSCAVASSTASAVFRKIEFHPARKPFNGFSNGRSDFKIETLNPCSGNNRVLSAPSVKKPDGSDLKEHGFDPELTFSITFRKIGAGLQNLGNTCFLNSVLQCLTYTEPLAATLQTAAHQKYCHVAGFCALCAIQKHVRTARQATGRILAPKDLVSNLRCISRNFRNCRQEDAHEYMINLLECMHKCSLPSGVPSESSDAYRRSLVHNIFGGSLRSQVKCEQCSHCSNKFDPFLDLSLDISKADSLQRALSRFTAVELLDDGSKVYQCERCKQKVKARKQLTVSKAPYVLTIHLKRFEAHRSEKIDRKVDFASAIDMKPFVSGPHEGNLKYTLYGVLVHYGRSSHSGHYACFVRTSSGMWYSLDDNRVLQVSEKTVFNQKAYMLFYVRDRRNAVPKNSVPVVKKETSKESFATNRASLIVSSNIKDQVNGSTVTKECGFDALVANGLAPLKSCDPSAPAVLTQKDLNAKETQNNLISSVEAKEILKMENGSTPVKTCDLAAPTVLVQKDLNTKETLQKEVPLPQANGEGYLVKEDSKAACPTLPGKVSPLLDGSTNAQILLKLPASGAKAENSVEEKDSVNNLNEPANSLKVKNVSIGNSPIEEAVLINQTMGHHPEESATSIKPLKSTSERETRTTPRKIRKRKMKTLKVEFKFFKLALGLRKKNVQKRERLSSTLAVKIISEELLSKKSSTDQEHSTSQITNESDQNGAVASDQQQPAGNSDLSEASQIAKRKRESSILLQKEAVNILTRGVPETVVAKWDEEFSASAKMGSKSVGTSSIGYVADEWDEEYDRGKKKKIRIKEESYGGPNPFQMLASKRQTETKKKWTQRITTAKTAYRI >fgenesh2_kg.8__171__AT5G46190.1 pep chromosome:v.1.0:8:1136152:1139183:1 gene:fgenesh2_kg.8__171__AT5G46190.1 transcript:fgenesh2_kg.8__171__AT5G46190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MRZ8] MVERGKRTHNRSRDNNNDNNRNQKRRLSYETEEKTDNKDDLVVVYRILCPSGVMGSVIGKSGKVINLIRQETRARIKVVDPFPGCSERVITIFCSVTEKKDIIDIEHSELNYSIPLCSAQDALLKVHDAIVASLATAAENTKIDRDDIRECRLLVPSSQCSNVIGKSGSIIKKIRSRTRANVKVVSKDVSDPSHTCAMDFDNIVLISGESESVKKALFAVSAIMYKVSPREQIPLDTTVQEVPASIIIPSDLSIYPQGGLYPNQDPIFQHGANVSSFIGTLPQGYGESAANPMPVFSASALPVVHGFGGSSKSEKLVIKVICSSSKIGRVIGKGGSTIKGIRQASGSHIEVNDSRANHDDDCVITVTATESPDDLKSMAVEAVLLLQEKINDEDEEKVKMQLLVPSKVIGCIIGKSGSIISEIRKRTKANIHISKGNNKPKCADPNDELVEISGEVSDVRDALIQIVLRLRDDVLRDREIGSRNQPPARSENNNFFSSGSSNTGLALPSSFMSSVPQVASVDFDRRPETGSSMSMLPSSGGLYGYGSFPVGNNSYGSKSSYSSNLYGGLPQSTTMEVRIPANAVGKVMGRGGGNLDNIRRISGAMIEISDSKNSHGGRIALISGTPEQKRTAENLFQAFIMST >fgenesh2_kg.8__1721__AT5G58005.1 pep chromosome:v.1.0:8:18415588:18416441:1 gene:fgenesh2_kg.8__1721__AT5G58005.1 transcript:fgenesh2_kg.8__1721__AT5G58005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDTYASSNPNEVHEDVLLKARDACYKARDAFYACLEKESGKKPTEIATVGLLYPKECSNSRTQFVNNCRSSWVKHFDREYCRNKRVQRLLDDGDERKGPMSLPQPYTFKPSPST >fgenesh2_kg.8__1724__AT5G58020.1 pep chromosome:v.1.0:8:18418873:18420178:1 gene:fgenesh2_kg.8__1724__AT5G58020.1 transcript:fgenesh2_kg.8__1724__AT5G58020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCRRQIFVKSPDCQQVFALQLDPSQSVLTLSGITSLLESSQRNSLSDFSVALDGKLLNGSTRIQVSKLPSVSMLTLFPRFRGGGGDGGATGAESRDCYLNMYAEKKPDKVDPNEQRLSKWLNCALSNEPLAEPCVIDLLGNLFNKEALVHALLSKRLPKQFSYIKGLKDMVNIKLTPVAGSDGSSQDTTSSQFQCPVSGLEFNGKYKFFALRGCGHVMSAKALKEVKSSSCLVCHADVKDSDKIVINGTEEEVDLLRERMEEEKAKLREKKGVSKKSKNGAAVVADTGAKVAKRQMDDGNVNGNGITVKKFKAGDKVPVNATKEVYASLFTSSKKKSDFKETYSCRSIPLGRN >fgenesh2_kg.8__1726__AT5G58040.1 pep chromosome:v.1.0:8:18422099:18427340:1 gene:fgenesh2_kg.8__1726__AT5G58040.1 transcript:fgenesh2_kg.8__1726__AT5G58040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDEFGDLYSDVLQPFQPPVVLPPPPPLPLRSIDLNLRSQDQDVTEPNSASISRVSDNDALKLSTTLSQDATRQAIVDGGGDDKDMSFDIEEPDADSTPTIPGLFVTASEAGALPGLATDRGVSQVTTRIEQQVGGGGDGQGEGDDWDSDSEDDLQIVLNDSSRNVMIGGADRRSRMGDNEDDDDEDDEDPLVIVADTDPNQPMEEQLWGEDGLQGIEGDGKDGGEAGKGSGPGGATGPPKAGYSSHGYHPFHSQFKYVRPGAAPIPGGAASVGGTSSGQVRPPANLGPLAGRGRGDWRPLGMRNASAAQKGFHQPWGSNTAGRGLDFTLPSHKTIFEVDIESFEEKPWRYPGVDMTDYFNFGLNEESWKDYCKQLDQHRIETTMQSRIRVYESGRTDQGYDPDLPPELAAATGAQGVPVDSSNLVKPDSVQGDSAKVPANVRPSLPPGRPIPVEAGSGERLPSIDTRAPRMRDLDAIIEIVCQDSHEDEPSGENGTNQADSSLPEENVPVETSYVNSRRPDTESAEHSPAQDEPLKNLLKKQDDEISRSTDSGQSFRSSSPVGDRGTRSSSVDRENVGGEAGKDVEMGEEHKMSSKFPQSAVQEDDGGESKTERSSESSKARSGSHKDYQQLKDGAEEEVIQDKHYTRPASNRKQHDNNAPHQSRKNQDRGKEVERTRAASKGGRENSNPHMELDSSYIYSIANREDFDKRKERDVDGGVWRRKEDDPYSRRGGDDGSRKRDREDDPGFRQRGKMRENEIRSKDDHVPSRKHMDDAGMRNNYEADDHISKRRKDEEYLRRSRPEKNEISYGQRESISRLKRERDDRLEHQKRDVQHKIRDDFDDHSSLRHRDDIYMQRDGNERLRERDDLDKLKLTHEDGISARGRERQVAVRAHRGSEDRLSRMKDEYKASDKDHLTKDTLRHAKQTKRRDYPGEESSSHHRGHEDFSARTDDIVNNEKKPRQERTGAKIDKFIDTLDGQRLQDRKHKDSRRKIKEQREGTESLRSKQGEQNGSSVVTGSKGTNEARNCRSENPQQPNPTKRHKENASSGDELHDSKRGRTKLERWASHKERDDTVSAKSSSISSKLEEKDKNTNGRLSEPVHGSISKSRDVTEEKSGHNLAETKDGSEKGPGDWHLDTVEKLKKRSERFKLPMPTEKDTTGVKKMESETLPSAKIEGPVDSEGEYVWDELSCVRIGREYA >fgenesh2_kg.8__1728__AT5G58060.1 pep chromosome:v.1.0:8:18431491:18433737:1 gene:fgenesh2_kg.8__1728__AT5G58060.1 transcript:fgenesh2_kg.8__1728__AT5G58060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKCAPETSDPVILANASDVSHFGYFQRSSVKEFVVFVGRTVASRTPPSQRQSVQHEEYKVHAYNRNGLCAVGFMDDHYPVRSAFSLLNQVLDEYQKSFGEAWRSAKEDSSQPWPYLTEALNKFQDPAEADKLLKIQRELDETKIILHKTIDSVLARGEKLDSLVEKSSDLSMASQMFYKQAKKTNSCCTIL >fgenesh2_kg.8__172__AT5G46180.1 pep chromosome:v.1.0:8:1142025:1144723:1 gene:fgenesh2_kg.8__172__AT5G46180.1 transcript:fgenesh2_kg.8__172__AT5G46180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-OAT [Source:UniProtKB/TrEMBL;Acc:D7MRZ9] MAATTRRLLYCISKRITTAGVRRSYGGLPQSNSKSPPSSSQRLMELESEFSAHNYHPVPVVFSRANGSTIWDPEGKRYIDFLAAYSAVNQGHCHPKIVKALQEQVEKLTLSSRAFFNDKFPVFAERLTSMFGYDMVLPMNTGAEGVETALKLARKWGHEKKNIPKDEVIIVSCCGCFHGRTLAIISMSCDNDATRGFGPLLPGNLKVDFGDADSLEKIFKEKGEKIAGFLFEPIQGEAGVIIPPDGYLKAVRELCTKHNVLMIADEVQSGLARSGKMLACDWEEIRPDMVILGKALGGGVIPVSAVLADKDVMLHIKPGQHGSTFGGNPLASAVAMASLDVIEEEKLVERSASLGEELRIQLNEIKKQFPKYIKEVRGRGLFNAIEFNSESLSPVSAYDICLSLKERGVLAKPTHNTIVRLTPPLSISSDELREGSKALHDVLELDLPNLREINSGKTPVSHITECDRCGRNLYA >fgenesh2_kg.8__1730__AT5G58090.1 pep chromosome:v.1.0:8:18442441:18444336:-1 gene:fgenesh2_kg.8__1730__AT5G58090.1 transcript:fgenesh2_kg.8__1730__AT5G58090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7MPK7] MGWGSVLLFLAVALLCQRTSSIGANWGTQASHPLPPDIVVRMLRENGIQKVKLFDAEYDTLRALGKSGIEVMVGIPNEMLATLASSLKAAEKWVAKNVSTHISTDNVNIRYVAVGNEPFLSTYNGSYLSTTFPALRNIQIAIIKAGLQNQVKVTCPLNADVYDSSTTFPSGGDFRANIRDLMITIVKFLSENGGPFTVNIYPFISLYNNPDFPVDYAFFDGNSQPLNDGGTYYYNMFDANYDTLVHALEKNGFGNMPIIIGEIGWPTDGDSNANVDYARKFNQGFMSHISGGKGTPRRPGPIDAYLFSLIDEDAKSVQPGYFERHWGIFTFDGLPKYLLNLGTTNSGSLIQAKGVRYLQRKWCVMRPNVRLDDPQVAPSVSYACSLGDCTSLGVGTSCANLDGKQNISYAFNSYYQIQDQLDTACKFPNISEVTKTDPSTGTCRFPIMIEPYYGGAAREHSFFFPLLMAAAIAVSIF >fgenesh2_kg.8__1732__AT5G58110.1 pep chromosome:v.1.0:8:18453505:18454969:1 gene:fgenesh2_kg.8__1732__AT5G58110.1 transcript:fgenesh2_kg.8__1732__AT5G58110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEEKKKEGSSYRYWVREATSDAAPPPLPQKLSNNDVALNAAPASLGSLWNRAGTWEEKSLTKWATDRLKELLGSVGSLQFSSGKAEIKDVNRCVGDAFLVTVRNKKRVGYTYELSLKVEGEWSFEENMKKVRGSLEIPEFSFGELDDLEVDVKLSEDKDLSQQLKQRIRLDMKQFLEPIRLKLGQFEQELKDR >fgenesh2_kg.8__1733__AT5G58130.1 pep chromosome:v.1.0:8:18455022:18457562:-1 gene:fgenesh2_kg.8__1733__AT5G58130.1 transcript:fgenesh2_kg.8__1733__AT5G58130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSSGGGGVRLHVGGLGESVGRDDLLKIFSPMGTVDAVEFVRTKGRSFAYVDFSPSSTNSLTKLFSTYNGCVWKGGRLRLEKAKEHYLARLKREWEAASSTSDNTIKAPSDSTPSTHLNIFFPRLRKVKAMPLTGTGKHKYSFQRVPLSSSLPKSFCDCEEHSNNSLTPRETHLQDLESLNVGRNEDEVNVMNSVMNKLFEKNNIPIAEEDNEIEADQDNLIINVASSGNDMDSELDKLSRKRKSILNETTPSGEGYSEGRKGNHIHPSKKRQTISLEESGRQESSQSIREKKKPSKVVPDKSLDEPSRTTDVKQSIDNISWSQKSSWKSLMANGNSSDFSVSSFLPGVGSSKAVQPAPRNTDLAELPSRENSKEKTKRKRVTSTIMAGDLPVPDDIKRDDSDTMVDDIERDVSAAVEDDAANDSVAESDDSDAVECDTAIDSMADDTASDSMADDAASDAVAESDDSDAVEYDTAIDSMADDTASDSVADDTANDDVGSDDSESLADTVIDTSVDAVPLDFVANTEGDSGNGKSNVEKHENGAEDMNAEKGSLVVKENVVDEEEAGKEPVKACNKSTGGSSWLQKASWTQLVSDKNTSSFSITQLFPDLSSDKGEPAGVINSVERQSHKTASAMKQTDYTCSSGGFVAAGVPVASTPVRSLDENRHHLNGKNLSEGGRLGAKKIIKRKVVSGDTCTFMRSSTSLKEWAKAKKALSEPRRKKNGED >fgenesh2_kg.8__1734__AT5G58140.2 pep chromosome:v.1.0:8:18458190:18466941:1 gene:fgenesh2_kg.8__1734__AT5G58140.2 transcript:fgenesh2_kg.8__1734__AT5G58140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERPRAPPSPLNDTEPLTERRSLEIFNPQSGKETHGSTSSSLKPPLDGKSKGSSSKWMEFQDSAKMTERTAEWGISAIKPDSGEDGISFKVSSEAERSKNMSRRSSEESTSSESGAFPRVSQELKTALSTLQQTFVVSDATQPHCPIVYASSGFFTMTGYSSKEIVGRNCRFLQGPDTDKNEVAKIRDCVKNGKSYCGRLLNYKKDGTPFWNLLTVTPIKDDQGNTIKFIGMQVEVSKYTEGVNDKVLRPNGLSKSLIRYDARQKEKALDSITEVVQTIRHRKSQVQESVSNSNMVTPDSSTTPGRQNRQSDEASKSFRTPGRVSTPTGTKLKSSSNKHEDLLRMEPEELMLSTEVIGQRDSWDLSDRERDIRQGIDLATTLERIEKNFVISDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVQKIRDAIRDQTEITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLQNRLSERTEIQSSKLVKATATNVDEAVRELPDANTYKCDQFAMYYSGPRTCGLHTRSLSILYLTIRRIQAGGETVGLHHFKPIKPLGSGDTGSVHLVELKGTGELYAMKAMEKAMMLNRNKAHRACIEREIISLLDHPFLPTLYASFQTSTHVCLITDFCPGGELFALLDRQPMKILTEDSARFYAAEVVIGLEYLHCLGIVYRDLKPENILLKKDGHIVLADFDLSFMTTCTPQLIIPAAPSKRRRSKSQPLPTFVAEPSTQSNSFVGTEEYIAPEIITGAGHTSAIDWWALGILLYEMLYGRTPFRGKNRQKTFANILHKDLTFPSSIPVSLVCRQLINTLLNRDPSSRLGSKGGANEIKQHAFFRGINWPLIRGMSPPPLDAPLNIIEKDPNAKDIKWEDDGVLVNSTDLDIDLF >fgenesh2_kg.8__1739__AT5G58160.1 pep chromosome:v.1.0:8:18467788:18473390:1 gene:fgenesh2_kg.8__1739__AT5G58160.1 transcript:fgenesh2_kg.8__1739__AT5G58160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:D7MPL2] MAGVVNQLQEHFPEASSLVFNFREVGTRSVMADVLSEHGLTIMDYPRHYEGCSLLPVEVMHHFLRSSESWLSLGPSNLLLMHCESGAWPVLAFMLAALLIYRKQYSGEYKTLDMIYKQAPRELLRLFSPLNPIPSQLRYLQYVSRRNLVSEWPPLDRALTMDCVILRFIPDVSGQGGFRPMFRIYGQDPFFVDDKKPKLLYSTPKKGKHLRLYKQAECELVKIDINCHVQGDIVIECLSLNDDMEREVMMFRVVFNTAFIRSNILMLNRDEVDTLWHIKEFPKGFRVELLFSDMDAASSVDLMNFSCLEEKDGLPIEVFSKVHEFFNQVDWVDQTDATRNMLQQLAIANAVQERPDGNSSPRLQGLSPRSIHDIMKHATIEDSAKFKLSSKSEVETINTPEKPPTDSVKTFIAEDLHSVLQISNQEKTASQDATKLLHQESPSLKLVHHSATVKPLVDGSKSSENVEENFLKSPSENNGKAISFSPPTPSPPHPARPQLALAGAPPPPPPLPAAASKPSEQLHHSVVQPTEPLSQGNSWMSLAGSTFHQTVPNEKNPITRPPTPPLTSTSHSCPEPSSKTTTSLLLSPQASSATPANPSKTVPVDSFGPATSPHLGASDDVASNLGKPARSPPPVSNSDKKPALPRPPPPPPPLPMQHSTVTKVPPPPPPPPPAPPAPFVHASSPPPPPPPAPPTPHSNGISAIKSSPPAPPAPPRLPTHSASPPPPTLKPYHWLKLTRAVNGSLWAETQMAREASKYALFLHDFQFIDTLPLCLESLFSASAPEQAGKSRLDSSRGPKPEKVQLIEHRRAYNCEIMLSKVKVPLQDLTNSVLNLEESALDADQVENLIKFCPTREEMELLKGYTGDKDKLGKCELFFLEMMKVPRVETKLRVFSFKMQFTSQISELRNSLSVVNSASEQANQTHWTILSLGNALNQGTARGAAVGYKLDSLPKLSETRARNNRMTLMHYLCKVSYYSLRFCSFMDVPEEERYSLMDSLQILAEKIPEVLDFTKELSSLEAATKIQLKFLAEEMQAINKGLEKVVQELSLSENDGPISHNFNKILKEFLHYAEAEVRSLASLYSGVGRNVDGLILYFGEDPAKCPFEQVVSTLLNFVRLFNRAHEENGKQLEAEAKKNAAEKEKPKTGGLDKEIKKPLDEEVKEKTKTSGLGKEMSERLKERTAP >fgenesh2_kg.8__173__AT5G46170.1 pep chromosome:v.1.0:8:1147706:1149688:1 gene:fgenesh2_kg.8__173__AT5G46170.1 transcript:fgenesh2_kg.8__173__AT5G46170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MS00] MAVIIPRSDPPSRIHPEPPQTLEIDHFDRLPDSILLLVFNKIGDVKALGRCCVVSRRFHSLVPQVDNVVVRVDCVISDDDSSSLSSIKSRSGSSAGSFSAIFRLVVGGIVKPLQALGQFLGTKRSSCGGSGSSSSLSISGDDEGGEIEQGGVTHHSPTQVLKNFDEIRYLRIELPSGELGIDDGVLLKWRAEFGSTLDNCVILGASSVIQPNPMRISQAVDTSTVVEASGSGSDDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIAEHKTLDSLVLTDSDGQGVLCMNREQLEELRVKPLAASSASKRTLVPALNMRLWYAPTLELPDGTVLKGATLVAIRPSESKREVSDISWVSSAFEEPYETAAKMLVKRRTYCLEMNSF >fgenesh2_kg.8__1743__AT5G58210.2 pep chromosome:v.1.0:8:18496495:18498730:1 gene:fgenesh2_kg.8__1743__AT5G58210.2 transcript:fgenesh2_kg.8__1743__AT5G58210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILKQLKQFTFSATRRNVDVKRLARFYGSPAVCESLTTSKAYKRLSRDDRRALVESFVNEYRANNAGRFPSLSSTRKEVGGCYYVVREILQELKLKPKAPMPIVAKALSEVSPSVPCDASSHFSPAPVPIVAKPLSEVPPSVPDDASSHFSPVVVEPEIQAGSLGIETVNEQKQDIIDTSHSNSDDESNLQGNNLTEEEGNLTHIGNQESKADHLGGAAGTGDKRQTETTQGIEVDVCSNNSETKEEGNLTHLETEKEGNLTHLGNQGSKADHLEGAAVSADVVPTETRQVSEAGAGEAKETEAGEVKERSSAWSNIMSFAKEFTNFWRKM >fgenesh2_kg.8__1745__AT5G58220.1 pep chromosome:v.1.0:8:18498870:18500192:-1 gene:fgenesh2_kg.8__1745__AT5G58220.1 transcript:fgenesh2_kg.8__1745__AT5G58220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Steroid binding protein [Source:UniProtKB/TrEMBL;Acc:D7MPL9] MAMEIGEDEWKVCCGSSEFAKLMEMSGPLTSEEAIWTARDTWFNLVNVTDWLEAFSAHPQIGNTPSPSINSDFARRSVSEQSTAFATTSASALQELADWNVRYKQKFGFIFIICASGRTHAEMLHELKERYENRPIVELEVAAMEQMKITELRIAKLYSDKARVVSETDSSSSPISTKPQDRLRIIGGHLNVAAEAKSPKRSRPPITTHVLDVSCGAPATGVEVHLEVWSGTTGPSFGHGGGGVWSSVGTSVTDKDGRSGPLMDLVEALNPGTYRISFNTAKYCPGCFFPYVSIVFQVTESQKWEHFHVPLLLSPFSFTTYRGS >fgenesh2_kg.8__1748__AT5G58230.1 pep chromosome:v.1.0:8:18500348:18502405:1 gene:fgenesh2_kg.8__1748__AT5G58230.1 transcript:fgenesh2_kg.8__1748__AT5G58230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDEEEMRGEIEERLINEEYKIWKKNTPFLYDLIITHALEWPSLTVEWLPDREEPSGKDYSVQKMILGTHTSENEPNYLMLAQVQLPLDDTESEARQYDDDRSEFGGFGCATGKVQIIQQINHDGEVNRARYMPQNPFIIATKTVNAEVYVFDYSKHPSKPPLDGACNPDLKLRGHSSEGYGLSWSKFKQGHLLSGSDDAQICLWDINATPKNKSLDAQQIFKAHEGVVEDVAWHLRHEYLFGSVGDDQYLLIWDLRSPSASKPVQSVVAHSMEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKLSTALHTFDSHKEEVFQVGWNPKNETILASCCLGRRLMVWDLSRIDEEQTLEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVISSVAEDNILQIWQMAENIYHDEDDAPGPGEEPSKAS >fgenesh2_kg.8__174__AT5G46160.2 pep chromosome:v.1.0:8:1156276:1157462:1 gene:fgenesh2_kg.8__174__AT5G46160.2 transcript:fgenesh2_kg.8__174__AT5G46160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFASRLTRGGRSLLGGLNNGGLMNSSNGMMNESILSQQQRRTFIQMGTVLKVVDNSGAKKVMCIQALKGKKGARLGDTIVASVKEAMPNGKVKKGAVVYGVVVRAAMQRGRVDGSEVRFDDNAVVLVDSKDKNTKTDRQPIGTRVFGPVPHELRKKKHLKILALAQHIA >fgenesh2_kg.8__1751__AT5G58260.1 pep chromosome:v.1.0:8:18505229:18506311:-1 gene:fgenesh2_kg.8__1751__AT5G58260.1 transcript:fgenesh2_kg.8__1751__AT5G58260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRAVCIQRVAPPCFEASQVKKIKTVGPFFVKTRSKRRRSDGVRCSSIADYIGGDLVKPDIGQWLQDVEEHKAIAIYAPHEGGYEGRYLNRLKMQGYYFLDISARGLGDPETTLLKNYPVCPAHLGKQPIARWYYPPEVDYRLSALPPSAKGLVVWVLEAKVLSKAELQFLALLPSLRPNVRVIAECGNWRKFVWKPLAEIANLAAQE >fgenesh2_kg.8__1753__AT5G58270.1 pep chromosome:v.1.0:8:18506534:18511661:1 gene:fgenesh2_kg.8__1753__AT5G58270.1 transcript:fgenesh2_kg.8__1753__AT5G58270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSRLVRAPGLLLCRANLHPQPKIPSFSYSLRSDYRRHNGFSNYIRRNSIRTSPVINAFLSDHSPSPSPSPSPIRFVQRSSVLNGRLFSTSTPNPDQAATKSKEIKITSSDSDSAMADMKILRTLAGYLWMRDNPEFRFRVIAALGFLVGAKVLNVQVPFLFKLAVDWLASATGTGASLTTFAATNPTLLTVFATPAAVLIGYGIARTGSSAFNELRTAVFSKVALRTIRSVSRKVFSHLHDLDLRYHLSRETGALNRIIDRGSRAINFILSAMVFNVVPTILEISMVSGILAYKFGAAFAWITSLSVGSYIVFTLAVTQWRTKFRKAMNKADNDASTRAIDSLINYETVKYFNNEGYEAEKYDQFLKKYEDAALQTQRSLAFLNFGQSIIFSTALSTAMVLCSQGIMNGQMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSMFQLLEEKSDITNTDDAKPLVLKGGSIEFENVHFSYLPERKILDGISFVVPAGKSVAIVGTSGSGKSTILRMLFRFFDTDSGNVRIDGQNIKEVRLDSLRSSIGVVPQDTVLFNDTIFHNIHYGRLSATEEEVYEAARRAAIHETISNFPDKYSTIVGERGLKLSGGEKQRVALARAFLKSPAILLCDEATSALDSTTEAEILNALKALASNRTSIFIAHRLTTAMQCDQIVVLENGKVVEQGPHDELLGKSGRYAQLWTQQNSSVDMLDAAVKLE >fgenesh2_kg.8__1755__AT5G58290.1 pep chromosome:v.1.0:8:18513735:18516058:1 gene:fgenesh2_kg.8__1755__AT5G58290.1 transcript:fgenesh2_kg.8__1755__AT5G58290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVASMVLDPKASPALMDLSTADEEDLYGRLKSLERQLEFTDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVSYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTSKMNLSDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRANVKKPDTDFEFYK >fgenesh2_kg.8__1759__AT5G58310.1 pep chromosome:v.1.0:8:18519731:18520796:-1 gene:fgenesh2_kg.8__1759__AT5G58310.1 transcript:fgenesh2_kg.8__1759__AT5G58310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7MPM8] MTEHHFVFVHGAGHGGWCWYKLANSLRENGHKATCIDLKAAGINPTDPNTVSSLDDYDEPLYAFLSQLPIDQKVILVSHSVGGGSMTAAMCLFPSKVSLAVYVAAAMVKPGTLIPERLKNVMKICSGLIEEETEKIWDFSFGNGPQNPPTGIMMKPEYVRDKFYNESPMEDYTLATTLLRPAPVMAFVGIMDIPKAPETDKIPRVYVKTGKDHLFEPVLQEVMLALWPPAQTFLLPDSDHSAFFSQPQELYQFLLQAASSLSP >fgenesh2_kg.8__1760__AT5G58320.1 pep chromosome:v.1.0:8:18522268:18524574:1 gene:fgenesh2_kg.8__1760__AT5G58320.1 transcript:fgenesh2_kg.8__1760__AT5G58320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDLVRSKKSIKRAESTKSNPWWWDSHIGLKNSKWLENNLDEMDRSVKRMVKLIEEDADSFAKKAEMYYQSRPELISLVEEFHRMYRALAERYENITGELRKGSPLELQSQGSGLSDISASDLTAFWTSNELNRLGRPPSGRRAPGFEYFLGNGGLPSDLYHKDGDDSASITDSELESDDSSVTNYPGYVSIGSDFQSLSKRIMDLETELREAKERLRMQLEGNTESLLPRVKSESKFVDFPAKLAACEQELRDANEKLQNSEDQIYMLKSQLARYLPSELDDERDEGAASTQDLDIETLSEELRITSLRLREAEKQNGIMRKEVEKSKSDDAKLKSLQGMLESAQKEAAAWKSKASADKREVVKLLDRISMLKSSLAGRDHEIRDLKTALSDAEEKIFPEKAQVKAEIAKLLEEKIHRDNQFKELEANVRYLEDEIRRVTNEKIEEEEKLKGEIEVLTLEKVEKERCIETLNKKVSELESEITRLGMIRQLCFSLDYSRDECKRLRIAFSGYRPTAPSSILAS >fgenesh2_kg.8__1764__AT5G58330.1 pep chromosome:v.1.0:8:18524540:18527251:-1 gene:fgenesh2_kg.8__1764__AT5G58330.1 transcript:fgenesh2_kg.8__1764__AT5G58330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAELSTPKTTSPFLNSSSRLRLSSKLHLSNHFRQLLLPPLHTTTPNSKISCSVSQNSQAPVAVQENGLVKTKKECYGVFCLTYDLKAEEETRSWKKLINIAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSIQALEGVAMELEDSLFPLLREVDIGTDPNEVFQDVEWAILIGAKPRGPGMERADLLDINGQIFAEQGKALNEAASPNVKVLVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNARINGLPVKEVITDHKWLEEGFTESVQKRGGLLIQKWGRSSAASTAVSIVDAIKSLVTPTPEGDWFSSGVYTDGNPYGIEEGLVFSMPCRSKGDGDYELVKDVEIDDYLRKRIAKSEAELLAEKRCVAHLTGEGIAYCDLGPVDTMLPGEV >fgenesh2_kg.8__1766__AT5G58350.1 pep chromosome:v.1.0:8:18529643:18532625:1 gene:fgenesh2_kg.8__1766__AT5G58350.1 transcript:fgenesh2_kg.8__1766__AT5G58350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNQVAEYVETDPTGRYGRFAEILGRGAMKTVYKAIDEKLGIEVAWSQVKLKEVLRSSVDLQRLYSEVHLLSTLNHKSIIRFYTSWIDVHNHTLNFITELFTSGTLRQYKNKYLRIDIRAIKSWARQILEGLVYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLARMLRDCHSAHSVIGTPEFMAPELYEENYNELIDVYSFGMCFLEMITSEFPYSECNNPAQIYKKVVAGKLPGAFYRVGDIEAQRFIGKCLVSASKRVSAKELLQDPFLASDESWMVYASGAGNPKPFLNENEMDTLKLEDDELKTQMSIAGKLGAEDNKIDLEVQIAYDNGLANNVFFPFDIMNDTSIDVAKEMVKELEIIDWEPVEIAKMIDGAISSLVPGWKYEEDDETPHDHHRNRTDPFHSSSSHSSSSQASLSNYMARGLQDWGQDDLHDETYSQSSSHSGSYSNLNYIAVDEHSSQSPAMSRTHNLTRFCPEESSHLQSGQANAYAASSSINRSLASDNRTLTRNRSLVDVQRQLLHRSPGEEARKRRLFKTVGDVETVGFQSPYAVSRKPPSSRR >fgenesh2_kg.8__1770__AT5G58375.1 pep chromosome:v.1.0:8:18554908:18555627:1 gene:fgenesh2_kg.8__1770__AT5G58375.1 transcript:fgenesh2_kg.8__1770__AT5G58375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRLILIFLSATLAGFFVLQKLNSTSDDPLDDSFTDAEPADDDSVSGFSKVGMAMKSGFWTCVDMASGRYLWNNLSSVSKRSS >fgenesh2_kg.8__1771__AT5G58380.1 pep chromosome:v.1.0:8:18555662:18557937:-1 gene:fgenesh2_kg.8__1771__AT5G58380.1 transcript:fgenesh2_kg.8__1771__AT5G58380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7MQC6] MENKPSVLTDKYDVGRLLGQGTFAKVYYGRSILTNQSVAIKMIDKEKVMKVGLIEQIKREISVMRIARHPNVVELYEVMATKTRIYFVMEYCKGGELFNKVAKGKLRDDVAWKYFYQLINAVDFCHSREVYHRDIKPENLLLDDNENLKVSDFGLSALADCKRQDGLLHTTCGTPAYVAPEVINRKGYDGTKADIWSCGVVLFVLLAGYLPFHDSNLMEMYRKIGKADFKAPSWFAPEVRRLLCKMLDPNPETRITIARIRESSWFRKGLHMKQKKMEKRVKEMNSVEAGVAGGPNENGAGPSENGDRVIEENQTDEPTNLNAFDLIALSAGFDLAGLFGDVFNKRESRFTSQKPASVIISKLEEVAQRLKLSIRKREAGLFKLERLKEGRKGILSMDAEIFQVTPTFHLVEVKKSNGDTLEYQKLVAEDLRPALSDIVWVWQGEKDEPASQQETEQQEEEP >fgenesh2_kg.8__1773__AT2G07110.1 pep chromosome:v.1.0:8:18562334:18562865:1 gene:fgenesh2_kg.8__1773__AT2G07110.1 transcript:fgenesh2_kg.8__1773__AT2G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MQC8] GIQKFNLHNTDLKQERRRTKMLRRLIGGVFCCLSMTKVEREYETILKRTLQNICVLTVVTNTATSVIIQVVHDGGYVSSLCSLHLGKHLLMLSETHIIRYKQCLFEYL >fgenesh2_kg.8__1774__AT5G58410.1 pep chromosome:v.1.0:8:18580078:18587698:1 gene:fgenesh2_kg.8__1774__AT5G58410.1 transcript:fgenesh2_kg.8__1774__AT5G58410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MQD1] MGKPKGDAARSKARPSSSSLAASLLPSGSAAAVGFGGYVGSSRFETSLSNEDSASLLDLDSEVAQHLQRLSRKDPTTKIKALASLSELVKQKKGKELFPIIPQWTFEYKKLILDYNRDVRRATHDVMTNVVTGAGRDLAPHLKSIMGPWWISQFDLASEVSQAAKSSFQVGSSFRNSVFLVEAAFPTQEKRLHALILCSAEIFAYLEENLKLTPQNLSDKALASDELEEMYQQMISSSLVGLATLLDILLHKPDKVGSANINSESKLASKARAVASSSAEKLFSSHKCFLNFLKSESPSIRSATYSLLSSFIKNVPEVFGEGDVRCLAPALLGVFRENNPTCHSSMWEAVLLFSRKFPQSWVYLNVHKSVLSHLWQFLRNGCYGSSRVSYPALILFLEVMPTQSVEADKFFVNFFKNLLAGRSMCESSSTDQLSLLRATTECFLWGLHNASRYCDGPNSIHDLQVDLIDKVLVKILWADFFELSKGSIPPIQRKSTENLGMGNSASYLQELGRCILEILSGINLLEQNLLSFFCISVQESFLNMLQQGNLEIVTGSMRKMIDFLLLLERCSVLEGESWPLDQFMGPLLSKAFLWIRSSELIEGVKLLSVSVSVFGPRKIVPVLIDDIETSTLLSVEKGKNMSPEKLIKVFQEIFIPWCMDGYDSSTGARQDLLFSLLDDECFTQQWSDVISYVFNQQHQGFNNLAAMKMLLEKARDEITKRSSGQELHQRIGSRPDHWHHTLIESTAISLVHSSSATTTSAAQFLCSVLGGSTQDSSISFVSRSSLVLIYRGILEKLLSFIKQSPLCSVNDTCSSLIVEAIDFDLSSSVDVIVVAKFAAEVIDGSFFSLKSLNQDTTLLSTILSSIFIIDLESRMTSLVDSTLSESKEKRKDRNLVCDYIHAVCSKMDNQFWKSINYDVRKSSASILVQFLRSVVLLEDDLQPFELALLCASRMTEVLEYLSLDQSDEENIRGLLLLERDVWPIWVSPSSSASINTHGMPVHLCELRKSKSQRYVSFINSLIMKLGIHRFLVGHKDNGFASQAWLSVEILCTWEWPGGNVQTSFLPTLVSFCKGEPSSGGLLNSIFDILLNGALVHVKDEEEGLGNMWVDFNNNIVDVVEPFLRALLSFLHILFKEDLWGEEEAMSAFKMITDKLFIGEETSKNCLRIIPCIMSMIISPLRTKIKSGGSGKDTLLPLEVLLRSWLERSLSFPPLVLWQSGEDIQDWFQLVISCYPVSEKAEEAKEIQRHVSNEERTLLLDLFRKQNQDPGASSVVTHLPAVQILLARLIVIAVSYCGNNFNEDDWDFVFSNLKRQIQSAVVVMEETAENVNEFISGVSSMEKENDTLEGLGHIVFISDPSINNAQNALYAFSLLNALVKHKSVEYEDNLKSLADEIWDPVKDRILEGVLRLFFCTGLAEAIAASYSPEAASIVASFRVDHLQFWELVAQLVVDSSPRARDRAVRAVEFWGLSKGAISSLYAIMYSSNPIPSLQLAAYTVLSTEPVSRLAIVADGNAPLNDESLNDQDSSNAGLPSEEKLLLRDEVSCMVEKLNHDLLDTDLTAPERVQTFLAWSLLLSHVNSLPSLTQGRERLVQYIEKTANRLILDSLFQHIPLELYMGQNLKKKDGDIPSELSVVASAATRAIVTGSSLSTVESLWPIETGKMASLAGAIYGLMLRVLPAYVREWFSEMRDRSASSLIEAFTRSWCSPSLIENELSQIKKADFNDESFSVSISKAANEVVATYTKDETGMDLVIRLPVSYPLRPVDVNCTKSIGISDAKQRKWLMSMQMFVRNQYGALAEAIRIWKRNSDKEFEGVEDCPICYSVIHTGNHSLPRRACVTCKYKFHKACLDKWFYTSHKKLCPLCQSPC >fgenesh2_kg.8__1775__AT5G58420.1 pep chromosome:v.1.0:8:18591279:18592748:1 gene:fgenesh2_kg.8__1775__AT5G58420.1 transcript:fgenesh2_kg.8__1775__AT5G58420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:D7MQD3] MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLVLIIRNRLKYALTYREVISILMQRHIQVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDEEAQFKLCKVRSIQFGQKGIPYLNTYDGRTIRYPDPLIKPNDTIKLDLEANKIVEFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHIQDSTGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLTIIEEARKRLAGQQVA >fgenesh2_kg.8__1777__AT5G58440.1 pep chromosome:v.1.0:8:18595385:18598248:-1 gene:fgenesh2_kg.8__1777__AT5G58440.1 transcript:fgenesh2_kg.8__1777__AT5G58440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MQD5] MGSENADGFEETNLNATREDMENLDLGVDGDDDPLKKTDVNGDTSNSGYRSAMSTLSNVRDPLSPPPTVMIPADSDPLLAPSSYEDFRSSFSSKPISSDNSYIEPPSYADVIFSPFDENSDSEINGADDSSLHSQFSDSLSRSPSSSSSDYIKITVSNPQKEQETSNSIVGGNTYITYQITTRTNLPDFGGPSEFSVRRRFRDVVTLADRLAESYRGFCIPPRPDKSVVESQVMQKQEFVEQRRVALEKYLRRLSAHPVIRNSDELKVFLQVQGKLPLPMSTDVASRMLDGAVKLPKQLFGEGGASAVPVHEVVQPTRGGRDLLRLFKELRQSVSNDWGGSKPPVVEEDKEFLEKKEKMHDLEQQIINASQQAESLVKAQQDMGETMGELGLAFIKLTKFENEEAAYNSQRTRANDMKNLATAAVKASRFYRELNSQTVKHLDTLHEYLGMMMAVQGAFADRSSALLTVQTLLSELPSLQTRVEKLEAASSKVFGGDKSRIRKIEELKETIKVTEDAKNVAIREYERIKENNRSEVERLDRERRADFMNMMKGFVVNQVGYAEKMGNVWAKVAEETSQYDREKQSS >fgenesh2_kg.8__1779__AT5G58460.1 pep chromosome:v.1.0:8:18603783:18606468:-1 gene:fgenesh2_kg.8__1779__AT5G58460.1 transcript:fgenesh2_kg.8__1779__AT5G58460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCHX25 [Source:UniProtKB/TrEMBL;Acc:D7MQD7] MVRYFPSDDWSALPTRFGFWPGNPTTTGVVSSRVFSARLPVVCRQIHDKQPFGMFKGENGMNYTFSTFLIEAILIILFIKIVYFLLRPLRQPRIVCEIIGGMMIGPSMLGRSRNFNYYLFPPIANYICANIGLLGFFYFFFLTAAKTDVAEIFKAPRKHKYIAAISVLVPIACVGGTGAALKEKMDVRLQKSSSIGGVTFALGFTSFPVIYTVLRDMNLLNSEIGKFAMSVTLLGDMVGIYVLVLFEAMAQADGGGGAYSVIWFLISSAILAAFLLLVVKRSFERIVAKTPEGGLVNQNYIVMILMGVLVSCFLTDMFGMAIAVGPIWLGLVVPHGPPLGSTLAIRSETFVNEFLMPFSFALVGQKTNVNLLSNETWPKQVSPLVYMSIVGFVTKFVSSTGAALFFKVPTRDSLTLGLMMNLRGQIDILLYLHWIDKRMVGLPGYTVMVLYAILVTGVTAPLISFLYDPTRPYRSSKRRTIQHTPQNTEMGLVLAVSDHDTFSGLITFLDFAYPTKTSPFSIFAIQLVELEGRAQPLFIEHDKRKKEDEEYEEEEEEPERMRRSRRVDQVQSAFKLYQEKRSECVKMHAYTANASKHHMYQDICGVALTKKTAFIVLPYQKERLQDAALTELRDSGMLSVNADVLAHTPCSVCIYYEKGRLKNAMVRSSMDPQHTTNSSHMRQEMYRFVVLFLGGADNREALHLADRMTENPYITLTVIRFLAHNHEGEDEREKKLDDGVVTWFWVKNESNERVSYKEVVVKNGAETLAAIQAMNVNDYDLWITGRREGINPKILEGLSTWSEDHQLGVIGDTVAGSVFASDGSVLVVQQQVRNQKGGDGFLNGKFDYKKLVSPWSHSHN >fgenesh2_kg.8__177__AT5G46140.1 pep chromosome:v.1.0:8:1161544:1163070:1 gene:fgenesh2_kg.8__177__AT5G46140.1 transcript:fgenesh2_kg.8__177__AT5G46140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKTEYTLPKFIDNVHLYMEYISSRNITKNFYFPPLPKGSQVQNIAMSSCPDINNEDWVMAVKFSGSKLKLYRHNKDFRWIDIETTHESISPHSSLMYSKKDQRFYVPTPGCNYLCSFDLNFKEKDKLEFVELRKKDLPKYELFELMEMNSFTRTDHMVESPSGEHFLITWYYGDEFELYKELTVIHKTKSFKVFREDEQLTDRKTKFMSYTENIGDLCIFLGHGEASCVPASTIPGLKPNCIYFRGHNYGVFDITTQTCTVFTNDEGLLSSTQFPSWPHPLSLTPN >fgenesh2_kg.8__1780__AT5G58820.1 pep chromosome:v.1.0:8:18612039:18614823:-1 gene:fgenesh2_kg.8__1780__AT5G58820.1 transcript:fgenesh2_kg.8__1780__AT5G58820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPSQLEYTPMSYHMSILQEVTGESSVEGRLVRSYKRSFNGFAARLTESERERVAEMEGVVSVFPNMNYKLQTTASWDFLGLKEGKNTKHNLAIESDIIIGVIDSGIWPESDSFSDKGFGPPPKKWKGVCSGGKNFTCNNKLIGARDYTSEGARDLQGHGTHTTSTAAGNAVENTSFYGIGNGTARGGVPASRIAAYKVCSETDCTAASLLSAFDDAIADGVELISISLSGGYPQKYEKDAMAIGAFHANVKGILTVNAAGNSGPFAASIESVAPWMLSVAASTTNRGFFTKVVLGNGKTLVGRPVNAFDLKGKKYPLVYGDTFNESLVQGKILVSAFPTSSEVAVGSILRDEFQYYAFISSKPFSLLPREEFDSLVSYINSTRSPQGSFLKTEAFFNQTAPTVASFSSRGPNTIAVDILKPDVSAPGVEILAAYSPLSSPSDDRIDRRHVKYSVLRTFHPEWSPSVIQSAIMTTARPMNPNTPGFASTEFAYGAGHVDPIAAINPGLVYELDKTDHIAFLCGLNYTSKTLQLIACEAVVTCRGKTLPRNLNRPSMSAKINGYNSSYTVTFKRTVTNLGTPNSTYKSKIVLDLGAKLSVKVWPSVLSFKRVNEKQSFTVTVSGNNLKLNLPSSANLIWSDGTHNVRSVIVVYIT >fgenesh2_kg.8__1782__AT5G58470.2 pep chromosome:v.1.0:8:18618872:18621955:-1 gene:fgenesh2_kg.8__1782__AT5G58470.2 transcript:fgenesh2_kg.8__1782__AT5G58470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMYNQDGGGGAPIPSYGGDGYGGGGGYGGGDAGYGGRGVSGGGGYGGRGGYGGGGGSGRGNRGGGGGGYQGGDRGGRGSGGGGRDGDWRCPNPSCGNVNFARRVECNKCGAPAPSGTGAGSGAGDRGSSGYSRGGGASDRGGGRGGRNDSGRSYESSRYDGGSRSGGSYGSGSQQRENGSYGQAPPPAAIPSYDGSGSYPPPSGYGMEAVPPPPSYSGGPPSYGAPRGGYGGDAPSTGGRGSRGGGYDGGSAPRRQEASYEDVGTEVKQCDAECDDTCDNARIYISNLPLDVTTDELKDLFGGIGQVGRIKQKRGYKDQWPYNIKIYTDEKGNNKGDACLAYEDPSAAHSAGGFFNNYEMRGNKISVTMAAKSAPRAPTFDQRGGGRGGGGGGGGGYGGGGGDRRRDNYGSGPDRNHHGGNRSRPY >fgenesh2_kg.8__1784__AT5G58490.1 pep chromosome:v.1.0:8:18624407:18625933:1 gene:fgenesh2_kg.8__1784__AT5G58490.1 transcript:fgenesh2_kg.8__1784__AT5G58490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamoyl-CoA reductase family [Source:UniProtKB/TrEMBL;Acc:D7MQE2] MSTEREVVCVTGASGCIGSWLVHLLLHRGYSVHATVKNLQDEKETKHLEALEGAATRLHLFEMDLLQYDTVSAAVNGCSGVFHLASPCIVDEVQDPQKQLLDPAVKGTINVLTAAKEAGVKRVVVTSSISAITPSPNWPADKIKNEECWADQDYCKQNGLWYPLSKTLAEKAAWEFAEQKGLDVVVVNPGTVMGPVIPPSINASMLMLLRLLQGCTETYENFFMGSVHFKDVALAHILVYENPSAKGRHLCVEAISHYGDFVAKVAELYPNYSVPKLPRETQLGLLRAKNAAKKLMELGLEFSSMEDIIKEGVESLKSKGFIS >fgenesh2_kg.8__1786__AT5G58510.1 pep chromosome:v.1.0:8:18630290:18641047:-1 gene:fgenesh2_kg.8__1786__AT5G58510.1 transcript:fgenesh2_kg.8__1786__AT5G58510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISKSNPLEEADDAEEEVQHFDDFTLASSWERFISDIEATCRQWLADGPKNLVEKGAVAVEDSKNLFTVKNELKNVAKSYCMEFYFQIENNGSQQAGIGNWNSNSHDLQLCFGVKDFLLIAPQSASGVLLDTPESSKLLSAVAIALSNCGSLWPAFVPVHDPSRKAYIGIQNMGTVFTRRFEADRIGSQVPVKLMHLEGLYELFVSKFVYSGVDFSMHNFKVHFMMRLTYQTFPYDEEDEEIDMDELMDDKTDAAENYGSESRNKVLWDDDCPWSEWYSAEDPLRGFELVVTWADRTVESTLEMAELENASPHDAEKWILHPILSPYLGDPSHGKRIDFASQLLCLVEALDTSFAAQFMEDFVSVENPSSENLKTSVVIPPPSVLDRVIKDLFREGSKLPDFNKGEHRLSRALKAAPLESLFTQFCLHSLWFGNCNIRAIAFLWIEFVREVRWCWEETQPLPKMPIDGPIDLSTCLINQKLHLLAICIEKKREMNEEFLDCIGSDDSSDASVSMEEHRKVDKRRNASSEADLQRKRDSSIAEDTSNRLRFERKTERTNSVNQSPTDAIRRGSAGPVGTMMLLKSRQQLHAPFTQDPPLMTEDMHEERLQAVEAFGDSLNVPGQLEKDILLSDMSAFKAANPDAVFEDFIRWHSPGDWESFEPKTTEPSAGPRTEGSKDEWPPRGRLSQRMSDQGNLWRKSWNDAPALPADDQKPLLDPNREGEKIVHYLETVRPHQLLEQMVCTAFRGSADTLNQTNFGNMRQMTSKLEQLYLIIKSTLGALQRNNLPDKAKTVKDLKRLCMVFESVEKLVAVAASIHRKFLDASRLAQVIFSDFYGIYAPTMGMSTNDEENKSRTEMEVSRQEVSLRERQVVSNLFSPPSANQSWRKVLSMGNLLNGHEPILREIIFSTGDDVNNGIHYAAAADVAATSDRMGEEIETHRMYVSGTSNDLRVGLSVTSCD >fgenesh2_kg.8__1788__AT5G58520.1 pep chromosome:v.1.0:8:18641601:18644344:1 gene:fgenesh2_kg.8__1788__AT5G58520.1 transcript:fgenesh2_kg.8__1788__AT5G58520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MQE5] MAAALECWSSRAGGGGGPDDDLVDQVLMRTHDRSESLITSLPETSLEVEGSTAGFDQSSSAMQKRFQRLSRNVSEAIASLKNSLNLDSARDNQTVGGATTPKAEVGGGGGGRKLVWATVVRNLARMYPGSQLPEKLVSNLKKHYDSLPFSYSQADLDMKEVFLHVKLIEQASGDDNPVFMIQEVSAEEPRGSVLRLTFACNSSLSWSTMSGALDSASICCKKIQIFEKKGLTLGVVLLLDQSGQHNFFKTRVENALKVATKKPKPTSVKLPFGLCGCQEQNGGVGELGGVEEESIQHSNRLGIENLNSTIQLHIPLLSSSFAVSVDEWQTIQSGGSEIGKWLLNSDSFEFGDQIGPTSFKGIFRGKRVGIEKLKGCDKGNSYEFELRKDYLELMACGHKSILQFYGVCIDENHGLCVVTKLMEGGSLHELMLKSKKLQTKQILRIAIDIAEGLKFVNDHGVAYRDLNTQRILLDKHGNACLGDIGIVTACKSFGEAVEYETDGYRWLAPEIIAGDPENTTETWMSNAYSFGMVLWEMVTGEAAYASCSPVQAAVGIAACGLRPDIPKECPQALGTLMINCWNNSPSKRPNFSHIHNTLLRAVSR >fgenesh2_kg.8__1789__AT5G58530.1 pep chromosome:v.1.0:8:18650098:18651063:1 gene:fgenesh2_kg.8__1789__AT5G58530.1 transcript:fgenesh2_kg.8__1789__AT5G58530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7MQE6] MWRPWRKSSVKIHDTYSPTTASFKDIHHLCSDDPPSYPSSPSPSPKNASRVFHRVRAANLILKSWPTRQSNQLLRADSEPINLRRNLNLESDSKQSKTKTEPDVRISIPGAENSIVVYFTSLRVVRPTFEACKSVTSILHSFPVRIDERDLSMDASFSTELQRIFGKDENQNQTKTPKLPRVFIGGRYIGGAEEVKQLHEIGELKKLVQELPKIEPGVCEMCGGHRFVPCKDCHGSHKVHTEKLGFRTCLTCNENGLVRCSSCSFPHLQPN >fgenesh2_kg.8__1791__AT5G58540.1 pep chromosome:v.1.0:8:18652648:18654787:1 gene:fgenesh2_kg.8__1791__AT5G58540.1 transcript:fgenesh2_kg.8__1791__AT5G58540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MQE7] MSSNRRRRFILRTVFSIIFLTFLPLTLNSQEIVEVFGSSQDHLSIQPRVYGNRRSLIDTPLPGKSPALDASPPSPESAIFRDPLPPQPPLEGDKTPSPPQSGVRSQTPENPPAITPLPVPLAPPPSPPPSPETTKKSSKVYMIVGIVVGVFTVSVALIIIFLILSRKIPIKPWTNSGQLRDALIADVPRLQLSELQAACEDFSNVIGSFSDGTIYKGTLSTGAEIAVVSIATGSRAAWSTAMETQLLQKMHNLSKVDHKNFLNVIGYCHNEEPFNRMLVFEYAPNGSLSEHLHSQHVEHLDWPTRLRIFMGIAYCLEHMLNLNPPILHSNLDSSSVYLTEDNAAKVSDFSVINSIFPAKEASSSKNLLEPSLLDTQTNVFNFGAVVFEIISGKLPDPDSLFLEPKPARDIVDPKLKTFQEDVVERLLEVVRQCMNPYSAQRPTMREVVVKLREITGIEADAAMPRLSPRWWTELEIISTEGN >fgenesh2_kg.8__1793__AT5G58550.1 pep chromosome:v.1.0:8:18654770:18657964:-1 gene:fgenesh2_kg.8__1793__AT5G58550.1 transcript:fgenesh2_kg.8__1793__AT5G58550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLKLFERFKSTQVHAFTTQDSPSTSSNDSLRMLKFLGHPKSKSRSLLPHGFPTTDLLEPLLESYLKPIDLVESLSNLYRRIESSSQSETSMLYLEQYAVLRSLGDAKLLRRCLLNARRHAIDVPCKVVLSAWLRFFRREYELVGVESMDCNGLATECPKTSLTHGCDLNVDDEGCECSTVCEDDFCSDDIKISRADEFSGLDEVSDISFCVGSEKAKCVRSRIAALSRPFEAMLYGSFVESRTSEIDFSENGISIEAMVALNIYSRIKRVDLFRVETVFELLQLASKFCCDDLKSECEARLAASVTNLDKALTFVEYALEERTTLLLSACLQVFLRELPQSLHNPKVMRFFCSSEVKEQLAYLGSECLFLLYYFLSQVGMEEKLTTEPMLILLERNREFARTNWQKALSLHQMGCVLFERKDYKAAQFHFRLASSLGHVYSLAGVSRTEYKQGKRYSAYKLMNFLISNHKPHGWMYQERSLYNVGVEKLKDLATATELDPTLTFPYKYRAVMKFEQKQIKEAFEEIDRLVQFKLSPECLELRAWLFLATGDRERCLRDLRAVLSLEPNYVVFGGKMRDDLVEALTAQCFEVESEADCWVRLFDRWSAVDDIGSLAVVHQMLQNDPSKNFLRFRQSLLLLRLNCQGAAMRCLRMAWNLATSEAERLVYEGWLLYDMGYVEETLTKAEEAISIQRSFEAFFLKAYALADKNLDADEVSCVVQVLEEALKCPSDGLRKGQALNNLGSIYIDLGMLDQAETAYKNAIEIKHTRAHQGLARVYFLKNQRKEACEEMTKLIEKACSKAAAYEKRSEYCEREKAKEDLDMATTLDPLRTYPYRYRAAVLMDDQRETEAVEELSKAIAFRPELQTLHLRAAFHEATGNLSLATQDCEAALCLDPNHTETLHLYSRSKDQASSIDNTIFGLD >fgenesh2_kg.8__1797__AT5G58580.1 pep chromosome:v.1.0:8:18666216:18667145:-1 gene:fgenesh2_kg.8__1797__AT5G58580.1 transcript:fgenesh2_kg.8__1797__AT5G58580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MQF1] MSEEEDGSMSVKSSLSSFLKILSSYNSNVLLAALVFLLLVVLFVLLLHFYARFFWSPSHQDFSAAGRNRRRRRRNRRRTVTTTRIIPSLSLGGFDGGVNAPATATTAATNDDKGLDSSVISSIPLFVYEEDEEEEEEEEECVICLGLWEAGDFGRKLRNCGHGFHVECIDMWLSSHSTCPLCRSPVLAVASDEENLKPAVNAVEEEAEVRLQIFPTGEDENNAGDRRFSVSLPVMEEDDLKTGDDDGDVVEEEVRIEVFDDEEINGGGSRSDRRRSMSMTSSASSSLMRMLSSSRSERNKVFPTARQDSS >fgenesh2_kg.8__1798__AT5G58590.1 pep chromosome:v.1.0:8:18670012:18671665:-1 gene:fgenesh2_kg.8__1798__AT5G58590.1 transcript:fgenesh2_kg.8__1798__AT5G58590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEPERENREEEAEVNEDEDTGAQVAPIVRLEEVAVTTGEEDEDAVLDLKAKMYRFDKEGNQWKERGAGTVKLLKHKQTGKVRLVMRQSKTLKICANHLISSGMSVQEHSGNEKSCLWHATDFSDGELKDELFCIRFASIENCKTFMEKFTEIAESQQVGKESTEGDEAAGLIENLSVEEKKNDEKAKEAEEKEPAKEEKEAKKEKEEEEKKTEAST >fgenesh2_kg.8__179__AT5G46115.1 pep chromosome:v.1.0:8:1175529:1175871:-1 gene:fgenesh2_kg.8__179__AT5G46115.1 transcript:fgenesh2_kg.8__179__AT5G46115.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MS07] RITGARWMRKTSKSQPLSERQDMAMVSTVILRFTNNDENDNSDNDQFYYRSDAYGNRDGRF >fgenesh2_kg.8__17__AT5G47690.1 pep chromosome:v.1.0:8:81843:91326:-1 gene:fgenesh2_kg.8__17__AT5G47690.1 transcript:fgenesh2_kg.8__17__AT5G47690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MP20] MAQKPEEQLKELGSKLDLPPVSKDSLLKLLKEAAVCLSELEQSPPSAVLKSIQPFLDAVIKPEILNHQDKDVKLLVASCVSEITRITAPEAPYSDNIMKDIFQLIVSAFAGLNDASGPSFGRRVVILQTVAKYRSCVVMLDLECDDLVKEVFTTFLDVARDDHPEMVFSSMQNIMIVLLEESEDVQEYLLLILLSKLGRNRSDVRDAARRLAMKVIEQCAPKVESYIKQFLISSMSGDSRVSSSQIDYHEVIYDLYRCAPQALSGVAPYLTGELLADKLETRLKVVGLVGELFSLPGRVISEEFGSIFLEFLKRLTDRVVEVRMAILDHIKNCLLSDPLRAEAPQIISALCDRLLDYDENIRKQVVAVICDVAVSALTSIPVDTMKLVAERLRDKAILVKTYTMERLTELFRVYCLRCTDGKVDTGDFDWIPGKILRCLYDKDFRSDTIEYILCSSLFPSDFSVRAKVKHWIQIFSGFDKVETKAFEKILEQRQRIQQEMQRYLSIKQTQQSADAPEIQKKILFGFRVMSRAFSDPPKTEQNFLILDQLKDANIWKILTNLLDPNTSIMQASRIRDDMLKILSEKHSLYEFLSTLSIKCSYLLFSKEYVKEILAEVSARKSSNNILGIQPCMDFLALLAYFCPSLFDGAEEELISFLKEDDEMIKEGTLKILAKAGGTIRENLIALASSVDLLLERICVEGNRKQAKYAVHALASITKDDGLKSLSVLYKRLVDMLEDKRHQPAVLQCLGCIAQIAMPVYETRESEVVEFIRSHILKLKSETVDDKKLSWDDKSVICQLKIYGIKTLVKSYLPFKDAQLRAGVDDLLGILKNILSFGEVSEDLESSSVDKAHLRLAAAKAVLRLSRHWDDKIPIEIFHLTLKTPEIPFPTAKKIFLGKVHQYVKDRVLETKYACSFLFDITGSNVLASEEEKHNLADIIQHSYQTKVRKISAQTDANSVTLYPHHILPYLVHALAHHSCPDVEKCKDVMEYEMIYRQLYLIISMLLHKEEDGKTEDIDKEHEYVPTIVLIFHSIKQSEDVTDATKSKNSHAICELGLSIINHLTQKEPDIQGEITPVSLPPTLYKPSEKVEGDKSQVGEEKLWLADETVRAHFRALKLESHADASVIPQTSENEVMIDGESDGNEIPLGKIVERLRAQGTKTRKGKKNKSVPPEDENGKNDVDVLKMVREINLDHLQMLDKFESSNGHKHSPGEGAEICQRDQKGNKRNVGDATSVVSVPKRRRSSSGHSPYKFSNSGPKVPLKGSEDELHQERDMDKNVSSDSHDENSDQEKRLASISPRKRKKSLSSKLKITESDWALTDLERSRSAGSGDSKLKSASGSMKKRKNMSGLAKCSTNENKLVNDELIGCRIEVWWPMDKRFYEGTVKSYDSTKQRHVILYEDGDVEVLNLEKERWELIDTGGKPTKKSRTSKGSSNKKRSSESKPKNLDGLLRDEDPVTTTPKGKRTPKKNLKHTHPKGTPKYLSLEHEKLESRNKKRRSSAIPRTEYSGEAGEEKSESEGKLLKEGEDDEEVVNKEEDLQEAKTELSGDAEGKEAEHDNSDTEGKQENNEMETEAEDDAEDAETSDNETLGAWKSKVGKSISRKTI >fgenesh2_kg.8__1800__AT5G58600.1 pep chromosome:v.1.0:8:18687741:18689647:-1 gene:fgenesh2_kg.8__1800__AT5G58600.1 transcript:fgenesh2_kg.8__1800__AT5G58600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLLLGISVSAIFFLLLQQPEQSSSAIILSLKKRHGSSGSSGNQYSSSRPSAGFQGNRSTCSLFLGTWVRDNSYPLYKPADCPGVVEPEFDCQMYGRPDSDYLKYRWQPQNCNLPTFNGAQFLLKMKGKTIMFAGDSLGKNQWESLICLIVSSAPSTRTEMRRGLPLSTFRFLDYGITMSFYKAPFLVDIDAVQGKRVLKLDEISGNANAWHDADLLIFNTGHWWSHTGSMQGWDLIQSGNSYYQDMDRFVAMEKALRTWAYWVETHVDRSRTQVLFLSISPTHDNPSDWAASSSSGSKNCYGETEPITGAAYPVSSYTDQLRSVIVEVLHGMHNPAYLLDITLLSSLRKDGHPSVYSGLISGSQRSRPDQSADCSHWCLPGLPDTWNQLLYTLLIY >fgenesh2_kg.8__1801__AT5G58620.1 pep chromosome:v.1.0:8:18698236:18701184:1 gene:fgenesh2_kg.8__1801__AT5G58620.1 transcript:fgenesh2_kg.8__1801__AT5G58620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7MQF9] MGVDELSHLKFSLLLESSACNDLSGFKSLVEKEGLESIDGSALWYGRRLGSKKMGFEERTPLMIAALFGSKDIVDYIISTGLVDVNRSCGSDGATALHCAVSGLSANSLEIVTLLLKGSANPDSCDAYGNKPGDMIFPCLSPVFSARMKVLERLLKGNDDLNEVNGQGEGERKVEVEVEVEVSVSPPRGSERKEYPVDPTLPDIKNGIYGTDEFRMYAFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCSRGDTCEYAHGIFECWLHPAQYRTRLCKDETKCSRRVCFFAHKPEELRPLYPSTGSGVPSPRSSFSSCNSSSAFDMGPISPLPIGASTTPPLSPNGVSSPMGGGKTWMNWPNITPPALQLPGSRLKSALNAREIDFSEEMQSLTSPTTWNNTPMSAASSPFSGKGMNRLAGGAMSPVNSLSDMFGTEDNTSGLQIRRSVINPQLHSNSLSSSPVGANSLFSMDTSTVLASRAAEFAKQRSQSFIERSNGWNNHPAISSMTTTCLNDWGSLDGKLDWSVQGDELQKLRKSTSFRLRAGGMESRLTSEGTGLEEPDVSWVEPLVKEPQETRLAPVWMEQSYMETEQTVA >fgenesh2_kg.8__1802__AT5G58640.2 pep chromosome:v.1.0:8:18703750:18705684:1 gene:fgenesh2_kg.8__1802__AT5G58640.2 transcript:fgenesh2_kg.8__1802__AT5G58640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAQLILLGLPIFLFCSDLFNLFTPPPPKPQPHRPHQPPHIPHQHRPAAIIPETLDFPSQKTNGLGAIGYGNTVEINFCVSCSYKGTAVTMKKMLETAFPGLDVILANYPPPAPKRLLAKFVPVAQMGVIGMIVAGDRILPMIGITHPPAWFHSLRANRFGSMASTWLIGNFLQSYLQSSGAFEVHCNGELVFSKLKEGRFPGEIELRDLISKTLTRPSILAGSSY >fgenesh2_kg.8__1804__AT5G58660.1 pep chromosome:v.1.0:8:18709920:18717242:1 gene:fgenesh2_kg.8__1804__AT5G58660.1 transcript:fgenesh2_kg.8__1804__AT5G58660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7MQG2] MSISESYPPAFRRVINDGDRLATPVLVQGKDINIPVIDMERLDKEILREACKEWGIFRLENHGVPLALTSRLQEISESLLSLPFENKRDLFAAVNSPLSYFWGTPALNRSGDALKRGAQASNVTMLEGFNVPLSSLSMLPTSTSCDDDAQEPKLESFRVLMEEYGRHITRIGVSLFEAIAQTLNLELSGNRRSEFLSESTGLIRVYRYPRSSYQAAREALGMEVHTDSSVISILKEDESGGLEIMKGEEWFCVKPVANTLIVNLGDMMQVISDDEYKSVTHRVKKKNRKTERHSVCYFVFPKRDCVIKSSNYKPFTYSEFEAQVQADVQSLGTKIGLPRFNPNSPLFL >fgenesh2_kg.8__1805__AT5G58670.1 pep chromosome:v.1.0:8:18717743:18720098:-1 gene:fgenesh2_kg.8__1805__AT5G58670.1 transcript:fgenesh2_kg.8__1805__AT5G58670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:D7MQG3] MKESFKVCFCCVRSFKVKSSEPPEEIKNLFHDYSQDDRMSADEMLRFVIQVQGETHADINYVKDIFHRLKHHGVFHPRGIHLEGFYRYLLSDFNSPLPVTSEVWQDMNQPLSHYFLYTGHNSYLTGNQLNSNSSIEPIVKALRKGVRVIELDLWPNSSGKEAEVRHGGTLTSCEDLQKCLNAVKENAFQVSAYPVVLTLEDHLTPNLQKKVAKMVSKTFGGSLFQCTDEYTECFPSPESLKNKILISTKPPKEYLQTQVSQGSTTDESIKAKKIADAEEQVQEEDEESVAIEYRDLISIHAGNRKGGLKNCLNGDPNRVIRLSMSEQWLETLAKTRGSDLVKFTQRNLLRIFPKTTRFDSSNYDPLVGWIHGAQMVAFNMQSHGRYLWMMQGMFKANGGCGYVKKPDVLLSNGPGGEIFDPCSQKLPIKTTLKVKIYTGEGWNMDFPLDHFDRYSPPDFYAKARVGIAGVPLDTASYRTEIDTDEWFPIWDKEFEFPLRVPELAILCITVKDYDSNTQNDFAGQTCLPLSEVRPGIRAVRLHDRAGEVYKHVRLLMRFVLEPR >fgenesh2_kg.8__1809__AT5G58700.1 pep chromosome:v.1.0:8:18727092:18730213:-1 gene:fgenesh2_kg.8__1809__AT5G58700.1 transcript:fgenesh2_kg.8__1809__AT5G58700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:D7MQG6] MEGKREMGSYKFCLIFTRKFRMTESGPVADVRELFEKYADGEAHMSPEQLQKLMAEEGGGGGETSLEEAERIVDEVLRRRHHIAKFTRRNLTLDDFNYYLFSTDLNPPIGDQVHQNMDAPLSHYFIYTGHNSYLTGNQLSSNCSELPIADALRRGVRVVELDLWPRGTDDVCVKHGRTLTKEVKLGKCLDSIKANAFVTSKYPVIITLEDHLTPKLQFKVAKMITQTFGDMLYYHDSESCQEFPSPEELKEKILISTKPPKEYLEANDAKEKDSGEKGKDSDEDVWGKEPEDLISTQSDLEKVTSSVNDLSQDEEERGSCESDTSCQLQAPEYKRLIAIHAGKPKGGLRMALKVDPNKIRRLSLSEQLLEKAVASYGADVIRFTRKNFLRIYPKGTRFNSSNYKPQIGWMSGAQMIAFNMQGYGRALWLMEGMFRANGGCGYVKKPDFLMDAGSNGQGFYPKDNSCPKKTLKVKVLMGDGWLLDFKKTHFDSYSPPDFFVRVGIAGAPVDEVMEKTKIEYDTWTPIWNKEFIFPLAVPELALLRVEVHEHDINEKDDFGGQTCLPVSEIRQGIHAVPLFNRKGVKYSSTRLLMRFEFV >fgenesh2_kg.8__1810__AT5G58710.1 pep chromosome:v.1.0:8:18732056:18733780:1 gene:fgenesh2_kg.8__1810__AT5G58710.1 transcript:fgenesh2_kg.8__1810__AT5G58710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7MQG7] MASSVTLLLWSLLLLGTLSAIQAKKSKENVKEITHKVYFDVEIDGKAAGRIVMGLFGKTVPKTVENFRALCTGEKGIGKKGKALHYKGSSFHRIIPSFMLQGGDFTHGNGMGGESIYGETFADENFKLKHTGPGFLSMANAGQDTNGSQFFITTVTTSWLDGRHVVFGKVVTGMDVVYKIEAEGNQSGTPKSKVVIVDSGELPL >fgenesh2_kg.8__1814__AT5G58730.1 pep chromosome:v.1.0:8:18737595:18739642:-1 gene:fgenesh2_kg.8__1814__AT5G58730.1 transcript:fgenesh2_kg.8__1814__AT5G58730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-type carbohydrate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MQG9] MTLNPPPSQQTPQSPRRIPNRRVLIVGNYCHDVLIQNGSVVAETLGGAASFISNVLDSSSVSCELVSKVGHDFRYEVIHSPIVAPDKETTIFEAYFDLGIDGIGHADRVLKRVSACDPILPSDIPDSRFDFGMAVGVGGEILPETLEKMVEICDVVAVDIQALIRVFDPVDGAVKLVDLKESGFFHILHRIGFLKASSDEALFMDVEQMKQLCCVVVTNGEKGCRIYHMDDEMTVPPFLAKQVDPTGAGDSFLGGLVVGLVEGLTVPDAALLGNLFGSITVEHIGQPKFDLMMLQRVKDEVQKRKKQCNLSSSHKNDHNEFHERLSPARFSCVDSQLQPKLLVNGHSCDR >fgenesh2_kg.8__1815__AT5G58740.1 pep chromosome:v.1.0:8:18741162:18742984:-1 gene:fgenesh2_kg.8__1815__AT5G58740.1 transcript:fgenesh2_kg.8__1815__AT5G58740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear movement family protein [Source:UniProtKB/TrEMBL;Acc:D7MQH0] MAEKLAPEKRHDFIHNGQKVFEWDQTLEEVNLYITLPPNVHLKAFHCKIQSKHIEVGIKGNPPYLNHDLSAPVKTDCSFWTLEDDIMHITLQKREKGQTWASPILGQGQLDPYATDLEQKRLMLQRFQEENPGFDFSQAQFTGNCPDPRSFMGGIRSD >fgenesh2_kg.8__1816__AT5G58750.1 pep chromosome:v.1.0:8:18745838:18747160:1 gene:fgenesh2_kg.8__1816__AT5G58750.1 transcript:fgenesh2_kg.8__1816__AT5G58750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENGSLVGRNEVDENVALIFGVTGLVGREIVKRLLTSKPRWRIYGVARNPEINSMTKMYNFISCDLLNASETKQRLFPLQDIVSHVFWVTWSGEYPLDTDECCVQNKTMLMNALDAILPNAKRLKHFSLQTGMKHYVSLVEETLFRGEGSSLCYYTEECPRKSSGMNFYYVLEDLLKEKITGSSVVWSVQRPGLLMGSSTRTLYNFMGSLCVYGAMCKYLNLPFVFGGTRECWEESYIDGSDSNLVAEQHIFAASSGKVREKGEAFNAINGVGFTWKEIWPEIGKKLGVQVNETTMFDEGFWFGREMAERKHVWDEIVVKEKLVQTKIEDLANWFFLDALFRCPFKLLGKREKVDKFGFKRKYRTLDSILYWIDVMRDEKLIPL >fgenesh2_kg.8__1817__AT5G58760.1 pep chromosome:v.1.0:8:18747443:18750935:-1 gene:fgenesh2_kg.8__1817__AT5G58760.1 transcript:fgenesh2_kg.8__1817__AT5G58760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Damaged DNA-binding 2 [Source:UniProtKB/TrEMBL;Acc:D7MQH2] MGLTRNKRKTDPEIIIARDTDSELSSSSSSEEEDNYPLSESEEEDEAVKNGGKIELEKNKAKGKAPITVKLIKKVCKVCKQPGHEAGFKGATYIDCPMKPCFLCKMPGHTTMSCPHRVVTDHGILPTSHRNTKNPIDFVFKRQLQPRIPPIKPKYVIPDQVHCAVIRYHSRRVTCLEFHPTKNNILLSGDKKGQIGVWDFAKVYEKNVYGNIHSVQVNNMRFSPTNDDMVYSASSDGTIGYTDLETGTSSTLLNLNPDGWQGPNNWKMLYGMDINSEKGVVLAADNFGFLHMIDHRTNNGTGEPILIHKQGSKVVGLDCNPVQPELLLSCGNDHFARIWDMRKLQPKSSLNDLAHKRVVNSAYFSPSSGTKILTTCQDNRIRIWDSIFGNLDLPSREIVHSNDFNRHLTPFKAEWDPKDTSESLIVVGRYISENYNGTALHPIDFIDASNGQLVAEVMDPNITTITPVNKLHPRDDVLASGSSRSLFIWRPQDKTEIVEKKKDKKIIICSGDSKKNGKKQKRGSDDEDDEDDMFSSKGKNIKVNKSQAKTTKSTRKTKT >fgenesh2_kg.8__1818__AT5G58770.1 pep chromosome:v.1.0:8:18751620:18752792:1 gene:fgenesh2_kg.8__1818__AT5G58770.1 transcript:fgenesh2_kg.8__1818__AT5G58770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl transferase [Source:UniProtKB/TrEMBL;Acc:D7MQH3] MLSLRVRPPTSFDFRRFNAGYLERQWRLSRDCFLSFSPKVEENRGVRFGVKSSKSEVSFTAAEEEETLPEELQPELMPKHVAIIMDGNGRWAKNRGLQPWDGHRAGVEALKEIVKLCGKWGIQVLTVFAFSTDNWIRPRIEIDFLFSLFERSLKSEFQNLAENNVRISIIGDSSKLPKSLLRVINEIEEVTKNNTRLQLIVAVGYSGKYDVLQACRGIAQRVKDGEIEVEEIDERLIEEELETNCTEFPYPDLLIRTSGELRVSNFLLWQLAYTELFFAQELWPDFGRSGFIEALTSFQQRQRRFGGRKS >fgenesh2_kg.8__1819__AT5G58782.1 pep chromosome:v.1.0:8:18753024:18754175:-1 gene:fgenesh2_kg.8__1819__AT5G58782.1 transcript:fgenesh2_kg.8__1819__AT5G58782.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl transferase [Source:UniProtKB/TrEMBL;Acc:D7MQH4] MLSLLCFLLSLLFLLLLPCLRPCFSAKGSLKDKKKIDKGTYVVGEEEPPKGLRRELMPRHVAVIMDGNRRWAKQAGLLTSQGYEAGAKRLIEFAELCFKLGINTVSAFAFSTENWGRHKIEVKCLMYLFQRYLKSKIQFFQSKEIRVSVIGNLAKIPESLLGIITEIEDATKSYKKKHLILAIDYSGRFDILRACKSIVKKSEQGLIREEEVDETLLERELQTKCTEFPSPDLLIRTSGEQRISNFFLWQLAYTELFFSPVLWPDFDKDKLLDALDSYQRRERRFGCRV >fgenesh2_kg.8__1822__AT5G58787.1 pep chromosome:v.1.0:8:18759376:18761474:1 gene:fgenesh2_kg.8__1822__AT5G58787.1 transcript:fgenesh2_kg.8__1822__AT5G58787.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MQH6] MAKLSFKDSLKALEADIQHANTLALDCPREKDGARVQMRLSYSPAAQFFLFLVQWTNCQLAGTLGLLRVLIYMTYADGKTTMSVYERKASIREFYAVILPSLSQLRSITDVDDRRQKEVCKMRYRKKDESEKCELSEIEIEREEECGICMEMNSMVVLPNCTHSVCIKCYRDWRGRSQSCPFCRDSLKRVDSGDLWMFLDQNDTVNLTAISRENQKRLFMYIEKLPLVVPDQAYASSPYDSHVR >fgenesh2_kg.8__1824__AT5G58800.1 pep chromosome:v.1.0:8:18763192:18764571:-1 gene:fgenesh2_kg.8__1824__AT5G58800.1 transcript:fgenesh2_kg.8__1824__AT5G58800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinone reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MQH8] MAVTKIYIVYYSLHGHVETMAREVLRGANSVPDVEATLWQVPETLPEKILEKVKAVPRPDDVADIRPEQLAEADGFMFGFPSRFGVMASQVMTFFDNTNDLWTTQALAGKPAGLFWSTGFHGGGQELTALTAVTKLAHHGMIFVPVGYTFGKGMYEMGEVKGGSPYGSGTYAADGSREPTELEIQQANYHGKYFAGIAKKLKKRSPV >fgenesh2_kg.8__1825__AT5G58830.1 pep chromosome:v.1.0:8:18775277:18777974:1 gene:fgenesh2_kg.8__1825__AT5G58830.1 transcript:fgenesh2_kg.8__1825__AT5G58830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MR36] MKVYVVYMGSLPSQPDYTPMSNHINILQEVTGERSYKRSFNGFSARLTESERERVAEMEGVVSVFPSKNYKLQTTASWDFMGMKEGKNTKPNLAVESDTIIGVIDSGIWPESESFSDKGFGPPPKKWKGVCSGGKNFTCNNKLIGARDYTSEGTRDLQGHGTHTASTAAGNAVVDTSFFGIGNGTARGGVPASRVAAYKVCTMTGCSDDNVLSAFDDAIADGVDFISVSLGGDNPSLYEEDTIAIGAFHAMAKGILTVHSAGNSGPNPSTVVSVAPWVLSVAATTTNRRLLTKVVLGNGKTLVGKSVNAFDLKGKKYPLVYGDYLKESLVKGKILVSRYSTRSEVAVASITTDNRDFASISSRPLSVLSQDDFDSLVSYINSTRSPQGSVLKTEAIFNQSSPKVASFSSRGPNTIAVDILKPDISAPGVEILAAYSPLSSPSDDRSDERHVKYSIMSGTSMACPHVAGVAAYIKTFHPEWSPSVIQSAIMTTAWRMNATGTEATSTEFAYGAGHVDPVAALNPGLVYELDKTDHIAFLCGLNYTSKTLKLISGEVVTCSGKTLQRNLNYPSMSAKLSGSNSSFTVTFKRTVTNLGTTNSTYKSKIVLNHGSKLNVKVSPSVLSMKSVKEKQSFTVTVSGSNLDPELPSSANLIWSDGTHNVRSPIVVYSDSY >fgenesh2_kg.8__1826__AT5G58870.1 pep chromosome:v.1.0:8:18828754:18832717:-1 gene:fgenesh2_kg.8__1826__AT5G58870.1 transcript:fgenesh2_kg.8__1826__AT5G58870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIELLSPLIHDKFRFSTCCSTSSLLYLHASSFFRDRSFGFRQNPNRFVSNSIQLPQSVTGSINHERFNLWQGFSRKKSTSSRTIVNCQEGDQKASSSEGEGKTNKQKGGKQGKNGLWWSKGKKWQWEPIIQAQEIGVLLLQLGIVMFVVRLLRPGIPLPGSEPRTQTTFMSVPYSDFLSKVNNDEVQKVEVDGVHVLFKLKDDGNLQESETSGSKLSESSETMLRSVAPTKRVVYSTTRPRDIKTPYEKMLENNVEFGSPDKRSGGFFNSGLIVLFYIAVLAGLLHRFPVNFSQSTTGQLRTRKSGGPGGGKVSGEGETITFADVAGVDEAKEELEEIVEFLKNPDRYVRLGARPPRGVLPVGLPGTGKTLLAKAVAGESDVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRMVSNDEREQTLNQLLTEMDGFDSSSAVIVLGATNRADVLDPALRRPGRFDRVVTVESPDKVGRESILKVHVSKKELPLGNDVNLASIASMTTGFTGADLANLVNEAALLAGRKSKMTVEKIDFIQAVERSIAGIEKKTARLKGSEKAVVARHEAGHAVVGTAVASLLPGQSRVEKLSILPRSGGALGFTYIPPTHEDRYLLFIDELHGRLVTLLGGRAAEEVVYSGRISTGALDDIRRATDMAYKAVAEYGLNQKIGPVSVATLSAGGIDDSGGSPWGRDQGHLVDLVQREVTNLLQSALDVALTVVRANPDVLEGLGAQLEDEEKVEGEELQKWLNRVVPSEELAVFINGKRAALLPAQASSS >fgenesh2_kg.8__1829__AT5G58900.1 pep chromosome:v.1.0:8:18843889:18845880:-1 gene:fgenesh2_kg.8__1829__AT5G58900.1 transcript:fgenesh2_kg.8__1829__AT5G58900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7MR44] MEVLRPTTSHVSGGNWLMDETKSAVAASGEGATWTAAENKAFENALAVYDDNTPDRWQKVAAVIPGKTVSDVIRQYNDLEADVSSIEAGLIPVPGYITSPPFTLDWAGGGCNGFNPGHQVCNKRSPAGRSPELERKKGVPWTEEEHKLFLMGLKKYGKGDWRNISRNFVITRTPTQVASHAQKYFIRQLPGGKDKRRASIHDITTVNLEDEASLETSKSSIVVGEQRSRLTAFPWNPTDNNGTHADAFNITIGNAISGVHSYGQVLLGGFNNADSCYDAQNTMFQL >fgenesh2_kg.8__182__AT5G46110.1 pep chromosome:v.1.0:8:1176610:1180276:-1 gene:fgenesh2_kg.8__182__AT5G46110.1 transcript:fgenesh2_kg.8__182__AT5G46110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate/triose-phosphate translocator [Source:UniProtKB/TrEMBL;Acc:D7MSM3] MESRVLLRATANVVGIPKLRRPIGAIHRQFSTASSSSSSFSVKPIGGIGEGANLISGRQLRPILLLDSSAINGGEKREILKPVKAAAAEGGDTAGEAKVGFLAKYPWLVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLISWSVGLPKRAPIDSNLLKVLIPVAVCHAIGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFIMGQSIPITLWLSLAPVVLGVAMASLTELSFNWLGFISAMISNISFTYRSIFSKKAMTDMDSTNVYAYISIIALFVCIPPAIIVEGPKLLNHGFADAIAKVGMTKFISDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIVIFGNKISTQTGIGTGIAIAGVAMYSIIKAKIEEEKRQGKKA >fgenesh2_kg.8__1830__AT5G58910.1 pep chromosome:v.1.0:8:18851494:18856381:-1 gene:fgenesh2_kg.8__1830__AT5G58910.1 transcript:fgenesh2_kg.8__1830__AT5G58910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:D7MR45] MTNTTKLCSSKPIVTVNGKFPGPTIVAREDDTLLIKVVNHVNYNVSIHWTGWADGPAYITQCPIQPGQNYLHNFTLTGQRGTLWWHAHILWLRATVHGAIVILPKLGVPYPFPKPYKEKTIVLSEWWKSDVEELINEASKIGTAPSASDAHTINGHSGSISNCPSQSSYGLPVRAGKTYMLRIINAALNEELFFKIAGHVLTVVEVDAVYTKPYKTDTVFIAPGQTTNVLLTANANAGSNYMVAATTFTDAQIPYDNVTATATLHYIGHTATVSASKKTVLASLPPQNATWVATKFTRSLRSLNSREYPARVPTTVDHSLFFTVGLGANPCQSCNNGVRLVAGINNVTFTMPETALLQAHFFNISGVFTDDFPANPSNPYDYTAPVKIGVNAATMKGTKLYRLPYNATVQIVLQNTAMILSDNHPFHLHGFNFFEVGRGMGNFNPEKDPKMFNLVDPVERNTVGVPAGGWTAIRFIADNPGVWFMHCHLELHTTWGLKMAFVVDNGHGPDQALLPPPADLPKC >fgenesh2_kg.8__1832__AT5G58930.1 pep chromosome:v.1.0:8:18876274:18878392:-1 gene:fgenesh2_kg.8__1832__AT5G58930.1 transcript:fgenesh2_kg.8__1832__AT5G58930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQTHQRRRRRHSAVCHRHPTSKPTTGFCATCLRERLSTIEALSSSVSASSELRRVRSYSVRDASASALDQPRRRSCDVRSNDGDDELLQSSIRFPIVPDLKEDDEEEEDGEEIRGFDDGKRLVEEEIEDGEQKTMKELIDLESRNQQIKKSNGKDSVFSRTLKKFSLKHHLKNGKVPDSGNSLGRRSCDVDPRLSLDASRISFEEPRASWDGCLIGKTYPKLIPLSSVTEDVKASPEKITGEKEDEEEKNNPGGTAQTRDYYLDSRRRRSFDRSSRHGLLEVDELKAISNAKVSPETVGLFHGAKLLVTERELRDSNWYSIKNYKPESLELGSKVVGCVAAGEVKKQDGFGLKKSGKKWGKGWNFWGLIQRKTDVAKNDIKTEQSLKLGGNAMEGSLAESLLKLRRVAKGETNGDVSDKLLRSYSVSARKSCDGMLRGASIVNGFEGGRSSCDGLFHGSITGIETGRRSSCEDGLFHGVEGKRNHLLQSDDKLGTYSPDNLRNGMVRFYLTPLKSHTTSNSGKTRLMN >fgenesh2_kg.8__1834__AT5G58950.1 pep chromosome:v.1.0:8:18883324:18886103:-1 gene:fgenesh2_kg.8__1834__AT5G58950.1 transcript:fgenesh2_kg.8__1834__AT5G58950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MR56] MDEEATSWIRRTKFSQTVSYRLNSSKLASLPFMVNQDKISGLKTIPQRSSSSSSASSSDPKLVSSNSQTREDTSSLEADVYVVDSEIQTNPVTNKHRSVSPSPQMAVPDVFKEARSERKRFSTPHPRRVESEKGMKPKLSHKNSFEKRSFNLRSPSGPIRDLGTLRIQERVKSKKDTGWSKLFDNTGRRVSAVEASEEFRVDMSKLFFGLKFAHGLYSRLYHGKYEDKAVAVKLITVPDDDDNGCLGARLEKQFTKEVTLLSRLTHPNVIKFVGAYKDPPVYCVLTQYLPEGSLRSFLHKPENRSLPLKKLIEFALDIARGMEYIHSRHIIHRDLKPENVLIDEDFHLKIADFGIACEEEYCDMLADDPGTYRWMAPEMIKRKPHGRKADVYSFGLVLWEMVAGAIPYEDMNPIQAAFAVVHKNIRPAIPGDCPVAMKALIEQCWSVAPDKRPEFWQIVKVLEQFAISLEREGNLNLSSHKICKDPRKGLKHWIQKLGPVHGGGGGGGGSSSSGLGGSALPKPKFA >fgenesh2_kg.8__1835__AT5G58960.1 pep chromosome:v.1.0:8:18889202:18891820:1 gene:fgenesh2_kg.8__1835__AT5G58960.1 transcript:fgenesh2_kg.8__1835__AT5G58960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGILLCSVNPRNHSKKKKIDRESLETEPKDIDYWHSVQFNRDPPRFALKSENTNHHSRRSGGEFGKTKKRGEMANKVSNFSDLIQRVTASCLLHPLAAGRQDLAGNRREEYDTEEEENEGEGEIQYEDALEKENGKDETIRAKTGRSGVSVEALQEMEMVMEQVFTAAAAMKRAYVALQEAHSPWDPEKMHDADMAMVAELRRIGSLRERFRRMRGTGSGGRRKNDAGRGMLREAVAPYEAVVKELKKEVKVKDTEIQNLKEKVKVASSMANGNGGKKHRLLSSRKVNCTTQIAVSPVPELFEMTTIQVKEASKSFTGILLSLMRAAHWDIAAAVRSIEAASASSDGMSASSFASSVQSSVPNQHAKFALESYICRKIFQGFDHETFYMDGSLSSLINPDQYRRDCFAQFKDMKAMDPMELLGILPTCHFGKFCSKKYLSIIHHKMEESLFGDSEQRELVLAGNHPRSQFYGEFLGLAKAVWLLHLLAFSLDPSPSHFEANRGAEFHSQYMESVVKFSDGRVPVGQVVGFPVCPGFKLSHQGKGSIIKSRVYLVPRA >fgenesh2_kg.8__1838__AT5G58970.1 pep chromosome:v.1.0:8:18891854:18895146:-1 gene:fgenesh2_kg.8__1838__AT5G58970.1 transcript:fgenesh2_kg.8__1838__AT5G58970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATUCP2 [Source:UniProtKB/TrEMBL;Acc:D7MR58] MADFKPRIEISFLETFICSAFAACFAELCTIPLDTAKVRLQLQRKIPTGDGENLPKYRGSIGTLATIAREEGISGLWKGVIAGLHRQCIYGGLRIGLYEPVKTFLVGSDFIGDIPLYQKILAALLTGAIAIIVANPTDLVKVRLQSEGKLPAGVPRRYAGAVDAYFTIVKLEGVSALWTGLGPNIARNAIVNAAELASYDQIKETIMKIPFFRDSVLTHLLAGLAAGFFAVCIGSPIDVVKSRMMGDSTYRNTVDCFIKTMKTEGIMAFYKGFLPNFTRLGTWNAIMFLTLEQVKKVFLREVLYD >fgenesh2_kg.8__1841__AT5G52370.1 pep chromosome:v.1.0:8:18899689:18900857:1 gene:fgenesh2_kg.8__1841__AT5G52370.1 transcript:fgenesh2_kg.8__1841__AT5G52370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMKRAISRTETVGAFRLSLNLLRNFSAAPASENPSSDANKPKRRKKKNLIEVAQFLPNWGIGYHMAKAHWNGISYEITKINLYKDGRHGKAWGIVHKDGLRAAEAPKKISGVHKRCWKYIPNLSKTAPATNSATAADVQAA >fgenesh2_kg.8__1843__AT5G59000.1 pep chromosome:v.1.0:8:18905435:18906880:1 gene:fgenesh2_kg.8__1843__AT5G59000.1 transcript:fgenesh2_kg.8__1843__AT5G59000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MR61] MPSFAFGSHHHLANPRDSPYSVEISVDGDSSDLDSLSEVDLESGGVPAPEKKLHSCGKKRRTRRRKRRKKKKKKGGRDCRICHLPLETNKEAEEEEEEEEEDDSDEDEDEEEEEEEYYGLPLQLGCSCKGDLGVAHSKCAETWFKIKGNMTCEICGAMALNVAGEQSNPESTASTHSQAAAAGQSQTQTEPRGIWHGRPVMNFLLAAMVFAFVVSWLFH >fgenesh2_kg.8__1845__AT5G59010.1 pep chromosome:v.1.0:8:18907042:18910006:-1 gene:fgenesh2_kg.8__1845__AT5G59010.1 transcript:fgenesh2_kg.8__1845__AT5G59010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRCSKLSLCWWPTHLKSTHNDASDLDNGTDDLPSFTEFSFDQLRAATCGFSTDSIVSEHGVKAPNVVYKGRLEDDRWIAVKRFNRSAWPDTRQFLEEAKAVGQLRNERLANLIGFCCEGDERLLVAEFMPFETLSKHLFHWDSQPMKWSMRLRVALYLAQALEYCSSKGRALYHDLNAYRILFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVIPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSCLDGHFSNDDGTDLVRLASRCLQYEARERPNVKSLVSSLAPLQKETDIPSYVLMGIPHGATSPKETTSLTPLGDACSRLDLTAIHEILEKVGYKDDEGVANELSFQVWTDQIQETLNSKKQGDAAFKGKDFVTAVECYTQFIEDGTMVSPTVFARRCLCYLMSNMPQEALGDAMQAQVVSPEWPTAFYLQAAALFSLGMDKDACETLKDGTSLEAKKQNNRN >fgenesh2_kg.8__1846__AT5G59020.1 pep chromosome:v.1.0:8:18914038:18917484:-1 gene:fgenesh2_kg.8__1846__AT5G59020.1 transcript:fgenesh2_kg.8__1846__AT5G59020.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPVKQCLESHELVKYMSKLPVFLERAETTPQEKLLSVGVLDWGRLEEWQHSHNRVSMKTRFPMVSHTDAFLAPPPRGESSAGPSKVHNRSLDCRMKHRSSRHSNVMSDSVEETVKECEEIKCTRRKKHKDRRCFSIPDEQFGPNTDAQGLDGCKEKDLKGKICSKNGTLSQGLNPEAGLNVEVKSKADSNRRRKREKNLHERNRNNHDGELSGKQQGEAKTCKRSSNRKVRVVHGVEGDYCTQHSCPLPCNADGCLAKSKFGSTDADQKKVSVELSQCVPLLTKARNTSFKGKITEDRASSLLSVKHCMNEPGQRQNSKSHKGTSEKGRSISPFQRPSFNMGKASKTNSEGVTVPTTQLDSMAYSTKIDSQNVALLSAVDGSDCNKPSEKDITTTSHLRRLLQPLLKPRANHSGIPVEGPRGQGVQRLKLGIKGCKSVNVNDSAHEKKLGSSMVRAVLRVTVKNNQPLFTFAVNKETDIIAATQKKMGSSEEGECTSVYTLFSIKDHKRNSGWLNQRGRGQTHGLISNVVAQMRVSSSLPSGSIREFVLFSVELDQESTEKSDLQLKNELAAIIVKMPRWFHRRASLNTVQDHNAPSGELEDHIKDRFFDQDISATVILQSGVHSMPQKGGPSSLIQRWRTGGSCDCGGWDMGCNLRILTNQHNLSYKNSTTSNSPPSSNRFELFFLGEQPEELPFVSFKPIKEGIYSVVYNSSLSQLQAFSICMALAESRKMSEITLEHKSSCDEHKARGKTMLVPDQDSNPNGNTVGYQPPLSPVGRV >fgenesh2_kg.8__1848__AT5G59240.1 pep chromosome:v.1.0:8:18940658:18941925:1 gene:fgenesh2_kg.8__1848__AT5G59240.1 transcript:fgenesh2_kg.8__1848__AT5G59240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S8 [Source:UniProtKB/TrEMBL;Acc:D7MR68] MGISRDSIHKRRATGGKQKMWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNFSWGSEAVTRKTRILDVAYNASNNELVRTQTLVKSAIVLVDAAPFKQGYLQHYGVDIGRKKKGDAVTTEEVKKSNHIQRKLEMRQEGRALDSHLEEQFSSGRLLACIASRPGQSGRADGYILEGKELEFYMKKLQKKKGKNAGAA >fgenesh2_kg.8__1849__AT5G59230.1 pep chromosome:v.1.0:8:18942032:18942917:-1 gene:fgenesh2_kg.8__1849__AT5G59230.1 transcript:fgenesh2_kg.8__1849__AT5G59230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSTSDTSTSYNYVIDDVINKSRCELVYNGELEESVLSQIQSVWKTKMIQAGVITGTIETSAVAISAPPILQATLQTPRDAIPLPEKMSIKKECDEFYIPQQDGARDEAMVDVDENEELLNEDDDDEEDDMDDDDTNIPHLVMCQFDKVKRCKNKWECKFNAGVMQINGKNVLFSQATGDFNF >fgenesh2_kg.8__1850__AT5G59220.1 pep chromosome:v.1.0:8:18949677:18951801:1 gene:fgenesh2_kg.8__1850__AT5G59220.1 transcript:fgenesh2_kg.8__1850__AT5G59220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICYENETMMTKTTATVVKKTTTTTRRRERSSSQAARRRRMEIRRFKFVSGEQDFVDGELQKRRRRESTVAASASAVFYETAKEVVVLCESLSSTVVALPDPEAYPKYGVASVCGRRREMEDAVAVHPFFSRQQTEYSSSGFHYCGVYDGHGCSHVAMRCRERLHELVREEFEADADWEKSMARSFTRMDMEVVALNADGAAKCRCELQRPDCDAVGSTAVVSVLTPEKIIVANCGDSRAVLCRNGKAIALSSDHKSDRPDELDRIQAAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPYVISKPEVTVTDRVNGDDFLILASDGLWDVVSNETACSVVRMCLSGKANGQLSTSPEREMTGVGAGNVMVGGGDLPDKACEEASLLLTRLALARQSSDNVSVVVVDLRRDT >fgenesh2_kg.8__1853__AT5G59200.1 pep chromosome:v.1.0:8:18957922:18959673:1 gene:fgenesh2_kg.8__1853__AT5G59200.1 transcript:fgenesh2_kg.8__1853__AT5G59200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLAAITGGPSTFRRDPDANTLRLARRKTLISLLRNCKNNAQVPSIHAKIIRTFHDQDAFVVFELIRVCSTLDSIDYAYDVFRYVSNPNVYLYTAMIDGFVSSGRSADGVSLYHRMIHSSVLPDNYVITSVLKACGLDECREIHSQVLKLGFGSSRSVGLKLMEIYGKSGELADAKKVFDEMPDRDQVVATVMINCYSECGCIKEALELFQDVKIKDTVCWTAMIDGLVRNREMNKALELFREMQLSVFYLPARIWVRWSSGAGVHSFVENQKMELSNFVGNALINMYSRCGDINVAKRVFKGMRDKDVISYNTMISGLAMHGASVEAINVFRDMVNRGFRPNQVTLVALLNACSHGGLLDIGLEVFNSMWRVFSVEPQIEHYGCIVDLLGRVGRLEEAYRFIENMLIEPDHIMLGALLSACKIHGNMELGEKIAKRLFESENPDSGTYVLLSHIYASSGKWKESTEIRESMRESGIEKEPGCSTIEVDNQIHEFHVGDIAHPRKEAIYQRLQELNRNLRFKENETDIITGL >fgenesh2_kg.8__1860__AT5G59130.1 pep chromosome:v.1.0:8:18976769:18980380:1 gene:fgenesh2_kg.8__1860__AT5G59130.1 transcript:fgenesh2_kg.8__1860__AT5G59130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MR80] MARRGAFSSLLSGLLVLLFLILVSAVTYDHQDKQVYIIYMGSLPSRVDYTPMSHHMSILQEVARESSIEGRLLRSYKRSFNGFAARLTESERERIADIEGVVSVFPNKKLKLQTTASWDFMGLKEGKGTKRNPSVESDTIIGVFDGGIWPESESFTDKGFGPPPKKWKGICAGGKNFTCNNKLIGARHYSPGDARDSSGHGTHTASIAAGNAVANTSFFGIGTGTVRGAVPASRIAAYRVCAGECRDDAILSAFDDAIADGVDIITISIGDISVYPFEKDPIAIGAFHAMSKGILTVNAAGNTGPDTASITSLAPWMLTVAASTANREFVSKVVLGDGKTLVGKSVNGFDLKGKKFPLVYGKSAASSPSQVECAKQLSTQEIQDCTPDCLDASLVKGKILVCNRFFPYVAYKKGAVAAIFEDDLDWAQINGLPVSGLQEDDFESFLSYIKSAKSPEAAVLKSEAIFYKTAPKVLSFSSRGPNIIVADILKPDVTAPGLEILAANSPKASPFYDTTCVKYSVESGTSMSCPHVAGIAAYIKTFHPKWSPSMIKSAIMTTAWSMNASQSDYASTEFAYGAGHVDPIAATNPGLVYDLTKGDYIAFLCGMNYNKTTVKLISGEAVTCTEKISPRNLNYPSMSAKLSGSNISFTVTFNRTVTNVGTPNSTYKSKVVLNHGTKLNVKVSPSVLSMNSMNEKQSFTVTVSGSELHSELPSSANLIWSDGTHNVKSPIVVYTGDFSPPSSS >fgenesh2_kg.8__1861__AT5G59120.1 pep chromosome:v.1.0:8:18984699:18987968:1 gene:fgenesh2_kg.8__1861__AT5G59120.1 transcript:fgenesh2_kg.8__1861__AT5G59120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MRS0] MATLAASSCLLSCLLVLFLSSVSAVTDDDKQVYIVYMGSLSSRADYTPTSDHMSILQEVTGESSIEGRLVRSYKRSFNGFAARLSESEREKVAKMVGVVSVFPNKKLQLQTTTSWDFMGLKEGKKTKRNPTVESDTIIGVIDSGITPESLSFSDKGFSPPPKKWKGVCSGGENFTCNNKLIGARDYTSEGSRDTEGHGTHTASTAAGNAVVDASFFGIGNGTIRGGVPASRVAAYKVCTPTGCSSEALLSAFDDAIADGVDLITISIGDKTASMFENDPIAIGAFHAMSKGILTVNSAGNSGPKPISVSGVAPWILTVAASTTNRGFVTKVVLGNGKTLVGKSVNAYDMKGKEYPLVYGKSAASSACDPESAGLCELSCLDESRVKGKILVCGGPGGLKIFESVGAIGLIYQTPKPDVAFIHPLPAAGLLTEDFESLLSYLESADSPHATVLKTEAIFNRPSPVIASFSSRGPNTIAVDILKPDITAPGVEILAAYSPDGEPSQHDTRHVKYSVLSGTSMSCPHVAGVAAYVKTFYPKWSPSMIQSAIMTTAWPVNATRTGIASTEFAYGAGHVDPIAASNPGLVYELDKADHIAFLCGMNYTSHVLKVISGETVTCSEEKEILPRNLNYPSMSAKLSGSGTTFTVTFNRTLTNVGTPNSAYTSKVVAGHGSKLDVKIMPSVLSFKAVNEKQSFMVTVTGSDLDPEVPSSANLIWSDGTHNVRSPIVIYTSDY >fgenesh2_kg.8__1863__AT5G59100.1 pep chromosome:v.1.0:8:18994965:18998149:1 gene:fgenesh2_kg.8__1863__AT5G59100.1 transcript:fgenesh2_kg.8__1863__AT5G59100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MRS3] MAKITTFFFLFSSLLVLFLSSVSADKDDHEDQQVYIVYLGALPSREDYTAMSDHISILQEVTGESLIENRLVRSYKRSFNGFAARLTESERKRIAGMERVVSVFPSRNMKLQTTSSWNFMGLKEGIKTKRNPSIESDTIIGVIDTGIYPESDSFSDQGFGPPPKKWKGTCAGGKNFTCNNKLIGARDYKAKSKANESARDYSGHGTHTASTAAGNAVANSNFYGLGNGTARGGVPAARIAVYKVCDNEGCDGDAIISAFDDAIADGVDIITISIILDDIPPFEEDPIAIGGFHAMAVGVLTVNAAGNKGPKISTVSSTPPWVFSVAASITNRAFMAKVVLGDHGKILIGRSVNTYDLNVTKYPLVYGKSAALSTCSVDKARLCEPKCLDGKLVKGKIVLCDSSKGPIEAQKLGAVGSIVKNPEPDHAFIRSFPVSFLSNDDYKSLVSYMNSTKDPKATVLKSEEISNQTAPLVASFSSRGPSSIVSDILKPDITAPGVEILAAYSPDSTPTESEFDTRHVKFSVMSGTSMACPHVAGVAAYVKTFHPKWSPSMIQSAIMTTAWPMNASGPGFVSTEFAYGSGHVDPIAAINPGLVYELTKADHITFLCGLNYKSDHLRIISGDNSTCTKKLSKTLPRNLNYPTMSAKVSGTEQFNITFQRTVTNVGMKNSTYKAKVVTSPDSKLRIKVLPRVLSMKSINEKQSFVVTVSGDSIGTKQPLSANLIWFDGTHNVRSPIVVYAMS >fgenesh2_kg.8__1864__AT5G59080.1 pep chromosome:v.1.0:8:19031946:19033030:1 gene:fgenesh2_kg.8__1864__AT5G59080.1 transcript:fgenesh2_kg.8__1864__AT5G59080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGRVGSSSSTSSSFTAELFGSKDPSPPSSSSGIFSTIFPHPSKGSGRDGSNSKHGSQAQRRESLNAQEDRVEPCHLSSSLYYGGQDVYSRSTTNQTYPAVKNERRRSGEDDANGQNSQDVSRGNWWQGSLYY >fgenesh2_kg.8__1867__AT5G59050.1 pep chromosome:v.1.0:8:19038139:19042847:1 gene:fgenesh2_kg.8__1867__AT5G59050.1 transcript:fgenesh2_kg.8__1867__AT5G59050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTELHDIDFISKPFSEPTFFTPTTSSLRPDFVSDEPDSPKAQKEDEEDEYITELTRQMTNYMLQDDEKHQKSCGGGSGSPQSTLWSPFASGLSSPIAPSREPSPPLTPATTVEKIMTKIDTKPVTIPFESKQALIDDQIRSIQANFQKIKKEKEKERQRNADVLGHKARNYHHLHHHQRVRSGVKAVFVDGSGSRTGSGGTGVFLPRGHGTVVEPRKKSGCSTVIIPARVVEALKVHFDKLGVPSTFSSDNPSFSWYQPHLSLSLFNFLNALLVSMKNKNNKGNKITSSSRAQSGSPYVMEMSAESHQEPPADLPQEWTY >fgenesh2_kg.8__1868__AT5G59040.1 pep chromosome:v.1.0:8:19046834:19047412:-1 gene:fgenesh2_kg.8__1868__AT5G59040.1 transcript:fgenesh2_kg.8__1868__AT5G59040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMSGSSPAAPAPSPSSFFQHRRRHGGMMHMTFFWGKNTEVLFDGWPGTSLKMYWVCLAAIFALSAVSEWLSRCGFMKSGPASFGGGLVQTLVYTVRAGLSYLVMLAVMSFNGGVFLAAMAGFGLGFMIFGSRAFRNTSSNSHTEVQSHC >fgenesh2_kg.8__1869__AT5G59030.1 pep chromosome:v.1.0:8:19050730:19051492:1 gene:fgenesh2_kg.8__1869__AT5G59030.1 transcript:fgenesh2_kg.8__1869__AT5G59030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDHMHGMPPPSSSSPSSMMNNGSMNGGGGQHHMKMMMHMTFFWGKNTEVLFSGWPGTSSGMYALCLIFVFFLAVLTEWLAHSSLLRGSTGDSANRTAGLIQTAVYTLRTGLAYLVMLAVMSFNAGVFLVALAGHAVGFMLFGSQTFRNTSDDRKTNYVPPSGCAC >fgenesh2_kg.8__186__AT5G46080.1 pep chromosome:v.1.0:8:1206872:1208121:1 gene:fgenesh2_kg.8__186__AT5G46080.1 transcript:fgenesh2_kg.8__186__AT5G46080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MSM7] MDKSEKIAYASVLSLLSLSLLLLIIFLFLLCRKKPNRSDDESLLPETKPAGLAYPLTELDSATDGFNQRRIIGSGRLGTVYAAIIPDHKNLVAVKRIHPGLVLSKPGFGFSTVIKSLSSSHHPNVVSILGFSEAPGERIVVTEFVGEGKSLSDHLHEESAVAVEFGWKTRFKIAAGAARGLEYLHEIANPRIVHGRFTSSNILIDEKSTAKICDYGFGFLIPIEKSGIFGYIEEGYCKESDVYGYGVVLMEILSGRRSENGLIVKWATPLIKEQRFGEFLDPRIVVQSEIKSLVIRLAKVALACVGNSRRSRPSISEVAAILNSLEMEGG >fgenesh2_kg.8__1872__AT5G59290.1 pep chromosome:v.1.0:8:19064991:19067618:-1 gene:fgenesh2_kg.8__1872__AT5G59290.1 transcript:fgenesh2_kg.8__1872__AT5G59290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronic acid decarboxylase [Source:UniProtKB/TrEMBL;Acc:D7MRT5] MAATSEKQNSTKPPPSPSPLRNSKFCQSNMRILISGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKENLKKWIGHPRFELIRHDVTEPLLIEVDRIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEALTVQKPGTQTRSFCYVSDMVDGLIRLMEGDDTGPINIGNPGEFTMVELAETVKELINPSIEIKMVENTPDDPRQRKPDISKAKEVLGWEPKVKLREGLPLMEEDFRLRLNVPKN >fgenesh2_kg.8__1875__AT5G59320.1 pep chromosome:v.1.0:8:19081011:19081632:1 gene:fgenesh2_kg.8__1875__AT5G59320.1 transcript:fgenesh2_kg.8__1875__AT5G59320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:D7MRT9] MAFALRFFTCLVLTVCIVASVDAAISCGTVAGSLAPCATYLSKGGLVPPPCCAGVKKLNGMAQTTPDRQQACRCIQSTAKSISGLNPSLASGLPGKCGVSIPYPISMSTNCNNIK >fgenesh2_kg.8__1878__AT5G59350.1 pep chromosome:v.1.0:8:19093915:19095325:1 gene:fgenesh2_kg.8__1878__AT5G59350.1 transcript:fgenesh2_kg.8__1878__AT5G59350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISGLGIGLSLVFLLLALVAEVYYLLRWKKHKKRVTSQESEEEKEEEQPNGYAKELIQLFCFKKPQSLQQNNGGREGEISMNQDVNPDLELGLMKHLNGGDLGFEAELMKLHNQRFLFTIMEETKADLESDDGSKSRLGSRSRRRSLSDVPNDCNTPGFTPLASPTTLKSSPLESYPHHGFNPLFESDGELEFNKFFRSSSSPPPKFKFMRDAEEKLRKRMIEEARRREGLASVVTQGSFLKFMNPAMNREKKQSIQESDETVSFSPSSSSGTTNLRTLVVV >fgenesh2_kg.8__1879__AT5G59360.1 pep chromosome:v.1.0:8:19100616:19101674:-1 gene:fgenesh2_kg.8__1879__AT5G59360.1 transcript:fgenesh2_kg.8__1879__AT5G59360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNKIFHLFSDDKDIEAMQQPESLLVPSKPDSDSSLSPHHQENQSKRQQNHQHQQQDEELEKLQDGSRGKRKWECEDGNSRVKITETPRNSNSVCYCPPRPPRKPKAIPAMKRRAMWVKRSVVFLDVAREVESMFPPSVLQDFSKKIKKARS >fgenesh2_kg.8__1881__AT5G59370.1 pep chromosome:v.1.0:8:19105123:19107358:1 gene:fgenesh2_kg.8__1881__AT5G59370.1 transcript:fgenesh2_kg.8__1881__AT5G59370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-12 [Source:UniProtKB/TrEMBL;Acc:D7LN14] MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYEQELETSKTSSSVEKSFELPDGQVITIGAERFRCPEVLFQPSMIGMENPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFGGIGDRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >fgenesh2_kg.8__1883__AT5G59400.1 pep chromosome:v.1.0:8:19116030:19117950:1 gene:fgenesh2_kg.8__1883__AT5G59400.1 transcript:fgenesh2_kg.8__1883__AT5G59400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTCTSIRPRLIGSSSIVELSRLINRAGVPFSVRISTRTRALHGGGLTAPTSREEGPSCIFVGPIDSARKETLEALYRQAKDAYYNGKPLIVDDMFDRVELKLRWYGSKSVVKYPRCSLLRQSTYADAEDDASQVLLLATIWILIFLFGSSACVLPTMYGLGLVYGGDPVDSGLVYSGQLSSSVPLLSKFNGILLAVLGPAFGYPIASSAVRVLKGLWRNDLTALKGDCPNCGEEVFAFVRSDQSNRSAHKADCHVCECTLEFRTKVEKSTSRLGRKWVYGRIYLVSRPRRDRRSKFT >fgenesh2_kg.8__1885__AT5G59420.1 pep chromosome:v.1.0:8:19119734:19122809:1 gene:fgenesh2_kg.8__1885__AT5G59420.1 transcript:fgenesh2_kg.8__1885__AT5G59420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7MSE7] MGSPKKNENKGFFAAMTSGFSMFGSAMSRSVNGLQGNEGVEVINPEGGKEDAEEEAQKGRWKDEERDSYWKMMQKYIGSDITSMVTLPVVIFEPMTMLQKMAEIMEYSHLLDQADECEDPYMRLVYASSWAVSVYFAFQRTWKPFNPILGETYEMVNHGGISFISEQVSHHPPMSAAHAENEHFIYDITSKLKTKLLGNSVDVYPVGRTRVTLKKDGVVLDLVPPLTKIHNLIFGRTWVDSPGEMVMTNLTTGDKVVLYFQPCGWFGSGRYEVDGYVYSAAEEPKIMMTGKWNEKMSYQPCDAEGEPLPGTELKEVWHLADVPKNDKFQYTHFAHKINSFDTAPAKLLASDSRIRPDRYALEQGDLSKAGTEKHSLEERQRAEKRTRETKGQKFTPRWFDLTDEITPTPWGDIEVYQYNGKYTEHRDTAESSSSSSNETDLKSIEFNPWQYGNISTE >fgenesh2_kg.8__1886__AT5G59430.4 pep chromosome:v.1.0:8:19125424:19129066:1 gene:fgenesh2_kg.8__1886__AT5G59430.4 transcript:fgenesh2_kg.8__1886__AT5G59430.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATTRP1 [Source:UniProtKB/TrEMBL;Acc:D7MSE8] MVSHKCVEEVGYKSYLLPANARAPRSARKRRSIEKTISEDDNMCAIDLLATVAGHLSFESGSSIDKLIEDHRVVTQKSVKEEFLEEEKPLMPEALSGENPYQGSLSTSGFSSVINGKVENEADGFSYSGGSDACQVGSFSEDIKPDIDGDALVLDARANVVVSLGSSSRTEVPSIGNCDSHGVRDDVNLFSRDDDENFSGYIHPRVRKNSPRTVPRIGDRRIRKILASRHWKGGSRHADTKPWRNYYLHHQRSYPIKKRKNFDHISDSITDDYRLRTKMHRGSRKGQGASFVASNSHVKLRIKSFRVPELFIEIPETATVGSLKRMVMEAVSTLLSDGHRVGLMVHGKKVRDDNKTLHQTGISQDNTHLDSLDFSLEPSSDMPQLLTSHPSGHASGELLPVCQAANMDNVLESVHHDSALFPSDSLGKNNVTGDSKAMIPVALTELASRPPCRKLKRSEQQQQQAAQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLCAFEDADHRTYVDLKDKWKTLVHTAKISPQQRRGEPVPQELLNRVLNAHGYWTQQQMQLQQPETQAQTTEALLLL >fgenesh2_kg.8__188__AT5G46060.1 pep chromosome:v.1.0:8:1217211:1218519:-1 gene:fgenesh2_kg.8__188__AT5G46060.1 transcript:fgenesh2_kg.8__188__AT5G46060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFVKKEYLDLVLVPFGLIIVLSYHLFLLYRILYFPYHTIIGFMNIDKSIWVDRIMQARKDELGGALTVLSSSISASTFMASIALTLSSLIGAWIGSSPVNMTVFTGDFVYGDTSSITMVIKYTSLLICFLVAFCCFIQSTRCFLHANYLITTPGEDIPPDMVKRFVLRGGNYWSVGLRALYLALDLLLWLFGPVPMFINSVLMVICLYYLDSNSVAQPLYHRTFEAEQVVKKMRGVLPEQLTFRCN >fgenesh2_kg.8__1893__AT5G59450.1 pep chromosome:v.1.0:8:19133531:19135662:1 gene:fgenesh2_kg.8__1893__AT5G59450.1 transcript:fgenesh2_kg.8__1893__AT5G59450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLQVSVDGFRFENGSGSCCKPRNNLESGNNLFPNFHETQNQSSPNDSPPTVCLDNSPVLKYINDMLMDEEDFVGESRDLEALQAAERSFYEILQQQSPESDQNTSSSDQNSGDQDFCFPSTTTSLTDSSALVSSGESRRKYRYRNDEEDDLENNRRNKQPAIFVSEMEELAVKFEHVLLVCKTNQEEEEEEEKESAITKQSQPHRAGRPKGSSNKSKTQKTNSVDLRSLLTQCAQAVASFDQRRATDKLKEIRSHSSSNGDGTQRLAFYFAEALEARITGNISPPVSNPFPSSTTSMVDILKAYKLFVHTCPIYVTDYFAANKSIYELAMKATKLHIVDFGVLYGFQWPCLLRALSKQPGGPPMLRVTGIELPQAGFRPSDRVEETGRRLKRFCDQFNVPFEFNFIAKKWETISLDELMINPEETTVVNCIHRLQYTPDETVSLDSPRDTVLKLFRDINPDLFVFAEINGMYNSPFFMTRFREALFHFSSLFDMFDTTIQAEDEYKNRALLERELLVRDAMSVISCEGAERFARPETYKQWRVRILRAGFKPATISKQIMKEAKEIVRKRYHRDFVIDSDNNWMLQGWKGRVIYAFSCWKPAEKFTNNHVNI >fgenesh2_kg.8__1894__AT5G59460.1 pep chromosome:v.1.0:8:19135603:19137244:-1 gene:fgenesh2_kg.8__1894__AT5G59460.1 transcript:fgenesh2_kg.8__1894__AT5G59460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MSF1] MAKREISSTLRNLKFMQRSALKVEKKKVDEEEPNGSFPSQGTVAKKCVVITDWDPQPGALLGRMSFQSFNPSIEKLNEEAINGGNPSSSSSNGGKKSFSEPESTKVEPSREMNGDLKRKQSEVVSEEQNRPNKSPRSSDKPSPSNKKGNAFKKPKSKKVDWSVLRPPKP >fgenesh2_kg.8__1897__AT5G59480.1 pep chromosome:v.1.0:8:19138511:19140459:-1 gene:fgenesh2_kg.8__1897__AT5G59480.1 transcript:fgenesh2_kg.8__1897__AT5G59480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEENFQQTSEARYDCLLFDIDDTLYPLSSGLAMEVKKNIQEYMVQKLGVEEDKVQELCLSLYKIYGTTMAGLKAVGYDFDYDDFHRFVHGRLPYSTLKPDPILRNIILSLPIRKVVFTNADKAHAAKVIARLGLENCFEKIISFETLNSITKTESPVDTKTREIFDISSYMANPDPSIELPKTTVVCKPSEGAFEQVFKMANINPKKTLFFDDSIRNIQTGKRVGLHTVWVGTSHREEGVDIALEHIHNIREALPELWEAVDDKAEEIRSRQKVAIETIA >fgenesh2_kg.8__1898__AT5G59490.1 pep chromosome:v.1.0:8:19144632:19146337:-1 gene:fgenesh2_kg.8__1898__AT5G59490.1 transcript:fgenesh2_kg.8__1898__AT5G59490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVNSSSPRYECLLFDLDDTLYPLSSGLSDACTNNIIEYMVEKLGIDEDGVVELNQILYKKYGTSMAGLKEVGYEFDNDEYHSYVHGRLPYENLKPDPVLRSLLLTLPCRKLVFSNGDEVHVMKALKRLGIEDCFERIISFETLNPEINEAEVSCITGHLPENPVICKPTEIAFEKAFNIAQLNPHKTLFFDDSIRNIQTGKAVGLHTVLVGKSEKVDGSDYALESIHNMKEAFPELWLESKINNKETERIDYAAQVSIETSVQA >fgenesh2_kg.8__1899__AT5G59500.1 pep chromosome:v.1.0:8:19147975:19149431:1 gene:fgenesh2_kg.8__1899__AT5G59500.1 transcript:fgenesh2_kg.8__1899__AT5G59500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-s-isoprenylcysteine O-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MSF6] MEAVLLCSKVVPRATSLEENRKFSFRHLNKHLKCNSLRADSRNTPLLRSFEAIQSPSSWRFSPFPVRKGSWVPPRCSISSSTVSDSDNPFLSHFRTFSFGSVVKKVRDLKVKPMDVVKLTLLLSILTVAAKKVVNLVLDPFFWMYFSWTWLFWPWFIAVGLAGYGIYCFRKHWLGEANAFEQLGIVTSVFTWLTLVPPAYFNGYLEGWPYVFFLAYHYFFFFNVSVRKRLYGDYYARTHDPKWDVNTPLWSRILFGVGIMVGHWLAAFEGPELHRLPGGWANVGIWILIVITMLMHYDSTLYLARYSEKVVVPTAVVQFGPYRWVRHPIYASTMLLFAAYCTALRAPLSLLFLLVVCLVYYNKKAKLEEELMVESFGQSYSDYADKVRHKFIPFVY >fgenesh2_kg.8__1901__AT5G59520.1 pep chromosome:v.1.0:8:19156300:19158009:-1 gene:fgenesh2_kg.8__1901__AT5G59520.1 transcript:fgenesh2_kg.8__1901__AT5G59520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSKTLKSTLFLLSILFLCFSLILAHGGIDDGDEEETNQPPPATVVNLRSKSLVLVKIYCIIILFFSTFLAGISPYFYRWNESFLLLGTQFSGGIFLATALIHFLSDANETFRGLKHKEYPYAFMLAAAGYCLTMLADVAVAFVAVGSNKNHGGASVVGESRVDDEAAVKEEGRREIKSGVDVSQALIRTSGYGDTALLIFALCFHSIFEGIAIGLSETKSDAWRNLWTISLHKVFAAVAMGIALLKLIPKRPFFLTVVYSFAFGISSPIGVGIGIGINATSQGAGGDWTYAISMGLACGVFVYVAVNHLISKGYKPREECYFDKPIYKFIAVFLGVALLSVVMIWD >fgenesh2_kg.8__1904__AT5G59540.1 pep chromosome:v.1.0:8:19161228:19162669:-1 gene:fgenesh2_kg.8__1904__AT5G59540.1 transcript:fgenesh2_kg.8__1904__AT5G59540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7MSG0] MTKNSIEFDPYIERKAFDETKQGVKGLVDAKITQVPRIFHHPQDILTDKKPSVCVSDLEIPIIDFASVHVDTASREAVVEKVKYAVENWGFFQVINHGIPLNVLEEIKDAVRRFHEEDPEVKKLYFSRDDANKKFVYNSNFDLYGSSPSVNWRDSFSCYIAPDPPAPEEIPETCRDAMFEYSKHVLSLGGLLFELLSEALGLKSETLKSMDCLKTLLMICHYYPPCPQPDLTLGITKHSDNSFLTLLLQDNIGGLQILHQDSWVDVSHLHGALVVNIGDFLQLITNDKFVSVEHRVLANRQGPRISVASFFSSSMRPNSRVYGPMKELVSEENPPKYRDITIKEYSKIFFEKGLDGTSHLSNIRI >fgenesh2_kg.8__1905__AT5G59550.1 pep chromosome:v.1.0:8:19163375:19164741:-1 gene:fgenesh2_kg.8__1905__AT5G59550.1 transcript:fgenesh2_kg.8__1905__AT5G59550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTPTTTSTTTPITASYWCYSCTRFVSVWADQGTATVGGVACPHCDGGFIEEINDSSSAATELAIPASTEVRSINNNRRSVIRRRRSGRRPSFNPVIVLQGGAGEREDGEEGDAARDRRAFEFYYDDGSGSGLRPLPDSVSEILMGSGFERLLEQLSQIEASATGIGRSGNPPASKSAIESLPRVEISDCHIGSEANCAVCTEIFEAETDAREMPCKHLFHDDCIVPWLSIRNSCPVCRFELPSEPNRRSNNNEEDNAVGMTIWRLPGGGFAVGRFNAAMRDGERVLPVVLTEMDGGGIGNSQDGPRRISWVRSHGTLESDSNGGGSGTGTGGRLRRMVRGMVSLMRRVRPNRSSSSSAALSSSSDNLDLNIEVESRVLDRSNSVMRRYFRRNRSNRDSSSSVLH >fgenesh2_kg.8__1908__AT5G59570.1 pep chromosome:v.1.0:8:19168116:19171541:1 gene:fgenesh2_kg.8__1908__AT5G59570.1 transcript:fgenesh2_kg.8__1908__AT5G59570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVMMSDYGDDDGGDEDRVPEWEIGLPNGDDLTPLSQSLVPSILAFAFSIIPERSRTIHDVNRSSQTTLSSLRSSANASSVMEEFVDRVGSSSPGSDPKKQKKSGGGEAAVAEEGDSGTEDASGRTSKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRIQGLTTEEDPYSSDQLFSSTPVPPQCFQDDGGGSNGKLGIPVPGYGNQMSMQGHYHQYRNHSNESNQYMMQRNKFGTMVTSPSVGGGDVNDK >fgenesh2_kg.8__190__AT5G46050.1 pep chromosome:v.1.0:8:1228221:1236228:1 gene:fgenesh2_kg.8__190__AT5G46050.1 transcript:fgenesh2_kg.8__190__AT5G46050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEVGDDYTKDGTVDLRGNPVRRSIRGRWKACSFVVVYEVFERMAYYGISSNLVIYMTTKLHQGTVESSNNVTNWVGTSWLTPILGAYVGDALLGRYITFVISCAIYFSGMMVLTLSVTIPGIKPPECSTTKFEDCKKASVLQLAVFFGALYTLAIGTGGTKPNISTIGADQFDVFDPKEKTQKLSFFNWWMFSIFFGTLFANTVLVYVQDNVGWTLGYGLPTLGLAISITIFLLGTPFYRHKLPTGSPFTKMARVIVASFRKANAPMAHDNTSFHELPSLEYERKGTFPIQPTPSLRFLDRASLKTGTTYKWNLCTTTEVEETKQMLRMLPVLFITFVPSMMLAQINTLFVKQGTTLDRKVTGSFSIPPASLSAFVTLSMLISIVLYDRVFVKITRKFTGNPRGITLLQRMGIGLIFHILIMIVASVTERYRLKVAADHGLIHQTGVKLPLTIFALLPQFVLMGMADSFLEVAKLEFFYDQAPESMKSLGTSYSTTSLAIGNFMSSFLLSTVSEITKKRGRGWILNNLNESRLDYYYLFFAVLNLVNFVLFLVVVKFYVYRADVTDSVVVKEEEMKENE >fgenesh2_kg.8__1910__AT5G59590.1 pep chromosome:v.1.0:8:19175100:19176645:-1 gene:fgenesh2_kg.8__1910__AT5G59590.1 transcript:fgenesh2_kg.8__1910__AT5G59590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MSG5] MEENRVKKTRIVLVPVPAQGHVTPMMQLGKALHSKGFSITVVLTQYNRVSSSKYFSDFHFLTIPGSLTESDLKNLGPQNFVLKLNQICEASFKQCIGQLLREQCNDDIACVVYDEYMYFSHAAVQEFQLPSVVFSTTSATAFVCRSVLSRVDAESFLIDMKDPETQDKVFPGLHPLRYKDLPTSAFGPLGSTLKVYSETVNTRTASAVIINSASCLESSSLAWLQQQLQVPVFPIGPLHITASAPSSLLEEDRSCIEWLNKQKSSSVIYISLGSLALTQTKEMFEMAWGLSNSNQPFLWVIRPGSVPGSEWTESLPEQFSKLVAERGYTVKWAPQMEVLRHPAVGGFWSHCGWNSTLESIGEGVPMICRPFTGDQKVNARYLERVWRIGVQLEGELDKGTVERALERLLVDEEGAEMRKRAIDLKEKLEASVRIGGSSCSSLDDFVNSL >fgenesh2_kg.8__1911__AT5G59600.1 pep chromosome:v.1.0:8:19177004:19180896:-1 gene:fgenesh2_kg.8__1911__AT5G59600.1 transcript:fgenesh2_kg.8__1911__AT5G59600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MSG6] MNKFATIPCSVRLLSIGSYVELIEANSRDRLLCGGIVLHAHLVTSGIARLTRIAAKLVSFYVECGKVLDARKVFDEMPKRDISGWVVMIGACARYGYYQESLDIFREMNKEGLKLDVFIVPSLLKASRNLLDREFGKMIHCLVLKCSFESDAFIVSSLIDMYSKFGEVVNARKVFDDLGEQDLVVFNAMISGYANNSQADEALNLVKDMKLLGIKPDIITWNALISGFSHMGNEEKVSEILELMCLDGYMPDVVSWTSIISGLVHNFQNEKAFDAFKQMLTHGLYPNSATITTLLPACTTLANMKHGKEIHGYSVVTGLEDHGFVRSALLDMYGKCGFISEAMILFRKTPKKTTVTFNSMIFCYANHGLSDKAVELFDQMEATGEKLDHLTFTAILTACSHAGLTDLGQNLFHLMQTKYRIEPRLEHYACMVDLLGRAGKLVEAYEMMKTMRMEPDLFVWGALLGACRNHGNMELARIAAKRLAELEPENSGNGLLLTSLYADVGSWENVIRMKKMIKKKRFRRFLGSSWVETV >fgenesh2_kg.8__1912__AT5G59610.1 pep chromosome:v.1.0:8:19181047:19183001:1 gene:fgenesh2_kg.8__1912__AT5G59610.1 transcript:fgenesh2_kg.8__1912__AT5G59610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATSSILSPTPQSFFLSHHLPPISFLYRINFLGFPVTRSCCYGGDIGLASFYKRRSGIQRRRNRIVVTRARASPYEILGVSPSATPQDIKRAYRKLALKYHPDVNKEANAQEKFLKIKHAYTTLINSDSRRKYGSDSRASGYSTGQTSRKGNSQVEEDFYGLGDFFKDLQEEYKNWEASASSQGKPKSLWEELAEIGEEFVEFLEKELNISDEDNEGSSKNGERYDFEESSSTEKSSSGKNNNNSTKNSIEDNIDEIEATLAQLKKDLGLQ >fgenesh2_kg.8__1913__AT5G59613.1 pep chromosome:v.1.0:8:19183840:19185027:-1 gene:fgenesh2_kg.8__1913__AT5G59613.1 transcript:fgenesh2_kg.8__1913__AT5G59613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFDPWPVFFKREWKRCWPFLTGFAVTGVLITKLTAGLTEEDAKNSKFVQQHRR >fgenesh2_kg.8__1917__AT5G59690.1 pep chromosome:v.1.0:8:19217970:19218397:1 gene:fgenesh2_kg.8__1917__AT5G59690.1 transcript:fgenesh2_kg.8__1917__AT5G59690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:D7LK81] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >fgenesh2_kg.8__1918__AT5G59700.1 pep chromosome:v.1.0:8:19218791:19221748:-1 gene:fgenesh2_kg.8__1918__AT5G59700.1 transcript:fgenesh2_kg.8__1918__AT5G59700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQKFGFLIWVSSISCLICLCHGYVPVNNYLINCGSSTNVTVTSRVFISDKLASNLLTSPNEILAAASNRNSNSDIYQTARIFTGISKYRFSITRGRHWVRLHFNPFQYQNFQMGSAIFSVSSQTHVLLSDFTVNSRVMKEYSLNVATDHLELTFTPSGDSFAFLNALEVVSVPDTLIIGDPSFVGSPGKFQGLSLQAFETVYRVNMGGPRVTPSNDTLSRIWVPDSEFIVEKNLVKIVSKIASVNYVPGFATEETAPRTVYGTCTEMNSADNPSSKFNVTWDFDVDPGFQYFLRFHFCDIVSKALNQLYFNLYVDSMLVVEHLDLSSYLSNTLSGAYSMDFVTGSAKQTKRIRVSIGPSSLHTDYPNAILNGLEIMKMNNSKSQLSNGTFLPSGSSSTTKKNVGMIVGVTVGSLLALVVLGGFFLLYKKRGRDPDDHSKTWIPLSSNGTTSSSNGTTIASKASNSSYRIPLAAVKEATNSFDENRAIGVGGFGKVYKGELHDGTKVAVKRANPKSQQGLAEFRTEIEMLSQFRHRHLVSLIGYCDENNEMILIYEYMENGTLKSHLYGSDLPSLSWKQRLEICIGSARGLHYLHTGDAKPVIHRDVKSANILLDENLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVMFEVLCARPVIDPTLNREMVNLAEWAMKWQKKGHLEHIIDPSLRGKIRPDSLRKFGETGEKCLADYGVDRPSMGDVLWNLEYALQLQEAVIDGDPEDSTNMIGELPLRFNDYNHGDTSVNVSVAKEEEESSVDDSSGVSMSKVFSQLVKSEGR >fgenesh2_kg.8__1919__AT5G59710.1 pep chromosome:v.1.0:8:19229707:19234173:1 gene:fgenesh2_kg.8__1919__AT5G59710.1 transcript:fgenesh2_kg.8__1919__AT5G59710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLHSSLNGSASNLPDGSGRSFTASYSGQSGAPSPSFHHTGNLQGLHNIHGNYNVGNMQGTLTSRNSSMNSIPSAGVQQPNGGFSSGRFASNNLPVNLSQMSHGSSHGHSGIPNRGGLNVVGNPGFNSTANGVGGSIPGILSTSAGLSNRSSVPGMGISQLLGNSGPRITNSMGNMVGGGNLGRNISSGGLSIPGLSSRLNLAANSGSGLNVQGQNRMLGGVLPQGSQVMSMLGNSYHAGGGPLSQNHVQSVNNMMLSDHSNDSSLFDINNDFPQLTSRPGSAGGTQGQLGSLRKQGLGVPLVQQNQEFSIQNEDFPALPGYKGGNSDYPMDLHQKEQLHDNAMSMMHSQNFSMGRSGGFNLGATYSSHRPQQQPQHTSSTGGLQGLGLRPLSSPNAVSSIGYDQLIQQYQQHQNQSQFPVQQMSSINQFRDSEMKSTQSEADPFCLLGLLDVLNRSNPELTSLALGIDLTTLGLDLNSSGNLYKTFASPWTNEPAKSEVEFTVPNCYYATPPPPLTRASFKRFSYELLFYTFYSMPKDEAQLFAADELYERGWFYHKELRLWFFRVGEPLVRAATYERGTYEYLDPNSFKTVRKEHFLVKYELMEKRPSLLQH >fgenesh2_kg.8__1920__AT5G59720.1 pep chromosome:v.1.0:8:19235448:19236111:1 gene:fgenesh2_kg.8__1920__AT5G59720.1 transcript:fgenesh2_kg.8__1920__AT5G59720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 18 [Source:UniProtKB/TrEMBL;Acc:D7MSH7] MSLIPSIFGGRRSNVFDPFSQDVWDPFEGFFTPSSALANASTARDVAAFTNARVDWKETPEAHVFKADLPGLKKEEVKVEVEDKNVLLISGERSKENEEKNDKWHRVERASGKFMRRFRLPENAKMEEVKAKMENGVLTVVVPKAPEKKPQVKSIDISAN >fgenesh2_kg.8__1924__AT5G59750.1 pep chromosome:v.1.0:8:19247633:19249874:1 gene:fgenesh2_kg.8__1924__AT5G59750.1 transcript:fgenesh2_kg.8__1924__AT5G59750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSALYHHPRIFFAHSFINGSYRSPRFANTCWRLTDGSRWNIKASENSDRNVFDESPLRGTDESLFDSVDAEITPETDDFFVSDAEGDPDCPSQGYSSIEFALQTLRKGKFVIVVDDENGDVEGNLIMAATLTSPKDIAFLIKNGSGIVSVGMKKEDLERLSLTLMSPEMEDEDSSAPTFTVTVDAKSGTSTGVSASDRAMTVLALSSLEAKPDDFRRPGHVFPLKYRDGGVLRRAGHTEASVDLMILAGLRPVSVLSAILDQEDGSMASLPYMKKLATEHDIPIVSLTDLIRYRRKRDKLVERITVSRLPTKWGLFQAYCYRSKLDGTENIALVKGNVGNGEDILVRVHSECLTGDIFGSARCDCGNQLDLAMELIEKEGRGVVVYLRGHEGRGIGLGHKLRAYNLQDEGHDTVQANVELGLSIDSREYGIGAQMLRDIGVRTMRLMTNNPAKFTGLKGYGLAVVGRVPVVTPITKENRRYMETKRKKMGHIYISDNNDQPLA >fgenesh2_kg.8__1925__AT5G59760.1 pep chromosome:v.1.0:8:19249963:19250804:-1 gene:fgenesh2_kg.8__1925__AT5G59760.1 transcript:fgenesh2_kg.8__1925__AT5G59760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLYSSNWLHQESIDEMRQNLQSTLFELETLKMEANEKSKTHREEVNQLLNLLKFTQQERDEARQQLSQFIFQNPTSRSTTESNSFSQDVSSSSSSELSSFLNVNPQPLMNLEVPTAHNHHQLDPLDVLVMGKAFPETGKLLKAVVEAGPLLQTLLLAGPLPKWINPPPQTQRFELPSLSFRGSEVDSKCDSLTCSGSVNSIGFGSSLVIDQSMLTGKRQRLE >fgenesh2_kg.8__1928__AT5G59780.3 pep chromosome:v.1.0:8:19270547:19271764:-1 gene:fgenesh2_kg.8__1928__AT5G59780.3 transcript:fgenesh2_kg.8__1928__AT5G59780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVQEEYRKGPWTEQEDILLVNFVHLFGDRRWDFVAKVSGLNRTGKSCRLRWVNYLHPGLKRGKMTPQEERLVLELHAKWGNRWSKIARKLPGRTDNEIKNYWRTHMRKKAQEKKRPMSPTSSSSNCCSSSMTTTTTQDTGGSNGKMNQECEDGYYSMDDIWREIDQSGANVIKPVKDIYYSEQSCYLNFPPLASPTWESSLESIWNMDTDESKMSSFAIDQFPLSFEHGRSSWSSL >fgenesh2_kg.8__1930__AT5G59790.1 pep chromosome:v.1.0:8:19282900:19285303:1 gene:fgenesh2_kg.8__1930__AT5G59790.1 transcript:fgenesh2_kg.8__1930__AT5G59790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVFRATPDNNYLVPRRSKDQQDSSPDRNRIWSEPRHKPVVNRKVPVVYYLCRNGQLDHPHFMEVTLSSHDGLYLKDVINRLNDLRGKGMASLYSWSSKRSYKNGFVWHDLSEDDFIFPVQGQEYVLKGSEVLDSCLISNPRSLLETSSFRDPRSLNPDKNSGDDIPAVINRRRNQSWSSIDLSEYKVYKATESSAESTQRLAADASTQTDDRRRRRKPAKEEIEEVKSPVSYENQSTELSRDEISPPPSDSSPETLENLIKADGRLILRPNESSTDHRTVESLSSGRMRASAVLMQLISCGTMSFKECGPVLLKDQGLPLNGRSECTITRGAEDNYGDRVEKELKSLGRVKLEDKEYFSGSLIETKKELVPALKRSSSYNADRNSRMGPTTEKDGEEAVRAKCIPRKPKPVALRNNGGQQ >fgenesh2_kg.8__1931__AT5G59800.1 pep chromosome:v.1.0:8:19286927:19288974:-1 gene:fgenesh2_kg.8__1931__AT5G59800.1 transcript:fgenesh2_kg.8__1931__AT5G59800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG-binding domain 7 [Source:UniProtKB/TrEMBL;Acc:D7MT30] MQTRSSSSPSPDHRRESQLQIADPTSFCGKILPGWTVVNRPRSSRNGSVDTYFIEPGTGRQFSSLEAIHRHLAREVNDRRLTRAASFFQERTRVYEGLRTKQKQDHRRVEYASKGFRLPRGWSVEEVPRKNSHYIDKYYVERKTGKRFRSLVSVERYLRESGNRTDQQLILLQNRRGHSKDFSLPDGWIVEEKPRRNSSHIDRSYIEPGTGNKFRSMAAVERYLQAVENSTVDMVHSERLALLMNRSGTRFQDEVIDPNPPKKVKWVLTGSGGDMFTANVSGSDVSSLVKQTWSEAFVSLIQDRS >fgenesh2_kg.8__1936__AT5G59840.1 pep chromosome:v.1.0:8:19300209:19302110:-1 gene:fgenesh2_kg.8__1936__AT5G59840.1 transcript:fgenesh2_kg.8__1936__AT5G59840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPKSKGQALADEYGIKFFETSAKTNLNVEEVFFSIAKDIKQRLADTDSRAEPATIKISQTDQAAGAGQATHKSACCGS >fgenesh2_kg.8__1937__AT5G59845.1 pep chromosome:v.1.0:8:19304945:19305670:1 gene:fgenesh2_kg.8__1937__AT5G59845.1 transcript:fgenesh2_kg.8__1937__AT5G59845.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated family protein [Source:UniProtKB/TrEMBL;Acc:D7MT35] MKFQVVQFIIISLLLTSSLFMLSTADSSPCGGKCNVRCSKAGRQDRCLKYCNICCEKCNYCVPSGTYGNKDECPCYRDMKNSKGTSKCP >fgenesh2_kg.8__1940__AT5G59880.1 pep chromosome:v.1.0:8:19315999:19317636:1 gene:fgenesh2_kg.8__1940__AT5G59880.1 transcript:fgenesh2_kg.8__1940__AT5G59880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVHDDCKLRFMELKTKRTYRFIVYKIEEQQKQVVVEKIGEPAETHEALAACLPSDECRYAVFDFDFLTAEDVPKSRIFFVAWSPDTAKVRSKMIYASSKDRFKRELDGIQIELQATDPTEMDLDVFKSRAT >fgenesh2_kg.8__1944__AT5G59910.1 pep chromosome:v.1.0:8:19322924:19323621:1 gene:fgenesh2_kg.8__1944__AT5G59910.1 transcript:fgenesh2_kg.8__1944__AT5G59910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:D7MT43] KAEKKPAEKKPASEKPVEEKSKAEKAPAEKKPKAGKKLPKEAGAGGDKKKKMKKKSIETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFT >fgenesh2_kg.8__1946__AT5G59950.1 pep chromosome:v.1.0:8:19332258:19333824:1 gene:fgenesh2_kg.8__1946__AT5G59950.1 transcript:fgenesh2_kg.8__1946__AT5G59950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGLDMSLDDMIAKNRKSRGGAGPARGTGPGPTRRNNPNRKSTRSAPYQSAKAPESTWGHDMFSDRSEDFPPRSSAGIETGTKLYISNLDYGVMNEDIKELFAEVGELKRYTVHFDRSGRSKGTAEVVYSRRGDALAAVKKYNDVQLDGKPMKIEIVGTNLQTAAAPSGRPANGNSNGAPWRGGQGRGGQQRGGGRGGGARGGGGRGRRPGKGPAEKISAEDLDADLDKYHSGDMETN >fgenesh2_kg.8__1949__AT5G59960.1 pep chromosome:v.1.0:8:19333954:19337121:-1 gene:fgenesh2_kg.8__1949__AT5G59960.1 transcript:fgenesh2_kg.8__1949__AT5G59960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSSPSSSSSRRSAYLEALTQEIKKKLTRAVVSPAQTRNLLQDLFADIALEVDERAKDVILSKEEDVISSVEADADGPLCFFDVLADYYVKVSERGKDILDLMVQLWSQSFASHIFSLLFHKWLFEVELENQEILLRYSSALVQGATNVFWIDIQTNTRRFQSLFRYLLEEVALEQMRLKKIPIQAQRELYLLLSRFIFFYNSVDKLDSFLRNFPEFPNAFLIGGPGDFLVIELTDQLQKLKVEPVLLHYLSQMKILQGMELRMTTSTRLKACLYSFTSPGGPMYPTRAVRHAAWDALDSLFPVGRYPRHLISLFFRLLYPWYWPSSCWNFVVSCIKAVLYSIVRLIFSRREKPRQS >fgenesh2_kg.8__194__AT5G46000.1 pep chromosome:v.1.0:8:1273403:1275437:-1 gene:fgenesh2_kg.8__194__AT5G46000.1 transcript:fgenesh2_kg.8__194__AT5G46000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin lectin family protein [Source:UniProtKB/TrEMBL;Acc:D7MSN5] MSEKVIAYGGSNGQNFDDGVYEGTYDGVRKLIVGEDSHGIVYLKIQYVKNGDVVLKEHGRARGTHITETEFEVKCPDEYITSIWGTYRNDDHRYKSTGGSTSGQLTPAEKYDLYLAANMGSPMYTNTVSELQFKTSHGRTSEEFGMPGPGGSNGVSWDDGAYDGLNKLCVGEDDHCVSSVEFHYVKGNDRITHCHGKDSKEHGFISSLTFKTSMNRSSEKFGTPVGTKFKLEAKGLDKIVGFRGRSSVNRINALGANFAVVVVPPVKKLNAKGGVLGKEWDDGIHDDVRMITFKLYFNNEYITSVELDTMFNTNRTTYQVLSHSPEYTYEGTSFKLEEKDHKIVGFYGKTEVSLNQIGPIANA >fgenesh2_kg.8__1950__AT5G59970.1 pep chromosome:v.1.0:8:19337907:19338397:-1 gene:fgenesh2_kg.8__1950__AT5G59970.1 transcript:fgenesh2_kg.8__1950__AT5G59970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLY >fgenesh2_kg.8__1956__AT5G60020.1 pep chromosome:v.1.0:8:19366663:19369096:1 gene:fgenesh2_kg.8__1956__AT5G60020.1 transcript:fgenesh2_kg.8__1956__AT5G60020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:D7MT54] MAFQLLLAVFSCVLLLPQPAFGITRHYTLEIKMQNVTRLCHTKSLVSVNGQFPGPKLIAREGDQLLIKVVNQVSNNISIHWHGIRQLRSGWADGPAYITQCPIQTGQSYVYNYTIVGQRGTLWYHAHISWLRSTVYGPLIILPKRGVPYPFAKPHKEVPMIFGEWFNADPEAIIRQATQTGGGPNVSDAYTINGLPGPLYNCSAKDTFRLRVKPGKTYLLRLINAALNDELFFSIANHTVTVVEADAIYVKPFEMDTILIAPGQTTNVLLKTKSSYPSASFFMTARPYVTGQGTFDNSTVAGILEYEPPKQTKDAHSRTSIKNLQLFKPILPALNDTNFATKFSNKLRSLNSKNFPANVPLNIDRKFFFTVGLGTNPCNHKNNQTCQGPTNTTMFAASISNISFIMPTKALLQSHYSGQSHGVYSPKFPWSPIVPFNYTGTPPNNTMISNGTNLMVLPYNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPNKDPKNFNLVDPIERNTIGVPSGGWAAIRFLADNPGVWFMHCHLEVHTSWGLRMAWLVLDGDKPDQKLLPPPADLPKC >fgenesh2_kg.8__1957__AT5G60030.1 pep chromosome:v.1.0:8:19370698:19371519:-1 gene:fgenesh2_kg.8__1957__AT5G60030.1 transcript:fgenesh2_kg.8__1957__AT5G60030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVTGRVISAEPISLSKAATLLSGFASSDNGASQDVSAYLRRASAAFTELKSFHREIKSKDAKPSSVREVKSKETNPSSDARRERDVTGELDGGKIRYRKSGAVSEESVYGREQDEMKKKKNKSKNNKDEDVVGEEVKEKLEDERRREERKKEKKNNKDEDVVDEKVKEKLEDKQRSEERKERKKEKKSKRNKDKEKELVDEKVKEKLEDEQRSEERKKEKKKKRKSDEEMGSEETKSKKKRKKSDEEMGSEERKSKKKRKSKEVDD >fgenesh2_kg.8__1958__AT5G60040.1 pep chromosome:v.1.0:8:19371869:19382234:1 gene:fgenesh2_kg.8__1958__AT5G60040.1 transcript:fgenesh2_kg.8__1958__AT5G60040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:D7MT56] METKMEIEFTKQPYIEDVGPLKIKSINFSVLSDLEVMKAAEVQVWKNNYYETNLKPYENGLLDARMGPPNKKSICTTCDGNFQNCPGHYGYLKLDLPVYNVGFFNFILDILKCICKRCSNMLLDEKLYEDHLRKMRNPKMEPLKKTELAKAVVKKCSTMASQRIITCKKCGYLNGMVKKIAAQMGIGISHDRSKIHGGEVDECKSAISHTKESTRAINPLTYVLDPNLVLGLFKGMSDKDCELLYIAHRPENLITTCMLVPPLSIRPSVMIGGTRSNENDITERLKLIIQNNACLHRILSQPTTSPKNMQVWDTVQSEVAKYINSEVRGVQNQPEKTPLSGLLQRLKGKQGRFRANLSGKRVEYTGRTVISPDPNLKITEVGIPILMARILTFPECVSRHNIEKLRQCVRNGPNKYPGARNVRYPDGSSRTLVGDYRKRIADELTIGCIVDRHLEEGDAVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEAITLMGVQNNLCTPKNGEILVASTQDFLTTSFLITRKDTFYDRAAFSLICSYMGDGMDAIDLPTPTILKPIELWTGKQLFSVLLRPNASVRVYVTLNVKEKNFKKGEHGFDETMCVNDGWVYFRNSELISGQLGKATLGNGNKDGLYSILLRDYNSHAAAVCMNRLAKLSARWIGIHGFSIGIDDVQPGEELNKERKDSIQFGYDQCHRKIEEFNRGNLQLKAGLDGAKSLEAEITGILNTIREATGKACMSGLHWRNSPLIMSQCGSKGSPINISQMVACVGQQTVNGHRAPDGFIDRSLPHFPRMSKSPAAKGFVANSFYSGLTATEFFFHTMGGREGLVDTAVKTASTGYMSRRLMKALEDLLVHYDNTVRNASGCILQFTYGDDGMDPALMEGKDGAPLNFDRLFLKIQATCPPRSHHNYLSSEELSQKFEEELVRHDKSRVCTDAFVKSLREFVSLLGVKSASTPQVLYKASGVTDKQLEVFVKICVSRYREKKIEAGTAIGTIGAQSIGEPGTQMTLKTFHFAGVASMNITQGVPRINEIINASKNISTPVISAELENPLELTSARWVKGRIEKTTLGQVAESIEVLMTSTSASVRIILDNKIIEEACLSISPWSVKNSILKTPRIKLNDNDIRVLDTGLDITPVVDKSRTHFNLHNLKNVLPNIIVNGIKTVERVVVAEDMDKNKQIDGKTRWKLFVEGTNLLAVMGTPGINGRTTTSNNVVEVSKTLGIEAARTTIIDEIGTVMGNHGMSIDIRHMMLLADVMTYRGEVLGIQRTGIQKMDKSVLMQASFERTGDHLFSAAISGKVDNIEGVTECVIMGIPMKLGTGILKVLQRTDDLPKLKYGADPIIS >fgenesh2_kg.8__195__AT5G46020.1 pep chromosome:v.1.0:8:1281380:1283338:1 gene:fgenesh2_kg.8__195__AT5G46020.1 transcript:fgenesh2_kg.8__195__AT5G46020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGQRRFSSAADILAGTSAARPRSFKQKEAEYEEDVEDESEEESEEESEDDADVKKKGAEAVIEVDNPNRVKAKTLKARDLDASKTTELSRREREELEKQRAHERYMRLQEQGKTEQARKDLDRLALIRQQREEAAKKREEEKAARDAKKVEGRK >fgenesh2_kg.8__1960__AT5G60060.1 pep chromosome:v.1.0:8:19385470:19386594:-1 gene:fgenesh2_kg.8__1960__AT5G60060.1 transcript:fgenesh2_kg.8__1960__AT5G60060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSQWSDLPLDMLELISDRLDDDDSSDTIDLLCLRSVCATWRLSLPLSNNNNKNPLSKFPKYLPFWSSSSSSSGFFILKQSSVYELEAPLNPRSWLVKIQETSPGNMRVLDLFSNDRICFLPENFPEKIDLQEFHVRLVRRTYRMDYANNGGEISCFWSLNSDKVVILSSGEDSAIVAIHSGGKLGLLKSGNEKRWRILDNSWNVIYEDIMLYRENCCIVVDDKGKTVIYDVDFKVSDLAEGLVGGGGHKKHLVEYPGGEVLLVDKYVKHVWCKSEVSKSAVEFRVYKLKREEKRWEEVRELGDVALFIGDDCSFSVQIPAGDSAGGFIFYRDYRNGGRSRGVCSDGDGVFNVEFEMQSDFVFPIKPKCFGP >fgenesh2_kg.8__1962__AT5G60080.1 pep chromosome:v.1.0:8:19390338:19392099:-1 gene:fgenesh2_kg.8__1962__AT5G60080.1 transcript:fgenesh2_kg.8__1962__AT5G60080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MT59] MSNRFICCFGGGSSSKVVQDPSELPQQQPQESPDPSDSSDTSEQPNSQPHSSGGSGDDEAPSTGVKFRWREIEDGTENFGITHLIGQGNYGKVYRCNFPRIHKVGAAKIHNNNITAALSEFIAETTTLYAADHPNVIKLLGKYFGIQKSVLVYEFMPNGSLDHHLFAQARQVQGLTKPTRVLDWNTRMRIAVGVAEGLVYVHQGLYAIHRDVKVENILLDNNFVPKLSDFGFATKIVYNSNGVERQREFNSSGTQGYIAPEAEEFGLISTKSDIYSYGVFLLVLLTGRKAYDMKRPVAKEKLTDWLMPVWTRLEYAPMVVDVALGNKYSVEGLNRLFQTARMCINAQALERPAMDFVETMVREAAAFPVLPEETPVTERCSTST >fgenesh2_kg.8__1963__AT5G60090.1 pep chromosome:v.1.0:8:19393427:19395177:-1 gene:fgenesh2_kg.8__1963__AT5G60090.1 transcript:fgenesh2_kg.8__1963__AT5G60090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MT60] MKRFFCCLKGGSSRNAGQGNDENDEVNLDRPFGNNERLNHLWRNHLSLPDDREVADPTARFFPISPPLPDNCGASFLWRELVDGTINFRDEYFLGRGNFGEVYRCRFSRLNEDGAVKIQKPDNPTGHVEFLAEVTTLHAANHPNVIRLLGHCYGQRNRAIVYEFMPNGCLERHIFAHATRVQGPFPQGLQLPIRVLNWDTRMRIALGVAKGLVYLHQELKVINRDVKAGNILLDANFVPKLTDFGLATKIDVDENGVEKQILINPMKGSLGYIAPEGEISGFVSTKTDVYSYGALLLVLFTGRQPFYVKKPVGIRENLTEWFIRILPRLEDAPVKVDVALGYRYSVEGLKKIFDTARMCLKTERLERPTMSDVEAMVLEAASFPVQVPPQVKRRRSASTYEVFSGGF >fgenesh2_kg.8__1964__AT5G60100.1 pep chromosome:v.1.0:8:19395653:19398862:-1 gene:fgenesh2_kg.8__1964__AT5G60100.1 transcript:fgenesh2_kg.8__1964__AT5G60100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 3 [Source:UniProtKB/TrEMBL;Acc:D7MT61] MCFNNNETGDEVKTERQVFVSSEEDEFRVEDTPGDDANNLIQISQQQQQPLAPVVKWERYLPVRSLKVLLVENDDSTRHIVTALLKNCSYEVNAVSDVLEAWRILEDEKSCIDLVLTEVVLPVHSGTGLLSKIMSHKTLKNIPVIMMSSHDSMVLVFKCLSNGAVDFLVKPIRKNELKNLWQHVWRKCHSSSGSGSESGIQDKKSVKPESTEGSENDASISDELETEDGSSGGLSNRDGGSDNGSGTQSSWTKRASDTKSTSPSNQLPDAPNKKETYENGSVHVNKPKEVEDQKEQIGTGSQTGMSMSKKAEEHGDLEKDAKYSVQALERNNDDMLNRSSGNSQVESKTSSSNREVLQSLEQTLKKPREAGDYRIGDQSVLRHSNHSPFSKYNNDATSANKAPEENMGSCSPHGSPVGKLLGSGSSSDNPLNQQSSGSDRSAQREAALMKFRLKRKERCFEKKVRYHSRKKLAEQRPRVKGQFIRNPLHDYKSGSDDS >fgenesh2_kg.8__1967__AT5G60120.1 pep chromosome:v.1.0:8:19415048:19418851:1 gene:fgenesh2_kg.8__1967__AT5G60120.1 transcript:fgenesh2_kg.8__1967__AT5G60120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLDVDSAESTQNERDSVTVEGVSLNQMDESVTSNSSVVNAEASSCIDGEDELCSTRTVKFQFEILKGGEEEEEEEDDDERSAVMMTKEFFPVAKGMNFMDSSAQSSRSTVDISFQRGKQGGDFGADAARVMQPPSQPVKKSRRGPRSKSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAVKFRGLEADINFIISDYEEDLKQMANLSKEEVVQVLRRQSSGFSRNNSRYQGVSLQKIGGWGAQMEQFHGNMASDKAAIQWNGREAASLIEPHASRMIPEAANVKLDLNLGISLSLGDGPKQKERALRLHHVPNITVCGRNIMMENHMAAAACDTPFNFLKRGSDHLNNRHSLPSAFFSPMERTPEKGHMLRSHQSFPARTWQGHDQSSGGTAVAATAPPLFSNAASSGFSLSATRPPSSIATPHPSQPFLNMNQPGLYVIHPSDYTSQHHHNLMNRPQPPP >fgenesh2_kg.8__1969__AT5G60140.1 pep chromosome:v.1.0:8:19421685:19422953:-1 gene:fgenesh2_kg.8__1969__AT5G60140.1 transcript:fgenesh2_kg.8__1969__AT5G60140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGNYSDGSTSKFFKPYLPSESGDDLMLPIYFNSCLPKPLPETVNVRSIYGKVWKLVLRKCGGEVERFVMVNGWKKIVRDEDLKGGDLLAFEFDGSRCFNLCIYERETMCKKLKRSSEQSEEIIEVGSDGEEETLASDDSDDSDNDYAVEDDDVAEDDDGLEDEDEVEAEDDDDVKAEDDDDNDERQYLDHHNNPYFTMTLNPKKKSQLHIPAYVIKDYDLNFPARITVMDQLGALEKAIKIQKNGSIFVKGFGSVIRRNKMKMTDKMICELKRTGSNLVHTIKVNIISG >fgenesh2_kg.8__1974__AT5G60200.1 pep chromosome:v.1.0:8:19464271:19465723:1 gene:fgenesh2_kg.8__1974__AT5G60200.1 transcript:fgenesh2_kg.8__1974__AT5G60200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MTS7] MDHLLQQQDVFGNYNKAREAMGLSYPSNPTQLDNDQKKPSPATAAAATRPQPPELALRCPRCDSTNTKFCYYNNYSLTQPRYFCKSCRRYWTKGGTLRNIPVGGGCRKNKRSTSSVTRSLRTTPEPASHDGKAFSVASFNGYNNNEHIDLSLAFALLNKQHPGSSSQLGLHSEFGNSHQSDMEGVFGTSQQKENATYAFGNGSSGLGDPSRVLWGFPWQMNGESFGMMNIGGGGGHVDQIDPGREIWTNMNYINSGALM >fgenesh2_kg.8__1975__AT5G60210.1 pep chromosome:v.1.0:8:19466485:19468927:-1 gene:fgenesh2_kg.8__1975__AT5G60210.1 transcript:fgenesh2_kg.8__1975__AT5G60210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MTS8] NGCPDVPKKVSPRAARPLKIAALEPESSSSPVSATIRTPKDKSSKVLDRRSPRSPVSEKKRPSRITELELLVSQLQEELKRAKDQISVSETSKKQAEQEAGESRKQLQEVSSKLEENQNQFLEISALGEETDKTGSLVHQGVSQECDFTAGERAGLAAVAHEIRQLKLQIEMVASSEAGHVKQAELHNSEVQLLRGNLMDTLFHVENFRNQLKDCEVSEAETEALATETLRQLENAKKAVEELKSDGTKAVESYKKMAVELEQSKSRMVWLEALVNKLQTNPADLENHEILLKDYESLKRGESNEMDEEVSSLRCEVERLRAALEASDKKDQEGNIEASSRLRIQAELQSELKIAKSEIDELKARLMDKETELQFISEERDNLCLKLMKNQKEIDVEAELKKLREAIENLKADLMDKETELQIVSDENETLKSDIHKRETDVQDAFMKLGIAMEEAGKSSKKAVRVTEQLEATQASNSEMETELRKLKVQSNQWRKAAEAATAMLSAGNNGKFAENYNQTNSPYSEDIDDELTKKKNGNVLKKIGVLWKKPQK >fgenesh2_kg.8__1979__AT5G60270.1 pep chromosome:v.1.0:8:19481107:19483351:1 gene:fgenesh2_kg.8__1979__AT5G60270.1 transcript:fgenesh2_kg.8__1979__AT5G60270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MTT2] MIRGLLLGIIWMIFCVCSSFQQETPFVYNNFGQADHLHLDGSARIIPSGGILQLTNATNSQIGQVFYEKPIEFKSSESVSFSTHFVCALLPAGDPSGHGMTFFVSHSTDFKDAEATRYFGLFNRNGSTSTRVLAVELDTSLASDVKDINDNHVGIDVNSAESVTSANASYFSDKEGKNIDIKLLSGDPIQVWVDYEGLTLNVSLAPLRNKKPSRPLLSSTSINLTDILKGRRMFVGFSGSTGSSMSYQYILGWSFSKSMASLLNIDISKLPKVPHTSTKKKSTSPVLGVLLGLIAFIVLGILVAAYLYRRNLYAEVREEWEKEYGPLRYSYKSLYKATKGFNRTEFLGRGGFGEVYKGTLPRSRELREVAVKRVSHDGEQGMKQFVAEIVSMRSLKHRSLVPLLGYCRRKHELLLVSEYMPNGSLDHYLFNHDRFSLPWWRRLAILRDIASALSYLHTEADQVVIHRDIKAANVMLDAEFNGRLGDFGMSRLYDRGADPSTTAAVGTVGYMAPELTTMGASTGTDVYAFGVFLLEVTCGRRPVEPGLPTAKRFLIKWVSECWKRSSLIDARDPRLTEFSSQEVEKVLKLGLLCANLAPDSRPAMEQVVQYLNGNLALPEFWPNSPGIGVLSPMELSPAPLVIPSLSFSSSSSNNSMFITHSVLYGSGR >fgenesh2_kg.8__197__AT5G45970.1 pep chromosome:v.1.0:8:1307624:1309335:-1 gene:fgenesh2_kg.8__197__AT5G45970.1 transcript:fgenesh2_kg.8__197__AT5G45970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIHKKWLPELKHYAPSIPIVLVGTKLDLRDDKQFLKDHPGSVSITTAQGEELRKMIGAVRYLECSSKTQQNVKAVFDTAIRVALRPPKAKNKIKPLKTKRSRLCFFL >fgenesh2_kg.8__1982__AT5G60300.2 pep chromosome:v.1.0:8:19487359:19489854:1 gene:fgenesh2_kg.8__1982__AT5G60300.2 transcript:fgenesh2_kg.8__1982__AT5G60300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MTT4] MARWLLQILIISSLHLISLSSQQETSFVYESFLDRQNLYLDKSAIVLPSGLLQLTNASEHQMGHAFHKKPIEFSSSGPLSFSTHFVCALVPKPRVEGGHGIVFVLSPSMDFTHAESTRYLGIFNASTSGSSSYHVLAVELDTIWNPDFKDIDHNHVGIDVNSPISVAIASASYYSDMTGSNESLNLLSGNPIQVWVDYEGTLLNVSIAPLEVQKPTRPLLSHPINLTELFPNRSSLFAGFSAATGTAISDQYILWWSFSTGRGSLQRLDISKLPQVPHPRAPHKKVSTLIILLPVCLAILVLAALAGLYFRRRRKYAEVSETWEKEFDAHRFSYRSLFKATKGFSKDEFLGKGGFGEVFRGNLPQGREIAVKRVSHNGDEGVKQFVAEVVSMRCLKHRNLVPLFGYCRRKRELLLVSEYMPNGSLDEHLFDDQKPVLSWSQRLVVVKGIASALWYLHTGADQVVLHRDVKASNIMLDAELNGRLGDFGMARFHEHGGNAATTAAVGTVGYMAPELITMGASTGTDVYAFGVFMLEVTCGRRPVEPQLQVEKRHMIKWVCECWKKDSLLDATDPRLGGEFIAEEVEMVMKLGLLCSNIVPESRPTMEQVVLYLNKNLPLPDFSPYTLGIGTFAPVLVDASSLVVSSASWSWSGPSMSSSSANHSPYACQSTDQPWGQTIDTKNSLHIIAEPEKPSPAVKTVTLPAEDHQSNNSSIGSQR >fgenesh2_kg.8__1985__AT5G60320.1 pep chromosome:v.1.0:8:19505234:19507258:1 gene:fgenesh2_kg.8__1985__AT5G60320.1 transcript:fgenesh2_kg.8__1985__AT5G60320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MTT6] MASERLHLILLVIFNHLISLLSQQEEAGFVYNGFGQAETDFHLDGAAKILFPDGLLQLTNASSQQMGHAFFKKPFKFDSFDRKLSFSTHFVCALVPKPGADGGHGIAFVVSSSIDFTQADPTQYLGVFNISTNGSPSSQLLAIELDTVESAEFDDIDKNHVGIDINSLNSVESASASYFSDTKGKNQSIKLLSGDPLQVWVDYEGTLLNVTVAPLSIQKPNHPLLSRSINLTEIFLDRTLFFGFSASTGSLVSYQYILGWSFSRNRMLLQRLDFSKLPQIPHPRAKKKQTSPLLIALLVLIALIMLAVLGGVYLYRRKKYAEVREAWEKEYGPHRFSYKSLYKATKGFDKDGRLGKGGFGEVYRGNLPRVGDIAVKRVCHDAKQGMKQFVAEVVTMGSLKHRNLVPLLGYCRRKGELLLVYEYMCNGSLDQYLFHRKNPALSWPQRLVILKDIASALSYLHTGANQVVLHRDIKASNVMLDSNFNGRLGDFGMARFEDYGDSLPATAAVGTMGYMAPELTTMKTCTRTDVYAFGAFMLEVSCGRRPLDPKISAEKRHLIKWVCDCWRRDSLVDAIDRRLGGKYSAEEAEIVLKLGLLCTNMVAESRPTMEQVVQYIYWNLPLPNFSPESPGIGVSAPSTLAPSTSPPSRNSIFVTHTITYRDGR >fgenesh2_kg.8__1986__AT5G60330.1 pep chromosome:v.1.0:8:19507565:19508084:-1 gene:fgenesh2_kg.8__1986__AT5G60330.1 transcript:fgenesh2_kg.8__1986__AT5G60330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MTT7] MEKRGFTFTSILVHSLWITLTGILLFTLSTTKNHNFGYTKGYNLMWIVQSPKSKEKEKENHHTNVATTTPTTTTSCCSSPLSSLQVLKKGDVDEYEIRIVIGADGPRSPKDGSSATPLGMLKEIEQGRNNTKLLTNGSHVAA >fgenesh2_kg.8__1987__AT5G60340.1 pep chromosome:v.1.0:8:19508334:19510594:-1 gene:fgenesh2_kg.8__1987__AT5G60340.1 transcript:fgenesh2_kg.8__1987__AT5G60340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase isoenzyme 6 homolog [Source:UniProtKB/TrEMBL;Acc:D7MTT8] MARGNNGTRRPKPNLLITGTPGTGKSTTASALAEATNLRYICVGDLVKEKNLHDGWDNEFGCHIINEDLVCDELEDVMVEGGNIVDYHGCDFFPQRWFDRVVVLRTENSIFCFVTLAGSDCVLNQSRGYSGTKLSNNLECELYQILLEEACDSYEEEIVTALQSVRQNDSTRAIATEKSAESFCLTVFPTGLLVLRSFSSVASKTLLKVGDVLRETRVFSSEDVKAYAEVSHDWNPLHFDPESARKAGFENRLVHGMLVSSMFPRIISAQFPGAVYVSQSLHFRSPVYVGDEILGLVQATALRETKNKYIVKFSTKCFENHNELVVIDGEATAILPNLEMLHNLKS >fgenesh2_kg.8__1988__AT5G60360.3 pep chromosome:v.1.0:8:19510952:19513443:1 gene:fgenesh2_kg.8__1988__AT5G60360.3 transcript:fgenesh2_kg.8__1988__AT5G60360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKTVLSSVVLVILIAASAAADIGFDELNPIRMVSDGLREVEETVSQILGQSRHVLTFARFTHRYGKKYQNVEEMKLRFSIFKENLDLIRSTNKKGLSYKLGVNQFADLTWQEFQRTKLGAAQNCSATLKGSHKLTEAALPETKDWREDGIVSPVKDQGGCGSCWTFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAYNNYGCNGGLPSQAFEYIKSNGGLDTEEAYPYIGKDGTCKFSAENVGVQVLDSVNITLGAEDELKHAVGLVRPVSIAFEVIHSFRLYKSGVYTDSHCGSTPMDVNHAVLAVGYGVEDGVPYWLIKNSWGADWGDKGYFKMEMGKNMCGKYCYMCIVPRCGLR >fgenesh2_kg.8__198__AT5G45960.1 pep chromosome:v.1.0:8:1311939:1315157:1 gene:fgenesh2_kg.8__198__AT5G45960.1 transcript:fgenesh2_kg.8__198__AT5G45960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7MSP3] MRNHHTHSSSSFSFIIFLFLFFISFSFSTSKLEPAKPKRKHSVSAILVFGDSTVDPGNNNYIDTIFKCNFPPYGQDFKNKIPTGRFCNGRLVTDFIASYIGVKENVPPYLDPNLGVNELISGVSFASAGSGYDPLTPTITNVIDIPTQLEYFREYKRKLEIKMGKQKMEKHIEEALFCVSAGTNDFVINYFTIPIRRKTFTVEAYQQFVISNLKQFIQGLWKEGARKITVAGIPPIGCLPIVITLFSGEALTNRRCIDRFSTVATNYNFLLQNKLGLMQMSLAHLGSKIFYLDVYNPVYEVIHDPRKFGFKEVFSGCFGSGYLEASFLCNPKSYVCSNTSAYVFFDSIHPSEKTYFNLFRSLRPIYDSILGCF >fgenesh2_kg.8__1991__AT5G60370.1 pep chromosome:v.1.0:8:19513900:19516052:1 gene:fgenesh2_kg.8__1991__AT5G60370.1 transcript:fgenesh2_kg.8__1991__AT5G60370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPSESLTTTPPTKPAESETVAISSPQSHHVCPEIPIEIISEEEMAILDAALAATRSIFPSAIRSASPSRILAGENPKMIRSITLFSKRKLSACSDIEESYLHRFRRNQALGVTDLTGTEWCEKQMENVLCFGRRKVNKAMKVGQARHLQLEEEVVRKVRVRVESNEDKWALKLLNSIAGVNQFLFEGRTRELLLLGFVGGQWIVGIIDELRKAYAEESSDSGPILIDTKTRLRDTLPAEPQRRNGRLQLMLYKLLWDTIVKEGFPTGPFFDYFSLNRHYILSQDVRDNIANAGIQAQTLEEIVRYYENTFKMLPLANDQLLLKYEFQKDQSIIAEIRFNHDHEWVMRKYREVIEFWRNEREAKYTPEEERWKCRYCQFAKSCPGNPSFESPSPSSPPREAPPPLPS >fgenesh2_kg.8__1993__AT5G60390.1 pep chromosome:v.1.0:8:19520044:19522252:1 gene:fgenesh2_kg.8__1993__AT5G60390.1 transcript:fgenesh2_kg.8__1993__AT5G60390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-alpha [Source:UniProtKB/TrEMBL;Acc:D7KHX1] MGKEKFHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGMIKPGMVVTFAPTGLTTEVKSVEMHHESLLEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSEILTKIDRRSGKEIEKEPKFLKNGDAGMVKMTPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKVTKAAVKKGAK >fgenesh2_kg.8__199__AT5G45950.1 pep chromosome:v.1.0:8:1319546:1322304:-1 gene:fgenesh2_kg.8__199__AT5G45950.1 transcript:fgenesh2_kg.8__199__AT5G45950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7MSP4] MLLVAFVTLLVAVALQPLTSVVALDVHLLRQLAAKHNVTSILVFGDSSVDPGNNNFIKTEMKGNFPPYGENFINHKPTGRLCDGLLAPDYIAEAMGYPPIPAFLDPTLTQADLTRGASFASAGSGYDDLTANISNVWSFTTQANYFLHYKIHLTKLVGPIESSKMINNAIFLMSMGSNDFLQNYLVDFTRQKQFTVEQYIEFLSHRMLYDAKMLHRLGAKRLVVVGVPPMGCMPLIKYLRGQKTCVDQLNQIAFSFNSKIIKNLELLQSKFGLKTIYVDVYSAIQEAIKNPKKFGFAEASLGCCGTGTYEYGETCKDMQVCKDPTKYVFWDAVHPTQRMYQIIVKKAIASISEEFLV >fgenesh2_kg.8__2000__AT5G60400.3 pep chromosome:v.1.0:8:19522648:19523430:-1 gene:fgenesh2_kg.8__2000__AT5G60400.3 transcript:fgenesh2_kg.8__2000__AT5G60400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTTLSGNYGFPLCISGITQQLSLSKEMADHDKRRKVIQRKRRSGGKHECMSHGEDDAERLQEMRENKDVRDLVDLLQDLGLWSFSSHTAKAA >fgenesh2_kg.8__2005__AT5G60410.5 pep chromosome:v.1.0:8:19528409:19534766:1 gene:fgenesh2_kg.8__2005__AT5G60410.5 transcript:fgenesh2_kg.8__2005__AT5G60410.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSIZ1/SIZ1 [Source:UniProtKB/TrEMBL;Acc:D7MTU4] MDLEATCKDKLSYFRIKELKDVLTQLGLSKQGKKQELVDRILTLLSDEQAAKVWSKKNTVAKEEVAKLVDDTYRKMQVSGASDLASKGQVSSDTSNLKVKGEPEDPFQPEIKVRCVCGNSLETDSMIQCEDPRCHVWQHVGCVILPDKPMDGNPPLPESFYCEICRLTRADPFWVTVAHPLCPVRLTATTIPNDGTNTMQSVERTFQITRADKDLLAKQEYDVQAWCMLLNDKVLFRMQWPQYADLQVNGLPVRAINRPGSQLLGVNGRDDGPIITPCIRDGVNRISLSGGDVRIFCFGVRLVKRRTLQQVLNLIPEEGKGETFEDALARVRRCIGGGGGDDNADSDSDIEVVADFFGVNLRCPMSGSRIKVAGRFLPCVHMGCFDLDVFVELNQRSRKWQCPICLKNYSVEHVIIDPYFNRITSEMKHCDEEVTEIEVKPDGSWRVKSKRESERRELGELSQWHAPDGSLYPSAVDIKRKMEMLPVKQEGFSDGPAPLKLGIRKNRNGIWEVSKPNTNGLSSSNRQEKVGYQEKNVIPMSSSATGSGRDGDDASVNQDAIGTFDFVANGMELDSISMNVDSGYNFPDRNQSGEGGNNEVIVLSDSDDENDVVITPGPAYSGCQTDGGLTFPLNPSGIINSYNEDPHNIAGGSSRLGLFNDDDEFDTPLWSFPSETPEAPGFQLFRSDADVSEGLVGLHNHGPLNCAPEINGGYTMAPETSMASVPVVPGSAGRSEANDGLVDNPLAFGRDDPSLQIFLPTKPDASAQSGFKNQADMSNGIRSEDWISLRLGDSASGNHRVPATANGVSSSQQMSPREGSLDTTTDTASLLLGMNGSRQDKAKKQRSDNPFSFPRQKRSVRPRMFLSIDSDSETMNGIIRQDTGGYQDLLDSLCAGKN >fgenesh2_kg.8__2008__AT5G60450.1 pep chromosome:v.1.0:8:19539545:19543975:-1 gene:fgenesh2_kg.8__2008__AT5G60450.1 transcript:fgenesh2_kg.8__2008__AT5G60450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:D7MTU6] MEFDLNTEIAEVEEEENDDVGVGGTRIDRGRLGISPSSSSSCSSGSSSSSTGSASSIYSELWHACAGPLTCLPKKGNVVVYFPQGHLEQDAMVSYSSPLEIPKFDLNPQIFCRVVHVQLLANKETDEVYTQVTLLPLQEFSMLNTEGKEVKELGGDEERNVSSSVKRTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFAPLDYKQQRPSQELIAKDLHGVEWKFRHIYRGQPRRHLLTTGWSIFVSQKNLASGDAVLFLRDEGGELRLGIRRAARPRNGLPDSIIEKNSCSNILSLLANAVSTKSMFHVFYSPRATHAEFVIPYEKYITSIRNPICIGTRFRMRFEMDDSPERRCAGVVTGVCDLDPYRWPNSKWRCLLVRWDESFVSDHQERVSPWEIDPSISLPHLSIQSSPRPKRPWAGLLDTTPPGNPITERGGFLDFEESVRPSKVLQGQENIGSASPSQGFDVMNRRILDFAMQSHASPVLLSSRVKDRFGEFVDATALNPACSGVMDLDRFPRVLQGQEICSLKSFPQFAGFSPAVASGKPNLGYTDPFAYQANKSSFYPLALQGIRSTHVPYQNPYNAGNQSSGHPSRAINFGEETRKFDAQNGGGLPNNVTADLPFKIDMMGKQKGSDFDMNASSGCKLFGFSLPVETPASNPQSSSKRICTKVHKQGSQVGRAIDLSRLNGYDDLLTELERLFNMEGLLRDPEKGWRILYTDSENDMMVVGDDPWHDFCNVVWKIHLYTKEEVENANDDNKSCLEQAAIMMEASKSSSVSQPDSSPTITRV >fgenesh2_kg.8__2011__AT5G60490.1 pep chromosome:v.1.0:8:19555175:19556042:-1 gene:fgenesh2_kg.8__2011__AT5G60490.1 transcript:fgenesh2_kg.8__2011__AT5G60490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSLIILLFTVLVLLTTTPGTLSQPSPAVAPTPPGPTNVTKILEKAGQFTVFIRLLKSTGVANQLYGQLKNSDNGITIFAPSDSSFSGLKAGTLNSLTDEQQVELIQFHVIPSYVSSSNFQTISNPLRTQAGDSADGHFPLNVTTSGNTVNITTGVTNTTVSGNVYSDGQLAVYQVDKVLLPQQVFDPRPPAPAPAPSVSKSKKKKEDSDSSGDDTPADASFASRNVGSLRDAVSFCFMSALLGWFYL >fgenesh2_kg.8__2012__AT5G60510.1 pep chromosome:v.1.0:8:19558018:19561015:1 gene:fgenesh2_kg.8__2012__AT5G60510.1 transcript:fgenesh2_kg.8__2012__AT5G60510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl transferase [Source:UniProtKB/TrEMBL;Acc:D7MTV0] MNNTREEVGEFTQIFNALMSLMRTFIFKVLRVGPIPTNISFIMDGNRRFAKKRNLEGLDAGHRAGFISVKYILQYCKEIGVPYVTLYAFGMDNFKRGPEEVKCVMDLMLEKVELTIDQAVSGNMNGVRIIFAGDLNSLNERFRAATQKLMKLTEENRDLIVVVCVAYSTSVEIVHAVRDSCVRKSKIGDGSVALELSDIEECMYTSVVPVPDLVIRTGGGDRLSNFMTWQTSKSLLHRTEALWPELGLWHLVWAILKFQRMQDYLQKKKKFH >fgenesh2_kg.8__2016__AT5G60540.1 pep chromosome:v.1.0:8:19566620:19568712:-1 gene:fgenesh2_kg.8__2016__AT5G60540.1 transcript:fgenesh2_kg.8__2016__AT5G60540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPDX2/EMB2407/PDX2 [Source:UniProtKB/TrEMBL;Acc:D7MTV1] MTVGVLALQGSFNEHIAALRRLGVQGVEIRKADQLLTVSSLIIPGGESTTMAKLAEYHNLFPALREFVKMGKPVWGTCAGLIFLADRAVGQKEGGQELVGGLDCTVHRNFFGSQIQSFEADISVPQLTSQEGGPETYRGVFIRAPAVLDVGPDVEVLADYPVPSNKVLYSSSTVQIQEEDALPETKVIVAVKQGNLLATAFHPELTADTRWHSYFIKMTKEIEQGASSNSSNTVVSVGETSAGPEPAKPDLPIFQ >fgenesh2_kg.8__2019__AT5G60570.1 pep chromosome:v.1.0:8:19576646:19577904:1 gene:fgenesh2_kg.8__2019__AT5G60570.1 transcript:fgenesh2_kg.8__2019__AT5G60570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MTV4] MAAEEHSNKRREVSASASVVVNLMVGEENDGHRLRLGSTDSLLPGLIDDVALNCLAWVPRSDYPSLSCVNKKYNKLINDGHLFALRKELGIVEYLVFMVCDPRGWLMFSPMKKKWMVLPKMPCDDCFNLADKESLAVDDELLVFGRELFQFVIWKYSLRSRCWVKCEGMHRPRCLFASGSLGGIAIVAGGTDMNGNILASAELYDSSSGRWEMLPNMHSPRRLCSGFFMDGKFYVIGGMSSPNVSVTFGEEFDLETRKWRKIEGMYPNVNRAAQAPPLVVVVNNELFTLEYSTNMVKKYDKVKNKWEVMGRLPPMVDSSNGWGLAFKPCGDQLLVFCGQRGPHGEGIVVNSWCPKAGAKDGNLDWKVLGVKENVGVFVYNCAVMGC >fgenesh2_kg.8__201__AT5G45940.1 pep chromosome:v.1.0:8:1335527:1336585:1 gene:fgenesh2_kg.8__201__AT5G45940.1 transcript:fgenesh2_kg.8__201__AT5G45940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTTTDSTELQNLIKRFQNYETHLRQHLPPKSSAVLVCLYQEQREDKNELRVILTKRSSTLSSHPGEVALPGGKRDEEDKDDIATALREAREEIGLDPSLVTIISVLEPFVNKKGMSVVPVIGFLLDKKAFKPLPNPAEVEEIFDVPLEMFLKDKNKRTEEREHEGERYLLQYFDYYSEDKERNFIIWALTAGILIRVASIVYQRLPEFQERKPRFWNQPS >fgenesh2_kg.8__2021__AT5G60580.4 pep chromosome:v.1.0:8:19584439:19587373:1 gene:fgenesh2_kg.8__2021__AT5G60580.4 transcript:fgenesh2_kg.8__2021__AT5G60580.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MTV5] MGTEEKPLNSLDSSHGDSSPASNQAEGSSAITEETSANVQQWRRKNLSLQIPSRAAGLSPEDSVVIKMPPTPSPTPRRVNFSLTSSSPGPTPTSSSVLPRGKSSLKNLLPKAGCKPKISNTDIEKGQGNACSPSASQEKASISRSLSLSKLFTPRIKRTSSLPVTPIILSNSESAHGGTSVAPQTPNRKGSVHIARSRSVPLNDKELSLKGMDSFFRVIPSTPRVKEGDVFSNASEAGTTETGDADGEDIPEDEAVCRICLVELCEGGETLKMECSCKGELALAHKDCALKWFTIKGNKTCEVCKQEVKNLPVTLLRIQSLRNSGVPQLDVSGYRVWQEVPVLVIISMLAYFCFLEQLLVEKMGTGAIAISLPFSCILGLLASMTASTMVLEYSYAVMRRFVWIYASVQFALVVLFAHIFYSVVKLQPVLSVLLSTFAGFGVCICGSSVMVEFVRWRRRWRARRLEQQLNQAQTPPQPLDPTSSLHHSNAS >fgenesh2_kg.8__2026__AT5G60615.1 pep chromosome:v.1.0:8:19594536:19594886:-1 gene:fgenesh2_kg.8__2026__AT5G60615.1 transcript:fgenesh2_kg.8__2026__AT5G60615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRFQLVALLVIFSLVISITAQSAEKEVMDGPCRLRGTCNNDSDCDKHCHRSTDATPMDGHCLFDKPTGPVCCCLFD >fgenesh2_kg.8__2028__AT5G60640.1 pep chromosome:v.1.0:8:19600033:19603095:-1 gene:fgenesh2_kg.8__2028__AT5G60640.1 transcript:fgenesh2_kg.8__2028__AT5G60640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:D7MTW1] MAFRVLLLFSLTALLIFSAVSPSFATSSSDDVDDEDLSFLEDLKEDDVPGADSLSSSTGLDEFEGGEDEDPDMYNDDDDEDGDFSDLGNPDSDPLPTPEIDEKDVVVIKERNFTDVIENNQYVLVEFYAPWCGHCQSLVPEYAAAATELKDDGVVLAKIDATEENELAQEYSVQGFPTILFFVDGEHKPYTGGRTKETIVTWVKKKIGPGVYNLTTLDDAEKVLTSGNKVVLGYLNSLVGVEHDQLAATSKVEDDVNFYQTVNPDVAKMFHIDPESKRPALVLVKREEEKISHYDGEFVKSALVSFVSANKLALVSVFTRETAPEIFESAIKKQLLLFATQNDSEKVLPEFQEAAKSFKGKLIFVSVDLDNEDYGKPVAEYFGVSGNGPKLIAYTGNEDPKKYFFDGEIKSDKIKTFGEDFLNDKLKPFYKSDPIPEKNDGDVKIVVGDNFDEIVLDDSKDVLLEVYAPWCGHCQALEPMYNKLAKHLRSIDSLVIAKMDGTTNEHPKAKAEGFPTILFFPAGNKTSEPITVDTDRTVVAFYKFLRKHATIPFKLEKPASTESPKTAESTPKVETTETKESPDSTTKSSQSDSKDEL >fgenesh2_kg.8__202__AT5G45930.1 pep chromosome:v.1.0:8:1336553:1338201:-1 gene:fgenesh2_kg.8__202__AT5G45930.1 transcript:fgenesh2_kg.8__202__AT5G45930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg-protoporphyrin IX chelatase [Source:UniProtKB/TrEMBL;Acc:D7MSP7] MASLLGASPSSIFTCPRLSSPSSTSSISLVCFGPGKICGRIQFNPKKNKSRYHVSVMNVATEINYVEQGKKFDSKESARPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIMVVAGDPYNSDPRDPECMGKEVREKVQKGEQLPVIETKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDSNPKEFRESYQAEQLKLQEQITTARSNLSAVQIDQDLKVKISKVCAELDVDGLRGDMVINRAARALAALQGRDQVTAEDVGIVIPNCLRHRLRKDPLESMDSGILVTEKFYKVFS >fgenesh2_kg.8__2030__AT5G60650.1 pep chromosome:v.1.0:8:19604063:19604577:-1 gene:fgenesh2_kg.8__2030__AT5G60650.1 transcript:fgenesh2_kg.8__2030__AT5G60650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLTHSLFFFFSCLSMLLCVSIAGSRPVHGPAYTNPSAFSPEAYDFFHPKSSLSDHNNPPKNSPSSPSPSPSKTSIVEPDSQGSKVSSDEHTTSESSRREEEGRGETIGVVLGLSFVAFLSLGIYFVIKKQRANMIRTIVTHSDA >fgenesh2_kg.8__2032__AT5G60670.1 pep chromosome:v.1.0:8:19613296:19613958:-1 gene:fgenesh2_kg.8__2032__AT5G60670.1 transcript:fgenesh2_kg.8__2032__AT5G60670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MTW5] MPPKLDPSQIVDVYVRVTGGEVGAASSLAPKIGPLGLAPKKIGEDIAKETAKEWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKVKNIKHNGNISFDDVIEIAKIMRPRSMEILGTCVSVGCTVDGKDPKDLQEEINNGDIDIP >fgenesh2_kg.8__2033__AT5G60680.1 pep chromosome:v.1.0:8:19632197:19632983:1 gene:fgenesh2_kg.8__2033__AT5G60680.1 transcript:fgenesh2_kg.8__2033__AT5G60680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKSYYARPSYRFLGTDQPSYFTASDSGLEFDESDLYNPIHSDSPDFRRIISSSARSGKKPSNRPSAVAASSLPINVPDWSKILREEYRDNRRRSIEDNDDDDDNEDGGGWLPPHEFLAKTRMASFSVHEGVGRTLKGRDLSRVRNAIFEKIGFQD >fgenesh2_kg.8__2034__AT5G60690.1 pep chromosome:v.1.0:8:19643856:19648830:1 gene:fgenesh2_kg.8__2034__AT5G60690.1 transcript:fgenesh2_kg.8__2034__AT5G60690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAVANHRERSSDSMNRHLDSSGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECSILANIEPKQIKVWFQNRRCRDKQRKEASRLQSVNRKLSAMNKLLMEENDRLQKQVSQLVCENGYMKQQLTTVVCNDPSCESVVTTPQHSLRDASSPAGLISIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQRCSGVAARACGLVSLEPMKIAEILKDRPSWFRDCRSLEVFTLFPAGNGGTIELVYMQTYAPTTLAPARDFWTLRYTTSLDNGSFVVCERSLSGSGAGPNAASASQFVRAEMLSSGYLIRPCDGGGSIIHIVDHLNLEAWSVPDVLRPLYESSKVVAQKMTISALRYIRQLAQETNGEVVYGLGRQPAVLRTFSQRLSRGFNDAVNGFGDDGWSTMHCDGAEDIIVAINSTKHLNNISNSLSFLGGVLCAKASMLLQNVPPAVLIRFLREHRSEWADFNVDAYSAATLKAGTFAYPGMRPTRFTGSQIIMPLGHTIEHEEMLEVVRLEGHSLAQEDAFMSRDVHLLQICTGIDENAVGACSELIFAPINEMFPDDAPLVPSGFRVIPVDAKTGDAQDLLTANHRTLDLTSSLEVGPSPENASGNSSSSSSSSRCILTIAFQFPFENNLQENVAGMACQYVRSVISSVQRVAMAVSPSGISPSLGSKLSPGSPEAVTLAQWISQSYSHHLGSELMTIDSLGSNDSVLKLLWDHQDAILCCSLKPQPVFMFANQAGLDMLETTLVALQDITLEKIFDESGRKALCSDFAKLMQQGFACLPSGICVSTMGRHVSYEQAVAWKVFAASEDNNNNLHCLAFSFVNWSFV >fgenesh2_kg.8__2036__AT5G60720.1 pep chromosome:v.1.0:8:19666675:19670832:-1 gene:fgenesh2_kg.8__2036__AT5G60720.1 transcript:fgenesh2_kg.8__2036__AT5G60720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSSLNLLHYHYLPPDFNHSLRFCLTAPSSSRWSSPDDYCSILAMPTTTLPGSISPFNVPHSVRTKKLNGQQKKEEIEKEVWMLREMLDQEEKTREILEQVQKHQLPSSSSSITLPPSLPPKMKELITELSIVEGEISRLEVQISHLQINLKQEQDETLKQATTNSSRRAWQTSESYNDDNITPYQAPTLPKYPKLSPPSPMVNKGIMKSENNNTKSTTSHHQENATFGTKTLHFINKAIKGDYATESFRKSNEKVGIVEKENHRLVQHENKLQENMKMKKIRTMKSPSPLREPRYSSPNKPNKDRVAALDVSLDIPPKSLSSTILMEDGQNIQKWHPNKLAESIMKCLNFIYVRLLRTTRVMELEKTGPISRSTNFSLSSRSFRVDNATSSLSKSMNLMSSKESRQQDPYGIFDVEASLARDIGPYKNLVIFTSSSMDSKCISSSSSVSLIQKLRVLMNNLETVDLKVLSHQQKLAFWINMFNACVMHGYLQHGVPKTAEKLQSLVYNKATMNVGGKNISAHTIEHCILRKSATSTMSQDRHEEMTIRKLYGVEATDPNITFALSCGTRSSPAVRIYTGEGVTTELEKSKLEYLQASVVVTAAKRIGLPELLLKHAADFVVPRVDGGGSIGEIEQLGPLVKWVCNQLPTSGSLRKSMVDCFKNPNSKASSSSSAVVEKISYDFEFQYLLAI >fgenesh2_kg.8__2037__AT5G60730.1 pep chromosome:v.1.0:8:19671400:19674071:1 gene:fgenesh2_kg.8__2037__AT5G60730.1 transcript:fgenesh2_kg.8__2037__AT5G60730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anion-transporting ATPase family protein [Source:UniProtKB/TrEMBL;Acc:D7MUD3] MAALLLLNRVSRTTSSISLHRVAGALGFNSLNAQIHGGRISGTLFRVRSLATLAEGASRFDEMVSVNQRKFYLLGGKGGVGKTSCAASLAVKFASHGHPTIVVSTDPAHSLSDSFSQDLSGGVLKPVQGVDSPLLALEITPEIMKDEIKRQTGDKSVKNMMDSMGLGMFAGELGDLNLEDMLNAASPGIDEIAAISKVLQFMEAPEYSRFTRIVFDTAPTGHTLRLLSLPDFYDSSISKITKLKKKITAAASAFKSVFGKKEIQQKELPNELDQLKERMEKVRNVFRDANTTEFVIVTIPTVMAINESSRLHASLRKENVPVHRLIVNQLLPQSESDCKFCSMRRKEQTRVLGLIQNDTELSGLKLIQSPLLDAEIRGVPALKFMGDLIWK >fgenesh2_kg.8__2039__AT5G60750.1 pep chromosome:v.1.0:8:19680784:19683372:1 gene:fgenesh2_kg.8__2039__AT5G60750.1 transcript:fgenesh2_kg.8__2039__AT5G60750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:UniProtKB/TrEMBL;Acc:D7MUD5] MLTSSCSSSSLLRHRPALSSSRSKFRVPRRTVFSPALTKISPLTASSPSKSAKHKWKILCFRNEDSAPENSEHFVPEELVKPDQDSPCTDKKDWKATLQKAADTVLKAIGTRWKVPWTVETIVQVMLLWVAAFWFIGSWMIPFMAHISGFHKESLTFRGQALFSLITDVTEGLAGIAILHRCLSMFRPLASDWFRFTLKGNWQLDVIIGCFMFPFVNRLSQLNLNLLPLPPTSSPVSLSSVEQSIMARDPVAMALYAVVVSICAPVWEEIVFRGFLLPSLTRYMPVWCAILVSSVAFALAHFNVQRMLPLVFLGVVLGLIFARSRNLLPSMLLHSLWNGFVFMELMR >fgenesh2_kg.8__203__AT5G45920.1 pep chromosome:v.1.0:8:1341774:1345200:-1 gene:fgenesh2_kg.8__203__AT5G45920.1 transcript:fgenesh2_kg.8__203__AT5G45920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MSP9] MMRQKIFLFGDSITEESFSDGGWGASLADLLRRKADMVLRGYSGYNTRWALKVVERVFPAAEEDGRDSPVAVTVFFGANDACMPERCSGFQHVPLDEYKQNLRSIISFLKNRWPKTAIILITPPPIDEEARLRYPYIENTTGLPERTNEVAGRYAKACIAVAEEYQISVIDLWSKMQQIPNWQTECLWDGLHLSRVGNKVVFEEVAKKLKEEGIGAEDLAVDLPLIEDVDPKDPLNAFDEF >fgenesh2_kg.8__2040__AT5G60760.1 pep chromosome:v.1.0:8:19692000:19696076:1 gene:fgenesh2_kg.8__2040__AT5G60760.1 transcript:fgenesh2_kg.8__2040__AT5G60760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETTKVMYIVVVDGVDTAAATVEEDGTGNWKDSFRYTRPVLQSTLQLMGCKARHAFKISRRVFELIRSEGSLILSPSLSPTHSEESEFQKRGDTSACANVEKANKVNSLATDDVDKSKSKPFEVYKRRTTVVVSRDIFVNVVCDALAEYKYVGHDQRADLILACRIRERKESVTVLLCGTSGCGKSTLSALLGSRLGITTVVSTDSIRHMMRSFVDEKQNPLLWASTYHAGEYLDPVAVAESKAKRRRAKRLDSIENEKAKASEGVKANNTQQTDVGSKSTTPVLLSPKQMAVEGFKAQSEMVIDNLDRLITAWEEKNESVVVEGVHLSLNFVMGLMKKHPSIVPFMVYIANEEKHLERFAVRAKYMTLDPEKNKYVKYIRNIRTIQDYLCKRADKHLVPKINNTNVDKSVAAIHATVFSCLRRREAGEQLYDATTNTVSVIDDEYRNQCTANSLSSKGMFQLIQRKGSSRHLMALLNTDGTFARTWPVSGKVDESGKPVFCNEMMEENGMEHPVYGYLQKAEPVNLQFGLFGISAWPSDGATSRAGSVDDSRADVAETSSRYYSSCCSSPRMSEGTSKELKEDQSVHGSDEEVEDDPPEPDTDFSDDDNKRDHDEVGSVDEQSTKSDEEYDDLAMEDKNYWTDDEEESRDTIAIMSEKKHKQATKEDKYIQNLDLFLRTANQQLVEPLQLCASLLTCENGNTRLWLGKEKMRKRSLSISAIGKHGSGLGDAILLGAP >fgenesh2_kg.8__2044__AT5G60800.1 pep chromosome:v.1.0:8:19716804:19718509:-1 gene:fgenesh2_kg.8__2044__AT5G60800.1 transcript:fgenesh2_kg.8__2044__AT5G60800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKNEGDNKKKGGDNKKKNETPSITVVLKVDMHCEGCASRIVKCVRSFQGVETVKSESATGKLTVTGALDPVKLREKLEEKTKKKVDLVSPQPKKEKEKENNKDKNKNDEDKKKSEEKKKPDNNEKKPKETPVTTAVLKLNFHCQGCIGKIQKTITKTKGVDGLTMDKEKNLVTVKGTMDVKKLVESLSEKLKRQVEIVPPKKEKENGNETGEKKKGGGGDGGGKEKSGNKGGGEGVNMMEYMAAQPAYGYGYYPGGPYGYPIQAHAPQIFSDENPNACVVM >fgenesh2_kg.8__2046__AT5G60830.1 pep chromosome:v.1.0:8:19738752:19739428:1 gene:fgenesh2_kg.8__2046__AT5G60830.1 transcript:fgenesh2_kg.8__2046__AT5G60830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7MUE4] MESSSVHRSHCFDILDGVPLQDDHFSSAFLPNTDFNVQLNSISTRNNNQSHLDPNAENIFHNEGLAPEERRARRMVSNRESARRSRMRKKKQIEELQQQVEQLMMLNHHLHEKVINLLESNHQILHENSQLKEKVSSFHLLMADVLLPMRNAESNINDRNVNYLRGETSNRPTNSSFGNDYYTSNYLNLYVDNG >fgenesh2_kg.8__2052__AT5G60870.1 pep chromosome:v.1.0:8:19761076:19763126:-1 gene:fgenesh2_kg.8__2052__AT5G60870.1 transcript:fgenesh2_kg.8__2052__AT5G60870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein [Source:UniProtKB/TrEMBL;Acc:D7MUF0] MAALSNRLRIFTRRFSSTRTTQRSSGGATTKVPTLYTSPDIDSDPITLQLFSWGRGASGQLGGGIEEIRMYPSPVANLLLRSDQSFSLAQTPGRIDAESSSFPIGISCGLFHSGLTIDGDLWIWGKGDGGRLGFGQENSVFVPNLNPLFEEHSIRCIALGGLHSVALTHQGDVFTWGYGGFGALGHKVYTRELVPRCVDGSWDCKISAIATSGTHTAAITESGELYMWGREEGDGRLGLGPGRGPNEGGGLSVPSKVKALTVPVASVSCGGFFTMALTKEGQLWNWGANSNYELGRGDNLGGWEPLPVPSLDSVRITQIACGGYHSLALTKEGKVLSWGHGGHGQLGNSSLRNQKIPTEIEALADKKIVFIACGGSSSAAITDGGELWMWGNAKDFQLGVPGLPEIQTTPVEVNFLTEEDERQPHKVISVSIGASHALCLVSRSH >fgenesh2_kg.8__2056__AT5G60890.1 pep chromosome:v.1.0:8:19774584:19780792:1 gene:fgenesh2_kg.8__2056__AT5G60890.1 transcript:fgenesh2_kg.8__2056__AT5G60890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPCCKEEGIKKGAWTPEEDQKLIAYLQLHGEGGWRTLPEKAGLKRCGKSCRLRWANYLRPDIKRGEFSPEEDDTIIKLHALKGNKWAAIATSLAGRTDNEIKNYWNTNLKKRLKQKGLDPITHKPINSTGKTGLEPKTNKPVYSSGSARLLNRVASKYAVELNRDLLTGIISGNSAVAEDSQNSGDVDSPTSTLLNKMAATSVLINTTSTFSGFSDNCSFTDDFNEFFSNEEISDMYTTVDNFGIMEELKGILSYGGAGAGVIEDSPEVNVADAMDFIGSWNEDDNMVGVFV >fgenesh2_kg.8__2057__AT5G60900.1 pep chromosome:v.1.0:8:19781830:19784909:-1 gene:fgenesh2_kg.8__2057__AT5G60900.1 transcript:fgenesh2_kg.8__2057__AT5G60900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSCLIIYLVLVLQLQTFFVFSQNIRNGSVPVGESLTASESQQISSSWRSPSGDFAFGFRKIQPNDGFTLSIWFDKISDKTIVWHAQAINTPTGLVPDGSKVTLTADGGLVITDPRGQELWRSLRGGSVSRGRLTDEGNFVLFRDGSEDSDVVLWSTFENPTDTLLPNQNIEVGSNLSSRRTETSFKKGRFSLRLGDDGNLQLLTLNAETVSELDKYFHYYESNTNDPNNPGIRLVFNQSGYMYVLQRNSSRFVVKERDPEFSSDFYRRAVLHFDGGQENSGHDDALGNTACGYNNICSLGNKQRPKCECPERFVLKDPSNEYGDCLPDFEMHTCRPENNKTANSDVNLYEFITLEKTNWPFGDYESYASYDEERCKAACLNDCLCAAVVFGTNRDLKCWKKKFPLSHGERAPRGDSDTFIKVRNRAIADGPITGKRTKKLDRVFTYGELAAATGDFTEELGRGAFGIVYKGFIKVAGDSQVTVAVKKLDRLDQDNEKEFKNEVKVIGRIHHKNLVRLIGFCNEGQSQMTVYEFLPQGTLANFLFRRPRTSWEDRRNIAVGIARGILYLHEECSEQIIHCDLKPQNILLDEYYSPRISDFGLAKLLMMNQTYTLTNIRGTKGYVAPEWFRNSPITSKVDVYSYGVMLLEIVCCKKAVDLEDNVILIDWAYDCFRHGRLEDLTEDDSEAMDDMETVERYVKIAIWCIQGELRMRPNMRNVTQMLEGVTQVHDPPNPSPYITFTCADDSMSSGSVSLV >fgenesh2_kg.8__2060__AT5G60920.1 pep chromosome:v.1.0:8:19796916:19799906:-1 gene:fgenesh2_kg.8__2060__AT5G60920.1 transcript:fgenesh2_kg.8__2060__AT5G60920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFFSRSTSIVSKLSFWALWIVFLISSSSFTSTEAYDALDPEGNITMKWDVMSWTPDGYVAVVTMFNFQKYRHIQSPGWTLGWKWAKKEVIWSMVGAQTTEQGDCSKYKGNIPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVMNSWVQDPATAASSFQISVGAAGTTNKTVRVPRNFTLLGPGPGYTCGPAKIVRPTKFVTTDTRRTTQAMMTWNITCTYSQFLAQRTPTCCVSLSSFYNETIVGCPTCACGCQNNKTESGACLDPDTPHLASVVSPPTKKGTVLPPLVQCTRHMCPIRVHWHVKQNYKEYWRVKITITNFNYRLNYTQWNLVAQHPNLDNITQIFSFNYKSLTPYAGLNDTAMLWGVKFYNDFLSEAGPLGNVQSEILFRKDQSTFTFEKGWAFPRRIYFNGDNCVMPPPDSYPFLPNGGFRLQFSFFAAVLLPVLIFFFFSA >fgenesh2_kg.8__2062__AT5G60940.1 pep chromosome:v.1.0:8:19808930:19811940:1 gene:fgenesh2_kg.8__2062__AT5G60940.1 transcript:fgenesh2_kg.8__2062__AT5G60940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7MUF8] MGNSGDLEQALQDGNIFRQLNALIVAHLRHHNLSQVASVVASATMTPLYIEVPPNRLLELVAKGLAAENNGTLRGVSSSVLLPSPYGSITTPRTASIDFSVTHAKGSSKTIPKHESKTLSEHKSVVRCARFSPDGMFFATGGADTSIKLFEVPKVKQMISGDTQARPLIRTFYDHAEPINDLDFHPRSTILISSAKDNCIKFFDFSKTTAKRAFKVFQDAHNVRSVSFHPSGEFLLAGTDHPIPHLYDVNTYQCFLPSNFPDSGVSGAINQVRYSSTGSIYITASKDGAIRLFDGVSAKCVRSISNAHGKSEVTSAVFTKDQRFVLSSGKDSTVKLWEIGSGRMVKEYLGAKRIKLRSQAIFNDTEEFVISIDEASNEVVTWDARTGDKVAKWPSNHNGAPRWIEHSPDESVFVTCGTDRSVRLWKESV >fgenesh2_kg.8__2065__AT5G60960.1 pep chromosome:v.1.0:8:19812997:19814751:-1 gene:fgenesh2_kg.8__2065__AT5G60960.1 transcript:fgenesh2_kg.8__2065__AT5G60960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MUF9] MPPSLPSLQLRRLLLRTFISSSSSVNTLQAQSRLISSKPSFSPLPPSRSSTFSTFPSRLFSSETNAESETLDSNEIALSFSKELTGNPDAESHAISQRFNLSFSHITPNPDLILQTLNLSPEAGRAALGFNEWLDSNSNFSHTDETVSFFVDYFGRRKDFKGMLEIISKYKGIAGAKTLESAIDRLVRADRPKQVAAFFEKMENDYGLKRDRDSLTLVVKKLCEKRHASIAEKMVKNTANDIFPDENICDLLISGWCTAEKLDEATRLAGEMSRGGFEIGTKAYNMILDCVCKLCRKKDPFKLQPEVEKVLLEMEFRGVPRNTETFNVLINNLCKIRRTEEAMTLFARMGEWGCQPDAETYLVLIRSLYQAARIGEGDEMIDKMKSAGYGEFLNKKEYYGFLKILCGIERLEHAMSVFKSMKANGCKPGIKTYDLLMGKMCANNQLTRANGLYKEAAKKGIAVSPKEYRVDPRFLKKKTKEVDSNVKKRETLPEKTARKKKRLKQINMSFVKKPHNKMRRRM >fgenesh2_kg.8__2066__AT5G60970.1 pep chromosome:v.1.0:8:19820323:19821829:-1 gene:fgenesh2_kg.8__2066__AT5G60970.1 transcript:fgenesh2_kg.8__2066__AT5G60970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQQRPSSVSSSSRQWTSAFRNPRIVRVSRTFGGKDRHSKVCTVRGLRDRRIRLSVPTAIQLYDLQDRLGLSQPSKVIDWLLEAAKDDVDKLPPLQFPHGFNQMYPNLIFGNSGFGESPSSASSTAFPGTNLGFLENWDLGGSSRTRSRLTDTTTTQRESFDLDKGKWIKHDENSNQDHQGFDTNHQHFPLTNPYNNTSSYYNLGHLQQSLDQSGNNVTVAISNVAANNNNNLNLPPPSSSAGDGSQLFFGPTPPAMSSLFPTYPSFLGASHHHHHVVDGAGHLQLFSTNSNTASQQQLITGNTSLIRPFHHLMSSNHDTDRHSSDNESD >fgenesh2_kg.8__206__AT5G45890.1 pep chromosome:v.1.0:8:1357160:1358825:-1 gene:fgenesh2_kg.8__206__AT5G45890.1 transcript:fgenesh2_kg.8__206__AT5G45890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated gene 12 [Source:UniProtKB/TrEMBL;Acc:D7MSQ3] MAFKHMQIFLFVAIFSSFYFSISLSRPLDNELIMQKRHIEWMTKHGRVYADVKEKSNRYVVFKSNVERIEHLNNIPAGRTFKLAVNQFADLTNDEFRSMYTGFKGVSSLSSQSQTKTTSFRYQNVSSGALPISVDWRTKGAVTPIKNQGSCGCCWAFSAVAAIEGATQIKKGKLISLSEQQLVDCDTNDFGCEGGLMDTAFEHIMATGGLTTESNYPYKGEDATCNSKKTNPKATSITGYEDVPVNDEQALMKAVAHQPVSVGIEGGGFDFQFYSSGVFTGECTTYLDHAVTAIGYGQSTNGSKYWIIKNSWGTKWGESGYMRIQKDIKDKQGLCGLAMKASYPTI >fgenesh2_kg.8__2070__AT5G61000.1 pep chromosome:v.1.0:8:19838553:19841575:-1 gene:fgenesh2_kg.8__2070__AT5G61000.1 transcript:fgenesh2_kg.8__2070__AT5G61000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSVTPDAISTVLSNPSFDSSSERPEIVVQVVDLKPIGNRYTFSANDGKTRVKAMFTPTLTPEIISGKIQNLGLIRLIDFTVNDISSKSTKYFLVNKCEAVGSVLDSDINLESKSHAEASDPKKQKLEHSPVSPVNDVKTDVCTGITLKPKQEFVAKSASQIMSEQRGNAAPAARMAMTRRVHPLVSLNPYQGNWTIKVRVTNKGVMRNYKNARGEGCVFNVELTDEEGTQIQATMFNDAARKFYDRFQLGKVYYISRGSLKLANKQFKTVQNDYEMTLNENSEVEEASSEEMFIPETKFNFVPIEELGLYVNQKELIDLIGVVQSVSPTMSIRRRTDNEMIPKRDITLADESKKTVVVSLWNDLATGIGQELLDMADKSPVVAIKSLKVGDFQGVSLSTISRSNVVINPESPEAKKLKSWFDSEGKEISMSSIGSGMSPSSKNGSRSMYTDRVLLSHITSNPSLGEEKPVFFSTRAYISFIKPDQTMWYQACKTCNKKVTEALDSGYWCEGCQKKYEECSLRYIMAVKVTDSSGETWISSFNDEAEKILGCSADDLNKLRSEGGEVNEYQTKLKEATWSSHVFRVSVSQNEYNGEKRQRVTVKGVAPLDFAAETRLLLQDISNKNKASQ >fgenesh2_kg.8__2071__AT5G61020.1 pep chromosome:v.1.0:8:19846392:19849063:-1 gene:fgenesh2_kg.8__2071__AT5G61020.1 transcript:fgenesh2_kg.8__2071__AT5G61020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionary conserved C-terminal 3 [Source:UniProtKB/TrEMBL;Acc:D7MUG7] MANPDHVSDVLHNLSIDPATKVLAPDSETKLQGAFGGNGNDFLLNGDLVEATKNGKPSLLSKDGGLTKDKGSNLKKLGYQSSAYNAKGSYGKGAYSYGYYSPAYQFPRYGYSGSYASGKTNLQYQYLTQNGRSAGTGQSYGGYMDNIYSNYGVCGPYTNGYGYGSFGYDSWKYMPNWYAVNNTYKTRNGFHGYGKENIEGLNELNRGPRAKGFSSQDGSKVMTVSSKEQRVTETENLSEDVSLLDPKDYNKIDFPETYSEAKFFVIKSYSEDDIHKSIKYSVWSSTPNGNKKLDASYNEAKQKLDGCPVFLLFSVNTSGQFVGLAEMVGPVDFNKTVEYWQQDKWIGCFPVKWHFVKDIPNSSLRHITLENNENKPVTNSRDTQEVKLEQGVKVIKIFKDHASKTCILDDFVFYENRQKIIQERKSKHLQIKKQTLVANTDKRDSSVMPKINIVKPQESTTASEDTAALGVATEVTRESKVVKENELPVEKTAVATAC >fgenesh2_kg.8__2074__AT5G61040.1 pep chromosome:v.1.0:8:19851441:19854136:-1 gene:fgenesh2_kg.8__2074__AT5G61040.1 transcript:fgenesh2_kg.8__2074__AT5G61040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWLIAATAATGYIAKQLQNVTKCKDNVLESSSEDVKPESPPGCLLSRLVRVKNANENKFRDEKMISDGETLDASTSGENLGYKETNRSDTLFGLMPEFSEIEHGNWKTSGTLAGDTQLNSSFRRNQRFRRLAKPLSSMDSCLMSRFHREQMTMEDYMTSPFPSPHVLVSRPLLVTDGTRVISKSAADSLWLSQHIILNEDNSTQSCGIPWLDSSVERRRRVGNEKTKSRKHGLGEATMLLQIGISIGIISSFMASQAEVSKVKQELKQTENLVHDLEDELEMKDSLIVKEIDIEKAADNSESISNIEAELEAELERLEINMNSSNIETRLSDMIEMEPDCEVEFAQGELRADRVKGKCLDETESNQDPSGNSTPESGNYAVSPCELSLRLHKVINSRLEQRIEELETALQESQRKVEQLVMESESKKTSWSRLWDTREVMTCKSDSKIPVAIEHTKTNLAEMQPLVMNLTGEALDAFNDSYDELMKINDDSEDYDDGDSPLEMQESGIHQEHFSSTNKSSPWSHQKDDFKVQEQELLDLFGIEDEEEESNDFESEMQKQLIKQIVEKTKQGSPVVLNAQKMLFLMEETEHNL >fgenesh2_kg.8__2077__AT5G61080.1 pep chromosome:v.1.0:8:19865044:19866319:-1 gene:fgenesh2_kg.8__2077__AT5G61080.1 transcript:fgenesh2_kg.8__2077__AT5G61080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASPPEFDCEFWSKPASNITRMALRRSKAMPALLKVELLPTCHILNDVSGRSPTLLNVEMYLFPDEKKTERYSKTLSMKNKLLILAASLLSAKTYQIRECTLILLGLKGSMLICLRQWQLATKNSLGLVPETASQIDTDFDDGDVVDMDIDTECLTPSVALKLITESQNTPSRSPEKVNRETSLPPGFEKIWTPPFVKLNIQGTSNPLSDLSGSAGIVRDQSGKWVFGYIRCHRSIPEVAAGLLAIYHGLKFLWDSGFRRIHLETTSFEIINALTTRSSLFCKRKTLLGSCKDMILKEWECDIYHISKEQNSCAEWLAKRSEEQPQELVFFEYPPRGLVDLLEKDRLAAI >fgenesh2_kg.8__2079__AT5G61120.1 pep chromosome:v.1.0:8:19872721:19876503:-1 gene:fgenesh2_kg.8__2079__AT5G61120.1 transcript:fgenesh2_kg.8__2079__AT5G61120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7MUH5] MAGLNLSKRSICETCGHQGWKDSLVTCSKCRIACEHCYCMRENSFETSTHFVCADCSMRPVQNRFTSDSIKAVPRSNRNKVRVESSNPVPKWKKIPETSKMKLISPEEVKKLSCGGSTSKSTFRVPRPVSARPPMGLTKQTAGFPRARSLNSAVVARKTNSNHLPPKQVEPLSPRTQQIRPGVMRQASKAQAVGEGSKTKVGGGAKYHGTNEICRSILSEKLLQLLPYRPALHPIWKGRIVDSATPSEFNGEFLAQPASKVRGKAYVLSKAVPVLLKVKLVPIGNLLSDLFMNRKPGLSDVEMYIFPYEKNTKRFTEERDHLFEAMRTRNAMIKFNINGTPLLIFSSKLLDKSSQIIIKMQKKTNNFLWGIFLLTKKSLALLPGTSNQTPQHFDDGDVVDNDTETLHHHHHSFNNKSLKWLFLSLIRTNHRNSGKEL >fgenesh2_kg.8__2085__AT5G61170.1 pep chromosome:v.1.0:8:19907987:19909253:1 gene:fgenesh2_kg.8__2085__AT5G61170.1 transcript:fgenesh2_kg.8__2085__AT5G61170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S19 [Source:UniProtKB/TrEMBL;Acc:D7MUI1] MATGKTVKDVSPHEFVKAYAAHLKRSGKIELPLWTDIVKTGKLKELAPYDPDWYYIRAASMARKVYLRGGLGVGAFRRIYGGSKRNGSRPPHFCKSSGGVARHILQQLQTMNIVDLDTKGGRKITSSGQRDLDQVAGRIAAAV >fgenesh2_kg.8__2086__AT5G61180.1 pep chromosome:v.1.0:8:19910880:19912142:1 gene:fgenesh2_kg.8__2086__AT5G61180.1 transcript:fgenesh2_kg.8__2086__AT5G61180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MUI2] WRPQQLLNHWRCWIQRTARFSKVGMPSLLMATLIQSLLLSHWPSTALDCHVSAKDHHQPKTEEDESAKGDFAGAKTSVWWDIENCEVPKGCDPHGVVQSIRSVLLKRNYCGPLTIYAYGDTTLSSTGVSLNHRFNLYCVVSNGSFSMFLINDDGENLTGVKDGSDKKLLVDIVLALLMGIVLIDSGSEVLRHLGPKQAQGTKRCRSFCELCNVFCSNHDLTAHLSGKRHRTNPVDALLSVIQSNKSLHGAEIAVSVSAGMKRTS >fgenesh2_kg.8__2087__AT5G61190.1 pep chromosome:v.1.0:8:19915008:19919584:1 gene:fgenesh2_kg.8__2087__AT5G61190.1 transcript:fgenesh2_kg.8__2087__AT5G61190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAEADYVKAKTSVWWDIENCEVPRGWDAHVIAQNVSSALLKMNYCGPVSISAYGDTNLIPLHHQQALSSTGVALNHIPAGVKDASDKKILVDMLLWAIDNPAPANFLLISGDRDFSNALHQLRMRRYNILLAQPPRASVPLVAAAKDVWLWTTLASGGPPLTSSESSLLFCNGRIHVSNKEVLKHPVSEQAQPSQPPGSTSKADTKDHKTRENHFPRGSPQETRKNMFQNGRGATGEPNTTCRICNVVCDSFEKFTAHLSDIRHISQAAFVGSRRVPASVSAKPIQEAVLVEPLLCKVCQISFTNKDAYKSHTYGKRHRKNLELQSGKSKNILVGPAEPSKEVLEKHKKNKKVLIEGRAKTNADFACRLCNVVCQSQIVFDSHLRGQKHANMLSQSEAMLDQAPFDSNKLQEKCVGEKDQPGETFAEPQLQSQKAHENTKCFEKHVLVDSKKLQEQAVEEKDQPSEISAQPKVIAKHVCRLCNVALIDSKKLQEKGVGEKDQPRETVVEPQFQPQNAQENNICYGKHVVMVNQSEELIYARELEEKDVREAQPKETIAEPQSQSQNTQEHTKFFEKQNEELRKICGTSESSVKELFPSTKHRVETVNKQIPNGEFLFGDIISDFEVDRGAREFSGAIVKPVKLSKGATVHSMEAAFGAGDVAQSSVSTKPMKEPEGLQPVWCQICQISCNSKVVYASHTYGKKHRQNMELQSAKNENMAKGPAKLSKDYGEKTKKVPCKNETTFDSQLKSQNHSAMIKEPAEVNFTILVFFHELGNLLLFINITNLIKNIPTRTPQEFNQEKPREALEQFIVDSRTTREETDQEKEITEEHTLVKMDDVGFRGALEDKVELKERQAVSENLVHRVFTEQNRESRIPNEPRGYLDVIPERVELPPNVNVAKNLEDEPEHKPEPKAQEPKNKSAGRKDHPGEAAKREENKGQADNFWTRLWGKKV >fgenesh2_kg.8__2091__AT5G61220.1 pep chromosome:v.1.0:8:19925509:19926286:-1 gene:fgenesh2_kg.8__2091__AT5G61220.1 transcript:fgenesh2_kg.8__2091__AT5G61220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 family protein [Source:UniProtKB/TrEMBL;Acc:D7MUI7] MVSRSEVLSLCRALLRAGRQYPDYNIREYTKRRTLDGFRMNKNLTDPSKVNEAYAEAKAQLVVAERVLKVYLAYPPKTKNIMEVKLQ >fgenesh2_kg.8__2092__AT5G61228.1 pep chromosome:v.1.0:8:19927143:19928891:1 gene:fgenesh2_kg.8__2092__AT5G61228.1 transcript:fgenesh2_kg.8__2092__AT5G61228.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MUI8] MLQEPPVAFSLRRNSFRRRSPRSNVDDRGWSPLHIKARKGDLKSVTQLLDQGMDVNALAWGPKSKGVSALHLAAEGGHIEVMDLLLERGANIDARTWGSCGWTPLHAAAKERKREAVKFLVENGAFLADDITDTRFNPPVHYCHGLEWAYEEMKKLNSESSSSGGDTSSSSEN >fgenesh2_kg.8__2094__AT5G61240.1 pep chromosome:v.1.0:8:19929261:19932205:1 gene:fgenesh2_kg.8__2094__AT5G61240.1 transcript:fgenesh2_kg.8__2094__AT5G61240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7MUI9] MASRCELLLICIFSILFAFAHSKTLKRDVKALNEIKASLGWRVVYSWVGDDPCGDGDLPPWSGVTCSTQGDYRVVTELEVYAVSIVGPFPIAVTNLLDLTRLDLHNNKLTGPIPPQIGRLKRLKVLNLRWNKLQDVIPPEIGELKRLTHLYLSFNSFKGEIPKELAALPELRYLYLQENRLIGRIPAELGTLQNLRHLDVGNNHLVGTIRELIRFDGSFPALRNLYLNNNYLSGGIPAQLSNLTNLEIVYLSYNKFIGNIPFAIAHIPKLTYLYLDHNQFTGRIPDAFYKHPFLKEMYIEGNMFKSGVNPIGTHKVLEVSDADFAV >fgenesh2_kg.8__2096__AT5G61250.2 pep chromosome:v.1.0:8:19932163:19935421:-1 gene:fgenesh2_kg.8__2096__AT5G61250.2 transcript:fgenesh2_kg.8__2096__AT5G61250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 79 N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MUJ0] MGFKVVFLISLFLLPVTFGSNMERTTLVIDGAHGIAETDENFVCATLDWWPPEKCNYDQCPWGYASLINLNLSSPLLAKAIQAFRTLRIRIGGSLQDQVIYDVGDLKTPCTQFEKTDDGLFGFSKGCLYMKRWDELNRFFHATGAIVTFGLNALHGRDKLNGTAWGGDWDHTNTQDFMNYTVSKGYAIDSWELGNELSGSGIWASVSVELYGKDLILLKDVINNVYKNSRTKPLVVAPGGFFEEKWYSELLRLSGPGVLDVLTHHIYNLGPGNDPMLVNRILDPNYLSGISGTFANVNRTIQEHGPWAAAWVGEAGGAFNSGGRQVSETFINSFWYLDQLGISSKHNTKVYCRQALVGGFYGLLEKETFVPNPDYYSALLWHRLMGKGVLGVQTTASEYLRAYVHCSKRRAGITILLINLSKNTTFTVAVSNGVNVVLQADTMKRKSFLETLKSKVSWVGNKASDGYLNREEYHLSPKHGDLHSKIMLLNGNPLVPTATGDIPKLEPARHGVKSPVYINPLSISFIVLPTFDAPACS >fgenesh2_kg.8__2097__AT5G61260.1 pep chromosome:v.1.0:8:19943833:19945332:1 gene:fgenesh2_kg.8__2097__AT5G61260.1 transcript:fgenesh2_kg.8__2097__AT5G61260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEITSEVKETTNPPKMSKESTSVETVRVKDVENAEDVVSVVSKGQEEIIPEINEVPKPEGVTLRRHSTGTIGTRATKPQVQSRYRGNHRVGSTHDLCKHGKRCDQDDAVKPWKMVRRKSVEGSDVIKVETPSLTRKSLGSVSRQIPGTKPESSVSAKRDVLSVKRKPCASVNSESSSKEGSEITRSVDGLSVKSNDRAPKNKESEITLSGSAVGKKVPGLRNDKSSTSIEKVSKISGVGSSKVCAPKNLKNVEKAKTTQTISGEDVKEKTVCVVESSVKGVKGEKHPSYEKKTMKSGHKSLTTPIRGSSPTKQIPGKISTGLTKKKESDTAEVEANPKPERKIKPKKTGAKVTLARQLTFKKGKVLEPKPEDSSPKWIKFRKRVVQELKTQSEGKKKNLKDGRLGVETKSDSCEGSKREKVVLRHRKVEGKKKMITLFNNVIEETVNKLTKVRKHKVKALIGAFETVISLQDTKTSLKPQSKATTSASKVRPLVSEQ >fgenesh2_kg.8__2102__AT5G61300.1 pep chromosome:v.1.0:8:19961559:19963614:-1 gene:fgenesh2_kg.8__2102__AT5G61300.1 transcript:fgenesh2_kg.8__2102__AT5G61300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQEDSLWRLYPVHDMDTVVTNRLLYCDLYLRQYKETLKQTMLGQESIFENQIYELHRLYQRQKDLMMEMEETNNLYTQPQHLFPNAGVSSPRRSIHWMGLSISSAKIDEAGISGDEIDKSGEVVLDLELPSYGDIWEEEGSKNGEVLEVPNFPKDQSPRSMSLESVVQPESVHLLGLSNFKCILDLNEPARIEAHSDYELNQFLIPAKTRSERAEPEVQGPPISTKCLAENGIDLNIESEQPREFVSASLHGKRGSEPSRSIVLALPCSETISLFHKHCSRPRKKAKYGAKSINVKNIPRSKSRKGSNLESNVGVSKDDNRSLSTASYIPESDHNLEKGRLRSLPEIKGRRCKPHIEPGKVTRKISRTKSKARGSFLVTEEEEQEKCVAAAEALVDMSLSDSARKSSIKSSDCINPLHWFAIIASSVVEDSKSEVGLSMAGFHSNYEIDYFEAMTLQLTEMKPEEQRTNTSVSNIGHPKHKRTLRSRGKRQQHNEFLLSLSTFTGNEASRDVQKIGRLIEATERNDAHSSLMENMVIDWGTVTKRRRGIRSPAVNTKTSMPFLLSDS >fgenesh2_kg.8__2105__AT5G61310.3 pep chromosome:v.1.0:8:19964634:19966048:-1 gene:fgenesh2_kg.8__2105__AT5G61310.3 transcript:fgenesh2_kg.8__2105__AT5G61310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 5C [Source:UniProtKB/TrEMBL;Acc:D7MUJ5] MAGHKIAHATLKGPSVVKELVIGLALGLAAGGLWKMHHWNEQRKTRAFYDLLERGEIGVVVAEE >fgenesh2_kg.8__2106__AT5G61320.1 pep chromosome:v.1.0:8:19966295:19967840:-1 gene:fgenesh2_kg.8__2106__AT5G61320.1 transcript:fgenesh2_kg.8__2106__AT5G61320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWFLIIFLSLTLALLLHLFLRRTNSLPLPPNPNFFPILGPFQWLRQGFDGFYSYLRFIHHRLGPIISLRIFSVPAIFVSDRSLAHKALVLNGAVFSDRPPALPTGKIITSNQHTISSGTYGATWRLLRRNLTSEILHPSRVKSYSNARRSVLENLCSRIRNHGDEEGKPIVVVDHLRHAMFSLLVLMCFGDKLDEEQIKQVEFVQRRELLTLPRFNILNVFPSFTKLFLRKRWEEFLMFRREHKNVLLPLIRSRRKIIEESKDSDKEYVQSYVDTLLDLKLPEEKRKLNEDEIVSLCSEFLNAGTDTTATTLQWIMANLIKSIIGEEEEKEIEEEEMKKMPARTFNINVAMIGRDPTVWEEPMEFKPERFIGEEEEVDVTGSRGIKMMPFGAGRRICPGIGLAMLHLEYFVVNLVKEFEWKEVEGDEVDLSEKWEFTVVMKYPLKARAVPRMKDKTHIVMA >fgenesh2_kg.8__210__AT5G45880.1 pep chromosome:v.1.0:8:1372226:1373607:1 gene:fgenesh2_kg.8__210__AT5G45880.1 transcript:fgenesh2_kg.8__210__AT5G45880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIFFSFFVVSAVCLSSLAGFAAADADDFDRFQIQGSVYCDTCRVQFVTRLSKFLEGAKVKLECRSRTNGTVTLTKEAVTDKTGSYKMEVTGDHEEEVCELVLVQSPDSGCSDVSKEAYLRNAAKISLTANDGIVSHETRIVNPLGFMVQTPLADCPAAFKELGIVPDVTF >fgenesh2_kg.8__2112__AT5G61370.1 pep chromosome:v.1.0:8:19986882:19988562:-1 gene:fgenesh2_kg.8__2112__AT5G61370.1 transcript:fgenesh2_kg.8__2112__AT5G61370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIVRSNGIVFVTNTIKLTRYFCSHHLVDRPDRASTELQEVIRIVSSPIGGLDDLEKNLNQVSVSPSSNLVTQVIESCKNETSPRRLLRFFSWSCKSLGSNVHDKEFNHVLRVLAEKKDHTAIQILLSDLRQENRAMDKQTFSIVAETLVKIGKEEDAIGIFKILDKFLCPQDSFTVTAIISALCSRGHVKRALGVMHHHKDAISGNELSVYRSLLFGWSVQRNVKEARRVIQDMKSAGITPDLFCFNSLLTCLCERNVNRNPSGLVPEALNIMLEMRSYKIQPTSISYNILLSCLGRTRRVRESCQILEQMKRSGCDPDTASYYFVVRVLYLTGRFGKGNQIVDEMIERGLRPEHKFYYDLIGVLCGVERVNFALQLFEKMKRSSVDGYGPVYDLLIPKLCKGGNFEKGKELWEEAMSLNVTLSCSISLLDPSVTEVFKPMKKKEEAAMVDRRALNLKIHATMNKAKPKLKPKPKRRSKTKKKNLRQ >fgenesh2_kg.8__2113__AT5G61380.1 pep chromosome:v.1.0:8:19990388:19993792:1 gene:fgenesh2_kg.8__2113__AT5G61380.1 transcript:fgenesh2_kg.8__2113__AT5G61380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNGECKGGDGFIDRSRVRILLCDNDSKSLGEVFTLLSECSYQVTSVKSARQVIDALNAEGPDIDIILAEIDLPMAKGMKMLRYITRDKDLRRIPVIMMSRQDEVPVVVKCLKLGAADYLVKPLRTNELLNLWTHMWRRRRMLGLAEKNMLSYDFDLVGSDPSDPNTNSTNLFSDDTDERSLRSTNLQRGNLSHQENEWSVATAPVHAGDGGLGADGTATSFLAVTAIEPPLDHLAGSHHEPMKRNSNPAQFSSAPKKSRLKIGESSAFFTYVKSTVRRTNGQDLPLVNGNGSLHLHRGLAEKFQAVASEGINNTKQACGTTPKSTVFRNNGQDAPLVNGYGSLHLHRGLAEKFQAVASKGINNSKQARGSRETEKYHSQGENLQNGANYQHSLERSRTLPTSMESHGRNYQEGNMNTPQVAMNRSKDSSQVDGLSFSAPNAYPYYMHGVMNQVMMQSAAMMPTKSSPWGHQLPHCQPNHPNGMTGYPYYHHPMNTSLQHSQMSLQNGQMSMVHHSWSPVGNPPSNEVRVNKLDRREEALLKFRRKRNQRCFDKKIRYVNRKRLAERRPRVKGQFVRKMNGVNVDLNGQPDSADYDDEEEEEEEEEEEENHNSSPQDDALGS >fgenesh2_kg.8__2114__AT5G61390.1 pep chromosome:v.1.0:8:19993964:19996287:-1 gene:fgenesh2_kg.8__2114__AT5G61390.1 transcript:fgenesh2_kg.8__2114__AT5G61390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease family protein [Source:UniProtKB/TrEMBL;Acc:D7MUK3] MVGLTTPEDRSEIAFFDVETTIPFRAGQGYAILEFGSILVCPKKLVELRNYSVLVRPANLNLITPRSVKCNGIKREDVESAATFADIADTVYDILHGRIWAGHNILKFDCPRISEAFAEIGRDPPEPKGTIDSLALLTQRFGRRAGDMKMATLASYFGLGNQTHRSLDDVRMNLEVLKYCATVLFLESSLPDELIENSVTTTTPETSSRRRRNIKTSPLQSPADHQTGENSTTIPILSFVSSAEAQTDPFDMSTLRNEIAPEVLQSDVPMEEEQNQQSETVTSEGTCDQEGFLELDKMSISSIRATHVPLYDGSQTMKVQLCLGDRPLQLHCPHLRVRFGINGKFMDKAGRRRLNFVVDLYPSLCNVLQECDNAAQTISVDSGSDSDWNPAVIPMKGFLNCPTARIHIPTELNGDVDRYAAEIHQKEFSGAIATQKLISSNPKVGEIESLLNPGTVLDAFLSLEPYDYQQRAGIRLVARKLVIH >fgenesh2_kg.8__2118__AT5G61430.1 pep chromosome:v.1.0:8:20021080:20023071:-1 gene:fgenesh2_kg.8__2118__AT5G61430.1 transcript:fgenesh2_kg.8__2118__AT5G61430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC100/ATNAC5 [Source:UniProtKB/TrEMBL;Acc:D7MV19] METFCGFQKEEEQMDLPPGFRFHPTDEELITHYLHKKVLDISFSAKAIGEVDLNKSEPWELPWMAKMGEKEWYFFCVRDRKYPTGLRTNRATEAGYWKATGKDKEIYRGKSLVGMKKTLVFYRGRAPKGQKTNWVMHEYRLEGKLSAHNLPKTAKNEWVICRVFQKSAGGKKIPISSLIRIGSLGTDFNPSLLPSLTDSSPYNDKTKTEPVYVPCFSNQTDQNQGTTLNCFSSPVLNSIQADIFHRIPLYQTQSLQVSTNLQSPILTQEHSVLHAMIENNRRQSLKTMSVSQETGVSTDMNTDISSDFEFGKRRFDSQEDPSSSTGPVDLEPFWNY >fgenesh2_kg.8__2119__AT5G61440.1 pep chromosome:v.1.0:8:20031682:20032847:1 gene:fgenesh2_kg.8__2119__AT5G61440.1 transcript:fgenesh2_kg.8__2119__AT5G61440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7MV20] MDAISSLGTNHVLCGFSPFSQENPSKSKLFPVMSLDLKEHPMVSTDFTNQTLTAFSSSSVTPFQAKTSSIGKSRGMRWWEKSTNHNMLEIQSANHLVDSLLNAGDRLVVLDFYSPACGGCKSLHPKVCQLAESNPNVMFLKVNQEELRTMCHGLNVHVLPFFKLYRGAEGKVCSFSCTIATINKFKIALDKHGSERCSLGPAKGLDEKELAALASVGELKMSSLTLHQASIVGYKTEEQYQTMVL >fgenesh2_kg.8__2120__AT5G61450.1 pep chromosome:v.1.0:8:20034159:20036961:-1 gene:fgenesh2_kg.8__2120__AT5G61450.1 transcript:fgenesh2_kg.8__2120__AT5G61450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDREESSSSSSSMTTTTTNNPNGIINNGEHEPRDINIRSFSSVPSSPRNASSKYDFVKVNVWLGDNADHYYVLSRFLVCRMLTVTKIPNHEAIKISLELKKLLIDNSLLDVSQSDLETNLFKLMERRGYGEEYINRYNMMTKFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTATDAPLTSTPVWTREFGSSEELITEFCRECRIVRKGLGGDLKKAMKDGKPIIIEGRHLDPSIYLMNDENKTPSNDTEKNSSETNSSRELTSDKNLEAGSSNTKESDNSAVKPDSQDVAVASVEAIDLSEKVTQCKINAESSKDAEKKSKSADGSGKTKSGPEPIVISIVLKMSEFDHKALLEEWISSRTSGEKYTSKEKDRLITNLKTIEDYLCSFNSQGVTVVNISATTFPQTLDSLHNYLLQRIEEGIRSSENEGPK >fgenesh2_kg.8__2121__AT5G61460.1 pep chromosome:v.1.0:8:20037671:20045503:-1 gene:fgenesh2_kg.8__2121__AT5G61460.1 transcript:fgenesh2_kg.8__2121__AT5G61460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSGARASDSFIKQRSGSGTILRIKVENFMCHSNLQIEFGEWVNFITGQNGSGKSAILTALCVAFGCRARGTQRAATLKDFIKTGCSYAVVHVEMKNNGEDAFKPEIYGEVIIIERRITDSTTSTVLKDYLGKKVSNKREELRELVEHFNIDVENPCVVMSQDKSREFLHSGNDKDKFKFFFKATLLQQVNDLLQSIYEHLKNATAIVDELENTIKPIEKEISELRGKIKNMEQVEEIAQKLQQLKKKLAWSWVYDVDRQLQEQTEKIVKLKERIPTCQAKIDWELGKVESLRDTLTKKKDHVACLMDESTAMKREIESFHQSAKTAVREKIALQEEFNHKCNYVQKIKDRVRRLERQVGDINEQTMKNTQAEQSEIEEKLKYLEQEVEKVETLLFRLKEEENCLLEKAFDGRKEIEHIEDMIKNHQKRQRFVTSNINDLKKHQTNKVTAFGGDRVINLLQAIERNHRRFRKPPIGPIGSHVTLVNGNKWASTVEQALGNLLNAFIVTDHKDSLTLRGCANEANYRNLKIIIYDFSRPRLNIPRHMIPQTEHPTIFSVIHSDNPTVLNVLVDVSGVERQVLAENYEVGKAVAFGKRLSNLKDVYTLDGYRMFFRGPVQTTLPPLPRRSSRLCASFDDQIKDLEIEASKEQNEINQCMRRKREAEENLEELESKVRTLKKHRSQAEKVLTTKELEMQDLKNTVAAETEASPSSSVNELQLEIMKDREEIDEKEALLEKLQNCLKEAELKANKLTASFENLRESAKGEIDAFEEAENELKKIEKDLQSAEAEKIHYENIMKNKVLPDIKNAKANYEELKNKRKESDQKASEICPESEIESLGPWDGSTPEQLSAQITRMNQRLHRENQQFSESIDDLRMMYESLERKIAKKRKSYQDHREKLMACKNALDSRWGKFQRNASLLRRQLTWQFNSHLGKKGISGHIKVSYENKTLSIEVKMPQDATSNAVRDTKGLSGGERSFSTLCFALALHEMTEAPFRAMDEFDVFMDAVSRKISLDALVDFAIGQGSQWMFITPHDISMVKSHERIKKQQMAAPRS >fgenesh2_kg.8__2122__AT5G61470.1 pep chromosome:v.1.0:8:20046741:20047842:1 gene:fgenesh2_kg.8__2122__AT5G61470.1 transcript:fgenesh2_kg.8__2122__AT5G61470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLLKATKTCQICKRSFSNGKALGGHMRAHTRKLSAGSSSSTGSVTPSLRPCNNLGASFRPPETVLVRSVHTSEVVGGPKPDLNTEGEQQITAKRKIVVVDLEEEDSTESESDITIEESALCLLKMKSDPTEYFQNPKSIDSLVSTRKKKDTEKHIVIDSDDEYVAEDEDEDEDDEDEDIKFLTSDMGDLTGDSDEDEDDYDDENAYYGGKERRGKKQSKYTCDICGQVLHSYQALGGHRTSHRNKRLKISDKNHSAEDGPVVRRSYECQICNRVFASGQALGGHKKIHYTFHAPPK >fgenesh2_kg.8__2123__AT5G61480.1 pep chromosome:v.1.0:8:20048929:20052221:-1 gene:fgenesh2_kg.8__2123__AT5G61480.1 transcript:fgenesh2_kg.8__2123__AT5G61480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKKNTSHFIVHLLLLLPFFAFNSLALKVSPQLLSLISLKTSLSGPPSAFQDWKVPVDGQNVPVWCSWSGVVCDNVTAQVISLDLSHRNLSGRIPIQIRYLSSLLYLNLSGNSLEGSFPTSIFDLTKLTTLDISHNSFDSSFPPGISKLKFLKVFNAFSNNFEGLLPSDVSRLRFLEELNFGGSYFEGEIPAAYGGLQRLKFIHLAGNVLGGELPPRLGLLPELQHIEIGYNHFTGSIPSEFSLLSNLKYFDVSNCSLSGSLPQELGNLTNLETLLLFDNGFTGEIPESYSNLKALKLLDFSINQLSGSIPSGFSNLKNLTWLSLISNNLSGEVPEGIGELPELTTLSLWNNNFTGVLPQKLGSNGNLVTMDVSNNSFTGTIPSSLCHGNKLYKLILFSNMFEGELPKSLTRCDSLWRFRSQNNRLNGTIPIGFGSLRNLTFVDLSNNRFTDQIPADFATAPVLQYLNLSTNSFHRKLPENIWKAPNLQIFSASFSNLIGEIPNYVGCKSFYRIELQGNSLNGTIPWDIGHCEKLLCLNLSQNHLSGIIPWEISTLPSIADVDLSHNLLTGTIPSDFGSSKTITTFNVSYNQLIGPIPSGSLAHLNPSFFASNEGLCGDVVGKPCNSDRFNAGDSDLDGHHNEERPKKTAGAIVWILAAAIGVGFFVLVAATRCFQKSYGNRVDGGGRNGGDIGPWKLTAFQRLNFTADDVVECLSKTDNILGMGSTGTVYKAEMPNGEIIAVKKLWGKNKENGKIRRRKSGVLAEVDVLGNVRHRNIVRLLGCCSNRDCTMLLYEYMPNGSLDDLLHGGDKTMNAAAEWTALYQIAIGVAQGICYLHHDCDPVIVHRDLKPSNILLDADFEARVADFGVAKLIQTDESMSVVAGSYGYIAPEYAYTLQVDKKSDIYSYGVILLEIITGKRSVEPEFGEGNSIVDWVRSKLKTKEDVEEVLDKSMGRSCSLIREEMKQMLRIALLCTSRNPTDRPPMRDVLLILQEAKPKRKTVEDNVIVVGDVNDVNFEDVCSGGGDVKCQRIGV >fgenesh2_kg.8__2125__AT5G61500.1 pep chromosome:v.1.0:8:20058377:20061197:-1 gene:fgenesh2_kg.8__2125__AT5G61500.1 transcript:fgenesh2_kg.8__2125__AT5G61500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:D7MV26] MVLSQKLHEAFKGTVERITGPRTISAFKEKGVLSVSEFVLAGDNLVSKCPTWSWESGDPSKRKPYLPSDKQFLITRNVPCLRRAASVAEDYEAAGGEVLVDDEDNDGWLATHGKPKDKGNEDENLPSMDALDINEKNTIQSIPTYFGGEEDDDIPDMEEFDEADNVVENDPATLQSTYLVAHEPDDDNILRTRTYDLSITYDKYYQTPRVWLTGYDESRMLLQPELVMEDVSQDHARKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFMASVIPTIEYDYTMDFDLGSSST >fgenesh2_kg.8__2126__AT5G61510.1 pep chromosome:v.1.0:8:20061372:20063409:-1 gene:fgenesh2_kg.8__2126__AT5G61510.1 transcript:fgenesh2_kg.8__2126__AT5G61510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTLHHHHSCLQASGHLSRRLYHPVFSSFPRNHVKDLNFRLNPTDFSSFSLSTRGTVFAVRALSTTVVVEESPEKKKMVKGIRVYEHGGPEVLKWEDVEVGEPKEGEIRVKNKAIGLNFIDVYFRKGVYKPASMPFTPGMEAVGEVVAVGSGLTGRMIGDLVAYAGNPMGAYAEEQILPADKVVPVPSSIDPILAASIMLKGMTAQFLLRRCSKVERGHTILVHAAAGGVGSLLCQWANALGATVIGTVSTNEKAAQAKEDGCHHVIMYKNENFVSRVNDITSGKGVNVVYDSVGKDTFKGSLACLKSRGYMVSFGQSSGSPDPIPLSDLAPKSLFLTRPSMMQYNETRDELLECAGEVFANITSGILKARVNHKYPLSRVADAHADLENRITSGSVVLLP >fgenesh2_kg.8__2129__AT5G61530.1 pep chromosome:v.1.0:8:20069231:20071774:1 gene:fgenesh2_kg.8__2129__AT5G61530.1 transcript:fgenesh2_kg.8__2129__AT5G61530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small G protein family protein [Source:UniProtKB/TrEMBL;Acc:D7MV30] MPSLISQQWQERTSGFFSSSGTKLREAGQTAGSFVGEVAKDAKVNVADVAERVGSLFKSRWAILQQPATRHAVQEHLITAAATTGTLVRKGITETKEKVSVGKIKVEEAAKKTAQKSKTILTDIERWQKGVASSDVFGVAIEITVQRQESSRPIPLILIKCADYLILTGLNSPNLFKAEGDKKLIQQLVSAYNQDPSASIPEGVNPVDVAALMKYYLASLPTPLTTFELYNEIKDARSSIHRMRKSLQKLSNVNYNTLEFITALLLRVSQKSLLNKMDSHSLAMEMAPVIMWREDNRPESYREYWRRPSRSPKKSNDFETATPWDLLSDEGEGPDASSSIPLDDIVQVDFGAVEVVQCLIEHHNAIFTDAAETVWR >fgenesh2_kg.8__2133__AT5G61540.1 pep chromosome:v.1.0:8:20072199:20074624:1 gene:fgenesh2_kg.8__2133__AT5G61540.1 transcript:fgenesh2_kg.8__2133__AT5G61540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSDLLIFVSTLLLFLPLLTVADAELVKSEKFPVVVSTWPFLEAVRAAWRAVDTGSSAVEAVVEGCSACEELRCDGTVGPGGSPNENGETMIDALVMDGVTMEVGAVAAMRYVKDGIRAAHLVMKYSQHTLLAGEGASAFAISMGLPGPMNLSSPESVKKWSDWKENQCQPNFRKNVVPANDCGPYKPNNGAINVSGYKGTESCEMGAIEYRPPLVGPHNHDTISMAVIDKMGHIAVGTSTNGATYKIPGRVGDGPIVGSSAYADDEVGGCGATGDGDTMMRFLPCYQVVESMRQGMKPEEAAKDAISRIARKFPDFVGAVVAVDKNGSHSGACHGWTFQYSVQNPDMDDVQVFTVLP >fgenesh2_kg.8__2134__AT5G61550.1 pep chromosome:v.1.0:8:20080770:20084275:1 gene:fgenesh2_kg.8__2134__AT5G61550.1 transcript:fgenesh2_kg.8__2134__AT5G61550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MV32] MEEKKVVRALSEHLSLPPPPSPSVAVAINGKKKSKYVAFWALEKFIPEGFTDFKLLYVRPPVSYIPTPMGNTISVSELRDDVVSAYKQEVDWSTNEMLRPYKKMFERRKVQVEVLVLDSNEPAAAIAEEIAGTGVTKLVIGMSLRGFFSRKIDMSSMIATAVPRFCTVYVISKGKLASVRPSESDASGSIRFERSSSTSGSTDSPRLPPEYQDFLSFVSEGQSRVSPFSPAPKNSLGSSAVVQMDTSSSGTDQEEVSTGRGMEIVHSGIEGKKNKDESFSASFPMGTEAYNSMSWTSKWRDHEDRREIRSSSSSNNHDLANMDWGAVVPENYSWVSHCASNMSDGLLSVHSITDNQVNLNFEIEKLRAELKHVQEMYAMAQTETVGASQKVFWLLFLEKDKLRLLSSLPGSNTNIIPGRKSQPRLSDFAENLKIGIGAYGTVYKCNLHHTTGAVKVLHAGETQLSKQFDQELEILSKIRHPHLVLLLGACPERGCLVYEYMDNGSLDDRLMLVNNTPPIPWFDRFRIALEVASALVFLHKSKPRPIIHRDLKPGNILLDHNFVSKLGDVGLSTMVNQDDDSSNLTIFKKTSPVGTLCYIDPEYQRTGIISPKSDVYSLGIVILQLLTAKPAIAITHMMEEAIGDDAEFMAILDKKAGSWPISETRELAALGLCCTEMRRRDRPDLKDQIIPALERLKKVADKAQNSLSRTPSGPPSHFICPLVKGVMNEPCVAADGYTYDREAIEEWLRENDTSPVTNLPLPNKNLLANYTLYSAIMEWKSNKQ >fgenesh2_kg.8__2136__AT5G27260.1 pep chromosome:v.1.0:8:20088063:20089082:1 gene:fgenesh2_kg.8__2136__AT5G27260.1 transcript:fgenesh2_kg.8__2136__AT5G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAEPKKKKGNNNLWSPEETKLLVQLLVEGINNNWRDSNGTMSKLTVETKILPEINKEFRRSKNYNHYQSRMKYLKLQYQSSLDLKRFSSGFGWDPSTKRFTAPDEVWDDYLKAHPNNKQLRYDTFEFFEELQIIFGEGVATGKNAIGLCDSTDAAIGLCDSTDARTYKSGENSREEYVSDFDNGHQSITHHLCLMELQKVLQRSFPPRKRTRSERNTSQKEESSMMVKRDNKKKWHKKKINVWDALKEVSDLDERIRFKALTKIYHLGIQDVFVSMSVEERLGWIQTSME >fgenesh2_kg.8__2137__AT5G61560.1 pep chromosome:v.1.0:8:20090629:20094514:1 gene:fgenesh2_kg.8__2137__AT5G61560.1 transcript:fgenesh2_kg.8__2137__AT5G61560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MV34] MGDGALIVAVAIKGNNSKTKGVVRWALQEFASREHVVFKLLHVQPRDSNTVSITRKDSTTSVYKKDVDRKTREMLLPSRDMFVHREVQLDIMVLESDDVADAISKAVQDHGISELVIGASSSIIFSWKLKRSNLSSRISDATPRFCTIHVISKGKLLNVRKSDMDTETSIADDRSESRFSSDSHSGSVSSTSSHQFSSTPLLFQRVQALSTVNQKVGTNIGKQNNDQHHHHHNRAGSLDVDESKLLNQKGFYRTSSSGIGYGGSDISSWRSSQVEEASSSSTYSDPTSSSSQANKDFELEKLKIELRHIKGMYAVAQSEVIDASKKMQDLNQRRSEEAMRLKNLTIREEEAGEVVEMEKERQEEAENEAELVKECIERETEERLEAEARAEEVRKEKQRLEDALEGGPLQRQQYMKFEWEEIVEATSSFSDDFKIGVGGYGSVYRCNLHHTTVAVKVLHSDKSSLTKQFHQELEILSKIRHPHLLLLLGACPERGSLVYEYMHNGSLEERLMKRRPNVDTPQPPPLRWFERFRIAWEIASALYFLHTNEPRPIVHRDLKPANILLDRNNVSKIGDVGLSKMVNLDPSHASTVFNETGPVGTFFYIDPEYQRTGVVTPESDIYAFGIILLQLVTARSAMGLAHTIEKALRDQTGKFTEILDKTAGDWPVKEAKEMVMIGLRCAEMRKRDRPDLGKEILPVLERLKEVASNARNMFADNLIDHHHNAPTHFYCPITKDVMENPCVASDGYTYEKRAIKEWLQKNHKSPMTDLPFPNHSLLPNHSLLSAIKEWRSLIK >fgenesh2_kg.8__213__AT5G45850.1 pep chromosome:v.1.0:8:1401320:1403082:1 gene:fgenesh2_kg.8__213__AT5G45850.1 transcript:fgenesh2_kg.8__213__AT5G45850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKLNLDAPFLSVRRVPTKPEDPNESENTKKKTTTTRRRKLKDSCQETEHETLIRLLQDQSFDHVMEPSSVPFKWEQTPGKPKDQDTIIEELDLIKALEMVSSTASFSVNCSSSGVSEFEKKRDGDRSSNDVSRDDVVYEYRDLIMSRFLPAAEAIAMKNKKEASRFKEEKKKKQSIALQRVSMAINQDLNNDEDDEDDDDDHNHVDGIDATVYSNDSKKAQLGFLPWFCSKNSVDVLNPLLSRIKTCQDIGVKSGNIINPKSLDSVYKTKSASPRILKTNKVMSKSQEIYETPRLSREISKISLPKSGETLKIQRNPSRLQRTTDQNQRQEIRFLVEEVKRRSNRNKNRSENLSVSQPPLPKTPSESWLCRTLPRSSTTSSVFSGQFAVVVSGQAARFKKKMEQKTESQSIHWETIVKTSNTHHDHVRYSEGLIVVHPTRQHKF >fgenesh2_kg.8__2140__AT5G61580.1 pep chromosome:v.1.0:8:20101353:20104317:1 gene:fgenesh2_kg.8__2140__AT5G61580.1 transcript:fgenesh2_kg.8__2140__AT5G61580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:D7MV38] MEASISLLGSTKPSLSSLIPSSNVLHRRDFPLPALKLKKVSVLPRILQQKRLIRAQCSDGFKPEEDDGFVLEDVPHLTKFLPDLPSYPNPLKESQAYAIVKRTFVSSEDVVAQNIVVQKGSKRGVHFRRAGPRERVYFRSDEVKACIVTCGGLCPGINTVIREIVCGLNNMYGVNNILGIQGGYRGFYSKNTMTLTPKVVNDIHKRGGTFLQTSRGGHDTVKIVDNIQDRGINQVYIIGGDGTQKGAEKIYQEVERRGLQVAVSGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEVESVENGVGIVKLMGRYSGFIAMIATLANRDVDCCLIPESPFFLEGKGGLFEFIEQRLKENRHMVIVIAEGAGQDYVSQSMHVSGTKDASGNRLLLDVGLWLTQQIKDHFTNVRKMMINMKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYSGFTVGPVNSRHAYIPISRVTEMTNTVKLTDRMWARLLASTNQPSFLTGEGALQNVIDMETQEKIDNMKISSI >fgenesh2_kg.8__2142__AT5G61590.1 pep chromosome:v.1.0:8:20104753:20105612:-1 gene:fgenesh2_kg.8__2142__AT5G61590.1 transcript:fgenesh2_kg.8__2142__AT5G61590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MV39] MATFEESSDLDVIQKHLFEDLMIPDGFIEDFVFDDSAFVSVLWSLEPFNPVPKLEPSSPVPDSYVQEFLQMEAESSSSSTTTSPEVETVSNRKKTKRFEETRHYRGVRRRPWGKFAAEIRDPAKKGSRIWLGTFESDIDAARAYDYAAFKLRGRKAVLNFPLDAGKYDAPVNSCRKRRRSDVLQPQGTTTSTSSSS >fgenesh2_kg.8__2143__AT5G61600.1 pep chromosome:v.1.0:8:20106709:20107750:-1 gene:fgenesh2_kg.8__2143__AT5G61600.1 transcript:fgenesh2_kg.8__2143__AT5G61600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MV40] GVKMATKQEALAIEFISQHLLTDFASMETDPSLFTNQVHNFHSETSPRTISNQSPKPNSTLNQRKPPLPNLPVPRMVSTKTEKEEEEERHYRGVRRRPWGKYAAEIRDPNKKGSRIWLGTYDTAVEAARAYDQAAFQLRGRKAILNFPLDIRATSETCFEEGAVGLGQRKRVKSSPPEEEKEKVRVKVEEEESNTSETTEAEVEAVPLTPSSWMGFWDVGAGDGIFSIPPLSPTSPNFSVISVT >fgenesh2_kg.8__2144__AT5G61605.1 pep chromosome:v.1.0:8:20109250:20109771:-1 gene:fgenesh2_kg.8__2144__AT5G61605.1 transcript:fgenesh2_kg.8__2144__AT5G61605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHFAILCVFMIFLVPLHEFGNAQGSEAGLQLDPSLCVRVLCAKHRNQKWCFCCGGQPKTCFLNKQGCTAVCKSPSPSMA >fgenesh2_kg.8__2145__AT5G61610.1 pep chromosome:v.1.0:8:20110781:20112005:1 gene:fgenesh2_kg.8__2145__AT5G61610.1 transcript:fgenesh2_kg.8__2145__AT5G61610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MV42] MHFFGTKGKPPLYAGIAVVSLMILTGLTFAGTAVTLTVMMPVLVVLSPILVPAVITSSFLATGFLASGSLGASGIALLIWLYNKEEHSRDTLHARGARPEGPNKLAESGKQSGGDNLLKEDKPPERDKLPRRDKPSKEDNMLKGDKLVEEDKLPAEEDKPPQKDKPVEGDKPPQKDKPVEGDKPAEEDKPPQKDKSAEGEKHVEEDMSLGGVKQMSIPEISKVLY >fgenesh2_kg.8__2146__AT5G61620.1 pep chromosome:v.1.0:8:20113440:20114561:1 gene:fgenesh2_kg.8__2146__AT5G61620.1 transcript:fgenesh2_kg.8__2146__AT5G61620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7MV43] MVKETVMVAKTCSHCGHNGHNARTCLNGVNKGSVKLFGVNISSDPIRPPEVTALRKSLSLGNLDALLANDDSNGNGDPIAAVDDTGYHSDGQIHSKKGKTAHEKKKGKPWTEEEHRNFLIGLNKLGKGDWRGIAKSFVTTRTPTQVASHAQKYFIRLNVNDKRKRRASLFDISLEDQKEKEMNSQDASTSSSKTPPKQPITGIQQPVVQGQTHTEISNRFQSLSMEYMPIYQTVPPYYNFPPLMFHPMYYSTPEVPVRFVHPSGIPVPRHIPIGMPQSQSNEASNMTKKDGLDLDIGLPPPLPQATTGATDLTGHGVIHVK >fgenesh2_kg.8__2147__AT5G61630.1 pep chromosome:v.1.0:8:20114707:20115595:-1 gene:fgenesh2_kg.8__2147__AT5G61630.1 transcript:fgenesh2_kg.8__2147__AT5G61630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSASWNRVPEDYSSAPPKGLWMGSVIGPLDENELPSYNNPPEEMVKKEKSRAKFAENAIHIIPFVLLACALVLWLFSNPDVDVGMREESIAAKIEGLTIEGDIDNDSDGTQTGFLGATLELGDPDKPNLTDRTRRASRKLIKRFY >fgenesh2_kg.8__2149__AT5G61670.1 pep chromosome:v.1.0:8:20131524:20133418:1 gene:fgenesh2_kg.8__2149__AT5G61670.1 transcript:fgenesh2_kg.8__2149__AT5G61670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGRILSVSYPPDPYTPRFSQYKLSSSLRRTRSLRWRFTALDPESSPLDSESSADKFAAGFCIIEGPETVQDFAKMQLQEIQDNIRSRRNKIFLHMEEVRRLRIQQRIKNTELGIINEEQEHELPNFPSFIPFLPPLSAANLKVYYATCFSLIAGIILFGGLLAPTLELKLGIGGTSYADFIQSLHLPMQLSQVDPIVASFSGGAVGVISALMVVEVNNVKQQEHKRCKYCLGTGYLACARCSSTGALVLTEPVSAVAGVNHSLSPPKTERCSNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >fgenesh2_kg.8__214__AT5G45840.1 pep chromosome:v.1.0:8:1405046:1408219:1 gene:fgenesh2_kg.8__214__AT5G45840.1 transcript:fgenesh2_kg.8__214__AT5G45840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRWNPIGFQFSCFMFLIITLQSRSSLSLESEGYILLKFRERVDSDPHGTLANWNVSDHDHLCSWFGVTCVDNKVKMLNLSGCSLGGTLAPELSQLSELRSLILSKNKLSGDIPKEFANFAKLEFLDLRDNKLSGVVPPELNKVLTPENLMLSGNKFAGFMAIKFLKLQSLYKVQLNKNRELSSVSADVLDCVNRKLGYWVRRESHGEKYVVNYHPSYSGNETSIFKRRELLEETSNLAAMPAPDAPSPSPEIVTRVFPRSSGSFPALTNAKKRIPPLISPSSPSPPTNNTIANDPPRKFEEKSKGFKDVWLYVVIGVAAFIAMLIIVAVIFFFRKRAVKSIGPWKTGLSGQLQKAFVTGVPKLNLSELETACEDFSNIIEAFDGYTVYKGTLSSGVEIAVASTAILETREWTRAMEMTYRRRIDTMSRVNHKNFVNLIGYCEEDEPFNRMMVFEYAPNGTLFEHLHDKEMEHLDWNARMRIIMGTAYCLQYMHELNPPISHTKLVSSAIYLTDDYAAKVGEVPFSGQTGSKQRKPMSGDLDQSLLPLPPEPETNVYSFGVLMLEIISGKLSDSEEEGSILKWASKYLENDNLRDMIDPTLTTFKEEELEAICDVARHCLKLDESQRPKMKDVIEQLKEVINISQEQATPRLSPLWWAELEILSSEAT >fgenesh2_kg.8__2152__AT5G61690.1 pep chromosome:v.1.0:8:20137214:20141310:-1 gene:fgenesh2_kg.8__2152__AT5G61690.1 transcript:fgenesh2_kg.8__2152__AT5G61690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQEGLPLLYQQFTALFGKNLLLSWRNKRATCLQLFSSFFFILVIFCIEEAMKASDASSTAYKNITDPTLLVSPPILPCEDKFFVKLPCYDFVWSGNHSRRVTDIVSAIMANNPGRPIPTNKVQSFKEPDEVDAWLLSHPLQVPGALHFVERNASVISYGIQTNSSSERKRGQTEDPTFKFLVPLQVAAEREIARSLIGDPDFGWGLGFKEFARPAIITETTSALSVMGPVFFLAFSMFGFVLQLGSLVTEKELKLRQAMTMMGVYDSAYWLSWLLWEGILTFVSSLLLVLFGMIFRFDFFLKNSFVLVFLLFLLFQFNMIGLAFALSSIISKSSSATTVGFLVFLIGFITQIVSATGFPYSSAYAVSRRVMWSLFPPNTFSAGLKLLLDATSTPKSSGISWSNRANIIYQWLLGTFLFWFVLAIYFDNIIPNSSGVRKPIFYFLAPGYWTGKGGNKVEVPPVEHNTPDDKDVLEEETEVKQQAMDGIVDPNIAVQIHGLAKTYPGTTKLGCCKCTKTSPFHAVKGLWMNIAKDQLFCLLGPNGAGKTTTISCLTGINPVTGGDALIYGDSIRSSVGMSNIRKMIGVCPQFDILWDALSSEEHLHLFASIKGLPPASIKSTAEKLLADVKLTGAAKVRAGSYSGGMKRRLSVAVALIGDPKLTTGMDPITRRHVWDIIQESKKGRAIILTTHSMEEADILSDRIGIMAKGRLRCIGTSIRLKSRFGTGFVANVSFIESKNDNNIGVGASHEPLKKFFKEHLKVEPTEENKAFMTFVIPHDKENLLTGFFEELQNRESEFGISDIQLGLATLEEVFLNIARQAELESATAEGNMVTLELKSGISLEIPVGARFVGIPDTENAENPSGVMVEVYWQQDGSGSMCISGHSPEMRVPQNVPVTRPPSPDTLGHKGLRQGVRGVVIDL >fgenesh2_kg.8__2154__AT5G61700.1 pep chromosome:v.1.0:8:20141756:20145814:1 gene:fgenesh2_kg.8__2154__AT5G61700.1 transcript:fgenesh2_kg.8__2154__AT5G61700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPSPASFWTQANALLRKNLTYQRKHIWTNVRLILVPLFLCLILLAIQQVLDALMKSVSDMSNCGGNVTLPGGICPIPNPPPLPPMLQIPQHELRSVKTDFFSYKDLPDKSCRGTGSCPVTILITGDKLALGKALSANIFSTSFVVNSSDLLPTLANNVLGSTEAAGEDNYEDPGIASDLPIYSIQPSCSANSTWPLSLGQIQTAVKCVQGLCLWRNNSVEVNDELFKGSWRGNPAGMTNEIVAAYDLMSTDRKNFNVTIWYNSTYNDEFSTGQPLKLVRVPRSINLISNAYLKFLKGLGTRILFEFLKEVPKKETKMNQDIASLLGPLFFTWVVLLLFPVILTSLVMISYAYSLSIYMWYVIIFVVAYILVYGTGLLGSFLFQKMIENQSFPEEWILAMELYPGFSLYRGLYEFSQYASRGNGMKWQDLSDSGMGEVFCIMSIEWFLALIVAYYIDQVFTSGKHPFFFLVNPFKKPSSLPRRPTVQRVDSKKVSIDIEKLDITEEREKVQKLRNEGSTGHAILCDNLKKVYPGRDGNPPKMAVRGLYLSVPSGECFGMLGPNGAGKTSFISMVSLHYLFSCCAGQLRVGDKPAGNYSGGMKRRLSVAISLIGNPKVVYLDEPSTGLDPASRKNLWNVIKRAKQNTAIILTTHSMEEAEFLCDRLGIFVDGGLQCIGNSKELKSRYGGSYVFTMTTSSNHEEEVERLVESISPNAKKIYHLAGTQKFELPKQEVRIAEVFRAVEKAKSSFTVFAWGLADTTLEDVFIKVARTAQAFISLS >fgenesh2_kg.8__2155__AT5G61720.1 pep chromosome:v.1.0:8:20149415:20150978:1 gene:fgenesh2_kg.8__2155__AT5G61720.1 transcript:fgenesh2_kg.8__2155__AT5G61720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKISLAVCLTLLVTLSTVYETQGTFSLPLYLKNFPKVGKDYEAFANKGISDFLGELEGMCPKTAEFKDFFEKLKDYMASFNSASPGSKDNLFEMSVKSEKLFKAMSAFNSSNGGTSEDSWKLVDGLLSMGKGLVEMKKSGSKEITFEQRRDLISSMVKWARAIGLFVKAASEDKGQSIDLASFGIDYDNHVESPFSKRAMYEKQGTFSLPHYLKNAPKMGKDIEPFAYNGMSDFLGSLESKCPATPEFQDFFVKLEDYMACFKLVSPESKDDMSVKSEKLFRAMILLDGTKGGTVDSWRMLDGMLSMGKVLVEMKKSGSKEITFEQRRDLISSMVKWARAIGLFVKTASGNKGKPIDLAPFGIDYENNVGNGKGNYRTGPVLIDDGSEL >fgenesh2_kg.8__2156__AT5G61740.1 pep chromosome:v.1.0:8:20169797:20174026:1 gene:fgenesh2_kg.8__2156__AT5G61740.1 transcript:fgenesh2_kg.8__2156__AT5G61740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSQEASFWAQANALLRKNLTYQASDLTNCASQDDFSSGDCPIPNPPLLPPLLQIPEPESRAVSGGFFSYEDLPDKSCRKTGTCPVTILVTGNNHSLGQALSGNMFGGSFAVNSSDLLSSLAYNVLGSTLAPGTNNYADPGIESDIPIYSIQSQCNPNSTWPLSFGKIHTVVNCVKGLSLWRNNSVEVNDELFKSSWKGNPERMTNEIAAAYDLLNTDRNNFDVTIWYNSTYIDDPSRAPLVRVPRLLNLVSNAYLKFLKGPRTRILFEFVKEVPKHQTKYHLDIASLLGPLFFTWVVLLLFPVILTSLVYEKQERLRIIMKMHGLGDVIGLRYFRLNDYSIQFVFYFIFVNLQISFAFLASSYSQVVAYTLVFASGLLGSFLFGELLDSPSFPEKGILALEMYPGFSLFRGLYEFSQYAFRGSGMKWKDLKESGMDKLFYLMSVEWFVILIVAYSIDLVSSSGKSPFVFLKNHFKKSSSLPSPSVQKQTSDNILIDMEKTDVTQEREKVEQLRKEGSTGHAIVCDNLKKVYPGSDGNPPKLAVRGLYLDVPSGECFGMLGPNGAGKTSFINMMTGLLKPTSGTALVQGLDICKDMNKVYTSMGVCPQHDLLWETLTGREHLLFYGRLKNIKGSALTQAVEESLKSVSLFDGGVADKPAGKYSGGMKRRLSVAISLIGNPKVVYMDEPSTGLDPASRKNLWTVIQRAKQNTAIILTTHSMEEAEFLCDRLGIFVDGGLQCVGNPKELKGRYGGSYVFTMTSSIEHEEKVERMVRHISPNAKRVYHLAGTQKFEIPKQEVMIADVFLMVEKAKRKFTVFAWGLADTTLEDVFFKVATTAQAFNSLS >fgenesh2_kg.8__2157__AT5G61750.1 pep chromosome:v.1.0:8:20174253:20174885:-1 gene:fgenesh2_kg.8__2157__AT5G61750.1 transcript:fgenesh2_kg.8__2157__AT5G61750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin family protein [Source:UniProtKB/TrEMBL;Acc:D7MKM5] MNFFVVIVFYANFLYVSADSDNMQDTCPTAPGEQSIFFINGYPCKNPTQINAQDFKSTKLTVAGDTDNYLQSSVTLLTASGFPGLNTLGLSVSRTDLERDGSVPFHSHPRSSELLFVVKGVVFAGFVDTNNKIFQTVLRKGDVFVFPKGLLHFCLSGGFERATAFSFYNSQNPGVVNIGGVFGIDQEHIKNMTRSLVTGSGSRVTNGDEL >fgenesh2_kg.8__2158__AT5G61760.1 pep chromosome:v.1.0:8:20175193:20176889:-1 gene:fgenesh2_kg.8__2158__AT5G61760.1 transcript:fgenesh2_kg.8__2158__AT5G61760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate multikinase [Source:UniProtKB/TrEMBL;Acc:D7MKM6] MLKVPEHQVAGHIASDGKLGPLVDDQGRFFKPLQGDSRGEHEAKFYESFTSNKKVPYHIRRYFPVCHGTQLVEASDGSGKLPHLVLDDVVSVYSNPSVMDVKIGSRTWYLDVSEEYFKKCIKKDRQTTTVSLGFRVSGFKIFDHQESSFWRAEKKLVLGYNADGARLALRKFVSSNSPADSNLTPNCAFASEVYGGSNGILAQLLELKDWFEIQTLYHFNSCSILMIYENESILMKEGDDAPEARAEVKLVDFAHVLDGNGVIDHNFLGGLCSFIKFIKDILQSVDKRDETDTSLLENGR >fgenesh2_kg.8__2163__AT5G61790.1 pep chromosome:v.1.0:8:20189605:20192251:-1 gene:fgenesh2_kg.8__2163__AT5G61790.1 transcript:fgenesh2_kg.8__2163__AT5G61790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calnexin 1 [Source:UniProtKB/TrEMBL;Acc:D7MKN0] MRQRQLFSGFLLLLAFVSFQKLCYCDDQTVLYESFDEPFDGRWIVSKNSDYEGVWKHAKSEGHEDYGLLVSEKARKYGIVKELDEPLNLKEGTVVLQYEVRFQEGLECGGAYLKYLRPQEAGWTPQGFDSESPYSIMFGPDKCGATNKVHFILKHKNPKSGEYVEHHLKFPPSVPYDKLSHVYTAILKPDNEVRILVDGEEKKKANLLSGEDFEPALIPAKTIPDPEDKKPEDWDERAKIPDPNAVKPDDWDEDAPMEIEDEEAEKPEGWLDDEPEEVDDPEATKPEDWDDEEDGMWEAPKIDNPKCEAAPGCGEWKRPMKRNPAYKGKWSSPLIDNPAYKGIWKPKDIPNPDYFELDRPDYEPIAAIGIEIWTMQDGILFDNILIAKDEKVAETYRQTTWKPKFDVEKEKQKAEEEAAGSADGLKSYQKVVFDLLNKVADLSFLSAYKSKITELIEKAEQQPNLTIGVLVSIVVVFFSLFLKLIFGGKKAAPVEKKKPEVAESSKSGDEAEKKEETAAPRKRQPRRDN >fgenesh2_kg.8__2167__AT5G61820.1 pep chromosome:v.1.0:8:20197167:20199664:-1 gene:fgenesh2_kg.8__2167__AT5G61820.1 transcript:fgenesh2_kg.8__2167__AT5G61820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLRRSLILFLVLVSLTISPSQGFLGTEKKIKSAVFYSPKLVMNPGSVANPYLLDIDFPRGHIGLKAFDAEVVDDAGNPVPLHETYLHHWIIEPYYVRKGSKLPQREMFRNHGFSRQDPESNLDSKSDIILVKNGGLCRSVVLRHYFGLGSETRKTSTYLPDPYAIEIGNPEETPDGYEFKWLLNIHAIDTRGVEDKKGCIECLCHLYNVTIDEYGRAIRPGYKGGLYCCYDKTQCRVKSGFDNGEKTRTLYLKYTVRWVDWDNSVLPAKVYIFDVTDSWERSEGSIENSQEHICHVEYEVKPCKTNGDGCIDVRKKSLMMPFDGYIVYGVAHQHAGGIGGALYRENGEGICTSMPKYGNGDEPGNEAGYIVGMSSCYPADPVKVSYGETLKLEFNYSNDVGHTGVMGLFYILVAQQLPEPENSLPKLFEAPARSLIFLAIFAATVVVAVVVLIAVVIYRRQNREDGYQSLST >fgenesh2_kg.8__2168__AT5G61840.1 pep chromosome:v.1.0:8:20202132:20204425:-1 gene:fgenesh2_kg.8__2168__AT5G61840.1 transcript:fgenesh2_kg.8__2168__AT5G61840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSWVLIFLLCNLTFLSSISAFRLSRSQPTERISGSAGDVLEDNPVGRLKVFVYELPSKYNKKILQKDPRCLNHMFAAEIYMQRFLLSSPVRTLNPEEADWFYVPVYTTCDLTPNGLPLPFKSPRMMRSAIQLIASNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQRNHVCLKEGSITVPPYAPPQKMQSHLIPEKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEDIGVFVDEKDVPYLDTILTSIPPEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQVLNGLARKLPHERSVYLRPGEKLLNWTAGPVADLKPW >fgenesh2_kg.8__216__AT5G45820.1 pep chromosome:v.1.0:8:1422640:1424060:1 gene:fgenesh2_kg.8__216__AT5G45820.1 transcript:fgenesh2_kg.8__216__AT5G45820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7MTA9] MDKNGIVLMRKYELGRLLGQGTFAKVYHARNIKTGESVAIKVIDKQKVAKVGLIDQIKREISVMRLVRHPNVVFLHEVMASKTKIYFAMEYVTGGELFDKVSKGKLKEDIARKYFQQLIGAIDYCHSRGVYHRDLKPENLLLDENGDLKISDFGLSALRESKQQDGLLHTTCGTPAYVAPEVIGKKGYDGAKADVWSCGVVLYVLLAGFLPFHEQNLVEMYRKITKGEFKCPNWFPPEVKKLLSRILDPNPNSRIKIDKIMENSWFQKGFKKIETPKSPDSHQIDSLISDVHAAFSVKPMSYNAFDLISSLSQGFDLSGLFEKEERSESKFTTKKEAKEIVSKFEEIALSSERFNLTKSNVGVKMEDKREGRKGQLAIDVEIFEVTKSFHMVEFKKSGGDTMEYKQFCDRELKPSLKDIVWKWQGNNNNSNNEKIEVIN >fgenesh2_kg.8__2171__AT5G61865.1 pep chromosome:v.1.0:8:20211922:20214486:1 gene:fgenesh2_kg.8__2171__AT5G61865.1 transcript:fgenesh2_kg.8__2171__AT5G61865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPPRAFYPRRFQAPPAQYTAEESAAAAAAAPDLRDKLATLVDRNKKVKMAYHQLQSQIAFGLVEAGEVFDSLAIPLMKLVGLKTSEMESEGRHSTFIFNTERYHMDTAQNGVRTGDLNNQIRSSKVENYAAKAESAGKEILHKHKGQLRQLVHMLKHIETQVNSHREDILQMVDDRRTFFQEFIQKSLYYLSSVHSKNHDTFPVTVKLLRVLFNNINEMLGSVDSGVNDLMQALAMNMCHPMTKYVGNLAAEIKHGPCVQLMNVVNEMERANSDTRRELQDARERIRLAEETKINALSKLKKAEDQVQRMTTSAKFLLPASQKKKQAEHSITGKRIGTEGSREHEEKLLWELLSKRRKQQEPESPMGPKELIRQSDTKQKTLVYNRRRTRSQALLSSQTARPDALIPLGLSPSARTVTWR >fgenesh2_kg.8__2174__AT5G61900.1 pep chromosome:v.1.0:8:20220840:20224555:-1 gene:fgenesh2_kg.8__2174__AT5G61900.1 transcript:fgenesh2_kg.8__2174__AT5G61900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDVSSGAGATAGVGGTASSAALGATNDAVDYYLKSKGFNGLFSQIELSFSASNLRDRDVLSKSDPMVVVYQKEKDATLSEVFRSEVVLNSLAPKWIKKFTVAYHFETVQTLVFRVYDVDTKFQNSREEMLKLDEQQFLGEATCALSEIITKSTRTSTLELKRKEGFAPQAQPHHGKLIIHAEESLASKISTEIVFRCSSLESKDLFSKSDPFLVVSKIVEHGTPIPVSKTEVRKNDHNPIWKPVFLSVQQVGSKNSPLLIECSDFNSNGKHSLIGKVQKSLSDLEKLHLAGQGFNLSLPTGAGQNKVLKSQLFVDKFTETVQHTFLEYLASGFELNFMVAIDFTASNGNPRLPDSLHYIDPSGRLNAYQRAIVDVGEVLQFYDSDKRFPAWGFGARPIDAPVSHCFNLNGSNSYSEVDGIQGIMTSYTSALFNVSLAGPTLFGPVINSAAMIASASLAQGSRKYYVLLIITDGVITDLQETKDALVSASDLPLSILIVGVGGADFKEMEILDADRGERLESSSGRLASRDIVQFVALRDVQHGEISVVQALLAELPSQFLTYMRIRNMKPIPP >fgenesh2_kg.8__2176__AT5G61910.2 pep chromosome:v.1.0:8:20225837:20229231:-1 gene:fgenesh2_kg.8__2176__AT5G61910.2 transcript:fgenesh2_kg.8__2176__AT5G61910.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEMEFSDGEQTNAYAHVTASQYFASPVYNRSLVAAYGNSAVGLEKGIETIQDHQENLPGYIFMCNGRTKTDCYRYRVFGIPRGRKDVVESIKPGMKLFLYDFEKRLLYGVYEATGGGRLDIEPEAFDKKYPAQVGFRIVMNCLPLPENIFKSAIYENYKGSKFKQELSPHQVMSLLSLFRPFTAPELDLLPNRLAYRASAPRTLSFEERFIAATQVRNASSVLDPLSARHAEPRLSSLMAHQSVPRTSLLQHSCSRQDDYTTPPRESLSNLNQPYYPTEARQQRLLGDPSRSDPPRSEPPRSSIQDPQLKYLTILSNIRRYGSASDRLASENEYYPATPSEKDQFASPYSDNKYYPSTLSANEHPSASAANGSVYRSEFYTSASQKEGEASEQHEIPSGTYNHPEASTVSYTTVSIQPDMQAVSVAQSHTKTAGYPTPAHGEASQPPAGAIGYTHQPQTVAGNYSTNSQPGNVEESTQSYAGTDSYSQQQYYAAMGHTTQLYAGGTGYIQKPHEIGYAQQPHAAATGYSQQPHAAATGYSQVPYAAATGYSQVPYAAATGYSQQPYAAATGYSQQPYAAATGYSQQPHAATAGYSQQPHSAATAHAQQSHAAATAHAQQSHAAATAHAQQPPSAATAHAQYTTQPHAQAVEYTMQPHAQAVGYMPQYHAQTVVYSQQGVTQGSVPGTPGTADWNAANQAYSATGDWNVVNQSYYPHTADATTTYYQTS >fgenesh2_kg.8__217__AT5G45810.1 pep chromosome:v.1.0:8:1425299:1426750:-1 gene:fgenesh2_kg.8__217__AT5G45810.1 transcript:fgenesh2_kg.8__217__AT5G45810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7MTB0] MADLLRKVKSIKKKQDQSNQQALILGKYEMGRLLGHGTFAKVYLARNAQSGESVAIKVIDKEKVLKSGLIAHIKREISILRRVRHPNIVQLFEVMATKSKIYFVMEYVKGGELFNKVAKGRLKEEMARKYFQQLISAVSFCHFRGVYHRDLKPENLLLDENGNLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARKGYDGAKVDIWSCGVILFVLMAGFLPFHDRNVMAMYKKIYRGDFRCPRWFPVEINRLLIRMLETKPERRFTMPEIMETNWFKKGFKHIKFYVEDDHQLCNVVDDDEIESIESVSGRSSTVSEPDDLESFDGRRRAFSMPRPASLNAFDLISFSPGFDLSGLFEDDREGSRFVSGAPVAQIISKLEEIAKIVSFTVRKKDCKVSLEGSREGSKKGPLSIAAEIFELTPALVVVEVKKKGGDKMEYDEFCNKELKPKLQNLSSENGESVSSSHSLPAYLLSDTD >fgenesh2_kg.8__2180__AT5G61930.2 pep chromosome:v.1.0:8:20230356:20232630:-1 gene:fgenesh2_kg.8__2180__AT5G61930.2 transcript:fgenesh2_kg.8__2180__AT5G61930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MKP1] KKASANLRLRNQKISFSSSIKPLTNLDVDVSVVDDDDPLYADVPKPRKDKSERKPYPTPMKELIRRAKEEKQLRKLQPCRVLEDPPDNGILVPELVDVAHCVHRCRNSLLSGLSKIIHHVPVHRCRLCSEVHIGKQGHEIRTCTGPGSGSRSATHVWKRGRASDVVLFPKCFHLYDRAVKPRVIHDERFTVPKISAVLELCIQAGVDLEKFPSKRRSKPVYSIEGRIVDFEDVNCENSEIAVTSTTTLLQEDDCCKEEKKKSLKELSIETMESWFEMVSGVRKLIERYKVWTCGYCPEIQVGPKGHKVRMCKATKHQMRDGMHAWQEATIDDVVGPNYVWHVRDPTDGSVLDNSLKRFYGKAPAVVEMCVQGGAPIPDQYKSMMRLDVVYPQRDEVDLVA >fgenesh2_kg.8__2186__AT5G61970.1 pep chromosome:v.1.0:8:20255629:20260312:1 gene:fgenesh2_kg.8__2186__AT5G61970.1 transcript:fgenesh2_kg.8__2186__AT5G61970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle subunit SRP68 [Source:UniProtKB/TrEMBL;Acc:D7MKP6] MGKKESEVSAMEIDDPKSESSDQILPRFSINVLQLMKSSQAQHGLRHGDYARYRRYCSARLRRLYKSLKFTHGRGKYTRRAILESTVTDVRFLHVVFYMAERAWSHAMEKRQLPDGPNARQRIYLVGRLRKAVKWASLFSSLCSIKTDSRTSLEAEAYASYMKGTLLFEQDQNWETGLACFKNARAVYEELGKYGDLENQVLCRERVEELEPSIRYCLHKIGKSNLQTSELLQIGEMEGPALDLFKAKIEAAMEEARSQQAASLTEFNWLGYRFPVSNPKSRVSILKAQELEKELQGPTAESLPVEKKLTIFDKLFTAYHDARNTIRNDLVSAGNAESVKDDLNGLDKAVGAVLGQRTIERNQLLVKIAKSKLNRKRDDKSEKVTRPEELVRLYDLLLQNVADLSDLISSGRDRKPEEIAFEEECQRKSLAFRAERCFYLAKSYSLAGKRAEAFALYSRARSLAEDALNKFQSIAKKDEGTIQELKTLIKECRANSCIEHATGIMEEERVPENLSNRISTISINDTAAQVEEKYLLDKLDVYESAVGDSNTKTAPKIERFPPAFQSIPRNPIVLDLAYHCIDFPDIENRMKKKGGGFMSRISLWGTKS >fgenesh2_kg.8__2187__AT5G61980.1 pep chromosome:v.1.0:8:20261401:20266219:1 gene:fgenesh2_kg.8__2187__AT5G61980.1 transcript:fgenesh2_kg.8__2187__AT5G61980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 1 [Source:UniProtKB/TrEMBL;Acc:D7MKP7] MHFAKLDDSPMFRQQMQSMEESAELLRLRCLRFYKGCRKYTEGLGEGYDADIGFVNALESFGGGHNDPICVAFGGPVMTKFTIALREIGTYKEVLRSQVEHTLNDRLLQFVNGDVHEVKEARKRFDKATITYDQAREKYLSLRKSTRLDVAATIEEDLHSARTTFEQARFHLVSALSNAEAKKRFEFLEAVSGTMDAHLRFFKQASFVLKTNFRARPQINNCPWIQSAWGYELLHQMEPFINQVLAYAHQSRECANYEMASLNERMQEYQRQVDRETRNSSGSPTGDGMRHNSRNSQKVIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFILDSRGMLYYYRKPWNWSSGNGSRSVVHRNMTSENSPGLLSRWLSSHYHAGVHDEKPVARHTVNLLTSTIKVDADQTDLRFCFRIISPTKVYTLQAENAQDQMDWIEKITGVIASLLSFQTPERAIMRLSTVDGGDTFSASDSGSLADPYDIEQAESGESTVEHPMTGGNRSRFSGCLQQHDMVKTEKPIDVLTRVLGNERCADCGAPEPDWASLNLGVLICIECSGIHRNLGVHISKVRSLTLDVKVWEPSVLTLFQSLGNVYVNSVWEELLNSESRSSSASRSSGTPKSDRPRKLLVRKPGFNDPISVKELFIHAKYSERIFVRKAIDSQHFQAVFQEIWENVRANDKKSVYRHIVCSEADVNALRGQASYTVSLPLAKMMQMEAKEETLQAKFKSIEEEFQENPEGYSNSRGEGESMVREETSNDCSLLHLACLSADIGMVELLLQYGAKINATDSKGRTPLHHCIISRRYAIARLLLMRGGDPNAVDKDNNIPVKYASQTDLNDSELIALLTDSKR >fgenesh2_kg.8__2188__AT5G61990.1 pep chromosome:v.1.0:8:20266655:20270347:-1 gene:fgenesh2_kg.8__2188__AT5G61990.1 transcript:fgenesh2_kg.8__2188__AT5G61990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSTLFRKRCLVKRANCLLFRSFSVNNEKLPDASAEIAGILNQGNWRETLVSSNLSIEINPEVVLSVLRSKRVDDPSKLLSFFNLVDSQKVTEQKLDSFSFLALDLCNFGSIEKAHSVVIRMIERKWPVAEVWSSIVRCLREFVGKSDDRVLLFGILIDGYIEKGFLDEAVFVFSSTKDLDLDLVPSLARCNHLLDALLKRNRLDLFWDVYKGMVERNVVFDVQSYEMLIGAHCRDGNVQLAKDVLLKTEEELGTATLNVDEALELKKSMSCKGLVPSRQSYNLLIDGLCKQKRLEDAKSLLVEMNSVGLFADNVAYSILIDGLLKGRNADAANGLVHEMVSHGFSIDPMMYDYFICVMSKEGAMEKAKALFDGMITFGVTPGARAYASLIEGFFREKNVRKGYELLVEIKKRNIVISPYTYGTAVKGMCSSGDLDGAYNIVKEMGASGCRPNVVIYTTLIKTFLQKSRFGDAVRVLKEMREQGIAPDTFCYNSLIIGLSKAKKMDEARSFLLEMVENGFKPDAFTYGAFISGYIEAGEFASADKYVKEMLECGVIPNKVLCTGLINEYCKKGKVIEACSAFRSMVEQGILGDAKTYTVLMNGLVKNGKVNDAEEIFHEMRGKGIAPDVFSYGTLIDGFSKLGNMQKASSIFDEMVQAGLTSNVIIYNMLLGGFCRSGEIEKAKELLDEMSGKGFPPNAVTYCTIIDGYCKSGDLAEAFQLFDEMKLKGLVPDSFVYTTLVDGCCRLNDVERAITIFETNEKGCASSSAPFNALINWVFKFGKTELTTDMINRLMDGSFDKFGKPNDVTYNIMIDYLCKEGNLEAAKELFHHMQKANLMPTVITYTSLLNGYDKMGRRSEMFSVFDEVIAAGIEPDNIMYSVIINAFLKEGMTTKALVLLDQMFAKNAVDDGCKLSISTCRALLSGFAKVGEMEVAEKVVENMVRLKYIPDSSTVIELINESCISSNQRMAADAAP >fgenesh2_kg.8__218__AT5G45800.1 pep chromosome:v.1.0:8:1434342:1437699:1 gene:fgenesh2_kg.8__218__AT5G45800.1 transcript:fgenesh2_kg.8__218__AT5G45800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKLFLLLSLVSFSHSDSSTVSCPNGTDFRQLTRVFRYVSGFNSSWFSSNCSAVITHVVLPSRNLNGTVSWNPLRNLTSLRVLDLSNNSLDGSLPTWLWSKPGLVSVNLSRNRFGGSIRVIPFNGSVLSSVKELNLSFNRFTNAVNLTGFTNLTSLDLSHNNLGVLPLGLGFLSGLRHLDLSRCKINGSIKPISGLKSLNYLDMSENSMNGSFPVDFPNLNHLQFLNLSANRFSGSVGFDKYRKFGKSAFLHGGDFVFNDSKIPNHHRLHHLRHRTVKTHRLKHTPLVIGLSSSLGALIILIFAVAIILIRRRMKSARTKSRWAISNPTPLDFKMEKSGPFEFGTESGSSWVADIKEPTAAPVVLASKPLMNLTFKDLIVATSHFGTESVISDGTCGPLYRAVLPGDLHVAIKVLERIRDVDQNDAVTAFEALTRLKHPNLLSLSGYCIAGKEKLILYEFMANGDLHRWLHELPAGETNVEDWSADTWESHVGDSSPEKTNWLIRHRIAIGVARGLAYLHHVGTTHGHLVATNILLTETLEPRISDFGINNISKTGDDTNNNNVEFDVYSFGVILFELLTGKQGRDENVKSVRRLVKERRGEEALDSRLRLAVGESVNEMVESLRVGYFCTAETPGKRPTMQQVLGLLKDIRTVSR >fgenesh2_kg.8__2193__AT5G62030.1 pep chromosome:v.1.0:8:20294424:20296707:1 gene:fgenesh2_kg.8__2193__AT5G62030.1 transcript:fgenesh2_kg.8__2193__AT5G62030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diphthamide synthesis DPH2 family protein [Source:UniProtKB/TrEMBL;Acc:D7MKQ2] MELSDLNNGKTNKPKQPPKRFIKNQIPESILGDASLNAAISILPSIYQFEVHKCVWRIKSSNAKRIALQLPEGLLMYALTLSDIFTSFAGASHCFVLGDVTYGACCVDDFSACALGADLLIHYGHSCLVPIDSTKIPCLYVFVEIQIDVKCLLNTIHMNLASDAKNIILAGTIQFTSAIRAVKPELEKQGFNVMIPQSKPLSAGEVLGCTAPKIKTVEDGKDQVLVFVADGRFHLEAFMIANPKIKAFRYDPYLGKLFLEEYDHKGMRETRRRAIARARDAKTWGIVLGTLGRQGNPMILERLEKKMVEKGIDSTVVLMSELSPTRVALFEDSVDAWVQIACPRLSIDWGEAFLKPLLTTFEAEIALGFIRGWWENGSSSRVESSSGCCKEDKERSCACKNEKVEDDKKDNDGALDGDYPMDYYAQEGGEWNSSYLKKSSRPIRRNSLPSSVV >fgenesh2_kg.8__2195__AT5G62050.1 pep chromosome:v.1.0:8:20301317:20304858:-1 gene:fgenesh2_kg.8__2195__AT5G62050.1 transcript:fgenesh2_kg.8__2195__AT5G62050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRTLAIRSTLFARRSQPSYHIIPLANDHERDSFSQETSQRSYHSFLHQRSVNNSDFSKVSGGGLYLPMAPMSAFAFYRYMSTAPGVGSEKIGVMSDIAEVITDSTLQDVPAQAAAAVSEVTLAAADSFFPIAALQHCIDMVHSFTGFGWWASIVVATILIRSSTVPLLIKQMKDTTKLALMRPRLESIREEMQNKGMDSVTMAEGQKKMKNLFKEYGVTPFTPMKGMFIQGPLFICFFLAIRNMAEKVPSFQTGGALWFTDLTTPDSLYILPVITGLTFLITVECNAQEGMEGNPMAGTVKNVCRGFALLTVPMTMSFPQAIFCYWITSNLFSLMYGLVIKRPQVKKMLRIPDLPPPPPGQQPSFDLFSALKKMKAMTQDHTQNQTLPPSPVNPRISSQSLSPVSKRLKALESQVKGRKKNSSKKK >fgenesh2_kg.8__2197__AT5G62070.1 pep chromosome:v.1.0:8:20307169:20309829:-1 gene:fgenesh2_kg.8__2197__AT5G62070.1 transcript:fgenesh2_kg.8__2197__AT5G62070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFGRLFGSKKSDKSAPSRDKRRWSFTTRSSNSSKRAPAAKTFVEEQNGLDADKHAIAVAAATAAVAEAALTAAHAAAEVVRLTSGRNGGGGGNSSVFQIGRSNRRWAQENLAARKIQSAFRGYLARRALRALKALVKLQALVRGHIVRKQTADMLRRMQTLVRLQSQARARASRSSHSSASFHSSTALLFPSSSSSPRSLHTRCVSSAEVISMDHRGGSKRLDWQAEEGEDGDKILEVDTWKPHYHPKPLRSERNNESPRKRQQSLLGPRSTENSPQVGSSGSRRRTPFTPTSRSEYSWGCNNYYYSGYHPNYMANTESYKAKVRSQSAPKQRVEVSNETSGYKRSVQGQYYYYTAVAEESLDVGSAGYYGGGVSDRLNRNQSAKSRMHSSFLV >fgenesh2_kg.8__2199__AT5G62080.1 pep chromosome:v.1.0:8:20310854:20311882:-1 gene:fgenesh2_kg.8__2199__AT5G62080.1 transcript:fgenesh2_kg.8__2199__AT5G62080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKSLAAVLSVLFLAMTAIEPVVQAQECGNDLANVQVCAAMVLPGSGRPNSECCAALQSTNRDCLCNALRAATSLPSLCNLPPVDCGTDLLYF >fgenesh2_kg.8__2203__AT5G62100.1 pep chromosome:v.1.0:8:20319116:20320392:1 gene:fgenesh2_kg.8__2203__AT5G62100.1 transcript:fgenesh2_kg.8__2203__AT5G62100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 2 [Source:UniProtKB/TrEMBL;Acc:D7MLA3] MRSGTTATASGDGELELRPGGMVVQKRTEHSSGVPRVIRVRVKYGSVHHEISINSQSTFGELKKILSGATGVHHQDMKIIYKDKERDSKMFLDLSGVKDRSKLILKEDPISQEKRLLELRKIAAKEKSTKAISDISFQVERLAGQLSAFDSVIGKGGKVEEKNLENLMEMLMNQLAKLDAISGDGDVKLKKKMQEERLQKCIVALDLLNIKNSTQPQPKYKERDLLTFDEEASRKPTISSSSPPVIITTRWETFDSNSASTTTDTVQTVKPVHPKFKWELFN >fgenesh2_kg.8__2206__AT5G62140.1 pep chromosome:v.1.0:8:20335191:20336061:-1 gene:fgenesh2_kg.8__2206__AT5G62140.1 transcript:fgenesh2_kg.8__2206__AT5G62140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTKINPLTTIYKSPTRYINHKSQNQIKLISNSLNPKPTTTQLPNNLYSVSFKTIGLGKLGISRYPDFEYSPQGGSGAGTAKKIDDKNRASNSELSVCFNVATLYIPSLTSQTTKFLGFPLPPFLRIDISPEIFQGTIDQESGKVELEFMAKFFFTAGGGIYRAPALVVKTVLTTEESIGVTKRGKGERMDGEGKCRLVGVAKVETVDDLFMNTFLSLPAECLADLQAIISVSDNQS >fgenesh2_kg.8__2211__AT5G62180.1 pep chromosome:v.1.0:8:20370621:20371620:-1 gene:fgenesh2_kg.8__2211__AT5G62180.1 transcript:fgenesh2_kg.8__2211__AT5G62180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATTPISDPYAFLNIVKNPDGSITRDLTNFPCAAATPDPTPENPAVSKDLPVNQSKSTWLRLYLPSSAVNDGVSSQKLPLVVYYHGGGFILCSVDMQPFHDFCSEMARDLNAIVVSPSYRLAPEHRLPAAYDDGMEALEWIKTSDDEWIKSHADFSKVFLMGTSAGGNLAYNVGLRSADSVSDLNPLQIRGLILHHPFFGGEERCGSEIRLVNDQVCPPIVTDVMWDLSLPVGVDRDHEYSNPTVGDGSEDLEKFGRLRWKVMMIGGEDDPMIDRQRDVAKLMKKRGVELVEHYTVGHVHGAEIGEPSKRKTLFLSIKNFISSLL >fgenesh2_kg.8__2212__AT5G62190.1 pep chromosome:v.1.0:8:20372248:20375926:-1 gene:fgenesh2_kg.8__2212__AT5G62190.1 transcript:fgenesh2_kg.8__2212__AT5G62190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLMLSDKKEEKKMKKKIALDTPELDSKKGKKEPKLKLSDSDEEESEKKKSKKKDKKRKASEEEDEVKSDSSSEKKKSSKKVKLGVEDVEVDNPNAVSNFRISAPLREKLKAKGIEALFPIQATTFDMVLDGADLVGRARTGQGKTLAFVLPILESLVNGPAKNKRKMGYARAPSVLVLLPTRELAKQVAADFDAYGGSLGLSSCCIYGGDSYTAQENKLRRGVDIVVGTPGRIKDHIERQNIDLSHLQFRVLDEADEMLRMGFVEDVELILGKVEDPTKVQTLLFSATLPSWVKNISNRFLKRDQKTIDLVGNDKMKASNSVRHIAIPCNKAAMARLIPDIISCYSSGGQTIIFAEKKNEVSELSGLLAGSRALHGEIPQAQREVTLAGFRNGKFSTLVATNVAARGLDINDVQLIIQCEPPREVEAYIHRSGRTGRAGNTGVAVTLYESRKSSVSRIEKEAGIKFEYISAPQPDDIARAVGMEAAENIKKVCDSVVPAFLGAAKELLESSGLSAEVLLAKALAKTAGFTEIKKRSLLTSMENHVTLQLEAGKPMYSPSYVYGLLRRVLPDDKVEQIEGLSLTADKSGAVFDVKQSDLALFLAAGQKSAGSMSLEVVKEMPKLQEREPLPQKRYGRNAGGNRFGGGGGGNRFGGGGRGRGGGRGQRY >fgenesh2_kg.8__2213__AT5G62200.1 pep chromosome:v.1.0:8:20376289:20377726:-1 gene:fgenesh2_kg.8__2213__AT5G62200.1 transcript:fgenesh2_kg.8__2213__AT5G62200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVRLFTLISFAFFIFISVSESKVLEPHVAESFNVSLIQRLGNTCSYTVIISTSCSSTRYTRDQISVAFGDGYGNQIYAPRLDDPSTKTFEQCSSDTFQINGPCTYQICYVYLYRSGPDGWIPDSVKIFSHGSKAVTFSYNTHVPESVWYGFNYCNRASDSNVLAIGLRRIVIILLGFVVAGTTLLL >fgenesh2_kg.8__2215__AT5G62230.1 pep chromosome:v.1.0:8:20388068:20394116:1 gene:fgenesh2_kg.8__2215__AT5G62230.1 transcript:fgenesh2_kg.8__2215__AT5G62230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKMQRMVLCLAMVVFLLLGVASSINNEGKALMAIKGSFSNLVNMLLDWDDVHNSDFCSWRGVYCDIVTFSVVSLNLSSLNLGGEISPAMGDLRNLESIDLQGNKLAGQIPDEIGNCASLVYLDLSDNLLYGDIPFSISKLKQLETLNLKNNQLTGPVPATLTQIPNLKRLDLAGNHLTGEISRLLYWNEVLQYLGLRGNMLTGTLSSDMCQLTGLWYFDVRGNNLTGTIPESIGNCTSFQILDISYNQITGEIPYNIGFLQVATLSLQGNRLTGRIPEVIGLMQALAVLDLSDNELVGPIPPILGNLSFTGKLYLHGNKLTGPIPSELGNMSRLSYLQLNDNKLVGTIPPELGKLEQLFELNLANNRLVGPIPSNISSCAALNQFNVHGNLLSGSIPLAFRNLGSLTYLNLSSNNFKGKIPVELGHIINLDKLDLSGNNFSGSVPLTLGDLEHLLILNLSRNHLSGQLPAEFGNLRSIQMIDVSFNLISGVIPTELGQLQNLNSLILNYNKLHGKIPDQLTNCFALVNLNVSFNNLSGIIPPMKNFSRFAPASFVGNPYLCGNWVGSICGPLPKSRVFSKGAVICIVLGVITLLCMIFLAVYKSKQQKKILEGPSKQADGSTKLVILHMDMAIHTFDDIMRVTENLSEKFIIGYGASSTVYKCALKSSRPIAIKRLYNQYPHNLREFETELETIGSIRHRNIVSLHAYALSPVGNLLFYDYMENGSLWDLLHGSLKKVKLDWETRLKIAVGAAQGLAYLHHDCTPRIIHRDIKSSNILLDENFEAHLSDFGIAKSIPASKTHASTYVLGTIGYIDPEYARTSRLNEKSDIYSFGIVLLELLTGKKAVDNEANLHQLILSKADDNTVMEAVDPEVTVTCMDLGHIRKTFQLALLCTKRNPLERPTMLEVSRVLLSLLPSLQVAKKLPSHDQSTKKPQQENEVRNHDAEASQWFVQFREVISKSSI >fgenesh2_kg.8__2216__AT5G62240.1 pep chromosome:v.1.0:8:20394199:20396488:-1 gene:fgenesh2_kg.8__2216__AT5G62240.1 transcript:fgenesh2_kg.8__2216__AT5G62240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPESSSSISFSGDDFEFDIDFEFDAPRFYDFSRPELDSETEEIETWFQSSGNYPPSPFSPKFNWKFEPFKQITNTISDSKPVEIIESSLDTDTGLNGKDKFNGFIYYNQTVKDVSNTKSKSKTKPSNSTLTRPTASLLARQNKPLDIYSVQLLTRCQRSLAKFGENVSPILVSKLQNQDTNRQKMEAKVAPVSRRTKLTVPKEPNLRTAERSERHRSKVNSETEQIATSSSKRHIRNKNIALEPSSTSLPKSNTPRSQQFQAFRLRTSLRAKERSSNAKTDVIQENDATNSRTLKRSQPIDSSKTRIVKENHSRKINCQVYESKTSPLDPKVSSKGKLGEAIRIEYGNQSSCRTDINRSLDLCRKFDSQEVARNLITA >fgenesh2_kg.8__2217__AT5G62250.1 pep chromosome:v.1.0:8:20397370:20399697:1 gene:fgenesh2_kg.8__2217__AT5G62250.1 transcript:fgenesh2_kg.8__2217__AT5G62250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule associated protein family protein [Source:UniProtKB/TrEMBL;Acc:D7MLC0] MSNSQIESTCASLLQQLEIIWNEVGETETEREKVLIEIEEECREVYNRKIEKFKEERIRIKQEIADSEARVIDICSVMDEPPILGRQHQSDQQNGRSLKDELVKILQKLEEMEKRKSERKKQFIQVIEDIRCVRDEINGESYQSTCSSDFSVDESDLSLRKLEELHRELYTLQEQKRNRVKQIQDHLKTLESLCSVLGLNFRETVTTIHPSLVESEGSRSISNETLDKLALSIKNWHEIKIQRMQELQDLVTTMLEFWNLMDTPAEEQQKFMDVSCNIAATVSEITKPNSLSIDLLEEVKAELCRLEELKWSKMKELVLKKRSELEEICRRTHIVLEEEEDIAVENVIKAIESGDVNPENILEQIEYRAGKVKEEALSRKEILEKAEKWLNACEEENWLEEYNQDENRYNAGKGSHLILKRAEKARALVNKLPAMVEALASKITIWESEKESEFLFDGNRLLSMLEEYSELREEKEQERRRKRDLKKLQGQVISEQDKGNVTKPQSAKKGLKVSTNKRFVSSPHTPRADSPQSAKSNQYFTPLSRHS >fgenesh2_kg.8__2218__AT5G62260.1 pep chromosome:v.1.0:8:20400668:20403275:1 gene:fgenesh2_kg.8__2218__AT5G62260.1 transcript:fgenesh2_kg.8__2218__AT5G62260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGGISPSGVVTVKEDEALVPRTEFQQNPSFLQFVSPTTVVSPLPLPPAPSPAPVPATVTPDSAAASTGSDPTKKKRGRPRKYAPDGSLNPRFSRPTLSPTPISSSIPLSGDYQWKRGKAQQQHQPLEFVKKSHKFEYGSPAPTPPPPGLSCYVGANFTTHQFTVNAGEDVTMKVMPYSQQGSRAICILSATGSISNVTLGQPTNAGGTLTYEGRFEILSLSGSFMPTENGGTKGRTGGMSISLAGPNGKIFGGGLAGMLIAAGPVQVVMGSFIVMHQAEQNQKKKPRVMEAFAPPPQQPPQLQQQQPPTFTITTVNSTSPATVEEPKQQPYGGGIVRPMAQMSSSFQNENSTMNNFTPPYHGYGNMNTGTNKDEHEDDDGGEDDDSGDTRSQSLSG >fgenesh2_kg.8__2222__AT5G62290.2 pep chromosome:v.1.0:8:20411322:20412725:1 gene:fgenesh2_kg.8__2222__AT5G62290.2 transcript:fgenesh2_kg.8__2222__AT5G62290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLRDFTLRTGDGSGKPVLDEANGEELMHVQTSVAVALGNLPVESPGTLYITSRKLIWLSDVDMAKGYAVDFLSISLHAVSRDPEAYSSPCIYTQIEIEEDEDDESDTESSTEVLDLSKIREMRLVPSDPSQLETLFDVFCECAELNPEPVEEEEEDRGHNWVFSADQMDVRGGADEDAEWQISQSPTSVIGHSNGGEALAQPMLELQINDQRFEDAEEMVHESETKDH >fgenesh2_kg.8__2224__AT5G62300.1 pep chromosome:v.1.0:8:20413004:20414082:-1 gene:fgenesh2_kg.8__2224__AT5G62300.1 transcript:fgenesh2_kg.8__2224__AT5G62300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S20 [Source:UniProtKB/TrEMBL;Acc:D7MLC5] MTSYQPMKPGKAGLEEPLEQIHKIRITLSSKNVKNLEKVCTDLVRGAKDKRLRVKGPVRMPTKVLKITTRKAPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >fgenesh2_kg.8__2228__AT5G62350.1 pep chromosome:v.1.0:8:20435794:20436619:1 gene:fgenesh2_kg.8__2228__AT5G62350.1 transcript:fgenesh2_kg.8__2228__AT5G62350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQYLFLLLSLSYLFSPELTAATAASQTGASKKAINFIQSSCKTTTYPALCVHSLSVYANDIQTSPKRLAETALAVTLNRAQSTKLFVSRLTRMKGLKKREVEAVKDCVEEINDTVDRLTKSVQELKLCGSARDQDQFAYHMSNAQTWTSAALTDENTCSDGFSGRVMDGRIKNSVRARIMNVGHETSNALSLINAFAKTY >fgenesh2_kg.8__2229__AT5G62360.1 pep chromosome:v.1.0:8:20438895:20439485:1 gene:fgenesh2_kg.8__2229__AT5G62360.1 transcript:fgenesh2_kg.8__2229__AT5G62360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7MLV5] MGESFKFNHHHFLTSLLVIIAMLKSVHTTTTTNTEFVKSSCTFTTYPRLCFSSLSTHASLIQTSPKIMAHAALNITLASAKATSAMMVRLSNSRLKPREISAMRDCVEELGDTLEELRKSIGEMCRLSGSNYEVYMSDIQTWVSAALTDVNTCTEGFEGDDMNGKVKVLVRGRILVIAHLTSNALALINHFASIHG >fgenesh2_kg.8__222__AT5G45770.1 pep chromosome:v.1.0:8:1453502:1454775:-1 gene:fgenesh2_kg.8__222__AT5G45770.1 transcript:fgenesh2_kg.8__222__AT5G45770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQQPQPSLLLLLILLLLATVSASPLHPKQLKSLQSLNISTPTNDPCNNNQSSSTSITCDNASPYRHITSLSFTNCSSTLSLPSKTLKPLSKSLLSLSFNNCPSLSPPYHLPISLHSFSAVSSFLHNNHTRLSGLFLARLKNLKTLYISSTPISTSRRLYVILGNMHKLTSLTISNSNLSGFIPKSFHSNLTYIDLSNNSLKGSIRISITRLTNLKSLNLSHNSLSGQIPNKIKNLIFLKNLSLASNRLTGTIPNSLSSISELTHLDLSMNQLNGTVPSFFSEMKNLKHLNLADNSFHGVLPFNEIFIKNLNFFEIGGNSELCYNKTVVSSNLKLEGLAPCDKYGFPLWSPSQKEESLSGENDYGDYNGEGGNEKMTKKKEEEEEHNGSNKTLFGLGIGLFSIVFLILFLFYLAKRCRWI >fgenesh2_kg.8__2230__AT5G62370.1 pep chromosome:v.1.0:8:20440018:20442969:-1 gene:fgenesh2_kg.8__2230__AT5G62370.1 transcript:fgenesh2_kg.8__2230__AT5G62370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MLV6] MMFKAKALCYRFLKSRKASTCALSSELSPSTSAAVVSAASGDHRSRCLSLIVKLGRRGLVNSAREVIRRVIDGCSSISEAASVADFAVNNGIELDSCCCGALIRKLTEMGQPGLAETFYNQRVIGNGIVPDSSVLDSMVFCLVKLRRFDEARAHLDRIIASGYAPSRDSSSLVVDELCNQDRFLEAFHCFEQVKERGSGLWLWCCKRLFKGLCGHGHLDEAIGMLDTLCEMTRMPLPVNLYKSLFYCFCRRGCAAEAEALFDHMEVDGYFVDKVMYTCLMREYCKDNNMTMAMRLYLRMVERSCELDPCIFNTLIHGFMKLGMLDKGRVMFSQMIKRGVQSNVFTYHVMIGSYCKEGNVDYALRLFENNTGVEDISRNVHCYTTLISGFYKKGGMDKAVDLLMRMLDNGIVPDHITYFVLLKMLPKCHELKYAMVILQSILDNGCGIDPPVIDDLGNIEVKVESLLEEIARKDANLAAVGLAVVTTALCSQRNYIAALSRIEKMVNLGCTPLPFSYNSVIKCLFQENIIEDLGSLVNLIQELDFVPDVDTYLIVVNELCKNNDRDAAFSVIDVMEELGLRPTVAIYSSIIGSLGKQGRVVEAEETFAKMLESGIQPDEIAYMIMINAYARNGRIDEANELVEEVVKHFVRPSSFTYTVLISGFVKMGMMEKGCQYLDKMLEDGLSPNAVLYTSLIGHFLKKGDFKFSFTLFGLMGENGIKHDHIAYITLLSGLWRAMARKKKRQVIVEPGKEKLLQRLLQTKPIVSISSSLCNYGSKSFAMEVIGKVKKSIIPNLYLHNTIVTGYCAAGRLDEAYNHLESMQKEGIVPNQVTYTILMKSHIEAGDIESAIDLFEETKCEPDQVMCSTLLKGLCESERPLDALALMLEMQKKGIYPNKDSYEKLLQCLCYSRLTMEAFKVVKDMAALDIWPRSINHTWLIYILCEEKKLREARALFAIMVQSGRSLLNCTKPGLLKMLNQNQQL >fgenesh2_kg.8__2234__AT5G62410.1 pep chromosome:v.1.0:8:20455205:20461589:1 gene:fgenesh2_kg.8__2234__AT5G62410.1 transcript:fgenesh2_kg.8__2234__AT5G62410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:UniProtKB/TrEMBL;Acc:D7MLW0] MHIKEICLEGFKSYATRTVVSGFDPHFNAITGLNGSGKSNILDSICFVLGITNLQQVRAANLQELVYKQGQAGITKATVSVTFDNSERHRSPLGYEEHPEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYENKKEAALKTLEKKQTKVDEINKLLDHEILPALEKLRKEKSQYMQWANGNAELDRLRRFCIAFEYVQAEKIRDSAVLGVGEMKAKLTKIDEETEKTQEEIQEIEKQIKALTRAKEASMGGEVKTLSEKVDSLAQEMTRESSKLNNKEDTLLGEKENAEKIVHSIEDLKKSVKERAAAVKKSEEGAADLKQRFQELSTTLEVCEKEHQGVLAGKSSGDEEKCLEDQLRDAKIAVGTAGTELKQLKTKIEHCEKELNDRKSQLMSKREEAIEVENELRARKNDVERVKKALESIPYNEGQMEALEKDRGAELEVVQRLEDKVRGLSAQLANVQFTYRDPVRNFDRSKVKGVVAKLIKVKDRSSMTALEVTAGGKLYNVVVDSEDTGKQLLQNGALRRRVTIIPLNKIQSYVVQPRVQQVTARLVGKENAELALSLVGYSDELKNAIEYVFGSTFVCKTTDAAKEVAFNRDIRTPSVTLEGDIFQPSGLLTGGSRKGGGDLLRQLHDLAEAESKLQGHQKRLDDIEAQIKELQPLQKKFTDVNAQLELKTYDLSLFLKRAEQNEHHKLGEAVKKLEEELEAAKFQIKEKELAYKNCVDAVSKLENSIKDHDKNREGRLKDLEKNIKTIKAQMQAASKDLKSHENEKEKLVMEKDAMGKEQSSLESHLASLETQISTLTSEVDEQRAKVDALQKIHDESLAELKLIHAKMKECDTQISGFVTAQEKCLQKLSDMKLERKKLENEVVRMETDHKDCSVKVDKLVEKHTWIASEKQLFGKGGTDYDFEFCDPYIAREKLEKLQSDQSGLEKRVNKKVMAMFEKAEDEYNALISKKNTIENDKSKITKVIEELDEKKKETLKVTWVKVNQDFGSIFSTLLPGTMAKLEPPEGGSFLDGLEVRVAFGKVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVTKQTK >fgenesh2_kg.8__2237__AT5G62440.1 pep chromosome:v.1.0:8:20493167:20494740:-1 gene:fgenesh2_kg.8__2237__AT5G62440.1 transcript:fgenesh2_kg.8__2237__AT5G62440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQEILDSVSAQVNPDQKVDMEVEGVAPKAETGDEKREREETEEEENGGESKKQKVAEEEKSGPVKLGPKEFVTSVAMFDYFVKFLHFWPTDLDVNKYEHMVLLDLIKKGHSEPEKKIGGGIKTFQVRTHPMWKSRCFFLVREDDTADDFSFRKCVDHILPLPENMKSPGANGNGHGGGGRGGGGGRRGGRGGGRGGRFRR >fgenesh2_kg.8__2238__AT5G62460.1 pep chromosome:v.1.0:8:20497342:20499728:1 gene:fgenesh2_kg.8__2238__AT5G62460.1 transcript:fgenesh2_kg.8__2238__AT5G62460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLSSSTDRLVTPESLNSERGSNQSIESSGQSSKAQGSTSLSMDGKTEEDEATEQRDVAEEEEPLIQSVECRICQEEDSVKNLESPCSCSGSLKYAHRKCVQRWCNEKGDTTCEICHKSYQPGYTAPPPPPADDTIIDIGEDWANGVPLDLNDPRILAMAAAERHFFDADYDEYADSNSSGAAFCRSAALILMALLLLRHALNLTNNNSDDEEDDPSAFFFLFMLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAAAEVAFMLHSGGGGGGQRRGGLHFTVPPELISNPHHQPEASP >fgenesh2_kg.8__2239__AT5G62470.1 pep chromosome:v.1.0:8:20501726:20503314:-1 gene:fgenesh2_kg.8__2239__AT5G62470.1 transcript:fgenesh2_kg.8__2239__AT5G62470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCEKIGVKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLRCSKSCRLRWTNYLRPGIKRGNFTEHEEKTIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKINESGEEDNDGVSSSNTSSQKNHQSTNKGQWERRLQTDINMAKQALCEALSLDKPSSSSSLPTPVIAQQNIHSFSSALLDRCYDPSSSSSSTTTTTTTSNTTNPHPSGVYASSAENIARLLQNFMKDTPKALTLSSSSPVSETGPLAAAVSEEGGEGFEQSFFSFNSMEETQNLTQATSFFHDQEIKPEIIMDQDHGLISQGSLSLLEKWLFDEQSHEMVGMVALEGQEGMF >fgenesh2_kg.8__2241__AT5G62480.1 pep chromosome:v.1.0:8:20512141:20513062:-1 gene:fgenesh2_kg.8__2241__AT5G62480.1 transcript:fgenesh2_kg.8__2241__AT5G62480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 9 [Source:UniProtKB/TrEMBL;Acc:D7MMB4] MDEEIENKVILHGSYASPYSKRIELALKLKSIPYQFVQEDLQSKSQTLLRYNPVHKKIPVLVHNGKPISESLFIIEYIDETWRNGPHLLPEDPYRRSKVRFWANYIQLHLYDVVIKVVKSEGEEQERALTEVKEKLRVIEKEGLKEIFSDTDGEPTVTNETMSLVDIVMCTLLSPYKAHEEVLGLKIIDPEIVPGVYGWINAINETRVVKDLSLPYEQVLEILRVFRQMSLSRS >fgenesh2_kg.8__2243__AT5G62490.1 pep chromosome:v.1.0:8:20513921:20515240:1 gene:fgenesh2_kg.8__2243__AT5G62490.1 transcript:fgenesh2_kg.8__2243__AT5G62490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:D7MMB5] MSSGIGSLAKVIFKNFDVIAGPVISLVYPLYASVRAIESRSHGDDKQWLTYWALYSLIKLFELTFYGLIEWIPLYPYAKLALTSWLVLPGMSGAAYLYEHYVRSFLLSPHTVNVWYVPAKKDDDLGAAAGKFTPVNDSGAPQEKIVSSVDTSAKYVGHSAFDDTYSY >fgenesh2_kg.8__2244__AT5G62500.1 pep chromosome:v.1.0:8:20516708:20519185:1 gene:fgenesh2_kg.8__2244__AT5G62500.1 transcript:fgenesh2_kg.8__2244__AT5G62500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEB1B [Source:UniProtKB/TrEMBL;Acc:D7MMB6] MATNIGMMDSAYFVGRNEILSWINDRLHLNLSRIEEAASGAVQCQMLDMTFPGVVPMHKVNFEAKNEYEMIQNYKVMQEVFTKLKITKPLEVNRLVKGRPLDNLEFLQWLKRFCDSINGGIMNENYNPVERRSRGGREKSVKGSSKISKSLQTNNMHHPPVTTSNKPAGPKQAKSHAIGGGSNTSAEVQALSKEVADLKVSVDLLEKERDFYFSKLRDIEILCQTPELDDLPIVVAVKKVLYATDADESVLEEAQECLNQSLGLEVDEEEGKEEEEEEEEEAAAETQT >fgenesh2_kg.8__2248__AT5G62530.1 pep chromosome:v.1.0:8:20523916:20528270:-1 gene:fgenesh2_kg.8__2248__AT5G62530.1 transcript:fgenesh2_kg.8__2248__AT5G62530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALDH12A1 [Source:UniProtKB/TrEMBL;Acc:D7MMB8] MYRVFASRALRAKSLYDKSSTSLASLTLSRLNHSIPFATVDAEELSGAHPAEVQSFVQGKWIGSSNHNTLLDPLNGEPFIKVAEVDETGTQPFVDSLSQCPKHGLHNPFKSPERYLLYGDISTKAAHMLALPKVADFFARLIQRAAPKSYQQAAGEVFVTRKFLENFCGDQVRFLARSFAIPGNHLGQQSHGYRWPYGPVTIVTPFNFPLEIPLLQLMGALYMGNKPLLKVDSKVSIVMEQMMRLLHYCGLPAEDVDFINSDGKTMNKILLEANPRMTLFTGSSRVAEKLALDLKGRIRLEDAGFDWKVLGPDVQEVDYVAWQCDQDAYACSGQKCSAQSMLFVHENWSKTPLVSKLKELAERRKLEDLTIGPVLTFTTEAMLEHMENLLQIPGSKLLFGGKELKNHSIPSIYGALEPTAVYVPIEEILKDSKTYELVTKEIFGPFQVVTEYKKDQLPLVLEALERMHAHLTAAVVSNDPIFLQEVIGNSVNGTTYAGLRGRTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREVIYDYGPVPQGWELPPST >fgenesh2_kg.8__224__AT5G45760.1 pep chromosome:v.1.0:8:1455154:1457352:-1 gene:fgenesh2_kg.8__224__AT5G45760.1 transcript:fgenesh2_kg.8__224__AT5G45760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7MTB8] MAMAMEEPRKLRGHKSTATCCIASRDRPGLVLTSGEDGCVCWFDLRCKDVQFIIDVGTEPVSSFCFKTGNENILYASHGNDIKSFDVHTLSANSWKPLESFSYNKDEVNQVVCNGKSSFLASADDSGDVKIIDIGQKCLYKTLRAGHTSICSSVQFIPWRPWEVITGGLDSKLVLWDFSKGRSQKIIDFGSDTQSNSGQCLNPAFVHSIAIPEMDMVDKLGKICVVARGDGIVDLINIESELSRKGTSSKGSSSSNNVIKRVCLDYSVGGHNAAVSCVAFSLFKEKGRFLISGGNDKTVKIWDCFKCLDPDNNNNNRDFLHLNINLSKKVNWLCTNQSDSENLVVCDTTRVVKVYSIS >fgenesh2_kg.8__2251__AT5G62560.1 pep chromosome:v.1.0:8:20534408:20536313:1 gene:fgenesh2_kg.8__2251__AT5G62560.1 transcript:fgenesh2_kg.8__2251__AT5G62560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7MMC1] MGGNKQKWYSFHQRSSSATTTTIPQHKHDETPPEFLCPITGFLMSDPVVVSSGQTFERLSVQVCRNLGYIPDLLDGTRPDLSTVIPNLAMKSTIFSWCDRNKVDHPRPPDSAYVEGVVRARMDKDPNPSPTPSQSPGLDTTTPESEILPPVEEDSPSDYDAVMEAIRARSKNSMSPTTSLESVTIGQSPYHPVRAVSMFSSSTTSSSSGVFAGADSPFRNAISFSSSDHSSSPMSPEEEEIFNKLRGTDIFDHEQGLILLRKMTRSGEDLRVSLCTDRILSFLRTLLVSRYNIVQTNAAASLVNLSLEKQNKVKIVRSGFVPLLIDVLKSGTTEAQEHVAGALFSLALEDENKMVIGVLGAVEPLLHALRSSESERARQDAALALYHLSLIPSNRTRLVRAGAVPTLLSMVRSGDSTSRILLVLCNLAACPDGKGAMLDGNAVAILVGKLREVGGGDSEAARENCVAVLLTLCQGNLRFRGLASEAGAEEVLMEVEKNGNERVKEKASKILQAMRGGGGGESEYGENAEAREWNRMLEATGLSRTQFQGGQNGGFAYSSQF >fgenesh2_kg.8__2254__AT5G62575.2 pep chromosome:v.1.0:8:20541703:20543302:1 gene:fgenesh2_kg.8__2254__AT5G62575.2 transcript:fgenesh2_kg.8__2254__AT5G62575.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLNNASISSQFRSSSSQKTGDALSISRRGFHIEPGTREKALLAEDSALKRFKSHKKSVHKLKRIGDVLTVVVVAGCCYEIYVKAVIGKEALAAGKSS >fgenesh2_kg.8__2256__AT5G62600.1 pep chromosome:v.1.0:8:20548130:20557242:-1 gene:fgenesh2_kg.8__2256__AT5G62600.1 transcript:fgenesh2_kg.8__2256__AT5G62600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQNAVKEALNALYHHPDDTVRVQADRWLQTFQGTLDAWQAIHVADNLLHDSSSNLETLIFCSQTLRSKVQRDFEELPPGAFQKLRQSLTTLLKKFHKGPPKVRTQISIAVAALAVHVPAADWGDGGIISWLRDEMNMHPEYVPGFLELLTVLPEETFNYKIAARPDRRRQFENELTSQMDAALNILTACLNITELKEQVLEAFASWLRLRHGIPGAVLAGHPLVHAALSSLNCDPLSEASVNVISELIHHTASPSSGGISAQTPLIQVIVPQILSLKAHLRDSSKDEEDVKAIGRLFADVGDSYVELIATGSDESMVIVHALLEVTAHPEFDIASMTFNFWHSLQLMLTKRDSYSSLGSEASIEAERNRRLHIFQPAYQSLVSLVGFRVQYPEDYQGLTYEDLKEFKQTRYAVADVLIDAALILGGDTTLKILYMKLLEANAQTGNDFQDWRPAEAILFCIWAISNYVSVVEAEVMPQVMALLQNLPQQAQLLQTACLLVGAYSKWLNAAPASVSILPSIIRILMSGMGTSEDCAAAAALAFRHICDDCRKNLCGYFEDLFNIYCMAINGGGGYKVSAEDSLNLVEALGMVVTELPLDQAKGALEKLCFSAASPLEEAAKEDLEKKHARELTVHIDRFAFLFRYVNHPEAVAAEINKHWAIFRIIFDTRPWDMRTMESLCRACKYAVRTSGRYIINTIGEMLAKIQFHYQQHHQPCFLYLSSEVIKIFGSDPSCADYLKNLIETLFAHTTCLMTSIKEVTARPDIADDCFLLASRCLRYCPHLFIPSPIFPPLVDCAMIGITVQHREACHSILTFLTDIFDLEKSVNEEQFVLIRDNIIIPRGATITRILIASLAGALPSSRLDTVTYSLLALTRTYRLQAVGWAKESVSLIPRTALTETESTKFLQALSDIAYGADVNSLIGHVEELSDVCRRNRTVQELVQAALKPLELNLVAAPVS >fgenesh2_kg.8__2257__AT5G62610.1 pep chromosome:v.1.0:8:20557953:20559820:-1 gene:fgenesh2_kg.8__2257__AT5G62610.1 transcript:fgenesh2_kg.8__2257__AT5G62610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7MMC6] MDPPLVNDSSFSAANPSSYTLSEIWPFPVNDAVRSGLRLAVNSGRVFTRSEHSGNKDVSAAEESTVTDLTAGWGGRKTRDLNSEDDSSKMVSSSSSGNELKESGDKKRKLCGSESGNGDGSMRPEGETSSGGGGGSKATEQKNKPEPPKDYIHVRARRGQATDRHSLAERARREKISEKMTALQDIIPGCNKIIGKALVLDEIINYIQSLQRQVEFLSMKLEVVNSGASTGPTIGVFPSGDLGTLPIDVHRTIYEQQEANETRVSQPEWLHMQVDGNFNRTT >fgenesh2_kg.8__2258__AT5G62620.1 pep chromosome:v.1.0:8:20563549:20566759:1 gene:fgenesh2_kg.8__2258__AT5G62620.1 transcript:fgenesh2_kg.8__2258__AT5G62620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MMC7] MRKPKLSKLERLEKFDMFVSLSKQRSVQILMAVGLLYMLLITFEIPFVFKTGLSSLSQDPLTRPEKHNSQRELQERRAPSRPFKSLLYQESQSEPPAQGLRRSTRILSSLRFDPETFNPSSKDGSVELHKSAKVAWEVGRKIWEELESGKTLKALEKEKKKKIEEHGSNSCSLSVSLTGSDLSKRGNLMELPCGLTLGSHITVVGKPRAAHSEKDPKISMLKEGDEAVKVSQFKLELQGLKAVEGEEPPRILHLNPRLKGDWSGKPVIEQNTCYRMQWGSAQRCEGWRSRDDEETVDGQVKCEKWARDDSITSKEESSKAASWWLSRLIGRSKKVTVEWPFPFTVDKLFVLTLSAGLEGYHVSVDGKHVTSFPYRTGFTLEDATGLTINGDIDVHSVFAGSLPTSHPSFSPERHLELSSNWQAPSLPDEQVDMFIGILSAGNHFAERMAVRRSWMQHKLVKSSKVVARFFVALHSRKEVNVELKKEAEFFGDIVIVPYMDSYDLVVLKTVAICEYGAHQLAAKFIMKCDDDTFVQVDAVLSEAKRTPADRSLYIGNINYYHKPLRQGKWAVTYEEWPEEDYPPYANGPGYILSNDISRFIVKEFEKHKLRMFKMEDVSVGMWVEQFNNGTKPVDYIHSLRFCQFGCIENYLTAHYQSPRQMICLWDKLVLTGKPQCCNVR >fgenesh2_kg.8__225__AT5G45750.1 pep chromosome:v.1.0:8:1458008:1459650:-1 gene:fgenesh2_kg.8__225__AT5G45750.1 transcript:fgenesh2_kg.8__225__AT5G45750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRADDEYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLNVDDKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVETWLKELRNHTDPNIVVMLVGNKSDLRHLVAVQTEDAKSFAEKESLYFMETSALEATNVENAFAEVLTQIHHIVSKKAMEAASESANVPSKGDKIDIGKDVSAVKKGGCCSN >fgenesh2_kg.8__2261__AT5G62630.1 pep chromosome:v.1.0:8:20604723:20607563:-1 gene:fgenesh2_kg.8__2261__AT5G62630.1 transcript:fgenesh2_kg.8__2261__AT5G62630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIQAIITICSLLLLLLLSATTSHPLCSDSKTPVNNNETLQFCDSYKGKSCCNSKDDLQLQNRFNSMNISDSNCSSLLKSILCSKCDQSSGQLFDDDSSLVPILCNSTSQDLCSKLWDSCQNISIVSSPFSPTLLGGATSPSTSSNSSTLTDLWKSQTEFCTAFGGPSQTNNNKTKCFNGEPVNKDTDVKPPKGVCLEKIGTGSYLNMVAHPDGSNRAFFSNQPGKIWLGTIPDQDSGEPMEIDESTPFVDITDQVSFDTQFGMMGMAFHPKFAENGRFFASFNCDKVKSPGCSGRCACNSDVNCDPSKLPKDDGAHPCRYQTVVAEYTANGTSSSPSTAKIGKASEVRRIFTLGLPYSSSHGGQILFGPDGYLYLMTGDGGGVSDTHNFAQNKKSLLGKILRLDVDVMPSVSEISKLGLWGNYSIPKNNPFQGNENEQPEIWALGLRNPWRCSFDSERPDYFLCADVGKDTYEEVDIITKGGNYGWRTYEGPYVFSPLSPFGENVSADSNLTFPILGYNHSEVNKHEGSASIIGGYFYRSNTDPCSYGTYLYADLYANAMWAAIESPEDSGNFTDSLIPFSCSKDSPIKCTAAPGGASSGPALGYIYSFGQDNNKDIHLLTSSGVYRVVRPSRCNLACSKENTTASTGKQNPAGAAPPQPLPSSARKLCFSLFLLVSLLFMFLTVLD >fgenesh2_kg.8__2263__AT5G62640.2 pep chromosome:v.1.0:8:20611307:20614362:-1 gene:fgenesh2_kg.8__2263__AT5G62640.2 transcript:fgenesh2_kg.8__2263__AT5G62640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTKGGKVMNPTDAYRKQIRKREIKRNKKERQKVREVGILKKDPEQIKEQIRKLDMSKAEGALDKARKHKKRQLEDTLKMVVKKRKEYDEKKKEQGEATTSVMFSHLPPQRRLTGEEDLKPEDSVYYHPTLNPTGAPPPGKPPMYNSSIDGASSSGAALSSITESEDSVLVTPPPLPPLPDGNNALSASLPLPPPPPLPPTTGLTLPHSSFPPPPPGPPPKEQDLVRPPLPPPPPLPQSSQPPPPGLSGSEGDGRFPESSDFTFDNRKNANITSVPPLPPPGLPNNESESGPAESNGSSFQNANLSKMVAPPPPPPLHQQHQSTFAGAAAPLSNFQPDVHPPPGMLRFPPPPSPLDMHPPHPGMFGGHLIPRPPYGPPPGPPPMMRPPLPPGPPPSSFQDGQAMIRPYVPNKPSFVKSAAPTVVRRPLAQHTPELTSMVPASVRVKRESATVTKPKPKTSIATSLSFTPRAMASAAPLKVESAKTSAASKPQSIDDSYTAFLEDMKALGALDG >fgenesh2_kg.8__2264__AT5G62650.1 pep chromosome:v.1.0:8:20614503:20617927:-1 gene:fgenesh2_kg.8__2264__AT5G62650.1 transcript:fgenesh2_kg.8__2264__AT5G62650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPDKQHRRHVAAEFLHSAVTSIIPLFPPKTAPSRVCLPLRFSVSDDVSSPFESTVKSSSSTSSSGLNSTVRISSLSSDGKRGGPAFVGQVFSMCDLTGTGLMAVSTHFDIPFISKRTPEWLKKMFSTITKSERNGPVFRFFMDLGDAVSYVKKLNIPSGVVGACRLDLAYEHFKEKPHLFQFVPNERQVKAANKLLKSMPQNGRKQKVEGVPVFGAQNLDIAVATADGIKWYTPYFFDKAVLDNILEESVDQHFHTLIQTRHVQRRRDVVDDSLASEVMEEMGDSMLEPPEVQEAMEEIGSSGIPLSVVAKAAEIQLLYAVDRVLLGSRWFRKATGIQPKLPYLVDSFERRSAFSIQRASGSATRCLGDSVEADTSASLLRVEDNSPSEDEKRQQNLWFPFGDWINHSESKKEHTHHKGPSDGRDMESREREMLRSPFLPKITMVGISTGEAAQMSKANLKKTMEDLTEDLEQSDEGNDHGSKRYDPRKMEERDPLFVANVGDYYSGMAKAGSARLSRRGDDQ >fgenesh2_kg.8__2266__AT5G62680.1 pep chromosome:v.1.0:8:20628689:20631565:-1 gene:fgenesh2_kg.8__2266__AT5G62680.1 transcript:fgenesh2_kg.8__2266__AT5G62680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7MMV2] MERKPLEVESTDNHQSPSSAVYGGSVTAVDPVEEDVQNQEKLVYRGWKVMPFIIGNETFEKLGIIGTLSNLLVYLTAVFNLKSITAATIINAFSGTINFGTFIAAFLCDTYFGRYKTLSVAVIACFLGSFVILLTAAVPQLHPAPCGTAANSICNGPSGGQIAFLLMGLGFLVVGAGGIRPCNLAFGADQFNPKSESGKRGIDSFFNWYFFTFTFAQILSLTLVVYVQSNVSWTIGLTIPAVLMFLACLIFFAGDKLYVKIKASGSPLAGIAQVIAVAIKKRGLKPAKQPWLNLYNYYPPNYANSKLKYTDQFRFLDKAAIMTPEDKLQPDGKPADPWKLCTMQQVEEVKCIVRVLPIWFASSIYYLTITQQMTYPVFQALQSDRRLGPGGFVIPAATYVVFLMTGMTVFIVVYDRVLVPTMRRITGLDTGITLLQRIGTGIFFATASLVVAGFVEERRRTFALTKPTLGMAPRKGEISSMSAMWLIPQLSLAGVAEAFAAIGQMEFYYKQFPENMRSFAGSIFYVGGGVSSYLGSFLIATVHRMTQNSSGGNWLAEDLNKGRLDLFYFMIAGILAVNFAYFLVMSRWYRYKGSDDEVTTYETNEHIIKQQDKNVA >fgenesh2_kg.8__2267__AT5G62690.1 pep chromosome:v.1.0:8:20647288:20649552:1 gene:fgenesh2_kg.8__2267__AT5G62690.1 transcript:fgenesh2_kg.8__2267__AT5G62690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:D7MMV3] MREILHIQGGQCGNQIGAKFWEVVCAEHGIDPTGRYTGDSDLQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSLRSGPYGQTFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRSLTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGDYEDEEEAEYQQEEEY >fgenesh2_kg.8__2270__AT5G62720.1 pep chromosome:v.1.0:8:20655257:20657848:1 gene:fgenesh2_kg.8__2270__AT5G62720.1 transcript:fgenesh2_kg.8__2270__AT5G62720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane HPP family protein [Source:UniProtKB/TrEMBL;Acc:D7MMV5] MASVPVKPLPLLRRNITSTTASKSSPMLANVSSRHFLGIFTYNESLRQMRTPASLSHRRRVSTVASSAGNLTAPSWDSWKPDRTAAATALLMSDVIWPAAGAFAAMAILGRMDQMLYPKGISMSVAPLGAVSAILFITPSAPAARKYNMFLAQIGCAAIGVVAFSFFGPGWLARSVALAASIAFMVITRANHPPAASLPLMFIDGAKFHHLNFWYALFPGAAACVILCLLQSIVCYLKENMKF >fgenesh2_kg.8__2272__AT5G62740.1 pep chromosome:v.1.0:8:20665003:20666742:1 gene:fgenesh2_kg.8__2272__AT5G62740.1 transcript:fgenesh2_kg.8__2272__AT5G62740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 family protein [Source:UniProtKB/TrEMBL;Acc:D7MMV7] MGNLLCCVQVDQSTVAIKETFGKFEEVLEPGCHFLPWCLGSQVAGYLSLRVQQLDVRCETKTKDNVFVNVVASIQYRALANKANDAYYKLSNTRSQIQAYVFDGIRASVPKLLLDDVFEQKNDIAKAVEEELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRLAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFAVNVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSSAVFIPHGPGAVRDVATQIRDGLLQGSSANLS >fgenesh2_kg.8__2273__AT5G62750.1 pep chromosome:v.1.0:8:20667752:20668424:-1 gene:fgenesh2_kg.8__2273__AT5G62750.1 transcript:fgenesh2_kg.8__2273__AT5G62750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETEEKVKNHGDNKEEEHNKAEKTEKKEKKKDKDKKDKNEDDKNGGGEEGEDQEKKSKKKDKKAKKEKNPEDKKDPEKLKMKLQKIEEKIQAMVLKKDEIVKLIHEAEQAKPSTAAVDAPPPTN >fgenesh2_kg.8__2274__AT5G62760.1 pep chromosome:v.1.0:8:20669048:20673916:-1 gene:fgenesh2_kg.8__2274__AT5G62760.1 transcript:fgenesh2_kg.8__2274__AT5G62760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNFDNYHHHHHQQWRPAPTQPNVCPICTVPHFPFCPPCPPPSSFPHNPNFPPPPPPHLNSPRPGFDSFTGPPVPPPQIHYPPWQPHHRNQWRPVSSDYRSAVDVDREADRSYKRARIDAIGGGSPGYGVSWENERRLKMVRDHGYGLTAPSPGVNLEINHQYGSEFRNGGQFNGAAPPPHHPPLYGGYFSGPNGQPPLPVSPPPPLPPSHPPSHPSSLFPVTTNSSPTIPPSSSLPQMPNASPSSAQLAPTRSKVIDVSHLLKPPHRSTRPDHFVVILRGLPGSGKSYLAKLLRDIEVENGGSAPRIHSMDDYFMTEVEKVEESDSTSSSSGRSKRPNVKTVMEYCYEPEMEEAYRSSMLKAFKRTLEDGAFSFVIVCFLELTVSCWYMSLILVDDRNLRVADFTQFWATAKRSGYEAYIFEATYKDPTGCAARNVHGITLDQVQQMAEQWEEAPSLYMQLDIKSFTRWDDLKENGIQEVDMDMEDDFGLPERKSDNSTQSEEKGATEGSYKSESKWHAESGSRTEEVKELSRSKWSNVEEDETENSQSVRRNPKSLPKSSQRKGKSVWWGDKGGDAGFSIGAARNMNMPSLVIGPGSGYNLKSNPLSEEESRALADAIGKAKVRGIFQDQLRAERESFKAVFDKRHV >fgenesh2_kg.8__2276__AT5G62770.1 pep chromosome:v.1.0:8:20675992:20677107:1 gene:fgenesh2_kg.8__2276__AT5G62770.1 transcript:fgenesh2_kg.8__2276__AT5G62770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSRLLSFSSNSPSFGSFSSAVDLAAIASRVVEEFRDQEQTQPDSHHRDDDNDSDFAFDCLSNTCSQPLATADEIFCNGQIRPLNPYGGGIGNAPVESQPSTTLLPRRHRPALRKLMSEDRDPTSNSFSEAEEDLTGVPPETYCVWKPKQSNSGDDDLQGLSSSPSRSKIKSHSAGFSKRWKLRNLLYVRSSSEGNDKLVFPAPVKKDDETVSDQEPPSKEEGNGGEEEEREREETKRQTYVPYRKDMIGILKNVNGLSRHLRPF >fgenesh2_kg.8__2280__AT5G62810.1 pep chromosome:v.1.0:8:20689645:20693416:1 gene:fgenesh2_kg.8__2280__AT5G62810.1 transcript:fgenesh2_kg.8__2280__AT5G62810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHQQTQPPSDFPAPADDNSQIPEATKHANEVQQATIARETVKQDPPTSVFKNSEPIREDQIQNAIKFLSHPRVRGSPVIHRRSFLERKGLTKEEIDEAFRRVPDPPPSSQTTVTSQDGQQAVSTVQPQAMQPVVAAPAPLVVTPQAAFLSRFRWYHAILAVGVLAASGAGTAVFIKKSLIPRFKSWVQRIMLEEETDPLKKADAKPSLAEEAVAAAKAASAAASDVARVSQEMMITKNEERKYFEDLTHLLGVQVQEMKSLSNNIRKLEGQSNNIPKIYSADQEVYNGSVTAARKPYTNGSNIDYDTRSARSASPPAPPADSSVPPHPKSYMDIMSMIQRGEKPSNIREINDMPPNPNQPLSDPRIAPKSKPWDYGQARQDESSNGQWWQQKNPRSTDFGYETTTAARFTGNQNETSTVEPAAFQRQRSWVPPQPPPVAMAEAAEAIRRPKPRAKVDQEAAASDDQSGVSDELQKITKFSESGGDGSGGIQITEIQEETEQQHISQEGTTNNN >fgenesh2_kg.8__2282__AT5G62830.1 pep chromosome:v.1.0:8:20704124:20704926:-1 gene:fgenesh2_kg.8__2282__AT5G62830.1 transcript:fgenesh2_kg.8__2282__AT5G62830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCVEIYEFTSNSWRNLDAIIPDQAYLKSDGHACASLSGNTYWVSWIKKGDNDDYSLLSFDFSTERFQRLCAPFHHQPCRVDTMALSVVREEHLSLFYQSRQTLKVEIWMTDEIHTTFVSWSKFLTLDLVSPSFSNSMSFYIVDEEKKVVVCCVEDGFFDSKLVWIVREGEEYIPDLPVYYGRQSVGFRSICHMPKLFGYVPKN >fgenesh2_kg.8__2284__AT5G62850.1 pep chromosome:v.1.0:8:20720363:20721959:-1 gene:fgenesh2_kg.8__2284__AT5G62850.1 transcript:fgenesh2_kg.8__2284__AT5G62850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:D7MMX3] MTDPHTARTIVGIIGNVISFGLFCAPIPTIMKIWKMKSVSEFKPDPYVATVLNCMMWTFYGLPFVQPDSLLVITINGTGLFMELVYVTIFFVFATSPVRRKITIAMVIEVIFMAVVIFCTMYFLHTTKQRSMLIGILCIVFNVIMYAAPLTVMKLVIKTKSVKYMPFFLSLANFMNGVVWVIYACLKFDPYILIPNGLGSLSGIIQLILYITYYKTTNWNDEDEDNEKRYSNAGIELGQA >fgenesh2_kg.8__2285__AT5G62860.1 pep chromosome:v.1.0:8:20723157:20727716:1 gene:fgenesh2_kg.8__2285__AT5G62860.1 transcript:fgenesh2_kg.8__2285__AT5G62860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRQEKKSSRSPKRQHYSKISDIEKSNGIHIPFDLITDILSRLPVKSLVKYDRWSNSCDGLFGYDPVEKQGGTLTYKAFGITLQKQGVLKGFTKHPEFYELVSEVSFDELSLVNYQGKLGCIRYTKASAEMWNMEDHIEKQEWSKIIIFKSLEDNNLPYNFKTLKCYGGQLTPLPLNWLRPCLQLILAEDLFFLRLVCTPHSDPTRDPDTRYDQRCCCFPSFRSRSSTAVGWGRIRTVDDSNHSGDHGDEPRWWIRASLKIREWSEIVAGPRWKTFIRRFNRDPRRGRDWDASEKFQYDPLSYSLNFDDDDEDEYVGLGGLRSFSTRFASVPVYSGKAPAISPTSLSALTPRNEIIES >fgenesh2_kg.8__2286__AT5G62880.1 pep chromosome:v.1.0:8:20730856:20732916:1 gene:fgenesh2_kg.8__2286__AT5G62880.1 transcript:fgenesh2_kg.8__2286__AT5G62880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLSFSLVSRASYENVFKKWIPELQHFAPGVPLVLVGTKLDLREDKHYLADHPGLSPVTTAQGEELRKLIGATYYIECSSKTQQNVKAVFDSAIKEVIKPLVKQKEKTKKKKKQKSNHGCLSNVLCGRIVTRH >fgenesh2_kg.8__2290__AT5G62890.1 pep chromosome:v.1.0:8:20746173:20750258:1 gene:fgenesh2_kg.8__2290__AT5G62890.1 transcript:fgenesh2_kg.8__2290__AT5G62890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAPAPKADEPQPHPPKDQLPNISFCITSPPPWPEAILLGFQHYLVMLGTTVLIPTALVPQMGGGYEEKAKVIQTILFVAGINTLLQTLFGTRLPAVIGASYTFVPTTISIILSGRFSDTSNPIDRFERIMRATQGALIVASTLQMILGFSGLWRNVVRFLSPISAVPLVGLVGFGLYEFGFPGVAKCIEIGLPELLILVFVSQYLPHVIKSGKNVFDRFAVIFAVVIVWIYAHLLTVGGAYNGAAPTTQTSCRTDRAGIIGAAPWIRVPWPFQWGAPSFDAGEAFAMMMASFVALVESTGAFVAVSRYASATMLPPSILSRGIGWQGVAILISGLFGTGAGSSVSVENAGLLALTRVGSRRVVQIAAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGLSVFLGLSIPQYFNEYTAIKGYGPVHTGARWFNDMVNVPFSSEPFVAGSVAFFLDNTLHKKDSSIRKDRGKHWWDKFRSFKGDTRSEEFYSLPFNLNKYFPSV >fgenesh2_kg.8__2291__AT5G62900.1 pep chromosome:v.1.0:8:20756638:20757720:1 gene:fgenesh2_kg.8__2291__AT5G62900.1 transcript:fgenesh2_kg.8__2291__AT5G62900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATTVIQQPDGKSVRFYCTVNASEVIKSHPGHHVALLLSSAVPHGGSLRVTRIKLLRPSDNLLLGHVYRLISSEEVMKGIRAKKSGKMKKIHGEFSVAEEEINSLTLRSESASDKDTQRKIHEKQRGMMNTGGATNKVRAWQPSLQSISESTS >fgenesh2_kg.8__2292__AT5G62910.1 pep chromosome:v.1.0:8:20758402:20759918:1 gene:fgenesh2_kg.8__2292__AT5G62910.1 transcript:fgenesh2_kg.8__2292__AT5G62910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7MNC6] MISDSITNASATSAPRDSGKKKRNNKSAKMKQNKLGLRREQWLSQAAVSNKEGKEERSVNRCEKPDQVRREDGNNGGNNLHHESFMESPSNSSVGGTDSSTNFSGRSSRSSSSSSGFCSGHVTEEENVDDDDDDDDGCVDDWEAVADALAAEEEIEKESRLHESAKEQESVGQSASNVCDSIRDASDVVCVKDPEQDCLRVASRKQESNRAWRPDDDLRPQGLPNLAKQLSFPELDKRFSSVAIPSSCPICYEDLDLTDSNFLPCPCGFRLCLFCHKTICDGDGRCPGCRKPYERNAVKAETSIQGGGLTIRLARSSSMFCKF >fgenesh2_kg.8__2293__AT5G62920.1 pep chromosome:v.1.0:8:20760303:20761944:-1 gene:fgenesh2_kg.8__2293__AT5G62920.1 transcript:fgenesh2_kg.8__2293__AT5G62920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLLPRKMEIPNDPSKFGSPDLLHVLAVDDSHVDRKFIERLLRVSSCKVTVVDSATRALQYLGLGVEEKSVGFQDLKVNLIMTDYSMPGMTGYELLKKIKESSAFRQVPVVIMSSENILPRIDRCLEEGAEDFLLKPVKLSDVERLRDSLMKVEDLSFTKSTQKRELETENAYPVHSQLKRAKI >fgenesh2_kg.8__2294__AT5G62930.1 pep chromosome:v.1.0:8:20764699:20766100:1 gene:fgenesh2_kg.8__2294__AT5G62930.1 transcript:fgenesh2_kg.8__2294__AT5G62930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7MNC8] MRPEIVLFGDSITAQSFRSGGWGSALADAYSRKADVVVRGYGGYNTRWALFLLHHIFPLGSSSPPVATTIFFGANDAALKGRTSDRQHVPVEEYRDNVRKIVQHLKKCSPTMLIVLITPPPIDEAGRQSYAESIYGEKAMKEPERTNQTTGIYAQHCVALAEELGLRCVNLWSKMQETNDWQKKYLSDGLHLTPEGNGVVFDQVSRVFREAWLSPEEMPFDFPHHSQIDGENPSKAFEERCL >fgenesh2_kg.8__2296__AT3G28956.1 pep chromosome:v.1.0:8:20773366:20774213:-1 gene:fgenesh2_kg.8__2296__AT3G28956.1 transcript:fgenesh2_kg.8__2296__AT3G28956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANAGALTNFEVLDFLNSRGASKDTTRVIAPIARSEYKVYDYLVETAASTQTRESVTKFADKCKDFKVAKAEILNIINLRPSSIVELLPIIETPDDRKINTDGILELVKDLLPPLPTTETHEDNAEEETDNGEQL >fgenesh2_kg.8__2299__AT5G62990.1 pep chromosome:v.1.0:8:20795074:20796915:1 gene:fgenesh2_kg.8__2299__AT5G62990.1 transcript:fgenesh2_kg.8__2299__AT5G62990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1692 [Source:UniProtKB/TrEMBL;Acc:D7MND5] MALTQLVPSSPDKLFFFSSFFLPSIPLEIRTSNLSTRTSSKKLGSLSLNISCSAHKIVRSPSLDRHVVKQNRVRFVQKLKTILLSKPKHFIPIQILYKCRSYLCIENPRAILSMIRRYPTIFELFTTPTPHLPMNATKPLSQLCVRLTSAASSLAMQELNLKSEISDQLATKLQKLLMLSSHRRLLLSKLVHIAPDFGFPPNFRSRLCNDYPDKFKTVDTSYGRALELVSWDPELANQMPSPEVVDRGLIVDRPLKFKRLNLRKGLNLKRRHQNFLIKFRESPDVCPYKMSSEDLASESIEAEKRACAVVREVLGLTVEKRTLIDHLTHFRKEFALPNKLRALIVRHPELFYVSIKGTRDSVFLVEAYNDNGDLLEEDETLVIRERLIDLIQEGKRIRRERRKKGDKRVEEYSNGDNRDETIDDYESDLDDEYEDGFENLFDSEDSGVEYHFDEEEDDDEARVNGGSIEYWSRKLFSSGSNSDEAKSAVESW >fgenesh2_kg.8__22__AT5G47670.2 pep chromosome:v.1.0:8:92818:93890:-1 gene:fgenesh2_kg.8__22__AT5G47670.2 transcript:fgenesh2_kg.8__22__AT5G47670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPEFNQPNKTSNGGEDECTVREQDRFMPIANVIRIMRRILPAHAKISDDSKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSKLGFDDYIEPLTLYLHRYRELEGERGVSCGAGSVTMTNGLVVKRPNGTMTEYGAYGPVPGIHMAQYHYRHQNGFVFSGNEPNTKMSGSSSAASGGRVEVFPSQQHKY >fgenesh2_kg.8__2301__AT5G63050.1 pep chromosome:v.1.0:8:20808253:20811062:1 gene:fgenesh2_kg.8__2301__AT5G63050.1 transcript:fgenesh2_kg.8__2301__AT5G63050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2759 [Source:UniProtKB/TrEMBL;Acc:D7MNE0] MVLVTHKLQGSHVLSPWSSPTWTRGLILKRPVTTVHFVERKDKHLRLKQKCCFSLGSSCNGGLRAKPFRVTSFKGSIKNNESGGSEGGKKVTNNSVKLSYRSDDDENNVNGSPKAQNTSLSYTSETEDSITGQPAIQKLFKKWLTLLRTQSPIQVIDEALGGEQVPPATKQETETEIRKTESLQSTKNTVWSWFWSLDAAIKIPLLLFVPAFLAVNAIYGAEVTKELSPMWVVGPLIVALYIKMFQGLCSLYAFCFNQTIKMIRNLPSYYLVAYHYIAHGKLKDDVKALVFRPVVAIKNTDYKELTRTKLKQFQEWIIERYLDFVESVWPYYCRTIRFLKRANLI >fgenesh2_kg.8__2302__AT5G63060.1 pep chromosome:v.1.0:8:20811028:20813048:-1 gene:fgenesh2_kg.8__2302__AT5G63060.1 transcript:fgenesh2_kg.8__2302__AT5G63060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter [Source:UniProtKB/TrEMBL;Acc:D7MNE1] MSIRFGSTLVAASVNFKSSKNLKINRSRNCRFSVRSCVSESHHAHKLVLEVKERLAKDCTSLPVGKYGRDDEDMILWFLKDRRFSVDEAIGKLTKAIKWRHEFKVDELSEDSIKAATDTGKAFVHGFLDVKGRPVVIVAPAKHIPGLLDPIEDEKLCVFLLEKALSKLPAGQHKILGIFDLRGFGSQNADLKFLTFLFDVFYYYYPSRLDEVLFVDAPFIFQPIWQFTKPLVKQYASLVKFCSAETVRKEYFTEETLPSNFRS >fgenesh2_kg.8__2308__AT5G63100.1 pep chromosome:v.1.0:8:20840885:20842116:-1 gene:fgenesh2_kg.8__2308__AT5G63100.1 transcript:fgenesh2_kg.8__2308__AT5G63100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARELVSLQILRPPLHISRQFLNLSPPPSTLNLRPKPPQNPIFIRTNSHRTKTSADDDGIPADDVKTIAKFKSRHNYIRVIEVSRKTNHPLAGSRLLLLDNPGNIHSISFLLKTLTDSYFDVFATLPPIIPPGPIGILGFGAGSTARLILELYPPEIAIHGWELDPSVIDVGREFFGLSKLERDHKDRIFINIGDALKASVKTGFSGILVDLFSKGSVIKELQDPQVWEDLKCRLRNRGRIMVNVGGKCVEAEDSERDGGLVMEETLKAMNQVFGDKLFVLTLGNGNDSSVALTGDLPDLDAWKKRLPRSGLRSYVDMWIPYREFSLRY >fgenesh2_kg.8__2309__AT5G63110.1 pep chromosome:v.1.0:8:20842242:20844942:-1 gene:fgenesh2_kg.8__2309__AT5G63110.1 transcript:fgenesh2_kg.8__2309__AT5G63110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:D7MNF1] MEADESGISLPSGPDGRKRRVSYFYEPTIGDYYYGQGHPMKPHRIRMAHSLIIHYHLHRRLEISRPNLADASDIGRFHSPEYVDFLASVSPESMGDPSSSRNLRRFNVGEDCPVFDGLFDFCRASAGGSIGAAVKLNRQDADIAINWGGGLHHAKKSEASGFCYVNDIVLGILELLKMFKRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIRDVGAEKGKYYALNVPLNDGMDDESFRSLFRPLIQKVMEVYQPEAVVLQCGADSLSGDRLGCFNLSVKGHADCLRFLRSYNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNEYFEYFGPDYTLHVDPGPMENLNTPKDMEKIRNTLLEQLSGLIHAPSVQFQHTPPVNRVLDEPEEDMEQRPKPRIWSGTVTYESDSDDDDKPLHGYSGRGGATMDRDSTGEDEMEDDNPEPDVNPPSS >fgenesh2_kg.8__230__AT5G45710.1 pep chromosome:v.1.0:8:1485940:1487925:-1 gene:fgenesh2_kg.8__230__AT5G45710.1 transcript:fgenesh2_kg.8__230__AT5G45710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-HSFA4C [Source:UniProtKB/TrEMBL;Acc:D7MTC4] MDENNGGSSSLPPFLTKTYEMVDDSSSDSIVSWSENNKSFIVKNPAEFSRDLLPKFFKHKNFSSFIRQLNTYGFRKVDPEKWEFLNDDFVRGRPYLMKNIHRRKPVHSHSLQNLQAQNPLTESERRSMEDQIERLKREKEGLLAELQNQEQERKDFELQVMTLKDRLQHMEQHQKSIVAYVSQVLEKPGLSLNLENHERRKRRFQENSLPPSSSHAEQVEKLESSLTFWENLVSESCEKSGMQSSSMDLDAAESSLSIGDTQPKSSKIDMNSEPPVTVTTPAPKTGVNDDFWEQCLTENPGSTEQQEVQSERRDVDNDKGNTIGNQRTFWWNSGNVNNNITEKAS >fgenesh2_kg.8__2310__AT5G63120.2 pep chromosome:v.1.0:8:20845392:20848892:-1 gene:fgenesh2_kg.8__2310__AT5G63120.2 transcript:fgenesh2_kg.8__2310__AT5G63120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYDRRFADPNSYRQRSGAPVGSSQPMDPSAAPYNPRYSGGGGGYGPSPVMAGDNSGYNRYPSFQPPTGGFSVGRGGGRGGYGQYGDRSGGGNWGGRGGSSKRELDSVSLPKQNFGNLVHFEKNFYVESPSVQAMTEQDVAMYRTERDISVEGRDVPKPVKLFQDANFPDNILEAIAKLGFTEPTPIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPALVHVSAQPRLGQDDGPIVLILAPTRELAVQIQEESRKFGLRSGVRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLECQHTNLKRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLLWSATWPREVESLARQFLRDPYKAIIGSTDLKANQSINQVIEIVPTPEKYNRLLTLLKQLMDGSKILIFVETKRGCDQVTRQLRMDGWPALAIHGDKTQPERDRVLAEFKSGRSPIMTATDVAARGLDVKDIKCVVNYDFPNTLEDYIHRIGRTGRAGAKGMAFTFFTHDNAKFARELIKILQEAGQVVPPTLSALVRSSGSGYGGSGGGRNFRPRGGGRGGGFGDKRSRSTSNFVPHGGKRTW >fgenesh2_kg.8__2313__AT5G63135.1 pep chromosome:v.1.0:8:20851613:20852731:-1 gene:fgenesh2_kg.8__2313__AT5G63135.1 transcript:fgenesh2_kg.8__2313__AT5G63135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPTLMSQFPSSTKTIPASYLLPLQWPQPQNEEILLAMEEAEFEEKCNEIRKMSPALPVIGKPVVDNQQEEDDNEAEDDDADNAEESDGEEFEQETG >fgenesh2_kg.8__2315__AT5G63150.1 pep chromosome:v.1.0:8:20859600:20860258:1 gene:fgenesh2_kg.8__2315__AT5G63150.1 transcript:fgenesh2_kg.8__2315__AT5G63150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLMHRFFKNNKSCFNSIQRLTTTPSLFTYQKPFINNNNIESIIQKPDPVSFANPVITLSGNEFNQPMQFYPSFSMGLCLNPKMIQGLDLAETASLEEEEDAKENVIYADSVKKKRKKKMNKHKYRKLRKQLGRKS >fgenesh2_kg.8__2318__AT5G63180.1 pep chromosome:v.1.0:8:20872721:20874874:-1 gene:fgenesh2_kg.8__2318__AT5G63180.1 transcript:fgenesh2_kg.8__2318__AT5G63180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7MNF9] MLNSPYLSFTLIFFCCILFSALTSSLPVSDPELVVEEVHRKINESMSRRKLGFFSCGTGNPIDDCWRCEKDWENNRKRLADCGIGFGKNAIGGRDGEIYVVTDPGNDDPVNPKPGTLRYAVIQDEPLWIIFKRDMTIQLKEELIMNSFKTLDGRGASVHISGGPCITIQYVTNIIIHGLHIHDCKQGGNTYVRDSPEHYGYRTVSDGDGVSIFGGSHVWVDHCSLSNCNDGLIDAIRGSTAITISNNYLTHHNKVMLLGHSDTYVQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFLAPDDSSSKEVTKHEDAPENEWRNWNWRSEGDLMLNGAFFTYSGAGPTKSSSYSKASSLAARPSSHVGEITIASGALSCKRGSHC >fgenesh2_kg.8__2319__AT5G63190.2 pep chromosome:v.1.0:8:20877523:20880730:1 gene:fgenesh2_kg.8__2319__AT5G63190.2 transcript:fgenesh2_kg.8__2319__AT5G63190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MA3 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MNG0] MASGEGILTDGQWKKLEIATQNSGSLSSSPKSHTLFADLNIKSPTGGKGPVAGFPNRHVRRTHSGKHIRVKKDGAGGKGTWGKLLDTDEGDSCIDKNDPNYDSGEDAYDGLVDSPVSDPLDDYKKAVVSIIEEYFSTGDVKVAAADLRELGSSEYHPYFTKRLVSMAMDRHDKEKEMASVLLSALYADVILPDQIRDGFIRLLRSVDDLAVDILDAVNVLALFIARAIVDEILPPIFVARSKKILPESSKGFQVIVTAEKSYLSAPHHAELVEKKWGGSTHTTVEETKKKISEILKEYVENGDTYEACRCIRELGVSFFHHEVVKRALVLAMESQTSEPLILKLLNEAAEEGLISSSQMVKGFFRVAESLDDLALDIPSAKKLFDSIVPKAISGGWLDDSFKVTSDQDGGKSSQDGKLRQYKKDTVNIIQEYFLSDDIPELICSLQDLGTPEYNPVFLKRLITLALDRKNREKEMASVLLSALHMELFSTEDFINGFIMLLESAEDTALDIMDASNELALFLARAVIDDVLAPLNLEEISTKLPPISTGTETVRSARSLISARHAGERLLRSWGGGTGWIVEDAKDKISKLLEEYETGGVTSEACQCIRDLGMPFFNHEVVKKALVMAMEKQNDRLLNLLEECFGEGLITTNQMTKGFVRVKDSLDDLSLDIPNAKEKFEMYASHAMDNGWILPEFGISATQ >fgenesh2_kg.8__2321__AT5G63200.1 pep chromosome:v.1.0:8:20881447:20885688:1 gene:fgenesh2_kg.8__2321__AT5G63200.1 transcript:fgenesh2_kg.8__2321__AT5G63200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MNG1] MDRPETIAVKYEPMTADSPTTARPPKLVVLADLNFNPPENDDLDSSIQIPAPPITRLTNEESHQEGDTLPCKDVEPGEVEAKKISKVGKCRSRSKIEPSSDCGLDADGDQANQGVPASREEKISNLKMGLIHVARKMPKNAHAHFILGLMFQRLGQPQKAIPEYEKAEEILLGCEPEIARPELLLLVQIHHGQCLLLDGFGDTDSVKELEGEELEEILSKLKDSIKLDVRQAAVWNTLGLMLLKAGCLMSAVSVLSSLLALVPDNYDCLANLGVAYLQSGDMELSAKCFQDLVLKDHNHPAALINYAAELLCKHSSTVAGAGANGGADTSEDQKAPMNVAKECLLAALRSDPKSAHAWVNLANSYYMMGDHRSSSKCLEKAAKLDPNCMATRFAVAVQRIKDAERSQNASDQLSWAGNEMASVIREGESVPIDPPIAWAGLAMAHKAQHEIAAAFVADRNELTEMEERAVYSLKQAVTEDPEDAVRWHQLGLHSLCSQQYKLSQKYIKAAVGRSRECSYAWSNLGISLQLSDEHSEAEEVYKRALAVSTEDQAHAIFSNLGNLYRQKKQYEVSKAMFSKALELKPGYAPAYNNLGLVFVAERRWEGAKSCFEKALEADSLLDAAQSNLLKATTMSRLCTCFSSSNVVRDS >fgenesh2_kg.8__2322__AT5G63220.1 pep chromosome:v.1.0:8:20885735:20888253:-1 gene:fgenesh2_kg.8__2322__AT5G63220.1 transcript:fgenesh2_kg.8__2322__AT5G63220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERIKRELPPVQEHIDKLRKVVEEGNYYGALQMYKSISARYVTAQRFSEALDILFSGACIELEHGLVNCGADLAILFVDTLVKAKSPCNDEALDRIRCIFKLFPRVPVPPHLVDVSDDEDVQNLQESLGEARSRVENLTSFLRAAIKWSAEFGGPRTGYPELHAMLGDYLYTECPELDMVRISRHFVRAEDPEKFASMLVNFMGRCYPGEDDLAIARAVLMYLSMGNMKDANFMMDEIKKQAETKYPELSESDLIQFISYLLETLQRDALPLFNMLRVKYKSSIDRDQLLNELLDEIAERFYGVQRKNPLQGMFGDIFKMMG >fgenesh2_kg.8__2324__AT5G63240.1 pep chromosome:v.1.0:8:20891970:20892430:-1 gene:fgenesh2_kg.8__2324__AT5G63240.1 transcript:fgenesh2_kg.8__2324__AT5G63240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein 17 [Source:UniProtKB/TrEMBL;Acc:D7MNG4] MVTKTISLPLTLFFFLLVSTVSVNATLRNLSRAAENKGVWCIANDKGTDKQLQANIDWVCSDEGGFRDCGAINPGGPCFEPNTVRDHASFAMNLYYQNLGATKAQCNFHNTGIEVYTDPSHGSCVYVSY >fgenesh2_kg.8__2325__AT5G63260.1 pep chromosome:v.1.0:8:20894899:20897728:1 gene:fgenesh2_kg.8__2325__AT5G63260.1 transcript:fgenesh2_kg.8__2325__AT5G63260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7MNZ6] MSKPEDISYPNPTGPDPSRSSSDEVTVTVADQAPSDLNHVSEELSDQFRNVALDDSAKELSVPISVNVETDSRAIGSDQKEEEERESMIEKRMMVVYPVRPDAEDCSFYMRTGSCKYGSSCKFNHPLRKKLQIGREKVRERDEDVENPKLMECKYYFRTGGCKYGESCRFSHMKEHTSLASGPDLNFLGLPIRPGEKECPFYMRNGSCKFGSDCKFNHPDPTAIGGVDSPLFRGNNGGPFSPKAPSQASSTSWSSTRHINGTGTAPFIPAMFPHNRGVSPQASEWNGYQASSAYPPERSVLAPSTYPVNNSLAETSSFSQYQHQMPGEEFPERPDQPECTYYLKTGDCKFKYKCKYHHPKNRLPKQAPFSFNDKGLPLRPDQSMCTHYSRYGICKFGPACRFDHSIPPTFSPSSSQTVEAPQGGANGNEDDSWH >fgenesh2_kg.8__2327__AT5G63280.1 pep chromosome:v.1.0:8:20900872:20903164:1 gene:fgenesh2_kg.8__2327__AT5G63280.1 transcript:fgenesh2_kg.8__2327__AT5G63280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MNZ8] MGRLCESLPVILLLFALLLHQSLSQGFEESESTRLVNEEVGGNSPEIHCSRERSRAAWQIIQDYLTPFVERERYQIPKNCRLHPDNDLYRDQEQHKIHVDVFEWKCGYCKKSFNDEKFLDKHFTTRHYNLLNTTDTKCLADLCGALHCDFVLNSKKPKTKCNPAAVAKNRHLCESVANSCFPVSQGPSASRLHEHFLRQFCDAHTCTGKDKPFPRGGKKKSGVFYLAISILTLMLLPLFYLLVFLYQREKRSGTQELRRIRKTGRKPKPS >fgenesh2_kg.8__2328__AT5G63290.1 pep chromosome:v.1.0:8:20903131:20904790:-1 gene:fgenesh2_kg.8__2328__AT5G63290.1 transcript:fgenesh2_kg.8__2328__AT5G63290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTTISPIFSSFTCKPKTSRLIFQAFSKVVLQNTPPSARRNASTNLTTLHQGPPTSAYVHLPFCRKRCHYCDFPILALGTSSSSLTSPSNVYEEGKEDDPRITNYVNLLVREIQATRTDYDTNPNLETVFFGGGTPSLVPPKLVSLILETLSLNFGLSPDAEISMEMDPGTFDGQKLKELMKLGVNRVSLGVQAFQDELLKACGRAHGVSQVYEAIEFVKECGVENWSMDLISSLPHQTLEMWEESLRLAIESQPNHVSVYDLQVEQGTKFGNLYTPGQSPLPSETQSAEFYKTASSMLRGAGYEHYEVSSYSKDGFKCKHNLKYWKNKPFYAFGLGSASYVGGLRFSRPRRLKEYTNYVADLENGAANWCGNGDVDLKDVATDILMLSFRTSKGLELKEFGEAFGSEVVKSICKVYEPYVESGHIVCLDDMRSEVMSDEFQTLVANDEVEIEDHVRYLRLKDPDGFLLSNELISLAFGVVAP >fgenesh2_kg.8__2329__AT5G63300.1 pep chromosome:v.1.0:8:20904990:20905796:1 gene:fgenesh2_kg.8__2329__AT5G63300.1 transcript:fgenesh2_kg.8__2329__AT5G63300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALESIVSLSELLASLLSSKPPSPSSLKPITLPSAVSARSSQHLSMTKILTAEHDDVTNRVAYPSLANANLVFFKSGYYNVEVVPKDGESEEQLLNDFKRSCFRAGVLQESRRRRFFESSQEKRIRKTKEAAKKYRKRRPNPKPKPQTTSEIRKNRNVSREDEEDDHWELPPEDIEIPYTNRS >fgenesh2_kg.8__2331__AT5G63310.1 pep chromosome:v.1.0:8:20905914:20907803:-1 gene:fgenesh2_kg.8__2331__AT5G63310.1 transcript:fgenesh2_kg.8__2331__AT5G63310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:D7MP01] MVGATVVSKWTLCVTSPPVRNSASLNPHCPLARVNLRTELAAFRPQFRLFSRDSSSRRRLRASSSAESGIFLPHLVASMEEVEETYIMVKPDGIQRGLVGEIISRFEKKGFKLIGLKMFQCPKELAEEHYKDLSAKSFFPNLIEYITSGPVVCMAWEGVGVVASARKLIGKTDPLQAEPGTIRGDLAVQTGRNIVHGSDSPENGKREIGLWFKEGELCEWDLALATWLRE >fgenesh2_kg.8__2334__AT5G63330.2 pep chromosome:v.1.0:8:20911013:20913270:-1 gene:fgenesh2_kg.8__2334__AT5G63330.2 transcript:fgenesh2_kg.8__2334__AT5G63330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding bromodomain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MP02] MGKARKHSRGRSSGFVPDYMQAVETIEPDEFVYSGRIDSVMKHSEASPPLKRRRFGLNGDSNGVSKEVLSLSKMSRSERKNLVHKLKMELQQVRDLSKKIASFSSDAVLLSPYNDIHSCSDGPRRPPPENFAKFVGSQGKKRPPVRNDKHRTKKGPSRLNVPTISTVASVMKECETLLNRLWSHKLGWAFRTPVDPVMLNIPDYFTVIKHPMDLGTIRSRLRKGEYSSPLDFAADVRLTFSNSIAYNPPGNQFHTMAQGISKYFETGWKSIEKKIPVTKPPVIPLTSSASLESEIPFEVAPLRNKSAAMNESKLRVEPAKLVMTDDEKKKLSQDLVALEEEFPQNISDLLREQSGSDGQSEEVEIEIDIETLSDETLFMVRKLLDDYLREKKKSLEKSEPCEMEIVHDSGFSNSPLQASKGDLQIDEDVDIVGGNDPSVSSYPPLKIEKDAACRNNESSSSSSSSSESGSSSSGSCLCEPSSISLE >fgenesh2_kg.8__2335__AT5G63350.1 pep chromosome:v.1.0:8:20915192:20916298:-1 gene:fgenesh2_kg.8__2335__AT5G63350.1 transcript:fgenesh2_kg.8__2335__AT5G63350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGGCCIARYGVYGGRYGLSKADRIMLRFRPIAPKPASDGGGSVSPVTGKYGSPTTTSGGSSDVSGKSGRGKRKYQRDSSGGNSRRCNKKKRDLSGDAATTTAVTLSLLPETPDKAVFPDLNAFPVEKQKRNGPLWLSFNGGSEMLTPYNSAEICRRTVVVSSCVTVERVTDAWIDGYGLGKTNEERKMNLVKDTCPGFISDGAGRVTWTNEAYKKMAREDINIPMEEGVPEEMNYDNFHVIVRLVMKERPMLTYPAFTCRVRLQYTCQDRERGSVTVPCDVWRMDGGGFAWRLDVKAALCL >fgenesh2_kg.8__233__AT5G45670.1 pep chromosome:v.1.0:8:1502444:1504432:-1 gene:fgenesh2_kg.8__233__AT5G45670.1 transcript:fgenesh2_kg.8__233__AT5G45670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7MTC9] MARMCLMIMIMVAMTMNIAKSDPIAPCYFIFGDSLVDNGNNNQLQSLARANYFPYGIDFAAGPTGRFSNGRTTVDVIAELLGFDDYITPYASARGQDILRGVNYASAAAGIRDETGRQLGGRIAFAGQVANHVNTVSQVVNILGDQNEASNYLSKCIYSIGLGSNDYLNNYFMPNFYSTGNQFSPESYADDLVARYTEQLRILYTNGARKFALIGVGAIGCSPNELAQNSRDGRTCDERINSANRIFNSKLISIVDAFNQNTPDAKFTYINAYGIFQDIVTNPARYGFSVTNAGCCGVGRNNGQITCLPGQAPCLNRNEYVFWDAFHPGEAANIVIGRRSFKREAASDAHPYDIQQLASL >fgenesh2_kg.8__2341__AT5G63400.1 pep chromosome:v.1.0:8:20930675:20932567:-1 gene:fgenesh2_kg.8__2341__AT5G63400.1 transcript:fgenesh2_kg.8__2341__AT5G63400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGAAADLEDVQTVDLMSELLRRLKCSQKPDKRLIFIGPPGSGKGTQSPVVKDEYCLCHLSTGDMLRAAVASKTPLGVKAKEAMEKGELVSDDLVVGIIDEAMNKPKCQKGFILDGFPRTVTQAEKLDEMLKRRGTEIDKVLNFAIDDSILEERITGRWIHPSSGRSYHTKFAPPKTPGVDDITGEPLIQRKDDNADVLRSRLAAFHSQTEPVIDYYAKKAVLTNIHAEKAPQEVTSEVKKALS >fgenesh2_kg.8__2343__AT5G63410.1 pep chromosome:v.1.0:8:20932894:20936013:-1 gene:fgenesh2_kg.8__2343__AT5G63410.1 transcript:fgenesh2_kg.8__2343__AT5G63410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFKYIFLLSLLWSFYSLGSSQLQASQAQVLLQLKKHLEYPQQLESWYDHRTNFCYLQATPSMNITCFSNSVSELNIFGDKSSEKAKSFEGFAISNVTLSDRFSIESFFTTLSRLKSLRVLTLSSLGIWGHLPEKLHRLSSLEYLDLSNNFLFGSVPPKLSTMVKLETFRFDHNFFNGTLPSWFDSYWYLKVLSFKSNKLSGELHSSLLSLSTIEYIDLRANSLSGSLPEDLKCGSKLWFIDISDNKLTGKLPRCLSSKQDIALRFNGNCLSLEKQQHPESFCVKEARAEAKAEAKAEAEAANESGKRKWKKGALIGLIVGISMAVLVLICCVFILLRRKGVTKKHVHHNTVQDNHPSIGFSSEILSNARYISETSKFGSENLPVCRQFSLEEIVKATKNFDKTMILGESSLYGSLYKGNLDNGTKVAIRCLPSSKKYSIRNLKLRLDLLAKLRHPNLVCLLGHCIDCGGKDDYSVEEVFLIYEYIPNGNFQSCLSDDSSGKAMNWSERLNVLTGVAKAVHFLHTGVIPGFFSNRLKTNNVLLNQHRFAKLSDYGLSIVSEATRHNTEIAKSWQMSRLEDDVYSFGLILLQSIVGPSVSAREEAFLRDELASLEIEEGRRRMVNPTVQATCRNGSLIRVITLMNKCVSPESLSRPSFEDILWNLQYASQLQAASDGDQC >fgenesh2_kg.8__2348__AT5G63450.1 pep chromosome:v.1.0:8:20956427:20958103:-1 gene:fgenesh2_kg.8__2348__AT5G63450.1 transcript:fgenesh2_kg.8__2348__AT5G63450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLRAIILILFPILGFILIFSFSTKTLKAKTTTPSSNPTSYPLVGSILSFNKNRHRLLQWYTDLLRLSPTQTITVDLLFGRRTIITANPENVEHILKTNFYNFPKGKPFTDLLGDLLGGGIFNSDGELWSSQRKLASHEFTMRSLREFTFEIIREEVQNRLILVLSSAVDGGGTVDFQEVLKRFAFDVVCKVSLGWDPDCLDLTRPVPDLVKAFDVAAEISARRATEPVYAVWKLKRLLNVGSEKRLREAIKTVHVSVSEIIRAKKKSLDIGGDVSDKQDLLSRFLAAGHGEEAVRDSVISFIMAGRDTTSAAMTWLFWLLSQNDDVEKKILEEVRNKGSLGLGFEDLREMSYTKACICEAMRLYPPVAWDSKHAANDDVLPDGTLLKKGDKVTYFPYGMGRMENVWGKDWEEFKPNRWFEEEPSNGTKRVLKSVSSFKFPVFQAGPRVCIGKEMAFTQMKYVVGSVLSRFEIVPVCDNRAAVFVPLLTAHMAGGLKVKIKRREHM >fgenesh2_kg.8__2349__AT5G63470.1 pep chromosome:v.1.0:8:20966499:20967707:-1 gene:fgenesh2_kg.8__2349__AT5G63470.1 transcript:fgenesh2_kg.8__2349__AT5G63470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNNNNQPPPPTSVYPPGSAVTTVIPPPASGSTSIVTGGGATYHHLHQQQQQQLQMFWKYQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREEIKEEEDAAAAALGGGGMVAPAASGVPYYYPPMGQPAVPGGMMIGRPAMDPSGVYAQPPSQAWQSVWQNSAGGDDVSYGSGGSSGRGNLDSQG >fgenesh2_kg.8__234__AT5G45660.1 pep chromosome:v.1.0:8:1506299:1507984:-1 gene:fgenesh2_kg.8__234__AT5G45660.1 transcript:fgenesh2_kg.8__234__AT5G45660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIKTSPATIKLFSVLFLILTITSPSPKFVNAFTFPFSFTQYKTLISISRSLLLRVANLRASRGDALGSSRVQAMADEIDRGLGLGFISRAWSVGWDYMWNYAWRKGGIDYGEMYGAIGDLNELMGLVTEFNRAESNADKASSVARSYGKALRVSKQLLRRLLRIFGKSGALREFWEMVQAEVVDGELLQDCLEVGGNDVKSLLQIAKDMALQFFSSQSRSSDEL >fgenesh2_kg.8__2351__AT5G63480.1 pep chromosome:v.1.0:8:20967936:20969355:1 gene:fgenesh2_kg.8__2351__AT5G63480.1 transcript:fgenesh2_kg.8__2351__AT5G63480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQELAMEGEKHLEETIEAAFQIISAMNDELCNPSLWSTSATPSSAATATGSNGSALVSVDAAAIDGTSHHSESGGGGGGGGSGNSVLDEASLRYKNSVTSLRAVLAAIPNSHKAKASEMENGFGSPESEDEIEKLEEQALSLRMEIAKKNVHVKELIDKLRELIADISTWQSPCSV >fgenesh2_kg.8__2352__AT5G63490.1 pep chromosome:v.1.0:8:20969332:20972936:-1 gene:fgenesh2_kg.8__2352__AT5G63490.1 transcript:fgenesh2_kg.8__2352__AT5G63490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MPP2] MASQGGSSRRSLSVTTASLHGKKKSMDIGERGPDTGRRSLTVSRSPLGLTGGERTVKRLRLSKALTVPATTTIYEACKRMASRRVDALLLTDSNEMLCGILTDKDIATRVISQEVNVEETPVSKVMTKNPMFVLSETLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKSWGTNTSVPNTFIETLRDRMFRPSLSTIIPADTKVLKVSPTDTVLTVAKKMVEFQSSCAVVIIEDKLRGIFTSKDILMRVVAENLAPSETLVETVMTQNPESTIVDTPIVEALHIMHEGKFLHLPVTDKEGDVVAVVDVIHVTHAAVATAGTTAGIGNEATNTMMQKFWDSAMALSPNEDDEDSRSESSMKVASEAETGKSFPFANTFSFKIEDKKHRKHRFISDTRSLTEVITAIIQRVGDDIDPDNLPQILYEDEDHDKVLLASDSDLQAAIEHAKSIGWKSLRLHLDDSREGKGRRRRRASGSAESMEYVETDAWAAAYSGVAAGAALVAGLGFMAFLRKFGH >fgenesh2_kg.8__2354__AT5G63510.1 pep chromosome:v.1.0:8:20974675:20976522:1 gene:fgenesh2_kg.8__2354__AT5G63510.1 transcript:fgenesh2_kg.8__2354__AT5G63510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIARLSRRGVTSNLIRRCFAAEAALATKTEVPKPQLTVSPSPDRVKWDYRGQRQIIPLGQWLPKVAVDAYVAPNVVLAGQVTVWDGSSVWNGAVLRGDLNKITVGFCSNVQERCVVHAAWSSPTGLPAETIIDRYVTVGAYSLLRSCTIEPECIIGQHSILMEGSLVETRSILEAGSVVPPGRRIPSGELWGGNPARFIRTLTNEETLEIPKLAVAINHLSGDYFSEFLPYSTVYLEVEKFKKSLGIAV >fgenesh2_kg.8__2357__AT5G63530.2 pep chromosome:v.1.0:8:20993445:20996143:1 gene:fgenesh2_kg.8__2357__AT5G63530.2 transcript:fgenesh2_kg.8__2357__AT5G63530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKPEEEKKEGEEKKVEAEKKGEDSDKKPQESNKDSKEDSAPAPPEAPAPPPPPQEIVLKVYMHCEGCARKVRRCLKGFEGVEDVMTDCKTGKVVVKGEKADPLKVLARVQRKTHRQVQLLSPIPPPPPPPEKKAEEEKPIVEEKKVKPPVVVTVVLKVHMHCEACATEIKKRIMRMKGVESAESDLKGSQVTVKGVFEPQKLVEYVYKRTGKHAAIMKIDPPPPPPPEESAAEGEKKEEGKGENGGGESKGEEGKDEKAKTDEEKKEGDGGKGEGEAAENGGGEEEGKVVEVRKIENPYYYYYYQPPRVAVPPMEMPPHAYPPQLFSDENPNACTVM >fgenesh2_kg.8__235__AT5G45650.1 pep chromosome:v.1.0:8:1529531:1535266:1 gene:fgenesh2_kg.8__235__AT5G45650.1 transcript:fgenesh2_kg.8__235__AT5G45650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MTD2] MKKLISLFPLLFLVPLLASCAEEKQVYIVYFGEHKGDKALHEIEEHHHSYLQSVKESEEDARASLLYSYKHSINGFAAELTPDQASKLEKLAEVVSIFKSHPRKYEAHTTRSWEFVGLEEEETDSDVPRRKNDADDRFRVGRNFLKKAKHGDGIIVGVLDSGVWPESKSFNDKGMGPVPKSWKGICQTGVAFNSSHCNRKIIGARYYVKGYERYFGAFNVTETKDFLSPRDPDGHGSHTASTAVGRRVYGASALGGFAMGSASGGAPLARLAIYKACWAKPNVEKIEGNTCLEEDMLAAIDDAIADGVHVISISIGTSEPYPFLQDGIAMGALHAVKRNIVVAASAGNSGPKPGTLSNMAPWIITVGASTLDRVFIGGLVLGNGYTIKTNSITAFKMDKFAPLVYAANVVVPGIALNDSSQCLPNSLKPELVTGKVVLCLRGAGTRIGKGIEVKRAGGAGMILGNVAANGNEIPTDSHFVPTAGVTPTVVDKILEYIKTDKNPMAFIKPGKTVYKYQAAPSMTGFSSRGPNVLDPNILKPDITAPGLNILAAWSGADSPSKMSVDQRVADYNIYSGTSMSCPHVAGAIALLKAIHPKWSSAAIRSALMTSAWMTNDKKKPIQDTTGLPANPFALGSGHFRPTKAADPGLVYDASYRAYLLYGCSVNITNIDPTFKCPSKIPPGYNHNYPSIAVPNLNKTVTVKRTVTNVGNGNSTSTYLFSAKPPSGVSVKAIPNVLFFNRIGQKQRFKIVIKPLKNQVMNATEKGQYQFGWFSWTDKVHVVRSPIAVSLA >fgenesh2_kg.8__2361__AT5G63560.1 pep chromosome:v.1.0:8:21010274:21012218:1 gene:fgenesh2_kg.8__2361__AT5G63560.1 transcript:fgenesh2_kg.8__2361__AT5G63560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MPQ1] MADSFELIVTRKEPVLVSPASGTPKGLHYLSNLDQNIAIIVKTFYYFKSNSRSNEESCEVIKKSLSEVLVHYYPAAGRLTISPEGKIAVDCTGEGVVVVEAEANCGIEKIKKAISEIDQPETLEKLVFDVPGARNILEIPPVVVQVTNFKCGGFVLGLGMNHNMFDGIAAMEFLNSWAETARGLPLSLPPFLDRTLLRPRIPPKIEFPHNEFEDLEDISGTGKLYSDEKLVYKSFLFGPEKLERLKIMAETKSTTFQTLTGFLWRARCQALGLKPDQRIKLLFAADGRSRFSPELPKGYSGNGIVFTYCVTTAGEVTLNPLSHSVGLVKRAVEMVNDGFMRSAIDYFEVTRARPSLTATLLITSWAKLSFHTKDFGWGEPVVSGPVGLPEKEVILFLPCGSDTKSINVLLGLPGSAMKVFQGLMDI >fgenesh2_kg.8__2362__AT5G63570.1 pep chromosome:v.1.0:8:21012725:21014971:1 gene:fgenesh2_kg.8__2362__AT5G63570.1 transcript:fgenesh2_kg.8__2362__AT5G63570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate-1-semialdehyde-2,1-aminomutase [Source:UniProtKB/TrEMBL;Acc:D7MPQ2] MSATLTGSGTALGFSCSSKISKRISSSPSSNRCCIKMSVSVDEKKKSFTLQKSEEAFNAAKNLMPGGVNSPVRAFKSVGGQPVLIDSVKGSKMWDIDGNEYIDYVGSWGPAIIGHADDEVLAALAETMKKGTSFGAPCLLENVLAEMVISAVPSIEMVRFVNSGTEACMGVLRLARAFTNKEKFIKFEGCYHGHANAFLVKAGSGVATLGLPDSPGVPKAATSDTLTAPYNDLEAVEKLFAAHKGEISAVILEPVVGNSGFIPPTPEFINGLRQLTKDNGVLLIFDEVMTGFRLAYGGAQEYFGITPDLTTLGKIIGGGLPVGAYGGRRDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLKQAGTYEYLDKITKELTNGILEAGKKTGHPMCGGYISGMFGFFFAEGPVYNFADAKKSDTEKFGRFFRGMLEEGVYFAPSQFEAGFTSLAHTPEDVQFTIAAAERVLSRI >fgenesh2_kg.8__2364__AT5G63590.1 pep chromosome:v.1.0:8:21019123:21024570:-1 gene:fgenesh2_kg.8__2364__AT5G63590.1 transcript:fgenesh2_kg.8__2364__AT5G63590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNQHISSPDIPVIDLCSPDEELVAIAVVKASQEWGIFQVVNHGIPTELILRLQQVGKEFFELPETEKEAILKVETHGWIISFTASGHRLESTTNSGLRILESTLNEEYASHIKKLSEKIMEWLSEGLGLRRLRREALKEGLGGETVEYLMKINYYPPCPDPELVVGAPDHTDVNGITLLVANEALGLQAFKDNQWIDAKYTTSGIIVIIGDQFLRMSNGKYKSVEHRAKMDKEKTRISWPVFVESSLDHVFGPLPELITGDENIPNFKPYVYKDYKFRKLKKLLLE >fgenesh2_kg.8__2365__AT5G63600.1 pep chromosome:v.1.0:8:21035588:21036831:-1 gene:fgenesh2_kg.8__2365__AT5G63600.1 transcript:fgenesh2_kg.8__2365__AT5G63600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESERDNNTSLPLASSTLGGSADVPVVDLSVTDEGFLVREVTKASEEWGVFQVVNHGIPTELMLQLQMAGKQFFELPEAEKETVAKEEDFEGFKRNYLGGINNWDEHLFHRLSPPSIINYKYWPKNPPQYREVTEEYTKHMRRLTEKILGWLSEGLGLPRETFTKSIGGETAEYVLRINFYPPTQNTDLTIGAAAHTDMGAIALLIPNEVPGLQAFKDEQWLDLNYVNSAVVVIIGDQLMRMTNGRFKNVLHRAKTDQERLRISWPVFVAPRADMSVGPLPELTGDDNPPKFETLIYNDYIDQKIKGWALEDLPVY >fgenesh2_kg.8__2367__AT5G63620.2 pep chromosome:v.1.0:8:21047534:21049651:-1 gene:fgenesh2_kg.8__2367__AT5G63620.2 transcript:fgenesh2_kg.8__2367__AT5G63620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7MPQ9] MAFAPILRRASAAKNLLSLTRLAVASSSLSTRSLQTGASGDDSQSTSGYHVSSGGFMRGAVYREPNKPLTIEEFHIPRPKANEILIKTKGCGVCHSDLHVMKGEIPFASPCVIGHEITGEVVEHGPLTDHKIINRFPIGSRVVGAFIMPCGTCSYCAKGHDDLCEDFFAYNRAKGTLYDGETRLFLRNDDSPVYMYSMGGMAEYCVTPAHGLAPLPDSLPYTESAILGCAVFTAYGAMAHAAEIRPGDSIAVIGIGGVGSSCLQIARAFGASDIIAVDVQDDKLQKAKTLGATHIVNAAKEDAVDRIREITGGMGVDVAVEALGKPQTFMQCTLSVKDGGKAVMIGLSQAGSVGEIDINRLVRRKVWVIGSYGGRARQDLPKVVKLAESGIFNLTNAVSSKYKFEDAGKAFQDLNEGKIVSRGVVEIL >fgenesh2_kg.8__236__AT5G45640.1 pep chromosome:v.1.0:8:1537267:1542684:1 gene:fgenesh2_kg.8__236__AT5G45640.1 transcript:fgenesh2_kg.8__236__AT5G45640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MTD3] MKRISLSLLLFLLPLLASCTKEKQVYIVYFGEHKGDKAFHEIEAHHHSYLQSVKESEEDAKSSLLYSYKHSINGFAAELTLDQASRLKELKGVISVFKSDPRKYKIHTTRSWEFVGLKEEEGEDYRSDGDAPRHKYDVSDRFRVGRKFLKNAKHGDGVIVGVIDSGVWPESRSFDDKGMGPIPESWKGICQTGVSFNSSHCNRYYARGYERYYGPFNAEANKDFLSPRDADGHGSHTASTGVGRRVNGVSALGGIAMGTASGGASLARLAVYKACWAIPNTEKYATNTCFDEDMLAAFDDAIADGVNVISISIGAVEPHTYMEDGIAIGALHAVKRDIVVAASAGNDGPAGQTLSNPAPWIITVGASSLDRFFVGRLELGDGYIFESDSLTTLKMDNFAPLVYAPDVVVPGVSRNDALLCLPNSLSPDLVRGKVVLCLRGYGSGSTIGKGIEVKRAGGVGMILANARDNDAFDVESHFVPTVLVFSSTVDRILDYIYNTYEPVAFIKPAETVLYRNQPEDSVYLYKPAPFMTNANILKVNSFVLPDIIAPGLNILAAWSGADSASKDSRDRRVLGYNLDSGTSMSCPHVAGAIALLKSMHPSWSSAAIRSALMTTASMTNEDNEPIQDYDGSPANPFALGSGHFSPTKAASPGLVYDASYQSYLLYCCSVGLTNLDPTFKCPSRIPPGYNLNYPSISIPYLTGTVAVTRTVTCVGRPGNSTSVYVFNAQPPYGVIVKAEPNVLVFDRIGQKKRFNIIFTTQGYGFTGEARRDRYRFGWFSWTDGLHVVRSPISVSLV >fgenesh2_kg.8__2370__AT5G63640.1 pep chromosome:v.1.0:8:21056567:21065640:1 gene:fgenesh2_kg.8__2370__AT5G63640.1 transcript:fgenesh2_kg.8__2370__AT5G63640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MPR1] MAAELVSSATSEKLADVDWAKNIEICELAARDERQAKDVIKAIKKRLGSKNANTQLYAVQLLEMLMNNIGENIHKQVIDTGVLPTLVKIVKKKSDLPVRERIFLLLDATQTSLGGASGKFPQYYTAYYDLVHAGVKFTQRPNATPVVVTAEAVPRNTLNEQLASARSEGPATTQLRESQTVSPSSILQKASTALEVLKEVLDAVDSQNPEGAKDEFTLDLVEQCSFQKERVMHLVMTSRDEKAVSKAIELNEQLQRILNRHEDLLSGRITVPSRSTTSNGYHSNLEPIRPISNGHNKPELKASNANTESSSSISNRAHLKLEEEDEEEEPEQLFRRLRKGKARARPEDEEEPSPPQGLPGSAIHNERLNRPLIRPLPSEEASRGGDSHSQSPPVVIPPPPAKHVEREKFFKEKKVDGASGLPGHMRGLSLHSRDGSSSRSGSVDFSD >fgenesh2_kg.8__2375__AT5G63710.1 pep chromosome:v.1.0:8:21090008:21093404:1 gene:fgenesh2_kg.8__2375__AT5G63710.1 transcript:fgenesh2_kg.8__2375__AT5G63710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSGSFRDPLGTRRGFIHRNCFRWNNQKLILQCFMALAFVGVTSSTTQPDIEGGALLQLRDSLNDSSNRLKWTRDFVSPCYSWSYVTCRGQSVVALNLASNGFTGTLSPAITKLKFLVTLELQNNSLSGALPESLGNMVNLQTLNLSMNSFSGSIPASWSQLSNLKHLDLSSNNLTGSIPTQFFSIPTFDFSGTQLICGKSLNQPCSSSSRLPVTSSKKKLRDITLTASCVASIILFLGAMVMYHHHRVRQTKYDIFFDVAGEDDRKISFGQLRRFSLREIQLATDSFNESNLIGQGGFGKVYRGLLPDKTKVAVKRLADYFSPGGEAAFQREIQLISVAVHKNLLRLIGFCTTSSERILVYPYMENLSVAYRLRDLKAGEEGLDWPTRKRVAFGSAHGLEYLHEHCNPKIIHRDLKAANILLDNNFEPVLGDFGLAKLVDTSLTHVTTQVRGTMGHIAPEYLCTGKSSEKTDVFGYGITLLELVTGQRAIDFSRLEEEENILLLDHIKKLLREQRLRDIVDSNLTTYDSKEVETIVQVALLCTQGSPEDRPAMSEVVKMLQGTGGLAEKWTEWEQLEEVRNKEALLLPTLPATWDEEETTVDQESIRLSAAR >fgenesh2_kg.8__2376__AT5G63730.1 pep chromosome:v.1.0:8:21098654:21112028:-1 gene:fgenesh2_kg.8__2376__AT5G63730.1 transcript:fgenesh2_kg.8__2376__AT5G63730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IBR domain-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MPS2] MEHDRGKPYSVLTRNEISVKMKKQINEISDIFFISKSDATVLLMYLRWDSLRVSERLGENKEKLLMDSGLESVVIDPNPDSSSEISLETDVYEFADDDDLISTPFCSHKFDTTYWREYLEKNFYYVEKIQTAISCPDQDCRSAVGPDTIEKLTVRDQEMYERYILRSYIEGNKVLMIKQCPARDCNYVIEFHQENDDDDEYSLNVVCLCGHIFCWRCRLESHRPVTCNKASDWLSSASRKLSDESFSLCSTKTKTVTCPHCLCSLESDTKMPQFLTCVCRLRFCSRCLRSEEAHKIEAVDSGFCVKTEVGILCEDRWNVCQNLLEQAKSDLEAFEESNIKNPSDLLREQDIMIIREGLMLIVQCRRVLKWCCVYDYFYTEYENSKEYLRYLQGNAIAALQSYSNTLQEQKDIVLTAATYEECSFFRHTIPTATSNIGNYFYDFIKTLQDGLVDVRVKSYNGGAGPLWYCDRCTYANTWHDKECEMCYYDSASLVGELSDLSLNKVS >fgenesh2_kg.8__2377__AT5G63750.1 pep chromosome:v.1.0:8:21117287:21119143:-1 gene:fgenesh2_kg.8__2377__AT5G63750.1 transcript:fgenesh2_kg.8__2377__AT5G63750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IBR domain-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MPS4] MENDREGPYSVLTRDELREKMEKQIAEISEIFSLSKSDATVLLMFLRWDSHRVSDCLVENKEKVLSESGLKPVVANPNQELSNISCGICFKTCDDDDYLISTPYCSHMFCKSCWRKYLGKNFYLVEKNQTRISCPHPACQAAVGPDTIQKLTVRDQEMYVEYVLRSYLEVLEIKECPARDCNYVIEFHQKNHDGDEEDYSLNVVCLCGHIFCWRCMLESHKPVTCNNASDWLFRDLKSLSKVSGKKPLSLSSIKTTRQKTCSLPLIKATTNTCPHCFRRVDLGTKQYLRFLTCACGYGRFCWKCMQSEEDHKTESGWYKLCSNVLERRAEVENSCMEHWKASKVSMKQAISDLQAFEESNMENPGYLSEKDMTIIRKGLMLIVQCRQVLKWSCVYDYFHTEYEMSKREYLRFLQANATSLVEGYSKTLNEEIERASSATSENFCCVKHKVTIETINIGNYFYHFIKTLREGLDDVKVKSYTDYGGLFWLCDRCTFGNTWFHKECTMCSDDIAARVELS >fgenesh2_kg.8__2378__AT5G63760.2 pep chromosome:v.1.0:8:21120641:21122632:-1 gene:fgenesh2_kg.8__2378__AT5G63760.2 transcript:fgenesh2_kg.8__2378__AT5G63760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:IBR domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MPS5] MTESGLKSVVIDSNQDLSDVSCGICSKIGDGDGLISTACCSHKLCNTCWSEYLEKNFFSVEKNQTAISCPDQSCRAAVGPDTIEKLTVRDQEMYEKYVLKSYRERCLGWKIKQCPAPGCNYNIEFHLASEDEEHSLNIVCLCGHIFCWRCMLESHRPVTCNNASDWLSRDLKKLIGEVDKPSTLSWIETNTKPCPHCFIPVELDDVHQWNQFLTCACSGRFCWKCFQSPEAHGIYGSCFAPEDLSNISCLDLWKASQVSLEQAKSELEAFEESIIKKPSDLKEQDVKVLREGLMLVVQCRQFLKWSCVYDYLHTEYDMAKREYLRFLQENASALVHSFSQGIKEETEAKELTRGKLLSETTNIGNYFYHFIKTLREGLAEVKAKSYDNYGGPYWLCDRCTYGNSWFERACKMCCDPTASNTDELSD >fgenesh2_kg.8__237__AT5G45630.1 pep chromosome:v.1.0:8:1543922:1544304:1 gene:fgenesh2_kg.8__237__AT5G45630.1 transcript:fgenesh2_kg.8__237__AT5G45630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEFQESDIIFSDQSKIQKTKLFNTRNDEKKGTRRQVTAEKTSPVRIPTNNFRYFKWDTTEEEEEDKTPPHVIIERRMKEQIAFSVCTLKGRDLSRHRNSVLKMTGFLEA >fgenesh2_kg.8__2380__AT5G63770.1 pep chromosome:v.1.0:8:21123898:21128762:1 gene:fgenesh2_kg.8__2380__AT5G63770.1 transcript:fgenesh2_kg.8__2380__AT5G63770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:D7MPS6] MDVGFSLIQWLISSGADSPFIFGWLVTGSVGLLAVIYTFLRWQKKTSLNWVKAAAREKKKVWKRLRVPLSHHQWTDDYGYGPQPSTCCVCLCSLVPGQNVSTKAALSIPVHRCAVCGVAAHFYCSGSAAKDCKCVAQAGSDHVRHHWSERWVNMDDSADMTAFCFYCDEPCGIPFIEASPMWHCLWCQRLIHVKCHMIMSKESGDACDLGSLRRVILSPVHVKLNEANGVDGVLTTIKNELASIRGHVRRKRHRGKNGNGQSLNGKLLEDSASNPVKTVVNGLVVKKIRRDRSIDCLQKVSDMPNANGLQNGISGHKRNKSAAFKFMKKFSLVDLPPDARPLLVFINAKSGGQLGPFLHRRLNMLLNPVQVFELGSCQGPDAGLDLCSKVKYFRVLVCGGDGTVAWVLDAIEKRNFESPPPVAILPLGTGNDLSRVLQWGRGISVVDGQGSLRTFLQDIDRAAVTMLDRWSVKIVEESTEKFPAREGHKFMMNYLGIGCDAKVAYEFHMMRQENPEKFCSQFVNKLRYAKEGARDIMDRACADLPWQVWLEVDGKDIEIPKDSEGLIVLNIGSYMGGVDLWQNDYEHDDNFSIQCMHDKTLEVVCVRGAWHLGKLQVGLSQARRLAQGKVIRIHVSSPFPVQIDGEPFIQQPGCLEITHHGQVFMLRRASDEPRGHAAAIMNEVLLDAECKGVINASQKKVLLQQMALHLS >fgenesh2_kg.8__2382__AT5G63780.1 pep chromosome:v.1.0:8:21128988:21131715:1 gene:fgenesh2_kg.8__2382__AT5G63780.1 transcript:fgenesh2_kg.8__2382__AT5G63780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MPS7] MGKENQLETTNCGVNDHDCPPVKNEELAAEARYSTDSDSGLPTCRVCHSAESDRRGDTALGFLGITPPVPEARKSNADENADDVSKATESELKNSVVKSNGRESGFFEITSPDAEVFICTNDIEMGIQQHQDALLELGCSCKNELALVHYACALKWFLNHGSTVCEICGHPAENIKTADFNKVVTALRDYTALRERTADGGDPIPVLAVSTDSTIDSDEVAAIRRQRLSEISSWFGPHSLNNNNNSSVAASQAIPDQPLGVVNFDILPMESRATKWAVEGTGILLATGLLTVTLVWLIAPRVGKKTARSGLHILLGGLCALTIVIFFRFVVLTRIRYGPARYWAILFIFWFLVFGIWASRSNASHNNP >fgenesh2_kg.8__2384__AT5G63800.1 pep chromosome:v.1.0:8:21134536:21140106:1 gene:fgenesh2_kg.8__2384__AT5G63800.1 transcript:fgenesh2_kg.8__2384__AT5G63800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:D7MPS9] MEAARVFGLCLILVGMFLVFPGGATAAKGVTYDGRSLIIDGQRKLLFSGSIHYPRSTPEMWPSLIKKTKEGGIDVIQTYVFWNLHEPKLGQYDFSGRNDLVKFIKEIRSQGLYVCLRIGPFIEAEWNYGGLPFWLRDVPGMVYRTDNEPFKFHMQKFTTKIVNLMKSEGLYASQGGPIILSQIENEYANVEAAFHEKGASYIKWAGQMAVGLKTGVPWIMCKSPDAPDPVINTCNGMRCGETFPGPNSPNKPKMWTEDWTSFFQVYGTEPYIRSAEDIAFHAVLFIAKNGSYINYYMYHGGTNFGRTSSSYFITGYYDQAPLDEYGLLRQPKYGHLKELHAAIKSSANPLLQGKQTILSLGPMQQAYVFEDASSGCVAFLVNNDAKVSQIQFRKSSYSLSPKSIGILQNCKNLIYETAKVNVEKNKRVTTPVQVFNVPEKWEGFRETIPAFSGTSLKANALLEHTNLTKDKTDYLWYTSSFKPDSPCTNPSIYIESSGHVVHVFVNNALAGSGHGSRDIKVVKLQVPASLTNGQNSISILSGMVGLPDSGAYMERKSYGLTKVQISCGGTKPIDLSGSQWGYSVGLLGEKVRLQQWRNLNRVKWSMNNAGLIKNRPLIWYKTIFDGPNGDGPVGLNMSSMGKGEIWVNGESIGRYWVSFLTPSGHPSQSIYHIPREFLKPSGNLLVVFEEEGGDPLGISLNTISVIGSNRAQSQLS >fgenesh2_kg.8__2389__AT5G63850.1 pep chromosome:v.1.0:8:21173082:21175544:1 gene:fgenesh2_kg.8__2389__AT5G63850.1 transcript:fgenesh2_kg.8__2389__AT5G63850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPRPAFKCFDDDGRLKRSGTIWTASAHIITAVIGSGVLSLAWAIGQLGWIAGPAVMFLFSFVTYYSSTLLSDCYRTGDPVSGKRNYTYMDAVRSILGGFRFKICGLIQYLNLFGITVGYTIAASISMMAIKRSNCFHESGGKNPCHMSSNPYMIMFGVTEILLSQIKDFDQIWWLSIVAAIMSFTYSAIGLALGIIQVAANGVVKGSLTGISIGAVTQTQKIWRTFQALGDIAFAYSYSVVLIEIQDTVKSPPAESKTMKIATRISIAVTTTFYLLCGCMGYAAFGDAAPGNLLTGFGFYNPFWLLDVANAAIVVHLVGAYQVFAQPIFAFIEKQAAARFPDSDLVTKEYEIRIPGIRSPYKVNVFRAVYRSGFVVLTTVISMLMPFFNDVVGILGALGFWPLTVYFPVEMYIRQRKVERWSMKWVCLQMLSCGCLMITLVAGVGSIAGVMLDLKVYKPFKTTY >fgenesh2_kg.8__238__AT5G45620.1 pep chromosome:v.1.0:8:1560959:1563447:-1 gene:fgenesh2_kg.8__238__AT5G45620.1 transcript:fgenesh2_kg.8__238__AT5G45620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQYLESLKNAHPELGEWYNSLADLYQKKLWHQLTLKLEQFIALAVFQAGDALIQFYHNFITDFETKINLLKLAHFAVVVSRQYSEKEAAVSYLESVIEKLRATKEPRITEPIIYIETQKALFKLEQGDQKECKKILDDGKSSLDSMTDIDPSVYANFYWVSSQYHKSRQEFSDFYKSALLYLAYTSVEDLSESFKLDLAFDLSLSALLGENIYNFGELLAHPILKSLLGTNVEWLYHILQAFNHGDLVQYQELCRVHNASLIAQPALVENEKKLLEKINILCLIEIIFSRPAEDRTIPLSIIAERTKLSIEDVEHLLMKSLSVHLIEGIIDQVNGTVYVSWAQPRVLGIPQIKALRDQLDSWVDKVHTTLLSVEAETPDLVAA >fgenesh2_kg.8__2390__AT5G63860.1 pep chromosome:v.1.0:8:21176909:21180975:-1 gene:fgenesh2_kg.8__2390__AT5G63860.1 transcript:fgenesh2_kg.8__2390__AT5G63860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UVB-resistance 8 [Source:UniProtKB/TrEMBL;Acc:D7MQI4] MAEEMAADEVTAPPRTVLIISAGASHSVALLSGDIVCSWGRGEDGQLGHGDAEDRPSPTQLSALDGHQIVSVTCGADHTVAYSQSGMEVYSWGWGDFGRLGHGNSSDLFTPLPIKALHGIRIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGDTEDSLVPQKIQAFEGIRIKMVAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLVPERVTSAGGEKMSMVACGWRHTISVSYSGALYTYGWSKYGQLGHGDLEDHLIPHKLEALSNSFISQISGGWRHTMALTSDGKLYGWGWNKFGQVGVGNNLDQCSPVQVRFPDDQKVVQVSCGWRHTLAVTERNNVFAWGRGTNGQLGIGESVDRNFPKIIEALSVDGASGQHIESSNIDPSSGKSWVSPAERYAVVPDETGLTDGSNKGNGGDISVPQTDVKRVRI >fgenesh2_kg.8__2392__AT5G63870.2 pep chromosome:v.1.0:8:21183622:21185770:-1 gene:fgenesh2_kg.8__2392__AT5G63870.2 transcript:fgenesh2_kg.8__2392__AT5G63870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7MQI5] MESVPPSPITWPDGGGLTNEWVHGLMSSFQWSSWNLPPSQLPSLLPVSVFDSLVLTASKILHKERNCVHIDGLDSESNVVVVGDIHGQLHDLLFLLKDTGFPCQNRFYVFNGDYVDRGAWGLETFLVLLSWKVLMPDRVYLLRGNHESKYCTSMYGFEKEVLTKYGDKGKHVYRKCLGCFEGLPLASIISGRVYTAHGGLFRSPVLPKRTTRGKKNRRVVLLEPEPSSLKLGTLDELMQARRSVLDPPWEGSNLIPGDVLWSDPSMTPGLSPNEQRGIGLLWGPDCTEDFLKKYELKLIIRSHEGPDAREKRTGLGGMDKGYTIDHNVESGKLITIFSAPDYPQFQATEERYKNKGAYIILQAPDFSDPQFHSFEAVKPRPKAHPYYDFENVIDSDDEMDKSALDTNDEQPNR >fgenesh2_kg.8__2394__AT5G63880.1 pep chromosome:v.1.0:8:21186060:21188675:1 gene:fgenesh2_kg.8__2394__AT5G63880.1 transcript:fgenesh2_kg.8__2394__AT5G63880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:UniProtKB/TrEMBL;Acc:D7MQI6] MGNLFVKKPQITEVDRAILSLKTQRRKLSQYQQKLEKVIEAEKQAARDLIREKRKDRALLALRKKRTQEELLKQVDQWVINVEQQLADIELTSKQKAVFESLKQGNSAIKAIQSELDLDDVQKLMDDTADAKAYQDELNAILGEKLSAEDEEDVLAEFDNLESQLIVDEMPQVPTKESEESDKLDLPDVPTKTPVASNAEITPAESATKTKVLEEPLPA >fgenesh2_kg.8__2397__AT5G63900.1 pep chromosome:v.1.0:8:21193270:21194937:1 gene:fgenesh2_kg.8__2397__AT5G63900.1 transcript:fgenesh2_kg.8__2397__AT5G63900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MQI8] MESCNIVQMEAELSPGAIEQWISTVKNGKMIEKGKRRSDLAIKVRRHLSALGWVISYFNKGNKRELRYKSPKGRWFYSLAKACMSCVDQDSQQQQQIVPKYDLSCSPRNLSSESSFVGSNQKQKKSKKRSRVEDLDINSTAPEKESHASDFIKIVPNFDVLKQQHEKLLNRVEDCDTAAFNGDQEKIKIILNVDVIHEQQKKRRKTAGEEIRRPRIEKSLKKVLQVMEKKQQMNKHEKESLRFCRKDSSPDMNCDVCCVCHWGGDLLLCDGCPSAFHHTCLGLSSLPEEDLWFCPCCCCDICGSMESPVNSKLMACEQCQRRFHLKCLKEEPGIVSCRGWFCSSQCNRVSSALENLIGCKIAVGNNGDLVWTLMRAPNEGEHYDDEQISKLESAVEILHQGFEPTKDVFSGRDLVEELIFRKDRTGVGRGFYTVLIERKKEPITVAAVRVDKDVVEIPLVATLSNYRRSGMCRVLVDELEKQMSQMGVCRLVLPAAKEVVSTWTQRFGFSVMESSERLELVKHGMLDFVGTVMCHKFLVKERAENDSAEESSLTE >fgenesh2_kg.8__2399__AT5G63910.1 pep chromosome:v.1.0:8:21196432:21198572:-1 gene:fgenesh2_kg.8__2399__AT5G63910.1 transcript:fgenesh2_kg.8__2399__AT5G63910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSPIAISLLFALLSPVLLPCSGDFTGGVEDESPPTVCIVGSGIGGSSVAHFLRNYSVSTGLNRAKILMFERHEIVGGRMRTVTVAGDTFEAGGSILHPKNYHVKDFVERFNLTVRLPTPIEESSAIGIWDGKKFVVKTFGSGTKFPFLDTIVSWVNDLYLFLRYGFSLLRMSSFIENTVDNFLKYYESLESRPIFDNVEGMLKWSGLYNLTKLTLQEKLSEAQLSPLLVNELVTVITRINYGQSVLISGLAGAVSLAGSGGGLWSVEGGNWQMAAKLINHSDITLHLNEKIESISHLGNHYELNSTKGNSYKCDVTVVATPLDEVDIQFSPAISIPKRELQHTHATFVRGLLNPGYFGMKSLSDVPALLGTLEDPLIPFSCISILRKYSKTDMTYKIFTRQPASDSLLDELFSRRTETVRIDWGAYPKYHAPEVFAPFILDDHHLYYVNAFENAASTMETSAVAGENIARLIISRFMTKESSSSSEKRSCSSGLHSDL >fgenesh2_kg.8__2400__AT5G63920.1 pep chromosome:v.1.0:8:21203455:21210126:1 gene:fgenesh2_kg.8__2400__AT5G63920.1 transcript:fgenesh2_kg.8__2400__AT5G63920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:D7MQJ2] MSRRGGGPVTVLNVAEKPSVAKSVAGILSRGTFRTREGRSRYNKIFEFDYAINGQPCRMLMTSVIGHLMELEFANRYRKWHSCDPADLYQAPVMKHVPEDKKDIKKTLEEEARKSDWLVLWLDCDREGENIAFEVVDVCRAVKHNLFIRRAHFSALIDRDIHEAVQNLRDPNQLFAEAVDARQEIDLRIGASFTRFQTMLLRDRFAIDSTGEERSRVISYGPCQFPTLGFIVERYWEIQAHEPEEFWTINCSHQSEEGLATFNWMRGHLFDYASAVILYEMCVEEPTATVMNVPHPRERFKYPPYPLNTIELEKRASRYFRLSSEHTMKVAEELYQAGFISYPRTETDSFSSRTDLCAMVEEQRRHPAWGSYAQRLLEPEGGLWRNPGNGGHDDKAHPPIHPTKFSSGESNWSRDHLNVYELVVRHYLACVSQPAKAAETTVEIDIAGERFSASGRAILAKNYLEVYRFESWGGSVIPVYEKGQQFIPTTLTLDSAVTRPPPLLCEADLLSCMDKAGIGTDATMHDHIKKLLDRGYATKDANTRFSPTNLGEALVMGYDDMGYELWKPNLRALMERDMNEVSVGRKTKAEVLETCLQQMKACFLDARVKKSKLLEAMTIFFERSNNTDESESQTAGEVIRRCNLCNESDMALRKNRDGNFMVGCMNYPQCRNAVWLPGPTLEASVTTDVCQTCGPGPVYKILFKFRQIGIPPGFDVNHLGCIGGCDDILKQLIDICGTGSRSQARRAPGTVPSNNIQGSNTRQGNFCIHCQQRGHASANCPSRATAFRNSRLTATNPRNDESTVSCNTCGTQCVLRTANTEANRGRQFFSCPTQGCSFFAWEDSINNSSGNATTGSNSGGSGRRGRGRGGRGGQSGGGRRNSGTSFVSATGEPVSGIRCFSCGDPSHFANVCPNRNNSNGNYY >fgenesh2_kg.8__2401__AT5G63930.1 pep chromosome:v.1.0:8:21211813:21215276:1 gene:fgenesh2_kg.8__2401__AT5G63930.1 transcript:fgenesh2_kg.8__2401__AT5G63930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEMMKLAVFFISLLLILLISETTGLNLEGQYLLDIKSKFVDDMQNLRNWNSNDSVPCGWTGVMCSNYSSDPEVLSLNLSSMVLSGKLSPSIGGLVHLKQLDLSYNGLSGSIPKEIGNCSSLEILKLNNNQFDGEIPVEIGKLVSLENLIIYNNRISGSLPVEIGNILSLSQLVTYSNNISGQLPRSIGNLKRLTSFRAGQNMISGSLPSEIGGCESLVMLGLAQNQLSGELPKEIGMLKKLSQVILWENEFSGFIPREISNCSSLETLALYKNQLVGPIPKELGDLQSLEYLYLYRNVLNGTIPREIGNLSNAIEIDFSENALTGEIPLELGNIEGLELLHLFENQLTGTIPVELSTLKNLSKLDLSINALTGPIPLGFQYLRGLFMLQLFQNSLSGTIPPKLGWYSDLWVLDLSDNHLRGRIPSYLCLHSNMIILNLGTNNLSGNIPTGVTTCKTLVQLRLARNNLVGRFPSNLCKLVNLTAIELGQNRFRGSIPREVGNCSALQRLQLADNDFTGELPREIGTLSQLGTLNISSNSLTGEVPFEIFNCKMLQRLDMCCNNFSGTLPSEVGSLYQLELLKLSNNNLSGTIPVALGNLSRLTELQMGGNLFNGSIPRELGSLTGLQIALNLSYNKLTGEIPPELSNLVMLEFLLLNNNNLSGEIPSSFANLSSLLGYNFSYNSLTGPIPLLRNISISSFIGNEGLCGPPLNQCIQTQPSAPSQSTVKPGGMRSSKIIAITAAAIGGVSLMLIALIVYLMRRPVRTVSSSAQDGQQSEMSLDIYFPPKEGFTFQDLVAATDNFDESFVVGRGACGTVYKAVLPAGYTLAVKKLASNHEGGNNNNVDNSFRAEILTLGNIRHRNIVKLHGFCNHQGSNLLLYEYMPKGSLGEILHDPSGNLDWSKRFKIALGAAQGLAYLHHDCKPRIFHRDIKSNNILLDDKFEAHVGDFGLAKVIDMPHSKSMSAIAGSYGYIAPEYAYTMKVTEKSDIYSYGVVLLELLTGKAPVQPIDQGGDVVNWVRSYIRRDALSSGVLDPRLTLEDERIVSHMLTVLKIALLCTSVSPVARPSMRQVVLMLIESERSEGEEEHLDTEELTQTTTP >fgenesh2_kg.8__2403__AT5G63950.1 pep chromosome:v.1.0:8:21236748:21243409:-1 gene:fgenesh2_kg.8__2403__AT5G63950.1 transcript:fgenesh2_kg.8__2403__AT5G63950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENTASHRRKPQSLNDRHYSILQDLSAPPKQPPSSSHGEDDETKKSMIKLAGRRRLCKALPKEDVDDGYDDPDLVDFDSPVKGDTSVESAGAGNKFTSWDQSKEANTELAGEPNFSIITDFSSPSPQLKQNEEMQGDGGRNEIMDILDDLTSKLGTMSIQKKKDNQSNDFDGGGVKSRVDKFDFEDAKSSFSLISDLSQSSLDVGTTCSAGVNSLKDKQGNAGFAIREEKTSNEFSRELEERISDVGKQNSYSGRHFDDNSEYNRQGYNLDRGKSQRKEEKTMGQSLKTTRHIEVSEKLRTVGRSNAAKLRDLDKDDDDDCVILSGKKAAEMKIHHEKPKKPARSYNTERHGYDERSLEDEGSITLTGLKLSYTLPGKIATMLYPHQRDGLKWLWSLHTQGKGGILGDDMGLGKTMQICSFLAGLFHSKLIKRALVVAPKTLLPHWMKELATVGLSKMTREYYGTSTKAREYDLHHILQGKGVLLTTYDIVRNNTKALQGDDHYTDEDDEDGIKWDYMILDEGHLIKNPNTQRAKSLLEIPSSHRIIISGTPIQNNLKELWALFNFSCPGLLGDKNWFKQNYEHYILRGTDKNATDREQRIGSTVAKNLREHIQPFFLRRLKSEVFGDDGATSKLSKKDEIVVWLRLTACQRQLYEAFLNSEIVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDVLEGMDSTLTPEEAGVAERLAMHIADNVDTDDFQTKNDSISCKLSFIMSLLDNLIPEGHRVLIFSQTRKMLNLIQDSLTSNGYSFLRIDGTTKAPDRLKTVEEFQEGHVAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSATVEEKIYRKQVYKGGLFKTATEHKEQIRYFSQQDLRELFSLPKGGFDVSPTQQQLYEEHYNQIKLDEKLESHVKFLETLGIAGVSHHSLLFSKTAPIQAIQKDEEEEIRRETALFSGRPSASISQDTVINGADYAFKPKDVNLDKKINISPIDDKELSESEIKARLNRLTILLQNKDTVSRLPDGGAKIQKQIAELTRELKDLKAAERINMPQVIDLEEDISQKMHKGLNL >fgenesh2_kg.8__2404__AT5G63960.1 pep chromosome:v.1.0:8:21246641:21254123:1 gene:fgenesh2_kg.8__2404__AT5G63960.1 transcript:fgenesh2_kg.8__2404__AT5G63960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:D7MQJ6] MNRAGNSKKRPPPSNTPPAVKHRATGNSTPSPAIGTLDDEFMVDEDVFLDEALLYGDEDEESLILRDIEERESRSSAWARPPLSPAYLSNSQIFQQLEIDSIISESHKELLPGSSGQAPIIRMFGVTREGNSVCCFVHGFEPYFYIACPPGMGPDDISKFHQILEGRMRESNKNAKVPKFVRRIEMVQKRSIMYYQQQESQTFLKITVALPTMVASCRGILDRGLQIDGFGMKSFQTYESNIIFVLRFMVDCDIVGGNWIEVPTGKYKKNARTLSYCQLEFHCLYSDLISHAAEGEYSKMAPFRVLSFDIECAGRKGHFPEAKHDPVIQIANLVTLQGEDHPFVRNVMTLKSCAPIVGVDVMSFETEREVLLAWRDLIRDVDPDIIIGYNICKFDLPYLIERAATLGIEEFPLLGRVKNSRVRVRDSTFSSRQQGIRESKETTIEGRFQFDLIQAIHRDHKLSSYSLNSVSAHFLSEQKEDVHHSIITDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMFIYNYVEMARVTGVPISFLLARGQSIKVLSQLLRKAKQKNLVLPNAKQSGSEQGTYEGATVLEARTGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPEDVRKLNLPPEHVTRTPSGETFVKQSLQKGILPEILEELLTARKRAKADLKEAKDPLEKAVLDGRQLALKISANSVYGFTGATNGQLPCLEISSSVTSYGRQMIEQTKKLVEDKFTTLGGYEYNAEVIYGDTDSVMVQFGVSDVEAAMNLGREAAEHISGTFIKPIKLEFEKVYFPYLLINKKRYAGLLWTNPQQFDKMDTKGIETVRRDNCLLVKNLVTESLNKILIDRDVPGAAENVKKTISDLLMNRIDLSLLVITKGLTKTGDDYEVKSAHGELAERMRKRDAATAPNVGDRVPYVIIKAAKGAKAYERSEDPIYVLQNNIPIDPNYYLENQISKPLLRIFEPVLKNASKELLHGSHTRSISITTPSNSGIMKFAKKQLSCVGCKVPISNGTLCASCKGREAELYCKNVSQVAELEEVFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRMKAQKDMAVARQQLDRWSF >fgenesh2_kg.8__2407__AT5G63980.1 pep chromosome:v.1.0:8:21257077:21259076:1 gene:fgenesh2_kg.8__2407__AT5G63980.1 transcript:fgenesh2_kg.8__2407__AT5G63980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINCFRTAKAPLQSFVAVRTNSRPRNSSNRLVSVFGSKSSPSFVTLRVVSSMAYEKELDAAKKAASLAARLCQKVQKALLQSDVQSKSDKSPVTVADYGSQAVVSLVLEKELSSEPFSLVAEEDSGDLRKDGSRDTLERITKLVNDTLATEESFDGSTLSTDDLLRAIDCGTSEGGPNGRHWVLDPIDGTKGFLRGDQYAVALGLLEEGKVVLGVLACPNLPLASIAGNNKNNKSSSDEIGCLFFATIGSGTYMQLLDSKSSPVKVQVSSVENPEEASFFESFEGAHSLHDLSSSIANKLGVKAPPVRIDSQAKYGALSRGDGAIYLRFPHKGYREKIWDHVAGAIVVTEAGGIVTDAAGKPLDFSKGKYLDLDTGIIVANEKLMPLLLKAVRESIAEQEKASAL >fgenesh2_kg.8__2409__AT5G63990.1 pep chromosome:v.1.0:8:21260761:21263259:1 gene:fgenesh2_kg.8__2409__AT5G63990.1 transcript:fgenesh2_kg.8__2409__AT5G63990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDEMLSAAKKAVSLAARLSNQVRKSLLVSDVWNKSDDSPVTVADYGSQAVVSLVLERELLNEPVSLVAEEDSGELRKIAAETVLARITELVKDTLASDESYAASPLSSDDVLNAIDRGKSEGGPMGRHWILDPIGGTRGFIRGEQYAIGLALLVDGKVVLGVMACPKLPLASTAAGNTLKSLPEKVGCLFYGSVGNGTYVQSLSVDSPPVKVEVSSIDDPAKASFFESYHTPVPIHNTIATKLGIKESPIKINSQTKYAALSRGDGEVYLRFTRKARPESIWNHAAGSIIVSEAGGKVTDAAGNPLDFSKGKYLDYKRGIVVTTQKLLPRLLIAVRESIKEEEEEEEKAASLQLH >fgenesh2_kg.8__240__AT5G45610.1 pep chromosome:v.1.0:8:1565033:1568422:1 gene:fgenesh2_kg.8__240__AT5G45610.1 transcript:fgenesh2_kg.8__240__AT5G45610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MTD7] MANNDDFDEDDLIKAVEIIEAIEAKKRLLPPPSLPTFVPAPPVSEMTTTTTMISSTVSHPMQLQSSAGQKRDQIQVRDPFISYSPPRELSQRVGGFNDALMDYSNSTVTAAKPISPNSSNRCCDSEKDLEIDRLKEQECSQLKKGKNKEMESKNLCADNKGQCSTVHASKRTDLEPDVATSSVIHRENESTMGLDDKKSFKTAGVQADLANNADLSKKLLDIWRTSNYQDPRKNLISELLLACSTDLQIIFSFMKISAPPHEIDKQEAKTSSDIQSSKALESEKVYHLYSAVTKISYGFVNLKSLVEPLLDLCKAETAVLVHRSLRVLHVLLEHICGVEKRFEASWDANWHSLFELMNQIASIRTEEDVKLEALSIMNIIVMSTDAYTARETFVSKEVFESISLLLRKEGGLHVRKEAIHLYYLLLNCPKLYDRFDSLHEEKNSSDTENDSEVNLFATEAFGKIFEGLADCLTSPRKTSEDLELCRNVIMILALAASSGNSGYELLSNHKLPQDTNFLMVILHLLVAEIDSESTEFRPKAEILKARTLLMREILILLNRLVSGLSSSATILKELTKSRDMASLTVDAATRLSRKRNLLGQPENSVQRMRNTEIMDLARIFKRRVFAFLGDNTI >fgenesh2_kg.8__2410__AT5G64000.1 pep chromosome:v.1.0:8:21264045:21266020:1 gene:fgenesh2_kg.8__2410__AT5G64000.1 transcript:fgenesh2_kg.8__2410__AT5G64000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEKELAAAKKAVSLAARLSQEVQKTLSQSQVWKKSDTSPVTAADYGSQAVVSLVLERELQPDKLSLVAEEETGDLRKKGSELFLQGITTLVKDTLASEELYTGSPLSTDDVLNAIDCGKSEGGSSGSHWVLDPIDGTRGFVRGEQYAVGLALLVEGKVVLGVMACPNLSLASAICVTDKSSQEGVGCLFFATTGSGTYVQSLKGNSLPQKVRVSSNENLDEAKFLESYHKPIPIHGTIAKKLGIKALPVRLDSQAKYAALSRGDAEIYLRFTLNGHRECIWDHAAGSIITTEAGGVVCDATGKSLDFSKGKYLAHKTGIIVTTKKLKPWILKAVRESIEEENLYF >fgenesh2_kg.8__2411__AT5G64010.1 pep chromosome:v.1.0:8:21265996:21267830:-1 gene:fgenesh2_kg.8__2411__AT5G64010.1 transcript:fgenesh2_kg.8__2411__AT5G64010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFEKFEPIFGEVVPEHSDPGSGLLRRCLFHVYASDSYNLTVHVTDFVSGVWATILSVSQLDDMRDTVGIGGSWSEFLDYTVASMKSDNVKLLLGDNSVSNGVKTARLVSQKAKGMPRVIVPLTKMVDSSASEAMANLSLELFRAFKSKQHLQGEVSSSAAATDEKDKRDATHNQVERYSGKLDVMAPSTDNRQDSPAKQSAREGYTTKPVKRVPAHRRTRKRGALLQDSEDDDG >fgenesh2_kg.8__2412__AT5G64020.1 pep chromosome:v.1.0:8:21268062:21270227:-1 gene:fgenesh2_kg.8__2412__AT5G64020.1 transcript:fgenesh2_kg.8__2412__AT5G64020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGKSHILRGSVSLALIILILLVIILLVSEENPLRASLFEVKRQFSSSSSSSSSVCNFAKGKWVEDRKRPLYSGFECKQWLSTMWSCRVMGRPDFSFEGYRWQPEGCNMPQFDRFTFLTRMQNKTIAFIGDSLGRQQFQSLMCMASGGEDSPDVQNVGWEYGLVKAKGALRPDGWAYRFLATNTTILYYWSASLSDLVPMNNTDPPRLTAMHLDRPPAFMRNYLHRFDVLVLNTGHHWNRGKIEGNHWVMHVNGTQVEGEYLKDIRNAKDFTIHSVVKWLDAQLPLHPRLKVFFRTISPRHFKNGDWNTGGNCNNTVPLSRGSEITGDDGSIDTTVESAVNGTRIKILDITALSELRDEAHISGSKLKPRKPKKASNVTSTPTINDCLHWCLPGIPDTWNELFIAQI >fgenesh2_kg.8__2413__AT5G64030.1 pep chromosome:v.1.0:8:21272012:21276416:1 gene:fgenesh2_kg.8__2413__AT5G64030.1 transcript:fgenesh2_kg.8__2413__AT5G64030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRYTRIDNRRPSSNYCSTVTVVVFVALCLVGIWMMTSSSVGPAQNVDEVSLDNKDGIKKQMTPPTEEGNGQKFEDASGDTPNEDKKGDGDASLPKEDESSSKQDNQEEKKEEKPKEESTPSGETIETEGGEDKKDDSKSENGGGGDSDEKNDLKDNPDEENPDTNEKQTKPETEDNESGEDGENQKQFESDNSGKKSSDDDKETKTGNEDTETKTEKENTETNVDVQVEQEGQPKNETSGDLSPPGAQLELLNETTAQNGSFSTQATESKNEKEAQKGSGDKLDYKWALCNTTAGPDYIPCLDNVQAIKSLPSTKHYEHRERHCPDNPPTCLVPLPEGYKQPIEWPKSREKIWYTNVPHTKLAEYKGHQNWVKVTGEYLTFPGGGTQFKHGALHYIDFIQESVPAIAWGKRSRVVLDVGCGVASFGGFLFDRDVITMSLAPKDEHEAQVQFALERGIPAISAVMGTTRLPFPGRVFDIVHCARCRVPWHIEGGKLLLELNRVLRPGGFFVWSATPVYQKKTEDVEIWKAMSELIKKMCWELVSINKDTINGVGVATYRKPTSNECYTSRSEPQPPICAESDDPNASWKVPLQACMHTAPEDKTQRGSQWPEQWPARLEKPPFWLSSSQTGVYGKAAPEDFSADYEHWKRVVSKSYLKGLGINWASVRNVMDMRAVYGGFAAALRELKVWVMNVVPIDSPDTLAIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSRLKQRCNLTAVIAEVDRVLRPEGKLIVRDDAETIQEVEAMVKAMKWEVRMTYSREKEGLLSVQKSFWRPNEVETLTYAIG >fgenesh2_kg.8__2414__AT5G64040.1 pep chromosome:v.1.0:8:21276484:21277408:-1 gene:fgenesh2_kg.8__2414__AT5G64040.1 transcript:fgenesh2_kg.8__2414__AT5G64040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit psi-N [Source:UniProtKB/TrEMBL;Acc:D7MQK4] MAAMNSSVLTCSYAIAGSGSVELNQKVGLVNSSVGFGQKKQMIMPVIKAQRVVGDDVDGSNGRRSAMVFLAATLFSTAAVSASANAGVIDEYLERSKANKELNDKKRLATSGANFARAFTVQFGSCKFPENFTGCQDLAKQKKVPFISEDLALECEGKDKYQCGSNVFWKW >fgenesh2_kg.8__2416__AT5G64050.1 pep chromosome:v.1.0:8:21277873:21281163:-1 gene:fgenesh2_kg.8__2416__AT5G64050.1 transcript:fgenesh2_kg.8__2416__AT5G64050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATERS/ERS/OVA3 [Source:UniProtKB/TrEMBL;Acc:D7MQK5] MASLVYGTPWLRVRSLPELAPAFLRRRQSSLFYCSRRSFAVVACSSPVNNGGSVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTRESEAAVLQDLSWLGLDWDEGPGVSGDFGPYRQSERNALYKQYAEKLLESGHVYRCFCSSEELVKMKEIAKLKQLPPVYTGKWATASDSEIEQELEKGTPFTYRFRVPKEGSLKINDLIRGEVCWNLDTLGDFVVMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALEFPMPQFAHVSLILAPDRSKLSKRHGATSVGQYREMGYLPQGMVNYLALLGWGDGTENEFFTLEELVEKFSIERVNKSGAIFDSTKLRWMNGQHLRALPKEKLAKLVGERWKSAGILTESEGSFVDEAVELLKDGIELVTDSDKVLLNLLSYPLHATLASPEAKSAVEDKLHEVAASLIAAYDSGEIPSALEEGQGAWQKWVKAFGKSLKRKGKSLFMPLRVLLTGKLHGPEMGTSIVLIHKAGSSGIVVPQAGFVSMEERFKILREIDWEALNKDESVPLESTATVST >fgenesh2_kg.8__2417__AT5G64060.1 pep chromosome:v.1.0:8:21281513:21283215:-1 gene:fgenesh2_kg.8__2417__AT5G64060.1 transcript:fgenesh2_kg.8__2417__AT5G64060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC103 [Source:UniProtKB/TrEMBL;Acc:D7MQK6] MGKTNLAPGFRFHPTDVELVRYYLKRKVMGKKFQVDAIAEIDIYKFEPPDLPEKSCLGTGDLKWYFFCPREKKYPKGGKANRSTECGYWKTTGRDRDVSYNDEVTGKIRTLIYHYGKIPRGDRTDWVIHEYRLEDKVLAQKNVPQDTYVLCVLFKKNGLGPRHGSQYGAPFKEEDWSDKEEEYTQTHIVAVPCAENNNPGPSKETSLAATASQSHAPKDCLTGVISESCVSDVPPLTATVLLPPLTSDVVAYNPMSSSALPEVPQVSLDDGELNSLLDLFSVDNDDCLLFDDFDYQNEVRHEPDVFVNEEAPVFLGDGNFSGMFDLSNDMVVELQDLIQPATPPPPPPAQASNPDDSRSNGQT >fgenesh2_kg.8__2418__AT5G64070.1 pep chromosome:v.1.0:8:21284595:21292168:-1 gene:fgenesh2_kg.8__2418__AT5G64070.1 transcript:fgenesh2_kg.8__2418__AT5G64070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-kinase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MQK7] IRMPMGRFLSLVRGDSAESPREITSQSNIIGDTGSNGWLIRFFDSAFFCEWIAVSYLYKHPHAGVRDYLCNRMYTLPLSGIESYLFQICYMMVHKPSPSLDKFVIDICGKSLKIALKVHWFLLAELEDSDDNEGISRIQEKCQIAATLMGEWSPLMRPQNEVSTPGSKNQVLNRLLSSKQKLFSLKLSPPTQKSLSFSPSPGTNVQDDGSQLPAEDNKIFKKLIPSPKVRDALMFRKSVDKDDEESEKEGFFKRLLRDSKGEGDEPIPNSEGFFKRLLKDNKSEDEDITNSSEGFFKRLLSSKGESEELTSSSDGLFKRLLRDNKGDEEELGANPDSFFKRLLRESKTEDEESNPNSEGFFKKLFRDSKPEEDKVSKEVDDEDKDGFLKKLFREKSDDKRHGSEKNETNGTVSADKKSGEEDEREGFFKKFFKEKSDDKKDIVKVDDGNESEGEESPEFSLFKRLFRIHPEDAKPTSENENSGNGLVESSPGTENFFRKLFRDRDQSVEDSELFGSKKQKEKRPGSPKQRDDTPSGKPPLPNNTASQFRKGAYHESLEFVQALCETSYGLVDIFPIEDRKIALRESLAEINFHLSEAEITGGICFPMGRGVYRVVHIPEDECILLNSREKAPYMISVEVLKAETPSAKDTSNSQKLSKGGIPLANGDAFLQKPPPWAYPLWTTQEVYRNSADRMSLSTAQAIDQAMTPKSEVKVKLVNVSLSVENCTSALESLCDPLDDVLGEAPRTGLNTDLEWVRVVVTADPGLRMESIPDPSAPRKKEHRRVPSTVAMEEVRAAAAKGEAPPGLPLKGAGQDSSDAQPRANGGMLKEGDALSGELWEGKRDRIRKASIYGKLPGWDLRSIIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLVTSSYTALIETIPDTASIHSIKSRYPNITSLRDFFVAKYKENSPSFKLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLLDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDADGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >fgenesh2_kg.8__2419__AT5G64080.1 pep chromosome:v.1.0:8:21294775:21296218:-1 gene:fgenesh2_kg.8__2419__AT5G64080.1 transcript:fgenesh2_kg.8__2419__AT5G64080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7MQK9] MATLSSFTATTPLLVIVLLSLSSVSVLGASHHHAAAPAPSVDCSTLILNMADCLSFVSSGGTVAKPEGTCCSGLKTVLKTDAECLCEAFKSSASLGVTLNITKASTLPAACKLHAPSIANCGLSVAPSTAPDISPGGAAAGPETAGVLAPNPSPGNDGSSLIPISITTLFSAVLFVLFSSRV >fgenesh2_kg.8__241__AT5G45600.1 pep chromosome:v.1.0:8:1584231:1586038:-1 gene:fgenesh2_kg.8__241__AT5G45600.1 transcript:fgenesh2_kg.8__241__AT5G45600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSSSSKKQAQDQPETSEPTLKSLKAKMTKSDEKQKKLKDIEISVPIVYGNVAFWLGKKASEYQSHKWAVYVRGATNEDISVVVKKVVFQLHSSFNNPTRVIEEPPFEVSESGWGEFEIAMTLHFHSDVCDKPLSLYHHLKLYPEDESGPLTMKKPVVVESYDEIVFPDPSESFLSRVQNHPALTFPRLPSGYNLPAPMQVEDTGKKKRGDTKDHSLGQWFMSFSEADELLQLAAARQQVQAHIAKLRRQISLLEGQNQTVKTGSDL >fgenesh2_kg.8__2421__AT5G64090.1 pep chromosome:v.1.0:8:21297612:21299171:1 gene:fgenesh2_kg.8__2421__AT5G64090.1 transcript:fgenesh2_kg.8__2421__AT5G64090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSVKPPGGSPSPSSSTSSSTPHRFKSATTPTASAAAGISSTADRDPMHSWWESVSKQRSRILSLSSLLSGDSHFEDGDVTPISSLADSDRPALSLLSSRAAYSLISTSLCNPASGSGSDPLCQWLYETYLSSDPPLRLVVLSFLPLLVGMYLARIHSSDSSSLPSLSGFEAVLLAIYAAEVKARAGKPILVHIPDLSQPSLYHTPRNGVDKSRDSNPTASVGVLSPQLEPQIAVKSTKRASIVGVGLQCYFKEISQMPAWSKLEFCKFAANWAGQDCDCKERIDGDEDKVLALTNGFGDSSSFNGSSGRSLEIEEDFDRLAIRENGEQLSSNGGLVGGVRIPLPWELFQPTLRILGHCLLSPLNTGDVKDAASNAVRSLYARASHDLNPQAILATRSLVNLDTSARTTAKTVAAETVNGSSNVNTPSKAKKPEILLASK >fgenesh2_kg.8__2424__AT5G64120.1 pep chromosome:v.1.0:8:21310729:21312393:-1 gene:fgenesh2_kg.8__2424__AT5G64120.1 transcript:fgenesh2_kg.8__2424__AT5G64120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7MQL3] MGLVRSLCLFITFLSCLIILVHGQATGRPGPVSGTRIGFYLTTCPRAETIVRNAVNAGFSSDPRIAPGILRMHFHDCFVQGCDGSILISGANTERTAGPNLNLRGFEVIDNAKTQLEAACPGVVSCADILALAARDTVILTQGTGWQVPTGRRDGRVSLASNANNLPGPRDSVAVQQQKFSALGLNTRDLVVLAGGHTIGTAGCGVFRNRLFNTTGQPADPTIDPTFLSQLQTQCPQNGDASVRVDLDTGSGTTWDTSYYNNLSRGRGVLQSDQVLWTDPATRPIVQQLMAPRSTFNVEFARSMVRMSNIGVVTGANGEIRRVCSAVN >fgenesh2_kg.8__2426__AT5G64130.1 pep chromosome:v.1.0:8:21315858:21317780:-1 gene:fgenesh2_kg.8__2426__AT5G64130.1 transcript:fgenesh2_kg.8__2426__AT5G64130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEDVKEQSVQGNLTNEAEKSMPSSQQEEAAVKKKYGGLMPKKPPLISKDHERAYFDSADWALGKQGVAKPKGPLEALRPKLQPTQQQTRYRKSPCAPSEGGEDGGAAQAEGGSGN >fgenesh2_kg.8__2428__AT5G64150.1 pep chromosome:v.1.0:8:21320255:21322425:-1 gene:fgenesh2_kg.8__2428__AT5G64150.1 transcript:fgenesh2_kg.8__2428__AT5G64150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylase family protein [Source:UniProtKB/TrEMBL;Acc:D7MR82] MIRIFPSLRFTSLLSAAKPLCSYSPAKSSTETNSLSITPKTPLFLRTPSHATSLSEVWKWHDWAKDLASSVEESSTNSEDVLDSVILHRELKWLIEDSIVDDPLVILHRSEIADNGEKNVKLRASLEELYDLWRQRIEKRRPFQYVVGCEYWRDLVLCVEEGVLIPRPETELIVDMVEELVTRDEWFKKGFWADLGTGSGAIAIGIAKVLGSRGRVIATDLSPVAVAVAGKNVQRYGLEGMIEVREGSWFEPLKGLEGKLVGLVSNPPYIPSDDIPGLQAEVGKHEPKLALDGGIDGTDSLLHLCYGASQMLQRGGFFVFETNGEKQSKMIVDYMMSNDLKDCFSDLKIVSDFAGINRFVTGFRL >fgenesh2_kg.8__242__AT5G45590.1 pep chromosome:v.1.0:8:1588395:1590411:1 gene:fgenesh2_kg.8__242__AT5G45590.1 transcript:fgenesh2_kg.8__242__AT5G45590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of ribosome [Source:UniProtKB/TrEMBL;Acc:D7MTD9] MQRFCTKLRSISLQSNRNLSFSGAAPHRLIHHSPTRHLTTTLGFANSTKWSFLPAAGVSPLNISSMGSTFVPHHFVQVRNITSKEKMAKWKKKWRPRTPITSKVKKVKIKFYSSFKDRFKPLNDGTIRRWKEGKRHNAHLKSKKSKRRLRQPGLVPPAYAKVMKKLNFCN >fgenesh2_kg.8__2431__AT5G64170.2 pep chromosome:v.1.0:8:21323767:21327953:-1 gene:fgenesh2_kg.8__2431__AT5G64170.2 transcript:fgenesh2_kg.8__2431__AT5G64170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSYIDELGDYISDEFHGNDDGIVPDSAYENGGQFPILVSSRKKRINEDMGSGTNNLKSYTFIKREANMLGKNPWPEKNSGGSSVSRDTGSGKDVQDMTLEDTNISDHGFNGGHVDVVENLSTVDPMLCDSAAATHDGVYNYSLNNIPDAENNLGFFDNGDKESNDLFYGWGDIGNFEDVDNMLRSCDSTFGLDSLNNEDDLGWFSSAPPNEEIEGAMTDDIKPDKVLENQRTPMLQVEDFLNNSESNHAVEDEYGYTIGGDSAQVKSSENLFDTSSQKKDILMLDVEANLEKKQTDHLHHLDGKSDGFSENSFTLQHSGISREIMDTNQYYPPSAFQQRGVPYSHFNCEQPSDQVSACESKSGIKSENKPNPSSASNESYTSNQAQSVESLQGPTVDDRCRKGFKTRANLLPGQDITPSFAANTKNSSKTNPMVFPDAASIQKIGLENDHRKAATELETSNMQGSSCVSSVVDDISLEATSFRQLQQVIEQLDVRTKLCIRDSLYRLAKSAEQRHHGGNRPEKVAGSHLVTGETDKYAGFMDIETDTNPIDRSIAHLLFHRPSDSSLSSDNNVLSYKSHPMIPQPNSSPSLRMEKQEETPELRPEAEVVISDNN >fgenesh2_kg.8__2433__AT5G64190.1 pep chromosome:v.1.0:8:21336132:21338095:1 gene:fgenesh2_kg.8__2433__AT5G64190.1 transcript:fgenesh2_kg.8__2433__AT5G64190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEKKFPDVFTWIQNIPQITKWRTTSLSFCICPSTSDFPNSNLNLIAQKNPNPTVPTFSIIVQSNNHSPLYLWTTKQDLNINPNSPNPFDEQTIVSLLFNFVESILTYTSNSSNYSTIKIPNPNSSKIDGLKDIFNAVILTLLFVVCVYEAPLYLRENCLNTLKNHLVTCHARQATVSLMKLLGSNLEEQWMRTVNLALTNWIIEKRRCESTKTTTPLFSYAVSAYGLWKVQLYCPVEAMEIERSSNPTADSRLLFSLKFNQLEGVMQFNHKVVVRDKWIDVIVKIDNIRYDVIKLVNEKLMSRRGAGEHEKHFPSRISLQLTPTLQTDFISVSVSKSSNNPGREFEVERSIEGSFDPPNSLGLRVAGREASTMTMTPWKFEQSVLGYTANLNWILYDSSVGGREVFSTKPSRFSIMSPRSWFKDRYARAYRSFTRRGGVIFAGDEYGESVVWKIGKGALGRTMEWEIKGFIWLTYWPNKYKTFYHETRRLEFTQLLNLTIA >fgenesh2_kg.8__2435__AT5G64200.1 pep chromosome:v.1.0:8:21338180:21340560:-1 gene:fgenesh2_kg.8__2435__AT5G64200.1 transcript:fgenesh2_kg.8__2435__AT5G64200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDISDTYSLLVLNITFRTTADDLYPLFAKYGKVVDVFIPRDRRTGDSRGFAFVRYKYKDEAHKAVERLDGRVVDGREITVQFAKYGPNAEKISKGRVVEPPPKSRRSRSRSPRRSRSPRRSRSPPRRRSPRRSRSPRRRSRDDYREKDYRKRSRSRSYERRERHQEKDRDHRRRTRSRSASPDDKRRVRGRYDDESRSHSRSLSASPARHGLSPRSSSPQKTSPPREVSPDKRSNERSPSPRRSLSPRSPSLQKASPSKEVSPERRSNERSPSPGSPSPLGKVDAASRSQSPYAAE >fgenesh2_kg.8__2436__AT5G64210.1 pep chromosome:v.1.0:8:21341660:21349145:-1 gene:fgenesh2_kg.8__2436__AT5G64210.1 transcript:fgenesh2_kg.8__2436__AT5G64210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:D7MR87] MIVARTTLRALLVCGGGNCNMFVSSVSAASVMKSPYERTKPMRIHDWCGRFGDFKIGSKHVQGDFKLRWRRMSSASATENKDENSTVKKDQNGGGSVAVPSYWGIETAKMKITRKDGSDWPWNCFMPWETYQANLSIDLKKHHVPNRQNRLPDSQAPPYSHRYIFPGMTLALQKTIWMQSNDMLETVAAVPGMVGGMLLHLKSIRKFEHSGSWIKALLEEAENERMHLMTMMELVKPKWYERLLVMLVQGIFNSFLVCYVMSPRLAHRVVGYLEEEAIHSYTEFLKDIDDGKIENVAAPAIAIDYWRLPKDATLKDVVTVIRADEAHHRDVNHFASDIRNQGKELREAGAPIGYH >fgenesh2_kg.8__2439__AT5G64230.1 pep chromosome:v.1.0:8:21357677:21370802:1 gene:fgenesh2_kg.8__2439__AT5G64230.1 transcript:fgenesh2_kg.8__2439__AT5G64230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSSAAVVPQLLRNIIIAVVVFADESLLQISGNSKLLEKLRVFLVTCFLFFIRSLPSVVSFANPNSSKKKKKKKMLISGNSKLLEKLRVFLVTFVSFANPNSSKKKKKNKMLVISDCEESGIGRAIWQLLSAMNEIPVSSRKYQVVRSLAERLIDENQGENSIALFDLNRRVLNASFRTTLSRLEAAVERNSNRRDISEPVRRGLNRVVRAAVRAVGDGFIGWGGEETADQTAETSEKLAAELLWLAEKMAVYGFVDEAVEKWASASNLAWLALSSEPRLQCSLVQISALLFKEAKDIKEGKEEEAEEANLKEIKKKMLISWIPLLCRASNGADKPVLRSAERADLEKVLEKMISELNEEEQERVLSLWLHHYTHCSSSDWPDLNGSYVRWCHSSRQLLLLRHSSHISP >fgenesh2_kg.8__243__AT5G45560.1 pep chromosome:v.1.0:8:1594863:1600582:-1 gene:fgenesh2_kg.8__243__AT5G45560.1 transcript:fgenesh2_kg.8__243__AT5G45560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVVYEGWMVRYGRRKIGRSYIHMRYFVLEPRLLAYYKKKPQDNQLPIKTMVIDGNCRVEDRGLKTHHGHMVYVLSIYNKKEKHHRITMAAFNIQEALMWKEKIECVIDQHQDSLVPSGQQYVSFEYKPGMDAGRTASSSDHESPFSALDDEDDSRRDLLRRTTIGNGPPESILDWTKEFDAELSNQSSSNQAFSRKHWRLLQCQNGLRIFEELLEVDYLPRSCSRAMKAVGVVEATCEEIFELVMSMDGTRYEWDCSFQYGRLVEEVDGHTAILYHRLLLDWLPMVVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGPQPGFVRAHLESGGFNIAPLKPRNGRPRTQVQHLIQIDLKGWGAGYLPAFQQHCLLQMLNSVSGLREWFSQTDDRGQPIRIPVMVNMASSSFALGKGGKPQHKSSLSIDQTNGASRNSVLMDEDSDDDDEFQIPDSEQEPETSKQEQEQETDAKKTEEAALNIDLSCFSGNLRHDDNENARNCWRISDGNNFKVRGKSFCSDKRKIPAGKHLMDLVAVDWFKDTKRMDHVVRRKGCAAQVAAEKGLFSTVVNVQVPGSTHYSMVFYFVTKELVPGSLFQRFVDGDDEFRNSRLKLIPLVPKGSWIVRQSVGSTPCLLGRAVDCNYIRGPTYLEIDVDIGSSTVANGVLGLVIGVITSLVVEMAFLVQANTPEELPERLIGAVRVSHIELSSAIVPNLDSD >fgenesh2_kg.8__2441__AT5G64240.2 pep chromosome:v.1.0:8:21373569:21375383:1 gene:fgenesh2_kg.8__2441__AT5G64240.2 transcript:fgenesh2_kg.8__2441__AT5G64240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Latex-abundant family protein [Source:UniProtKB/TrEMBL;Acc:D7MR90] MATRREVRCQCGRRMWVQPDVRTVQCSTCHTVTQLYSLMDIARGANRIIHGFQQLLRQHQPQHQYHEQQQQMMAQPPPRLLEPLPSPFGKKRAVLCGLNYKGKSYSLKGCISDAKSMRSFLVQQMGFPIDSILMLTEDEASPQRIPTKRNIRKAMRWLVEGNRAMDSLVFHFSGHGSQQKDYNGDEIDGQDEALCPLDHETEGKIIDDEINRILVRPLVHGAKLHAVIDACNSGTVLDLPFVCRMERNGSYEWEDHRSVRAYKGTDGGAAFCFSACDDDETSGYTPVFTGKNTGAMTYSFIKAVKTAGPAPTYGHLLNLMCSAIREAQSRLAFNGDYTSSDASAEPLLTSSDEFDLYATKFVL >fgenesh2_kg.8__2443__AT5G64250.2 pep chromosome:v.1.0:8:21400345:21402216:-1 gene:fgenesh2_kg.8__2443__AT5G64250.2 transcript:fgenesh2_kg.8__2443__AT5G64250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-nitropropane dioxygenase family [Source:UniProtKB/TrEMBL;Acc:D7MR94] MKGILGFEHGIVQAPLGPDISGPELVAAVANAGGIGLLRCPDWECPDYLRELIRKTKTLTDKPFGIGVVLAFPHDLNIKAILEEEVAVLQLYWGDCSQELVDDAHRAGLKVVPQVGNVEEARKAVAVGVDAIIVQGHEAGGHVIGKDGLFSLLPRVVDLVGERDIPVIAAGGIVDARGYVAALSLGAQGVCLGTRFVATHESYAHPIYKRKLVEYEKTEYTDVFGRARWPGAPQRVLETPFFDDWRSLPAGENEVNQPVIGRSTIHGVEKEIRRFSGTVPNMTTTGDLESMAMYAGQSVGLIKEILPAGEVVKSLVEEAQALILQKFNNATT >fgenesh2_kg.8__2445__AT5G64270.1 pep chromosome:v.1.0:8:21419579:21423661:1 gene:fgenesh2_kg.8__2445__AT5G64270.1 transcript:fgenesh2_kg.8__2445__AT5G64270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDPEIAKTQEERRKMEADLASLTSINMDRDLYGGNDRDSYVTSIAPNDEEDTNLDTTGSLVAQRLASYTAPRSLLNDVARPHNEDDDVGFKPRQTIAEREGEYRNRRLNRVLSPDRVDAFAMGDKTPDASVRTYTDHMRETALQREKEETMRLIAKKKKEEEEAAKHQKDSAPPPPVPSSSKRRHRWDLPEEDGGAAKKAKAASSDWDLPDAAPGIGRWDAPTPGRVSDATPSAGRRNRWDETPTPGRVTDSDATPGGVTPGATPSGVTWDGLATPTPKRQRSRWDETPATMGSATPMGGVTPGAAYTPGVTPIGGIDMATPTPGQLIFRGAMTPEQLNMQRWEKDIEERNRPLSDEELDAMFPKDGYKVLDPPASYVPIRTPARKLQQTPTPMATPGYVIPEENRGQQYDVPPEVPGGLPFMKPEDYQYFGALLNEENEEELSPDEQKERKIMKLLLKVKNGTPAQRKTALRQLTDKARELGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRILYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAIYASYYTKEVMVILIREFQSPDEEMKKIVLKVVKQCVSTEGVEPEYIRSDILPEFFKHFWVRKMALERRNYKQLVETTVEIANKVGVADIVGRVVEDLKDESEPYRRMVMETIDKVVTNLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCGEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNFIWPNIFETSPHVINAVMEAIEGMRVALGAAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDTLVAAYPVLEDEQNNVYSRPELTMFV >fgenesh2_kg.8__2448__AT5G64300.1 pep chromosome:v.1.0:8:21431504:21434201:1 gene:fgenesh2_kg.8__2448__AT5G64300.1 transcript:fgenesh2_kg.8__2448__AT5G64300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINLSSSSPSTISLSRSRLSRSSTTLLHGLHRVTLPSNHPSKFSIKTTGKVKAAVISREDDLLSFTNGNAPLSNGFLIDDRTEEPLEADSVSLGTLAADSAPAPANGFVTEDDDFELDLPTPGFSSIPQAIEDIRQGKLVVVVDDEDRENEGDLVMAAQLATPEAMAFIVKHGTGIVCVSMKEDDLERLHLPLMVNQKENEEKLSTAFTVTVDAKHGTTTGVSARDRATTILSLASRDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLTVLAGLDPVGVLCEIVDDDGSMARLPKLREFAAENNLKIVSIADLIRYRRKRDKLVERSSAARIPTMWGPFTAYCYRSILDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMQQIEATGRGVLVYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANEELGLPVDSREYGIGAQVIRDLGVRTMKLMTNNPAKYVGLKGYGLAIVGRVPLLSLITKENKRYLETKRTKMGHMYGLKFKGDVVEKTESESES >fgenesh2_kg.8__2449__AT5G64310.1 pep chromosome:v.1.0:8:21436495:21437202:1 gene:fgenesh2_kg.8__2449__AT5G64310.1 transcript:fgenesh2_kg.8__2449__AT5G64310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKSLGFVLLAALLISSTVAQSPAPAPSNVGGRRISPAPSPKKTTSPAPAPEVSPSPSPVAALTPESSASPPSPPLADSPTSDSPALSPSAISDSPTEAPGPAQGAAVSNSYAVFGSVAVMLTAAVLVI >fgenesh2_kg.8__2450__AT5G64320.1 pep chromosome:v.1.0:8:21440046:21442236:-1 gene:fgenesh2_kg.8__2450__AT5G64320.1 transcript:fgenesh2_kg.8__2450__AT5G64320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MRA3] MVMLARSKLALDVSRRSHSLHRISYCAISSSGFCGSGGDDGGGSPDSSNEWEKLLKPFDLDSLRNSFHKITPFQLCKLLELPLDVSTSMELFSWTGSQKGYRHSFDVYQVLIGKLGSNGEFKTIDRLLMQMKDEGIVFKESLFISIMRDYDKAGFPGQTTRLMLEMRNVYSCEPTFKSYNVVLEILVSGNCHKVAANVFYDMLSRKIPPTLFTFGVVMKALCAVNEVDSALSVLRDMTKHGCVPNSVIYQTLIHSLSKCNRVNEALQLLEEMFLMGCVPDAETFNDVILGLCKFDRINEAAKMVNRMLIRGFTPDDITYGYLMNGLCKIGRVDAAKDLFYRIPKPTSVIFNTLIHGFVTHGRLDDAKAVLSDMVTSYGIVPDVCTYNSLIYGYWKKGLVGLALEVLRDMRNKGCKPNVYSYTILVDGFCKLGKIDEAYNLLNEMSADGLKPNTVGFNCLISAFCKEHRIPEAVEIFREMPRKGCKPDVYTFNSLISGLCEVDEIKHALWLLRDMISEGVVANTVTYNTLINAFLRRGEIKEARKLVNEMVFQGSLLDEITYNSLIKGLCRAGEVDKARSLFEKMLRDGLVPSSISCNILINGLCRSGMVEEAVEFQKEMVLRGSTPDIVTFNSLINGLCRAGRIEDGLTMFRKLQAEGIPPDTVTYNTLMSWLCKGGFVYDACLLLDEGIEDGFVPNDRTWSILLQSLVPQETLDRRTFYNAVF >fgenesh2_kg.8__2455__AT5G64342.1 pep chromosome:v.1.0:8:21447676:21450649:-1 gene:fgenesh2_kg.8__2455__AT5G64342.1 transcript:fgenesh2_kg.8__2455__AT5G64342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDTRQLDLPLGLSPQTCFEDIAGRSVHPGIPLPELGKLYAAKLQAHCLQPPPFLSLLCSHDKESYGKRFSRSDMRSWCAAAATTTTTTPHEALESSQKRLLIFDQSGNQTRLLQCPFPLRFPSHAAADPVKLSDLQGIEKAFKEDGEEFDKNHLDGTESEMHEDTEEINALLYSDDDYDDDDDCESDDEVMSTGHSPYSNERVCNKRELEEIDGPCKRQKLLDKVNSSSDSSSLVGTTSSTKLNGSSFLKDKKLPESKNISTKEDTGSGLSNDQSKKDNIRTALKILESIVPGAKGNDALLLLDEAIDYLTLLKRDLISTEVKNQSSTTHKSPILLVKETTWGTRNLQTDKA >fgenesh2_kg.8__2457__AT5G64350.1 pep chromosome:v.1.0:8:21452021:21453494:-1 gene:fgenesh2_kg.8__2457__AT5G64350.1 transcript:fgenesh2_kg.8__2457__AT5G64350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7MRA5] MGVEKEVIRPGTGPKPAPGQTVTVHCTGFGKGGDLSQKFWSTKDEGQKPFSFQIGKGAVIKGWDEGVIGMQIGEVARLRCSPDYAYGAGGFPAWGIQPNSVLDFEIEVLSVQ >fgenesh2_kg.8__2459__AT5G64360.1 pep chromosome:v.1.0:8:21453910:21456093:-1 gene:fgenesh2_kg.8__2459__AT5G64360.1 transcript:fgenesh2_kg.8__2459__AT5G64360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRGGVGGGGNRAEADRWLVTSEKLLASSDLQGAKTFAIRACEADPTRAEAADYILAICDILLAGETRLGDSNLPDWYAVLRLGRLAQNPEHVATQYRRLALLLNPSVNRLPFADRAFKIVSDAWFVLSDPSKKSFYDRELQLSQIGQSGFHPQTQSHQNFQWNPTTVFPPQAGTSTDPMATSFWTACPYCFVLFEYPKAYEECTLKCQECRRAFQAVTIPKPPVDDGKDDEDVYFCSWALFPLGFSGEFKTPSWSPISPLFACPLQKVDDETRKRKEPGKETSVRSNKKHGVETVQNVESISSASNHALSDAAVGSSSGVMSKPGSYITRKRMGTGAKNLGRLDLNVEFSNEVEEPGVAGGRNEGNGIGSNREVDHMEGIGFFEGLDEFLNSLPILSVVGDDKIKAT >fgenesh2_kg.8__245__AT5G45540.1 pep chromosome:v.1.0:8:1605917:1608610:1 gene:fgenesh2_kg.8__245__AT5G45540.1 transcript:fgenesh2_kg.8__245__AT5G45540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMIPPHLRKLWDKWNIRGVIILSLFLQTILIFFAPSRRRTAKKLFLVLIWSAYLLADWAADYAVGQISDSQEEEAASNKQSKNRELLAFWSPFLLLHLGGPDTITALALEDNELWDRHLFSLLCQGVATVYVILLSIPNRLLTPTLIMFVGGVIKYVERTAALFSASLGKFKDSMLHDPDPGANYAKLMKEYEAKKNMNMPTDVVKDPEKGREGNTPIRPDNTLTALQVIQYAYKYFNIFKGLIVDLIFTNQQRDESRKFFDKLTAEEALRIIEVELGLIYDCLFTKAEILHNWTGAVFRFIALGCLVASLCLFKMNKKDQYDGFDVVLTYALLICGIALDSIALLMFCVSDWTIARLRKLKEDLEEKDTRTDRVLNWILDFKTLRCFQDRHEVLNRNFMFRRWSEYVHAYNMIGFCLGIRSTRIHYTKGKIHSFFHQIIHILSIDTAIENATRGARQFQNWIGRSLSNLSKRDNSVIRTGLKWFLFFPHLLGLLIYKFLDFFGIKDLVEEIRFTVSDRLTRELWEFIFTEVQQKHQFAEDQESAKDISSARGNWTLLETSSKKREYGSDHAKLLQYVTEKDYDQSILLWHIATELLYQKSIDQEVTTEKQEHRKREKEEHSNREFSKILSDYMMYLLIVQPTLMSAVSGIIKIRFRDTCEEAKDLFRRRHIDKSRYEKNNLVKEACLAILSVNTEIDPMAVKGDRSKSVLVDASVLAKELEKEGRNMWEVVSKVWVELLCYASLHCNSQEHASQLSKGGELINFVWLLMAHFGLGDQFQINRDDARAKLIVAN >fgenesh2_kg.8__2461__AT5G64370.1 pep chromosome:v.1.0:8:21461460:21463523:1 gene:fgenesh2_kg.8__2461__AT5G64370.1 transcript:fgenesh2_kg.8__2461__AT5G64370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ureidopropionase [Source:UniProtKB/TrEMBL;Acc:D7MRA7] MDKIISENGDTAAEGSICGYDSLHQLLSANLKPELYQEVNRLLLGRNCGRSLEQIVLPESAISLSSKHDFDLQAVSFSADKEQMRNPRVVRVGLIQNSIALPTTAPFSDQTRGIFDKLKPMIDAAGVAGVNILCLQEAWTMPFAFCTRERRWCEFAEPVDGESTKFLQELAKKYNMVIVSPILERDIDHGEVLWNTAVIIGNNGNIIGKHRKNHIPRVGDFNESTYYMEGDTGHPVFETVFGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGGLSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHNDFGHFYGSSHFSAPDASCTPSLSRYKDGLLISDMDLNLCRQYKDKWGFRMTARYEVYADLLAKYIKPDFKPQVVSDPLLHKNSS >fgenesh2_kg.8__2462__AT5G64380.1 pep chromosome:v.1.0:8:21463568:21465362:1 gene:fgenesh2_kg.8__2462__AT5G64380.1 transcript:fgenesh2_kg.8__2462__AT5G64380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7MRA8] MQSLTLTRYPTPISPPTLNLYPLRQIAGSVLSVPTTGNRSEMTSRRECASFKSLAVGQTSTGDGDDGYCTLIDFAGNGGGEGTKVGDDLVVLLYHLQHACKRIASLVASPFNSSLGKLSVNSSSGSDRDAPKPLDIVSNDIVLSSLRNSGKVAVMASEENDSPTWIKDDGPYVVVVDPLDGSRNIDASIPTGTIFGIYNRLVELDHLPVEEKAELNSLQRGNRLVASGYVLYSSATIFCVTLGSGTHAFTLDHSTGEFVLTHQNIKIPTRGQIYSVNDARYFDWPDGLRKYIDTVRQGKGQNPKKYSARYICSLVADLHRTLLYGGVAMNPRDHLRLVYEGNPVAFLVEQAGGKSSDGKRGILSIQPVKLHQRLPLFLGSVEDVTELESYGDVQQTVNPGYEV >fgenesh2_kg.8__2464__AT5G64400.1 pep chromosome:v.1.0:8:21472134:21473688:1 gene:fgenesh2_kg.8__2464__AT5G64400.1 transcript:fgenesh2_kg.8__2464__AT5G64400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSSGGRSAPRPRPAAARSPAPQPVHRAPPPAPAQASGGGGGMFSGIGSTLAQGMAFGTGSAVAHRAVDSVMGPRTIQHEAVEAASASPAPAGSAMLSSTCDIHAKAFQDCIGSYGSEISKCQFYMDMLSECRKNSGSVIGA >fgenesh2_kg.8__2465__AT5G64410.1 pep chromosome:v.1.0:8:21476035:21480967:-1 gene:fgenesh2_kg.8__2465__AT5G64410.1 transcript:fgenesh2_kg.8__2465__AT5G64410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADEFSDEDTSPIEEVRLTVTNTDDPTLPVWTFRMWFLGLISCSLLSFLNQFFSYRTEPLVITQITVQVATLPIGHFLAKVLPKTRFGLPGCGSARFSLNPGPFNMKEHVLISIFANAGSAFGSGSAYAVGIITIIKAFYGRNISFIAGWLLIITTQVLGYGWAGLLRKYVVEPAHMWWPSTLVQVSLFRALHEKDDQRMTRAKFFVIALVCSFGWYIVPGYLFTTLTSISWVCWAFPRSVTAQQIGSGMRGLGLGAFTLDWTAVASFLFSPLISPFFAIANVFVGYVLLIYLVLPLGYWGFDSYNATRFPIFSSHLFTSFGKTYDIPAIVNDNFELDLAKYEQQGRINLSMFFALSYGLGFATIASTLTHVALFYGREISERFRVSYKGKEDIHTRLMKRYKDIPSWWFYSMLAATLLISLALCIFLNDEVQMPWWGLVFASAMAFVFTLPISIITATTNQTPGLNIITEYAMGLIYPGRPIANVCFKVYGYMSMAQAVSFLNDFKLGHYMKIPPRSMFLVQFIGTILAGTINITVAWWQLNSIKNICQEELLPPNSPWTCPGDRVFFDASVIWGLVGPKRIFGSQGNYAAMNWFFLGGALGPVIVWSLHKAFPKRSWIPLVNLPVLLGATAMMPPATAVNYNSWILVGTIFNLFVFRYRKSWWQRYNYVLSAAMDAGVAFMAVLLYFSVGMEEKSLDWWGTRGEHCDLAKCPTARGVIVDGCPVK >fgenesh2_kg.8__2466__AT5G64420.1 pep chromosome:v.1.0:8:21487593:21492649:1 gene:fgenesh2_kg.8__2466__AT5G64420.1 transcript:fgenesh2_kg.8__2466__AT5G64420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase V family [Source:UniProtKB/TrEMBL;Acc:D7MRB4] MGSKKRSNDDSTEVVENNTLTDSSIVKNKKSKTEKMNTDSDTAAAAAAPGVASSGKDMEKRKKRKASDKERRRTAALEKYDGVGASRPKPRPVVVNSNSDEADDESLPSAAASSSLPLNYFTDLASSDASVREAAAESLVLRLQEIQKQYEMLPNKESVDGGLMLEAEKNDGLDNCAPHLRYALRRLIRGVSSSRECARQGFALGLTLPVSVISSINVESLMNLIADSLSVSSSMTGQDIKECLLGRLFAYGALARSGRLIEDWQSDKDSQIIKEFTNALIGLAAKKRYLQEPAVHVLLDLVDKLPTEPVVTHVMEAPELHKLFEQATEVGNPDALFLALKLHEKILVDHPVFSKLLPVPFSSGKFFSADHLSAISNCLKESTFCQPRVHSLWSVIVDMLLPEAVVQSEDVISVSSSSKKQKRNRKSNPVEEEATNNIRNFCQVIMEGALLSSSHDRKHLAFDILHLLLPKLPASFVQHVLSLQFVQCLMDILSTKDSWLHKVATHFLAELMDWVKDDDTKRVAVTMALQKHSEGKFDNITHTKTVKDLAAEFETEDGCTLYLQNLMNMFVDEQHVPEEPSNMKWSLEPCSLNSDQSQTTDDNSDIGSNEEKDSVGTTGNSDVLKSWVIESLPGILKHAKLSPEAKLRVQKQILKFLAVQGLFLASLGTEVTSFELQEKFKWPKTATPAALCKMCIEQLQLLLSNSQKIENPLSKGNGMEQPDDPVSYFMKFLSTLQNIPSVSLFRSLNEADEKAFKKLQETESKLSKEERNCGLATDPNKFHALRHLVVQLLLQILLHPGEFSEAATELSVCCDKAFSSLDLLKSDGEGEADDEEEPAVMDVLVDTLLSLLPHSSAPMRSSIEQVFKYFCQDVTNDGLLRMLRVIKKDLKPARHQEDQDSEDRDDDDDEDCLAIEEEEEENEEMGETGESDEQTDDSETVTGVGPMAVDREVPENSDDYDDDDGMDDDAMFRMDTYLAQIFKEKRNQAGDESAQSQLVLFKLRVLSLLEIYLHENPDKPQVMTVYLNLAQAILNPSTAESSLPLLQRMWGIIQKKIFKAKEYPKDESMEFSALASLLEKNLKLAAKPFKSKKSGVDPSKKKQSAAWNRYKIITNLGQNSTYWVMKIVDSRKFSETELEKILDMFRSAVVGFFDTRKSQMKIEFLEEVFRRRPWIGHQLFGFLLERSGNAKVEFRRLEALDLITETLRSLVPINENTQEDSKKTMKTHLKKLIHLIKELVANMPEAKVRRAQVRKFCGRIFQMVSSLKLTNSLLKGLGPDGQSACESALGDLFLNLKNTEH >fgenesh2_kg.8__2467__AT5G64430.1 pep chromosome:v.1.0:8:21493436:21495314:-1 gene:fgenesh2_kg.8__2467__AT5G64430.1 transcript:fgenesh2_kg.8__2467__AT5G64430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MRU0] MEKFSYNSYPDSTDSSPRSREIEFDNPPPWDDQNQNQQQQSYKVRFMCSYGGKIQPRPHDNQLTYVNGETKILSVDRGIRFPDLASKLSAVCGGGDGGGGEVTFKYQLPGEDLDALISVTNDDDLEHMMHEYDRLLRLSSKPARMRLFLFPASSASGGFGSQSSTQSDRDRFVEALNTVPRLSESEKSVTAPPNNADFLFGSEKVAAPPPPPPAEVKLPVPVVIEPPLFNDPRVIQPDHVVNSMEIQRQMQEFQRMHIRDQEQQQQQQEAVYRRKSNEDGLMDTGGGYFSPPHIQNPAPQPTIPQTNPQAPPPPMTTFWRGNHNPGGVFPTTTPGLGLPEQPVYMIPSPSPVYHAPPPPQPQGVIRPIATGQLNHGGYYPPVQRMASDTYREPPYHVAQPPIGTKAQQQQQPPPQPFTSGPPPPQYTAVPPPRQVVGLPDTSAYTQVTYTGGMGKQVYYTEAPPPQYHGVGLPVTGMSELRTGPDGKVVAMNMAPKVSSQGS >fgenesh2_kg.8__246__AT5G45530.1 pep chromosome:v.1.0:8:1631507:1634374:1 gene:fgenesh2_kg.8__246__AT5G45530.1 transcript:fgenesh2_kg.8__246__AT5G45530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIPPKIKKILDKWNIRGLVILSLLFQTSLIFLAPMRKRTSKKLLAAFLWTAYLLADWTANYAVSQITKNQGKEPEPDDPPKNKKLLALWAPFLLLHLGGPDTITALALEDNALWQRHLFGLVSQALAGVYAVVQSLENALWPPITLLFITATIKYVERTRALYSASLDKFKDRMLQRADAGPNYAKLMEEYASRKKSNLPTEIFLTDEPDKHERPPTLVIPDRDLTDLEIVQYGYKFFNTFKGLVVDLIFSFRERDESRDFFNKLKPGEALRIIETELGFLYESMYTKTAILHTGIGTLFRLISFGSLLSSFFVFHRRPLKSKDFHGADVVITYILFIVGIALDLASMVIFLFSDWTFAVLRKLKDDPEEKNNSSIDFLLNWFLAFRKPRWKEHTCNGNQTHKVLSTGFLSRRWSGTIYGFNFIGFCLKAKVSRIHKKRNCNVLVWESVVSLFDLVIRRIKMLFGSIKNVNRSIRSVLRRWSKKNRMIRYTVYPIFLVFFAGIPEVFRVLWKYIDRIFSVKSYLDEIRFISREPLTKNQWEFIFNELKDKSSFAETPEVAKKVSWARGEWALRDSKLAEVDTLMRYIEKVDYDQSLLLWHIATELCFQKEDVGKMENLSKESYDDREFSKIISDYMMYLLIMRPKLMSEVAGIGTIRFRDTKAEAERFFKGRQIKDLRDMKRASETVLSVSTDIEPILVKGDRSKSVLFDASMLAKKLQNLKDSSNEDGKWKVLSKVWVELLCYAASHCKATEHVAQLSRGGELLNFVWLLMAHFGLGDQFQINKGDARAKLVVGE >fgenesh2_kg.8__2475__AT5G64480.1 pep chromosome:v.1.0:8:21511775:21512356:1 gene:fgenesh2_kg.8__2475__AT5G64480.1 transcript:fgenesh2_kg.8__2475__AT5G64480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRLLHLLPQNNSFSRNLKFHQVLTRPVTRIQCIKRDDEDDDIIRNMRIRKPKKKKKIMTDSELAKDLAREIGKANTVAEQRREAMKKSGEILWGEFCRHMELKEDEMKIKWSKIGEEEKVVLVREFVDEWAVDFQPLSVRSVKEMVEQECLDSSMESSASMSSFSGLFPGLKRIIGFE >fgenesh2_kg.8__2481__AT5G64530.1 pep chromosome:v.1.0:8:21530585:21532134:1 gene:fgenesh2_kg.8__2481__AT5G64530.1 transcript:fgenesh2_kg.8__2481__AT5G64530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC104/XND1 [Source:UniProtKB/TrEMBL;Acc:D7MRV0] MNLPPGFRFFPTDEELVVHFLHRKASLLPCHPDVIPDLDLYHYDPWDLPGKALGEGRQWYFYSRKTQERVTSNGYWGSMGMDEPIYTSSTHKKVGIKKYLTFYLGDSQTNWIMQEYSLPDSSSSSSRSSKRSNRASSSSSHKPDYSKWVICRVYEQNCSEEEDDDGTELSCLDEVFLSLDDLDEVSLP >fgenesh2_kg.8__2484__AT5G64560.1 pep chromosome:v.1.0:8:21542200:21548931:-1 gene:fgenesh2_kg.8__2484__AT5G64560.1 transcript:fgenesh2_kg.8__2484__AT5G64560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNGYVVPADPSAVVTVKKKTPQASKNWAIIDTTGQSETLDVDKYAIMHRVQIHARDLRILDPNLSYPSTILGRERAIVLNLEHIKAIITSEEVLLRDPSDENVIPVVEELRRRLPVGNAAQHAQGDGKEISGAQNDGDTGDEDESPFEFRALEVALEAICSFLAARTAELETAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLSSASSPISSVGEPNWYATSPTIGSKISRASRASLATVRGDENDVEELEMLLEAYFMQIDSTLNRLTTLREYIDDTEDYINIQLDNHRNQLIQLELVLSSGTVCLSMYSLVAGIFGMNIPYTWNDNHGYMFKYVVSLTGTLCVVVFVIIMSYARYKGLVGS >fgenesh2_kg.8__2486__AT5G64570.1 pep chromosome:v.1.0:8:21563304:21566573:-1 gene:fgenesh2_kg.8__2486__AT5G64570.1 transcript:fgenesh2_kg.8__2486__AT5G64570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-xylosidase 4 [Source:UniProtKB/TrEMBL;Acc:D7MRV5] MGSSSPLTRRNRAPPSSVSSVFLTFLCFFLYFLDLSNAQSSPVFACDVAANPSLAAYGFCNTVLKIEYRVADLVARLTLQEKIGFLVSKANGVTRLGIPTYEWWSEALHGVSYIGPGTHFSSQVPGATSFPQVILTAASFNVSLFQAIGKVVSTEARAMYNVGLAGLTYWSPNVNIFRDPRWGRGQETPGEDPLLASKYASGYVKGLQETDGGDSNRLKVAACCKHYTAYDVDNWKGVERYSFNAVVTQQDMDDTYQPPFKSCVVDGNVASVMCSYNQVNGKPTCADPDLLSGVIRGEWKLNGYIVSDCDSVDVLYKNQHYTKTPAEAAAISILAGLDLNCGSFLGQHTEEAVKSGLVNEAAIDKAISNNFLTLMRLGFFDGNPKNQIYGGLGPTDVCTSANQELAADAARQGIVLLKNTGFLPLSPKSIKTLAVIGPNANVTKTMIGNYEGTPCKYTTPLQGLAGAVSTTYLPGCSNVACAVADVAGATKLAATADVTVLLIGADQSIEAESRDRVDLNLPGQQQELVIQVAKAAKGPVLLVIMSGGGFDITFAKNDPKIAGILWVGYPGEAGGIAIADIIFGRYNPSGRLPMTWYPQSYVEKVPMTIMNMRPDKSKGYPGRTYRFYTGETVYAFGDGLSYTKFSHSLVKAPSLVSLSLEENHVCRSSECQSLDAIGPHCENAVSGGGSAFEVQIKVRNGGDREGIHTVFLFTTPPAIHGSPRKHLLGFEKIRLGKMEEAVVRFKVEVCKDLSVVDEIGKRKIGLGKHLLHVGDLKHSLSIRI >fgenesh2_kg.8__2487__AT5G64580.1 pep chromosome:v.1.0:8:21572245:21576826:-1 gene:fgenesh2_kg.8__2487__AT5G64580.1 transcript:fgenesh2_kg.8__2487__AT5G64580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:UniProtKB/TrEMBL;Acc:D7MRV6] MTFYISSSLTLTHFSKPLNPSNTLFPIQFRGSLSSFVRRRKPTEAKLSSKFNIFPSRRNGLITCCSTSSFESTESSVPQEEDAESNRLFEKLREAERERLSNMEELERKANVQLERQLVMASDWSRTLLTMRGKLKGTEWDPETSHRINFSDFMKLLDSNSVQYMEYSNYGQTISVILPYYKDGEPQGEEENSKKKIIFRRHIVDRMPIDGWNDVWKKLHQQIVNVEVFNVDVVPAEVYTTVATFVVWSMRLALFVSLYIWIDSITRPIYAKLIPCDLGTPTKKIRQPLKRQALGSLGKSRAKFISAEEKTGVTFDDFAGQEYIKRELQEIVRILKNDEEFQNKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFASSRSYAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKVTTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKFFRSEDEKEELLQEVAENTEDFTGAELQNVLNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDSTEVPEELKLRLAYREAAVAVLACYLPDQYRPISETDINSIRSQPNLRYTETSGRVFARKSDYVNSIIRACAPRVVEEEMFGIENLCWISAKSTLEASQRAEFLILQTGMTAFGKAYYRNQRDLVPNLVPKLEALRDEYMRFAVEKCSSILQEYQSALEEITDVLLEKGEIKADEIWNIYNTAPRIPQKPVRPVDEYGALIYSGRWGIHGVSLPGRVTFSPGNIGFATFGAPRPMETQIISDDTWKLVDEIWDKKVEEIKTEAVIQVEEEKKKPQILMATHFF >fgenesh2_kg.8__2488__AT5G64600.1 pep chromosome:v.1.0:8:21580093:21583162:1 gene:fgenesh2_kg.8__2488__AT5G64600.1 transcript:fgenesh2_kg.8__2488__AT5G64600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHRNSSRSIISYSSSIARFFSIKAISLYLIFVFAFTIWVLVFSSRNIQTDDDHTKHQQQHRDLIDSESFPPPYLPPRKNLQKPNENTQLWTPPFSFGLHPCVKPTPKYKEFSESDHYVTVRSNGGLNQMRTGIADIVAVAHIMNATLVIPELDKRSFWQDSSVFSDIFDEEHFIKSLGRDVKVIKKLPKEVESLPRARKHFTSWSSVGYYEEMTHLWKEYKVIHVAKSDSRLANNDLPIDVQRLRCRVLYRGLRFSPAIESLGQKLVERLKSRAGRYIALHLRYEKDMLAFTGCTYGLTDAESEELRVMRESTSHWKIKSINSTEQREEGLCPLTPKEVGMFLKGLGYSQSTVIYIAAGEIYGGDDRLSELKSRFPNLVFKETLAGKEELKGFTGHATKTAALDYIISVESDVFVPSHSGNMARAVEGHRRFLGHRRTITPDRKGLVKLFGKMERGQLKEGPKLSNFVNQMHKDRQGAPRRRKGPTQGIKGRARFRTEEAFYENPYPECICSSKEHKEP >fgenesh2_kg.8__2489__AT5G64610.1 pep chromosome:v.1.0:8:21583137:21585504:-1 gene:fgenesh2_kg.8__2489__AT5G64610.1 transcript:fgenesh2_kg.8__2489__AT5G64610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MRV8] MGSSANTETAIIIASPASNNQNPATNGGDANQNHTPDGILALTNSESDASKKRRMGVLPLEVGTRVMCQWRDGKYHPVKVIERRKNYNGCHNDYEYYVHYTEFNRRLDEWIKLEQLDLDSVECALDEKVEDKVTSLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGKYEIETWYFSPFPPEYNDCVKLFFCEFCLSFMKRKEQLQRHMRKCDLKHPPGDEIYRSSTLSMFEVDGKKNKVYAQNLCYLAKLFLDHKTLYYDVDLFLFYILCECDDRGCHMVGYFSKEKHSEEAYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLVSYRGYWTRILLDILKKHKGNISIKELSDMTAIKAEDILSTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKMIWTPYKEQS >fgenesh2_kg.8__248__AT5G45510.1 pep chromosome:v.1.0:8:1637749:1653152:-1 gene:fgenesh2_kg.8__248__AT5G45510.1 transcript:fgenesh2_kg.8__248__AT5G45510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVEIKPENKDVMLCKKIVETLGGNGDQRVLLVGKAGIGKTRMAQMVDKEAAKDDLCYQTLWLHLNRKFKVNDKYVKEKKFEDEWSLYENIASQLSLYSDFEETEVGERDEDEEEEKKVEDLLKDLKPKIEKYLLEKKKAVEEKLEEDKKKKMKEAADKLEAEKKLVDPHAKKAKDPEKKNPTDAGKEKTTQVVAGGDTSSERKPYLLLILDDEGMTSEYEVMVHLGLEDFLKDHTPRKILITRRQENEEDTKSGEKIEGEDDSHSAEKKDETDGEDENKSRDTDTINEEVPESHAQIKAEEKPAPTIDDLWGGTNTYGEITFNNTNESQALLESFKDKEAEDLFRSIFKGMPDFFVVPVDGTDDIMSQVLKKSKNLPAAIVVLGKSLEYTVKSKSYELNKETEEKLLKEKIEMVLSAERAVPSNPESSSESPKKASGENPILLLAYKLFKTDGPLKDTILDCFWHSLDFFEHCGCVYYRDLITQWILEGYFDPVRSVEKAYQDGHSIFMELIDRGMLKIQENNVVVPEMVMRNVIDPRRGGHLGKSRLGFSRVYGGNKKKGIGKITQLDDMIKTVQAKKGDKITTILVSGDRLRRETPAKFFKKLKELEVLGLFEPTLEFERIEKPVDPSFTDQLKPSVVPPFTDHLILLRVLVIRDCDLLKGIEELKALTKLNALEVSGASSLKKISDDFFKSFLELRSLHLSGLKIKSSPPSISDLKELHCLIIKDCPLLEDLPNIQELLNLEVVDISGARGLQTCFDNAKDGKKNKSKNKNFYLLTKLQLLDFSESQIERLPIFQDSAVAAKLHSLTRLLLRNCSKLRRLPSLKPLSGLQILDLSGTTSLVEMLEVCFEDKHELKTLNLSGTNLSELATTIEELSSLNELLLRDCINLDAIPNIQKLENLEVIDVSGSAKLAKIEGSFENMFYLREVNLFGTKVETPELPNDTKIHCVKRFTRADGKYFERDTWSKIKKDIERDRSENASSSDAVVESQEILEETREIQSVEPRASDRTEKGDVSKERLLKVPKERALYKKTLSSLVDSEIPQEVLEINETNELDKDALANAEFVSFVDCTPERLTSIFNETKSVKGCWLRMCFDIKDLFAGVDEEHLKSLETLSITNLLSLETISSVGKLENLKNLSLDCCPKIKTIFPEMPASLPVLNLKHCENLEKVVVGVEVSTHTNLNLEVENCPKFNKILPSSETTSSEYVMVDRSDVPPPQEC >fgenesh2_kg.8__2491__AT5G64630.2 pep chromosome:v.1.0:8:21588908:21592157:1 gene:fgenesh2_kg.8__2491__AT5G64630.2 transcript:fgenesh2_kg.8__2491__AT5G64630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTIQISWHDGKPVLTLDFHPISGLLATAGADYDIKLWLINSGQAEKKVPSVSYQSSLTYHGCAVNTIRFSPSGELLASGADGGELFIWKLHPSETNQSWKVHKSLSFHRKDVLDLQWSLDDAYLISGSVDNSCIIWDVSKGTVHQILDAHCHYVQGVAWDPLAKYVASLSSDRTCRIYVNKPQTKSKGVEKLNYVCQHVITKADQQRGDETKTIKTHLFHDETLPSFFRRLSWSPDGSFLLIPAGSFKVSPTSEAVNATYVFSRKDLSRPALQLPGASKPVVVVRFCPVAFKLRGSHSEEGFFKLPYRLVFAIATLNSVYIYDTECVAPIAVLAGLHYAAITDITWSPNASYLALSSQDGYCTLVEFEDNELGESIPISITVGRKPVDAEEKKHDLEKADELMTETTPDVSKKQAELQQNEENKQPLPSKITTDGEEKEHVMQKTDDEVMTETRHEEENQPLQSKVNTPVSNKPARKRITPMAIDP >fgenesh2_kg.8__2495__AT5G64650.1 pep chromosome:v.1.0:8:21595142:21596650:-1 gene:fgenesh2_kg.8__2495__AT5G64650.1 transcript:fgenesh2_kg.8__2495__AT5G64650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L17 family protein [Source:UniProtKB/TrEMBL;Acc:D7MRW2] MTKFRKLGRPAGHRMSMLRTMVSQLVKHERIETTVAKAKEVRRLADNMVQLGKEGSLAAARRAAGFVRGDDVLHKIFTELAYRYKDRAGGYTRMLRTRIRVGDAAPMAYIEFIDRENELRQSKPATPQPPPRVPLDPWARSRLTRQYAPPKEEKSWDSDL >fgenesh2_kg.8__2496__AT5G64660.1 pep chromosome:v.1.0:8:21597853:21599351:-1 gene:fgenesh2_kg.8__2496__AT5G64660.1 transcript:fgenesh2_kg.8__2496__AT5G64660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7MRW3] MRKDDLCITVPTFFRCPISLDVMKSPVSLCTGVTYDRASIQRWLDGGNNTCPATMQILQNKDFIPNRTLQRLIEIWSDSVRRRVCVESAELAAPTRDEITDAIDRVKIEKEERDDREVLSKIVRFGRESDDNRGFLAGKDDFVRLLVDLINQVDFKTTSAAKSLVVQEAVKILSMIRTKISDRRRFSNLILTNGRDRLTVIVYLFTTGNVELKIDCAGLLEFIAVDAESKLLIAERDGVITELMKSISKDSDLSLIESSLSCLIAISSPKRVKLNLIREILIGDVTKLLSDSTSSSVSVTEKCLKLLEILASTKEGRAEICGGDGECLKTVVKKLMKVSTAATEHAVTVLWSVSYLFKEDKALEAVTSANGVTKILLLLQSNCSPAVRRMLTDLLKVFKVNSRSCLSAYDTKTTHIMPF >fgenesh2_kg.8__2497__AT5G64667.1 pep chromosome:v.1.0:8:21606606:21607150:1 gene:fgenesh2_kg.8__2497__AT5G64667.1 transcript:fgenesh2_kg.8__2497__AT5G64667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNRRSRINSKFFFFTSTILLLLLLGLCNGARTNSNVFDSKPHKKHNDAVSSSSKQFLGFLPRHFPVPASGPSRKHNDIGLLSWHRASP >fgenesh2_kg.8__2498__AT5G64670.1 pep chromosome:v.1.0:8:21608398:21610099:-1 gene:fgenesh2_kg.8__2498__AT5G64670.1 transcript:fgenesh2_kg.8__2498__AT5G64670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 family protein [Source:UniProtKB/TrEMBL;Acc:D7MRW5] MIRRRLSSIISTSLINSSFHQTAKPRFIISSPLLQCRHSPISTQIPTFTSRALSFQGIRAYSLLSLNDLRDNVPRKLKTRKGRGIGSGKGKTAGRGHKGQKARGTMKFGFEGGQTPLRRRLPKRGFKNKFKLHFQPVGLGKIAKLINAGKIDSHELITMKTLKDVGAIGKQIEDGVRLMGRGADDIKWPLHFEVSRVTVRAKEVVEAAGGSVRRVYYNKLGLRALLKPEWFEKKGRLLPKAARPPPKQQDKVDSIGRLPAPKKPIPFFAAEENKVESPVES >fgenesh2_kg.8__2499__AT5G64680.1 pep chromosome:v.1.0:8:21610286:21611511:1 gene:fgenesh2_kg.8__2499__AT5G64680.1 transcript:fgenesh2_kg.8__2499__AT5G64680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGYKPAEDYVVSGAEQLDDADITRSDELWLIQAPLGRFPEIEEDTLKVEPDKDGLFGGFEDSDGGKYDLASFTCQDVDAELIMPSEESMIAGKITRRVAIVRYPEPNELLQKMKARTQQKLVGSVTNSSKKSSNLTQSSRHKSGTRSSKSKSIFSSFTDTPKSPKRKHSESSSGKHRSSTSTVSGSSERSGKIKKKKVKKEE >fgenesh2_kg.8__2501__AT5G64690.1 pep chromosome:v.1.0:8:21622849:21624692:1 gene:fgenesh2_kg.8__2501__AT5G64690.1 transcript:fgenesh2_kg.8__2501__AT5G64690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAIKPKVLKDSDEDLAPVERETAVLADHDKDPAEKSENVIAPNAAEEAAAAARRSEKGKEILIEDDVDDHHKRPSLSHLFHEDKTVVEKEVTDLTPRKPDTNNKTGPSSEISKLDTTALGASNVKDPKEPFDVQTRDDLEVKIPRDSDVKTPETPKAKEAEENFSENWEVKFPEELEAKKTSEAVKVVEESKLPETYEVSAPELSEIKVTKGSGVPEVLVDKNIPEVPEVKSDEVKVAESELLKVSEVESTEDLEIKVPKVFEAKTPETSNVKVTDEAEVKTDQRLEVEVTEEEKEVPEFVDAKEKIEKSDQDKEIVLPKPEGAEKDVSLEKQVKESTLSDLGNK >fgenesh2_kg.8__2505__AT5G64740.1 pep chromosome:v.1.0:8:21646868:21652472:1 gene:fgenesh2_kg.8__2505__AT5G64740.1 transcript:fgenesh2_kg.8__2505__AT5G64740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:D7MSJ2] MNTGGRLIAGSHNRNEFVLINADENARIRSVQELSGQTCQICRDEIELTVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRFKRLKGSPRVEGDEEEDDIDDLDNEFEYGNNGIGFDQVSEGMSISRRNSGFPQSDLDSAPPGSQIPLLTYGDEISSDRHALIVPPSLGGHGNRVHPVSLADPTVAGTDFSIKFTHPRPMVPQKDLAVYGYGSVAWKDRMEEWKRKQNEKLQVVRHEGDPDFEDGDDADFPMMDEGRQPLSRKIPIKSSKINPYRMLIVLRLVILGLFFHYRILHPVKDAYALWLISVICEIWFAVSWVLDQFPKWYPIERETYLDRLSLRYEKEGKPSELSPVDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETAEFARKWVPFCKKYCIEPRAPEWYFCHKMDYLKNKVHPAFVRERRAMKRDYEEFKVKINALVATAQKVPEDGWTMQDGTPWPGNSVRDHPGMIQVFLGSDGVRDVENNELPRLVYVSREKRPGFDHHKKAGAMNSLIRVSGVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPQSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGFDAPKKKKAPRKTCNCWPKWCLLCFGSRKNRKAKTVAADKKKKNREASKQIHALENIEEGRVTKGSNVEQSTEAMQMKLEKKFGQSPVFVASARMENGGMARNASPACLLKEAIQVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHSHGWRSVYCTPKLPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERLSYINSVVYPWTSLPLIVYCSLPAICLLTGKIIVLWISNYASILFMALFSSIAVTGILEMQWGKVGIDDWWRNEQFWVIGGVSAHLFALFQGLLKVLAGVDTNFTVTSKAADDGEFSDLYLFKWTSLLIPPTTLLIINVIGVIVGVSDAISNGYDSWGPLFGRLFFALWVIIHLYPFLKGLLGKQDRMPTIIVVWSILLASILTLLWVRVNPFVAKGGPILEICGLDCL >fgenesh2_kg.8__2506__AT5G64750.1 pep chromosome:v.1.0:8:21663309:21665659:1 gene:fgenesh2_kg.8__2506__AT5G64750.1 transcript:fgenesh2_kg.8__2506__AT5G64750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLKVANQEDNVGKKAESTRDDDHRTLSEIDQWLYFYAAEDDHLRHNFATQPPPPSSSSSSSLMSGFNREMEMSAIVSALTHVVAGNVPQHQQGGGEGSGEGTSNSSSSSGQKRRRDVEEGGGKAVKAANTLTVDQYFSGGSSTSKVREASSNMSGPGPTYEYTTTATANSETSSFSGDQPRRRYRGVRQRPWGKWAAEIRDPFKAARVWLGTFDNAESAARAYDEAALRFRGNKAKLNFPENVKLVRPASTEAQPVPQTAAQRPTQLRNSGSTTTLLPILPASNQTVHSQPLMQSYNLSYSEMARQQQQFQQYHQQSMDLYDQMSFPLRFGHTGDSMMQSTSSSSSHSRPLFSPAAVQPPPESASETGYLQDIQWPSDKTSNNYNNSPSS >fgenesh2_kg.8__2507__AT5G64760.1 pep chromosome:v.1.0:8:21665878:21668288:-1 gene:fgenesh2_kg.8__2507__AT5G64760.1 transcript:fgenesh2_kg.8__2507__AT5G64760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRQLESSIDRLLNEEKQMRLAENVAGTRKAATEILKLCFEAKDWKLLNEQILNLSKKRGQLKQAVQSMVQQAMQYIDQTPDIETRVELIKTLLNVSAGKIYVEIERARLTKKLAKIKEEQGLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDFVRAQILSRKINPRVFDADTKKEKKKPKEGDNMVEEAPADIPTLLELKRIYYELMIRYYSHNNEYIEICRSYKAIYDIPSVTENPEQWIPVLRKICWFLALAPHDPMQSSLLNATLEDKKLSEIPDFKMLLKQIVTMEVIQWTSLWNKYKDEFESEKNMIGGSLGDKAGEDLKLRIIEHNILVVSKYYSRITLKRLAELLCLTTEEAEKHLSEMVVSKALIAKIDRPSGIICFQIVKDSNEILNSWATNLEKLLDLVEKSCHQIHKETMVHKAALRA >fgenesh2_kg.8__2509__AT5G64770.1 pep chromosome:v.1.0:8:21669243:21670000:-1 gene:fgenesh2_kg.8__2509__AT5G64770.1 transcript:fgenesh2_kg.8__2509__AT5G64770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVSYKSFLAALLLILFICSPTQARSLREIVRNRTLLVVEKGQESRKIRHEGGGRDVDGLVDMDYNSANKKRPIHNR >fgenesh2_kg.8__250__AT5G45500.1 pep chromosome:v.1.0:8:1690744:1693508:1 gene:fgenesh2_kg.8__250__AT5G45500.1 transcript:fgenesh2_kg.8__250__AT5G45500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGTQPPETGEPAPDPSPIIKKILGIVIDLHEQVNKEAVLRTTRSAGAELSQVTTTNHPEPVLNRILTLPNMSKKLEGPDDHHIPKLQRNLRLLKEDVVKLQDLRTEVAEEVLKHIRPLELLLDKVEKGEKDPSKPPLTLGMKKDLDDINKKIFNLMCQVPLLPNKRKNAKGSDADDGEGDNNGKGIVCLPGIHANKEDLKRRAVFRYVLGEFEELSNQQKFCLLSFSVFPENQEVNRTMLMYWWMGEGILSSKDISSEKGILKPEDVVKDILDDFKDRNLIEPVEIKRKEKPNSYKMAPFVHSSVVLISKEIGLFDMYDKKEKPTMKQSGLHKVCLVEGSSSQQEAKAKKMADVDQIETVFNISERFPDFTFKWFFEDKPTRNKLTLSKATYPKLKVLYLGRWERTAKRHIEVENPELMKNLKHMIKLKLLSFQGISRIERLDNAVCKLRELIILDLRACYNLEKLPDKIDSLKALIYLDITDCYMIDRMPKRLSWLDNLEVLKGFVVSDAVDDETVCTLAELVELKKLRKLSISINKEEFSIVDVFVAVKNFKKLEKLKVAWGGINTHPQDKGVDGEKGDRKVKPKEEENDNAIAAADNKKPTILERAATMFRKEKGPKDPELPETLKKLDLQCFPGKLLPEWLEPDNLRNVEKLYIKGGTKLTGFGKSLPIVKTKCKVTVLRLKFLPKLKVEWRDLSKRYFPELKFLEKYQCPQVSLCPCDGIGIWRKPEENEV >fgenesh2_kg.8__2510__AT5G64780.1 pep chromosome:v.1.0:8:21672738:21674280:-1 gene:fgenesh2_kg.8__2510__AT5G64780.1 transcript:fgenesh2_kg.8__2510__AT5G64780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKRKSEATRLDEVDRTMYGAFRGAANSLSQLYTHAMNHQNVSFIAGERRGMEKLYQWIVRQEEQGTRVSTVDITTYLQNALEYEPEETSIPLPMQELHHHQFAPPNVNTSVAHVPSSHIAQQYYDCNQEKVLIQPTGLSSPVRRTLQEFNLCEAESGNNHNPNSTGEDLSY >fgenesh2_kg.8__2511__AT5G64790.1 pep chromosome:v.1.0:8:21675033:21677132:1 gene:fgenesh2_kg.8__2511__AT5G64790.1 transcript:fgenesh2_kg.8__2511__AT5G64790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7MSJ7] MAGRAAMLVNVGTVIMTVLTLASLVGGFGVNWGNIASHPLNPNIVVQMLKDNKINKVKLFDADSWTMNALAGTGMEVMVGIPNNLLETLADDYDNAKDWVKENVTQYMRKGGVDIKYVAVGNEPFLSAYNGSFLKTTFPALKNIHRALKEAGHTDKMKATIPQNAEVYQSANDKPSEGDFRKDVKQTMLDIVNFFHDNDLPFTVNIYPFLSLYLNEHFPVEFAFLDGNGQTMTDKGKNYDNVFDANYDTLVYALKKAGIHDMKIIVGEVGWPTDGHKYATPKLAEKFYAGLMKRLAKDGGTPTRPERLEVYLFGFLDEDMKSILPGPFERHWGIFRYDGTPKFMLDFTGQGRQMVPVAAKGVQYLEKQWCVVNNDTVNLDEVGPDLDYACYHGDCTAMEAGSTCSKLTKVQNISYAFNMYFQIQDQDVRACDFKGAAMITKVNASVGSCLFPVQIVSGSDDFRINFVFGRFVVFGLVLLGLLTVI >fgenesh2_kg.8__2512__AT5G64800.1 pep chromosome:v.1.0:8:21678242:21678562:1 gene:fgenesh2_kg.8__2512__AT5G64800.1 transcript:fgenesh2_kg.8__2512__AT5G64800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRKQNSSVARSLYYKNHHKVMITEMSSFNKVRRRSSKVRRKIDGDEEEEEKRSIPTGPNPLHNK >fgenesh2_kg.8__2513__AT5G64810.1 pep chromosome:v.1.0:8:21683217:21684558:1 gene:fgenesh2_kg.8__2513__AT5G64810.1 transcript:fgenesh2_kg.8__2513__AT5G64810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 51 [Source:UniProtKB/TrEMBL;Acc:D7MSJ9] MNISQNPSPNFTYCSDENFINPFMDNNDFSNLMFFDVDEGVNNGLVEEEISSPTSIVSSETFTGESGGSGSATTLSKKESTNRGSKESDQTKETGHRVAFRTRSKIDVMDDGFKWRKYGKKSVKNNINKRNYYKCSSEGCSVKKRVERDGDDAAYVITTYEGVHNHESPSHVYYNDMVLSYDHDNWNQHSLLRS >fgenesh2_kg.8__2514__AT5G64813.1 pep chromosome:v.1.0:8:21685146:21687695:1 gene:fgenesh2_kg.8__2514__AT5G64813.1 transcript:fgenesh2_kg.8__2514__AT5G64813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWRERERDNKEQILAPLCGQVRVLVVGDSGVGKTSLVHLINKGSSIVRPPQTIGCTVGVKHITYGSPASSSSSIQGDSERDFFVELWDVSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQRWASEVAATGTFSAPLPSGGPGGLPVPYIVVGNKADIAAKEGTKGSSGNLVDAARHWVEKQGLLPSSSEDLPLFESFPGNGGLIAAAKETRYDKEALNKFFRMLIRRRYFSDELPAASPWSVSSIPTSSSQRLDEITSDDDQFYKRTSLHGDPYKYNNTLPPLPAQRNLTPPPTLYPQQPVSTPDNYAIPRYSLSSVQESTNNGSARAKRMDINV >fgenesh2_kg.8__2518__AT5G64830.1 pep chromosome:v.1.0:8:21689412:21691464:-1 gene:fgenesh2_kg.8__2518__AT5G64830.1 transcript:fgenesh2_kg.8__2518__AT5G64830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGILLGLPGQWAEDELESSDHYTTKIGGLPDWPPIPDDTLKPKLLNCCSCGSKLSLVAQVYAPISIEISDIQERSLYIFGCLMPKCGTSEQSWRAFSVWKAIEKEKESSEMVDLPVPSPPDTSSKTHWLDDDDDDEDFDFESLAKALAEASSAAASISKKQKSKPNGNASSGTTARPSPLKSETRVADQIKVETGVVVPCFYIYTKEEIPSKEVDRCSMNYSSLSIKDKETGNNDESESEETWEDEKYEYDRALNADRTYLKFKKRLDANPEQCFRYSYGGKPILATEDMKSPDNCRNCDSPRHFEIQLMPPLIYFLHEGVVDERLKQSLDNWDWMTLIVYTCSKNCANAVNGDWVITEECVAVQYEKPMNLDHASFFK >fgenesh2_kg.8__2520__AT5G64840.1 pep chromosome:v.1.0:8:21691567:21694741:-1 gene:fgenesh2_kg.8__2520__AT5G64840.1 transcript:fgenesh2_kg.8__2520__AT5G64840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATGCN5 [Source:UniProtKB/TrEMBL;Acc:D7MSK4] MGLSTNLHSLDLRSTFFTGLRPCPSPIPSNFIKISSISNPRRGISTIRAQVSTISLETSVKERQDEIESLFSKPTSEQDSDRRRNGKNSKNGASGISSGVKLENIRKSYKGVTVLKDVTWEVKRGEKVGLVGVNGAGKTTQLRIITGQEEPDSGNVIKAKPNMKIAFLSQEFEVSMSKTVREEFMSAFKEEMEITEKLEKVQKAIEGSVDDLDLMGRLLDEFDLLQRRAQAVNLDSVDAKISKLMPELGFASEDADRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHLDLDTIEWLEGYLQKQDVPMVIISHDRAFLDQLCTKIVETEMGVSRTFEGNYSQYVISKAEWIETQNAAWEKQQKEIDSTKDLIARLGAGANSGRASTAEKKLEKLQEQELIEKPFQRKQMKIRFPERGTSGRSVVNVKNIDFGFEDKMLFKKANLAIERGEKIAIIGPNGCGKSTLLKLIMGLEKPMKGEVILGEHNVLPNYFEQNQAEVLDLDKTVLETVCEAAEDWRSDDIKGLLGRCNFKADMLDRKVSLLSGGEKARLAFCKFMVTPSTLLVLDEPTNHLDIPSKEMLEEAINEYQGTVIAVSHDRYFIKQIVNRVIEVEDGCLEDYAGDYNYYLEKNLDARAKELEREAELEEKAPKVKAKSKMSKAEKEARKKQKMQAFQQAKQKSKASKNSKRWN >fgenesh2_kg.8__2521__AT5G64850.1 pep chromosome:v.1.0:8:21696350:21698363:1 gene:fgenesh2_kg.8__2521__AT5G64850.1 transcript:fgenesh2_kg.8__2521__AT5G64850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRKENKNSPWLSVPQFGDWDQKGGSIDYSMDFSKIREMRKLNKRDASRASLGNEEELINPFHNQPPVDTTKPKKLTTVHSDNNNHNEFSHHHPHSPSRRRGIFSCFSCCVKA >fgenesh2_kg.8__2522__AT5G64860.1 pep chromosome:v.1.0:8:21698795:21702582:-1 gene:fgenesh2_kg.8__2522__AT5G64860.1 transcript:fgenesh2_kg.8__2522__AT5G64860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase [Source:UniProtKB/TrEMBL;Acc:D7MSK6] MSILSSSPSLCSSLNLFRLSSPVSLSDAAFGGNRTKPSQSFRMEAVSSSSTSISCISVGEDFPAEYEQWLPVPDPESRRRAGVLLHPTSFRGPHGIGDLGDEAFRFIDWLHSTGCSVWQVLPLVPPDEGGSPYAGQDANCGNTLLISLNELVKDGLLMTDELPQPIDADCVNYQTANKLKSPLITKFTRIQQLTLIFKANDPSISCWLEDAAYFAAIDNTLNAYSWFEWPEPLKNRHLSALEAIYESQNEFIDLFIAKQFLFQRQWQKVREYARQQGVDIMGDMPIYVGYHSADVWANKKHFLLASFPLLVSGVPPDLFSETGQLWGSPLYDWKAMESDQYSWWVNRIRRAQDLYDECRIDHFRGFAGFWAVPSEAKVAMVGRWKVGPGKSLFDAISRGVGKIKIIAEDLGVITKDVVELRKSIGAPGMAVLQFAFGGGADNPHLPHNHEVNQVVYSGTHDNDTIRGWWDTLDKEEKSKAMKYLSIAGEDAISWSVIQAAFSSTAQTAIIPMQDILGLGSSARMNTPATEVGNWGWRIPSSTSFDNLKTESDRLRDLLSLYGRL >fgenesh2_kg.8__2523__AT5G64870.1 pep chromosome:v.1.0:8:21703405:21705184:-1 gene:fgenesh2_kg.8__2523__AT5G64870.1 transcript:fgenesh2_kg.8__2523__AT5G64870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRVAKASQYLAITGGGITDIKLAKKSWVFPWQSCTVFDVSPVNYTFEVQAMSSEKLPFVIPAVFTIGPRVDDPHALLLYAMLMSQHDKHSNHVNELVQGVIEGETRVLVASMTMEEVFKGTKEFKKEVFDKVQLELNQFGLVIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKIDVAEAKMKGEVGAKERNGLTIQNAAKIDAESKIISTQRLGEGTKEEIKVKTEVKVFQNEKEALVAKADAALAIQKAALSQNSRVAEVEASKAVALREAELQTKVEKMNALTRTEKLKAEFLSKASVEYETKVQEANWELYNKQKQAEAVLYEKQKQAEAMKAAADAAFYSKQKDAEGLVAMAEAQGKYLKTLLGAVNNDYSSMRDFLMINNGIYQEIAKTNALAIRDLQPKISVWNHGGAEQGMNGGGKGPMNDIAGLYKMLPPVLDTVYEQTGMQPPAWIGTLRGTEPKQSLQAQQHRG >fgenesh2_kg.8__2524__AT5G64880.1 pep chromosome:v.1.0:8:21707136:21708855:1 gene:fgenesh2_kg.8__2524__AT5G64880.1 transcript:fgenesh2_kg.8__2524__AT5G64880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSGKEFLMLDSYNSIPWLIWIQMFVFVLLLLLLCVVGIFSLDIGDNNCSSSDSVPSTSGSSLSKRFLSGNPIPISHHGLGFSVNSSLIQSHQIGSSQSIKGEITPAVTRRVTRTEEAEGSLDKDSSHSLHHPCTLFRLAGSAFLKCFGIDRSTEEDTEDSLRQESKKER >fgenesh2_kg.8__2527__AT5G64920.1 pep chromosome:v.1.0:8:21717635:21718958:-1 gene:fgenesh2_kg.8__2527__AT5G64920.1 transcript:fgenesh2_kg.8__2527__AT5G64920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAPSSSPGATASHWCYHCNKRVVVETLDDFVVCCECNKGFVESIQPIPAAYSSPAPPQPLSPDLTVEDSSIGSHFLQMLRLLAHAPSQRSPPRHLDVLSYEDDFFRLELNSRTEIDEVEDEEDGDEEEEEDEEENLTVNDEEEEEDDLRRRNRFPLTTTRSRTGRNRILDWAEILMGIEDNSIEFRMESERYTGNPADYIDDSAGYEALLQNLAEGDGGGGGRRGAPPAAKSAIEALETFQVTSSEGETVMVCAVCKDGMVMGETGKKLPCGHCYHGDCIMPWLGTRNSCPVCRFQLQTDDAEYEEERKKRTSTMSDSATASSSASRH >fgenesh2_kg.8__2528__AT5G64930.1 pep chromosome:v.1.0:8:21719326:21721949:-1 gene:fgenesh2_kg.8__2528__AT5G64930.1 transcript:fgenesh2_kg.8__2528__AT5G64930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLLAPSTEPQNPITDPANSKANYQSDDKHKDETMKKKKKETNPSNLEKRKLKGKKKEIMNNDEASSSSCSASSTSNPNSSKRVTRLVHRLRNPTVRLGMARRSVGERQAEALAKPLGFSFAALANLVLARKNAAGQNVYVDDLAEISATAVTESLANVYGNKLGSFATNFEQSFNSTLKILKLTNECANPHQLNNNDVRSCNFDRSTIDGCSDTEFEKETSSATSAYEVIQGSARATSFVNELVLYEQTRQLSCVLPSSSSMSLTTFDRSVEELKRANDLKSEENGLTRRKLMLKEMDLSLKYESNNLGKSKLEMGGLKAAFRAEKFKTELEDTRKAEMVTRIMDWLVVSVCSMLASMLLGVYNFSQKRIEDATSVCEPSEEKSSSWWVPKQVSLMNSGFNIFICRVRVWVQFFFGVLMIIVFTYFISKRSVGTKQTMPISFIVLFLGIFCGISGKLCVDTLGGNGKLWLIVWEVFCLFQFVANVFTLALYSLMFGRINGTQSSRCNSMFPYWARRSVLYVVILFVLPAINGLLPFATFGEWRDLAMYQFLGGLDS >fgenesh2_kg.8__252__AT5G45480.1 pep chromosome:v.1.0:8:1725047:1727822:1 gene:fgenesh2_kg.8__252__AT5G45480.1 transcript:fgenesh2_kg.8__252__AT5G45480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAIPKPIKDIWDEWSIRSTLIFSLSLQTFLIFFAPQRKRSSKKALLFLIWSAYLLADWSANFAAGQISDSQGNDPKPGDPKKSAELFAFWVPFLLLHLGGPDTITALALEDNELWLRHLLGLFFQSVATVYVLLQSLPNALWKATLLVFATGVIKYVERTLALYLASLDKFKDSMIQRPDPGPNYAKLMEEYAAKKDMKMPTQIIKIGEPEKDPKASAQVRPEKLTPLNILQYAYKYFNIFKGLVVDLIFTFQQRAESKRFFDSLEAHEALRILEVELNFIYAALYTKAEILHNWIGFIFRFIALGCLIAALRIFQYKSKKDYSGFDVGLTYALLLGGIALDSIALIMFCASDWTFVRLRKMKDEVDDPDTWSDHILNWILNNILGVRELKTEEYDKCYKKTLSHEVPGTSTKKTPFLNWILWVRKLKTEKSHEVLDKSTSETPGLEVPDKSAKKIPCHRVLDTSFMYRRWSEYVHAHNLIEYCLCLKPKRIHHTKGLIHIAFDKLINILYIGPTFTKFGSVIQSCFRVTKKTIHQTFKWIDGKISRFCKNHPKWNEEYIRLSFVCIFFFPSLPGRGIKRFMEFFGIRAQLDEVFYTSSDRLTLDMWEHIFREVKAKSRFADDSESAMRVSSARGDWTLRDIQGDPETEKKRDKLLRYVMEMDYDQSLLVWHIATELLYQTEDGTEANHSNREYSKILSDYMMYLLMMQPTLMSAVVGIGKIRFRDTCEEAQRFFDRRHIMGISAKKAPKEASDAILSVAVPVKAEPIDVKGDRSKSVLFDGAMLAKELKGLSKNKENNTEMWEIVSKVWVELLSYAATKCGAIEHAAQLSKGGELISFVWLLMAHFGLGDQFQINQGDARAKLIIGK >fgenesh2_kg.8__2534__AT5G64980.1 pep chromosome:v.1.0:8:21734731:21736779:-1 gene:fgenesh2_kg.8__2534__AT5G64980.1 transcript:fgenesh2_kg.8__2534__AT5G64980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRSVYQSLTELFPQIDPKILRGVAIEHQHDADEAASLVISEIFPFSSPNSTQPRNKNKTKISDNPPNLKVEGDDGSLSLSQWLQPSKLPHSYLALLNEESTPDVKPPPDYVVEKVVLPSRGSAAGVGGGIRTMYSSDNIGGSSLVKPSIRVQSRTNYELSGSSSKAHVGRRSSRKSAQPWSPDYSQKLRSWKKRNNNRDFSQLFGNDRDVPSKKSNRCYTNERERRQRIDDNIKALGKLLPHKVEGDSPGLTLNAIVDHVKLLQLQMKELSRSRLGEPVSHPMTFIEGYGHYIHHEQTVTKSLEEVMEDMLTNDLDAAANLLESKGLYLMPLSSVQGSC >fgenesh2_kg.8__2535__AT5G64990.1 pep chromosome:v.1.0:8:21737353:21738582:-1 gene:fgenesh2_kg.8__2535__AT5G64990.1 transcript:fgenesh2_kg.8__2535__AT5G64990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGKGYKLVFLGDQGVGKTSIITCFMYGKFDTSYQATIGIDFLSKTMRYEDRTFRLQLWDTAGQERFKSLVPSYIRDSSVAVIVYDVASKQSFLNTSKWIEEVRAERGSHVIIVLVGNKTDLVNKRQVSIEEGDNKAREFGALFMETSAKAGFNIKPLFCKITSALQGNEAVSWTKPEDLVDVNLKPLIISSQADHQQESNCSC >fgenesh2_kg.8__2537__AT5G65000.1 pep chromosome:v.1.0:8:21738745:21741346:-1 gene:fgenesh2_kg.8__2537__AT5G65000.1 transcript:fgenesh2_kg.8__2537__AT5G65000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7MSM1] MATANGAKSPSSMGPKVLFYSILLTLQYGAQPLISKRCIGKDVIVTSSVLTCEIVKVMCALILMARNGSLKGLSKEWTLMGSLTASGLPAAIYALQNSLLQISYRNLDSLTFSILNQTKIFFTAFFTFIILRQKQSIQQIGALCLLIMAAVLLSVGEGSNKDSSGINADQKLFNGIIPVLVASVLSGLASSLCQWASQVKKHSSYLMTVEMSIVGSLCLLVSTLKSPDGEAIKRYGFFHGWTALTLVPVISNALGGILVGLVTSHAGGVRKGFVIVSALLVTALLQFAFEGKPPSSYCLVALPLVMSSISLYQKYPCLDKKKKKV >fgenesh2_kg.8__2539__AT5G65010.2 pep chromosome:v.1.0:8:21742285:21745674:1 gene:fgenesh2_kg.8__2539__AT5G65010.2 transcript:fgenesh2_kg.8__2539__AT5G65010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCIDNSQAKRSRIIELSRRLRHRGPDWSGLHCYEDCYLAHERLAIIDPTSGDQPLYNEDKTVAVTVNGEIYNHKILREKLKSHQFRTGSDCEVIAHLYEEHGEEFIDMLDGMFAFVLLDTRDKSFIAARDAIGITPLYIGWGLDGSVWFASEMKALSDDCEQFMSFPPGHIYSSKQGGLRRWYNPPWYSEQVPSTPYDPLVLRNAFEKAVVKRLMTDVPFGVLLSGGLDSSLVAAVALRHLEKSEAARQWGSQLHTFCIGLQGSPDLKAGREVADYLGTRHHEFQFTVQDGIDAIEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEILGGYLYFHKAPNKKEFHEETCRKIKALHQFDCLRANKSTSAWGVEARVPFLDKEFLNVAMSIDPEWKMIKPDLGRIEKWVLRNAFDDEQRPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANKHVSDTMLSNASFVFPDNTPLTKEAYYYRTIFEKFFPKQSAARATVPGGPSIACSTAKAVEWDATWSKNLDPSGRAALGVHVAAYEEDKAAAAAKVGSDSVDSP >fgenesh2_kg.8__253__AT5G45470.1 pep chromosome:v.1.0:8:1729328:1732274:1 gene:fgenesh2_kg.8__253__AT5G45470.1 transcript:fgenesh2_kg.8__253__AT5G45470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVIPKHIKDVWDRWNIRGAVILSLTLQAILICFSPLRKRTPRRLLIVLVWSSYLLADWSANFAVGLISKNQGKDLKPGAPPQDKKLMALWAPFLLLHLGGPDTITAFALEDNALWLRHVFGLVFQAIAGVYVVLMSLPNSLWVIILLVFVSGTIKYLERTTALYSASLDKFRDSMIQAPDPGPNYAKLMEEYKAKKEARLPTKIILIDEPDKENRPKKLEHPSLASDTKRKDLTHLEIAQYAYKFFNTFKGLVVNLIFSFRERDESLEIFENLNDSEEALRIIEIELGFLYDALFTKIAVLHTFIGTVSRILASGTLVAAFILFHKKPKKDIQFHGADVVVTYTLFAVGVALDFISILLFLFSDWTCAALRSLKDNPDKALSSSIDKVFNWLLSIRQLRWKTQECHGKGTHKCTACLKKDYKKCSTVQKHEVLSTPFFFRRWCGSINVFNFLAYATKAEVNRIHDARGSFRRYSWNIIAFPFEKLNFIIQTLRRWIVNFINYVHKWISHKVNALSRKSSFARRWIYPIYFKFLSRIPHFIKSVWDILSELFDISDTLDKVHKTLFVHGEPMTKELWTFMFEELRNKSKYGDSPENAKRISLARGEWTLRENLPEDAEREKLVRYVTKVDYDQSLLMWHIATELCYQQHEKETIPEGYDEQCKHYSNREFSKIISDYMMYLLILQPGLMSEVAGIGKIRFRDTLAEADKFFQRRHIEDKRSVKIATINILDVETGFEPMDVKGDRSKSVLFDASKLAKDLAEMEKTHKKDKWEILSKVWVELLCHAACHCDATAHVEQLSRGGELINFVWLLMAHFGLTDQFQINKGDARAKLIIGK >fgenesh2_kg.8__2540__AT5G65020.1 pep chromosome:v.1.0:8:21746898:21748871:1 gene:fgenesh2_kg.8__2540__AT5G65020.1 transcript:fgenesh2_kg.8__2540__AT5G65020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:D7MT72] MASLKVPTNVPRPEDDAEQLHKAFSGWGTNEKLIISILAHRNAAQRSLIRSVYAATYNEDLLKALDKELSSDFERAVMLWTLDPAERDAYLSKESTKMFTKNNWVLVEIACTRPALELFKVKQAYQARYKKSLEEDVAQHTSGDLRKLLLPLVSTFRYEGDDVNMMLARSEAKILHEKVSEKAYSDDDFIRILTTRSKAQLGATLNHYNNEYGNAINKNLKEESDDNDYLKLLRAVITCLTYPEKHFEKVLRLSINKMGTDEWGLTRVVTTRTEVDMERIKEEYQRRNSIPLDRAIAKDTSGDYEDMLVALLGHGDA >fgenesh2_kg.8__2542__AT5G65040.1 pep chromosome:v.1.0:8:21750820:21751611:-1 gene:fgenesh2_kg.8__2542__AT5G65040.1 transcript:fgenesh2_kg.8__2542__AT5G65040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKRHGSLIKRTTSMKMITLDTPTIYDASQPSDHLTLHQHPHNPTLVMATNYDDFLKTCSLCNRSLCHHRDIYMYRGNNAFCSLECREKQIKLDERKAKTGFISSKKPIRI >fgenesh2_kg.8__2543__AT5G65050.2 pep chromosome:v.1.0:8:21754864:21757960:1 gene:fgenesh2_kg.8__2543__AT5G65050.2 transcript:fgenesh2_kg.8__2543__AT5G65050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box protein AGL27-I [Source:UniProtKB/TrEMBL;Acc:D7MT75] MGRRKVEIKRIENKSSRQVTFSKRRKGLIEKARQLSVLCESSIAVLVVSGSGKLYNSASGDNMSKIIDRYEIQRADELKALDLAEKIRNYLPHKELLEIVQSKLEEPNVDTVSVDSLISMEEQLETALSVIRAKKTELMMEEVKSLQETEMLLREENQILASHSQVTKTSLIYDSRS >fgenesh2_kg.8__2548__AT5G65100.1 pep chromosome:v.1.0:8:21771389:21773011:-1 gene:fgenesh2_kg.8__2548__AT5G65100.1 transcript:fgenesh2_kg.8__2548__AT5G65100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene insensitive 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7MT78] MVEVQDLEPLSPIQDEDGDELEEDEDEFERFGEEISYDDLKKRMWKDRNLMCKLKQQKRDNHSSVISSPSSSTSASSSSSSSGIVRRAEASRRKKMARSQDSVLKYMMKIMEVCKAQGFVYGIVPEKGKPVTGSSDSLRRWWKENVQFDQTAPNAVSDYLTLAAAQLISSNESLDPNSYIHMLHELQDTTLGSLLSALMQHCVPPQRRFPLEKGLAPPWWPNGTELWWGEQGAAAFEHGPPPYRKPHDLRKAWKVSVLAAVIKHMSPNLERVRRLARQSKCLQDKMMAKETDTWSRVLNQEEARLNRLKISDDEDEDRDQELARFTCFDKEPAYKRVDQESSLNNCFLVAQDQELRNEKRVDQEKSLNTCFLVAQDQEQLGNKRKGKFAEHEAMLSNVYTCQNSSCPSSDVSLGFVDKNLRTGHEIECLYGTQELANQSSGGGSDGFVRSMTTSDDDYSASSKAEDTRDYHNQDGNWLDYLWFERLHDLNCSEQGFEDQTSTVDLNQLPDHSDSNQTMNEEDFSLWDMGCEDRDIYMSQD >fgenesh2_kg.8__254__AT5G45440.1 pep chromosome:v.1.0:8:1739685:1740848:1 gene:fgenesh2_kg.8__254__AT5G45440.1 transcript:fgenesh2_kg.8__254__AT5G45440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEDSSRGLTSVGRNDFTHRFADRYKEWLRTTGEETKQEEDRVETNSELPAYEIYGFENEIKSLQHFLLDQKVYKEFKSLVIVGEHGVGKTALCQKIFNDYDVKSVYAPWIWVSMLSNESKEGLDGKICVLKKILKALGVEDSMFESIRREVVEETAKEKELSALLYALHLNLRWKKYMIVFDDVREEDNWNEVLQDGEEKQWGKYLSDGFPTGSGGRVIYTTRDENLAKKLVAEKHEIHCLWPLSDSYSVWKIYEAMILKREKEPPRNDKKCIDELMNKSRGLPLAARLLAKLDPVLLENEKADQNGSNYSVNNPTSEENKTTQPL >fgenesh2_kg.8__2555__AT5G65160.1 pep chromosome:v.1.0:8:21798654:21801366:-1 gene:fgenesh2_kg.8__2555__AT5G65160.1 transcript:fgenesh2_kg.8__2555__AT5G65160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MT84] MEENTAVAAAERRSGCGLLSVMFGRRGLWSKKSTAADNGSQKSTSTATTATSNIQFTKSPGTELKKPRDDQKVSAEPIQNNKIQNQQNHNQRSVVPSKPSSNQYPNNHQLGSYENHQRSSYNNNSNSVDPYRGGQRKVPREAIGLSGELESMITDHQKAKGTSGLVRASSSNVMLYGNLGNLNQTGPVTAGVNYGNNNGYGVKRATMGDATGTTTKSQDQSGSLCRAISTRMDPETLKIMGNEDYKSGNFAEALALYDAAIAIDPNKAAYRSNKSAALTALGRILEAVFECREAIRIEPHYHRAHHRLGNLYLRLGEVEKSIYHFKHSGPEADREDIAKAKTVQTHLNKCTEAKRLRDWNGLITETTNTISSGADAAPQVYALQAEALLKTHRHQEADDALSRCPVFDGDTSTRYYGPVGYAGFLVVRAQVHLASGRFDEAVEAIQRAGKLDGNNREVMMVSRRAQAVTEARFKGNELFKAGRFQEACIAYGEGLDHDPRNSVLLCNRAACWSRLGQFDKSIEDCTAALSVRPGYGKARLRRADCNAKIEKWELAVGDYEILKKESPEDEQVTRGLSEAQQQLMKRRG >fgenesh2_kg.8__2557__AT5G65166.1 pep chromosome:v.1.0:8:21803837:21804022:-1 gene:fgenesh2_kg.8__2557__AT5G65166.1 transcript:fgenesh2_kg.8__2557__AT5G65166.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MT87] MKFKQAEHSTKEYFSMKKDVCDTVIGQRWSCSRQRDMPRVTSLSDYWIVDYPVARFVWFCL >fgenesh2_kg.8__2558__AT5G65170.1 pep chromosome:v.1.0:8:21808841:21810025:1 gene:fgenesh2_kg.8__2558__AT5G65170.1 transcript:fgenesh2_kg.8__2558__AT5G65170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSSSMQSSSGGGGGGDQEEYDSRADQSISALFNHNNNTTTVSSNIAVPTQLDTLIANYFNSAWSTDNSLWSTTATKPTEGSRPVPPPISSEQVFFTNPLQQNLRTVPNTNTNTTSPICSVPTDKKNGLATTRNPKKRSRVSRRAPTTVLTTDTSNFRAMVQEFTGNPSTPFTGLSSSSPFPRSRFDIFGSSSSSSRPMKPFPHKLISPSTVNNHYLRPSSEYHHHQQQNLLLNMNTQNIANPFLSNLLCEKSKPSSLRTSNGFGHVNVGTNFEGLHNIIVSSSSSMTQPTLNTIHGSDKNTEAEHDNDLLRSINEDDQSMVQRSDGYTLPGASGSEVRNEGMVELSWISSSD >fgenesh2_kg.8__2559__AT5G65180.1 pep chromosome:v.1.0:8:21817697:21820605:1 gene:fgenesh2_kg.8__2559__AT5G65180.1 transcript:fgenesh2_kg.8__2559__AT5G65180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPFSEEILIDKLAKLNSTQQSIQTLSQWCIVHRSEAELVVTTWEKQFHSTEIAQKVHLLYLANDILQNSKRQGNEFVQEFWKVLPGALKDLVSLGDDYGKGVVSRLVNIWEERRVFGSRSKSLKDVMLSEEAPPPLDVSKKRLRGSKSAKRDSKSAKMKLSNGGVTEKIVSAFNLVRAENTNEETEMNKCKSAVRSIRKMEKDVEDACSTAKDPRRKSLAKELEEEENILRQSVEKLKSVEESRTSLVNHLREALREQESALENLQSQIQVAQEQTEEAQNMQKRLNNETPVNNNNGTSGQSAKITPASIAAMAEMLTSSTNSSMIMHSVLSSFAAEATQTSGLTKSNSSDTNAFVVPPNPQQYHIIPNPAASQQFLPYGFGNIPLMPPGALPPPPGVLPPHMMSNNNQPNAAQQQSQQQGQSFQPPGMMYFGPPHHS >fgenesh2_kg.8__2561__AT5G65200.1 pep chromosome:v.1.0:8:21820661:21822520:-1 gene:fgenesh2_kg.8__2561__AT5G65200.1 transcript:fgenesh2_kg.8__2561__AT5G65200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGRLRWNPFNHRSSSQQQEAPVEFLCPISKSVMSDPVVVSSGQTFERVCVQVCRDLNFIPKLNNDDDDSQPDFSNIIPNLNMKSTIDTWCDTVGVSRPQPPDYSAVERILRQQMPPPDVEIRVSEQELLSAVAHRAPMIIHHADSELMGRRDFNNSTTSSDESVIVAQSPFTPLPLTTRPACFSPSPSSSSSEIETLTHHNFFSSSTSTATEADEEIYNKLKSSEIFDQEQGLIMMRKMTRTKDEARVSLCSPRILSLLKNMIVSRYSLVQTNSLASLVNLSLDKQNKLTIVRLGFVPILIDVLKSGSREAQEHAAGSIFSLSLEDDNKMPIGVLGALQPLLHALRAAESDRTRHDSALALYHLSLNQTNRSKLVRLGAVPALFSMVRSGESASRALLVICNLACCSEGRSAMLDANAVAILVGKLREERTDEPTEARSSSSARENCVAALFALSHESLRFKGLAKEARAVEVLKEVEERGTERAREKAKKILQLMRERVPEDDEEDGEGSIDWDRVIDSNGSIRSRFRVGGGRNRMVTQNSS >fgenesh2_kg.8__2563__AT5G65207.1 pep chromosome:v.1.0:8:21824884:21825195:-1 gene:fgenesh2_kg.8__2563__AT5G65207.1 transcript:fgenesh2_kg.8__2563__AT5G65207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAILVAEEYERRMKHPVDSRSAPAEFDWRKIIPAKMTVAIDKMKIESLKKNLEAKSQFALAISHGFFSA >fgenesh2_kg.8__256__AT5G45390.1 pep chromosome:v.1.0:8:2022774:2024503:-1 gene:fgenesh2_kg.8__256__AT5G45390.1 transcript:fgenesh2_kg.8__256__AT5G45390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:D7MU32] MGTLSLSSSLKPSLVSSRLNSSSSASSSSFPKPNNLYLKPTKLISPPLRTTSPSPLRFANASIEMSQTQESAIRGAESDVMGLLLRERIVFLGSSIDDFVADAIMSQLLLLDAKDPKKDIKLFINSPGGSLSATMAIYDVVQLVRADVSTIALGIAASTASIILGAGTKGKRFAMPNTRIMIHQPLGGASGQAIDVEIQAKEVMHNKNNVTSIIAGCTSRSFEQVLKDIDRDRYMSPIEAVEYGLIDGVIDGDSIIPLEPVPDRVKPRVNYEEISKDPMKFLTPEIPDDEIY >fgenesh2_kg.8__2570__AT5G65230.1 pep chromosome:v.1.0:8:21841921:21843300:1 gene:fgenesh2_kg.8__2570__AT5G65230.1 transcript:fgenesh2_kg.8__2570__AT5G65230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB53 [Source:UniProtKB/TrEMBL;Acc:D7MT96] MGRSPSSDETGLKKGPWLPEEDDKLINYIHKHGHSSWSALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSAEEEETILNLHAVLGNKWSMIASHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHQPRTDDIFSSLSQLMSLSNLRGLVDLQQQFPIEDHARMNLQTEMAKLQLFHYLLQSPPPPSNINPNDLNILNLLIKENSNTNTLDLGFLTSHLQDINNNLPSLKTLEENHFSQNTSPIWLHEPPSLNQTMLPTHDPCAQSVDGFSGNQASSSHDQEVAVTDSVDWPDHHLFDGSMFPDISYQS >fgenesh2_kg.8__2572__AT5G65250.1 pep chromosome:v.1.0:8:21856754:21858424:-1 gene:fgenesh2_kg.8__2572__AT5G65250.1 transcript:fgenesh2_kg.8__2572__AT5G65250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLPSQYALFSGSTPVRISRSNLCFAVSAARTSRRDSISFPQPILLRHVISTRSNFEIDRDYRAHASSIGSYEDSSSSNELEDANSDGFDLGSFVSFAEALCILSSAVISVVLAVNYVVVGEIGKKVLSLGFVGLVGSVATGSWLRRRQWMRICKGARESEGTNLIRRLEKLEKDLKSSTSIVRVLSRHLEKLGIRFRVTRKALKEPISETAALAQKNSEATRVLAAQQEILEKELGEIQKVLLALQDQQRKQLELILTIAKSSKLFESSSSKQQVPNEQRKDKAEEPSTPKQILVQ >fgenesh2_kg.8__2575__AT5G65274.1 pep chromosome:v.1.0:8:21863425:21864106:1 gene:fgenesh2_kg.8__2575__AT5G65274.1 transcript:fgenesh2_kg.8__2575__AT5G65274.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 5 [Source:UniProtKB/TrEMBL;Acc:D7MTA1] MAGAAALVEADNANEIMARIETKSQKIESLLKHYKHVEALKTALDGSPLTTYDERCKSANWIVVHRAIMAIKDIDGMLNSLAAEYYDILMKYLYRGLSTGNEPTCEQCLIIHEKLIERAGLGCILRCLSDTNSV >fgenesh2_kg.8__2579__AT5G65300.1 pep chromosome:v.1.0:8:21873448:21874023:-1 gene:fgenesh2_kg.8__2579__AT5G65300.1 transcript:fgenesh2_kg.8__2579__AT5G65300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRKHNHQSNRGVCPCCLRDKLSRLPNTTSYYIIHRSSSSSTTVSSSPSSPAVKDHRRAGSMSMSFAVREALSGNLIEAFGGGLKKSRSMAHVPKDYIVRDSTKKKTEKLKSTSVKKKTGFWTKLLHLKGKGGGAVDVGGFVSSRQRVY >fgenesh2_kg.8__257__AT5G45380.1 pep chromosome:v.1.0:8:2024959:2030170:-1 gene:fgenesh2_kg.8__257__AT5G45380.1 transcript:fgenesh2_kg.8__257__AT5G45380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium:solute symporter family protein [Source:UniProtKB/TrEMBL;Acc:D7MU33] MATCPPFDFSAKYYDGDGGGCQRQSSFFGGTTVLDQGVGYAVILGFGAFFAIFTSFLVWLEKRYVGARHTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVKARWGTATHIVFLVFCLATNVIVTAMLLLGGSAVVNALTGVNLYAASFLIPLGVVVYTLAGGLKATFLASYVHSVIVHVALVVFVFLVYTSSSELGSPSVVYDRLRDMVAKSRSCTEPLSHHDQACGPVDGNYRGSYLTMLSSGGAVFGLINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPISKDEADRGLVPPATAIALMGKSGSLLLLTMLFMAVTSAGSSELIAVSSLFTYDIYRTYINPRATGKQILRISRSAVLGFVWCFTWMDVSRMGVLIGSAVIPIAFMLLWSKANAFGAILGSTSGCVLGIITWLSTAKIQYGRVDLDSTGKNGPMLAGNLVAILADFYYNGLKHKQRPNLGLFCLLRPQNYDWSTTREIKVVEADASGDEEVDVPAEELREEKLRRAKAWIVKWGLVFTVLIVIIWPVLSLPARVFSRGYFWFWAIVAVAWGTIGSIVIIGLPLVESWDTIKSVCMGMFTNDRVMNKLDDLNHRLRALTMAVPEAEKIYLLELEKTKKTDEER >fgenesh2_kg.8__2581__AT5G65310.1 pep chromosome:v.1.0:8:21884708:21886983:-1 gene:fgenesh2_kg.8__2581__AT5G65310.1 transcript:fgenesh2_kg.8__2581__AT5G65310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRGSSDSLAGFLPIRHSTTDKQISPRPTTTGFLYSGAGDYSQMFDALEDDGSLEDIGGVGHASSTAAEKKRRLGVEQVKALEKNFEIDNKLEPERKVKLAQELGLQPRQVAIWFQNRRARWKTKQLERDYGVLKSNFDALKRSRDSLQRDNDSLLGQIKELKTKLNVEGVKGIEENGVEKAMEANQAVMASNEVVELNHRPPPPPTHIPTEDPTSELAYEMFSIFPRTENFREDPADSSDDSSAVLNEEYSPTTVEAAGTVAATAVEMSTMGCFSQFVKMEEHEDLFSGEEACKLFADNEQWYCSDQWNS >fgenesh2_kg.8__2584__AT5G65330.1 pep chromosome:v.1.0:8:21895104:21896192:1 gene:fgenesh2_kg.8__2584__AT5G65330.1 transcript:fgenesh2_kg.8__2584__AT5G65330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQASFSSSSSSSRNSTSLTNRLKTIFKKALELSILCAIEVCVIYYGPGGELKTWPKERETVKDMALRYKEARKRKKSLNLHEFLDKEKDKDKDKDKGKTNLKKKQKKNVKYHDWYPNFDHYSPHQLSQLNQSLEQTLSTLQERLRFVEAQKQQNINLVDQNLTPSYLNQNQHLNPSKFSLYMYNHGDATLSQLPLSASHSDQLTNYQNHLMQQQELYGFGQNLCLGNITNTNFQRPYVSNTQDYSPLLSAQASVVNNYGLNNHSMQKQDLHGIDRNVCMLSEIINNNNNNDLQHPNLSNKIPHEFSSDFQQNPYFNTVGNTSFSQDMFSSYDASSLHQTSSLPPLHNIPNSYCFSDNSRLL >fgenesh2_kg.8__2587__AT5G65380.1 pep chromosome:v.1.0:8:21908635:21912204:-1 gene:fgenesh2_kg.8__2587__AT5G65380.1 transcript:fgenesh2_kg.8__2587__AT5G65380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7MTX6] MRGSGGEEGSESRVALLKSPHTGEEDGEGLKNRILVETKKLWQIVGPAIFSRITTYSMLVITQAFAGHLGDLELAAISIVNNVTVGFNFGLLLGMASALETLCGQAFGAKKYYMLGVYMQRSWIVLFFCCVLLLPTYIFTTPVLKFLGQPDDIAELSGVVAIWVIPLHFAFTFSFPLQRFLQCQLKNQVTAYAAAVALVVHILVCWLFVDGLKLGVVGTVATISISWWVNVLILLVYSTCGGCPLTWTGFSSEALTGLWEFLKLSASSGVMLCLENWYYRILILMTGNLQNARIAVDSLSICMAINGWEMMIPLAFFAGTGVRVANELGAGNGKGARFATIVSVTQSLIIGLFFGVLIMLLHNQIAWIFSSSVAVLDAVNKLSLLLAFTVLLNSVQPVLSGVAVGSGWQSYVAYINLGCYYCIGVPLGFLMGWGFKLGVMGIWAGMIFGGTAVQTMILAFITMRCDWEKEAQKANARVNKWSNTIK >fgenesh2_kg.8__258__AT5G45370.2 pep chromosome:v.1.0:8:2031601:2033735:-1 gene:fgenesh2_kg.8__258__AT5G45370.2 transcript:fgenesh2_kg.8__258__AT5G45370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7MU34] MAAPAIVNGGDATERETRMAHSAMTLVQVINGGYHVITKVALNVGVNQLVFCVFRDLLALSILAPLAFFRERTIRPPMNRSVFFSLFFLGLAGIFGNQLLFLMGLSYTNPTYAAAIQPSIPVFTFILAVMMGTEKVNLFKIEGQTKVGGTLVCVSGAIAMALFRGPALFGGKDAVDSVKSVIIDRSQPEPNGWLISSFLGFGFDLWHIGVICLIGNCMCMAAFLAVQAPVLKKYPAYLSVAAYSYFFGASIMITTAILFVREPKDWSLTQSEVLAVIFAGVFASALNYGLLTWSNKILGAALVSLYNPLQPATSAFLSTIFLGSPIYLGSILGGILIICGLYMVTWASYREQQTTVSGNEIASSSGVRISEPLIYRDETGK >fgenesh2_kg.8__2590__AT5G65430.1 pep chromosome:v.1.0:8:21935313:21937219:-1 gene:fgenesh2_kg.8__2590__AT5G65430.1 transcript:fgenesh2_kg.8__2590__AT5G65430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLNRDQYVYMAKLAEQAERYEEMVQFMEQLVSGATPAGELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEESRKNEEHVSLVKDYRSKVESELSSICSGILRLLDSHLIPSATASESKVFYLKMKGDYHRYLAEFKSGDERKTAAEDTMIAYKAAQDVAVADLAPTHPIRLGLALNFSVFYYEILNSSEKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQEQMDEA >fgenesh2_kg.8__2596__AT5G65470.1 pep chromosome:v.1.0:8:21962764:21965662:1 gene:fgenesh2_kg.8__2596__AT5G65470.1 transcript:fgenesh2_kg.8__2596__AT5G65470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSDAKDRRGILSVLFFVVLLCNNVSTSSSSSEVITIKPRHLSLLKSALQRSSGEQSDLWRPLTDQGWSPCIDLGNSPSLPDKTAGYVQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLEVNPVWQDSSSFVDIFDVDHFIDALKDDIRVVRELPDEYSWSTREYYGTAVRETRVKTAPVHASANWYIENVSPVLQSYGIAAISPFSHRLSFDHLPAEIQRLRCKVNFQALRFVPHITSLGDALVSRLRNPSWRNNKELKNVDHLGDMTNPHKRQEPGKFAVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQMIWQGRVLNSQFTDEELRSQGRCPLTPEEMGLLLAAFGFDNNTRLYLASHKVYGGEARISTLRQVFPRMEDKRSLASSEERARIKGKASLLAALDYYVSMHSDIFISASPGNMHNALVGHRTFENLKTIRPNMALIGQLFLNKSITWVDFQQALGEGHVNRQGQIRLRKPKQSIYTYPAPDCMCHV >fgenesh2_kg.8__2598__AT5G65490.1 pep chromosome:v.1.0:8:21968922:21971445:-1 gene:fgenesh2_kg.8__2598__AT5G65490.1 transcript:fgenesh2_kg.8__2598__AT5G65490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPSSSVFFSETSSRLPDETVYFSIFPDSSLSSAGSETSAALQSLHLEIIDFVSPFTSPYIWQHEPFSLSIALSSSCACTNTAMPHLHGKLKYGDNLEDEWFAVFLLFRISAAFPSNSIRVWDTDGEFLLIEAAFHLPRWLNPETSLNRVFIRGGDLHIVPRSRLPDPSLVASLRFLIERGDESRASDSVQSALKNRISEYPERAWRNMHRVRVRVPISVAQVLRHEPLLISLAVEGFYDRDMDSMKHAAKMEKFLSKGREEKLVLVLVKMSRAMYGQLVQQKFQAPNCYPMPSVSDRDAFSEAELGMKIACGMEMMYQQRKKEGEAGKGISWTKYIDNLEKNGYFEGLISGSKEYKRLMENAEEYYQKSSSFSRTRDIMSAPVRRIDEILALPYSEDDFKGQEVPASDNDSWLYDGEDELNSVLQERQKEMEFYNSKKESKKKGKEKQEAGSSSEANMNNFDLGDISKSMQQFMHKVSSYKGAEVPENRDFKEVNLDVDRFMKDIESMLGSQGRDKQADDDSDGSEGSSMDMDFDDFEDDSEGEESNEDAKESFKESYYGAMNEELKNSTLEKSFEHVNQQHSSKQKEESSKTSDEKDGDEFTPVDADFNLVKNLLESYSSQEGLPGPASNLLGLMGLQLPKDSSDKN >fgenesh2_kg.8__2599__AT5G65495.1 pep chromosome:v.1.0:8:21971620:21972147:1 gene:fgenesh2_kg.8__2599__AT5G65495.1 transcript:fgenesh2_kg.8__2599__AT5G65495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYGHNQSFSSRSSLRRRSHDGDDDSVVVEDLRDRLAETEARLRRARAREAELSRRLEHMKRFVSVMEIIETFLERRFQEQKDRIARLFSPVSTK >fgenesh2_kg.8__25__AT5G47650.1 pep chromosome:v.1.0:8:97740:99669:1 gene:fgenesh2_kg.8__25__AT5G47650.1 transcript:fgenesh2_kg.8__25__AT5G47650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSSNPMSRDEATLLPSVQDKYGGVMTEMSHPMDPSLFSTLLRSSLSTWTLQGKKGVWIKLPKQLIGLAETAVKEGFWFHHAEKDYLMLVYWIPKEDHTLPANASHRVGIGAFVINHNREVLVVQEKTGRFQGQGIWKFPTGVVNEGEDIHDGSVREVKEETGVDTEFDQILAFRQTHKAFFGKSDLFFVCMLKPLSLEINAQESEIEAAQWMPWEEYIKQPFVQNYELLRYMTEICSAKTNGDYEGFTPLRVTAPDQQGNLYYNTRDLHSRN >fgenesh2_kg.8__2602__AT5G65520.1 pep chromosome:v.1.0:8:21982684:21983386:-1 gene:fgenesh2_kg.8__2602__AT5G65520.1 transcript:fgenesh2_kg.8__2602__AT5G65520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MTZ2] MATTETIIQGAILVLTLVIFWAIKYLSQRGLTKFRTKHRATLQTQRQLIQASRLLARARTTPKKSQSQSLAKTALTEADNVISISPDDAAGHIVRALALDLLGHHTSALKSFDMALTYPRLKSLSVGERADALVKRAEMKLAVNRRRRIDSAIEDLEEAVRLAAGTDTARLFRLLGECYEFKGLSEKAHWAFNEALKAQPSSANGS >fgenesh2_kg.8__2603__AT5G65530.1 pep chromosome:v.1.0:8:21983492:21986064:-1 gene:fgenesh2_kg.8__2603__AT5G65530.1 transcript:fgenesh2_kg.8__2603__AT5G65530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MTZ3] MAVEEMEKKIKGSHDTELHNNDLSIEDPSPRCVLEIPAMSSDSDNSSSSSSCSSCSPDKSSSPLSTTPTNVSSFHQWNKMIESIKKKSIRRFSVIPLLASYELTRKNMRRKLSPCSENEFDCDQILVTKPSWRNFTFDELVAATDNFNPENMIGKGGHAEVYKGVLPDGETVAIKKLTRHAKEVEERVSDFLSELGIIAHVNHPNAARLRGFSCDRGLHFVLEYAPHGSLASLLFGSEECLDWKKRYKVALGIADGLSYLHNDCPRRIIHRDIKASNILLSQDYEAQISDFGLAKWLPENWPHHIVFPIEGTFGYLAPEYFMHGIVDEKTDVFAFGVLLLEIITGRRAVDTASRQSIVMWAKPLLEKNSVEEIVDPQLGNDFDEIEMKRVMQTASMCIHHVSTMRPDMNRLVQLLRGDDQLAEQKPGGARTVSLDDCDLDHTSSSYLNDLTRH >fgenesh2_kg.8__2604__AT5G65540.1 pep chromosome:v.1.0:8:21988435:21991122:1 gene:fgenesh2_kg.8__2604__AT5G65540.1 transcript:fgenesh2_kg.8__2604__AT5G65540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGDDGRGFDLARRLELSGVWRTWLGDSIYSSFHHYLTSPSNWEAFMRVDESKCRAQIQLQLRVRALLFDKATVSLFLRSNTIAASSSSSASISDVSSVAVSKLNPNYLQLHGDDVYYTLENASLESGFQRDGGIRHNQSLTKSLSKPSFISGTRGSESDFSNLSQRSRFEELPDTWYTQFISRYGFKYGMSVGGQESDKRTPEGMSTYLRVVDTHKRKRAPFLEDRSLAHMSRSSTHPSSGFDGRSSEDDILFLPETMFRMNCVPETALSPVTRTQDNLKTEFYGVLDTLPQVTTRSHIMIERLGMMPEYHRMEDRGVLRRRKAEKLGFSDDQAALVSRKVVARMLLTMGFEGATEVPIDVFSQLVSRHMSKLGHILKLLSDSYKKECSAMQLIKMFLNTTGYSNLGSLAELVKDGTRNHPPPNQKQPQVLQQQLHLQQQASLRLPQQIQRQMHPQMQQMVNPQNFQQQQQLERMRRRPVTSPRPNMDMEKDRPLVQVKLENPSDMAVDGNAFNPMNPRHQQQMQQQLRQQQIAAKSNMQQQPGYSQFRQLASMQIPQMQTPTPGTVRAQPVKVEGFEQLMGGDSSLKHESDDKLRSPPT >fgenesh2_kg.8__2606__AT5G65570.1 pep chromosome:v.1.0:8:21996808:21998970:1 gene:fgenesh2_kg.8__2606__AT5G65570.1 transcript:fgenesh2_kg.8__2606__AT5G65570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MTZ7] MRRDYNGGLIAFSRFFSFFATKTCSPELSINSQFRLLCITCDSLTTTHTFSQLLRQCIDERSISGIKNIQAQMLKSGFPVELSGSKLVDASLKCGEIGYARQLFDGMPERHIVTWNSIIAYYIKHRRSKEAVEMYRLMISNNVLPDEYTLSSVFKAFSDLSLEKEAQRSHGLAVILGLEVSNVFVGSALVDMYVKFGKTREAKLVLDRVEEKDVVLITALIVGYSQKGEDTEAVKAFQSMLVEKVQPNEYTYASVLISCGNLKDIGNGKLIHGLMVKSGFESALASQTSLLTMYLRCSLVDDSLLVFKCIKYPNQVTWTSLISGLVQNGREETALIEFRKMMRDSVKPNSFTLSSALRGCSNLAMFEEGRQVHGIVSKYGFDRDKYAGSGLIELYGKCGCSDMARLVFDTLSEVDVISLNTMIYSYAQNGFGREALELFERMINLGLQPNDVTVLSVLLACNNSGLVEEGCELFDSFRKDKIMLTNDHYACMVDMLGRAGRLEEAEMLITEVTNPDLVLWRTLLSACKVHRKVEMAERITRKILEIAPGDEGTLILLSNLYASTGKWKRVIEMKSKMKEMKLKKNPAMSWVEIDKETHTFMAGDLFSHPNSEQILENLEELIKKAKDLGYVEDKSCVFQDMEETAKERSLHQHSEKLAIAFAVWRNVGGSIRILKNLRVCVDCHSWIKIVSRIIKREIICRDSKRFHHFRDGSCSCGDYW >fgenesh2_kg.8__2607__AT5G65590.1 pep chromosome:v.1.0:8:22002988:22004406:-1 gene:fgenesh2_kg.8__2607__AT5G65590.1 transcript:fgenesh2_kg.8__2607__AT5G65590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MTZ9] MSSHTNLPSPKPVPKPDHRISGTSQTKKPPSSSVAQDQQNLKCPRCNSPNTKFCYYNNYSLSQPRHFCKSCRRYWTRGGALRNVPIGGGCRKTKKSIKPNSSLNTLPSSSSSQRFFSSIMEDSSKFFPPPTTMDFQLAGLSLNKMNDLQLLNNQEVLDLRPMISSGRENTPVDVGSGLSLMGFGDYNNNHSPTAFTTAGASDGNLASSIETLSCLNQDLHWRLQQQRMAMLFGNSNEETVVVERPQPILYRNLEIVNSSSPSSPTKKGDNQTEWYFGNNSDNEGVISNNANTGGGSEWNNGIQAWTDLNHYNALP >fgenesh2_kg.8__2611__AT5G65640.1 pep chromosome:v.1.0:8:22041326:22043437:1 gene:fgenesh2_kg.8__2611__AT5G65640.1 transcript:fgenesh2_kg.8__2611__AT5G65640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSQMNVFEELLVPTKQETTDNINNMSFNGGFDHQFFPNAYNIDYLCFNNEEEDENTLLYPSSFMDLISQPPPLLLHQPPPLQPLSPPLSSSATAAAASFDYPFLEALQEIIDSSSSSPPLILQNGQEENFNNPTSYPSPLMESDQSKSFSVGYCGGETNKKKNKKLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDAIDYMKELLDKINKLQDEEQELGNSNNSHHSKLFGDLKDLNANESLVRNSPKFEIDRRDEDTRVDICCSPKPGLLLSTVNTLETLGLEIEQCVISCFSDFSLQASCSEGAEQRDFITSEDIKQALFRNAGYGGSCL >fgenesh2_kg.8__2616__AT5G65670.1 pep chromosome:v.1.0:8:22061552:22067758:1 gene:fgenesh2_kg.8__2616__AT5G65670.1 transcript:fgenesh2_kg.8__2616__AT5G65670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7MUK5] MSTEEELQSNVSVASSSPTSNCISRNTLGGLKEHNYLGLSDCSSVGSSTLSLLAEDDKATISLKATELTLGLPGSQSPARDTELNLLSPAKLDEKPFFPLLPSKDEICSSSQKNNASGNKRGFSDTMDQFAEAKSSVYTEKNWMFPEAAATQSVTKKDVPQNIPKGQSSTTNNSSSPPAAKAQIVGWPPVRSYRKNTLATTCKNSDEVDGRPGSGALFVKVSMDGAPYLRKVDLRSYANYGELSSALEKMFTTFTLGQCGSNGAAGKDMLSETKLKDLLNGKDYVLTYEDKDGDWMLVGDVPWEMFIDVCKKLKIMKGCDAIGLAAAPRAMEKSKMRA >fgenesh2_kg.8__2618__AT5G65690.1 pep chromosome:v.1.0:8:22081986:22085260:1 gene:fgenesh2_kg.8__2618__AT5G65690.1 transcript:fgenesh2_kg.8__2618__AT5G65690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGNENTGGDFSFSAAAARDALPRITTEKGGKSTDVCHDDTAPRVNFQTIDELHSLQRKRSAPTTPLRDGSASGVSGTSGPTTPVSSETMLQSVSASLASLTRETGPKLIRGDPTSAAKVAHVPVTPRSLPTADVSDSGLKFTHILHNLSPAELYEQAIKYEKGSFVTSTGALATLSGAKTGRSPKDKRVVKDETTEAELWWGKGSPNIEMDEQTFLVNRERAVDYLNSLDKVFVNDQYLNWDPENRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGKFPCNRFTHYMTSSTSVDINLGRREMVILGTQYAGEMKKGLFGVMHYLMPKRKILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSETGVSNIEGGCYAKCIDLAREKEPDIWNAIKFGTVLENVVFDEHTREVDYTDKSVTENTRAAYPIEYIPNSKIPCVGPHPKNVILLACDAFGVLPPISKLNLAQTMYHFISGYTALVAGTEEGVKEPRATFSACFGAAFIMLHPTKYAAMLAEKMQAQGATGWLVNTGWSGGSYGSGSRIKLAYTRKIIDAIHSGSLLNASYRKTDIFGLEIPNEVEGVPSEILEPINAWEDKMAYEDTLLKLAGLFKSNFETFTSHKIGDDGKLTEEILAAGPNF >fgenesh2_kg.8__261__AT5G45360.1 pep chromosome:v.1.0:8:2034471:2036558:1 gene:fgenesh2_kg.8__261__AT5G45360.1 transcript:fgenesh2_kg.8__261__AT5G45360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MU35] MSVSDEEDECFARFLESEVSSVEDKEETKEPEAKRQRIEKAETKALEKDDEDQKEDGNKDRTGEKRIESGVFSNVPTELFRHILKFLSSEDLVSCSLVCKFLNFAAADESLWRRLYCIRWGLTPPTRKLRESAWKKLYIDRDEQDMIELVRTCPSDFKEYYMQMQAAKRSQAPLPSQMVDDRIILDKTVLEQVSLWKKSKGLTDKAVTGHICLGTKCSYHQIDDVFICKETGNVHVCDDNCKEVILSPEEDLMVCTISGLCSDTLLVQTDPDADGCYEEEAELEAEVFMDKSRLARAFELGYNCDDEQELERTLRFC >fgenesh2_kg.8__2623__AT5G65700.1 pep chromosome:v.1.0:8:22109667:22113147:1 gene:fgenesh2_kg.8__2623__AT5G65700.1 transcript:fgenesh2_kg.8__2623__AT5G65700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLLLLFLLHISHTFTTSRPISEFRALLSLKSSLTGAGDDINSPLSSWKVSTSFCTWTGVTCDVSRRHVTSLDLSGLNLSGTLSPDVSHLRLLQNLSLADNQISGPIPPEISSLSGLRHLNLSNNVFNGSFPDEISSGLVNLRVLDVYNNNLTGDLPVSVTNLTQLRHLHLGGNYFAEKIPPSYGSWPVIEYLAVSGNELVGKIPPEIGNLKTLRELYIGYYNAFEDGLPPEIGNLSELVRFDAANCGLTGEIPPEIGKLQKLDTLFLQVNVFSGSLTWELGTLSSLKSMDLSNNMFTGEIPASFAELKNLTLLNLFRNKLHGEIPEFIGDLPELEVLQLWENNFTGTIPQKLGENGKLNLVDLSSNKLTGTLPPNMCSGNKLETLITLGNFLFGSIPDSLGKCESLTRIRMGENFLNGSIPKGLFGLPKLTQVELQDNYLSGELPVAGGVSVNLGQISLSNNQLSGPLPPAIGNFTGVQKLLLDGNKFEGPIPSEVGKLQQLSKIDFSHNLFSGRIAPEISRCKLLTFVDLSRNELSGEIPNEITGMKILNYLNLSRNNLVGSIPGSISSMQSLTSLDFSYNNLSGLVPGTGQFSYFNYTSFLGNPDLCGPYLGPCKDGVAKGAHQSHSKGPLSASMKLLLVLGLLICSIAFAVVAIIKARSLKKASESRAWRLTAFQRLDFTCDDVLDSLKEDNIIGKGGAGIVYKGVMPNGDLVAVKRLAAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIALEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRKMTDSNKESVLKVLDPRLSSIPIHEVTHVFYVAMLCVEEQAVERPTMREVVQILTEIPKLPPPKDQPTTESTPENELSPMSGAQGPPDLLNL >fgenesh2_kg.8__2624__AT5G65710.1 pep chromosome:v.1.0:8:22120121:22123219:1 gene:fgenesh2_kg.8__2624__AT5G65710.1 transcript:fgenesh2_kg.8__2624__AT5G65710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITNTTTLFLFISLLLLSCFLQVSSNGDAEILSRVKKTRLFDPDGNLQDWVITGDNRSPCNWTGITCDIRKGSSLAVTAIDLSGYNISGGFPYGFCRIRTLINITLSQNNLNGTIDSGPLSLCSKIQVLILNVNNFSGKLPEFSPDFRNLRVLELESNLFTGEIPQSYGRFNALQVLNLNGNPLSGIVPAFLGNLTELTRLDLAYISFDSGPIPSTFGNLTNLTELRLTHSNLVGEIPDSIMNLVLLENLDLAMNGLTGEIPESIGRLESVYQIELYDNRLSGKLPESIGNLTELRNFDVSQNNLTGELPEKIAALQLISFNLNDNFFTGELPDIVALNPNLVEFKIFNNSFTGTLPSNLGKFSELSEIDVSTNRFTGELPPYLCYRRKLQKIITFSNQLSGEIPEAYGDCHSLNYIRMADNKLSGEVPARFWELPLTRLELANNNQLEGSIPPSISKARHLSQLEISDNNFSGVIPVKICDLRDLRVIDLSRNRFSGPLPPCINKLKNLERLEMQENMLDGEIPSSVSSCTELAELNLSNNRLRGGIPPELGDLPVLNYLDLSNNQLTGEIPAELLRLKLNQFNVSDNKLYGKIPSGFQQDIFRPSFLGNPNLCAPNLDPIRPCRSKPETRYILVISIICIVALTGALVWLFIKTKPLFKRKPKRTNKITIFQRVGFTEEDIYPQLTEDNIIGSGGSGLVYRVKLKSGQTLAVKKLWGGPGQKPESESFFRSEVETLGRLRHGNIVKLLMCCNGEEFRFLVYEFMENGSLGDVLHSEKEHRAVSPLDWTTRFSIAVGAAQGLSYLHHDSVPPVVHRDVKSNNILLDHEMKPRVADFGLAKSLNREDNDGVSDVSPMSCVAGSYGYIAPEYGYTSKVNEKSDVYSFGVVLLELITGKRPNDSSFGENKDIVKFAMEAALCYPSPSAEYGAMNQDSPGNYRDLSKIVDPKMKLSTREYEEIEKVLDVALLCTSSFPINRPTMRKVVELLKEKKSLE >fgenesh2_kg.8__2625__AT5G65730.1 pep chromosome:v.1.0:8:22134106:22135496:1 gene:fgenesh2_kg.8__2625__AT5G65730.1 transcript:fgenesh2_kg.8__2625__AT5G65730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MUL6] MAKICSPSFPDTPFLYIFTLFTLMFIRISARPATFVDDFKAAWSESHIRQMDGGKAIQLVLDQSTGCGFASKRKYLFGRVSMKIKLIPGDSAGTVTAFYMNSDTATVRDELDFEFLGNRSGQPYSVQTNIFAHGKGDREQRVNLWFDPSLDYHTYTILWSHKHIVFYVDDVPIREYKNNEAKNIAYPTSQPMGVYSTLWEADDWATRGGLEKIDWSKAPFYAYYKDFDIEGCPVPGPTFCPSNPHNWWEGYAYQSLNALEARRYRWVRVNHMVYDYCTDRSRFPVPPPECRA >fgenesh2_kg.8__2626__AT5G65740.2 pep chromosome:v.1.0:8:22136355:22138485:-1 gene:fgenesh2_kg.8__2626__AT5G65740.2 transcript:fgenesh2_kg.8__2626__AT5G65740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGSGECIGRERCEELAKSSSFYRKVYSEIEEIGWEPLRRLGGDLTFFSFQIFDKKGRAHNLEFQLNRDYPNSPPSVSADVPYMFTLEWSTSSRLKDLMYQFQKHLDYLQEFWSVLDDIDKSLCIVDAKQPSRASAIRRIHAGNDCILIVHIDFKDPKSLPECRFIGPVPPATHMNSLHMLWRRNSKKWSKERPFPENLECILGTELPKPLGLQEEENQQQVECGICYAQFLPTDEELGARSGTQTDYTCENISCNKSFHSLCLTDWLRSITTTRQSFDVLFGNCPYCSDPVAVKINNHLKPNLLIS >fgenesh2_kg.8__2629__AT5G65750.1 pep chromosome:v.1.0:8:22139119:22143025:1 gene:fgenesh2_kg.8__2629__AT5G65750.1 transcript:fgenesh2_kg.8__2629__AT5G65750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E1 subunit of 2-oxoglutarate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7MUL8] MVWFRTGSSVAKLAIRRTLSQSQCCSFATRTRVLPSQTRCFHSTILKSKAESAAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEKREIPEDLTPGLYGFTEADLDREFFLGVWRMSGFLSENRPVQTLRAILSRLEQAYCGTIGYEYMHIADRDKCNWLRDKIETPTPRQYNSERRMVIYDRLTWSTQFENFLASKWTTAKRFGLEGAESLIPGMKEMFDRSADLGVENIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTRPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKHLHLSLVANPSHLEAVDPVVMGKTRAKQYYTKDENRTKNMGVLIHGDGSFAGQGVVYETLHLSALPNYCTGGTVHIVVNNQVAFTTDPREGRSSQYCTDVAKALSAPIFHVNADDIEAVVHVCELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKVIRNHPSSLQIYQEKLLQSGQVTEEDIDKIQKKVSSILNEEFRASKDYIPQKRDWLASHWTGFKSPEQISRIRNTGVKPEILKNVGKAISTFPENFKPHRGVKRVYEQRAQMIESGEGIDWGLGEALAFATLVVEGNHVRLSGQDVERGTFSHRHSVLHDQETGEEYCPLDHLTMNQDPEMFTVSNSSLSEFGVLGFELGYSMENPNSLVLWEAQFGDFANGAQVMFDQFISSGEAKWLRQTGLVVLLPHGYDGQGPEHSSGRLERFLQMSDDNPYVIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKQCVSNLSEFDDVKGHPGFDKQGTRFKRLIKDQSGHSDLEEGIRRLVLCSGKVYYELDEERKKSETNDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGGYQYIALRLCTAMKALQRGNFNDIKYVGRLPSAATATGFYQLHVKEQTDLVKKALQPDPITPVLP >fgenesh2_kg.8__262__AT5G45350.1 pep chromosome:v.1.0:8:2037602:2039583:1 gene:fgenesh2_kg.8__262__AT5G45350.1 transcript:fgenesh2_kg.8__262__AT5G45350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MU36] MGGDNDNDKDKGFHGYPPAGYPPGLGAYPPAGYPQQGYPPPPGAYPPAGYPPGAYPPAPGGYPPAPGYGGYPPAPGYGGYPPAPGHGGYPPAGYPAHHSGHAGGIGGMIAGAAAAYGAHHIAHSSHAPYGHAAYGHGFGHGHGYGYGHGKFKHGKHGKFKHGKHGMFGGGKFKKWK >fgenesh2_kg.8__2630__AT5G65760.1 pep chromosome:v.1.0:8:22143168:22145802:1 gene:fgenesh2_kg.8__2630__AT5G65760.1 transcript:fgenesh2_kg.8__2630__AT5G65760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase S28 family protein [Source:UniProtKB/TrEMBL;Acc:D7MUL9] MANHFCLLLIFTFFTLLFPSNGSSLSSSKLLPRFPRYTSRNRGRIQQFRGDRNEYRYETKFFSQQLDHFSFADLPKFPQRYLINSDYWLGASALGPIFLYCGNEGDIEWFATNSGFIWDIAPKFGALLVFPEVRSCLFCMPYGSMEEAYKNATTLSYLTTEQALADFAVFVTDLKRNLSAEACPVVLFGGSYGGMLAAWMRLKYPHIAIGALASSAPILQFEDIVPPETFYDIASNDFKRESSSCFNTIKDSWDAIIAEGQKENGLLQLTKTFHFCRVLNSTDDLSDWLDSAYSYLAMVDYPYPADFMMPLPGHPIREVCRKIDGAHSDASILDRIFAGISVYYNYTGNVDCFKLDDDPHGLDGWNWQACTEMVMPMSSNQEKSMFPAYDFNYSSYKEECWNTFRVNPRPKWVTTEFGGHDIETTLKLFGSNIIFSNGMLDPWSGGSVLKNLSNTIVALVTKEGAHHLDLRPSTPEDPKWLVDQREAEIQLIQGWIETYRLEKEAKVSLLKRSW >fgenesh2_kg.8__2632__AT5G65790.1 pep chromosome:v.1.0:8:22157845:22159372:1 gene:fgenesh2_kg.8__2632__AT5G65790.1 transcript:fgenesh2_kg.8__2632__AT5G65790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKDYIENSGTGGNWIALPQKIGLRRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICNLYVTIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLNKQRKEFQEARMKQEMVMMKRQQQGQGQGQGNGSTDLYLNNMFGSSPWPLLPQLPPPHHQIPLVMMEPTSCNYYQTTPSCNLEQKPLITLKNMVKIEEEQERTNPDHHHHQDSVTNPFDFSFSQLLLDPNYYLGSGVGGEGDFAITSSSTNSPLPNTSSDQHPSQQQEILQWFGSSNFQTEAINDMFLNNNNMVNLETNENTKVYGDSSVAGAAVGAAFAGGTTSTSADQSTISWEDITSLVNTEDASYFNAPNHV >fgenesh2_kg.8__2634__AT5G65820.1 pep chromosome:v.1.0:8:22178164:22180080:-1 gene:fgenesh2_kg.8__2634__AT5G65820.1 transcript:fgenesh2_kg.8__2634__AT5G65820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MUM4] MLPFTMMHRFSPIVEFYLSKRQFFLLSSQFKSRFDLIHRSFHVSRALEDNFRRSNGIGLVCLEKNHNHNDRTKNSKYDEFASDVEKAYRILRKFHSRVPKLELALNESGVELRPGLIERVLNRCGDAGNLGYRFFVWAAKQPRYCHSIEVYKSMVKILSKMRQFGAVWGLIEEMRKENPQLIEPELFVVLVQRFASADMVKKAIEVLDEMPTFGLEPDEYVFGCLLDALCKHGSVKDAAKLFEDMRLRFPVNLRYFTSLLYGWCREEKMMEAKYVLVQMKEAGFEPDIVDYTNLLSGYANAGKMADAYDLLKDMRRRGFEPNATCYTVLIQALCKVDRMEEAMKVFVEMERYECEADVVTYTALVSGFCKWGKIDKCYLVLDDMIKKGLMPSQLTYMHIMAAHEKKEKLIECLELMEKMKQIEYHPDIGIYNVVIRLACKLGEVKEAVRLWNEMEGNGLSPGADTFVIIINGLTSQGCLLEACDHFKEMVARGLFSVPQYGTLKLLLNTLLKDKKLEMAKDVWSCITSKGSCELSVSSWTIWIHALFSKGYEKEACSYCLEMIELEFMPQPDTFAKLMKGLKKLYHREFAVEITEKVRNMAAEKEMSFKMYKRRGVQDLTEKAKSKQDREGKKKQRTR >fgenesh2_kg.8__2635__AT5G65840.1 pep chromosome:v.1.0:8:22182985:22185461:-1 gene:fgenesh2_kg.8__2635__AT5G65840.1 transcript:fgenesh2_kg.8__2635__AT5G65840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSLRSSSSLPLICSTISPMCSKPLSQLPSNFSSSIASVPFTKLKSSYSSVSPISRPRMVSARAATESITDYREDIGEILGDVSIFTASGQRVQFSDLWDQKDGIAAVVLLRHFGCVCCWELATALKEAKPRFDAAGVKLIAVGVGTPDKARILATRLPFPMECLYADPERKAYDVLGLYYGLGRTFFNPASTKVFSRFNEIREATKNYTIEATPEDRSSVLQQGGTFVFRGKKLLYGRKDEGTGDHPSLDDVINVCCKATVA >fgenesh2_kg.8__2636__AT5G65850.1 pep chromosome:v.1.0:8:22185824:22187002:1 gene:fgenesh2_kg.8__2636__AT5G65850.1 transcript:fgenesh2_kg.8__2636__AT5G65850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MUM6] MRRRRRNVSKDRLTISTRRSRRKKTSPNGIENSVQIPVDLIIEILLRLQAKSIATCRCVSKLWGSVICRQDFTELFLTRSLHRPQLLFCCKKNGNLFFFSSPQLQNPYENSSAISLMNFSLSSKISRPVNGLICFKRKETNETGTVICNPSTGHTLNLPKPATSIGAMSFLVYEPVEKQFKVLLSYESEEHQVLTLGTGELSWRMIECSIPHIPGLSEICINGVLYYPAIDLSSGDYIIVCFDARPEKFRFITVMEDFIKAAHDGILINYNGKLASLVSERDCFVDGRSKTIELWVLQDAEKQEWSKLIYVLPAWWQDMVGTLDLRFVGVTRTNEIMLSPCYQTVPFDVFYFNIEKKTMISVEIQGMEAFQGHLVFTYLDHVENVKLLQNIF >fgenesh2_kg.8__2638__AT5G65860.1 pep chromosome:v.1.0:8:22187748:22189117:-1 gene:fgenesh2_kg.8__2638__AT5G65860.1 transcript:fgenesh2_kg.8__2638__AT5G65860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7MUM7] MEEADQLCLAAKSGDLKKVQTLINSGADLTHFDKDGLTPLMHAAKIGNAEIVTALLESGAPWNALTPSNLSAGDFAMEAGHQETFDLLLKTGIQSELILGTIARNQTKNEYSNQEYLQDRVSFSEDKLMDSESKGVMMAWEKPLMEAHAKAICISGGHILNVGFGMGLVDTAIQRYNPSKHTIIEAHPEVYKCMIESGWGEKENVEIVFGRWQDVLDKLDDDSFDGIFFDTYGDIFRGLLKPDGIYSYFNGFCGSNAFFHVVYCNLVTLEIENLGFSTQLIPLPVKDCLGDEVWEGVKQKYWQLDTYYLPVCQFSD >fgenesh2_kg.8__2642__AT5G65900.1 pep chromosome:v.1.0:8:22207585:22210502:1 gene:fgenesh2_kg.8__2642__AT5G65900.1 transcript:fgenesh2_kg.8__2642__AT5G65900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase [Source:UniProtKB/TrEMBL;Acc:D7MUN2] MANLDLEQHSSENEEIKKKKHKKRARDGAKKLKQRAIDEEEPEHEDGDDEENKPLIDEEPKKKKKLKQRGDADDDADDEAITEEEPKKKKRKDEEVKSEEEDKVEGTSIMTNETFESLSLSDNTYKSVKEMGFARMTQIQAKAIPPLMMGKDVLGAARTGSGKTLAFLIPAAELLYHVRFTPRNGTGVIVICPTRELAIQSYGVAKELLKYHSQTVGKVIGGENRKKEAEILAKGVNLLVATPGRLLDHLENTNGFVFKNLKLFVMDEADRILEQNFEEDLKKIIKLLPKTRQTSLFSATQSAKVEDLARVSLASPVYIDVDEGRQKVTNEGLEQGYCVVPSGMRLLFLLTFLKRFHGKKKIMVFFSTCKSTKFHAELFRYIKLDCLEIRGGIDQSKRTSTLFQFKKAETGILLCTNVAARGLDFPHVDWIVQYDPPDNPTEYIHRVGRTARGEGAKGKALLVLTPDELQFIQYLKAAKIPVEEHEFEEGKLLDVKSFLEDLISKNYALKESAKEAYKTYISGYDSHSMKDVFNVHRLDLKEVAASFGFSDPPKVALKTDRGGYKSKREPVNKFNRGRGGRRGGKSKFERY >fgenesh2_kg.8__2644__AT5G65920.1 pep chromosome:v.1.0:8:22213256:22215325:-1 gene:fgenesh2_kg.8__2644__AT5G65920.1 transcript:fgenesh2_kg.8__2644__AT5G65920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7MUN3] MPMFQPFKNGGFDGHILDLHTAVIDGVLGGCGGGDGKFVVVVTDEKKKLDLMKMISELELPEIPSVFICPISLEPMQDPVTLCTGQTYERSNILKWFNLGHCTCPTTMQELWDDLVTPNKTLHQLIYTWFSQKYVLMKKRSEDVQGRAIEILGTLRKAKGKAKVHALSELKQVVMAHTIAKKTVVDEGGVFVISSLLSPFTSHAVGSEAIAILVNLELDSDSKAGLMQPARVSLMVDMLNDGSIETKINCARLIGRLVEEKGFRAELVSSHSLLVGLMRLVKDRRRRNGVSPALTLLKSVSVHKQVRNLLVRIGAVPQLIDVLPCLDPECLESALFVLDSLCLESEGRIALKDSVNTIPHTVRLLMKVSEKCTNYAISILWSVCKLASEECSSLAVEVGLAAKLLLVIQSGCDPALKQRSAELLKLCSLHYSDSMFISKCKLTRTIQ >fgenesh2_kg.8__2648__AT5G67630.1 pep chromosome:v.1.0:8:22224510:22226425:1 gene:fgenesh2_kg.8__2648__AT5G67630.1 transcript:fgenesh2_kg.8__2648__AT5G67630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuvB-like helicase [Source:UniProtKB/TrEMBL;Acc:D7MUN6] MAELKLSESRDLTRVERIGAHSHIRGLGLDSALEPRAVSEGMVGQVKARKAAGVILQMIREGKIAGRAILLAGQPGTGKTAIAMGMAKSLGLETPFAMIAGSEIFSLEMSKTEALTQSFRKAIGVRIKEETEVIEGEVVEVQIDRPASSGVASKSGKMTMKTTDMETVYDMGAKMIEALNKEKVQSGDVIAIDKATGKITKLGRSFSRSRDYDAMGAQTKFVQCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRSEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENEMSPILVVATNRGVTTIRGTNQKSPHGIPIDLLDRLLIITTQPYTDDDIRKILEIRCQEEDVEMNEEAKQLLTLIGRDTSLRYAIHLITAAALSCQKRKGKVVEVEDIERVYRLFLDVRRSMQYLVEYQSQYMFSEPIKNDEAAAEDEQDAMQI >fgenesh2_kg.8__2650__AT5G67610.2 pep chromosome:v.1.0:8:22233287:22236146:1 gene:fgenesh2_kg.8__2650__AT5G67610.2 transcript:fgenesh2_kg.8__2650__AT5G67610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYRLFRNSGVVVGIILLHLFAFASLVSSNELQFVVGETGELQVTPSLEVKGSPGLKPDRTVLCERIHIHGLRRFKHIDKYAHSLKLVVNASTVGKTSSIDVCFHRNLSRAIGMCPHSRWEKASKGSWVQTMSPFDHKILDVRVASSNKVTLEMSAVEELFMYRIVFLILGAVLLASASTLSQSLAFYYSSAMAVGIILVVLLVLFQGMKLLPTGRSSFALFIYSSLLGLGGFLLRYIPGLFQSLLTEMGIDEEMYTPVSIFVGAFLSLGGAFFGFWTVRKLMLTEDGSIDVSTSLFVSWSIRIMAAVLILQSSVDPLLAGGALISVILMSSTLKKITRLKFLLLLYEIPLNLLLGIWEAIRDADIPSVPGYLHDFMRKSPDASEFRNRVTFASPSGGINNGMRESSPSESDTFPSSFHKTPERSQLTKEEWKKLTKDSTTKAVQELVSSPDFGKWAAVNADRISVTPRKGSSSTNRPRKWLRWF >fgenesh2_kg.8__2651__AT5G67600.1 pep chromosome:v.1.0:8:22236911:22237599:1 gene:fgenesh2_kg.8__2651__AT5G67600.1 transcript:fgenesh2_kg.8__2651__AT5G67600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MUN9] MYHQEQHPVGAPPPQGYPPKDGYPPAGYPPPGYAQGYPAQGYPPQQYSQAPQQKQNAGMLEGCLAALCCCCLLDACF >fgenesh2_kg.8__2656__AT5G67560.1 pep chromosome:v.1.0:8:22245216:22250266:-1 gene:fgenesh2_kg.8__2656__AT5G67560.1 transcript:fgenesh2_kg.8__2656__AT5G67560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDALLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGSVTIKLWDLGGQPRFRSMWERYCRSVSAIVYVVDAADPDNLSVSKSELHDLLSKTSLSGIPLLVLGNKIDKPGALSKEALTEEMGLKSLTDREVCCFMISCKNSTNIDQVIDWLVKHSKSSS >fgenesh2_kg.8__2657__AT5G67550.1 pep chromosome:v.1.0:8:22251533:22253956:1 gene:fgenesh2_kg.8__2657__AT5G67550.1 transcript:fgenesh2_kg.8__2657__AT5G67550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSRITGVLSQLLLTAVISPMLAVSSLSYGGDHEMFIKRRDPLNSFRYYDGGFDVRNKHYWAATAFTGIHGYAVAGVLIIVGVCLGLYVAFSKKRRRVSSTCRRYLDRYYLPLFLLLLLFMFLSMATTGIVISANERSKNRTEEMKETIDKAGEDVDHNIRTVIVSLTKIQYLLLPYDQNTTHLLNVTTHRLGKGSRLIQSFLHHNGRSIDLAIKISYVSHLMIASTNLFLLLLAFVPLLLHWHPGFIMVIFLCWIIATLCWVLTGFDFFIHTFAEDLCSAFKGFVQNPQNSTLTNLFPCMDPLHSDKTLIEISLMIHNFITELNSKVAESMRSNALADRSNTVSWAPESGIICDPFGGQQLNSYKPQSCSNGAIPIGEFPNILSRFTCHDKDPPETCRITGKFIPEAAYLKVYAYSNSAQGMLDILPSLQNLTECLAVKDTLSSIVSNQCEPFKASMYCLWASILALSLIMVVLVLLLFAKAFQEKGKSFARFSIHPTSSAEIRQVNI >fgenesh2_kg.8__2658__AT5G67530.1 pep chromosome:v.1.0:8:22256650:22259481:-1 gene:fgenesh2_kg.8__2658__AT5G67530.1 transcript:fgenesh2_kg.8__2658__AT5G67530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase cyclophilin-type family protein [Source:UniProtKB/TrEMBL;Acc:D7MUP6] MGKKQHSKDRMFITKTEWATEWGGAKSKENRTPFKSLPYYCCALTFLPFEDPVCTIDGSVFEITTIVPYIRKFGKHPVTGAPLKGEDLIPLIFHKNSEGEYHCPVLNKVFTEFTHIVAVKTTGNVFCYEAIKELNIKTKNWKELLTEEPFTRADLITIQNPNAVDSKVTVEFDHVKNGLKIDDEELKKMNSDPAYNINVSGDIKQMLADLGTEKAKEIALHGGGGNKARNERAAAIAAILESRSKIKEDSKAEQPKQIYSVVDAASASVFGRSADAAKAGSSDKTAARIAMHMAGDRAPVNSKMVKSRYSSGAASRSFTSTAFTPVTENDFELIKVEKNPKKKGYVQFQTTHGDLNIELHCDIAPRACENFITLCERGYYNGVPFHRSIRNFMIQGGDPTGTGKGGESIWGKPFKDEPNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSATHLNYKHTVFGGVVGGLATLAAMENVPVDESDRPLEEIKIIEANVFVNPYTEPDEEEEKEKAEKERNEDKDIEKVGSWYSNPGSGTAEGGAGGGGVGKYLKAKSSTATKDTNGSLDSDISTIGVTKKRKTTASASTGFKDFSSW >fgenesh2_kg.8__2659__AT5G67520.1 pep chromosome:v.1.0:8:22259811:22261713:-1 gene:fgenesh2_kg.8__2659__AT5G67520.1 transcript:fgenesh2_kg.8__2659__AT5G67520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase [Source:UniProtKB/TrEMBL;Acc:D7MUP7] MDIAAVARCVGRCYVSPAFGESEPLRLSERRFLKLSSAKNSDPAFSRSLIFGGKSYRRLGFFRPIMATDESISSRSSDCAGETKQINGKQKNIVWHDCPVTKSDRQELIKQKGCVIWITGLSGSGKSSLACALSRALHNRGKLSYILDGDNVRHGLNSDLSFEAEDRAENIRRVGEVAKLFADAGIICIASLISPYRRERAACRALLPEGDFIEVFMDVPLHVCEARDPKGLYKRARAGKIKGFTGVDDPYEPPLDCEIVIQNNRDKGLSSSSTSSSLCEMAEIVVSYLDQNGYLKTP >fgenesh2_kg.8__2660__AT5G67510.1 pep chromosome:v.1.0:8:22262424:22263067:1 gene:fgenesh2_kg.8__2660__AT5G67510.1 transcript:fgenesh2_kg.8__2660__AT5G67510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L26 [Source:UniProtKB/TrEMBL;Acc:D7MUP8] MKYNPRVTSSRRKNRKAHFTAPSSVRRVLMSSPLSKDLRHKYNVRSMPIRKDDEVQVVRGTFKGREGKVMQVYRRKWVIHIERITREKVNGTTVNVGINTSNVMITKLRLDKDRKSLLERKANGRAAADKEKGTKFSAADVMENVD >fgenesh2_kg.8__2661__AT5G67500.1 pep chromosome:v.1.0:8:22264343:22266497:-1 gene:fgenesh2_kg.8__2661__AT5G67500.1 transcript:fgenesh2_kg.8__2661__AT5G67500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGPGLFTDIGKKAKDLLTRDYNSDQKFSISTYSASGVALTSTALKKGGVHAADVATQYKYKNALFDVKIDTDSSVLTTVTLTEILPSTKAIASFKVPDYNSAKLEVQYFHDHATVTAAAALKQNPLIDITATLGSPVISFGAEAGYDTTSKTFTKYNAGISVTKPDACLSIILGDKGDSLKASYLHHFDEFKRTAAVGEVYRKFSTNENTITVGGLYAIDHSTSVKAKLNNHGTLGALLQHEVLPRSLVTVSSEIDTKALEKHPRFGLSLALKP >fgenesh2_kg.8__2662__AT5G67480.1 pep chromosome:v.1.0:8:22270380:22272577:1 gene:fgenesh2_kg.8__2662__AT5G67480.1 transcript:fgenesh2_kg.8__2662__AT5G67480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGCLDLHQSFKSADSSSVPIPPPLPSKSDGHKKRLGPSCVSTATRDMCDRLFNDGYKADVVIYTDNGSIIYAHANIIGTASTVIKGMLKQAKRHGKWHTISIRGVPHDAVRVFIRFLYSSCYVKEEMNEFIMHLLLLSHAYVVPQLKRVCEWHLEHGLLTTENVIDVFQLALLCDFPRLSLISHRMIMKHFKELSATEAWTAMKKSHPFLEKEVRDSVIIEANTRKERIRKRNDQRIYSQLYEAMEALVHICRDGCKTIGPHDKDLKPDHAPCNYEACKGLESLIRHFAGCKLRVPGGCVHCKRMWQLLELHSRVCADSDQCRVPLCRNFKEKMEKQSKKDESRWKLLVKNVLGSKKVGGSPFFLPVTSC >fgenesh2_kg.8__2665__AT5G67460.1 pep chromosome:v.1.0:8:22280316:22282050:1 gene:fgenesh2_kg.8__2665__AT5G67460.1 transcript:fgenesh2_kg.8__2665__AT5G67460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein 17 [Source:UniProtKB/TrEMBL;Acc:D7MUQ4] MRHLLYIFFFIAFLGFAGAGQESTPIRALNLHHNLLQLPQTTDLDLAVSVSDNKTITEISSSIIKAETWLKTHVLSRYPSTKITTIVVFFPDSCQTTQNKPTSDLVLSSLKNIYHSLTRWGLENNIKVSSGFSYNCLNNPKISEMFKPVLIFLKTINSTFTINPPQNFLSSPDNHLDLLHSVEKLGSLSFNKVNFLNPEPEQESTTTMTRRNLRSLINLSSKFTISFPTLPSPSPENSPIHSSIGSPSPPTIPYFSEPSQSPMESNQGISLPPCLPYHPAPSPSPVKKKDVEGLWCVAKPSVAAETLQQSLDFACGQGGANCDEIKPHGICYYPDTVMAHASYAFNSYWQKTKRNGGTCSFGGTAMLITTDPSYQHCRFVLS >fgenesh2_kg.8__2666__AT5G67450.1 pep chromosome:v.1.0:8:22283653:22284771:1 gene:fgenesh2_kg.8__2666__AT5G67450.1 transcript:fgenesh2_kg.8__2666__AT5G67450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETLNSPTATTTDRPLLRYREEMEPENLEQWAKRKRTKRQRFDHGHQNQETNKNHLPSEEEYLALCLLMLARGSAVQSPPLPPLPSRPSPSDHRDYKCSVCGKSFSSYQALGGHKTSHRKPTNNSITSGNQELSNNSHSNSGSVVINVTVNTSNGVSQNGKIHTCSICFKSFSSGQALGGHKRCHYDGGSNGNGNGSSSNSVEVVAGSDVSDVDNERSSEESAIGGHRGFDLNLPADQVSVTIS >fgenesh2_kg.8__2670__AT5G67420.1 pep chromosome:v.1.0:8:22300392:22301941:1 gene:fgenesh2_kg.8__2670__AT5G67420.1 transcript:fgenesh2_kg.8__2670__AT5G67420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSENCILRPCIQWIETADAQGHATVFVAKFFGRAGLMSFISAVPESQRPALFQSLLYEACGRTVNPVNGAIGMLWTGNWNICQAAVETVLRGGSLRPIPELGGGFAGFPSPTSEEASEICTEMLNLQQNDSTGRNIYHHSRFSSSRSRSTMDSSSPTKRKRLASDQQPSSELDLSLIPNFPVKTTTPSSTRRRSLTPSMNSEDSVTTTAFCDKGDVYGNGGGETSKLLNLFV >fgenesh2_kg.8__2672__AT5G67410.1 pep chromosome:v.1.0:8:22317355:22318269:1 gene:fgenesh2_kg.8__2672__AT5G67410.1 transcript:fgenesh2_kg.8__2672__AT5G67410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MUQ9] MPTSQHHAVRTDIAVLKSQIEKRIGRAKTESYLNLLSKFLSLKISKSDFDKLIIATVKRENISLHNALLRGILKNVCLSSKTPPLIKNGVVDSEKKKKQLYGVFQSLCKDLPKSPRKGRTQRRFKDSNVSKGKSLITEVVSSSGRQQWLMENGEEVDQLIRCWRSQPIEAPFGVNLRDVIEKQHRIGTCCCSSGELPDSVSLKKKLEDDSGFMEQGLEVSVGFANTLNAGLDVFLKRLIKPCLELAASRSSNASPASSLVDFQVAMELNPSILGGDWPAKLEKIACRFR >fgenesh2_kg.8__2676__AT5G67385.1 pep chromosome:v.1.0:8:22326422:22329195:-1 gene:fgenesh2_kg.8__2676__AT5G67385.1 transcript:fgenesh2_kg.8__2676__AT5G67385.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal transducer [Source:UniProtKB/TrEMBL;Acc:D7MV48] MSAKKKDLLSSAMKRTSEWISSQEVSSDVTVHVGEASFSLHKFPLLSKCGFIKKLVSESNKDSDSTVINITDIPGGSEAFELAAKFCYGINFDMSTENIAMLRCAAEYLEMTEEHSVENLVVRAESYLDEVALKSLSSSITVLHKSEELLPIAERVKLVSRCIDAIAYMTCQESQFCSPSSSNSGNNEVVVQQQSKQPVVDWWAEDLTVLRIDLFQRVLIAMMARGFKQYGLGPVLMLYAQKSLRGLEIFGKGMKKIEPKQEHEKRVILETIVSLLPREKNAMSVSFLSMLLRAAIFLETTVACRLDLEKRMGLQLGQAVLDDLLIPSYSFTGDHSLFDTDTVQRILMNYLEFEVEGVRLSNNGVDLAGDMERVGKLLENYMAEIASDRNVSLQKFISLAELIPEQSRVTEDGMYRAVDIYLKAHPNMSDVERKKVCSLMDCQKLSREACAHAAQNDRLPVQTIVQVLYYEQQRLRGEVTNDSDSPAPPPPPPAAVLPPKLSSYTDELSKLKRENQDLKLELLKMKMKLKEFEKESEKKSSSSTISTNPSSPISTASMGKPPLPRKSFINSVSKKLGKLNPFGITPYNGRGRTKPPKDRRHSIS >fgenesh2_kg.8__2678__AT5G67380.2 pep chromosome:v.1.0:8:22330462:22332794:1 gene:fgenesh2_kg.8__2678__AT5G67380.2 transcript:fgenesh2_kg.8__2678__AT5G67380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTLFFESPLRRLLCAVLTLRAPLAHSPILRLSIVTPTAKAVSDVSGSTTIDPDSLVEISDSNQTRAMSKARVYTDVNVIRPKDYWDYESLIVQWGEQDDYEVVRKIRREIKILQNLCGGPNIVKLLDVVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDFCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNQDQLVKIAKVIGTDELNAYLNKYQLELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAKEAMAHAYFAQVRAAETSRMRSQ >fgenesh2_kg.8__2679__AT5G67370.1 pep chromosome:v.1.0:8:22337971:22339936:1 gene:fgenesh2_kg.8__2679__AT5G67370.1 transcript:fgenesh2_kg.8__2679__AT5G67370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLIVNYPLIPKKPHRVCSNSSKLGSYYDSSSIIKYGGIVDDVGKKQELLLSVSVKAVEDKGNNGGGSMSFSGQSWDPSSEIEVPSDQRPVNEYSSLKEGMLYSWGELGPSEFFIRLGGLWLVTFTVLGVPIAAASFNPSREPLRFALAAGTGTLFLVSLIVLRIYLGWSYVGDRLLSAVIPYEESGWYDGQMWVKPPEVLARDRLLGSYKVKPVIKMLKQTLIGTGALLVSAFVLFVFATPVEDFFKTTLRSKENQPEVSISRTSNKFNIRKEQLLRLPVDVVTDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWEDLVK >fgenesh2_kg.8__2680__AT5G67360.1 pep chromosome:v.1.0:8:22343036:22345674:1 gene:fgenesh2_kg.8__2680__AT5G67360.1 transcript:fgenesh2_kg.8__2680__AT5G67360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFLSFLLLCLGFCHVSSSSSQQGTYIVHMAKSQMPSTFDLHSNWYDSSLRSVSDSAELLYTYENAIHGFSTRLTQEEADSLMTQPGVISVLPEHRYELHTTRTPLFLGLEDHTADLFPETGSYSDVVVGVLDTGVWPESKSYSDEGFGPIPSTWKGGCEAGTNFTASLCNRKLIGARFFARGYESTMGPIDESKESRSPRDDDGHGTHTSSTAAGSVVEGASLLGYASGTARGMAPRARVAVYKVCWLGGCFSSDILAAIDKAIADNVNVLSMSLGGGMSDYYRDGVAIGAFAAMERGILVSCSAGNAGPSSYSLSNVAPWITTVGAGTLDRDFPALAILGNGKNFTGVSLFKGEALPDKLLPFIYAGNASNATNGNLCMTGTLIPEKVKGKIVMCDRGVNARVQKGDVVKAAGGVGMILANTAANGEELVADAHLLPATTVGEKAGDIIRHYVTTDPNPTASISILGTVVGVKPSPVVAAFSSRGPNSITPNILKPDLIAPGVNILAAWTTAAGPTGLASDSRRVEFNIISGTSMSCPHVSGLAALLKSVHPEWSPAAIRSALMTTAYKTYKDGKPLLDIATGKPSTPFDHGAGHVSPTTATNPGLIYDLSTEDYLGFLCALNYTSSQIRSVSRRNYTCDPSKSYSVADLNYPSFAVNVDGAGAYKYTRTVTSVGGAGTYSVKVTSETRGAKISVEPAVLNFKEANEKKSYTVTFTVDSSKASGSNSFGSIEWSDGKHVVGSPVAISWT >fgenesh2_kg.8__2682__AT5G67330.1 pep chromosome:v.1.0:8:22369099:22371190:-1 gene:fgenesh2_kg.8__2682__AT5G67330.1 transcript:fgenesh2_kg.8__2682__AT5G67330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal transporter Nramp4 [Source:UniProtKB/TrEMBL;Acc:D7MV55] MSETDRERPLLESEDRAYEETEKVLIVGIDEEEDADYDDDPGNSPKFSWKKLWLFTGPGFLMSIAFLDPGNLESDLQAGAIAGYSLIWLLMWATAIGLLIQLLSARLGVATGRHLAELCREEYPTWARMVLWIMAEIALIGADIQEVIGSAIAIKILSNGLVPLWAGVVITALDCFIFLFLENYGIRKLEAVFAILIATMALAFAWMFGQTKPSGTELLVGALVPKLSSRTIKQAVGIVGCIIMPHNVFLHSALVQSREVDPKKRFRVKEALKYYSIESTGALAVSFIINVFVTTVFAKSFYGTDIADTIGLANAGQYLQDKYGGGFFPILYIWAIGVLAAGQSSTITGTYAGQFIMGGFLNLKMKKWVRALITRSCAIIPTMIVALVFDSSDSMLDELNEWLNVLQSVQIPFAVIPLLCLVSNEQIMGSFKIQPLVQTISWIVAALVIAINGYLMVDFFSGAATSVVLLVPVIIFAIAYVLFVLYLISRGLTYTPWQLVASHKEPQRNDE >fgenesh2_kg.8__2685__AT5G67310.1 pep chromosome:v.1.0:8:22376401:22378296:1 gene:fgenesh2_kg.8__2685__AT5G67310.1 transcript:fgenesh2_kg.8__2685__AT5G67310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MKR0] IDLSLLALIAGFITVAYLFRSKQKKKKNLLPSPVGHLHLLKEPVHRSLRDLSRNLRSDVFFLRLGSRRAVVVTSASAAEEFLSQENDVIFANRPLASLTEYMGYNCTIVSAALYGEHWRRLRRFCAVEIFSAACLRDSSDIRRDEVRALLRKIYVELVTSGGNDFIRLKLQPLLYGLTFNILMSIVAGKREEDDETKEFAGVSNVGDFLPILKLFDLDGYFKRAKKLTSKLDKLMQRLVDEHRRNRGKTELEKTMITHLLSLQESEPEHYTDDIIKGLVQVMLLAGTDTTAVTLEGLMKLKTEVNNVSVEGRLLEESDTGKCPYLTNVISETLRLYPAAPLLVPHASSADCEVAGFDIPRRTWLFVNAWAIQRDPKVWDDDPEAFKPERFESEAHRGKFLPFGIGRRACPGMGLAQLVLSLALGSLIQCFDWERNNDVAVDMSEGRGITMPKAVPLVAKCKSLPILDKVVL >fgenesh2_kg.8__2687__AT5G67290.1 pep chromosome:v.1.0:8:22384402:22386481:1 gene:fgenesh2_kg.8__2687__AT5G67290.1 transcript:fgenesh2_kg.8__2687__AT5G67290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSSLLFPPTLSRRTITICSKSMAAKNQIDGETSKRVVVCGGGVIGVCTAYFLAKKGIAVTLVEKSAVACAASGKAGGFLAFDWCDGSPVAPLARASFNLHRSLSEELNGVESYGYRPLTTLSLTVTEATPGSGGLGLPNWVNGPAKSPSTIGTTQTTAQVHPQLFTRKLLSTATEKYGVEVVIGKLEEVRVESGRVDSVVLEGGRVIDTDAVVLAMGPWSSKFELLSSIFRVYGIKAHSIVLKPKEPNAITPHALFLTYRPAHGGEALDPEVYPRPTGEVYICGMSSQEEVPDDPDQVTSNPESIQVLKRVAKTVSSYLNEENALVKAEQACFLPSTEDGVPVIGEIPGIKGCYVGTGHSCWGILNGPATGAALAELIVDGVATSVDLSRFSPSRFIKRR >fgenesh2_kg.8__2688__AT5G67280.1 pep chromosome:v.1.0:8:22390386:22393285:1 gene:fgenesh2_kg.8__2688__AT5G67280.1 transcript:fgenesh2_kg.8__2688__AT5G67280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVAADLRRYLSVITVLSFLFCDQSALALNTDGVLLLSFRYSIVDDPLSVLRSWRLEDETPCSWRGVTCDESSRHVTALSLPSSNLTGTLPSNLGSLNSLQRLDLSNNSINGSFPVSLLNATELRFLDLSDNHISGELPASFGALWNLKVLNLSDNSFVGELPKTLGWNRNLTVISLKNNYFSGQIPGGFKSTEYLDLSSNLIKGSLPSHFRGNRLRYFNVSYNRISGKIPSGFADEIPANATVDLSFNQLTGQIPGFRVLDNQESNAFSGNPGLCGSDPAKHPCRDGEATSPLPSPTPNSPPALAAIPNTIGLTNHPISSKTGQKSKWDHKPGLIIGIVVGDLAGLAILGIVFFYIYQSRKRKTVTATSKWSTSSTDSKVSKWYCLRKSVYVDGDCEDEEEESETSESESDEENPVGPNRRSGLDDQDKKGTLVNLDSEKELEIETLLKASAYILGATGSSIMYKAVLQDGTAVAVRRIAECGLDRFRDFEAQVRAVAKLIHPNLVRIRGFYWGADEKLVIYDFVPNGSLANARYRKVGSSPCHLPWEARLKIAKGIARGLTYVHDKKYVHGNLKPSNILLGLDMEPKVADFGLEKLLIGDMSYRAGGSAPIFGSKRSTTSLEFGPSPSPSPSSVGLPYNAPESLRSIKPNQKWDVYSFGVILLELLTGKIVVVDELGQVNGLVIDDGERAIRMADSAIRAELEGKEEAVLACLKMGLACASPIPQRRPNIKEALQVLERFPVHLSQQ >fgenesh2_kg.8__2689__AT5G67270.1 pep chromosome:v.1.0:8:22393521:22395595:1 gene:fgenesh2_kg.8__2689__AT5G67270.1 transcript:fgenesh2_kg.8__2689__AT5G67270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEB1C [Source:UniProtKB/TrEMBL;Acc:D7MKR4] MATNIGMMDSAYFVGRSEILAWINSTLQLNLSKVEEACSGAVHCQLMDSVHPGTVPMHKVNFDAKSEYEMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKKYCDSVNGGQHNYHALERREASKGGKEATKRAAAATQQSGKSSSSSAAPRPSSSNGTRKHEPQSSNTGNHHHSSKPSAKQSKPVPAYDEKITELKLYIDSLEKERDFYFSKLRDVEILCQNPDTEHLPLVGSIKRILYAADGEDVGAAAEPIQTLSPIAEGSEERRNSVIESQKRKLIVNLDVDAAAITTLSPRQRLSDASDVKCSGSSPLLTC >fgenesh2_kg.8__2692__AT5G67230.1 pep chromosome:v.1.0:8:22411411:22413264:-1 gene:fgenesh2_kg.8__2692__AT5G67230.1 transcript:fgenesh2_kg.8__2692__AT5G67230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:D7MKR9] MKLSVFRLSYWNRRGNSFRSSPSLDPTFDGKSPSSVFWFVIHGLCCLISLILGFRFSHLVLFFLFSTSVTNLYTTPFLSAGNGGVSQLLRLKPLETATNSTVKKNSRVVVGRHGIRIRPWPHPNPIEVLRAHQLLVRVQKEQKSMYGVRSPKTVIVVTPTYVRTFQALHLTGVMHSLMLVPYDLVWIVVEAGGITNETASFIAKSGLKTIHLGFDQKMPNTWEDRHKLKTKMRLHALRIVREKKLDGIVMFADDSNMHNELSSVLKKEEGKRKEKPSMPIQGPSCNSSEKLVGWHIFNTQPYAKKTAVYIDEKAAVMPIKMEWSGFVLNSRLLWKESVDEKPAWIKDLSLLDDNDEIDSPLSLVKDPSMLEPLGSCGRRVLLWWLRVEARADSKFPPGWIIKSPLEITVPSKRTPWPDSSSELPAAIKEAKSDTKPRGSNSKSSKGKQEPKAFNGVKVSTTS >fgenesh2_kg.8__2694__AT5G67210.1 pep chromosome:v.1.0:8:22415559:22416757:-1 gene:fgenesh2_kg.8__2694__AT5G67210.1 transcript:fgenesh2_kg.8__2694__AT5G67210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7MKS1] MKSGGNTNTKLILVHPYIQKQTSTNRLWLLAFVSFFTIAFLLTLLYTTDSLIPSKNNSTTVSPTVNSAVSTAPITQLPTAAINAMLHYASRSNDSFHMSYGEMKSISDVLRRCSPPCNLLVFGLTHETLLWKSLNHNGRTVFIEENRYYAAYFEEIHPEIEVFDVQYTTKAREARELVSAVKEAARNECRPVQNLLFSDCKLGLNDLPNHVYDVDWDVILVDGPRGDGGDVPGRMSSIFTAAVLARSKKGGNPKTHVFVHDYYRDVERLCGDEFLCRENLVESNDMLAHYVLDRMDKNSTQFCRGRKKRSVSSPSA >fgenesh2_kg.8__2695__AT5G67200.1 pep chromosome:v.1.0:8:22419719:22422542:1 gene:fgenesh2_kg.8__2695__AT5G67200.1 transcript:fgenesh2_kg.8__2695__AT5G67200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNFFLLFFILLRVSAGAEPNYFNSLLPSDAVALLSFKSTADLDNKLLYSLTERYDYCQWRGVKCAQGRIVRLVLSGVGLRGYFSSATLSRLDQLRVLSLENNSLFGPIPDLSHLVNLKSLFLSRNQFSGTFPPSILSLHRLMILSLSRNNFSGSIPSEINALDRLTSLNLEFNRFNGTLPPLNQSFLTSFNVSGNNLTGVIPVTPTLSRFDASSFKSNPGLCGEIINRACASRSPFFGSTNKTTSSEPPLGQSAQAQNGGAVIISPVVTKKKGKESGLVLGFTAGLASLIVLGLCLVVFSLVIKKRNDDGIFEPNPKGEASLSQQQQQSQNQTPRTRTVPVLNSDSESHKREKDVQFQETEQRIPNSGNLVFCGESRSQGMYTMEQLMRASAELLGRGSVGITYKAVLDNQLIVTVKRLDAAKTAVTSEEAFENHMEIVGGLRHTNLVPIRAYFQSNGERLIIYDYHPNGSLFNLIHGSRSSRAKPLHWTSCLKIAEDVAQGLYYIHQTSSALVHGNLKSTNILLGQDFEACLTDYCLSVLTDSSSASPDDPDSSSYKAPEIRKSSRRPTSKCDVYSFGVLIFELLTGKNASRHPFMAPHDMLDWVRAMREEEEGTEDNRLGMMTETACLCRVTSPEQRPTMRQVIKMIQEIKESVMAEENDPFR >fgenesh2_kg.8__2698__AT5G67170.2 pep chromosome:v.1.0:8:22436369:22438720:-1 gene:fgenesh2_kg.8__2698__AT5G67170.2 transcript:fgenesh2_kg.8__2698__AT5G67170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQQKSKPKKQPHQQGKDCDLSQFRAQLDALGLKIIQVTADGNCFFRAIADQLEGNEDEHNKYRNMVVQYIVKNREMFEPFIEDDVPFEDYCKTMDDDGTWAGNMELQAASLVTRSNICIHRNMSPRWYIRNFEDTRTRMIHLSYHDGEHYNSVRSKEDAFGGPARPVVIEADAKVSAASKQAKATESKSKNKADKCNVDAGAIKVVMSGSCCDNAEKAEQVLVQVNGDVDAAIEFLIAEQGMESLNENDSEIASAADSTNPMEASDSSVESTEQSKEELNEKESASCTNNKTVHAKCRSQTDDKKIPRNKTCPCGSKKKYKSCCGTAAGRSSVKLLVSQTTESKKGRKNLRRGTLNEVEANVPDVGALCI >fgenesh2_kg.8__269__AT5G45290.2 pep chromosome:v.1.0:8:2074308:2077017:1 gene:fgenesh2_kg.8__269__AT5G45290.2 transcript:fgenesh2_kg.8__269__AT5G45290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MUR2] MGSGRSKSCLGSSLAAREVSDVAQPPPSSSSGGGRRRRSKSLFCGLHSSCLASSSTSHDSDDDNDEQVCNGWMRKSHGSVSRRRMETSRDASDCYDKELKDEKKSENETHCDELELEEEEEEEEEEANVSNVQRGNVSGSIQESSTPGRVFSHFKFIPGNIGSRLTRASSSRFFNTTYPVSSSRQEEGVTSPSESAIRLIDRVESPIIPVIDNVVRDIDAMRFGEDGSLRSPRVVNGMDSSYAGILDRRHVVREPSIDRNVRFSRTLSVGRLRDRVLRRSSLSDFAFRPSPHRGDEDTDLFSAETAAAEDAPVTSTSILNRSASSIRRTLFGIQDHETPAPLVREGRYQGLLEHRSDFLERRRRIRSQVRALQRLGSRFENVAVHHDRSCVLSGQDQAGRCTCRAVTNRGSTTATTDETNARASISRIVLLAEALFEVLDEIHQQSVVLSSQQPSVSSIGSVPAPNDVVDLLPIKLYTKSQSEDPSQCYICLVEYEEADTIRTLPCHHEFHKTCVDKWLKEIHSRVCPLCRGDICRHDPSLEQH >fgenesh2_kg.8__2700__AT5G67160.1 pep chromosome:v.1.0:8:22441133:22442501:1 gene:fgenesh2_kg.8__2700__AT5G67160.1 transcript:fgenesh2_kg.8__2700__AT5G67160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MKS9] MEEELVVISKSIVTPRNVKKPTSVKKIHLTPWDLSRLRFGYLQRGLLFPKPDPKQLQASLSVALDRFYPLAGRLVKLANDDDTVSFFISCDGSGVEFVHAMAKNIELSDVLQLSGSVPGVFASFFPATGIKNYHGVSRSLLMVQVTEMKDGVFIGFGYNSTVADATSIWKFINAWSEICSKDSGSETFQRRLHLKGWFFDRIDYPIHIPDPVTKATSYVTSTNLQEKMFHITKENVLKLEAKANDEADQKISSIQAVLAYIWRSMIKHSGMSQEEETHCRLPINMRQRLNPPLEEVCFGNVSQTGIATVTVGELLEHGLGWAAMQIKNMELSQTDEKAKEFAENWVKNIKIPVSVGSKDLVVTNSHRFDVYCNDFGWGKPIAARAGPPYLNGRLVVFKGIEQESLDFQACLLPQVVEKLLKDVEFNEYVCIV >fgenesh2_kg.8__2702__AT5G67140.1 pep chromosome:v.1.0:8:22446333:22447730:1 gene:fgenesh2_kg.8__2702__AT5G67140.1 transcript:fgenesh2_kg.8__2702__AT5G67140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MKT1] MDEEAAIDRLPLDLLAYIFSLVTSFTVLGQASGVCKKWRKAVNQSMARRESLSFAGWKMDDDSTSRLVHLAYNLKELDISRSRWGCHITDNGLYQIASARCVSNLNSVSLWGMTAITDSGVVQLISRTSSLQHLNIGGTFITDESLFAIAERCHHLKTIGMWCCRHVTERGLLVLVNKCGKLESINLWGTRVPVDCFIALLTISPALQIKPMELLLNAQNPPPLLHAV >fgenesh2_kg.8__2703__AT5G67130.1 pep chromosome:v.1.0:8:22447750:22450272:-1 gene:fgenesh2_kg.8__2703__AT5G67130.1 transcript:fgenesh2_kg.8__2703__AT5G67130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase C [Source:UniProtKB/TrEMBL;Acc:D7MKT2] MSACINGLCRAVTVSLLLLSIFFSFSSACSNGNCQLLDSCSSATDCVQGLYCGDCPAVGRSKPVCTRGQATIPTSIINGLPFNKYTWLMTHNAFSNANAPPLPGVERITFYNQEDTITNQLQNGVRGLMLDMYDFNNDIWLCHSLRGQCFNFTAFQPAINTLREVEAFLSQNPTEIVTIIIEDYVHRPKGLSTLFANAGLDKYWFPVSKMPRKGEDWPTVTDMVQENHRLLVFTSVAAKEDEEGVAYQWRYMVENESGDPGVKRGSCPNRKESQPLNSKSSSLFLMNYFPTYPVEKDACKEHSAPLAEMVGTCLKSGGNRMPNFLAVNFYMRSDGGGVFEILDRMNGPVLCGCETLAACQPGAAYGSCKNVTLQTRTPNMDSTAGSNSGGSYSGSVQFSRSVASVVYPPNTIVAFCFSWLPFLMFLL >fgenesh2_kg.8__2705__AT5G67110.1 pep chromosome:v.1.0:8:22455783:22457028:1 gene:fgenesh2_kg.8__2705__AT5G67110.1 transcript:fgenesh2_kg.8__2705__AT5G67110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSDVGDRLPPPSSSDELSSFLRQILSRTPTAQPSSPPKRNVSSAETFFPSVSGGAVSIAGYGVAQEKYAFENKRNGAKQRNSLKRTIDAQFHNLSEKRRRSKINEKMKALQKLIPNSNKTDKASMLDEAIEYLKQLQLQVQTLAVMNGLGLNPMRLPPVLPPTHTRINETLEQDMNLETLLAAPRSLEPPQATQEMCFSTATLL >fgenesh2_kg.8__2708__AT5G67090.1 pep chromosome:v.1.0:8:22468901:22471271:1 gene:fgenesh2_kg.8__2708__AT5G67090.1 transcript:fgenesh2_kg.8__2708__AT5G67090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MKT7] MLMTVVILLMISFYVAIAKAETSTYIIHMDLSAKPLPFSNHRNWFSTTLTSVITDRKPKIIYAYTDSVHGFSAVLTTLELQRLKHKPGYVSFTKDLPVKLHTTFSPQFIGLNSTSGTWPVSNYGDGTVIGIIDTGIWPDSPSFHDDGVGSVPSKWKGACEFNSSSLCNKKLIGARVFNKGLFANNPDLRETKIGQYSSPYDTIGHGTHVAAIAAGNHVKNASYFSYAQGTASGIAPHAHLAIYKAAWEEGIYSSDVIAAIDQAIRDGVDVISLSLGLSFEDGDDSDGFGLENDPIAVAAFAAIQKGVFVVASGGNDGPYYWSLINGAPWIMTVGAGTIGRQFQGTLTFGNRVSFNFPSLFPGDFPSVQFPVTYIESGSVENKTFANRIVVCNENVNIGSKLHQIKSTGAAAVVLITDKLLEEQDTIKFQFPVAFISSRHRETIESYASSNENNVTAKLEFRKTVIGTKPAPEVGTYSSRGPFTSFPQILKPDILAPGTLILSAWPPVKPVSGTQEQPLFSGFNLLTGTSMAAPHVAGVAALIKQVHPNWSPSAIKSAIMTTALTLDNPLAVGAGHVSTNRVLNPGLIYDTAPQDFINFLCHEAKQSRKLINIITRSNISDACKNPSPYLNYPSIIAYFTSDQNGPKIFQRTLTNVGEANRSYSVRERGLKGLNVVVEPKRLVFSEKNEKLSYTVRLESPRALQENVVYGLVSWIDEDEANFEISCSVVATSLVQES >fgenesh2_kg.8__2711__AT5G67060.1 pep chromosome:v.1.0:8:22479764:22480866:-1 gene:fgenesh2_kg.8__2711__AT5G67060.1 transcript:fgenesh2_kg.8__2711__AT5G67060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDIMNMMMHQMEKLPEFCNPNSSFFSPDHNNTHPFLFNSTHYHSDQAMTNEPGFRYGSGLLTNHSSIFPNTAYSSVLLDKRNNTSNNNNSTNMAAMREMIFRIAVMQPIHIDPEAVKPPKRRNVRISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKKQVQSLEEQAVVNGGGGRVLIGGGGMTAASGGGGGGGVVMKGCGTVGTHQMVGNAQILR >fgenesh2_kg.8__2713__AT5G67030.1 pep chromosome:v.1.0:8:22490735:22494585:1 gene:fgenesh2_kg.8__2713__AT5G67030.1 transcript:fgenesh2_kg.8__2713__AT5G67030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeaxanthin epoxidase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7MKU3] MGSTLFCYSINPSPSKLDFTRTHVVSPVAKQFYLDLSSFSGKSGGGLSGFRSRKTLVGVKAATALVEKEEKREAVTEKKKKSRVLVAGGGIGGLVFALAAKKKGFDVVVFEKDLSAIRGEGQYRGPIQIQSNALAALEAIDTDVAEQVMEAGCITGDRINGLVDGVSGSWYVKFDTFTPAASRGLPVTRVISRMTLQQILARAVGEDVIRNESNVVDFEDSGDKVTVVLENGQRYEGDLLVGADGIWSKVRNNLFGRSEATYSGYTCYTGIADFVPADIESVGYRVFLGHKQYFVSSDVGGGKMQWYAFHEEPAGGVDAPNGMKKRLFEIFDGWCDNVLDLLHATEEDAILRRDIYDRSPSFTWGKGRVTLLGDSIHAMQPNMGQGGCMAIEDSFQLALELEEAWKQSVGTNTPVDVVSSLKRYEESRRLRVAIIHGMARMAAIMASTYKAYLGVGLGPLSFLTKFRVPHPGRVGGRFFVDIAMPLMLDWVLGGNSEKLEGRPPSCRLTDKADDRLREWFEDDDALERTIKGEWYLIPHGEDCCVSETLCLTNDEDQPCIVGSEPDQDFPGMRIVIPSSQVSKMHARVIYKDGVFFLMDLRSEHGTYVTDNEGRRYRATPNFPARFRSSDIIEFGSDKKAAFRVKVIRKTPKSTRKNESNDKLLQTA >fgenesh2_kg.8__2715__AT5G67020.1 pep chromosome:v.1.0:8:22496950:22498322:-1 gene:fgenesh2_kg.8__2715__AT5G67020.1 transcript:fgenesh2_kg.8__2715__AT5G67020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMTGLTPAHAAGLRRLSARAAAPSTPMIRNSLQSFSPFADKVINHLKNSCIKIQPGLSDTEFARVEAEFGFTFPPDLRVILTAGLSVGPGFPDWRSPGARLHLRAMIDLPIAAVSFQIAKNSLWCKSWGFKPPDPVKALRVARNALRRAPLMIPIFDHCYIPCNPSLAGNPVFFIDETRIFCCGSDLSEFFERESAFRSSEFFPRILTKQRSVSEKSAGSSSNFSRRSLDSGRANGTGKSRWVEFWSDAAVDRCRRNSASSSSSSSSSPDLPKTETPKWVNQYVNRIGSILRGGGWSESDIDEIIHVSASGFFDGEMVFMDNQTVLDVLLLKAGRISESLRKSGWSSEEVSEALGFGFRPEKERKPVQKLSPVLVEQFEKLAEWVSRS >fgenesh2_kg.8__2718__AT5G66980.1 pep chromosome:v.1.0:8:22514653:22515897:-1 gene:fgenesh2_kg.8__2718__AT5G66980.1 transcript:fgenesh2_kg.8__2718__AT5G66980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein [Source:UniProtKB/TrEMBL;Acc:D7MLD4] MVEETAGKDLEFFKVFLPEFSSHELVIPPAFIDILDKELPKEAFLVDEIGRLWCVETKTEDTEERFCVLFKKGWESFANDQSLEFGDFLVFRYDGDSRFSVTIFAKDGCKKDIGVVTTTDRSRVSVDEKEPVDISTEPELRKDCGHRINQKRKRDSVNEDPRVMVNNKPDYVSTNKTKPEHREKTQRTVNRAGDPCDISWCPEKEHNGFEESVYKPKHPHFLRNITIASLQKLEIPLTFLRSNGIELEEEVGLCDENGKKWPLKIVNHGRGVKFSHESWLCFCKSHNMMLTHKCLFEFIVPSNGRCNEIQVRIVSGRLPTTMTRHKYQVLAM >fgenesh2_kg.8__271__AT5G45280.2 pep chromosome:v.1.0:8:2077127:2082072:-1 gene:fgenesh2_kg.8__271__AT5G45280.2 transcript:fgenesh2_kg.8__271__AT5G45280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:D7MUR3] MARLKQLWSSFLVLAVVVIGARAVPITYLESAVAKGAVCLDGSAPAYHFDKGSGSGANNWIVHMEGGGWCTDIATCVQRKSTMKGSSKLMNKDFGFSGILGGKQSTNPDFYNWNRIKVRYCDGSSFTGDIEAVDPTNKLFFRGARVWRAVIDDLMAKGMSNAQNAILSGCSAGALAAILHCDQFKSILPKTAKVKCVSDAGYFIHGKDITGGSYIQSYYAKVVATHGSAKSLPVSCTSSMKPELCFFPQYVAQTLQTPLFVINAAFDSWQIKNVLAPTSVDKSKAWKTCKLDLKKCTAAQLQTVQGYRDQVLAALAPVRSDTTSGLFLDSCHAHCQGGSAATWSGDGGPTVANTKMAKAVGDWFFERSTFQNIDCSSLNCNPTCPAVSTED >fgenesh2_kg.8__2720__AT5G66950.1 pep chromosome:v.1.0:8:22522068:22525329:-1 gene:fgenesh2_kg.8__2720__AT5G66950.1 transcript:fgenesh2_kg.8__2720__AT5G66950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISLWKPIYHCAAALVLDKKSSGSSSSSRNRDVTQRKLHESKLREALEQASEDGLLVKSQDMEEEDESQDQSLGRSRSLARLNAQREFLRATSLAAQRAFESEETLPELEEALDMFLTMYPKYQSSEKVDELRNDEYFHLSLPKVCLDYCGFGLFSYLQTVHYWDTCTFSLSEISANLSNHAIYGGAEKGSIEHDIKIRIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDHESQSVSWMGQCAKEKGAKVGSAWFKWPTLRLCSMDLKKEILSKKKRKKDSATGLFVFPVQSRVTGSKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVISCLQSQSGKTSSGIVKITPEYPLYLSDSMDGLEGLTGIQDNDIAINGDNKALGTQLPAFSGAYTSAQVQDVFETDMDHEIGSDRDNTGTVFEETESISVGELIKSPVFSEDESSDSSLWIDLGQSPADSDNAGPRNKQKSPLLVPKNHKRRISPKPASKANNGSNGGRHVLSFDAAVLSVSHEVGDEVSEEEKTEMNQIDTSHRLRVNEIEEEEEEGGSSKLTANANGNGSSSGIKESAIRRETEGEFRLLGRREKSQYNGGRLLVNEDEHPSKRRVSFRSVDHGEASVISLGDEDEEEDGSNGVEWDDDQREPEIVCRHIDHVNMLGLNKTTSRLRYLINWLVTSLLQLRLPKSDSDGDHKNLVQIYGPKIKYERGSSVAFNVRDLKSGMVHPEIVQKLAEREGISLGIGYLSHIKIIDNRSEDSSSWKPVDREGRNNGSIRVEVVTASLGFLTNFEDVYRLWNFVAKFLSPGFAKQGTLPTVIEEDDSSET >fgenesh2_kg.8__2722__AT5G66930.2 pep chromosome:v.1.0:8:22531148:22533313:-1 gene:fgenesh2_kg.8__2722__AT5G66930.2 transcript:fgenesh2_kg.8__2722__AT5G66930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEVCQLKELEVESFEIREVLRCILHTIVFHRALGLIRPKDIDLELFEITYVQCGEIEVEKKIDEKIEQFINWIEKHPNKKSQICLSFYEVKSKQPSWFTNKIERLYWEQWYINLNVLQPAKPPVGKSHHSKLVMDPGEASEERSSRRTLLEQSLQEVLFQIIKFVNEKKDHVPPINDGVIYCPFEITIPSSSDSAFGMDMFKRILHSGHPSMLG >fgenesh2_kg.8__2726__AT5G66900.1 pep chromosome:v.1.0:8:22545035:22547851:1 gene:fgenesh2_kg.8__2726__AT5G66900.1 transcript:fgenesh2_kg.8__2726__AT5G66900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDWASLGLGSIGGAVFSELLKLVIEEAKKVKAFKPLSKDLVSTMERLFPLTQKIDSMQKELDFGVKELKELRDTIERAGVAVRKFPSVKWYEKSKYTRKIERINEDMLKFCQIDLQLLQHRNQLTLLGLTGNLVNSVDGLSKRMDLLSVHAPVFRDLCSVPKLDKVIVGLDWPLGELKKRLLDNSVVSLVVSAPPGCGKTTLVSRLCDDPDIKGKFKHIFFSVVSSTPNFKVIVQNLLQHNGYEALTFENDSQAELGLRKLLEELKENGPILVVLDDVWRGAESLLQKFQIKLPDYKILVTSRFDFPSFGSSYHLKPLEDEDARSLLIHWASRPSNASPDEYEDLLKKILKRCNGFPIVIEVVGVSLKGQSLNTWKGQVESWSEGERILGNPHPTVLECLQPSFDALETNLKECFSDMGSFLEEQKIRASVIIDMWVELYGKGSSILYMKYLEDLASQNLLKLVPLGRNEHEDGFYNDFLVTQHDILRELAIRQSELTENIERKRLNLEIRENTFPDWCLNTISASLLSISTDDLFSSNWLEMDCPNVEALVLNLSSPDYELPSFIAGMKKLKVLTITNHGFYPARLSNFSCLSSLPNLKRIRLEKVSVTLLDIPRLQLGSLKKLSLVMCSFGEVFYETEEIDVSKALSNLQEIDIDYCYDLDELPYWVSEVVSLKTLSITNCNKLSTLPEDMGNLSKLEMLRLCSCNNLSELPEATERLSNLRFLDISHCLGLRKLPQEIGKLEKLKKIWMRKYSGCKLPDSVTNLENLEVKCDEETGSLWEMLKPNMINLRVHKEETEHNLNWLQTF >fgenesh2_kg.8__2729__AT5G66870.1 pep chromosome:v.1.0:8:22554597:22557526:-1 gene:fgenesh2_kg.8__2729__AT5G66870.1 transcript:fgenesh2_kg.8__2729__AT5G66870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MLW9] MASSSSPCAACKFLRRKCTQECVFAPYFPPDQPQKFAYVHKVFGASNVAKLLNELASNHREDAVNSLFYEAEARLRDPVYGCVGLISILQHRLKQLQQDLENAKKELATYVGPQAMLPILQPPQPHFMSPPPQPQRPSSSSASVLTQHQHNLLPMMGIPTGQLYHQQQQQIFEAQQLAAVAREQQNEMFRAYGGGGSSSPHHQNQAQAEILRFNNGFDSVPAGSVTVTGFNQLSSGGTAVTGMSLGGNFVDSPSTNNNYHTDQQLHHHHQQQQHHEAQLFIPTQSSQPLPLQTQETQTLTQPNSESEEG >fgenesh2_kg.8__2731__AT5G66850.1 pep chromosome:v.1.0:8:22565259:22568907:1 gene:fgenesh2_kg.8__2731__AT5G66850.1 transcript:fgenesh2_kg.8__2731__AT5G66850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLPQISFSSPSSSPSSSLKPVASYSESADPDRNQDRDRFHRRLFRFNRGRLTRQRKLRHLTDDDVLLGERRASTSSSTFDSGLTRSPSAFTAVPRSPSAVPLPLPLPLPEVAGNRNAGNGRGLDERDRDPERVIADRTSSGPPLTSVNGGFARDSRKATENSSYQDFSPRNRNGYWVNIPTMSAPTSPYMSPVPSPQRKSTGQDLPFFYLPPKSNQAWSAPDMPLDTSGLPPPAFYDITAFSTDNSPIHSPQPRSPRRQIRSPQPSRPSSPLHSILSPDSSAPPRDSVSSPLHPRLSTDVTNGRRDSCNVHPLPLPPGAACPSSSAASLPSPQAPLKLDSFPMNSQWKKGKLIGRGTFGSVYVASNSETGALCAMKEVELFPDDPKSAECIKQLEQEIKLLSNLQHPNIVQYFGSETVEDRFFIYLEYVHPGSINKYIRDHCGTMTESVVRNFTRHILSGLAYLHNKKTVHRDIKGANLLVDASGVVKLADFGMAKHLTGQRADLSLKGSPYWMAPELMQAVMQKDSNPDLAFAVDIWSLGCTIIEMFTGKPPWSEFEGAAAMFKVMRDSPPIPESMSPEGKDFLRLCFQRNPAERPTASMLLEHRFLKNSLQPTSPSNNDVSNCSQLFNGMNITEPSSRREKPNFKLDQVPRARNVTSSESESGQQQQQQQYRSPDLTGTTVNRLSPRSTLEAIPSPSPSQRPKPSTDRRRTCVSSDHL >fgenesh2_kg.8__2735__AT5G66810.1 pep chromosome:v.1.0:8:22593516:22597052:1 gene:fgenesh2_kg.8__2735__AT5G66810.1 transcript:fgenesh2_kg.8__2735__AT5G66810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGNCYVGLDFLLLTKQNFTKSDRRSHTQDRGAGRFSSPTREEPRMRETTMDSTPVNWEALDALIIDFVSSENLVEDDAAAANSSPSPLSSPSSSCSPSISSSSYHSRLIIRRIRNSIESGDIETAIDILRSHAPFVLDDHRILFRLQKQKFIELLRKGTHEAAIGCLRTCVAPCALDAYPEAYEEFKHVLLALIYDKSDQTSPVAHEWAEKRRYEMAGLMSSVLRASLQAYDPLFSMTLRYLISIHKGFCFHQGISSAVSDLTHRLLLEERDAPAPPLESMYEVPPFDEVDIQALAHAVELTRQGAVDSMKFAKGDLFQAFQNELCRMRLDVSVLDELVKEYCIYRGIVDSETQMITGPAKRNQSEVGRSLSRDCSSEIDLNTSQHSDIENYSNKIMLDGSLTFDTDMSCEQGGDDGTRYGSEPTSVCEDCSTSWSNQCENTRALLRIRSHMNSEGNKRKRWCGRTAEMDCLPRLSFAKSESGINPIEDKYEIALALKELVSRGMAAEAVYEISTMDPDFFTQNPGLLFHLKQVEFLKLVSAGDHNGALKVACSHLGPLAANDQSLLKTLKETLLVLLQPDGTTPGKDLPLNDLANMLQVSVGNRLGIEEPKLMKIIKATLHTHTEWFKLQMCKDRFNNLLKIDSLKEVNTDLIRAIKSRSKKDSNTNLSSQVTTTSSSTMTSEDGGSSSLMMMTQTSPREALWEESAILKVMEFLAMPRSDAIQLLSQYNGDAEAVIQQLFG >fgenesh2_kg.8__2736__AT5G66800.1 pep chromosome:v.1.0:8:22597351:22598370:-1 gene:fgenesh2_kg.8__2736__AT5G66800.1 transcript:fgenesh2_kg.8__2736__AT5G66800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLEMYNSNGGGTPMSPRISFSNDFVEIKPEKTKTTRSSPLSKQEGSSSDNFEFSVSNYTMMPADELFSKGKLLPFKETNQVQRTLREELLVEEDEEEGPRDATNIFSLKPPIFSSSSSSSKGRWKGLLGLKRAHIGSKNNEERFVHMINNNKQSQEAMGGREGSSCREMKKSM >fgenesh2_kg.8__2738__AT5G66780.1 pep chromosome:v.1.0:8:22607845:22608653:-1 gene:fgenesh2_kg.8__2738__AT5G66780.1 transcript:fgenesh2_kg.8__2738__AT5G66780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINALKSIRSLPSNTVYFFSPPSRLGRKVTGVSFATASDDQQKMDKKPENPNEKTGDVMSHSYGEGYATRCDEEGFGGTYGGNQTLQKENEIHENHPDYDKTQGSEAKEKEKARNQTQSHC >fgenesh2_kg.8__2739__AT5G66770.1 pep chromosome:v.1.0:8:22609340:22611563:-1 gene:fgenesh2_kg.8__2739__AT5G66770.1 transcript:fgenesh2_kg.8__2739__AT5G66770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MLY4] MAYMCTDSGNLMAIAQQVIKQKQQQHHHHHHHHQDHQLFGINPLSLNPWPNTSLAFGLSGSAFPDPFQVSPGGDSNDPAFPFPNLDHHHATSAGGGFRLSDFGGGTGGGEFESDEWMETLISGGDAPDCDTWHNNPDYVIYGPDPFDTYPSRLSVQPSDLNTSSPLPHPPPTLWPPSSPLSIPPLPHESPTKEDPETNDSEDDDFDLEPPLLRAIYDCARISESDPNEASKTLVQIRESVSELGDPTERVGFYFTEALSNRLSPDSPATSSSSSTEDLILSYKTLNDACPYSKFAHLTANQAILEATENSNKIHIVDFGIVQGIQWPALLQALATRSSGKPTQIRVSGIPAPSLGDSPEPSLIATGNRLRDFAKVLDLNFDFIPILTPIHLLNGSSFRVDPDEVLAVNFMLQLYKLLDETPTIVDTALRLAKSLNPRVVTLGEYEVSLNRVGFANRVKNALQFYSAVFESLEANLGRDSEERVRVERELFGRRISGLIGPEKTGIHRERMEEKEQWRVLMENAGFESVKLSNYAVSQAKILLWNYNYSNLYSFVESKPGFISLA >fgenesh2_kg.8__2740__AT5G66760.1 pep chromosome:v.1.0:8:22617220:22621078:-1 gene:fgenesh2_kg.8__2740__AT5G66760.1 transcript:fgenesh2_kg.8__2740__AT5G66760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase [ubiquinone] flavoprotein subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7MLY6] MWRCVSRGFRAPSSKTSSLFDGVSGSRFSRFFSTGSTDTRSSYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMSEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEEGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMASDGSCQGVIALNMEDGTLHRFRSSQTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPHKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTIKGDNPDAVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEISKPGEKQKPLEKDAGEKTIAWLDRLRNSNGSLPTSSIRLNMQRVMQNNAAVFRTQETLEEGCQLIDKAWESFGDVQVKDRSMIWNSDLIETLELENLLINASITMHSAEARKESRGAHAREDFTKREDGEWMKHTLGYWEDEKVRLEYRPVHMDTLDDEIDTFPPKARVY >fgenesh2_kg.8__2741__AT5G66750.1 pep chromosome:v.1.0:8:22621665:22625844:-1 gene:fgenesh2_kg.8__2741__AT5G66750.1 transcript:fgenesh2_kg.8__2741__AT5G66750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRSKKFIPASEMVSDGKTEKDASGDSPTSVLNEEENCKEKSVTVVEEEILLAKNGDSSLISEAMAQEEEKLLKIREDEEKANNAGSAVAPDLNETQFTKLDELLTQTQLYSEFLLEKMEDITINGIEGESQKAEPEKTGRGRKRKAASQYNNTKAKRAVAAMISRSKEDGDSTNSDLTEEERVMKEQGELCPLLTGGQLKSYQLKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLSHLKGNGLDGPYLVIAPLSTLSNWFNEIARFTPSINAIIYHGDKKQRDELRRKHMPKTVGSKFPIVITSYEVAMNDAKRNLRHYPWKYVVIDEGHRLKNHKCKLLRELKHLKMDNKLLLTGTPLQNNLSELWSLLNFILPDIFTSHDEFESWFDFSEKNKNEATKEEEEKRRAQVVSKLHGILRPFILRRMKCDVELSLPRKKEIIMYATMTDHQKKFQEHLVNHTLEAHLGENAIRGQGWKGKLNNLMVQLRKNCNHPDLLQGQIDGSYLYPPVEEIVGQCGKFRLLERLLVRLFANNHKVLIFSQWTKLLDIMDYYFSEKGFEVCRIDGNVKLDERRRQIKDFSDEKSSCSIFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLSTAQSVETRVLKRAYSKLKLEHVVIGKGQFHQERAKSSTPLEEEDILALLKEDETAEDKLIQTDISDEDLDRLLDRSDLTITAPGETQADEAFPVKGPGWEVVLPSSGGMLSSLNS >fgenesh2_kg.8__2743__AT5G66730.1 pep chromosome:v.1.0:8:22638679:22641393:1 gene:fgenesh2_kg.8__2743__AT5G66730.1 transcript:fgenesh2_kg.8__2743__AT5G66730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDLDNSSTVSGDASVSSTGNQNQTPKSVGKKKRNLPGMPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEVRKKVYVCPVSGCVHHDPSRALGDLTGIKKHFCRKHGEKKFKCEKCSKKYAVQSDWKAHSKICGTKEYKCDCGTLFSRRDSFITHRAFCDALAEESAKNHTQSKKLYPETVKRKNPEIEQKSPPVVESSPSLPPSSPPSVALAPAPAISVESEPVKIISSSVLPIQNSPESPENNNHPEVIIEEASRTIGFNVSSSDLSNDHSKYAGLFVSSTASPSLYASSTASPSLFAPSSSLEPISLRLSTNPSLFGPTIQDPPHFLTPLPPQPAMSATALLQKAAQMGSTGSGGSLLRGLGIVSTTSSSMELSNHDALSLAPGLGLGLPCSSGGSGSGLKELMMGNSSVFGPKQTTLDFLGLGRAVGNGGNTGGGLSALLTSIGGGGGIDLFGSGEFSSKDIGRSS >fgenesh2_kg.8__2746__AT5G66710.1 pep chromosome:v.1.0:8:22644544:22646803:-1 gene:fgenesh2_kg.8__2746__AT5G66710.1 transcript:fgenesh2_kg.8__2746__AT5G66710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARGYQRAPSMEEPTEIPTDKTLHPNYPFLMSSHGLKSFESDDDDDDSNLESNDQFAFSISTDLLVDVKDVSIEEVIGEGSSSIVYKGLFMRFIPVSVKIFQPKRTSAVSIEQKKKFQREVLLLSKFKHENIVQFIGACIEPKLMIITELMEGNTLQKFMLSTRPKPLDLKLSISFALDIARGMEFLNANGIIHRDLKPSNMLLTGDQKRVKLADFGLAREETKGFMTCEAGTYRWMAPELFSYDPLQIGEKKHYDHKVDVYSFAIVFWELLTNKTPFKGKNNIFVAYAASKNQRPSVENLPEGVASILQSCWAEDPDARPEFKEITVSLTNLLRSLSSDTDATSSNSKTNIATEDSTSSLVQERVVCDCPGLKMTKTKKLKKKTNKVMNMIVPFLRIFKSCMSK >fgenesh2_kg.8__2748__AT5G26310.1 pep chromosome:v.1.0:8:22661087:22662703:-1 gene:fgenesh2_kg.8__2748__AT5G26310.1 transcript:fgenesh2_kg.8__2748__AT5G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MME1] MHIAKPHAAMFSSPGMGHVIPVIELAKRLSANHGFHVTVFVLETDAASAQSKFLNSTGVDIVNLPSPDISGLVDPDDHVVTKIGVIMREAVPALRSKIASMHQKPTALIIDLFGTDALCLGTELNMLTYLFIASNARYLGVSIYYPTLDKDIKEEHTVQRKPLAVPGCEPVKFEDTMDAYLVPDEPVYRDLVRHCLAYPKADGILVNTWEEMEPKSLKSLQDPKLLGRVARVPVYPVGPLCRPIQSSKTDHPVFDWLNEQPNESVLYISFGSGGSLTAKQLTELAWGLEHSQQRFVWVVRPPVDGSSCSEYFSANGGETKDNTPEYLPEGFVTRTCDRGFVIPSWAPQAEILAHQAVGGFLTHCGWSSTLESVLGGVPMIAWPLFAEQNMNAALLSDELGIAVRVDDPKEAISRSKIEAMVRKVMAEKEGEEMRRKVKKLRDTAEMSLSIDGGGSAHESLCRVTKECQRFLERVRELARGA >fgenesh2_kg.8__274__AT5G45275.1 pep chromosome:v.1.0:8:2122097:2124959:1 gene:fgenesh2_kg.8__274__AT5G45275.1 transcript:fgenesh2_kg.8__274__AT5G45275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSRKWMILVATIWIQAFTGTNFDFSTYSSNLKSVLGISQVQLNYLAVASDLGKVFGWSSGLALLYFPLWTVLFAAAIMGFVGYGVQWLVITNVISLPYILVFLCCLLAGLSICWFNTVCFVLCIRNFPANRSLALSLTVSFNGVSAALYTLAYNAINPVSTELYLLLNALVPLFVSFAALIPILRQPPLEPLPPDGVRRDSLMFLLLNILAVLNGVYLLLFRSKTSDVTSARLLFGGSLLLLILPLCLPGLVYARNWYLHNIHSSFRLEGSGFILVDVDELEMHKGMVTREASLEGYQLLNDDVVRAVNTPDQKSFIEDDDGCCCTKLITRNQLGMLGEEHPLSLLLCRSDFWLYYIAYFCGGTIGLVYSNNLGQIAQSLGQSSETTTLVTLYSSFSFFGRLLSATPDYIRAKVYFARTGWLAVALLPTTIALFLLASSGSLAALQAGTALIGLSSGFIFAAAVSITSELFGPNSVGVNHNILITNIPIGSLVYGFLAALVYESHSVAGSKTESVICMGRDCYLLTFVWWGCLSVIGLASSVVLFLRTRRAYQRFEQDRITSSMLYS >fgenesh2_kg.8__2750__AT5G66675.1 pep chromosome:v.1.0:8:22674052:22675940:1 gene:fgenesh2_kg.8__2750__AT5G66675.1 transcript:fgenesh2_kg.8__2750__AT5G66675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKSNSSRNSTPPPLQQIRTDMGSLYSADLTAYKSACREDPDLQSFDSSLHQRTNRVISSLASGAETRSLSFDALIEVSGCLLEMNQEVVRFIIESKEDAWDNKDLTCLVNAYFDSSIKTLDFCNAVDNCVKRARIGQMLLQFALKQFEMESSGNNKSVEPGTTNKYAKTLEELNKFKASADPFDGDFFMLFESVYEQQVMLLEILHKQKRKLDKKLKNIKHWKKISNVVFVTAFVSVLIFSVVAAAVAAPPVVTAVAAALAVPVGSIGKWCNHLWKKYETAVKGQKDIVLSMRIGAYVTMKDMDNIRVLIDKLKIEMESMMQKVDFALKEKEEEVAVRLSMHEISKKFDVFTERIEEVGENATKCSKNITLARTIVLRHILSFPSSSDSEQGNLIETITL >fgenesh2_kg.8__2754__AT5G66650.1 pep chromosome:v.1.0:8:22684198:22685574:1 gene:fgenesh2_kg.8__2754__AT5G66650.1 transcript:fgenesh2_kg.8__2754__AT5G66650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKSLVQSLFNISKTYSRISGLTRMRPTKSGIPPDAGDSGIRRRFLHKRAFFSPELVPKGGNLMEKLRELSLSNNNRIRLDEMLPPPSPAKSSPGFFPAVTVEDVKKLMRAAEMEMVKSRLREIGKNWVPYSEFVRVCGENSSDPEQGNRVANMLDEAGNVIVLGKFVCLKPEELTSAMAGLIPTLEPTLDAATRQEFEQLEIIKSDIDKRANDLVRKELWAGLGLIMAQTIGFFRLTFWELSWDVMEPICFYVTSTYFMAGYAFFLRTSKEPSFEGFYKSRFETKQKRLIKMLDFDIDRYTKLQKMHRPDFTKSGRC >fgenesh2_kg.8__2755__AT5G66640.1 pep chromosome:v.1.0:8:22690446:22692614:-1 gene:fgenesh2_kg.8__2755__AT5G66640.1 transcript:fgenesh2_kg.8__2755__AT5G66640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRKIQEEAEKIEIERVKEESLKQAKQEEEKRRSEELNKEQGKRKQENDDQLSNTSKDKGQINHSKDDVEKVVNPPPRIDGKSVIEDGISVNPRSLCCFHCHRPFALHEILKKGRFHIDCYKEYRNRNCYVCQQKIPFNTEGIREYNEHSFWKEKYCPRHDDDGTAKCCSCERLEPRGTNYVMLGDFRWLCLECMGSAVMDTNEVQPLHFEIREFFEGLFFKVDKEFSLLLVEKQALNKAEEEEKIDYHRAAVTRGLCMSEEQIVPSIIEGPRMGPDNQLITDIVTESQKVSGCEVTGILIIYGLPRLLTGYILAHEMMHAWLRLNGYRNLKLELEEGLCQALGLRWLESQTFASTDAAASVASSSSSPAPPAATTSKKSSDDWSIFENKFVDFCMNQIKEDDSPVYGLGFKQVYEMMVSNNYSIKDTLKEIVSASNTTPDSTF >fgenesh2_kg.8__2758__AT5G66610.1 pep chromosome:v.1.0:8:22701279:22703947:-1 gene:fgenesh2_kg.8__2758__AT5G66610.1 transcript:fgenesh2_kg.8__2758__AT5G66610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MMF1] VGQIGEEVGFRGCGVCPAFNVLPLNMIPLRIVLKQKLILLQLFHCMKMSFYVREDDQIEWAIQDSFKPQETTSCRQRQREEDDQIARGLQYVEETELDKSVIVDESLKEKGKRKQQSGDDQVEIDEQHALIVQESLYMVEPPPRLEEDKNMPPIPPLNEDEQLQKVIWESSKGKGQIEHSKDPVEEDEILPRPYLNVNHPRSICRGCNSAIEYGRSVNALGVNWHPECFCCLYCDKPIAMHELLNTKGRCHITCYKQRHPNCYVCKKKFPSTEEGREYKQHSFWKEKYCPFHEVDGTPKCCSCERLEPWGTKYVMLTDNWWLCVKCMECAVMDTYECQPLQFEIREFFESLNMKVEKEFPLLLVEKEALNKAEVQEKIDNQHGTVTRGICLSEEQTVNNVLKRPNMGPNNELVGMVTESQRVIGGCEVIAILIIYGLPRLLTGYILAHEMMHAWLRLNETLRNLSKLKESEEGICQVLGHMWLESQTYSTSAAASSASSSSSSTPAASATKKVCKNQIETDDSPVYGVGFKKVNQMVSDSSLHKILKSIQHSKKPDSNL >fgenesh2_kg.8__2759__AT5G66600.1 pep chromosome:v.1.0:8:22708378:22712225:1 gene:fgenesh2_kg.8__2759__AT5G66600.1 transcript:fgenesh2_kg.8__2759__AT5G66600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVGGGMLDLRIVQNHKRSKSASFPEKKRVEGDKTSNSSHEASQRMKLDMGRSNESKRNQYNSNTETSLKQEITQLETRLEDQFKIRCALEKALSYRTASSYVLTETNHIAMPKTATDLIKDVAVLEMEVIHLEQYLLSLYRKAFEQQISSVSPNSESKKPKSPPVTTPRRRLDFSEDDDTPSKTNQHTDPLLDDNQNQSKKTEIPAVDQDQMDPNFRRSHSQRSAFESRKASPEDSWSKAIRSCHSQPLYVQNGEILISLAEHLGTRISDHVPETPNKLSEGMVKCMSEIYCKLAEPPTVLHRGLSSPNSSLSSSAFSPSDQYDTSSPGFGNNSFFDVQLDNSFHVEGEKNFSGPYSSIVEVLCIYRDAKKASEVEDLLQNFKSLISRLEEVDPRKLKHEEKLAFWINVHNALVMHAFLAYGIPQNNVKRVLLLLKAAYNVGGYTVSAEAIQSSILGCKMSHPGQWLRLLFASRKFKAGDGRLAYAIDHPEPLLHFALTSGSHSDPAVRVYTPKRIQQELETSKEEYIRMNLSIHKQRILLPKLVETFSKDSGLCPTGLTEMVNRSIPESSRKCLKRCQSSSKPRKPIDWIPHSFTFRYLILREAAK >fgenesh2_kg.8__2762__AT5G66590.1 pep chromosome:v.1.0:8:22712377:22713046:-1 gene:fgenesh2_kg.8__2762__AT5G66590.1 transcript:fgenesh2_kg.8__2762__AT5G66590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHHIIFVALLVISVRAVSSAAKLEPKQTVPTSPAISTAAKAFTDAHNKARAMVGVSPLVWSQTLEAAASRLARYQRNQKKCEFASLNPGKYGANQLWAKGLVAVTPSLAVETWVKEKPFYNYKSDTCAVNHTCGVYKQVVWRNSKELGCAQATCTKESTVLTICFYNPPGNIIGQKPY >fgenesh2_kg.8__2763__AT5G66580.1 pep chromosome:v.1.0:8:22713789:22714557:-1 gene:fgenesh2_kg.8__2763__AT5G66580.1 transcript:fgenesh2_kg.8__2763__AT5G66580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSRESLRSDSAKLILLDGTLQEFSSPVKVWQILQKNPTSFVCNSDEMDFDDAVSAVAGNEELRSGQLYFVLPLTWLNHPLRAEEMAALAVKASLALTKSGGVGWVSCDEDVAISENTYQKKNIVGVKSNGGGGRGCGKGKRRFTANLSTIAE >fgenesh2_kg.8__2765__AT5G66560.1 pep chromosome:v.1.0:8:22720258:22722682:-1 gene:fgenesh2_kg.8__2765__AT5G66560.1 transcript:fgenesh2_kg.8__2765__AT5G66560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:UniProtKB/TrEMBL;Acc:D7MMF7] MASEKSSSKGQAWFCTTGLPSDIEIEVDDMTFHLHKFPLMSKSRKLHRLITEQETRSCSMALITETDPKVEESDKKGKGHEIEDDEEKEEEVDEEQEIEENGYPHIKLEDFPGSSESFEMVAKFCYGVKMDLSASTVVPLRCAAEHLEMTEEYSPDNLISKTEMFLSHSVYKSLRESIKALKACESVSTLAGSLGITEQCIESIVSRASSADPSLFGWPVNDGATDLQLIPGGAAKSRKKQSRDSNMELWFEDLTQLSLPIFKTVILSMKSGDLSSDIIESCLICYAKKHIPGILRSNRKPPSSSSTAVSENEQRELLETITSNLPLDKSSISSTTRFLFGLLRTAIILNASETCRDLLERKIGTQLERATLDDLLVPSYSYLNETLYDVDLVERILGHFLDTLEQSNTAVIEADGKSPSLMLVGKLIDGFLAEIASDANLKSDKFFNLAISLPDQARLYDDGLYRAVDVYLKAHPWRDVGSVHTRRAKRAASATSRRTSSLLRAVTAPACDRRDVISSSISVSVRNKKSDDNGNREGQVDAGKWKKTVRENQVLRLDMDTMRTRVHRLERECSNMKKVIAKIDKDGSSPATTTDRPRSWSITKKFGCKFKTQVCDSHEATMVDHRSRRS >fgenesh2_kg.8__2767__AT5G66540.1 pep chromosome:v.1.0:8:22727639:22730744:1 gene:fgenesh2_kg.8__2767__AT5G66540.1 transcript:fgenesh2_kg.8__2767__AT5G66540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKDSGIEALEKLKSTEPPVFLAPSSISEVARAVSQYLFTKLKPHDPKSQLDQLWVDGFDTEQIWQQIDMQSQHLLPSLRQEVNRFVKNPEEIRKLANLSLKVSHEDDVDEMDMDGSDSDEDNELEASESEGEEEEDDEEEEEEEEDEEEEEEEEDDDGDNEGIEDKFFKIKELEEFLKEGEAQEYGNDYKNKKGVAKRNKQNFSDDEDEEDDEDEDEDDEFDAFAGGDDEEADKLGRARYDDFFGGKKKETKMKLKDLSEDEEAERENQGNEKLSTHEKARLKLQSKIEQMEKANLDPKHWTMQGEITAAKRPMNSALEVDLDFEHNARPAPVITEEVTASLEDLIKSRIIEARFDDVQRAPNLPTKGKREAKELDDSKSKKGLAEVYEAEYVQKANPAFAPITLSDELKKEASMLFKKLCLKLDALSHFHFTPKPVIEEMSIQNVPAIAMEEVAPVAVSDAAMLAPEEIFSGKGDIKDESELTQEERKRRRAKKKRKFKAESANEPAKKARDTSTKNP >fgenesh2_kg.8__276__AT5G45230.1 pep chromosome:v.1.0:8:2305971:2311690:1 gene:fgenesh2_kg.8__276__AT5G45230.1 transcript:fgenesh2_kg.8__276__AT5G45230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MKA3] MVTASDVKVGPEVFINFRGVELRKTFISHLHTRLRRDGINAFIDSDEAPGRELKNLFKRIEDSKIALAVLSSRYTESHWCLQELVKMMECSPKGEGCNNKLLVIPIFYKLKISTVAELDGDFGRNLWDLWRLPGRGRDRDNRIVKWNEALQDVLSRNALVLPETGKEDDFLSTIVAHVKNALSQITPQRGQNPKPQKGGGGGGNPKPQKFLSRASNITEPEDQRLKQLEVKLNVECNDNETRIVGVVGMPGIGKTYLARKLFVKLKKKINHCVFIEFEREKSEEQGSEWLEKRLVESLLDIKNCTDTNALVVWKDSLINKKVTIVLDNVSEKKHWIKKGSKIVITTRDKSLTEGLVSDLYEVPGLNERDGLELFRAQACCTLDGNFMELSRKFVDYAGGNPLALEQFGKELRGKDVVHWETRLGTLAQCSNPTIREKLRSSYDELNELQKDAFLDIAYFFRSQDESYVRSLLDSCDPESAESGHEFRDLADKFLIGVCDGRVEMHDLLFTMAKELVEATADKSRLLLSNCAELRNKELSLDQQGRDKVRGIVLDMSKMDETPLKREVFVGMSSLRYLKVYNSLCPPHSETECKLNLPDGLEFPKDNAVRYLHWVKFPGTELPSDFDPNNLIDLKLPYSNIITVWICTKVAPNLKWVDLSHSSNLNSLMGLLKAPNLLRLNLEGCTSLKELPDEMKEMTNLVFLNLRGCTSLLSLPKITMDSLKTLILSGCSKLQTFDVISEHLESLYLNGTSINGLPPAIGNLHRLILLNLKDCKNLATLPDCLWELKSLQELKLSRCSELKMFPDVKKKVESLRVLLLDGTSIAEMPGNIFDFSLLRRLCLSRNDNIRTLRFDMGQMFHLKWLELKWCKNLTSLPILPPNLQCLNAHGCTSLRTVASPQTLPTPTEQIHSTFIFTNCHELEQVSKNAIISYVQKKSKLMSADRYNPDFVFKSLIGTCFPGCEIPAWFNHQSLGSVLTLELPQDWNAAGKIIGIALCVVVSFKEYRDQNNSLQVKCTWEFTNVSLSPESFMVGGWSEPGEETHTVESDHTFISYTSLLTIKNRQQFPSATEISLGFQVTNGTSEVEKCKVIKCGFSLVYEPNEANNTSWKETPRMEDNRQDRRSSFKTGEGDDCPSGTPTTADSNKGNGFLSSFWRDISIKKKGSLKIANQIDVGMTYEEVHPNEVSE >fgenesh2_kg.8__2771__AT5G66510.2 pep chromosome:v.1.0:8:22735550:22737450:1 gene:fgenesh2_kg.8__2771__AT5G66510.2 transcript:fgenesh2_kg.8__2771__AT5G66510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma CA3 [Source:UniProtKB/TrEMBL;Acc:D7MMG2] MGTMGKAFYNVGFWIRETGQALDRLGCRLQGKNHFREQLSRHRTLMNVFDKAPSVDKGAFVAPNASITGDVHVGRGSSIWYGCVLRDIPIDLTIYSAGDANSISVGAGTNIQDNALVHVAKTNLSGKVLPTVIGDNVTIGHSAVLHGCTVEDEAYIGTSATVLDGAHVEKHAMVASGALVRQNTRIPSGEVWGGNPAKFLRKVTEEERVFFSSSAVEYSNLAQVHATENAKNLDEAEFKKLLNKKNARDTEYDSVLDDLTLPENVPKAA >fgenesh2_kg.8__2772__AT5G66500.1 pep chromosome:v.1.0:8:22737547:22739228:1 gene:fgenesh2_kg.8__2772__AT5G66500.1 transcript:fgenesh2_kg.8__2772__AT5G66500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MMG3] MFACLPIGGFIRLGDVIGKSTNLVLRYVFIRNFVTHADHLFDELPQRDLSSLNSQLSSYLRSGNPNGTLALFLEMHRASPDLSSHTFTPVLGACALLSYPETGRQVHALMIKQGAETGTISKTALINMYSKYGHLVDSVRVFESVEEKDVVSWNALLSGFLRNGKGKEALGVFAAMCRERVEISEFTLSSVVKTCASLKILQQGKQVHAMVMVTGRDLVVLGTALISFYSSVGLISEAMKVYISLNVHTDEVMLNSLISGCIRNRNYKEAFLLMSRKRPNVRVLSSCLAGCSDNSDLWIGKQIHCVALRNGFVSDIKLCNGLMDMYGKCGQIVQARTLFRAISSKSVVSWTSMIDAYAVNGDGVKALEIFREMCEEGSGVLPNSVTFLVVLSACAHAGLVEEGKECFGMMKEKYRLVPGTEHYVCFIDILSKAGDTEEIWRLVERMMENNKRNIPCAIWVAVLSACSLNMDVTRGEYVARKLMEETGPENASIYVLVSNFYAAIGKWDVVEELRGKLKNKGLVKAAGHSLLI >fgenesh2_kg.8__2773__AT5G66490.1 pep chromosome:v.1.0:8:22739455:22739893:1 gene:fgenesh2_kg.8__2773__AT5G66490.1 transcript:fgenesh2_kg.8__2773__AT5G66490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRALSTRKVRGSYKMLGDEEEAGAGLLEVKPESVPTNIHGQSPKVNKPVEKTGGSVHPLLSFFDMSLKRKKKTKKKSTTTAKPEFARYLEYVKEGGVWDNTSNGPAIYYR >fgenesh2_kg.8__2775__AT5G66470.1 pep chromosome:v.1.0:8:22742902:22745572:1 gene:fgenesh2_kg.8__2775__AT5G66470.1 transcript:fgenesh2_kg.8__2775__AT5G66470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding protein [Source:UniProtKB/TrEMBL;Acc:D7MMG6] MAVSPHISPTLSRYKFFSTSVVENPNFSPYPIYGRRRVTKSHLQAHNSTTSYGRTELSSSKKLWIRPRSSSEMEVEQAQLEEDEEQEEIDIVDEASLLSLSMKPDRNMALLDDYEMEELGHTPDTHHRSGYVAVLGMPNVGKSTLSNQMIGQKISIVTDKPQTTRHRILGICSSPEYQMILYDTPGVIEKKMHRLDTMMMKNVRDAAINADCVVILVDACKTPTYIEEVLKEGLGNLEKKPPMLLVMNKKDLIKPGEIAKKLEWYEKFTDVDEVIPVSAKYGHGIEDVKEWILSKLPFGPPYYPKDIVSEHPERFFVSEIVREKIFMQYRNEVPYACQVNVLSYKTRPAAKDFIQVEVVVDKNSQKIILIGKEGKALKTLATAARLDIEDFLQKKVFLEVEVKVKENWRQDEGLLKYYGYGGQIRAM >fgenesh2_kg.8__2778__AT5G66440.1 pep chromosome:v.1.0:8:22756548:22757373:1 gene:fgenesh2_kg.8__2778__AT5G66440.1 transcript:fgenesh2_kg.8__2778__AT5G66440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFDIDNVKAEKAKALRRFNRFRRIGRFFRAAEVCVALLFIFWTLTRLPFAVQISRVFLRRIAAVISTPLFVFLLGNSIVVVLLTKSSDRTTTTAAASAETEIYQAFVRSVENRSKPSDEDLTEEIVYDDKQVIVTDLNSNPNLMVDENIPDVEIDSDSSPDHPRKVVYGRSKSDVSGKQSPEAKVMMMMMMKRSLQRSETEKCCRETENDDLEEEEKNYPEDNLSNEEFQKTIEAFIAKQRMFRRQEPLAVVVHHKP >fgenesh2_kg.8__2779__AT5G66420.2 pep chromosome:v.1.0:8:22760869:22764519:1 gene:fgenesh2_kg.8__2779__AT5G66420.2 transcript:fgenesh2_kg.8__2779__AT5G66420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGETYRVFCVGTVDTKLDELRFLAGSVRSNIGAFSKNSSSKVEVVIVDVSAGADQNQIDNVADFAFVTREEVLSFYFGSNQEKKPVKLPDDRGEAVAIMSKCLENFLRQAFEDNSLAGAIGLGGSGGTSLIASAFRSLPIGIPKVIVSTVASGLTEPYVGTSDLVLIPSVVDVCGINSVSRVVFSNAGASFAGMVVGRLEVFKSSSSDYGKCTVGITMFGVTTPCVNAVQEILTREGYETLVFHATGVGGRALESLIKEGFIQGVMDITTTEVADHVVGGVMACDSSRFDITIEKGIPLVLSVGALDMVNFGGKDTIPSHFQTRKIHVHNEQVSLIRTTAEENKKFARFIADKLNKSTSKVRVCLPEKGVSALDAPGKPFCDPEATGALINELQTLIQTNEDRQVNIYSHHINDPEFAEALAASFLEICPNTYAQIKPSETASTKPSTREHDDGHVSQVRSRPERIPYSPTDFPNAKPETLERTQTILGRLRDQIEKGIPIIGGGAGTGISAKFEEAGGIDLIVIYNSGRFRMAGRGSLAGLLPFADANAVVLEMANEVLPVVKAVPVLAGVCATDPFRRMDYFLKQLESIGFVGVQNFPTVGLFDGNFRQNLEETGMGYDLEVKMISEAHKMGLLTTPYAFNPKEGEEMAKAGADIIVAHMGLTTSGNIGAKTAVSVEESVVRVQAIADAARRFNPDIIVLCHGGPISGPEEAEFVLKRTQGCVHGFYGASSMERLPVEQAITSTVQKYKSIAIK >fgenesh2_kg.8__277__AT5G45190.1 pep chromosome:v.1.0:8:2447569:2451521:1 gene:fgenesh2_kg.8__277__AT5G45190.1 transcript:fgenesh2_kg.8__277__AT5G45190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein [Source:UniProtKB/TrEMBL;Acc:D7MKU7] MAGVLAGECSYSESGVSSHSRNSHEKQEEVSRWYFGRKEIEENSPSRLDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFFRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVIFVSYEIINKKDPGASQKIKQKEVYEQQKELILNGEKIVLSTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEDVSNQMLELYEQNRVPASQVSEVESSVGGGSAQRPGSRNAVSTDEHVGSRQTSVRSTHENSNSDNHSSKGVLNQNNENGAGEAANVSVDHKEEIERETKESSLHPESHPPHKDNVREAPHNSRPLVEGPAKDNSEREGGEIQDDGAVHKSRNVDVGDAPISQSPKDLKLLRDKVKAKREKAKKLLGERTRKKDLMDEDDLIERELEDVELAVEDEKTKERKVENRPKTENSDLMGTEHGEILDVKGEVKNTEEGEMVNDVSPMMHSRKRKMGSPPEKQSEGKRRHNSENGEEGHHNKTSRGSSHHGDREHRRHSQENNHS >fgenesh2_kg.8__2781__AT5G66410.1 pep chromosome:v.1.0:8:22764503:22766251:-1 gene:fgenesh2_kg.8__2781__AT5G66410.1 transcript:fgenesh2_kg.8__2781__AT5G66410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVLAAAARDYKKEVLANEKAQGSRPVNEEVDLDDLMDDPELEKLHADRIAALKREVEKREAFKRQGHGEYREVSEGDFLGEVTRSDKVICHFYHKEFYRCKIMDKHLKTLAPRHVDTKFIKMDAENAPFFVTKLAIKTLPCVILFSKGIAMDRLVGFQDLGAKDDFSTTKLENLLVKKGMLSEKRKEEDEEDYEYQESIRRSVRSSANVNSDSD >fgenesh2_kg.8__2783__AT5G66400.1 pep chromosome:v.1.0:8:22766810:22767723:1 gene:fgenesh2_kg.8__2783__AT5G66400.1 transcript:fgenesh2_kg.8__2783__AT5G66400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYQNRQGGQATDEYGNPIQQRDEYGNPIQQRDEYGNPMGGGGGYGTGGQGYGTGTGTEAFGTGVGARHHGQEQLHKESGGGLGGMLHRSGSGSSSSSEDDGQGGRRKKGITQKIKEKLPGHHDQSSGQVQGMGGMGTGYDAGGYGGERHEKKGMMDKIKDKLPGGGGR >fgenesh2_kg.8__2786__AT5G66370.1 pep chromosome:v.1.0:8:22775592:22776213:1 gene:fgenesh2_kg.8__2786__AT5G66370.1 transcript:fgenesh2_kg.8__2786__AT5G66370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7MMY4] MFSSNNRSTQLTCGFKVNTNSPEWHKSMTRILKKIKGGDFLLDVDQGLAYISGEGDPHKLLKLMGSIKGKAAEMTFVKTGGRQHPHYPNLLHYNSNSYYGHPPSYNSYWPSDNCYSRQHPPYYSQSLAMQPYHHQYPYPGYSNYGYY >fgenesh2_kg.8__2789__AT5G66320.1 pep chromosome:v.1.0:8:22790889:22792713:1 gene:fgenesh2_kg.8__2789__AT5G66320.1 transcript:fgenesh2_kg.8__2789__AT5G66320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:D7MMY8] MEQTALKSSIRKEMAFKTTPPVYEEFLAVTTAPNGFSADDFSVDDLLDLSNDDVFADEDTDPKAQQDMVRVSSEEPNDDGDALRRSSDLSGCDDFGSLPTSELSVPADDLANLEWLSHFVDDSFTEYSGPNLTGTPTEKPSWLTGDRKHPVTPATEESCFKSPVPAKARSKRNRNGVKVWSLGSSSSSGPSSSGSTSSSSSRPSSPWFSGAEMLEPVVTSERPPFPKKHKKRSAESVFCGQLQQLQPQRRCSHCGVQKTPQWRAGPMGAKTLCNACGVRYKSGRLLPEYRPACSPTFSSELHSNHHRKVMEMRRKKEPTSDNEPGLNQMVQSPQAVPSF >fgenesh2_kg.8__278__AT5G45170.1 pep chromosome:v.1.0:8:2452317:2455298:1 gene:fgenesh2_kg.8__278__AT5G45170.1 transcript:fgenesh2_kg.8__278__AT5G45170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIASCSILDNLQLSCTKTSLFTQYLSEPSSHDTGRRNFLSFSNFPGKSQILGKCLRLQRFSSICLSASREDVNPSEELAVILEVDGVMIDTWSSNRQAFNVAFQKLGLDCANWPEPVYSDLLRKGAADEEKMLLLYFNQIGWPSSLPTSEKASFVKSVLREKKNAMDEFLMSKSLPLRSGVQEFIDNAYTERVPVAIVTAYCKSGDKVALSIVEMLGQERLPNVKVIGENEVEQSMYGQLVLGKGVSSSLEEQLVKEVKKAASAEKQRIAEEVASMLKLSVDIDTTSSERLEKIVVALRAAAEHIGLPVKNCVLVAGSQPGVSAAKMIGMPCVVMRSSLTARGEFPSAKGVMDGFGGADLTIPKLRHKIKS >fgenesh2_kg.8__2791__AT5G66310.1 pep chromosome:v.1.0:8:22799832:22805319:1 gene:fgenesh2_kg.8__2791__AT5G66310.1 transcript:fgenesh2_kg.8__2791__AT5G66310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin motor family protein [Source:UniProtKB/TrEMBL;Acc:D7MMY9] MTTEDDDQMLGPSGTEEKIYVSVRMRPLNDKEKFRNDVPDWECINNTTIIYRSHLSISERSMYPSAYTFDRVFSPDCCTRQVYEQGAKEVAFSVVSGVNASVFAYGQTSSGKTYTMSGITDCALVDIYGYIDMHKEREFILKFSAMEIYNESVRDLLSTDTSPLRLLDDPEKGTVVEKLTEETLRDWNHFKELLSVCEAQRQIGETALNEVSSRSHQILRLTVESTAREFSTNDKFSTLTATVNFIDLAGSERASQSLSAGTRLKEGCHINRSLLTLGTVIRKLSKGKTGHIPFRDSKLTRILQSSLGGNARTAIICTMSPARIHVEQSRNTLLFASCAKEVTTNAQVNVVMSDKALVKHLQRELAKLESELRSPGQASVVSDTTALLTEKNLEVEKLKKEVLQLARQLEQARSEIIDLRRMVEEEKKPEKETLSTETEGLNVLLEHQYPKLRVRSTWDSENTTPLSPISAHRSSISPRSTEYSYEENVFQLSDFRIDSASSSPQQLAFVTPFLDIHGTETVDQSHVHIDEITGQPHVQEERIYEMAEQTDENSEDNCREVRCIETEKSDISIGPEEKMPESSPDKYEAVSEEEPVCVTEPKNLRPPTEAENKEEERVKEVSGASPQPKQETNLAKTPPFCDFECSPVEFDKGMSNLRRIPTPPALLTPSPEKPFSWLMERDSQPFRRMKLTRSRSCRPSLLSSSSSSWLDKDVDTPPSWYDKEFVKSVETNLTMCDMKNQRLLQDEFSGRSMPTTWFERNLSDTQTADAASHGVSNEMSPNESPSRPSDASVFELQTSGRASISQDRTEETGAQKDKQIIHRSMEEMEQKFLSLSSTKSFKDAAMDPIQDYLDTPLNWPVEFKRLQREIIELWHVCKVSMAHRSYFFLLFRGDQKDCLYLEVELRRLKYIRESFAQNSNDGHNMTLISCTRALTRERYKLSKLMQRKLSKEERENLFLRWGIGLNTSHRRVQLAHRLWSDYKDMGHVRESASLVGKLNGFVDMKLTSTEMFGVNYAFRPPRPKKSSLWKRSVLSLSFL >fgenesh2_kg.8__2792__AT5G66300.1 pep chromosome:v.1.0:8:22809502:22811111:1 gene:fgenesh2_kg.8__2792__AT5G66300.1 transcript:fgenesh2_kg.8__2792__AT5G66300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDQESCSIPPGFRFHPTDEELVGYYLKKKVASQRIDLDVIREIDLYKIEPWDLQERCRIGYEEQKEWYFFSHKDKKYPTGTRTNRATVAGFWKATGRDKAVYLNSKLIGMRKTLVFYRGRAPNGQKSDWIIHEYYSLESHQNSPPLEEGWVVCRAFKKRTNVPTKRRQLWDSNCFFYDASTLLEPLDKRARHNPDFVGTPFKQELLSEANHIEDGDFGSMYLQSMDDDQLYQLPQLESPSLPSEITPQISENSSRKDNVSAEKRITDWRSLDKFVASQLLMSSGED >fgenesh2_kg.8__2793__AT5G66290.1 pep chromosome:v.1.0:8:22811566:22813426:1 gene:fgenesh2_kg.8__2793__AT5G66290.1 transcript:fgenesh2_kg.8__2793__AT5G66290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASDSTLLGSQENRGGDVITTISHRSEIVDPILENLKALTVSRPILKSPPTESSLTDILVRKALSSSSSNTVDPQILLELFSIYRQWQESKAQDITKRQEDIENKIEVADALATKILQRFNHSVSAMRTTSQHLSQVHGLQVELGELKGRLTEVISNCDTLCKRINSEGPESLRSTVTPFSLASPDSVSINTTTLSSILEA >fgenesh2_kg.8__2795__AT5G66270.1 pep chromosome:v.1.0:8:22815268:22817657:1 gene:fgenesh2_kg.8__2795__AT5G66270.1 transcript:fgenesh2_kg.8__2795__AT5G66270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7MMZ4] MMKKTKKSRVSWASDSMLCQVKLFLTDDCPAKVASNLPPGFEATEYATRRILSHIPRIKWKRPPKVFVLNDALLVGRGGESTETRTENLRISKVLEAFYPHRSVIPTRPSVSPAVEEAHYDDRKTPNIRLTPVEDEREAAVESSHSFEAPAAVSGLGPELSLLAAAALSALTKEQGCQVDADLLVKLLSDPKIVESLINDMKGKPLETANNSRSTDTNKPRLAPQHVTSTAMDRNPPPIPGNVVPHNVPVFVQSSATDPPLSKPTQPMSSTLSVNVNLQKPTLVVHSYPLSSAGIKLEDSYTAAAPLKPSPVDDVVVSEQKTQSLNMSSTWNMNRVPESARTETDAKIRNGNTNQDGEVSAKPVKNLDYFKSLIREHGAVKPATNETNNYKGRVDDKKVVKVKIQKPCMYFNRAKGCRLGESCLYLHDRSKRLWTDVAPPHFPRAKRLKFRS >fgenesh2_kg.8__2798__AT5G66250.3 pep chromosome:v.1.0:8:22821398:22823258:-1 gene:fgenesh2_kg.8__2798__AT5G66250.3 transcript:fgenesh2_kg.8__2798__AT5G66250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEVVSASTLESNITEEDHHLWMRALDWSRSSDISILQDRFIAAEDSALVSQKDVEILLRRVKTCTALLTYLKSKATTVAAADLANLSLGTHQLQGSIDEHDGPYVTEMLQHVETVTGVMESLARRAIIAESEAAIEKGKVVLSQEEIQRKVGQIENMSLKLEDMEKFALGTSGILCEMRQRVDDLVEETSRQKQRATENEQELSRVRRDFESLKSYVTSLISVRETLVSSEKQFQTIERLFERLVAKTTQLESEKVQKEAEVQKLMEENVRLTALVDKKEAQLLAMNEQCKMMALSSI >fgenesh2_kg.8__279__AT5G45160.1 pep chromosome:v.1.0:8:2455419:2460771:-1 gene:fgenesh2_kg.8__279__AT5G45160.1 transcript:fgenesh2_kg.8__279__AT5G45160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROOT HAIR DEFECTIVE 3 homolog [Source:UniProtKB/TrEMBL;Acc:D7MKU9] MGENDDGCSTQLIDGNGEFNVKGLDNFVKKTKLSDCGLSYAVVAIMGPQSSGKSTLLNHLFKTSFREMDAFAGRSQTTKGIWMARCVGIEPFTIAMDLEGTDGRERGEDDTTFERQSALFAIAVADIVLINMWCHDIGREQAANKPLLKTVFQVMLRLFSPRKTTLLFVIRDKTKTPIELLERALREDIQKIWDLVRKPEAHKNTPLNEFFNVMIVALSSYEEKEKQFKQEVAELRQRFFHSISPGGLAGDRRGVVPASGFSFSSQQIWRVIKENRDLDLPAHKVMVATVRCEEIANEKLRDLATNESWLELHEAVEGGLVPGFGKKLSSILEKYFSEYDAEAIYFDEGVRKEKRLQLKLKALDLVHTAYATMLGHLRSNALESFKIQLEQSLNQGEGFAKAVRDSQQYCLIVFDKGCEDAKVKQATWDASKIREKLCRDIDAHTSSARTAKLSELTANYEKRLTQALSEPVESLFEAGGKETWPSIRKLLKRETETAVTDFLDVVTGFELDHDKIDAMVQNLKDYSQSLVEKKAREEAAKILIRMKDRFSTVFSHDKDSMPRVWTGKEDIRAITKDARAEALSLLSVMAAIRLDERPDNIESTLFSSLMDGTVSVSSSHNRSLGTSTDPLASSSWEEVPPKNVLLTPVQCKSLWRQFKSETEYSVTQAISAQEAHKRNNNWLPPAWAIVLMIVLGFNEFMMLLKNPLYLLGFFVAFLLSKALWVQLDIPREFQHGAVAGVLSITSKFLPTVMNLLRKLAEEAQGKTTQEGEYSASQSYRQQSPSHSISSTISESVASNISSAGDDAEYSSPSPDLVRRRNTNNVQESEISQL >fgenesh2_kg.8__2802__AT5G66230.1 pep chromosome:v.1.0:8:22827910:22829320:-1 gene:fgenesh2_kg.8__2802__AT5G66230.1 transcript:fgenesh2_kg.8__2802__AT5G66230.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSTRRVTRSQTRNAITNLLSSKKADDSDKCQSKSVQRNGAKDRSALFDITNDSPIVGLAMQTPSSGVVGKRRNMSRINNNPGSGEALLRGQVKTLLQKVEEEADLTKISMQSRPFIHLVTSPMGLLAPTPANTPQVLDFSDEMQTVITSPVVAGQFRATSQVVESNTFGDKEESLKSPSITRSLLLDFSDKSDLWESSGCSSGVTDEEDEGAYSYDEEEEEEEGGIVDVLCEGMRKMSVETEFAGKHTRSEYDSEDEEIVEAKEQSPGVLRLKGFPTPTRKHVRFLGDE >fgenesh2_kg.8__2805__AT5G66210.2 pep chromosome:v.1.0:8:22834220:22837674:1 gene:fgenesh2_kg.8__2805__AT5G66210.2 transcript:fgenesh2_kg.8__2805__AT5G66210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 28 [Source:UniProtKB/TrEMBL;Acc:D7MN02] MGVCFSAIRVTGASSSRPSSQTNNPKSKAAPSPIDTKRRTGSIPCGKRTDFGYAKDFHDHYTIGKLLGHGQFGYTYVAIHKPNGDRVAVKRLDKTKMVLPIAVEDVKREVQILIALSGHENVVQFYNAFEDDDYVYIVMELCEGGELLDRILSKKGNRYSEKDAAVVVRQMLKVAGECHLHGLVHRDMKPENFLFKSAQLDSPLKATDFGLSDFIKPGKRFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDRTEDGIFKEVLRKKPDFSRKPWLTISDSAKDFVKKLLVKDPRARLTAAQALSHAWVREGGNATDIPVDISVLNNLRQFVRYSRLKQFALRALASTLDEAEISDLRDQFDAIDVDKNGVISLEEMRQALAKDLPWKLKDSRVAEILEAIDSNTDGLVDFTEFVAAALHVHQLEEHDSEKWQLRSRAAFEKFDIDKDGYITPEELRMHTGLRGSIDPLLDEADIDRDGKISLHEFRRLLRTASISSQRAPSPQGHRNPR >fgenesh2_kg.8__2809__AT5G66190.1 pep chromosome:v.1.0:8:22840588:22842721:1 gene:fgenesh2_kg.8__2809__AT5G66190.1 transcript:fgenesh2_kg.8__2809__AT5G66190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase [Source:UniProtKB/TrEMBL;Acc:D7MN04] MAAALSAAVSLPSSKSSSLPTKTSSVSPQRIFLKKSTLCYRRRVVSVKAQVTTDTTEAPPVKVAKESKKQEEGIVVNKFKPKNPYTGRCLLNTKITGDDAPGETWHIVFTTQGEIPYREGQSIGVIPEGIDKNGKPHKLRLYSIASSAIGDFGDSKTVSLCVKRLVYTNDSGEIVKGVCSNFLCDLRPGDEAKITGPVGKEMLMPKDPNATIIMLGTGTGIAPFRSFLWKMFFEEHEDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKNPDNFRLDFAVSREQTNEKGEKMYIQTRMAEYAEELWELLKKDNTFVYMCGLKGMEKGIDDIMVSLAAKDGIDWLEYKKQLKRSEQWNVEVY >fgenesh2_kg.8__280__AT5G45150.1 pep chromosome:v.1.0:8:2462794:2466562:-1 gene:fgenesh2_kg.8__280__AT5G45150.1 transcript:fgenesh2_kg.8__280__AT5G45150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVEAVEKILNYSFTNKTLLKDAITQRSTLFDRLEFFGDSVLEVAFTNYIRHTYPNLKVKELCDLRTANVSNEKFARVAEILQIFRSLLEPIYTPEDLQMQPKPPFLTLFRLTDKHGKRIDFRYSKDDDSKQHIAEDSPHVEPEDVKGKSFVICSTGNLQLQTELSSLSTASENSLADEMTQEEMVFDEDSPDVEPEDVKGKLFEICYTRKLQIQTGSSANPLTYEMTTKQMVVDKDSLHVEPTDGRGKLIEICTKNKWPRPIFSVEEERGPKNEQKFVCSVKIEIPNIEGSFHMKGDAKSKKKQAENSSAYHMIRALESSLMSLVISNLQMPESLDEKNNPLMDSDSVEAVEKILNYSFVNKNLLNELLTHNTSPLFQRLMFVGEPALSLAFTKHLYLTYPKLEPKDLSLLRDANACNDRYARVAVKKGIYQFIISNVEKPERMILDFIDMMGKEDDPDPDPYRVVKAPKILANLVAAVAGAVYIDVNYNVQRLWEIFRGLFEPIYTLDDLRMQPKPPFLMLFRLADKHGKRIDFRYSKVDGSRKNIAQVYLDDMFIASGCSKRIDTAKLLAAEEALQKLSECMLIEKIIHQDNLEAEIQTGSSSLLTASENPLTDEMTKEQMVIDEDSLDVERKLFEIRYTEELQLQTGSSSMPTVSENPLPCEITPTKMVIGEVSPHVEPEDVKGKSFEISSTETSSLLIAFENPLTDELTQEQMVIDENSPYVEPEDVKGKSFDISSNRKLQTLTGSSSLPTASENLSTDEPTQEQMVIDENIPHVEPEDEKGKLFEICTKNKWPNPIFSVEEERGPKNELKFVCSVKIEIPSIEGYLLHEGRCRINEKGSRKLISQPHDKSLGIFYNDLDEKKKNLQMQESLDENKNLHSKKRRTI >fgenesh2_kg.8__2811__AT5G66180.1 pep chromosome:v.1.0:8:22843191:22845069:-1 gene:fgenesh2_kg.8__2811__AT5G66180.1 transcript:fgenesh2_kg.8__2811__AT5G66180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSKLQLPETFLSFLEANGLDPSIYTHGNSIPRYVRLKPGFEDALEEIESQINCKLDKVNWLPGFYSIPPHVHIARSKAYQQGTMYGIDAASGAAVSALAISPGDHVLDLCAAPGAKLCMMLDLLGDKGTATGVDVARHRLAACRTMLLKYGLAERTRLFLADGTTFSVPPTTNLPCMDDHEDTFTQWTSRRPYKQRKQEAKARKNSVLPQNGQPEVIFYGQGSGVIGLTKKQLYTSLDQDDYAYCGYDKVLVDAECTHDGSIKHIQKFEQWGWTTLERRVLDAERTDRNLSALQLNLLRNGFRLLREAGTLVYSTCSLTHAQNEDVVDQFLAENSSAELQEIEMAEDWPCRSGRTSKTLRFDHSTSATSGLFVAKIRKLPSNEAKKNVL >fgenesh2_kg.8__2814__AT5G66170.1 pep chromosome:v.1.0:8:22845247:22863504:-1 gene:fgenesh2_kg.8__2814__AT5G66170.1 transcript:fgenesh2_kg.8__2814__AT5G66170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSISSSTKAEEVVSVDVSEAKTLLQSGHQYLDVRTQEEFRRGHCEAAKIVNIPYMINTPQGRVKNQDFLEQVSSLLDPADDILVGCQSGARSLKATTELVAAGYKKVRNMGGGYLAWVDHSFPINEEEEEPSAN >fgenesh2_kg.8__2816__AT5G66160.1 pep chromosome:v.1.0:8:22863711:22865918:-1 gene:fgenesh2_kg.8__2816__AT5G66160.1 transcript:fgenesh2_kg.8__2816__AT5G66160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVSSCLLAAAPFLSSLLQVSLATVVLNSISASFADLPAKFDGSVTKNGICGALYVADPLDGCSPLLHAAASNWTQQSTTKFALIIRGECSFEDKLLNAQNSGFQAVIVYDNIDNEDLIVMKVNPQDITVDAVFVSNVAGEILRKYARGRDGECCLYPPTKGSAWTVLAISFFSLLLIVTFLLIAFFAPRHWTQWRGRHNRTIRLDAKLVHTLPCFTFTDSAHHKAGETCAICLEDYRFGESLRLLPCQHAFHLSCIDSWLTKWGTSCPVCKHDIRTETMSSEVHKRESLRTDTSRFSFAQSSQSH >fgenesh2_kg.8__2817__AT5G66150.1 pep chromosome:v.1.0:8:22866596:22871953:1 gene:fgenesh2_kg.8__2817__AT5G66150.1 transcript:fgenesh2_kg.8__2817__AT5G66150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase [Source:UniProtKB/TrEMBL;Acc:D7MN08] MAKPGLSLLKGALCVIVSVLRLSVESVKGGGYVKYGTEAKVVPGKLNVHLVPHSHDDVGWLKTVDQYYVGSNNSIQNACVRNVLDSVVDSLLGDPNRKFVFAEMAFFTRWWEEQSPETQEQVKRLVKSGQLEFVNGGWAMNDEATCHYIDMIDQTTKGHRFIKQQFNTTPRAAWQIDPFGHSSVQAYLLGAELGLDSVHFARIDYQDREKRKAEKSLEVIWRGSKTLASSSQIFTNVFLVHYGPPTGFHYEVTDDYVPLQDNPRFDAYNIKEAVDNFVNASLVYANVSRGNHVMWTMGDDFQYQFAESWFRQMDRLIHYVNKDGRVNALYSTPSLYVDAKNDANVTWPLKTGDFFPYADRAYAYWTGYFTSRPALKRYVRALSGYYMAARQLEFRVGKKSGGPNTCSLGDALAIAQHHDAVTGTAKQHVTNDYMKRLAVGASEAEAVVNAALACLLNKAPKGGCTKPAIAFSQQCSLMNISYCPSTEETIPSQKSLILVAYNSLGWNRTEIIRIPVNDAGLSVEDSSGKTLDAQYIPMDNVTSNLRSFYTKAYLGISSLQIPKYWLVFKATVPPLGWNTFFISKASAQGSSNHTHSSVMLSPVKNTTEIGPGNVKMVFSSDSGRLERIYNSRTGADIMVDQNYFWYASNGGDAKDPQVSGAYIFRPNGSLAYPVSSSKIWTVTDAFIGNGNAQPKLQIVRGPLIDEVHQQFSPWVAQVVRLYKEKEHAEFEFTIGPIPSGNGDLIGKEIITRMVTNMTTDKAFYTDSNGRDFLKRVRDNRTDWHLQVNEPIAGNYYPLNLGMYSKDEKAELSVLVDRATGGASIKNGEIELMLHRSTCMDDARGVEEGLAETVCVNGTCAGLTVRGNYYVSINRIGEGGRWRRETGQELYSPLLMAFAHENKEKWKASNTVKGYAMDPLYTLPQNTALITLEGMDFGNVLVRLAHLYEAGEDSDYSKTAKVELKKLFSGKMIKEVTEMSLSGNQEKAKMKEKMKWKVEGEAEEASSPQRGGAVDKSTLVVELGPMEIRTFVVEFSQKQRRRRKLFVG >fgenesh2_kg.8__2821__AT5G66110.1 pep chromosome:v.1.0:8:22881606:22882324:-1 gene:fgenesh2_kg.8__2821__AT5G66110.1 transcript:fgenesh2_kg.8__2821__AT5G66110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7MN12] MGFQDLCYRKHHKKLKQFQRVEIKVKMDCEGCERRVRKSVEGMKGVSKVTVDPKQSKLTVEGFVQPSKVVHRVMHRTGKKAELWPYVPYEVVPHPYAPGAYDKKAPPGYVRNALADPLVAPLARASSFEVKYTSAFSDENPNACTIM >fgenesh2_kg.8__2822__AT5G66100.1 pep chromosome:v.1.0:8:22882592:22884947:-1 gene:fgenesh2_kg.8__2822__AT5G66100.1 transcript:fgenesh2_kg.8__2822__AT5G66100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASSAANSASRFSIDSSLSRSRHSDSSPWLMPAADPHDDPAPSLSQDDPFLTGNGTSDYDNADKKPPVWNMPSSNISSDVMAAAESWPALRSSSNKSPSFDSSKPLSDGSSSSSSSSSPMPPPQAGGTPFNANAGSSVAATSSENNTVNNSPRKPFRRNNNASSSSSNISNPPNAAPFNTRDQTHSQRGGSYGSGNFRNSQRKSNNSSYPRGEGLHHGNRRNYESGFSHRNYSGRDMHLQPQRGNGMMRPQMLMGPPSFPASSAQYMAAPQLGSYGGPMIYPDYAQHVFMPPPDPMALVGPFPPLPVYFPSYDAMLYNKILTQVEYYFSADNLSRDEHLRDQMNDEGWVPVRVIAGFRRLAELTNNIHTILEALKSSEVVEIKGETLRRRGDWDKYLLPREPSKFGPAAGASNNASLVSQLESMALSERSREGE >fgenesh2_kg.8__2824__AT5G66080.1 pep chromosome:v.1.0:8:22887716:22890265:1 gene:fgenesh2_kg.8__2824__AT5G66080.1 transcript:fgenesh2_kg.8__2824__AT5G66080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein [Source:UniProtKB/TrEMBL;Acc:D7MNG5] MRPDVIPFHQLFGRLSLALWPSSSTSHSYSDSKAKQDGLLWYKDSAHHLFGDFSMALVQANNLLEDQCQVESGPLTTLSSSGPFGTFVGIYDGHGGPETSRFLNDHLFHHLKRFAAEQDSMSVGVIRKAYEATEKGFLGVVTKQWAVKPHIAAVGSCCLLTLGTPVPFLERSSRPHVSIESVRQEMHSLHPDDSHIVLLRHNVWRVKGFIQVSRSIGDVYLKKAEFNREPLYTKYRLREPMKRPILSGEPSITVHDLQPDDQFLIFASDGLLEQLSNQEAVEVVQNHPRNGIARRLVKAALQEAAKKREMRYFDLNKIERGVRRHFHDDINVIVLFLDTNLLSRASSLNTLLFQFVVVETRFRDVFRVSEEFPFPKRGITLPKKLYN >fgenesh2_kg.8__2825__AT5G66070.1 pep chromosome:v.1.0:8:22890448:22891784:-1 gene:fgenesh2_kg.8__2825__AT5G66070.1 transcript:fgenesh2_kg.8__2825__AT5G66070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MNG6] MDGYYSLSPISLLHRVKDSFHFAVSALLANLFSALFTFFFALVGTLLGALTGALIGQETESGFIRGAAVGAISGAVFSIEVFESSLLLWQSDESGIGCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAVESQFQEHTDIFDTAISKGLTGDSLDRIPKVRITDTSPEIVSCSVCLQDFQVGETVRSLPQCHHMFHLPCIDKWLRAHASCPLCRRHL >fgenesh2_kg.8__2827__AT5G66060.1 pep chromosome:v.1.0:8:22892682:22894110:-1 gene:fgenesh2_kg.8__2827__AT5G66060.1 transcript:fgenesh2_kg.8__2827__AT5G66060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7MNG7] MARPRSHRPSARKSSRSTLVFAVLIMSTFVILILLAFGILSVPSNNAGSSKANDLTSIVRKTLQRGVEDDSKNERWVEIISWEPRASVYHNFLTKEECKYLIELAKPHMEKSTVVDEKTGKSTDSRVRTSSGTFLARGRDKTIREIEKRISDFTFIPVEHGEGLQVLHYEIGQKYEPHYDYFMDEYNTRNGGQRIATVLMYLSDVEEGGETVFPAAKGNYSAVPWWNELSECGKGGLSVKPKMGDALLFWSMTPDATLDPSSLHGE >fgenesh2_kg.8__2828__AT5G66055.2 pep chromosome:v.1.0:8:22894379:22903140:1 gene:fgenesh2_kg.8__2828__AT5G66055.2 transcript:fgenesh2_kg.8__2828__AT5G66055.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AKRP/EMB2036 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MNG8] MQSLATPHTISLLLPGPGTSPSRLSPSLHSIVFPTRLRSLSYSSQTSILPDAGDDFIVGDCLVYEDGVFEDPYLETEVTQVAKQKRNPRGGAKRLDESEIEPENLVPEEWRDIQAEVNLTKKDKRKIAQEMEFGVRVEKKRQGLIPLRNVDLNDFLTYKEAKLAQLRPVTLDKPGNFSDHAASSDGETAVSPSSERVAPKNPRWAVYGKGFDHVAKFFNSDKYDPSVKKSDGPRKLLSKEEKFMLNSRNPDLAVATSKKWLPLHTLAASGEFYLVDSLLKHNLDINATDVGGLTALHRAIIGKKQAITNYLLRESANPFVLDDEGATLMHYAVQTASAPTIKLLLLYNADINAQ >fgenesh2_kg.8__282__AT5G45130.1 pep chromosome:v.1.0:8:2485773:2487886:-1 gene:fgenesh2_kg.8__282__AT5G45130.1 transcript:fgenesh2_kg.8__282__AT5G45130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGNKNINAKLVLLGDVGAGKSSLVLRFVKDQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVFDITNQASFERAKKWVQELQAQGNPNMVMALAGNKADLLDARKVSAEEAEIYAQENSLFFMETSAKTATNVKDIFYEIAKRLPRVQPAENPTGMVLPNGPGATAVSSSCCA >fgenesh2_kg.8__2836__AT5G66020.1 pep chromosome:v.1.0:8:22907736:22911396:1 gene:fgenesh2_kg.8__2836__AT5G66020.1 transcript:fgenesh2_kg.8__2836__AT5G66020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7MNG9] MEGRHKLHSGLRLWEFPDQYVIEPTDGSSASCLDISRLDGSMKLIDQVAECNSLRVPKIRSIFGVVGMLKLLAGSYLVVVTESESVGSFLGHPIFKINSLKFLPCDHSLENSHEEQKKMETDFSRLLSVAERTTGLYFSYEINLTLTAQRLHDLGDESKLLPLWRQAEPRFLWNNYMLEVLIENKLDQFLLPTIFLNPASRFHSFQTAIGRDIVDITLIARRCSRRNGTRMWRRGADPDGYVANFVETEQIVRMNGYTSSFVQVRGSMPFMWEQIVDLTYKPKFEIVQPEEAARIAERHFLGVRKKYGSVLAVDLVNKHGGEGRLSERFAGAMQHITGDDVRYLHFDFHHICGHIHFERLAILYEQMEDFLEKNGYFLFNEKGEKMKEQLGIVRTNCIDCLDRTNSMIGRNMLEFQLKRIGVFGAEEAISSHKNFDECYKILWANHGDDISIQYSGTPALKGDFVRYGQRTVQGVLQDGWNALARYYLNNFADGTKQDAIDLVQGHYIVAVSRDMAPVPRKGGLEAVANFPVALMVILISFWFATMSVKQVGSSYKHLFFSFVWAGISVAVAALVRANGRIFCSRPCLHKPRS >fgenesh2_kg.8__2837__AT5G66000.1 pep chromosome:v.1.0:8:22915016:22916056:1 gene:fgenesh2_kg.8__2837__AT5G66000.1 transcript:fgenesh2_kg.8__2837__AT5G66000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLNVLTKEVDDNGVPHPSTNVDYHLSFLLASHLSNPDLTPQQIAEASRCTAAAAAIAAKAARATADEKAAAAAKAVAAAKSALDLIASFPPNQGLVPDAFLDKDNMTKKHVAAEDDELPSKLQLVDVSQGIISNSSSSCQHKNDQCVLPIDSRERLNTEPAISKRADFDGLGMELDTDRLNESVGGEGANEGVQVTRKRGRPKSK >fgenesh2_kg.8__2838__AT5G65990.1 pep chromosome:v.1.0:8:22916014:22917630:-1 gene:fgenesh2_kg.8__2838__AT5G65990.1 transcript:fgenesh2_kg.8__2838__AT5G65990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7MNH3] MRYDQEAGSSSLSLPSGSSSHSLPPTEDTPLLGPRTLSSQPKTFANVFIAIVGAGVLGLPYTFKKTGWLLGLLTLLFVSSLTFFCMMLLVHTRRKLESLSGFNSITSFGDLGESVCGPAGRLVVDVMLVLSQSGFCVSYLIFVATTMANLLSRGTEHILGLDPASIYLWGCFPFQLGLNSIPSLTHLAPLSIFADIVDVAATIVVMVQDVFIFLKRRPPLRVFGGVSVFFYGLGVAVYAFEGIGMVLPLELEAKYKDKFGRALGLAMGLISIMYGAFGLLGYMAYGEETRDIITTNLGTGVVSTLVQLGLAINLFFTFPLMMHPVYEVVERRLCSSCYSIWVRWATVLVVTLVALLVPNFADFLSLVGSSVCVVLGFVLPSLFHLQAFKNELSITRIVVDVLVFLIGVIIAITGTWTAVDEILTSKA >fgenesh2_kg.8__283__AT5G45120.1 pep chromosome:v.1.0:8:2495767:2497024:-1 gene:fgenesh2_kg.8__283__AT5G45120.1 transcript:fgenesh2_kg.8__283__AT5G45120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MKV5] MEPLREVRDGYLITLNIGTPPQAVQVYMDTGSDLTWVPCGNLSFDCIDCNDLKSNNLKSSSIFSPLHSSSSFRASCASSFCAEIHSSDNPFDPCAIAGCSVSMLLKSTCIRPCPSFAYTYGEGGLVSGILTRDILKARTRDVPRFSFGCVTSTYHEPIGIAGFGRGLLSLPSQLGFLEKGFSHCFLPFKFVNNPNISSPLILGASALSINLTDSLQFTPMLNTPVYPNSYYIGLESITIGTNITPTQVPLTLRQFDSQGNGGMLVDSGTTYTHLPNPFYSQLLTILQSTITYPRATETESRTGFDLCYKVPCPNNNLTSLENDVMMVFPSITFNFLNNATLLLPQGNSFYAMSAPSDGSVVQCLLFQNMEDGNYGPAGVFGSFQQQNVKVVYDLEKERIGFQAMDCVLEAASHGLNQGS >fgenesh2_kg.8__2842__AT5G32620.1 pep chromosome:v.1.0:8:22923961:22925161:-1 gene:fgenesh2_kg.8__2842__AT5G32620.1 transcript:fgenesh2_kg.8__2842__AT5G32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFASGSTEVPTFSTQLSEEGSEEEGNEASDVKPKQSISRKKWTAKEDIVLVSAWLNTSKDPVIGNDQQAKEDIVLVSAWLNTSKDPHMLLLVLPSTECQRESMLNVNKGRQRSIKLSPSLWVVIRQQQLIKLVIYYNDTKKNFTLDHAWRELKFDQKWCDQTTRGGKDNAKRRKCGDGNASSQPINVEDDSVMTRPPGVKAAKAKGRKSATVNEGKKPATGKGEAGQSDRKEKQSKYQMLESLHSRTEPLSDIYLFLKNKLITELWS >fgenesh2_kg.8__2843__AT5G65970.1 pep chromosome:v.1.0:8:22927762:22930717:1 gene:fgenesh2_kg.8__2843__AT5G65970.1 transcript:fgenesh2_kg.8__2843__AT5G65970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:D7MNH6] MATRCFWIWTTLLFCSQLLTGCARASSAGAGKEKGLSQTPTWAVALVCTFFILVSVLLEKALHRVATWLWEKHKNSLLEALEKIKAELMILGFISLLLTFGEQYILKICIPEKAAASMLPCPAPSTHDQDKTHRRRLAAATTSSRCDEGHEALIPATGLHQLHILLFFMAAFHILYSFITMMLGRLKIRGWKKWEQETCSHDYEFSIDPSRFRLTHETSFVRQHSSFWTKIPFFFYAVCFLQQFFRSVGRTDYLTLRHGFIDAHLAPGRKFDFQKYIKRSLEDDFKVVVGISPLLWASFVIFLLLNVNGWETLFWASILPVLIILAVSTKLQAILTRMALGITERHAVVQGIPLVHGSDKYFWFNRPQLLLHLLHFALFQNAFQLTYFFWVWYSFGLKSCFHTDFKLVIVKLSLGVGALILCSYITLPLYALVTQMGSHMKKAVFDEQMAKALKKWHMTAKKKKGKARKPSTETLGGSDSVSTSTSAFHASGATLLRSKTTGHSTASYMSNFEDQSMSDLEAERLTPVPIEGHTIVRDGDQPTEMEYTGVMSPGNQFSFVKPVPANDID >fgenesh2_kg.8__2845__AT5G65950.1 pep chromosome:v.1.0:8:22933306:22938339:-1 gene:fgenesh2_kg.8__2845__AT5G65950.1 transcript:fgenesh2_kg.8__2845__AT5G65950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MNH8] MEEYPEELRTPPVSLVALFGYSELHASITKYLHSQQPPINALAFPDFSHISLLLAHDDQISRTSSFRDPLSVADSPSPNPSRCGGILKRDWLLKHRTKVPALVAAFFPSHHIFGDPTQWLQVCSDLDSLKSVIRPKNIKLVVVVVQSSPHEEISEDRLVALRKRAELDSKYVLFFNSSIDSELTHSLSRHLALAYYREEGRRIKSRVEKKSSNSLDLNVRYCFKVAVYAEFRRDWGEALKFYEDAYHSLHEMIGTSTRLPAIQRLVEIKIIAEQLHFKISTLLLHGGKLIEAVTWFHQHKASYEKVVGSTDFIFLHWDWMSRQFLVFAELLETSSATGQNFSSSNQGTAEISLTEFEFYPAYYYQLAAHYLKDKKSALQLLLSMSEIAQEIDTSSASITPSVYVGQFAQLLEKGETLTLHSITDEEYTRYTISEAKRFQDSLEIIAWLKRSYESFTNLKARRMAALCAFELAREYFDSADPSNAKFFFDISANLYRQEGWVTLLWEVLGYLRECSRNLGALKDFVEFSLEMVALPVTSYDNSGNLRNKNYGPGGPATISGRESIHREVFTLVCREAEPLSSTEGSGFKLATDSPLHLDIDLVSPLRPVLLASVAFHEQMIKPRTLCSFTLSLLSHLPLPVEIDHLEVQFNQSTCNFVIRNSQRPLWASASNTVKSGSQVENEPSLVLVPNNWLRLTYAINSEQSGKLECLSVLAKLGPVFRSCSRAESPAAMEDLPVWKHENSVESLPTKDPILAVFGQKATQIDEPEPQVDVSLGASGPALVGEDFTMPIVVTSKGHAVYSGELKINLVDVGGGGLFSPREAEPFSLESHHVEICGIDGAEGNDESESETGSIKKIQQSFGLVSVPDLKEGESWSCKLEIKWHRPKPVMLFVSLGYLPHGSEANTQKLHIHKSLQIEGKMPLLISNRFMLPYRRDHLLLNRIKPAPDSEDMSSLPLNEKSVLVVSAKNCSEIALELVSMSIELDYELGETSCLIQQGSGCGGSPSSANLAAGEEFKKVFTVIPTTRTPKLGLGSVHLKWRRQGGNITEAYVSTKHKLPEVNVEASPLVMSLNSPPYAILGEPFTYAVRICNQTQLLQEAKFALADAQSFVLSGSHSNTVSVLPKSEHVLSYKLVPLTCGEQQLPKITVTSTRYSAEFQPSAVASSVFVFPSAPQAENANSTTK >fgenesh2_kg.8__2850__AT5G65930.2 pep chromosome:v.1.0:8:22941804:22948342:1 gene:fgenesh2_kg.8__2850__AT5G65930.2 transcript:fgenesh2_kg.8__2850__AT5G65930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQRGSNSSLSSGNGTEVATDVSSCFYVPNPTGTDFDAESSSLPPLSPAPQVALSIPAELAAAIPLIDRFQVEAFLRLMQKQIQSAGKRGFFYSKKSSGSNVRERFTFEDMLCFQKDPIPTSLLKINSDLVSRATKLFHLILKYMGVDSSDRSTPPSLDERIDLVGKLFKKTLKRVELRDELFAQISKQTRHNPDRQYLIKAWELMYLCASSMPPSKDIGGYLSEYIHNVAHDATIEPDAQVLAVNTLKALKRSIKAGPRHTTPGREEIEALLTGRKLTTIVFFLDETFEEISYDMATTVSDAVEELAGTIKLSAFSSFSLFECRKVVSSSKSSDPGNEEYIGLDDNKYIGDLLTEFKAIKDRNKGEILHCKLVFKKKLFRESDEAVTDLMFVQLSYVQLQHDYLLGNYPVGRDDAAQLCALQILVGIGFVNSPESCIDWTSLLERFLPRQIAITRAKREWELDILARYRSMENVTKDDARQQFLRILKALPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSAANSLVNGDISCSSKPQNFEVYEKRLQDLSKAYEESQKKIDKLMDEQQEKNQQEVTLREELEAIHNGLELERKKLLEVTLDRDKLRSLCDEKGTTIQTLMSELRGMEARLAKSGNTKSSKETKSELAEMNNQILYKIQKELEVRNKELHVAVDNSKRLLSENKILEQSIFNIEKKKKEEVEIHQKRYEQERKVLKLRVSELENKLEVLAQDLDSAESTIESKNSDMLLLQNNLKELEELREMKEDIDRKNEQTAAILKMQGAQLAELEILYKEEQVLRKRYYNTIEDMKGKIRVYCRIRPLNEKESSEREKQMLTTVDEFTVEHPWKDDKRKQHIYDRVFDMRASQDDIFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGHESNPGLTPRATKELFNILKRDSKRFSFSLKAYMVELYQDTLVDLLLPKSARRLKLEIKKDSKGMVFVENVTTIPISTLEELRMIIERGSERRHVSGTNMNEESSRSHLILSVVIESIDLQTQSAARGKLSFVDLAGSERVKKSGSAGCQLKEAQSINKSLSALGDVIGALSSGNQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETYNSLLYASRVRTIVNDPSKHISSKEMVRLKKLVAYWKEQAGKKGEEEDLVDIEEDRTRKDEADS >fgenesh2_kg.8__2851__AT2G48130.1 pep chromosome:v.1.0:8:22949219:22949891:1 gene:fgenesh2_kg.8__2851__AT2G48130.1 transcript:fgenesh2_kg.8__2851__AT2G48130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MNI1] KKLRNHICSPCGSSRGRNKSTAEWVMRPLSLRASATSPETQPLPHSLAVLSSTLSSNLRRNASAQPSTVRFPTSALTLTAHRPCSSPMPHARNIQTPPLTQCNAATGPAAPPPAPSPTENTPDVTLTPTSSPGARSGVRGGSKTIPSAGGGLSTGNVDRVPLHLLMFA >fgenesh2_kg.8__285__AT5G45110.1 pep chromosome:v.1.0:8:2506508:2509181:-1 gene:fgenesh2_kg.8__285__AT5G45110.1 transcript:fgenesh2_kg.8__285__AT5G45110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTEPSSSLSFTSSHFSYGSIGSNHFPSSTASNPEVVSLSKLSSNLEQLLNNPDFDYSDAEIIVDGVPVGVHRCILAARSKFFQELFKKEKKISKTEKPKYQLKEMLPYGAVGHEAFLYFLSYIYTGRLKPFPLEVSTCVDPVCAHDSCRPAIDFVVQLMYASSVLQVPELVSSFQRRLCNFVEKTLVENVLPILMVAFNCKLTQLLDQCIERVARSDLYRFCIEKEVPSEVAEKIKQLRLMSPQDEETSPKISEKLLERISKILKALDSDDVELVKLLLTESDITLDQANGLHYSVVYSDPKVVAEILALDMGDVNFRNSRGYTVLHFAAMRREPSIIISLIDEGANASEFTSDGRSAVNILRRLTNPKDYHTKTAKGRESSKARLCIDILEREIRKNPMVLDTPMCSLSMPEDLQMRLMYLEKRVGLAQLFFPTEAKVAMDIGNVEGTSEFTGLPPPSNGLTGNLNQVDLNETPDMQTKRLLTRMEALMKTVDTGRRFFPYGSAVLDRYMAEYIDEDILDDLRFEKGSTHERRLKRMRYRELKDDVQKAYSKDKESKIARSCLSASSSPSSSSIRDDLNYTT >fgenesh2_kg.8__288__AT5G45100.1 pep chromosome:v.1.0:8:2527058:2528249:-1 gene:fgenesh2_kg.8__288__AT5G45100.1 transcript:fgenesh2_kg.8__288__AT5G45100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7MKW0] MAVEARHMNLFSSQYITNRECVKSQTNMNYNNGFVGGDFPVTIGDRNLQYIDPINSFNKSESNLTAISKRQRDTTLDSNASQKRRQIAFSPSLIDAELVSQIQQQNSEIDRFVMQQTETLRIELEARQRTQTRMLATAVQNAILKKLKEKDEEIIRMGKLNWVLQERVKNLYVENQIWRDLAQSNEATANNLRSNLEQVLAQVDDFDAFRRPLVEEDAESSCASCDGGEVTAVNGGCRRCGDLTASVLVLPCRHLCLCTVCGSSALLQTCPVCDMVMTASVHVNMSS >fgenesh2_kg.8__291__AT5G45060.1 pep chromosome:v.1.0:8:2646266:2650262:1 gene:fgenesh2_kg.8__291__AT5G45060.1 transcript:fgenesh2_kg.8__291__AT5G45060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSDLPPQQHQVFINFRGEDLRLGFVSHLVEALENDNIKVFIDNYADKGEPLETLLTKIQESRIALAIFSGKYTESTWCLRELAMIKDCVEKGNLVAIPIFYKLDPSTVRGVRGQFGDAFRDLEERDVLKKKEWKKALKWVPDLIGITVHNKSPESEILNEIVREVKKVLKKVPLKGSRNFFVEPSEGSRKVAVDRSEIIDTRTSAEGEKDKTFGIKQQLKELEDKLDLIKYKGTRVIGVVGMPGIGKTTLLKELYKTWKGKFSRYALIDQIRGKSNNFRLECLPTLLLEKLLPELNNLQIDSVEEPYKTHKGLLRERKVLVVLDDVSEREQIYALLGKYDLQNKHEWIKDGSRIVIATNDKSLLKGLVHDTYVVRQLNHRDGLQLFRYHAFHDDQAIAPKVDFMKLSDEFVHYARGHPLALKILGRELYEKNMKHWETKLKILAQSPTTYIGEVVQVSFDELSMAQKDAFLDIACFRSQDVDYVESLLVSSDPGSAEAIKALKNKFLIDTCDGRVEMHDLLYTFSRELDLRASTQVQDIINVQQKTMGAADVRGIFLDLSEVKGETSLDREHFKNMRNLWYLKFYNSHCPQECKTNNKINMPDGLELPLKEVRCLHWLKFPLEELPNDFDPINLVDLKLTYSEIERLWEGVKDTPVLKWVDLNHSSKLCSLSGLSKAQNLQRLNLEGCTSLESLRNVNLMSLKTLTLSNCSNFKEFPLIPENLEALYLDGTAISQLPDNVVNLKRLVLLNMKDCKMLETISTCLGELKALQKLVLSGCLKLKEFPEINKSSLKFLLLDGTSIKTMPQLHSVQYLCLSRNDHISYLRVGINQLSQLTRLDLKYCTKLTYVPELPPTLQYLDAHGCSSLKNVATPLARIVSTVQNHCTFNFTNCGNLEQAAKEEITSYAQRKCQLLPDARKHYNEGLSSEALFSTCFPGCEVPSWFCHEAVGSLLQRKLLPHWHDERLSGIALCAVVSFLEGQDQISCFSVTCTFKIKAEDNSWVPFTCPVGIWTREGDEKDKIESDHVFIAYISCPNTIRRLEDQNSDKCNFTEASLEFTVTSGIGVFKVLKCGLSLVYENDKNKNSSLEAKYDVPVEVSFQEPGHGIMEEERNINKRRSDDRRPKKKRKTRRDAITHRHSNLWCRCNSKNGR >fgenesh2_kg.8__292__AT5G45070.1 pep chromosome:v.1.0:8:2694142:2695858:-1 gene:fgenesh2_kg.8__292__AT5G45070.1 transcript:fgenesh2_kg.8__292__AT5G45070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-A8 [Source:UniProtKB/TrEMBL;Acc:D7MKX9] MAASSSVRPTTTGPQVFINFRGKDVRNGFLSFLEPAMREANINVFIDKHEVVGTDLVNLFVRIQESRVVVVIFSKDYTSSEWCLDELAQIKDCIDQGGLNVIPIFYKLAPSSVEELKGGFGDSFRVLKCKYKDEPERTQKWEEALKSIPKIKGLTLSEKSDRNEREFMNETIFEIQRSLSQIAVKGNPKLESNSLGGFMVPARRLVITHAENPEKWTWSAIYDRPHKADIEIATMINTHSLIKINGDFHTRKLIPGKKYEVVFLVRLHDTSLGWKNDVTLTLKLVMGDKTGNEKEKKLCLDEYIGENWVDILVGEFEAPPKKDDAKIFFSMSQYVDTDKKSGLVVKGFAIRPA >fgenesh2_kg.8__2__AT5G47760.1 pep chromosome:v.1.0:8:59585:61517:1 gene:fgenesh2_kg.8__2__AT5G47760.1 transcript:fgenesh2_kg.8__2__AT5G47760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycolate phosphatase [Source:UniProtKB/TrEMBL;Acc:D7MP13] MAPQLLSSRNFKSLFDSVDTFLFDCDGVIWKGEKLIDGVAQTLDLIRSKGKNVVFVTNNSVKSRRQYAEKFRSLGVPSVTQDEIFSSSFAAAMYLKVNNFHKDKKVYVIGGEGILEELQIAGFTGLGGPEDGEKRAQWKSNSLFEHDKSVGAVVVGLDPNINYYKLQYGTLCVRENPGCLFIATNRDAVGHMTDLQEWPGAGCMVAAMCGSTEREPIVVGKPSTFMMDFLLQKFGTETSRMCMVGDRLDTDILFGQNAGCKTLLVLTGVTSESNLLKEGNEIEPDYYTSTVSDMMKLMESP >fgenesh2_kg.8__300__AT1G64750.1 pep chromosome:v.1.0:8:2781359:2782651:1 gene:fgenesh2_kg.8__300__AT1G64750.1 transcript:fgenesh2_kg.8__300__AT1G64750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPKAAVEVVKVDLFEDDDEFEEFEINEDSLEKEEVKEVSQQWEDDWDDDDVNDDFSRQLRKELENGSEKK >fgenesh2_kg.8__304__AT5G44970.1 pep chromosome:v.1.0:8:2794891:2796386:-1 gene:fgenesh2_kg.8__304__AT5G44970.1 transcript:fgenesh2_kg.8__304__AT5G44970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MKZ7] MRNDIISELPESLITQILLWLPTKDSIKTSVLSTRWRNLWLNEWIATAVDSGIQHLDFETKNPLLLKDLMPMNIYKSKTLVSLKLVCVELENPKFVVSLPCLKILHLEKIWYCYDGPLVVEKLISGCPVLEDLTMVRIHDADIQALPLLHVRSLTLKIFRLMFNLEMTTRPILVEIDAPKLKYLSFKARQSDKIMVKNLSSLFKIDLDTDFGGSSLEPHDLRKRRDIIRDVLTGISSVRHMIISQRTLEVIHGYSKLGPIPKFHHLTHLKAAFSSTSLQLLLAFLESCPNLKNLILDLSVSTEPERIDITNVPRCITTTLECIEINKLIRKGETGIKLVQYFLENSPILKKAKLSFTDSPMSMTNLPLDRVFKMYLTSRKRSRRCQ >fgenesh2_kg.8__305__AT5G44950.1 pep chromosome:v.1.0:8:2836612:2838114:-1 gene:fgenesh2_kg.8__305__AT5G44950.1 transcript:fgenesh2_kg.8__305__AT5G44950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MLF3] MGRDRISELPDGLLNHILMYLHIEESIRTSVLSSRWRDIWLKVPGLDLNLFDFPAHGVLFGSLMDKFLEVNSGRLQKFKLKYENEPYYYYYLSDRFVPWIATVVDRGIQHLDVTTTNNAPMTIDFMPSNIFKSKTLVSLKLVNVGLDNPKFVVSLPCLKIMHLEDIFYSPLIVEKLLSSCPVLEDLTIVRNHEDFFNFLLVRSQTLKTFRLTFDWEMGSTDFSVEIDAPGLKYMSFRDSQSDRIVVKNLSSLVKIDLDTEFNLKFGLGSPLEPEDLTKRDIIRDFLTGISSVKHMIISHPTLEVLYRYSKLGPLPKFHNLYHLRAAFSSLLLPLLSDFLEICPNLKNLILDYSVSAEPEQIDFTNVPQCLILTLEYVEIKELTMREETGIKLVNYFLENSAVLKKLTLSFIDSPMTNQESEIYMQLLTSTKRSRGCQVLIL >fgenesh2_kg.8__306__AT5G44930.2 pep chromosome:v.1.0:8:2846476:2875035:1 gene:fgenesh2_kg.8__306__AT5G44930.2 transcript:fgenesh2_kg.8__306__AT5G44930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKLNPKIRKPNNSSSKKVTVSFLSVFFVFVFVNTFFYPSFYSDSGSIRRNLVDSRESFDFPEKLRKTKVYMYDLPTNFTHGVIQQHGGEKSDDVTGLKYPGHQHMHYHFHGRINGSARMDRALIPFSSHLSNVGSFSTLTRPEIERVGSPIVRVFDPAEADLFFVAAFSSLSLIVNSDRPEFGSGFGYSEEVMQESLVSWLEGQEWCRRNNGRDHVIVAGDPNALNRVMDRVKNAVLLVTDLGWFRADQGSLVKDVIIPYSHRVDAYEGELGVKQRNNLLYRETSHNLLGSVLVYGLALNVKYGGRVRDLLFKLLENEEDVVIKHGTQSRENRRAAKQGMHTSKFCLHSAGDTHSACRLFDALASLCVPVIVSDGIELPFEDDAALKPGFVVKKLRKVKPEKILKYQKAMKEVRRYFDYTHPNGSVNEIWRQVTKKIPLIKLMINREKRMIKREGSDLQCSCLCLNQTGIIHGV >fgenesh2_kg.8__30__AT5G47610.1 pep chromosome:v.1.0:8:108081:108771:1 gene:fgenesh2_kg.8__30__AT5G47610.1 transcript:fgenesh2_kg.8__30__AT5G47610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MP29] MRFLVEEVASPLSSAATPTCNSHTCRWKPYSNSTDFTANASVLLILVISALICALSLYAAIRCFLRPTLETEDDHKPDLEAAAPSTATTPTLVYSSDLELAGAEAECAICLSEFEQGESIQVLEKCQHGFHVKCIHKWLSTRSSCPTCRTCIFSQHSETPSSQINA >fgenesh2_kg.8__312__AT5G44850.1 pep chromosome:v.1.0:8:2912580:2913800:-1 gene:fgenesh2_kg.8__312__AT5G44850.1 transcript:fgenesh2_kg.8__312__AT5G44850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRLEYKEIKEMMMIKAKRAPVLDSLCIKLGPQCPVNVDVGKWVAKAVDRFVRKLKFKLLWSADLISLPKSLYTCKMLIFLLLPRLPSLKKLNLINVVYTDDASLIRVKRERNENIKYFYVIVPSLRDLWCHDYNRKYVGNPLPRSLSSVLFLEFFLADEMEAFSLYLSLLLVRYSTINFSRLTELVICPDKSDWLEPLLLLLGNSPKLKKLLVDYVGTNRNPRGSPTCMERTEFSSWLLVLSLSGRNMEEEQKRKNSLRATISLRSAFNLEEKQKVMEELESIPRVSKSSQLLLK >fgenesh2_kg.8__317__AT5G44790.1 pep chromosome:v.1.0:8:2954892:2959292:1 gene:fgenesh2_kg.8__317__AT5G44790.1 transcript:fgenesh2_kg.8__317__AT5G44790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Responsive-to-antagonist1 [Source:UniProtKB/TrEMBL;Acc:D7MLH0] MAPSRRDLQLTPVTGESSSEVGDMEEVRLLDSYYNEENADDILSKIEEGGGGDDSGLRKIQVGITGMTCAACSNSVEGALMNVNGVFKASVALLQNRADVVFDPNLVKEEDIKEAIEDAGFEAEILAEVVATGTTLVGQFTIGGMTCAACVNSVEGILRDLPGVKRAVVALSTSLGEVEYDPNVINKDDIVTAIEDAGFEGSLVQSNQQDKLVLRVEGIMNELDAQVLEGILTRLNGVRQFRLDRISGELEVVFDPEVVSSRSLVDGIEGDGYGKFKLRVMSPYERLTSKDTGEASNMFRRFISSLVLSIPLFFIQVICPHIALFDALLVWRCGPFMMGDWLKWALVSVIQFVIGKRFYVAAWRALRNGSTNMDVLVALGTSASYFYSVGALLYGAVTGFWSPTYFDASAMLITFVLLGKYLESLAKGKTSDAMKKLVQLTPATAILLIEGKGGKLVGEREIDALLIQPGDTLKVHPGAKIPADGVVVWGSSYVNESMVTGESVPVSKEVDSPVIGGTINMHGALHMKATKVGSDAVLSQIISLVETAQMSKAPIQKFADYVASIFVPVVITLALFTLIGWSIGGAVGAYPDEWLPENGTHFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGATNGVLIKGGDALEKAHKVKYVIFDKTGTLTQGKATVTTTKVFSEMDRGEFLTLVASAEASSEHPLAKAIVAYARHFHFFDESTEDGETNNKDLQNSGWLLNTSDFSALPGKGIQCLVNEKMILVGNRKLMSENTITIPDHVEKFVEDLEESGKTGVIVAYSGKLVGVMGIADPLKREAAVVVEGLLRMGVQPIMVTGDNWRTARAVAKEVGIKDVRAEVMPAGKADVIRSLQKDGSTVAMVGDGINDSPALAAADVGMAIGAGTDVAIEAADYVLMRNNLEDVITAIDLSRKTLTRIRLNYVFAMAYNVVSIPIAAGVFFPVLRVQLPPWAAGACMALSSVSVVCSSLLLRRYKKPRLTTILEITTE >fgenesh2_kg.8__318__AT5G44785.2 pep chromosome:v.1.0:8:2964485:2966760:1 gene:fgenesh2_kg.8__318__AT5G44785.2 transcript:fgenesh2_kg.8__318__AT5G44785.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLISRTLTRVVSSSLYHSRAAKLPTQKWVISQQIRVFSATVIGSGGRKPWAKASVKPPVNAAAEKESTPPKRIEYKPEISNWINLIGFVEQPVQFGPCSDGKFWAGTVISQRSGSKSSNFWIPIIFEGDLAQIAVQHVKKEDRIHVSGKLFIDSPPPNVTYSQSNVQVMVQNLNFVQAATSLTKTISPPEKEVISTKKRPVRSKKVKVIDEETSNSWKHLIENPKEWLDHRGNKANGLVKPGHPDFKMKVGGLSLWLSTAPDWALPKLKELEFDVLVPKGNIKLNQLKGEESWKDLVQNPDKWLDNRLDKTNVKYPDFKHKETGEALWMTNSPIWVLSKLPPLKKNQERPLMSNTVPQLELDVVVPNGNLTQLKREESWKNLVENPSKWWDNRLDKRNPKAPDFKHKETGEVLWINNNSPTWALSKLPPLKKNQERPVMA >fgenesh2_kg.8__31__AT5G47580.1 pep chromosome:v.1.0:8:114018:116571:1 gene:fgenesh2_kg.8__31__AT5G47580.1 transcript:fgenesh2_kg.8__31__AT5G47580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILGCDAHGDLNDAEFSKPLPLIGIYVAVASLICGLAMAADLIHGFRHRKFWFPCKFFSLNATSLTFIAVGIKLSVDLNTSMPSRQDQLAKLSSTVFVCTVMGNSMPSLGIMDNNDLLMNLMALGILVITDVVNICIQLGTGAIYVFSEEHFLVVLLMLLMLMILCFSATTVPTTKRILEAKYKKRYDVAMKCPLATERTVAKKIQQDLSKFWMMAHTSSPQFVMARSVTCTASGFFCLLSAITLGEAMVRSYFLRPRSLGFCHGDSDYKWSTSLVLVCQTTAVTIGTIAPAIRWFTAVNFRCPIRGKKYYRDEFRVESYWTHWFSEKKQHPLSLWILKDRRYRKIAHNAKRWILDVCIVIQYVIVFGSKIIRYISVFCVGKILMCCNFILRTSNTITHSESEKSDSNPSSRQDLASFVLHLEGEDPLVDVMVRSNREATDHWIENGRKKQPVNLIELLEATAPISKGFDGIAEFDSNKVASLAHGEPPNCWALPLVTLTSIAVALPDVKPPSLKKLLNAVNEALDFVNKFERVLDTGGESANLRKAAEVVWLGADLYHKWFDVDLRKLSKQQRNPEETVRELMEIAKKEFSESWQMNLMVCMKHKPSHWPIKTLAANSMYRICQTILLNYESRDYGTGEALLRKLESTISDIVSGCFCNVAQVISVKCLVSAVEVREESVRGAALHLGRTEKILEILERRSLPKLRCDEMKNIDEWRAFYKINSSECNNKSNTVYQESDELRLTVE >fgenesh2_kg.8__320__AT5G44780.1 pep chromosome:v.1.0:8:2967239:2969786:-1 gene:fgenesh2_kg.8__320__AT5G44780.1 transcript:fgenesh2_kg.8__320__AT5G44780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSHRLRRVLVAAPSYFQRSFPLSHPSDFPPVSSLLPRSVVKQSTEFGKSPARLFSTTQYQYDPYTGEDSFMPDNEGCDFNHWLITMNFPKDNVPSREEMISIFEQTCAKGLDISLEEAKKKIYAICTTSYQGFQATMTIGEVEKFRDLPGVQYIIPDSYADVENKVYGGDKYENGVITPGPIPVPTKEGFDSLEKESKPEQEEAQIIQTPSDEGKISGQVQDQGSQTPPDQRSVKRMQGAIDLGQGQGQRSRMSIPGQGQGEGSRMSIPGQGHSRGQGNRMPFMQGGFKQSQGTLSIGQGKTQRSEMPSFQGNVKQGEEIPINGQWQAPRSQMPSSQGSFDQRQETPTLRRGHAQGSQIPSFQSGNNQSQGTPIPGQGQGSQIPSNQVGYNQGQGAQTPPYQGLPNNYGQGAFVQYNQEPPQGNFIQGTQENYNQMGQRSYTPQSGGNYGPSQGAGSPGFGHGQGQGGHLLSPYQGSYNQGQGTPLTGQGQEGQIPSYHMGNSQGLGAPVPPNQVTPGNYGQWAFVNYNQGPPHGNFLQGPQQNYNQGGQWNYSPHNGGHYGPAQFGQWYHGPPQGQGNQWPQYQLSYNQGQGTPFPGQCLCPGCGMPSYQGSYNQGQGTHIHGQFQGQGCAMPSYQASYSHSQGVPVPLVPPYHGNYNPGPPSSYGQGTSTNINQGFPVNPANYNMQNGGNYGPPHELAGNPGFRQGFTGQGQNQTFQQEDQRNVAGGLSNNNPADPTVTRKPNSRT >fgenesh2_kg.8__321__AT5G44760.1 pep chromosome:v.1.0:8:2970247:2974538:-1 gene:fgenesh2_kg.8__321__AT5G44760.1 transcript:fgenesh2_kg.8__321__AT5G44760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MLH3] MAENDFSLKETCPKIGGGRSIPGGEMLTSTFDLVERMTFLYIRIVKARALPFNDLFVEVTIGSYKGRTKRNTNPNPNPEFHEVFAFNSDRLQGNVLEVAMKVNEEEVIGKCRFEVAEIPTRVPPDSPLAPQWYRLEDRNGNRFGGEVMLSVWMGTQADEVFPEAWHSDSATVTGENVVITRSKVYLSPRLWYLRVNVIDAQDLVPLQANRTNLEFLVKGFTRTMSPVWIEDMILKDKVGQKEESLGRCEIKLSQVERRVLPGPVPALWYNLERVGDSGFAGRIHLRVSLDGGYHVLDESIQYSSDYKASAKLLWTPAIGVLELGVNSASGLMPMKSRDGRGTTDAYCVAKYGQKWLGRTEPPLGRDVVEYMLDFGSNIWSLRRGRANFERIVTFFTMFIDSWIWFDSVCKWKSPLSKADSALPDELDEEFDGFPSAKSPDLVKKSCLWSNLLSLHETSDDFTCVLRHETP >fgenesh2_kg.8__323__AT5G44750.2 pep chromosome:v.1.0:8:2974907:2988011:-1 gene:fgenesh2_kg.8__323__AT5G44750.2 transcript:fgenesh2_kg.8__323__AT5G44750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:UniProtKB/TrEMBL;Acc:D7MLH4] MKRSLGSNSSNNSGSGSNKKTKKKNNPSNQKTLGAAWGAASSRSSFRSSPFSDFGSYMEVKNRKLQNQFETEASASSRGISGSEKLIFQGVSIFVDGFTIPSHQELRAYMIKYGGRFENYFSRRSVTHIICSNLPDSKVKNLRAFSRGLPVVKPTWIVDSISANRLLGWVPYQLDQLNDTQPKLSAFFAPRSHLTPRMASPVTSCPPDTGYSEAEEGSSIRAEHFEEARDHVDDEFDGVYIGNTTPELTEETGTGDLKSSEMNAERLANYDIEEKEVSSELQSTTKLHPAADNKSVHANGKNDGKSIATAAGSSARRHSTLEDPNFVENYFKNSRLHFIGTWRNRYRKRFHGPSNGLKWADSGQNTAEMDKKSTIIHIDLDCFFVSVVIKNRLELHDKPVAVCHSDNPKGTAEISSANYPARAYGVKAGMFVRHAKELCPQLVIVPYNFEAYEEVADQFYDILHRHCRKVQALSCDEAFLDVSDLRDVEPGFLASTIRNEILETTGCSASAGIGGTMLMARLATRVAKPAGQLYISAEKVEEFLDGLPVGTLPGVGSVLKEKLVKQNIQTCGQLRLISKDSLQKDFGVKTGEMLWSYSRGLDLRSVTAVQESKSIGAEVNWGVRFRDQQDVCILVQHFLQCLCKEVSLRLQGCEMIGRTFTLKIKKRKNDAEEPTKYMGCGDCDNLSRSITVPAATDDVEVLQRISKKLFGSFCLDVKEVRGVGLQVSKLDSADPSNKGSRTLKSWLSSASASVQIEQDDNVFAAKVRENSDCNRHVTGGVSILRESNSAESSIQSGDTNSSLPPMCHLDMEVLENLPPELLSELDGTYGGKLFELIEKKRGKRKINSNSPHVSLDGTAASIKELKSLSVKIHGLSTSREKEYKEPYVPHPSIARTSNQRTIEMTDLMPSSLSQVDVSVLQELPEELRVDVLGAFPANRRQQSSSNVPMETSKKQDEEPIDIKGTENEIGFSCSSLWFGNPPLWTEKFKVSGNCTLEKLSGIYFKVAQLRPMLSRVLQHAISEISSFHDAASANDLDKAIYDVCELLKQYIKLKVEGDIEEIYLCFRLLKRLAARSQLFLQVYEILSPFIQASISEHYGGSLSIP >fgenesh2_kg.8__324__AT5G44740.2 pep chromosome:v.1.0:8:2992089:2996151:1 gene:fgenesh2_kg.8__324__AT5G44740.2 transcript:fgenesh2_kg.8__324__AT5G44740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARAETSDARVIAHVDMDCFYVQVEQRKQPELRGLPTAVVQYNEWQGGGLIAVSYEARKCGVKRSMRGDEAKAVCPQIQLVQVPVARGKADLNLYRGAGSEVVSILAKSGKCERASIDEVYLDLTDAAESMLADAPPESLELIDEEVLKSHILGMSREDGDDFKENVRDWICRKDADRREKLLSCGIIIVAELRKQVLKETEFTCSAGIAHNKMLAKLASGMNKPAQQTVVPYSAVQELLSSLPIKKMKQLGGKLGTSLQTDLGIDTVGDLLQYSETKLQEHYGINTGTWLWNIARGISGEEVQGRLLPKSHGSGKTFPGPRALKSLSTVQHWLNQLSEELSERLSSDLEQNKRIASTLTLHASAFKSRDSNSHKKFPSKSCPLRYGVTKIQEDAFNLFQAAFREYMGPFGSKPRGNKQETWRITGLSISASKIVDIPSGTSSIMRYFQSESTVPSRSVDGCVQDHVTITPSASEGCSEQRSTETQAAMPEEETGVTYTLHNFENPDKGIDPVSEKDVVSCPSNEATDVSTQSESNKGTQTKRIGRKMNNSKEKNWGMPSIVDIFKNYNATPPSKQETQEDSTVSSTSKKAKFSNSSHNSQVNQEVEERREKDWGYKTDEIDQSVFDELPVEIQRELRSFLRPNKQFNAGKSKGDGSTSSIAHYFPPLNR >fgenesh2_kg.8__326__AT5G44730.2 pep chromosome:v.1.0:8:2997891:2999311:1 gene:fgenesh2_kg.8__326__AT5G44730.2 transcript:fgenesh2_kg.8__326__AT5G44730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLLSKLRCITVDVTGTLIAYKGELGDYYCMAAKAIGLPCPDYKRVHEGFKLAYTDMAQKYPCFGFHAKMPNIVWWKTCVRDSFVKVGYEYDEETFEKIFRRIYSTFGSAAPYSVFEDSRPFLRWARKKGLIVGLVSNAEYRYREVILPALGLNKAEWDFGVFSGIEGIEKPDPRIYKLALERAGNIAPEEVLHIGDSMRKDYAPAKSIGMHALLVDRFKTEAAKDWTEAGAIVLPDLVAVQQLLESDKLKC >fgenesh2_kg.8__32__AT5G47570.1 pep chromosome:v.1.0:8:116751:118157:1 gene:fgenesh2_kg.8__32__AT5G47570.1 transcript:fgenesh2_kg.8__32__AT5G47570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLSGVASRIMGGNGVVARSVGSSLRQRAGMGLPVGKHIVPDKPLSVNDELMWDNGTAFPEPCIDRIADTVGKYEALAWLSGGLGFFAGLGLLAVLNDKASKVPFTPRVYPYDNLRVELGGEP >fgenesh2_kg.8__330__AT5G44710.1 pep chromosome:v.1.0:8:3004533:3005456:-1 gene:fgenesh2_kg.8__330__AT5G44710.1 transcript:fgenesh2_kg.8__330__AT5G44710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSLKSLISSAVGRGVTEARARIFGHMLNPTGQRSPHKILRKKLIGDKVAEWYPYDIKNEDPNVLAREEQERISKLEMLKRRNKGPPKKGHGKRAAKRNK >fgenesh2_kg.8__333__AT5G44670.1 pep chromosome:v.1.0:8:3030663:3033090:1 gene:fgenesh2_kg.8__333__AT5G44670.1 transcript:fgenesh2_kg.8__333__AT5G44670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERDQNTKDNKNLLICFLWNFSAELKLALMALLVLCTLASLLPFLPSSSSISASDLRFCISRIAVNSTSVNSTAVEKPVLDNVVMTEKLVLDNAVMTEKPPLSEETVLSNGVIKRTFTGYGWAAYNFVLMNAYRGGVNTFAVIGLSSKPLHVYAHPTYRCEWIPLNQSDNRILTDGTKILTDWGYGRVYTTVVVNCTFPSTTVINPKNTGGTLLLHATTGDLDRNITDSVPVLTETPNTVDFTLYESHRRREKYDYLYCGSSLYGNLSPQRIREWIAYHVRFFGERSHFVLHDSGGISEEVFEVLKPWIELGRVTIHDIKDQERFDGYYHNQFMIVNDCLHRYRFMTKWMFFFDVDEFLHVPVKETISSVMESLEEYSQFTIEQMPMSSQLCYDGDGPARTYRKWGFEKLAYRDVKKVPRRDRKYAVQPRNVFATGVHMSQNLQGKTYHRAEGKIRYFHYHGSISQRREPCRHLYNGTRIVHDNNPYVLDTTMRDIGLAVKTFEIRTIGDRLLRTRQ >fgenesh2_kg.8__334__AT5G44660.1 pep chromosome:v.1.0:8:3036177:3037811:-1 gene:fgenesh2_kg.8__334__AT5G44660.1 transcript:fgenesh2_kg.8__334__AT5G44660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVLMPMVSFRDESSRENWQVISRNDSKKKILVKQTSMLQSEREISMDPKSIRSLSFSGSLRRNDSFDMIRLPAMSPPRDLDAPMAIPLQPVQTSASPKQRSGLMRALRNKEQDSLSNSPKQRSGLMRALRNKEQDSLSNSTSASPKQRSGLMRALRNKEQDSLPNSTSASPKQRSGLMRALRNKEQDSSSASYKRSKSCGSTSKRLSLKSSGIRNSVFIKTESNKSISNNNTLEDGFRCNALCLYLPGFSKEKPIKSSRKDDSSSFTRTTTMTRSSSSTITVSRTVSVRESTTTTTVISARASMEKFDCGSYTSEAVGEEGGNHFFDLPSELIKTGSGDNDHDEPVSAAFVFDKEPVEKEIKGVLKVSGSSNRKSMESSSLRQVRFSTSSPVSYPTSPAISPRLLEATKNFNAFLEAQAV >fgenesh2_kg.8__335__AT5G44650.1 pep chromosome:v.1.0:8:3038217:3040586:-1 gene:fgenesh2_kg.8__335__AT5G44650.1 transcript:fgenesh2_kg.8__335__AT5G44650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQIFQLPLQYCVSSFSSAGQRNYGVSSSPTPFVICKSNGISDDLWVKRRRKNQRFGSVIVKQEKGDVTEIRVPVPLTLEQQEKEKQNRDDEEDEEGEVDPEDLKYVNEIKRVLELLRRNRDMIFSEVKLTIMIEDPREVERRRLLGIEDADTPSREDLAEALEQVNDGKIPKDRATLRMLHEEMIRWPNLEVEVSNKQRGKSMYAKSTDTGIDPKEAAKRLNIEWDSAAAIEEADVDDEQGVVTKVAGYGALYFVSALPVIIGISVVLILFYNSLQ >fgenesh2_kg.8__336__AT5G44640.1 pep chromosome:v.1.0:8:3071182:3072896:-1 gene:fgenesh2_kg.8__336__AT5G44640.1 transcript:fgenesh2_kg.8__336__AT5G44640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7MLJ4] MRTKYLSLLVVLVVLASNEVIAKKHSSTPKLRRSDFPKDFLFGAATSAYQVEGAAHEDGRGPSIWDTFSEKYPEKIKDGSNGSIASDSYHLYKEDVGLLHQIGFGAYRFSISWSRILPRGNLKGGINQAGIDYYNNLINELLSKGIKPFATIFHWDTPQDIEDAYGGFLGAEIVNDFRDYADICFKNFGDRVKHWMTLNEPLTVVQQGYVAGVMAPGRCSKFTNPNCTAGDGATEPYIVGHNLILAHGEAVRVYREKYKASQNGQVGIALNAGWNLPYTESAEDRLAAARAMAFTFDYFMEPLVTGKYPVDMVNNVKGGRLPTFTAKQSKMLKGSYDFIGINYYSSSYAKDVPCSSENVTQFSDPCASVTGEREGVPIGPKAASDWLLIYPKGIRDLLLYAKYKFKDPVLYITENGRDEASTGKIDLKDSERIDYYARHLKMVQDAISIGANVKGFFAWSLLDNFEWATGYAVRFGLVYVDFNDGRKRYPKKSAKWFTKLLSEKKRN >fgenesh2_kg.8__33__AT5G47560.1 pep chromosome:v.1.0:8:120528:125970:1 gene:fgenesh2_kg.8__33__AT5G47560.1 transcript:fgenesh2_kg.8__33__AT5G47560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSDAT [Source:UniProtKB/TrEMBL;Acc:D7MP35] MNGGDVTVAGSDDLKSPLLPVVHNDEPFERQTVGQQLRTIFTPKNCYIALGPLLCAVVCLCVDLGGDDTTTARNMLGVLVWMFAWWLTEAVPMPITSMTPLFLFPLFGISAADDVANSYMDDVISLVLGSFILALAVEHYNIHRRLALNITLVFCVEPLNAPLLLLGICATTAFVSMWMHNVAAAVMMMPVATGILQRLPSSSSSTEVVHPAVGKFCRAVVLGVIYSAAVGGMSTLTGTGVNLILVGMWKSYFPEADPISFSQWFFFGFPLALCIFVVLWCILCVMYCPKGAGQALSPYLHKSHLRRELDMLGPMNFAEKMVLAVFGGLVVLWMTRNITDDIPGWGRIFDGRAGDGTVSVMMATLLFIIPSKIKKGEKLMDWSKCKKLPWNIVLLLGAGFAIADGVRTSGLAEVLSKGLVFLETAPYWAIAPTVCLIAATITEFTSNNATTTLLVPLLIEIAKTMGIHPLLLMVPGAIGAQFAFLLPTGTPSNVVGFTTGHIEIKDMIKTGLPLKIAGTIFLSILMPTLGAYVFASKGGV >fgenesh2_kg.8__341__AT5G44620.1 pep chromosome:v.1.0:8:3099515:3101296:1 gene:fgenesh2_kg.8__341__AT5G44620.1 transcript:fgenesh2_kg.8__341__AT5G44620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MLJ8] LYPLTNRGLHRCRRDLGAFPLSDSFHSSNRSFQELAKKHGPIFKLWLGAKLTIVVTSSEVAQDILRTNDIIFANHDVPAVAPANTYGGMEIDTEQRANAMLDSSTDLRRRETRKTVRYLAGQARVGSPVNLGEQIFLMMLNVVTQMLWGRTVKGKERESVVAEFLEVIREMNDLLLVPNISDFFPALSRFDLQGLVKRMRGPAQRMDQMFDRIINQRLGMDRESDWKTEDFLDALLKIKLTMNDVKALLVDMVLGGTDTSLHIIEFAMPELLHNPDIMKRAQQELDKVVGKEKVVDESHISKLPYILAIMKETQRLHTIVPLLVPRRPSKTTVVGGFTIPKDSKIFINVWAIHRNPNVWENPLKFDPDRFLDKSYDFKGNDFNYLPFGSGRRICVVVLYNLATLLHSFDWKIPQGERVEVEEKFGIVLELKNPLVATPLLRLSDPNLYL >fgenesh2_kg.8__345__AT5G44568.1 pep chromosome:v.1.0:8:3208037:3209134:1 gene:fgenesh2_kg.8__345__AT5G44568.1 transcript:fgenesh2_kg.8__345__AT5G44568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTSNLVPLLLSLFILLLFTLSQVRLAQAKRLQQRNELRLDCVPLPPPPPPLRRIVKPPIASFPSKSPKDKGP >fgenesh2_kg.8__348__AT5G44560.1 pep chromosome:v.1.0:8:3248962:3251663:-1 gene:fgenesh2_kg.8__348__AT5G44560.1 transcript:fgenesh2_kg.8__348__AT5G44560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATRGIEREITSLQLEEKRLVAEIKKTAKTGNEAATKILARQLVRLRQQITNLQGSRAQIRGVTTHTQALYASTSISSGMKGATKAMVAMNKQMAPTKQAKVIKDFQKQSAQLDMTIEMMSEAIDETLDKDEAEEETEDLTNQVLDEIGVGVASQLSSAPKGRIATKTAAPPASTAATKKNSESSEVDELEKRLASLRRI >fgenesh2_kg.8__34__AT5G47550.1 pep chromosome:v.1.0:8:126559:127060:1 gene:fgenesh2_kg.8__34__AT5G47550.1 transcript:fgenesh2_kg.8__34__AT5G47550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:D7MP36] MTSKVVFLLTLSLVVLLLPLYASAAARVGGWSPISNVTDPHVVEIGEFAVSEYNKRSESGLKFETVVRGETQVVAGTNYRLTVAANDGEGASKNYLAIVWEKPWMKFRNLTSFEPANNGRFL >fgenesh2_kg.8__350__AT5G44530.1 pep chromosome:v.1.0:8:3259292:3262907:-1 gene:fgenesh2_kg.8__350__AT5G44530.1 transcript:fgenesh2_kg.8__350__AT5G44530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MM02] MGRVMLVKFGFLLLVISFWFLSNNILGQQQDEDDDSAVYIVTLKEPPIVHLFEEQELKHKKSKFTPKLKPRNNSRKRHGKSKIPSVAQSHDSFLRKTLRGEKYIKLYSYHYLINGFALFVSSQQAEKLSMRREVANIVLDYSVRTATTYTPQFMGLPQGAWVREGGYEIAGEGVVIGFIDTGIDPNHPSFNDNDSKRSYPIPKHFSGVCEVTPDFPSGSCNKKLIGARHFAQSAVTRGIFNLSEEYASPFDGDGHGTHTASVAAGNHGVPVIVSNHNFGYASGIAPRAFISVYKALYKSFGGFAADVVAAIDQAAQDGVDILSLSITPNRKPPGVATFFNPIDMALLSAVKAGIFVVQAAGNTGPAPKSMSSFSPWIFTVGASSHDRVYSNSLILGNNVTIQGIGFAIPTDDGKMYKMISAFHALNKSTSVDRDMYVGECQDYENYDQDLVSGNLLICSYSARFVLGLSTIKQALDVAKNLSAIGVVFYIDPYVLGFEINPTPMDMPGIIIPSVEDSKVILLKYYNSSLQRDGTTKEIVSFGAVAAIEGGLNANFNNRAPKVMYYSARGPDPEDNSFNDADILKPNLVAPGNSIWGAWSSASTDSTEFEGEKFAMMSGTSMAAPHVAGVAALIKQTYPQFTPSQIASALSTTALLNDNKGGPIMAQRTYSNPDQSLYTATPFDMGSGFVNATAALDPGLVFDTSFEDYMSFLCGINGSDPLVFNYTGFRCPANNTTISGFDLNLPSITVSTLSGTQIFQRSMRNIAGNETYNVGWSPPYGVSMKVSPTQFSIAMGETQVLSVTLTATKNSSSSSFGRIGLFGNTGHIVNIPITVIAKIASS >fgenesh2_kg.8__353__AT5G44500.1 pep chromosome:v.1.0:8:3275194:3276941:-1 gene:fgenesh2_kg.8__353__AT5G44500.1 transcript:fgenesh2_kg.8__353__AT5G44500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein-associated protein [Source:UniProtKB/TrEMBL;Acc:D7MM06] MSMSKSSKMLQFINYRMRVTIQDGRQLIGKFMAFDRHMNLVLGDCEEFRKLPPAKGSKKTKEDREERRTLGLVLLRGEEVISMTVEGPPPPEESRAKSGSVTAVAGPGIGRAAGRGVPTGPLVQAQPGLSGPVRGIGGPAPGMMQPQISRPPQIIRPPGQMPPPPPSGFTGQGGPPPPYGMRPPYPGPPPPQYGGQRPMMIPPPGGMMRGPPPPHGMQGPPPPRPGMPPPPGGAPMFAPPRPGMPPPPPNHHNQQH >fgenesh2_kg.8__357__AT5G44450.1 pep chromosome:v.1.0:8:3342353:3344222:1 gene:fgenesh2_kg.8__357__AT5G44450.1 transcript:fgenesh2_kg.8__357__AT5G44450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSLHSPFVSIGHFHCHNHQNHISPPSTTTTTTTLASPPYLFQQRRETRSVLTLSSESMEICGVDSEGKEFNSVQEMWREEIGEEGDETRKTQWYRDGVSYWEGVEASVDGVLGGYGHVNDADIIGSEVFLKTLLQERLVNVGPNQHLVALDCGSGIGRITKNLLIRYFNEVDLLEPVAQFLDAARENLASAGSKTHKATNFFCVPLQEFTPAAGRYDVIWVQWCIGHLTDNDFVSFFNCAKGCLKPGGFFVVKENLAKKGFVLDKEDHSITRSDPYFKQLFRQCGLHLYRTKDQKGLPQELFAVKMYALTVDTPPKIHRTRSKTTSNRPQIIK >fgenesh2_kg.8__35__AT5G47530.1 pep chromosome:v.1.0:8:130269:131949:-1 gene:fgenesh2_kg.8__35__AT5G47530.1 transcript:fgenesh2_kg.8__35__AT5G47530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSNLLLCLSVLIFIITESALAQKCSNYKFSTNRLFESCNDLSVLDSFLHYTYDSSSGNLQIAYRHTKLTSGKWVAWAVNPTSTGMVGAQAIVAYPQSDGSVRAYTSPISSYQTNLQEAELSFNVSELSATYQNNEMIIYATLNLPLANGGIINTVWQDGSLSGNNPLPHPTSGNNVRSVSTLNLVSGASGSTSTGGGGGASKLRKRNIHGILNGVSWGIMMPIGAIIARYLKVSKSADPAWFYLHVFCQSSAYIIGVAGWATGLKLGSESAGIQFTFHRAVGIALFCLATIQVFAMFLRPKPEHKYRVYWNIYHHTVGYTVIILAVVNVFKGLDILSPEKQWRNAYTAIIVVLGIVAAVLEAFTWYVVIKRGKAEESAKTGQRVGNDGRSLYV >fgenesh2_kg.8__361__AT5G44410.1 pep chromosome:v.1.0:8:3397730:3399473:1 gene:fgenesh2_kg.8__361__AT5G44410.1 transcript:fgenesh2_kg.8__361__AT5G44410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLRFLLSLFIKVLLLNLSLSHFPLISGQRTNHEDFLRCLTHRINDHESRIIHTSKDPSFFSILNSSIQNPRFSVLETPKPVSIITPVQATNVQSTIRCARLHGIHIRTRSGGHDYEGFSYMAKIRPFVVLDLRNLRSITLDVDNRTGWVQSGATIGELYYKIGKLSKSLAFPAGLYPTVGVGGQFGGGGYGTLMRKYGLSADNVIDAHIVDANGSFLDRQGMGEDFFWAIRGGGGSSFCVVLSWKIRLLDVPSVVTVFNVVKTSEKDAVSIINKWQYIADKVPNDLFIRAMLQKETKVYASFPGLYLGPVSDLLALMKEKFPELGLEIGDCREMSWIESVLWFVKEQSMETLAKRKRTSRSFKGKDDFVEEPIPKPAIRYLWKRFEAPEARLAKIILTPFGGKMNEIAEYETPFPHREGNLYEIQYLAYWSEEEDKNKTNTEKYLRWVESVYEFMTPYVSKSPRRAYVNFRDIDLGMYLGMNMKTKYEEAKIWGVKYFKNNFDRLVRVKTNVDPMDFFCDEQSIPIMNSVNDI >fgenesh2_kg.8__362__AT5G44400.1 pep chromosome:v.1.0:8:3405171:3406973:1 gene:fgenesh2_kg.8__362__AT5G44400.1 transcript:fgenesh2_kg.8__362__AT5G44400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MMH5] MGFSKPLPLFSFLSILVLYFSFYTITPTSSLASLQDQFINCVQRNTHVYFPLEKTFFAPTKNVSMFTQVLESTAQNLRFLKQSMPKPGFIFSPLHESHVQASIICSKKLRMHLRVRSGGHDYEGLSYVSQIDKPFILMDLSKMRQVNINIQDNSAWVQSGATVGELYYRIAEKSKVHGFPAGLCSSLGIGGHITGGAYGSMMRKYGLGADNVLDAKIVDANGRLLDRAAMGEDTFWAIRGGAGGSFGIILSWKIKLVPVPQTVTVFTVTKTLHQDVGNKIISKWQRVADKLVEELFIRVLFNVAGNGGNKTVTTSYNALFLGGKGTLMKVMKKSFPELGLTLKDCIEMSWLESISYISGFPSHTPTSVLLQGKSPYPKVSFKAKSDFVKTPIPESGLQGIFKKLLKEDIPLMIWNPYGGMMAKIPESQIPFPHRKGVLFKVQYVTSWLDSDKRPSRHINWIRDLYNYMTPYVSSNPREAYVNYRDLDLGKNTKDVKTCIKQAQVWGANYFKKNFNRLMMIKSKVDPENFFRHEQSIPPMM >fgenesh2_kg.8__366__AT5G44360.1 pep chromosome:v.1.0:8:3448300:3449895:1 gene:fgenesh2_kg.8__366__AT5G44360.1 transcript:fgenesh2_kg.8__366__AT5G44360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MMI1] MRTLEAFALSLFLVFLVKWVNSDSNSLPSRDQFLSCMSTHSDSSFINPKSFIHKPDSRLYTNFSQSLSQNYRFLTLNFTSQKPILIVTPRTDSEIQRSLLCSRKLGVQVRTKSGGHDYEGLSYLSLHSPFIILDLVNIRSIEINLADETAWVGAGATIGELYYNIAKSSKIHGFPAGTCPSVGVGGHFSGGGFGAMMRKHGLAADNVVDARFVDANGRIYNSRREMGEDLFWAIRGGGAASFGVVVSWKVKLVRVPEKVTCFRRNLPWTQNMTKIVHRWQQIAAELEDNLFIRVIVSNSGGSVQATFQANYLGGIDKLIPLMNQKFPELGLRFQDCTEMTWIDSIMYFNWKKGQPLETLLDREQRYNDLYFKAKSDFVKNPIPEIGLEGIWKRFHEVESPIMIMEPLGGKMYEIGESETPFPHRRGNLYNIQYMVKWRVKEIGEMEKHVRWMRLLYRYMRVYVSGSPRGAYLNYRDLDLGMNKGINTSFEDARLWGFRYFGSNFKRLAMVKGKIDPTNFFRNEQSVPPLMV >fgenesh2_kg.8__367__AT5G44350.1 pep chromosome:v.1.0:8:3453997:3455219:-1 gene:fgenesh2_kg.8__367__AT5G44350.1 transcript:fgenesh2_kg.8__367__AT5G44350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPWKKPKSSRILRFMSEFQQSPFVETGFPTSLIDLFFKNRDRLKKSPSKRFKRIERQIQTAPNASSLTNQDAVLEKPTGIKTVRSKIEKVNCVKGESAAEKKHAIKNSVCVCGGGGEVVLMAFKVLIVALLALSTKKKLTIGITLSAFALLLTELVAARVFTRSKLCNTGKDKNAIGREKIIETFDETRVPDVQETEHVVAETEVSKLKGLTIRDLLLKDEKSTSKSWRLKSKIVKKLRSYNNKKTKKTMMIKEESLIEVSSLVLEDKPNKVDSERDQETLNLPLMRSNGDKMNVIVLIVIVLTGLLCGKILAIVLTLSCLVLRFGEVQKI >fgenesh2_kg.8__36__AT5G47520.1 pep chromosome:v.1.0:8:134174:136358:1 gene:fgenesh2_kg.8__36__AT5G47520.1 transcript:fgenesh2_kg.8__36__AT5G47520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYSEDDKSEDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKMDINGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFHSIGRWLNELHTHSDMNVVTILVGNKSDLKDLREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFETVVKEIYNILSRKVMSSQELNKQDPASLSNGKKVVIPSDGQGESKKGGCCSS >fgenesh2_kg.8__371__AT5G44316.1 pep chromosome:v.1.0:8:3502980:3504663:-1 gene:fgenesh2_kg.8__371__AT5G44316.1 transcript:fgenesh2_kg.8__371__AT5G44316.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MMJ1] MASLFANGISRFSPQTTSDSSKAPKEFHPKLESLKFPSPKTLNRTRVFKVRAKDGTESSDKLQQYFKNQDYVKKYGFTEHIDSLTIPNGLSEETIRLISKLKKEPTWILEFRLKAYAKFLKLEEPKWSDNRYPLLNLQDMCYYSVPKKKPTLDNPKVLEIFDRLDVPITKKKCSPKVAVDAVFNSESIAITNKEPLEKSGVIFCSISEAIRKYPALIKKFLGRVVPSDDNYYAALNSAVFSDGSFCYVPKNTRCLMPVSSYFRMNAIKSTGQFERTLIVAEEGSYVEYSEGCTAISHDKNQLHAAVVELYCAEGAEIKYSTGGLCAGDRSKISWTQVEKGSAITWKYPSVVLEGDDSVGEAENAKNTSTCDSMLIGDNAAANTYPYIQVKNPSARVEHEASTSKIGDDQIFYFQQRGIDHERALAAMISGFCRDVFTKLPNEFGAEVNQLLSIKLEGSVG >fgenesh2_kg.8__373__AT1G50010.1 pep chromosome:v.1.0:8:3512658:3514988:1 gene:fgenesh2_kg.8__373__AT1G50010.1 transcript:fgenesh2_kg.8__373__AT1G50010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:D7KFU7] MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRSLSIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAFHEQLSVAEITNSAFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEDDEGEEY >fgenesh2_kg.8__375__AT5G44310.2 pep chromosome:v.1.0:8:3539897:3541537:1 gene:fgenesh2_kg.8__375__AT5G44310.2 transcript:fgenesh2_kg.8__375__AT5G44310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MMJ6] MAAMQLTRTVFFGLSKAFPKSQAPRTLAVVIGRKSSRVFFASSVNHSKGRYDPVEKARDSRADLAYDSKKWREESGEYAEAGKEKAHEIKEEAKDKANDVKERTKDYAEQTKGKVNERASRAADKAYETKEKAKDKAYDVKEKTKDYAEETKDKVNEGASRAADKAYETKEKAKDKAYDVKEKAKDYAEETKDKVKEGASRAADKAYDVKEKTKDYAEQTKDKVNEGANRAADKAEETKDKAKDYAEDSKEKAEDMAHGFKEKAQDIGEKTKETVKDVWETAKSTAQKVTEAVVGSGEEADRARDDVDKGLEDLSKKANENRDKDDDFKRF >fgenesh2_kg.8__37__AT5G47510.1 pep chromosome:v.1.0:8:136891:138815:-1 gene:fgenesh2_kg.8__37__AT5G47510.1 transcript:fgenesh2_kg.8__37__AT5G47510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein [Source:UniProtKB/TrEMBL;Acc:D7MP40] MMQETDRDIHISDNNEDMVEAFRKLLLLHGHLPSKHGDHNTLRRFLKMRDFDLEKSKDAFLNYIKWRVDSKVDFISQTFKFEEYGEVKKHYPHGFHKVDKTGRPIYIERLGMADLTAFLKATTIDRYVKYHIKEQEKTLRFRYPACSIAADKHVSSTTTILDVSGMGMSNFSKPARSLFMEIQKIDSNYYPETLHRLFVVNASSGFRMLWLALKTFLDARTLAKVQVLGPNYLGELLEAIDPSNLPTFLGGNCTCSDHGGCLFSDEGPWNDPDIKKKIQEPSTIGDADSESMDKVSENAPANQKESTGKIMITLEKYAALKTAVKDSQKVKLIEMLEISLHETKKVLNGLAEIIEPNQPIDKCKPV >fgenesh2_kg.8__383__AT5G44260.1 pep chromosome:v.1.0:8:3575432:3577002:1 gene:fgenesh2_kg.8__383__AT5G44260.1 transcript:fgenesh2_kg.8__383__AT5G44260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7MMK2] MDVEHHKSGHISRPTVDIPPRKLLSSAKSPSSVSSPLRNYKEQKDYCYDSDSEDPYAGDHFRMYEFKIRRCTRSRSHDWTDCPFSHPGEKARRRDPRRFHYTGEVCPEFSRHGGDCSRGDECGFAHGVFECWLHPSRYRTEACKDGKHCKRKVCFFAHSPRQLRVLPPSPGNLVSGCGGSPLSSPASVLSSKNNRCCLFCSHSPTSTLLGLSISPSSSPPLSPADKAAAFSRLSRRRTAVLNELISSLDSFSLTEALAASSSSPVTMPTSTAAMIASSSLSNHLHHRLPPWLDVGDRDLQLQQSSPPQFALSLSSTPSNLHGQLQPPPSSFFSDEFTPRGGQLSDFTAAAAAAAAAKARDKNSYEVGSSGDLDLGWVNDLLT >fgenesh2_kg.8__388__AT5G44210.1 pep chromosome:v.1.0:8:3608407:3609225:-1 gene:fgenesh2_kg.8__388__AT5G44210.1 transcript:fgenesh2_kg.8__388__AT5G44210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRVNGTNTAVSEGGGRKTTEMMRKEVHFRGVRKRPWGRYAAEIRDPGKKTRVWLGTFDTAEEAARAYDTAAREFRGSKAKTNFPLPGESTAISDGAGGNDSYVNRSALTAAREMTRQRFPFACHRERKVVGGYAAAGFFFDPSRAAALRAELSRVYPVRFDPVNIELSIGIRETVKVEPRRELNLDLNLAPPVVDV >fgenesh2_kg.8__389__AT5G44200.2 pep chromosome:v.1.0:8:3614689:3616938:1 gene:fgenesh2_kg.8__389__AT5G44200.2 transcript:fgenesh2_kg.8__389__AT5G44200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear cap-binding protein subunit 2 [Source:UniProtKB/TrEMBL;Acc:D7MN19] MASLFKEQAKLSAYRDRRFSGTQEEFDEALRASTTVYIGNVSFYTTEEQLYELFSRAGEIKKIIMGLDKNTKTPCGFCFVLFYSREDTEDAVKYISGTILDDRPIRVDFDWGFQEGRQWGRGRSGGQVRDEYRTDYDPARGGYGKLVQKELEAQRQLVDYGTGSLGAYPQAAPSNYGNGRRGGGNYGQGGPNRHGRGDYHRKRQRDDDRYGRDNSRRNTDHESRRDTDHDMRPEKNPRFRESGDSDDDGEDDRKRRS >fgenesh2_kg.8__38__AT5G47500.1 pep chromosome:v.1.0:8:141140:148769:1 gene:fgenesh2_kg.8__38__AT5G47500.1 transcript:fgenesh2_kg.8__38__AT5G47500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7MP41] MAQLTNSLNYLFYVSLLLFVSFHCLCFRFSLVAACSNSTDDQQIQHRHHRKWVGPSGHKVITVSINGHARFRSVQDAVDSIPKNNNMSITIKIAPGFYREKVVVPATKPYITFKGAGRDVTAIEWHDRASDLGANGQQLRTYQTASVTVYANYFTARNISFTNTAPAPLPGMQGWQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFKECYIEGSIDFIFGNGRSMYKDCELHSIASRFGSIAAHGRTCPEEKTGFAFVGCRVTGTGPLYVGRAMGQYSRIVYAYTYFDALVAHGGWDDWDHKSNKSKTAFFGVYNCYGPGAAATRGVSWARALDYESAHPFIAKSFVNGRHWIAPRDA >fgenesh2_kg.8__391__AT5G44190.1 pep chromosome:v.1.0:8:3618756:3621250:-1 gene:fgenesh2_kg.8__391__AT5G44190.1 transcript:fgenesh2_kg.8__391__AT5G44190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADFADFTTEGLPDFTTVGDFSDDLLDGIDYYDDLFIGFDGDDVLPDLEIDTEILGEYSGSGRDEEQEMEGNTSTASETSERDGGHGCKQEGGGGGDGGVRDKTVRRGKRKGKKSKDCLSNDNDIKKKPKVDWTPELHRKFVQAVEQLGVDKAVPSRILEIMNVKSLTRHNVASHLQKYRSHRKHLLAREAEAASWNLRRHATVAVAGVGGGGKKPWTAPALGYPPHVAPMHHGHFRPLHVWGHPTWPKHKPNTPASAHRTYPIPLVAAAPASWPGHPPYWHQQPLYPQGYGIASSNHSSIGVPTRQLGPTNPPIDIHPSNESIDAAIGDVITKPWLPLPLGLKPPSVDGVMTELQRQGVSNVPPLP >fgenesh2_kg.8__392__AT5G44180.1 pep chromosome:v.1.0:8:3631953:3638816:-1 gene:fgenesh2_kg.8__392__AT5G44180.1 transcript:fgenesh2_kg.8__392__AT5G44180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSEKTTPEGGESKSKRKMKTAAQLEVLENTYAAEPYPSEAIRADLSVKLNLSDRQLQMWFCHRRLKDRKSTTPSKRHRKELVTPTAVESSKPAVNAGDLVAGNELDSRRAARGGGGSGSGGVTVVRRFNEPSSAEVRAIGYVEAQLGERLRDNGPILGMEFDPLPPGAFGMPIEMPSHRKAPRQAFETNIYVRSDVKPIKDHVRPIREYQFIPELPSSRTDHSERVSPSHHFGVPLDGSVMRASAVSAGHRDDYKISPQIPNLNLATHQGKPGHVYSPNLAEYDSPYQKSYVDTAAHVHEDPFVKSERDFGNEDEDDDALQLERQRKNEEARIAREVEAHEKRIRRELEKQDMLRRKREEQIRKEMERQDRERRKEEERLLREKQREEERYLKEQMRELQRREKFLKKETIRAEKMRQKEEMRKEKEVARLKAANERAIARKIAKESMELIEDERLELMEVAALTKGLPSMLALDFETLQNLEEYRDKQVLFPPTSVRLKKPFAVKPWNGSDENVANLLMVWRFLITFADVLGLWPFTLDEFAQAFHDYDPRLMGEIHIVLLKTIIKDIEGVARTLLTGVGANQNTASNPGGGHPHVVEGAYAWGFDIRSWRRNLNVFTWPEILRQLALSAGLGPQLKKMNIKTVSVHDDNEANNSENVIVNLRKGVAAENAFAKMQERGLSNPRRSRHRLTPGTVKFAAFHVLSLEGEKGLTILDVAEKIQKSGLRDLTTSRTPEASVAAALSRDTKLFERVAPSTYCVRASYRKDAGDAETIFAEARERIRAFKSGVTDVEDVDDVERDEDSESDVGDDPEVDLNLKKEDPNALKIENLVGVEPVLENGKLDTMPMKTELGLPLTPSLPEDMKDEKRDDILVEQSLEDAVANGEDSACFDESKLGEQWVQGLVEGDYANLSSEERLNALVALIGIAIEGNTIRIALEERLEVASALKKQMWGEVQLDKRWKEESLIRANYLSYPTAKPGLNIATPASGNQESYSADVTPISSQDPLSLPQIDVNNVIAGPSLQLQENVPGMENLQYQQQQGYTADRERLRAELKAYIGYKAEELYVYRSLPLGQDRRRNRYWRFSASASRNDPGCGRIFVELQDGRWRLIDSEEGFDYLVKSLDVRGVRESHLHFMLLKIEASFKEAVRRSVEANPGLSSISSSMDSDTAEISTTFKIELGDSNAIERCSVLQRFQSFEKWMWDNMLHPGALSAYKYGAKQSSPLFRICRICAGLHFVEDICCPSCGQMHAGPDIAELCFAEQVAQLGDILRGGDIGFILRSSISSPLRIRLLKVQLALVEASLPPEGLEAFWTENLRKSWGMKLMSSSSPEELNQVLTTLEAALKRDFLSSNFETTSELLGLQVEALANDFTCGVNVLPWIPKTTGGVALRLFEFDSSIVYTPDQNKDPLKDKESEDFVGLETNFVRNVQEKDVMETPVQVAYTQEENWTDPGLGGVSSSGRGGRPPRGRGRPRSRGNGKKPAVSGRPPRGAANSNGETMLRPRAQPRGGRKNGRRIGTKGRKRPTKGTLGISNEVGGSRAKEVAVTAKTSLPDNDDDWIDTPELQDDDGEASSSGRSFQYEDYDDDVMAPIDDFDGGGESSKLVGRGEFSLHSDDEYEEEEEEEDMNMKMDVNVVDDEDEDYINDDSYGREQPVISTRKRFKFEDPDLTSSSSSDFQ >fgenesh2_kg.8__395__AT5G44130.1 pep chromosome:v.1.0:8:3658666:3660512:-1 gene:fgenesh2_kg.8__395__AT5G44130.1 transcript:fgenesh2_kg.8__395__AT5G44130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPLFLLLLTAVFLSAEITAQPAAPAPGPAGPINITAILEKGGQFVTLIRLLNTTQIGNQINIQINSSSEGMTVLAPTDNAFQNLKPGTLNKLSPEDQVKLILYHVSPKFYTLEDLLSVSNPVSTQASGRDAGGVYGLNFTGQGNQVNVSTGIVETRLSTSLRQERPLAVYVVDMVLLPEEMFGERKISPVAPPPKSKSPDVSDDSDSSKKTASPSQSEKSGSGEMNTGLGFGLGLVVLCLKFLF >fgenesh2_kg.8__396__AT5G44120.3 pep chromosome:v.1.0:8:3663420:3676610:1 gene:fgenesh2_kg.8__396__AT5G44120.3 transcript:fgenesh2_kg.8__396__AT5G44120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSSLLSFSLTLLIFFDGYAAQQGQEGQQFPNECQLDQLNALEPSHVLKSEAGRIEVWDHHAPQLRCSGVSFARYIIESKGLYLPSFFNTAKLSFVAKGRGLMGKVIPGCAETFQDSSEFQPRFEGQGERQRFRDMHQKVEHIRSGDTIATTPGVAQWFYNDGQQPLVIVSVFDLASHQNQLDRNPRPFYLAGNNPQGQVWLQGREQQPQKNIFNGFGPEVIAQALKIDLKTAQQLQNQEDNRGNIVRVEGPFGVIRPPLRGQRPQEEEKEEGRHGRHGNGLEETICSARSTDNLDDPSRADVYKPQLGYISTLNSYDLPILRFIRLSALRGSIRQNAMVLPQWNANANAILYVTDGEAQIQIVNDNGNRVFDGQVTQGQLIAIPQGFSVVKRATSNRFQWVEFKTNANAQINTLAGRTSVLRGLPLEVITNGFQISPKEARRVKFNTLETTLTHSSGPASYERPRVAEA >fgenesh2_kg.8__39__AT5G47490.1 pep chromosome:v.1.0:8:148932:155190:-1 gene:fgenesh2_kg.8__39__AT5G47490.1 transcript:fgenesh2_kg.8__39__AT5G47490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein sec16 [Source:UniProtKB/TrEMBL;Acc:D7MP42] MASSSQFLLEDQTDEDFFDKLVDDAFSPGEAQASSSVTELKFDDESDSDDSRAFSNLSIAKDPLGEVDGTLNEAALGNDVASGSVGKDEPSSIAPEALQSLHGDAKELRDDEMRSEVADMPLSETAKECTMANEPGIPGVKELDWGSFDADLSVNDGRGFGSCSDFFTELDGTAGNLQGKADVAVATGGNLVANHTNNTSVGFDNSAGFEQHQGQVHHDSASRQYVDNSQSWENLYPGWKYDASTGQWYQVDGHDASMNSQESYENSTSNWENVAANYSDVAYQRQSTASAVAGTVENVSTWNQASQVSNGYPEHMVFDSQYPGWYYDTIAQEWRSLDSYNQAFQTTGQANDQQVQNGNSLTAMYHNRESNVHDVYDKNQILRTQSFDIQSQHGSWDQSYYDNNQQATNIWQPENAGKAEAAVTPASLSNFAGNQQVNNLYSTGHVAEQFKPYESGVQSFIPQHMNVASVTQNGAMSFSNDFYSRQESVDDAPQSFQSNQLASPSAGRSSDGRPPHALVNFGFGGKLILMKDDNGSLQNLSFGSQKGTGGSSISVLNLAEVISGSASYSSLGENSLSYFSCLDQQSLPGPLVGGNVGSKDLHKWLDEKILNCESSYMEFSRGKLLKMLLSLLRISCQYYGKLRSPFGTDASQKEMDSAEAAVAKLFAFAKKDGVQNGYAPISQCLQHLPPESQMQVTASEVQNLLASGRKMEALQCAQEGHLWGPALVIAAQLGQQFYIDTVKQMALRQLVPGSPLRTLCLLVAGQPAEVFSTGSTSDISFPGSINVPPQQPQFGSSSMLDSWEENLGIITANRTTDDELVITHLGDCMWKERGEIIAAHICYLIADKNFDPYSDTARLCLVGADHWKYPRTYASPEAIQRTELYEYSKTLGNSQYTLLPFQPYKVMYAHMLAEVGKLSTALKYCQAVLKCLKTGRSPEVEMWKQFVSSLEERIRIHQQGGYTANLHTEKLVGVLLNFFGSKAHRPVGGMPPPAPHSTKGNLQGNEYQHQLQEATKLAYSQSVNTMSSLMPPASMEPIHESGGSGRRMAVHTRSVSEPDFGRTPIQDMADSSKEKAVDGVTKLKSSGTVAGSRFSRFGFSMLKDTVGRVLNRSSKEAKLGAENQFYYDDKLKRWVERGVEPPAEEAALPPPPTIGAFQNNSLGYENNPSNGNSSSGGPTPSENSSGIPPISHGSNQFSARGRTGVRARYVDTYNLGRGNSQTMYQSPSVQTAKPPIPAKAKFFVPAAPASFSNDQAMEPAAAESRQEEISADEVVASSGAPPPMMMQRYPSMDNIQRNGLGISVNGDHHQPPASRRTASWSGNFNTSFTPPTSPSTFKPILLNSSSSSLGEELQEVEL >fgenesh2_kg.8__3__AT5G47750.1 pep chromosome:v.1.0:8:62203:64815:1 gene:fgenesh2_kg.8__3__AT5G47750.1 transcript:fgenesh2_kg.8__3__AT5G47750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRKPSAHKAEIEAQKRSSSNSSNTKSAKAEIFEPTQLQRSVTNPSAVGIPESKRLPESFRKRSSDPAVCKPDFSSLSTVLEHVDSLTIDEKKTSGFGSVKTSSASAKMSDGTSSLGKTSGSAKLSGRLDFMESGKSSICRGSTSSDVSDESSCSSFSSTVNKPHKANDLRWEAIQAVRVRDGVLGLSHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKTSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAVKFYIAESLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCLVSPTLVKSAAIESDPLRKNVYCVQPACIEPSCIQPSCTVPTTCFSPRLFSSKSKKDRKPKNDTANQVRPLPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFKGSGNRQTLFNVVGQPLRFPETPVVSFAARDLIRGLLMKEPQQRLGFKRGATEVKQHPFFEGVNWALIRCATPPEIPKPVELEKGPVSVAEAPSSQKTSAGLVLNAQKGSDNYLEFDFF >fgenesh2_kg.8__401__AT5G44110.1 pep chromosome:v.1.0:8:3691265:3693056:1 gene:fgenesh2_kg.8__401__AT5G44110.1 transcript:fgenesh2_kg.8__401__AT5G44110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKNANAIDGAIRVSGMQFSYDVQDPIFFDFNLDLPAGSRCLLVGANGSGKTTLLKILAGKHMVGGKNVVQVLDRSAFHDTELVCSGDLSYLGGSWSKTAGSAGEIPLQGDFSAEHMIFGVEGIDPVRREKLIDLLDINLQWRMHKVSDGQRRRVQICMGLLHPFKVLLLDEVTVDLDVVARMDLLEFFKEECEQRGATIVYATHIFDGLETWASHLAYINGGELKLSAKLDEIKDLKTSPNLLSVVESWLRSETKVEKKTKKKPVVTSPFMSSRQMAYYR >fgenesh2_kg.8__402__AT5G44100.1 pep chromosome:v.1.0:8:3695087:3701193:1 gene:fgenesh2_kg.8__402__AT5G44100.1 transcript:fgenesh2_kg.8__402__AT5G44100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVIGGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLENVKTKHPQLHYESKLYMLLQGGSGIPNLKWFGVEGDYSVMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLLNRVEYMHTRGFLHRDIKPDNFLMGLGRKANQVYIIDFGLGKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLKGSLPWQGLKAGTKKQKYDRISEKKVSTPIEVLCKNHPSEFVSYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQIGSSSGSSSRTRHHTTTKPDPIERQERIPGKETTRYKIPGAVEAFSRRHPTTTSTPRDRSRSRNSDDGPFSKQTHGDSERANSSSRYRASSSRKAVAASSSRPSSAGGPSESRASSRLVSSSGGGGSGSGNGRPSTSQRVQAGYESKTLSFSRATASRNTREDQLRSFELLSLRK >fgenesh2_kg.8__403__AT5G44090.1 pep chromosome:v.1.0:8:3704661:3709955:1 gene:fgenesh2_kg.8__403__AT5G44090.1 transcript:fgenesh2_kg.8__403__AT5G44090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGVNDVQILDPELLQLPGLSPVSLKANPHIAEELFSQWLSLPETGRLVKSLIDDTKSSTPVSVSKNCTSLNVACGSALPSVFLNSGTPPLSPRGSPGSPRFSRQKTSPSLHSPLKSVREPKRQLIPQFYFQHGRPPAKELREQCISMVDQFFSNYIDGLHMDEFKSITKEVCKLPSFLSSVLFRKIDTSCTGIVTRDAFIKYWVDGHMLAMDVASQIYNILRQQGCKYLRQADFKPVLEELLTTHPGLEFLRNTPEFQERYAETVIYRIFYYINRSGTGCITLRELKRGNLITAMQQVDEEDDINKVIRYFSYEHFYVIYCRFWELDGDHDFLIDKENLIKYGNHALTYRIVDRIFSQVPRKFTSKVEGKMSYEDFAYFILAEEDKSSEPSLEYWFKCIDLDGDGVITPNEMQFFYEEQLHRMECITQEPVLFEDILCQIFDMIKPEKENCITLQDLKASKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAQREYVRLSMEEDVEEVSNGSADVWDEPLESPF >fgenesh2_kg.8__404__AT5G44080.1 pep chromosome:v.1.0:8:3710723:3711880:1 gene:fgenesh2_kg.8__404__AT5G44080.1 transcript:fgenesh2_kg.8__404__AT5G44080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MN32] MTSFQVMRSTNSRNSDLSRSSIRPQQQFRRDLTSGGYGVRNDGLLYNSNSMTVEGILHDTFASDPPAPTESSLLDASITLMDASPAPMEITTTVSDVVDHDGGGTETTRGGKSVDEVWREMVSGVGKGMKEETQEEIMTLEDFLAKAAVEDETATAEDLDVKIPPTTNYGFDYSAPPHNPFQMIDKVEGSIVAFGNGLDVYGGGGSGGVRGKRARVMVEPLDKAAAQRQRRMIKNRESAARSRERKQAYQVELEALAAKLEEENELLSKEIEEKRKERYQKLMEFVIPVVEKPKQQPPRFLRRIRSLE >fgenesh2_kg.8__406__AT5G44060.1 pep chromosome:v.1.0:8:3730855:3731602:-1 gene:fgenesh2_kg.8__406__AT5G44060.1 transcript:fgenesh2_kg.8__406__AT5G44060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPPRRVISGAVASKQTESAVINPPPPPTKSILKKTPTIVRPTTTAAAAVAEPVGSNKLLAGYLAHEFLNNGTLFGELWNPTRAQAGPLTAQCTETRKTKPSHDMEPSDHKRRRYVEVANILRVDGTHLPGIVNPSQLARFLKL >fgenesh2_kg.8__407__AT5G44050.1 pep chromosome:v.1.0:8:3743823:3746788:-1 gene:fgenesh2_kg.8__407__AT5G44050.1 transcript:fgenesh2_kg.8__407__AT5G44050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7MN36] MGERDDGAEGVEKAKIPLLKDQNVEEEEVGEIKREIWIETKKLWRIVGPAIFTRVTNNLIFVITQAFAGHLGELELAAISIVNNVIIGFNYSLFIGMATALETLCGQSFGAKKYDMFGVYLQRSWIVLFLCSILLLPMYIFASPILKFMGQPDDIAELSGIIAVWAIPSNFSFAFFFPINRFLQCQLKNSVVAISSGVALVVHIFVCWLFIYVLELGVIGTIATANVSWWLNFFILFTYTTCGGCPFAWTGFSIESFTRLWEFTKLSASSGIMVCLENWYYRMLIVMTGNLENARIDVDSVSICMSINGLEMMVPLAFFAGTSVRVANELGAGNGKRARFAMIISVTQSLIIGIIISVLIYFLLDQIGWIFSSSETVLKAVHNLSILLAFAILLNSVQPVLSGVAVGSGWQSLVAFINLGCYYFIGLPLGIVMGWIFKFGVKGIWAGMIFGGTMVQTLILIFITIRCDWEKEAQKAKVRVNKWSVSNARI >fgenesh2_kg.8__408__AT5G44040.1 pep chromosome:v.1.0:8:3753000:3754759:1 gene:fgenesh2_kg.8__408__AT5G44040.1 transcript:fgenesh2_kg.8__408__AT5G44040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLGCFGRRKNRRRQRRRESFNPRLNRISEESIKDVLLNLRVPNVEQVPKYAKDSSVLEVSKISEVSPKDDHLSVEQVSKVSVIPITDIFCGKVEEKQSPSPSPNRKRVTFDTNVTTYEHIVVGESVELFEEKKEEVKSRQESDITMSNSSGSYPSNHRYQNCRESDDEEEDVTDCDQSDLEDIDDGGLLDEDYYNDDNYEDKLHNWDKVVYTEEIVDNVMDIKRIEEKSNVSARDRSGYVNAVLNPIENLSQWKAVKSKGRTTQTQPRKENAINASFSLEPQVDELSSSFSLNQKSRDETKKQRTQEIAVDASLSTWLSTSQTTASGCSSVGTAMSEKKKYSKPVQSHDERPILGALTAEEIKQFSATDSPRKSSPSRSPESPIIGTVGGYWNNHSMATSKYS >fgenesh2_kg.8__409__AT5G44030.1 pep chromosome:v.1.0:8:3754736:3759735:-1 gene:fgenesh2_kg.8__409__AT5G44030.1 transcript:fgenesh2_kg.8__409__AT5G44030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:D7MN39] MATFDHEHRHSSPAKICRVCGDEVKDNDNGQTFVACHVCAYPVCKPCYEYERSNGNKCCPQCNTIYKRHKGSPKIVGDEENNGPDDSDDELNIKNRQDASSIHQNFAYGSENGDYNSKQQWRPNGRAFSSTGSVLGKDFEAERDGYTDAEWKERVDKWKARQEKRGLVTKGEQTNEDKEDDEEEYLDAEARQPLWRKVPISSSKISPYRIVIVLRLVILVFFFRFRILTPAKDAYPLWLISVICEIWFALSWILDQFPKWFPINRETYLDRLSMRFERDGEKNKLEPVDVFVSTVDPLKEPPIITANTILSILSVDYPVNKVSCYVSDDGASMLLFDTLSETSEFARRWVPFCKKYNVEPRAPEFYFSEKIDYLKDKVQTTFVKDRRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGKEGAFDIDGNELPRLVYVSREKRPGYAHHKKAGAMNAMVRVSAVLTNAPFMLNLDCDHYINNSKAIRESMCFLMDPQLGKKLCYVQFPQRFDGIDHNDRYANRNIVFFDINMRGLDGIQGPVYVGTGCVFNRPALYGYEPPVSEKRKKMTCDCWPSWICCCCGGGNRNHKSKSSESSKKKSGIKSLFSKLKKKNKKKSDTTTTMSSYSRKRSSTEAIFDLEDIEEGLEGYDELEKSSLMSQKNFEKRFGMSPVFIASTLMENGGLPEATNTSSLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFRMHCRGWKSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPLWYAWGGKLKILERLAYINTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTINNFASIWFLALFLSIIATAILELRWSGVSINDLWRNEQFWVIGGVSAHLFAVFQGLLKVLFGVDTNFTVTSKGASDEADEFGDLYLFKWTTLLIPPTTLIILNMVGVVAGVSDAINNGYGSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFLPKQTGPLLKQCGVDC >fgenesh2_kg.8__40__AT5G47480.1 pep chromosome:v.1.0:8:156194:162234:-1 gene:fgenesh2_kg.8__40__AT5G47480.1 transcript:fgenesh2_kg.8__40__AT5G47480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein sec16 [Source:UniProtKB/TrEMBL;Acc:D7MP44] MASTADFLLEDQTDEDFFDKLVDDSYTPTASSSAKELKFDDGSDSDDARAFANLSVVDDVVGDGDVALNEAGFGNDVANEGTSGSVGKEEPSSSIAPEALQFVNSDANKLRDDDDVVRSGVDDMPLTETAKESNIVDGSGSPGVKEVGWGSFYADSSVNDGRGFGSYSDFFTELDGTAGDLQGQAEVAVATGGNLVANATSVGLDNSAGFEQHHGQVHHDSGSGQYVDNSQSWENLYPGWKYDASTGQWYQVDGHDASVNSQESYTNSTSNWESVAADISDVAYLKQSTTSAAAGTAESVSTWNQVSQVGNGYPEHMVFDAQYPGWYYDTIAQEWRSLDSYNQASQTTVTGQAHDQQVQNGHAHTATYHSNSQSSMYDVNDKNQSFKAQEYAMQSQHGSWDQSYYANNQQAANTWQSVNVGQAEPAINSNSLSSFRGNQHVNNLYSTEPVAEQFKPNAIGAQSFIPQHMNVATVTQNGPLSFSNGVYNRQQSVDDAHQSFQNNQLFSPSAGRSSDGRPPHALVSFGFGGKLILMKDINGSLQNSSFGSQGIGGSSASVLNLAEVISGSSSYSSQGEDSLSYFRCLHQQSLPGPLVGGNVGSKELHKWIDERILNCESSYMDFSRGKLLKMLLSLLRISCQYYGKLRSPFGTDASQKETDSAEAAVAKLFAFAKKDGLQNGYAPISQCLQHLPPESQMQVTASEVQNLLASGRKMEALQCAQEGHLWGIALIIAAQLGDQFYVDTVKQMALRQLIPGSPLRTLCLLVAGQPAEVCPAGSSSMLDNWEENLGIITANRTTDDELVITHLGDRMWKDRGEIIAAHICYLIADKNFDPYSESARLCLVGADHWKCPRTYASPEAIQRTELYEYSKTLGNSQYILLPFQPYKIIYAHMLAEVGKLSTALKYCQAVLKCLKTGRSPEVEMWKQFVSSLEERIRIHQQGGYTANMAPAKLVGKFLNFIDTTASRVVGGMPPPAPHPTTGNLQVNDYQHQQQEAAKLSYSQSANTMSSLMPPASSIEPVRELGGNGRTMAVHSRSVSEPDFGRTPIQDQTDSSKDKAADEVTQVKATRSVPSSRFSRFGIGILKRVLPSRSAKEAKLGDENQFYYDDNLKRWVERGVEPPAEEAALPPPPTLGAFRSNSLGYENKSDMKNEMSPSSGSWSSGSPTPSENSSGIPPVSQGSNQFSARGRMGVRARYVDTFNQGRGNSSSMYQSPPVQSAKPPIPAKAKFFVPAAPALFANDQVMESVSDETRQENSADEGVVGSAGAPGPSQSSFQSPTPSPMAMQRFPSLDNIKRSGSGISLNGDLPPSGSRRTASWSGSVNSSSFMSPTSASTFKPSPLNSSSSSLGEELQEVEL >fgenesh2_kg.8__411__AT5G44010.1 pep chromosome:v.1.0:8:3769721:3772296:1 gene:fgenesh2_kg.8__411__AT5G44010.1 transcript:fgenesh2_kg.8__411__AT5G44010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWNYPEISKEDFIKLLKGSINGSDTFGESVRELDEAISDIKTNPLFPKGLENLSSDTLSNGREYVLEHLMNNSTLKDKQLQAILVAAVESGDGITDIIDGKLCKRQSVVSCVSALETGLNILSKHIEPKELLHREDNNTTFLSSEKQPIELLTWSIWQSKAISYFLSKRTLRLVSGASLIFSAPKAQWVEVLRRLRVSDKNDDDIFIEKIELLLIGCVTSRWTHLIEGIMSVSYKSVSVSEQYEELCKLLLQRSKSLKQNEIALNSKVEEILEYLTEILESRLHHLWKLPSALTAAAIPSWSPLFGLYFGEIEKQLKLDHSTTRCCSCDKDLNEHKDCELAERVWCLYVFHICKCH >fgenesh2_kg.8__412__AT5G44000.1 pep chromosome:v.1.0:8:3776876:3778414:1 gene:fgenesh2_kg.8__412__AT5G44000.1 transcript:fgenesh2_kg.8__412__AT5G44000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase C-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MN43] MANCFAPQLTFPSFAPRHVSPRMSHQSPKPSTSTTNKSIFTSATKLLWGPSLPPGLLISTARTAWTTVWQLMMTQLAPSDSSGSYTRPTSKFRLDPTQFPSAASSELHLYVGLPCPWAHRTVIVRALKGLNDAVTVSIASPGQDGSWEFKDNNIPIKDKDKLIPSLDKANRCRNLKEVYKSRTGGYDGRCTVPMLWDSRKKEVVCNESYDIIEFFNSGLNELARNADLDLSPPELKEMIQDWNQIVYPKVNNGVYRCGFAQSQEAYDGAVNELFSTLDEIEDHLGSNRYLCGERLTLADVCLFTTLIRFDPVYNVLFKCTKKKLVEYPNLYGYLRDMYQIPGVAATCDISAIMDGYYKTLFPLNASGIQPAISSSGDQESLLRPHNRDLVGKAVEAQLAV >fgenesh2_kg.8__416__AT5G43990.4 pep chromosome:v.1.0:8:3807886:3811999:-1 gene:fgenesh2_kg.8__416__AT5G43990.4 transcript:fgenesh2_kg.8__416__AT5G43990.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein SUVR2 [Source:UniProtKB/TrEMBL;Acc:D7MN45] MAPNPHIKKAFMAMKALGIKDAQVKPVLKNLLTLYEKNWELIAEDNYRVLADAIFDSQEAQDIQESKGKKADEAKADEGCSTEVDRGKKKLLESIEEDDDALAESDRPLKRLRRRGEGGSALTSPSLGSPTLEEPSTYDEENAPILLPYHPVPTKNDHDAGELIIPKVEPITNMALSSIHPDSVERENSSVPMLEMEKTNGHVEEGAGETVSTADGTTNDVSPTTVGRFSEHKLAATTEEPSALELASSASGEVKINLSFAPATGGSNLYLPSMEELRRAMEEKCLRSYKILDPNFSVLGFMNDICSCYMDLATKGKDSANQLPKNLPFVTANIDALKKSAARMAFTSQGSNDHMRDVENGAVGDSMGLVVVPECQLSADEWRLISSFGDITLGNETVEIPWVNEVNDKVPPVFRYIVQSLVYQDAAVKISLGNIRDDQCCSSCCGDCLAPSMACSCATAFNGFAYTVDGLLLEDFLEQCISEARDPRKHMVQYCKECPLEKAKKEVILQPCKGHLKRKVIKECWSKCGCMKKCGNRVVQQGIHNKLQVFFTPNGRGWGLRTLEKLPKGAFVCEFAGEILTLPELFQRSSEMLTSPVLLDAYWGSEDISGDDKALCLDGTHYGNISRFINHRCLDANLIEIPVHVETTDLHYYHLAFFTTREIDAMEELTWDYGVPFNQDVFPTSPFHCRCGSEFCRVTKQISKGKNVKKRA >fgenesh2_kg.8__418__AT5G43970.1 pep chromosome:v.1.0:8:3824040:3824569:-1 gene:fgenesh2_kg.8__418__AT5G43970.1 transcript:fgenesh2_kg.8__418__AT5G43970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase outer mitochondrial membrane 22-V [Source:UniProtKB/TrEMBL;Acc:D7MN48] MAAKRIGAGKSGGGDPNILARISNSEIVSQGRRAAGDAVEVSKKLLRSTGKAAWIAGTTFLILVVPLIIEMDREAQINEIELQNASLLGAPPSPMQRGL >fgenesh2_kg.8__421__AT5G43950.1 pep chromosome:v.1.0:8:3829169:3831313:1 gene:fgenesh2_kg.8__421__AT5G43950.1 transcript:fgenesh2_kg.8__421__AT5G43950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCKCLYWNNLRELPPLKEPETFSLPASIPQWPSGQGFGSGRINLGDLELAEVTSFEFVWRYCSRRDNKKSVSFYKPDKLLEDFHCLGHYCQSDSHLLRGFLLVARQVNKSSEPALVQPLDYTLVWSSNDLSEESQSGYFWLPQPPQGYKTIGFLVTTSPSKPELDQVRCVRADLTDKCEAHKVIITAISNSLSIPLFIWQTRPSDRGMWGKGVSTGTFFCTTQSPEEDHLSTIACLKNLDSSLHAMPNMEQIHALIQHYGPRVYFHPNEVYLPSSVSWFFRNGAVLCSNSNSSDINEPIDETGSNLPHGGTNDKRYWIDLPINDQQRREFLKRGNLESSKLYVHVKPAFGGTFTDLAFWIFCPFNGPATLKLGLMNISLAKTGQHVCDWEHFTLRISNFSGEIYSIYFSQHSGGEWIKPQDLEFIEGSNKAVVYSSKHGHASFSKSGLYLQGSDLLGIGIRNDTARSDFFVDSSLRYEIVAAEYLGDAVVEPPWLGYMREWGPKIVYNSRSEIEKLNERLPWRMRSWVDAVLRKIPVELSGEEGPTGPKEKDNWFGDERW >fgenesh2_kg.8__422__AT5G43940.1 pep chromosome:v.1.0:8:3831316:3833671:-1 gene:fgenesh2_kg.8__422__AT5G43940.1 transcript:fgenesh2_kg.8__422__AT5G43940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-(hydroxymethyl)glutathione dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7MN51] MATHGQVITCKAAVAYEPNKPLVIEDVQVAPPQAGEVRIKILYTALCHTDAYTWSGKDPEGLFPCILGHEAAGVVESVGEGVTEVQAGDHVIPCYQAECRECKFCKSGKTNLCGKVRSATGVGIMMNDRKSRFSVNGKPIYHFMGTSTFSQYTVVHDVSVAKIDPHAPLDKVCLLGCGVPTGLGAVWNTAKVEPGSNVAIFGLGTVGLAVAEGAKTAGASRIIGIDIDSKKYETAKKFGVNEYVNPKDHDKPIQEVIVELTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRTQVPWLVEKYLNKEIKVDEYITHNLTLGEINKAFDLLHEGSCLRCVLDTSE >fgenesh2_kg.8__423__AT5G43935.1 pep chromosome:v.1.0:8:3847921:3849145:-1 gene:fgenesh2_kg.8__423__AT5G43935.1 transcript:fgenesh2_kg.8__423__AT5G43935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDKRGETKKIPVVDLSDPSDELVAHAVVKASEEWGILQVVNHGIPADLMRRLQEVGRQFFELPATEKESVTRPVDSQDIEGYFPKDPKHLKAWDDHLIHNIWPPASINYRYWPNNPSDYSDDGFRDVTEEYTRNVTKLTEKIVGLGGDKTQYVMRINCYPPSDLVIGAPAHTDLCALALLVSNEVPGLQVFKDNHWFDVEYINSAVIVLIGDQIMRMSNGRYKNVLHRSIMDKEKTRMSWPVLVEPKHGLVVGPLPELTGDENPPKFESLTFEDYVYRKIKKLLRD >fgenesh2_kg.8__425__AT5G43930.3 pep chromosome:v.1.0:8:3850332:3854656:-1 gene:fgenesh2_kg.8__425__AT5G43930.3 transcript:fgenesh2_kg.8__425__AT5G43930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7MNJ5] MTQSICSSSQDQSSSPRSLIPPRKSATNFHRRRGRSVFSMLVQREMSPNAKFVPRKRWGKSRWYTDSSCGTNSEPLREAGQSLTSWVEAESLQHLSAKYCPLGAPPRSTIAAAFSSDGRTLASTHGDHTVKIIDCETGNCLKVLNGHQRTPWVVRFHPLHSEIVASGSLDLEVRLWNITTSECIRSHVFYRPIASIAFHAEGELLAVASGHKLHMWHYNRRGDESSPTVVLKTRRSLRAVHFHPHGAPFLLTAEVNEIDSLDSSMSRATSMGYLRYPPPAILFTSTESNRTSLAAENENRTSSLPFPLATSSGPFVSNSVPGNSPSNILFTRAGDRTPAVDGMNVDEAQPVGRNGIPSQVSTRSDFPELGQIRQLYHFRDRVSWELPFLQGWLMAQGHGVSNPVVPPTGSSNHGIAAPSSTASLEAAVALLEIPSGVNLHAVSGRGRAQEQTSQPQFSGSGLPEGVSSHNTQHGSDAQPVVNRVQSELATSIAASAAAAAASAELPCTVKLRVWSHDIKDPYAQLKSDRCLFTIPHAVLCSEMGAHFSPCGRYLAACVACVFPHAEIDPGLQTQAQQDSGLATSPTRHPVTAHQVIYELRVYSLQKESFGSVLVSRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLRSIVSDGEATSHFFTVLEIYRVSDMELVRVLPSSDDEVNVACFHPSPGGGLVYGTKEGKLRIFQYNTAATSNFTGPNT >fgenesh2_kg.8__427__AT5G43920.1 pep chromosome:v.1.0:8:3855457:3858570:-1 gene:fgenesh2_kg.8__427__AT5G43920.1 transcript:fgenesh2_kg.8__427__AT5G43920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7MNJ6] MENGLWEVLGSKGLLKKHEFIRILVQCLYSLGFKNSASCLEIESNISCKSADYESLEKRVLSGDWDSCVAVLDRIFDHSKDDTRNTAVYLVFKQCLLEYLKRGDVSLALNVLRKQAPMLRMGKEKIHRLACDIVYSKEMESGEVDSCLVQGLRKKLLVELEKLIPLPIVIPKRRLEHLVETAVMDQIDSCLYHNSWDAVSLYKDHCCGRDQIPSETVQILMAHKNEVWFVQFSNSGKYLATASSDCTAIIWKVLDDSKVELKHTLESHQNPVSFVSWSPDDTKLLTCGNAEVLKLWDVDTGVLRHTFGNNNTGFTVSSCAWFPDSTRLVCGSSDPERGIVMWDTDGNEIKAWRGTRIPKVVDLAVTPDGESMITVFSDKEIRILNLETKVERVIPEEQPITSLSISGDGKFFIVNLSCQEIHLWDLAGEWKLPLKFSGHRQSKYVIRSCFGGLDSSFIASGSEDSQVYIWNLKNAKPLEVLSGHSMTVNCVSWNPKNPRMLASASDDQTIRIWGPGKPNKPEN >fgenesh2_kg.8__430__AT5G43910.2 pep chromosome:v.1.0:8:3861657:3864769:1 gene:fgenesh2_kg.8__430__AT5G43910.2 transcript:fgenesh2_kg.8__430__AT5G43910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-type carbohydrate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MNJ7] MSSCSDDAIPGQPIVLGCGQLCLDYLVTVSSFPIPDQKIRGTSFKVQGGGNTGNSLTCVARLGLPSRILAKVADDSHGRYMIEELESSGVDTSFCMNAKDGASHFNYVIVDNQTNTRTCIYTPGYPPLLPDDLTESLLFDVLDGVRVLYVNGRSREAELLLAQKAHSKNISILINAEKKRAGLDELLDLADYAICSTNFPQQWTESPSSPRALLSMLIRLPKLKFVIMTLGEHGCVMLERCSNEVSGSEEETDIDELNESLKQSTDFTSVLPVCNSSLVTRLTGNVTGRLVIVTAEKIPSSELIDTTGAGDAFTGALLYGLCTDMATEEMLTFASRVAACCCRGLGARTSLPFRTDPNLATFLGA >fgenesh2_kg.8__431__AT5G43900.1 pep chromosome:v.1.0:8:3865268:3875427:1 gene:fgenesh2_kg.8__431__AT5G43900.1 transcript:fgenesh2_kg.8__431__AT5G43900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANFNPSVGSFVWVEDPDEAWIDGEVVQVNGDEIKVLCTSGKHVVTKISNAYPKDVEAPASGVDDMTRLAYLHEPGVLQNLHSRYDINEIYTYTGSILIAVNPFRRLPHLYSSHMMAQYKGAALGELSPHPFAVADAAYRQMINDGVSQSILVSGESGAGKTESTKLLMRYLAYMGGRAAAEGRSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDEKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPQEDVKKFKLEEPKKYHYLNQSKCLELDSINDAEEYHATRRAMDVVGISTEEQDAIFSVVAAILHLGNVEFAKGAEIDSSIPKDDKSLFHLKTAAELLSCDEKALEDSLCKRIMVTRDETITKTLDPEAATLSRDALAKVMYSRLFDWLVDKINSSIGQDHDSKYLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEEINWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFTKPKLARSDFTICHYAGDVTYQTELFLDKNKDYVIAEHQALLNSSSCSFVASLFPPMSDDSKQSKFSSIGTRFKQQLVSLLEILNTTEPHYIRCIKPNNLLKPGIFENENILQQLRCGGVMEAIRISCAGYPTRKHFDEFLARFGILAPEVLVKNSDDPAACKKLLDKVGLEGYQIGKTKVFLRAGQMADLDTRRTEVLGRSASIIQRKVRSYLAKKSFIVLRNSAKQIQSVCRGYLARSVYEGMRREAAALKIQRDLRRFLARKAYTELYSAAISVQAGMRGMVARNELCFRRQTKAAIIIQTWCRGYLARLHYRKLKKAAITTQCAWRSKVARGELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRIRTDLEESKKQESAKAQSSWEELQLKCKEMEALLIKERESAKKVAEIAPIIKEIPVVDHELMEKITNENEKLKGMVSSLEMKIDETEKKLQETTKISQDRLKQALEAESKLVKLKTAMQRLEEKILDMEAEKKIMHQQTILSTPARTNLGHPPTAPVKNLENGHQTNLDSEFNEAEFTTPVDGKAGKSAAERQIMNVDALIDCVKDNIGFSNGKPVAAFTIYKCLLHWKCFESEKTNVFDRLIQMIGSAIENEDDNRHLAYWLTSTSALLFLLQKSLKTGGSGATQSKKPPASTSLFGRMAMSFRSSPASGNLAAAAEAAALAVVRPVEAKYPALLFKQQLAAYVEKMFGMVRDNLKRELSTLLSLCIQAPRSSKGGMLRSGRSFGKDSPAIHWQSIIDGLNSLLVTLKENHVPLVLIQKIYSQTFSFINVQLFNSLLLRKECCTFSNGEFVKSGLAELELWCCQAKEYSGPSWEELKHIRQAVGFLVIHQKYRISYDEIANDLCPVLSVQQLYRICTLYWDDSYNTRSVSQEVISSMRALMTEESNDADSDSFLLDDDSSIPFSIDDISSSMEEKEFVGIKPAEELLENPAFVFLH >fgenesh2_kg.8__432__AT5G43890.1 pep chromosome:v.1.0:8:3885142:3886419:1 gene:fgenesh2_kg.8__432__AT5G43890.1 transcript:fgenesh2_kg.8__432__AT5G43890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7MNJ9] MENMFRLMGSEDFSDRKRCIWVNGPVIVGAGPSGLATAACLREEGVPFVVLERADCIASLWQKRTYDRIKLHLPKKVCQLPKMPFPEDYPEYPTKGQFIEYLESYANKFEITPQFNECVQSARYDETSGLWRIKTTSSSSSSVSEMEYICRWLVVATGENAEKVIPEIDGLTTEFNGEVVHSCEYKSGEKYRGKSVLVVGCGNSGMEVSLDLANHNANASMVVRSSVHVLPREILGKSSFEISVMLMKWFPLWLVDKILLILAWLILGNLTKYGLKRPKMGPMELKIVSGKTPVLDIGAMEKIKSGEVEIVPGIKRFSRSHVELVDGQRLDLDAVVLATGYRSNVPSWLQENDLFSKNGFPKSPFPNAWKGKSGLYAAGFTRKGLAGASADAVNIAKDIGNVWREETKRQKMRTRVGHRRCISVA >fgenesh2_kg.8__433__AT5G43880.1 pep chromosome:v.1.0:8:3894481:3898381:1 gene:fgenesh2_kg.8__433__AT5G43880.1 transcript:fgenesh2_kg.8__433__AT5G43880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQRRRNVQAHGCLGRMVNLFDFGTVGNGKKLLSEKPYFDHGSIKRNQFDQIEDKVDVRNGGVNGTPMKMLLEQEMSKEMEFKLSSTNLVAKLMGLDSFPQTQSTSRSNSSKPRLKRSLSHGEYKDVYEIWQKPGELSSNGVEGLSKKKMDIVRQKFLDAKRLVTDDKLRHSKEFQEAMEVLSSNKELFLEFLQESNTFFSHHLHSFQPTDPPTSEKSKRITILKPSKTIDGEAAIESSKDGIKSGKGLDLFKWPVKEEYPTKESTRIVVLKPNGHVTKASSCPTSPRGSEERESRDVARRVKSQILKEETLQSSGFANGYIGDDSSLNDYADSEIMSPVSRHSWDYINKYDSPFSSSPFSRASGSPESSSVCREAKKRLSERWALMAAANENLQEANVIEKKGSNSTLGDMLALPDLKDDLRTEEEKTSDGNEQEGPKVSASCFDGNFSREEGKLKPPKSLTRSKSLPESSTSLGHKSLDSTKKGKSKVPEELTKSKSLKWSLKGKVSNFLFSRSKKASKERSYEESQMVNPEILDSRCNDEYDASVSARSMNSREGGLSIAKPTIFGNSSEWRDEPSPISVLETSFDEDDGIFFNSSILNRSSSSLERDMKSNLLGKSPPIGSIGRTLSFDDSTVARCYSSKRSTTSTRDEEEDLRLLINTLLSAADFDTISDNLLSKWHSAESPLDPSLRNSYADSTEQKRLGSNVKNLVFDLVNTLLLELTPSYLGPRSPLTLSGKPLQVYVINRMQECLTGNGRVEDRWWDEDGDLSSLAVNKVVRIEVAEIGSQESLRLEMDSMGEELELKLLEELVEEALMDLSEQSKLFIPSIC >fgenesh2_kg.8__435__AT5G43860.1 pep chromosome:v.1.0:8:3924889:3928818:-1 gene:fgenesh2_kg.8__435__AT5G43860.1 transcript:fgenesh2_kg.8__435__AT5G43860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll-chlorophyllido hydrolase 2 [Source:UniProtKB/TrEMBL;Acc:D7MNK2] MSSSSSRNAFEDGKYKTNLLTLDSSSRCRKITPSSRASPSPPKQLLVATPVEEGEYPVVMLLHGYLLYNSFYSQLMLHVSSHGFILIAPQLYSIAGPDTMDEIKSTAEIMDWLSVGLNHFLPPQVTPNLSKFVLSGHSRGGKTAFAVALKKFGYSSDLKISTLIGIDPVDGTGKGKQTPPPVLTYEPNSFDLDKIPMLVIGSGLGETARNPLFPPCAPPGVNHREFFRECQGPAWHFVAKDYGHLDMLDDDTRGIRGKSSYCLCKNGEERRPMRRFVGGIVVSFLKAYLEGDDRELVKIKDGCHEGVPVEIQEFEVIM >fgenesh2_kg.8__436__AT5G43850.1 pep chromosome:v.1.0:8:3932509:3933923:1 gene:fgenesh2_kg.8__436__AT5G43850.1 transcript:fgenesh2_kg.8__436__AT5G43850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase [Source:UniProtKB/TrEMBL;Acc:D7MNK3] MALEAWFMDDSNEDQRLPHHLNPKELVSLDYLAELGVLYWKLNPENYENDPELSKIREERGYDYMDLLDLCPEKVSNYEEKLKNFFTEHIHKDEEIRYCLAGSGYFDVRDKDDRWIRIWMQPGDLIILPAGIYHRFTLDTSNYIKLMRLFVGEPVWTPYNRPQEEHPVRQKYINGLTHKFGETIKAH >fgenesh2_kg.8__439__AT5G43830.1 pep chromosome:v.1.0:8:3941835:3943642:1 gene:fgenesh2_kg.8__439__AT5G43830.1 transcript:fgenesh2_kg.8__439__AT5G43830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFEKTVANSPEALQSPHSSESAFALKDGSLATHFASVNPNSVTLNFGSSGFVAYSLDNHDPRVPRLFAVVDDIFCLFQGHIENLPFLKQQYGLNKITNEAIIVIEAYRTLRDRGPYPVDKVVRDFHGKFAFILFDSVKKTVFAAADADGSVPFFWGTDAEGHLVLSDNTEMVKKGCAKSYGPFPKGCFFTSSGGLRSFEHPKNELKPVPRVDSSGDVCGATFKVDAETKREGTKMPRVDSSQNWAGHI >fgenesh2_kg.8__440__AT5G43820.1 pep chromosome:v.1.0:8:3952768:3955726:-1 gene:fgenesh2_kg.8__440__AT5G43820.1 transcript:fgenesh2_kg.8__440__AT5G43820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MNK9] MLKRWNIVKESLRRVLRSDVETLISGALLNRTVCTASESLYLDESYVLAELSSLLPISSSLVKEDNYSSRNQVSIDSFLSPAEKLRGVFLQKLKGKSAIQNCLSSLGIDLSIDIVSDVLNRGNLSGEAMVTFFNWAIREPGVSKDVDSYCVILRALGRRKFFSFMMDVLRGMVCEGVNPDLRCLTIAMDSFVRAHYVRRAIELFEESESYGVKCSTESFNALLRCLCERSHVSAANSVFNAKKGKIPFDSCSYNIMISGWSKLGEIEGMEKVLKEMVEGGFVPDCLSYSHLIEGLGRAGRINDSVEIFDNMKHKGSVLDANVYNAMICNFISARDFDESMRYYRRMLDEECEPNLETYSKLVSGLIKGRKVSDALEIFEEMLSRGILPTTGLVTSFLKPLCSYGPPHAAMVIYQKSRKAGCRISESAYKLLLKRLSRFGKCGMLLNVWDEMQECGYPSDVEVYEYIVDGLCIIGHLENAVLVMEEAMRKGFCPNRFVYSRLSIIRKWQQKFRKAKEEMEKWEALQVRWVFLFRNASSITQRLQDMQNHGSYGALRCLKGIEDAVVQQQMGQLESLLRSMKNVLEEFWGCVLTFEKLHRDGLQLLEVESSKRRVEERIGVKPCIADCLEGLSIVYDMHQSDPGDLNALQYLVVDQPNIPKDEVQHIFDVIFAEEIK >fgenesh2_kg.8__442__AT5G43790.1 pep chromosome:v.1.0:8:3985845:3987299:1 gene:fgenesh2_kg.8__442__AT5G43790.1 transcript:fgenesh2_kg.8__442__AT5G43790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MNL1] MTSPSTSKNHRCLNLIPKCKSLQNLKQIHAQIITIGLSHHTYPLSKLLHLSSTVCLSYALSILRQIPNPSVFLYNTLISSIVSNHNSTQTHLAFSLYDQILSSRSNFVRPNEFTYPSLFKASGFETKWHRHGRALHAHVLKFIEPVNHDRFVQAALVGFYANCGELREARSLLERIREPDLATWNTLLAAYANSEETESDEEVLKLFVRMQVRPNELSLVALIKSCANLGEFWGGVWAHVYLLKKNLTLNQFVGTSLIDFYSKCGCLSFARQVFDEMSERDISCFNAMIRGLAVHGFGQEGIELYNSLISQGLVPDNATFVVTISACSHSGLVDEGLQIFHSMKTVYGIEPKVEHYGCLVDLLGRSGRLEEAEECIKKMPMKPNATLWRSFLGSAQIHGDLERGEIALKQLLGLEFENSGNYVLLSNIYAGVNRWTDVEKTRELMKDHRVNKSPGISTIN >fgenesh2_kg.8__443__AT5G43780.1 pep chromosome:v.1.0:8:3987799:3989915:1 gene:fgenesh2_kg.8__443__AT5G43780.1 transcript:fgenesh2_kg.8__443__AT5G43780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAIVFSDSPFRSSPLIHTHHVSRYAPRSVSVSVVSPSRRASRRGLAVKSALIDPDGGKLMNLVVEESRRRVMKREAETVPIRIKLNRVDLEWVHVLSEGWASPLKGFMRQSEFLQTLHFNSFRLEDGSVVNMSVPIVLAIDDDQKFRIGDSNRVTLVDSVGNPIAILTDIEIYKHPKEERIARTWGTTARGLPYAEEAITKAGNWLIGGDLQVLEPIKYNDGLDRFRLSPSQLREEFIRRGADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPVLLLNPLGGFTKADDVPLSWRMRQHEKVLEDGVLDPETTVVSIFPSPMLYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTENRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDKTQGKMAFFDPSRSQDFLFISGTKMRGLAKKKENPPDGFMCPSGWKVLVDYYDSLSAESGNGRVSEAVASA >fgenesh2_kg.8__444__AT5G43770.1 pep chromosome:v.1.0:8:3989980:3990585:-1 gene:fgenesh2_kg.8__444__AT5G43770.1 transcript:fgenesh2_kg.8__444__AT5G43770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISANLMRGTLFLCSSFKSKPWSTRLITAAKSVSCTSKPIRGLTNSTTGVYFVSQTGPPKIQFPGGSPSEEELPSWPSRGPEWAPLEVPELPNIPEINPSETPPEITTVPSDPPPLGPPQTPGPEFPVPPSPSPPMPDTPNPPTPKTPPDVIPPIWEPPRPPDIFPPESPPPGIDLPPPLGPTIM >fgenesh2_kg.8__448__AT5G43745.1 pep chromosome:v.1.0:8:4029214:4064578:1 gene:fgenesh2_kg.8__448__AT5G43745.1 transcript:fgenesh2_kg.8__448__AT5G43745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVQLFTWKPLILPSRVDRFASFNRSLSLKSLPLGGIESFRSPGTFKVKSQRTGDTKPSSVNLNDFNSKFHKRFPYKLVIGCIPLYVVFKIAQKICQELPPLVQNSVGAGLPFACASNSLPTPLKLDVSVPSFQDIRWGLARFLYLFNIQLEKNIGTFLVALMIACVSFVIIGGLLFFKFRKDLPLEDCLWEAWACLISSSTHLKQKTRIERVIGFVLAIWGILFYSRLLSTMTEQFRYNMTKLREGAQMQVLEADHIIICGINSHLPFILKQLNSYHEHAVRLGTATARKQRLLLMSDTPRKQMDKLAEAYSRDFNHIDILTKSCSLNMTKSFERAAASMARAIIILPTKGDRYEVDTDAFLSVLALQPIQKIESIPTIVEVSSPNTYDLLKSISGLKVEPVENVTSKLFVQCSRQKDLIKIYRHLLNYSKNVFNLCSFPNLAGTKYRQLRLGFQEVVVCGLLRDGKVNFHPNDNEELMETDKLLFIAPLNWKKKQLLYADMKLENITVATDTRKQVFEKKRSRLAKIIMRPRKSLSKGSDSIKGPTESILLLGWRGDVVQMIEEFDNYLGPGSSMEILSDVPLEDRRRVGDSMGSVKIKNIQVSHKVHNLASEIVDSKLGKQITGLKPSLTFIAAEEVMSLVTAQVAENSELNEVWKDILDADGDEIYVKDIELYMKEGESPSFTELSERAWLRREVAIGYIKGGKKIINPVPKTEPLSLEKNDSLIVISELEGDQPIAL >fgenesh2_kg.8__453__AT5G43670.1 pep chromosome:v.1.0:8:4082545:4085761:1 gene:fgenesh2_kg.8__453__AT5G43670.1 transcript:fgenesh2_kg.8__453__AT5G43670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLELEAIEGLRWSWNSWPTTKSDCESLVVPLSIMYTPLMQFSELPTIPYDPLICSRCGAVLNPYARVDYQSRIWSCPFCFHKNLFPRSYSGITETNLPAELFPTYSAVEYSPPLPRKSGSATTTPTAGGSWSNGFNQGLRSMSSNSSFSSLASSVGGGGGVISELGPAFVFVVDASMVEDELRAVRSELLFVIEQLPENCLVALITFDSMVRVYDLGFSDCSKVVVFHGERDLSPDQIQQFLGLGYLKQLHHGKMSGIRKQSFLLPLVECEFNLTCAFEEIVPLVDVKPGHRPHRSTGAAISTALGLLEGCSVTTGSRIMVFTSGLTTRGPGIIVDSDLSNSIRTHRDIITGQVSYYDKSCGFYKKLAKRLCDTSAALDVFACSLDQVGAAEMRYAVEMSGGFLLLGETFESEQFKKCLRHIFIRDADGNLSMYFDVSLEVVTTKDMRICGALGPVVSLRQKNDIVSETEIGEGGTYMWKTSTVTNKTCVSFLFHVSNEQNRKPQPGSAFFIQFITRYRYGNGGMRKRVTTVARRWVAGKSPEISSGFDQETAASVMARLAINRAEECYARDVIRWLDDGLIRFASRFGDYIQEDPSSFRLTPNFSLYPQFMFYLRRSQFLDVFNNSPDETGFFRLMLNREGVVNSIIMIQPTLLRYSFDGPPVPVLLDIRSVTADVILLFDSYFYVVIHHGSKIAQWRKLEYHKDPSHETFRNLLEAPEIDAAQLVTDRIPMPRIVRCDQHGSQARFLLAKLNPSVTQKTDHTGGSDIVLTDDLSLQDFLEDLQSLAVKG >fgenesh2_kg.8__454__AT5G43710.1 pep chromosome:v.1.0:8:4111206:4115570:1 gene:fgenesh2_kg.8__454__AT5G43710.1 transcript:fgenesh2_kg.8__454__AT5G43710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:D7MNM7] MESNIRWLVFAILISLTFSSFVLDHGALAESVKPDEAKQLRDEVRGMFYHAFDGYMNNAFPLDELRPLSCQGEDTLGGYALTLIDSLDTLALLGDRERFTSSVEWIGKNLQFNINKTVSVFETTIRVLGGLLSAHLIASDYATGMRIPSYNNELLVLAEDLTRRMLPAFDTPTGIPFGSVNLMYGVDKHESKITSTAGGGTLSLEFGVLSRLTNDPVFEQVAKNAVRGLWARRSNLDLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYILFGDEEYLYIFQEAYRSAMQYLHKDPWYVEVNMDSAAIVWPVFNSLQAFWPGLQVLAGDVDPAIRTHTAFFSVWKRYGFTPEGFNLATLSVQYGQKSYPLRPELIESTYWLYKATRDPRYLDAGRDFVASLQYGAKCPCGYCHITDVELHKQEDHMESFFLAETVKYLWLLFDLAVDSDNLVDNGPYKYIFSTEGHLLPLTPQISLARERCSYFGGYCPSNSTKLEQEVLEEESNNDDRSNIYPYHESFPITGLIKGLCPGLTHAQKYGLSYVLPEKTDREDVDEAKPVVTSSSIVLITDQRVGKKPQEEEGFTSQSEPIMTISGGSSNDQTGQELTLLESETDDQRLYSS >fgenesh2_kg.8__455__AT5G43700.1 pep chromosome:v.1.0:8:4116331:4117713:-1 gene:fgenesh2_kg.8__455__AT5G43700.1 transcript:fgenesh2_kg.8__455__AT5G43700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7MNM8] MEKVDVYDELVNLKATELRLGLPGTEETVSCGKSNKRALLDDTEKEIESTGKNETASPPKAQIVGWPPVRSYRKNNIQTKKNESEGQGNYVKVSMDGAPYLRKIDLTMYKQYPELMKSLENMFKFSVGEYFEREGYKGSDFVPTYEDKDGDWMLVGDVPWEMFVSSCKRLRIMKGSEVKGLGSGV >fgenesh2_kg.8__456__AT5G43640.1 pep chromosome:v.1.0:8:4146753:4147915:-1 gene:fgenesh2_kg.8__456__AT5G43640.1 transcript:fgenesh2_kg.8__456__AT5G43640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S15 [Source:UniProtKB/TrEMBL;Acc:D7MNN0] MADVEPEVAAAGIMKKRTFKKFSFRGVDLDALLDMSTDDLVKHFSARVRRRFSRGLTRKPMALIKKLRKAKIEAPAGEKPAAVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGVGATNSSRFIPLK >fgenesh2_kg.8__458__AT5G43600.1 pep chromosome:v.1.0:8:4173093:4176091:-1 gene:fgenesh2_kg.8__458__AT5G43600.1 transcript:fgenesh2_kg.8__458__AT5G43600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLERFLCSIALLVLSLLLPSNLAQQQQQHESIRTMEDFSGYPIHEPGQFGSINLASSLSVDAPGLQNQIDELSNFSDAPSPSVTRVLYTDKDVLARRYVKNLMALAGLTVREDAVGNIFGKWDGSEPNLPAVATGSHIDAIPYSGKYDGVVGVLGAIEAINVLKRSGFKPKRSLEIILFTSEEPTRFGISCLGSRLLAGSKELAEALKTTVFDGQNVSFIEAARSAGYAEDKDDDLSSVFLKKGSYFAFIELHIEQGPILEDEGLDIGVVTAIAAPASLKVEFEGSGGHAGAVLMPYSNDAGLAAAELALAVEKHVLESESIDTVGTVGILELHPGAINSIPSKSHLEIDTRDIDEARRNTVIKKIQESANAIAKKRKVKLSEFKIVNQDPPALSDKLVIKKMAEAATELNLSHKMMISRAYHDSLFMARISPMGMIFIPCYKGYSHKPEEYSSPEDMANGVKVLSLALAKLSLD >fgenesh2_kg.8__461__AT5G43560.2 pep chromosome:v.1.0:8:4200988:4206272:-1 gene:fgenesh2_kg.8__461__AT5G43560.2 transcript:fgenesh2_kg.8__461__AT5G43560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESTNEDCGAGRSSVDENSNGQRSQSEEVLAEWRSSDQVENGTPSTSPPYWDIDDDDDDFGSKPSELFGKNTWTIEKFSEINKRELRGDVFEVGGYKWYILIYPQGCDVCNHLSLFLCVAHHEKLLPGWSHFAQFTIAVSNKDPKKSKHSDTLHRFWKKEHDWGWKKFIESPKLKEGFIDDYDCLTIKAQVQVIRERVDRPFRCLHYKYREELVRVYLTNVEQICWRFVEEKRSKLGRLIEDKAKWKSFCAFWMGLDQNSRRRMSREKMDVILKIVVKQFFIEKEVTSTLVMDSLYTGLKALEGQIKNKKTRPRLMDTEELPAPIVSVDKDTFALVDDVLLLLERAAVEPLPTKEEKSPQNRTKDGNAGEEFSREAIERDERRLTELGRRTVEIFVLAHIFSNKIEVAYQEAIAWKRQEELIREEEEAWLAESEQKAKRGPSEKEKKSKKKQAKQKKNKNKGKEKKKEEKVKTQTEERDIEKEECMRAKAESSAEKPDTLGDVSDLSDSVDSSAEILQLDSEDRESSPVHWEIDASEINPLSSGDTSRGIGNSLCIPNGVAAERKGLSTMDDSSSTCSNDSIRSGVANGSYKGNVVNCLSQKWHINGKTQPGKVSDASSLASEKEDQPSRPASDPKNQSHSSEKRRVGEADAVISHIQKPESPGERSPVSKDPTVIQMKEKTAAVLSPSRAAPWNPPSLVLAKPEKTVVSNVDAVPNRKVASARSPSSDQASPSRETKLQTVGLRADIQKTASPKPVAQPAPSISRPLSAPIIPPKQAAPVISAVQTTSAASLARSMSSTGRLGSPIHSQAYNPQSYKHAIVGSSGFTHSSTQSTGTSTLPPYSHPSPISVSSQSGFPINVGSWDVSSGGLLWTGGSSSNRDTTTTISGNHKTNTYNTPVVTTSIRPTNVQIGRTAQMTDEFPHLDIINDLLEDEHGSMDSSVYHVPQQFNNQYSYHGGADLGISGRSRSYSDDGFHQSYGEYMPHSGSSSPYGNGQTQSQWQMAMDLSLRAMRNQDDASASATYSYFDLDSSNPNLSGINGYRDFRPSNGH >fgenesh2_kg.8__466__AT5G43500.1 pep chromosome:v.1.0:8:4246779:4250599:-1 gene:fgenesh2_kg.8__466__AT5G43500.1 transcript:fgenesh2_kg.8__466__AT5G43500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKRNSYLSWQDYLKTVAPTQILSERGANLVVINLGSANVRVGLAMDEKPFNVPNCIARYMTQTGKPNVVDQMLNTQVTTNQHVDRERAYNTAASLLKIPFLDESSSSGSASRKMGRIDGFNQASTTKKDIVFTWTDVYEDEHISLASSAETSADKGEASVSIAAPDVTDSKDTGESKRKYRKMIFGREALTISPNEPYCLYHPIRRGHFNVSPHYSAQRVFEDLTAILDWILLEKLHITHRERNSFHAVIVVPETFDTREIKEMLTIVLGELHFNSAVVHQEGLSAVFGNGLSTACIVNIGAQTSTVVCVEDGVSLPNTEKILPFGGEDICRCLLWIQRHNQKWPQIHTDVLAKPIDMLMLNQLKESFCEIRAGELETVATVHSYEDGMPAVPHKTNLTSLNVPPMGLFYPNLLVPELFPQPPRQWFQDHENMLEDTWNMDFGGGGTMGLPMWDSFAFSPSKPKKEEKIGLAEAITSSILSAGRIDLRRKLFSSIQLIGGAGLTKGLVSAVEERVLHAIPPTEAIDTVQVLPSRTEPPFVTWKGGAILGILDFGREAWIERPQWMENGINIGGLKKYKDSYHLQGQAMYFINP >fgenesh2_kg.8__470__AT5G43430.1 pep chromosome:v.1.0:8:4279315:4280937:-1 gene:fgenesh2_kg.8__470__AT5G43430.1 transcript:fgenesh2_kg.8__470__AT5G43430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVAVKRVVDYAVKIRVKPDKTGVETQNVKMSMNPFCEIALEEALRIKEAGFAEEVIAVSIGPSQCVDTLRTGLAMGADRGIHVETNSSFLPLTIAKILKSLADVENPGLIFLGKQAIDDDCNQTGQMVAALLGWPQATFASKVVLDKDKNVATVDREVDGGLETLNVDLPAVITTDLRLNQPRYASLPNIMKAKSKPIKKMTVQDLKVDIKSDIEILEVTEPPKRKSGVMVSSVDELIDKLKNEAHVV >fgenesh2_kg.8__472__AT5G43420.1 pep chromosome:v.1.0:8:4284605:4285956:-1 gene:fgenesh2_kg.8__472__AT5G43420.1 transcript:fgenesh2_kg.8__472__AT5G43420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNRHNTLRDLSFPPPPPPPIFHRASSTGTSFPILAVAVIGILATAFLLVSYYVFVIKCCLNWHRIDILHRFSLSRRRRNDQDPLMVYSPELRNRGLDESVIRAIPIFKFKKRNDQNDGVFTGEGEEEKSSQECSVCLSEFQDEEKLRIIPNCCHLFHIDCIDVWLQNNANCPLCRARVSCDTSFPPDRVSAPSSSPENPIVGSGTVVVRGENEYVVIELGNSTGSDRDSPRSGKLLMEQERSKSGHLLTENTQNSICPSPKKFDRGGLPRKSRKLHKMTSMGDECIDIRRGIDEQFGSIQPIRRSISMDSSADRQLYMAVQEAIRKNREVPVVGDGGGCSSSSGNVSNSKVKRSFFSFGSSRRSRSSSILPLYFEP >fgenesh2_kg.8__475__AT5G43390.1 pep chromosome:v.1.0:8:4421139:4423260:1 gene:fgenesh2_kg.8__475__AT5G43390.1 transcript:fgenesh2_kg.8__475__AT5G43390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTILLGPPSVAAFETPETPVSDGNSLISQTATLNLEEPRMGLTENFSPTFLSSGNPCLDFFFHIVPDTPSEDLIQRLAISWSHDPLTTLKLICNLRGVRGTGKSDKEGFYTAALWLYKNHPKTLALNIPALVDFGYFKDLPEILFRILEGQQMERGKKRVWRKRIQRKFKGDSEKRTAISGEMEDRILETAEEIGGPVDKIKARALRKQREFEKAKKALERYNSDANYRLLFDRIADLFADLLKSDLKCLNSNELNKIGLASKWCPSVDSSYDKTTLICEAIARRMFPRDEYEGIEEAHYAYRIRDRLRKEVLVPLHKALELPEVSMSAKEWNLLKYNRVPSVAMQNYRTRFVEHDNERFTEFLGDVRSGKKKIAAGALLPHQIISELENDSENEVGAEVAELQWARMVDDLAKKGKLTNSLAICDVSGSMAGTPMNVCVALGLLVSELNEEPWKGKVITFSENPQLHVVTGSSLREKTGFVRAMDWGMNTDFQKVFDRILEVAVENNLTNDQMIKRLFVFSDMEFDDARVKDSNSEVSDYESNSESDYESVSESFEKWETDYEVVQRKYKEKGFQNVPEIVFWNLRDSSATPVASKQKGVAMVSGFSKNLLTLFLEEGGIVNPEDVMWLAIKGDEYKKLAVYD >fgenesh2_kg.8__477__AT5G43380.1 pep chromosome:v.1.0:8:4424050:4425923:1 gene:fgenesh2_kg.8__477__AT5G43380.1 transcript:fgenesh2_kg.8__477__AT5G43380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7MP73] MDASTLNSVINRLLEAREKPGKIVQLSETEIKQLCFASRGIFLRQPNLLELEAPVKICGDIHGQYPDLLRLFEYGGYPPRSNYLFLGDYVDRGKQSIETICLLLAYKIKFPENFFLLRGNHESASINRIYGFYDECKRRFSVKIWRIFTDCFNCLPVAALIDDRIFCMHGGLSPELLSLRQIRDIRRPTDIPDRGLLCDLLWSDPDKDVRGWGPNDRGVSYTFGSDTVSSFLKRLDLDLICRAHQVVEDGFEFFANKQLVTIFSAPNYCGEFDNAGAMMSVDDDLTCSFQILKPNDKKSKFSFGSRGGAKTSFPYPKVKSILSSQNSKEYN >fgenesh2_kg.8__478__AT5G43370.1 pep chromosome:v.1.0:8:4433279:4434643:-1 gene:fgenesh2_kg.8__478__AT5G43370.1 transcript:fgenesh2_kg.8__478__AT5G43370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MP74] CRFWSLLWPRSQGCHDHPLLLQLIDNLMAYASFGWDLVLEVTTLFLPPSCLNMLTRRPVGFHRGCLRHARCRNLGWRFRGTRTPTYAVDRVLSTPPQVDYIWRIIVMYGALPAALTYYWRMKMPETARYTALVAKNIKQATADMAEDFVKDPRQNYGLFSKEFLRRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKPATMNASHEVFRIARAQTLIALCSTVPGYWFTVAFIDIIGRFAIQLMGFFMMTVFMFAIAFPYNHWTKPENRIGFVVMYALTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSQDKAKVDAGYPPGIGVKNSLIVLGVLNFIGMLFTFLVPEPKGKSLEELSGEAEVDK >fgenesh2_kg.8__47__AT5G47455.3 pep chromosome:v.1.0:8:169585:171227:-1 gene:fgenesh2_kg.8__47__AT5G47455.3 transcript:fgenesh2_kg.8__47__AT5G47455.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFMSRSSVSSLKSAIRSSLRNSPIGTGSSPAAASSAGFRIPSKPAASPLPRFSFSRCPSELGCVQSLLPLHSTVAAARLTSCLSTTSRSSRALSQEMGLSVPR >fgenesh2_kg.8__480__AT5G43350.1 pep chromosome:v.1.0:8:4458861:4486073:1 gene:fgenesh2_kg.8__480__AT5G43350.1 transcript:fgenesh2_kg.8__480__AT5G43350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQLGVLKALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCVSLVTKLLGRLYYFNPASEKPGSLPPHVAAAVNGVALCGTLAGQLFFGWLGDKLGRKKVYGITLIMMIVCSVASGLSFGNTAKGVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGILAGGFVALAVSSIFDKKFPSPTYAQDRILSTPPQADYIWRIIVMFGALPAALTYYWRMKMPETARYTALVAKNIKKATQDMSKNIKQATADMSKVLHTDLEVEERAEDFVKDPRQNYGLFSKEFLRRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKPATMNATHEVFRIARAQTLIALCSTVPGYWFTVAFIDIIGRFAIQPMGFFMMTVFMFAIAFPYNHWTKPENRIGFVVMYALTFFFANFGPNATTFIVPAEIFPARLWSTCHGISAAAGKAGAIIGAFGFLYAAQSQDKAKVDAGYPPGIGVKNSLIVLGVLNFIGMLFTFLVPEPKGKSLEELSGEAEVSHDEKLLYIL >fgenesh2_kg.8__483__AT5G43340.1 pep chromosome:v.1.0:8:4487856:4489412:1 gene:fgenesh2_kg.8__483__AT5G43340.1 transcript:fgenesh2_kg.8__483__AT5G43340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEQGSILKALDVAKTQWYHVTAVVVSGMGFFTDSYDLFVISLITKLLGRIYYQVPGSSSPGSLPDGISAAVSGVAFAGTLLGQIFFGCLGDKLGRKRVYGLTLLIMTICSIGSGLSLGRDPKTVMVTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFGMQGVGILAAGAVSLLVSAVFESKFPSRAYILDGAASTVPQADYVWRIILMVGALPALLTYYWRMKMPETARYTALVAKNAEQAASDMTKVLNVDIEASSAKNDQARVSSDEFGLFSMKFLRRHGLHLFGTASTWFLLDIAFYSQNLFQKDIFTTIGWLPSAKTMNAIQELFMIARAQTIIACCSTVPGYIFTIFLIDYMGRKKIQVMGFAMMTVFMFSLAIPYHHWTLPANRIGFVVLYSFTFFFSNFGPNATTFIVPAEIFPARIRSTCHGISAASGKAGAMVGSFGFSALVKALGMSTTLYIMGGINLAGLLITLGTIPEPNGISLEELSGETEPEKIQEKIVVV >fgenesh2_kg.8__486__AT5G43330.1 pep chromosome:v.1.0:8:4491424:4493476:-1 gene:fgenesh2_kg.8__486__AT5G43330.1 transcript:fgenesh2_kg.8__486__AT5G43330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7MP79] MAKEPVRVLVTGAAGQIGYALVPMIARGIMLGADQPVILHMLDIPPAAEALNGVKMELIDAAFPLLKGVVATTDAVEGCTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQAAALEKHAAPNCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQVSERLSVPVSDVKNVIIWGNHSSTQYPDVNHATVKTSSGEKPVRELVKNDEWLNGEFISTVQQRGAAIIKARKLSSALSAASSACDHIRDWVVGTPEGTFVSMGVYSDGSYNVPAGLIYSFPVTCRNGEWTIVQGLPIDEVSRKKMDLTAEELKEEKDLAYSCLS >fgenesh2_kg.8__487__AT5G43320.1 pep chromosome:v.1.0:8:4495042:4498793:1 gene:fgenesh2_kg.8__487__AT5G43320.1 transcript:fgenesh2_kg.8__487__AT5G43320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVVGGKYKLGRKLGSGSFGELFLGVNVQTGEEVAVKLEPARARHPQLHYESKLYMLLQGGTGIPHLKWYGVEGEFNCMVIDLLGPSMEDLFNYCSRRFNLKTVLMLADQMINRVEYMHVRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGTKKQKYDKISEKKRLTPVEVLCKSFPPEFTSYFLYVRSLRFEDKPDYPYLKRLFRDLFIREGYQFDYVFDWTILKYPQFSSGSSSSSKPRSSLRPAMNPPVPTAERSDKPSAGAGQDSRDRFSGALEAYARRNGSGSGVVQADRSRPRTSENVLASSKDTTPQNYERVERPISSTRHASSSRKAVVSSVRATSSADFTENRSSRVVPNNGRSSTAQRTQLIPDPTTRPSSSSFARAAPSRTARDITLQSFELLTIGNGKRK >fgenesh2_kg.8__488__AT5G43310.1 pep chromosome:v.1.0:8:4514377:4520337:1 gene:fgenesh2_kg.8__488__AT5G43310.1 transcript:fgenesh2_kg.8__488__AT5G43310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTRLDSVAFQLTPTRTRCDLLVTANGKTEKIATGLLDPFLAHLKTAKDQLEKGGYSIILKPEASDNAAWFTKGTIERFVRFKLRSFRLRKPLYIGIFEYFVWCMVRTQKDDQRAKKADSTEGSRPLLQLNEEKAIVLYEPDSHPKQANRSTSSDENSKYTPLHEKYLFSLFLSKRVVKYLIVMKVLETRKIMLQKEQGMAFARAVAAGFEADDMIPLISFAKTFGATRLMDACLKFVDLWKKKHETGQWVEIEATEVIATHPNISAMNDSGIMFANAANMPGTPENSDAKSPSDNKPNGNQEYVQGQHPQPMYAPWPVHSPPGTFPVFQGYTMQGMPYYPGYPGASPYPSPYPSTDDSRRSSGQRKARKHHSSGSEDSESEDQEREIEKSGRRRKSGKVVIRNINYINSKKQEHSGTESDADDLHEEGARECYNGKERAIEGKEADTGDWQAFQTYLLQDADRDERTIGHMMEKEITRKKRQSTGKYDPLAHDERESGKYQERDTADIRNGSVTRRIRGSSDSFMVHQRENGFVNSSDPLNLGFDNPRNGLDKRSSFNMDDDSYIVTRGSAPLDEAGRNKRNAMDIGSEISPCHQTDGNERKQVNYEPHDLSLIPERETEKLSAGYDPALEFGSKALKKNNLAAGGAKKLVKDPKSRLSKDAADKRKAPGPIRKGRPTKMSPLDEARARADKLRNFKADLQKMKKEKEEEERKRIEGLKIERQKRIASKSNSAVGQSQLPAQQAKKQILNKFSPGAPRASKFSDSEPGSLSPLQRLSRRTASLGSNDYQKFPKNGKLSTVSKSTGNMLTRSISPLPPSKRESIATGNRLTRSISPLPLSKRETRVSLDTQNKSVSRTRRLSEPKMGNNSAPSSSVRPRRTIASRKASDAPEIKKLSAIVNYDIAKIASLPELKIKPAKGPTNVMVKGVEKLKSSASEIQPSGNKNKSLCQNDIEETPVIEKTVVMVLPSSARSISTDQVKHEKSDVVSENTTIRQVVDKEAFETMQESGSDLVLVRLETLSDLVTETPKFLTSQSIVAKPYEAPYARVSSLEDPCTVYSDCSQAPPPRLYSNETEQETGKVLVPEKKISEALEKSQTKESASNGLRKLLKFGKKSQSSSVSEHHTESNNASFNSIEDHEPAVTAATTSEAFTLKKLISQDETPTAAAASQKSSRHFSILSPFKNKKTVS >fgenesh2_kg.8__491__AT5G43280.1 pep chromosome:v.1.0:8:4567701:4568950:-1 gene:fgenesh2_kg.8__491__AT5G43280.1 transcript:fgenesh2_kg.8__491__AT5G43280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATDCI1,DELTA-DIENOYL-COA isomerase 1 [Source:UniProtKB/TrEMBL;Acc:D7MP94] MESYKTLEIVRKNSDSSVFHLIINRPSHLNALSLDFFIEFPKALSSLDQNQDVSVIILSGAGKHFCSGIDLTSLSSISTQSSSGNDRGRSSEQLRRKIKSMQAAITAIEQCRKPVIAAIHGACIGGGVDLVTACDIRYCSEDAFFSIKEVDLAIVADLGTLQRLPSIVGYANAMELALTARRFSGGEAKELGLVSRVFGSKSDLDNGVITIAEGIGGKSPLAVTGTKAVLLRSREVSVEQGLDYVATWNSAMLISNDLNEAVSAQLAKRKPRFAKL >fgenesh2_kg.8__499__AT5G43210.1 pep chromosome:v.1.0:8:4636455:4672455:-1 gene:fgenesh2_kg.8__499__AT5G43210.1 transcript:fgenesh2_kg.8__499__AT5G43210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MPA9] MTRLLSRTFPSVKLRDFKTLKNSQTQVPISSSSSQQSQENSKIPKSKSWSVYLILSTTEPIKTYVGITTDFARRLKQHNGEIRGGAKASSAGRPWLCACIITGFTCLSQASSFESKWKIFSRKLPRRKEDEEMSQSDALLQHRRRALEKVQESL >fgenesh2_kg.8__49__AT5G47450.1 pep chromosome:v.1.0:8:196879:198332:1 gene:fgenesh2_kg.8__49__AT5G47450.1 transcript:fgenesh2_kg.8__49__AT5G47450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtTIP2_3 [Source:UniProtKB/TrEMBL;Acc:D7MPT7] MVKIELGSVGDSFSVSSLKAYLSEFIATLLFVFAGVGSAIAFDKLTSDGALDPAGLVAIAIAHAFALFVGVSIAANISGGHLNPAVTLGLAIGGNITLIIGFFYWIAQCLGSIVACLLLVFVTNGKSVPTHGVAAGLGAVEGIVMEIVVTFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGDLSQIWIYWVGPLVGGALAGLIYGDVFIGSYEAVETREIRV >fgenesh2_kg.8__4__AT5G47740.1 pep chromosome:v.1.0:8:64795:66015:-1 gene:fgenesh2_kg.8__4__AT5G47740.1 transcript:fgenesh2_kg.8__4__AT5G47740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSNEIEEEEEDGRRGLESVRESQLEGRSSGTVSMNGEDSVYVGVGKGDSSMEALRWAIDNLMTSSSTLLFLIHVFPETRFIPYPLGRLTREKASQEQVESFMSQEREKRRTLLLKFLHTCSASKVKVETILVESDSVAKAVQDLITILNIKKLVLGIDKSNARKATTMKGNSVPELIMRSSAADVCEVKVICQGKEINMEQATMARSPAKSPIAHRQKKDQPVDPFACICFISKPKTNR >fgenesh2_kg.8__500__AT5G43190.1 pep chromosome:v.1.0:8:4698085:4699440:1 gene:fgenesh2_kg.8__500__AT5G43190.1 transcript:fgenesh2_kg.8__500__AT5G43190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MPB2] MFRLRTHGKKPITITTTTTTTASTFLYWSPESSPTTLSSPTNMDPTIWSNLPNHLLEHILSLLPFKTLLTLRSISRHLRSLILSPSFISDHSFSLPSFLLLSHPQSFNSFPLFNPNLISWCTLPLPRSLSLTNASSLLSSSNGLLCFSISPSSVSSLSIFNPLTRSSRSIKLPCYPFHFELLSLLTSPEGYKIFTLCSSSSAASSRSVCLYDSGDRSWRKFGGVDQVLPRGFNQDGVFYNGSLYFARSEPFLIVSVDLNDGKWTTATGDGFFPADNLITFARLVSDPVKNILYMVGGIGSNGICRSIKIWEFKEETESWIEVETLPDIVCRKFTSVCYHNYEHVYCLWHKEMICVCCYNWPEILFFHVGRRTWHWVPKCPSLPEKWSCGFRWFSFVPSLSASV >fgenesh2_kg.8__501__AT1G30972.2 pep chromosome:v.1.0:8:4739533:4740188:-1 gene:fgenesh2_kg.8__501__AT1G30972.2 transcript:fgenesh2_kg.8__501__AT1G30972.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MPB8] MGAKLNLMILIVFVVTMVIAMENVNGETKAQCQEDCIQGCATTGSLPSKCLQICYRGCRGKPGRALGNHFSNYR >fgenesh2_kg.8__502__AT5G43180.1 pep chromosome:v.1.0:8:4742089:4745374:1 gene:fgenesh2_kg.8__502__AT5G43180.1 transcript:fgenesh2_kg.8__502__AT5G43180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKVDTDSIVVPLSLLIAVGYHVFLWNTFKHNPSRTSLGIDSSKRKAWFRDIKEGDDKTGMLAVQSLRNKKMVTILTATIAILIFLSLAAVTNNAFKASHLITAADDILFGSQNAKIFVLKYASASLLLAASFFFSSIALSYLMDAIFLINAMSKKQEGDCDCGYDITGTTSFREYTRLVLERGFFMAMVGNRVMCVSIPLLLWMFGPLPVLASSLGLVWVLYLFDFPSTAKISVCKT >fgenesh2_kg.8__503__AT5G43175.1 pep chromosome:v.1.0:8:4760062:4761137:-1 gene:fgenesh2_kg.8__503__AT5G43175.1 transcript:fgenesh2_kg.8__503__AT5G43175.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7MPZ8] MENEAFVDGELESLLGMFNFDQCSSNESSFCNAPNEFDVVSSSDDFFPFSKILQGNYAAVLDGSNHQTNLYDDSRQELVKPRKKQKISSESNLVTEPKTAWRDGQSLSNYNSSDDENALGLVSNTSKSLKRKAKSNKGIASDPQSLYARKRRERINDRLKTLQSLVPNGTKVDISTMLEDAVHYVKFLQLQIKLLSSDDLWMYALLAHNGLNMGLHHNILSRLM >fgenesh2_kg.8__505__AT5G43160.1 pep chromosome:v.1.0:8:4767647:4770261:-1 gene:fgenesh2_kg.8__505__AT5G43160.1 transcript:fgenesh2_kg.8__505__AT5G43160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMTAAISPSFNANTKHNKPPSFPSESSNRRPKTRDVASRYLGGASSLFHQSSPKRCQSPTVTRPVATNRPKSTPRRESLDRGEVSKAQRMLLTSGRSLFASFQADSFTGNENRSQLISSPITPVRGTKTTSSATTSRSGGGKQEKLKLSDQWPRSLQPNCLSRSVDFTDTRKKLTGSGNGVARVLQNSMVNNRPVSRERRDSLSVDLETESVSSGSSNGRGKMLLVCGNVVKARVSQSRSQDRLETSSHGLRKISVDSCVLSPKGSQLFPKSEQIRPVSPGKFGTSIVRGISPSRGVVPPRGVTPSGRMSPLRVRSSMSKNTPLIPNFAVDAKEKIRDNGVADAHLLRLFHNRLLQWQFANARANAVISAQKMRAEKRLCNAWISISKLYDSVRAKRIELQHLKQSLKLISILNKQMGHLEEWLVMDRDYMGSLVGAAEALKGSTLCLPVDCGAMVNVQSVKDAICSAVDVMQAMASSICLLLPKVGKISSLAAELGRVNVKEEGMLDMCWDLLNTISALQVQYLNFIIGISPLYLEIWLFIFKICR >fgenesh2_kg.8__512__AT2G07110.1 pep chromosome:v.1.0:8:4899212:4899725:-1 gene:fgenesh2_kg.8__512__AT2G07110.1 transcript:fgenesh2_kg.8__512__AT2G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MQ16] LQNTDLKQERRRTKMLRRLVLKSYGSLKPDRLVIVNAFRCSEEGLFCCLRKVEREYKTILKRTLQNICVLTVVPNSTTSVIIQVVHDGGSVSFLCSLHLGKYLLMLSETHIIRYKQCLFEYL >fgenesh2_kg.8__513__AT5G43070.1 pep chromosome:v.1.0:8:4906457:4907022:1 gene:fgenesh2_kg.8__513__AT5G43070.1 transcript:fgenesh2_kg.8__513__AT5G43070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETETETITTTTTTTSPTAISETETSTTLPTMETEKNPNPVTISLRIWPPTQKTRDAVINRLIETLSTESILSKRFGTLDSEEASSVAKSIEDEAYAVASAAVVSDDDGIEILKAYSKEISKRMLESVKAKTNVASPPPKDGDGTPDSSEDVKDDDANPKLDEA >fgenesh2_kg.8__517__AT5G43020.1 pep chromosome:v.1.0:8:5038807:5041633:1 gene:fgenesh2_kg.8__517__AT5G43020.1 transcript:fgenesh2_kg.8__517__AT5G43020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRCNLPISGFLLFCFFFTIVASSSSSLNRTKHVFHYHRDVSALLRFKSKADLWNKINTSSHFCQWWGVTCYGNRVVRLVIEDLYLGGRLVPDSVNKLDQLRVLSLKNTSLTGPLPDFSGLVNLKSLFLDHNSFSGSFPFSVLALHRLRTLDFSFNNLTGPIPPGLVLSDRLIYLRLDSNRFNGAVPALNQSSLHTFNVSVNNLTGSVPVTTVLLRFGISSFLKNPNLCGEIVHKECNPRPKFFTPVTAAPPPKMVLGQIAQIGGARLSRPNQNKHSRFFVILGFISGAFILFISVACLIGAVKRRRSKNEKQKGKESTAVVSFDAAETAEVAAAIEQESEIEEKVKKLQATKSGSLVFCAGEAHVYTMDQLMTASAELLGRGTVGTTYKALLDSRLIVTVKRLDAIRLAGVGRDKFERHMESVGALGHPNLVPLRAYFQAKEERLLIYDYLPNGSLSSLVHGTKSSRATPLHWTSCLKIAEDVAQGLSYIHQAWQLVHGNLKSSNVLLGPDFEACIADYCLVALATNPPLTSNDGQEDADAAAYKAPEARHKSLNYQSVKADVYSFGILLLELLTGKQPSKIPVLPLDEMIEWVRKVREEGEKKNGNWREDRDKFGMLTEVAVACSLTSPEQRPTMWQVLKMLQEIKEAAVMEECELVMDSSNSESS >fgenesh2_kg.8__518__AT5G43010.1 pep chromosome:v.1.0:8:5045180:5047961:1 gene:fgenesh2_kg.8__518__AT5G43010.1 transcript:fgenesh2_kg.8__518__AT5G43010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle triple-a 4A [Source:UniProtKB/TrEMBL;Acc:D7MQ32] MTDVDESVRRRTAAVSEYRKKLLQHKELESRVRTARENLRGAKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNISYSAVGGLGDQIRELRESIELPLMNPELFLRVGIKPPKCVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFNYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDNLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMDILKIHAAGIAKHGEIDYEAIVKLAEVCFNGADLRNICTEAGMFAIRAERDYVIHEDFMKAVRKLSEAKKLESSSHYNADFGKE >fgenesh2_kg.8__519__AT5G43000.1 pep chromosome:v.1.0:8:5079529:5080193:1 gene:fgenesh2_kg.8__519__AT5G43000.1 transcript:fgenesh2_kg.8__519__AT5G43000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILTPVIKLVVFRQSLRPHSAIAFLVEFLCFVLELLDKEKDLAKIFGIVCACDTLIFTLLDLIQNLLEEEVKIRRCGRVFWLYTKDERLYWHTLNAYLVMLCIVHVIGSTISYVSHRHYLVLLPLFAAGLELVPQEAYRVLGPDQESQDCIGPLNDPSYGKDQTLQDDIGLNQESQDCIAPHQGPLADIELEQEMVVHVEPLQDSLDGIELEQEVAVHVG >fgenesh2_kg.8__523__AT5G42960.1 pep chromosome:v.1.0:8:5094374:5095847:-1 gene:fgenesh2_kg.8__523__AT5G42960.1 transcript:fgenesh2_kg.8__523__AT5G42960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKASLKGKYDADKTSGIGSLAFNAGDIKLRATMTDATLVAGPTLTGLSLAVEKPGSFMIEFNVPKKDVRFQFMNTVRIAEKPLNLTYIHSRADNRTIVDGSLVIDSANKLSANHMVGTNNCKLKYTYAHGGLATFEPCYDLAKNTWDFAVSRRFYSGDNVRATYQTSSKLLGMEWSRNNKASGIKVCASVNLADEVKTPKLTAETTWNLEM >fgenesh2_kg.8__52__AT5G47435.2 pep chromosome:v.1.0:8:207067:209401:-1 gene:fgenesh2_kg.8__52__AT5G47435.2 transcript:fgenesh2_kg.8__52__AT5G47435.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRISERASCFAKNFPILKSSRFHGESLDSSVSPVLIPGVHVFHCQDAVGIVAKLSDCIAAKGGNILGYDVFVPENNNVFYSRSEFIFDPVKWPRNQVDEDFQTIAQRYGALNSVVRVPSIDPKYKIALLLSKQDHCLVEMLHKWQDGKLPVDITCVISNHGRASNTHVMRFLERHGIPYHYVSTTKENKREDDILKLVKDTDFLVLARYMQILSGNFLKGYGKDVINIHHGLLPSFKGGYPAKQAFDAGVKLIGATSHFVTEELDSGPIIEQMVESVSHRDNLRSFVQKSEDLEKKCLTKAIKSYCELRVLPYGTNKTVVF >fgenesh2_kg.8__538__AT5G42955.1 pep chromosome:v.1.0:8:5388278:5388691:1 gene:fgenesh2_kg.8__538__AT5G42955.1 transcript:fgenesh2_kg.8__538__AT5G42955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFSSHITTLFIVVALVCVFVPVFSVEEAEAKSLWNTCLVKITPKCALDIIGVVFENGTISDPCCNDLVKEGKVCHDTLIKYIADKPMLLAHETEYLKKSADLWNHCVSISKSA >fgenesh2_kg.8__540__AT5G42950.1 pep chromosome:v.1.0:8:5398651:5405714:-1 gene:fgenesh2_kg.8__540__AT5G42950.1 transcript:fgenesh2_kg.8__540__AT5G42950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GYF domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MQR5] MANSSAGSAEDHRNKHLSVNPPHQIFKDIQGSDNAIPLSPQWLLSKPGENKTGMGTGDPNQYGNHSDVVRPTGNGEETPDNLKKKDVFRPSLLDAESGRRDRWRDEERDTLSSVRKDRWRNGDKDSGDNKKVDRWDNVAPKFGEPRRGPNDRWTDSGNKDAAPEQRRESKWNSRWGPDDKETEIPRNRWDEPGKDGEIIREKGPSLPTGDGDHYRPWRPSQGRGRGEALHSQSTPNKQVTPFSHSRGRGENTAIFSAGRGRLSPGGSLFTNASNQSHPPGSASDKGGSGPGETPHLRYSRMKLLDVYRMADTECYEKFPDGFIEVPSLTSEQPTDPLALCAPSSDEVNVLDAIEKGKIVSSGAPQTTKDGPSGRNPVEFSQPRRIRPAGSREDMTFGAEESKDESGETRNYPDDKFRPEASHEGYAPFRRGNEVPVRELKEPSMQGNTHVQSASPWRQPSAGERSNRNSHDWNDPSADSRLKSSDSVWSHPKDAINHLGGNNMMLPQSKGESRWQISEDPALRRQPSLVFDREQEVRKLLPSSPEELTLYYKDPQGLIQGPFSGSDIIGWFEAGYFGIDLLVRLASEPNEAPFSLLGDVMPHLRAKSGPPPGFTGAKQNEFVDAAGTSAFPGVGKVHSGMGEADLLQNDMRYKHVAGTVAENRFIESLMSGGLNNPAQGVQGYGVNSSGGLSLPVTDGGADMYLLAKKLELERQRSIPSPYSYWPGRESANLMPGSENVSENAQQPARSPSSDLLSILQGVTDRSSPAVSGPPPAWSQSIQKESDLHHAKSFQTQTPFGVQQQRLPEQNLPLAGLLGQPIEHNPGGMLSPDMMLAAGLSQEHQSLNLLQQQQLLLQLNSQTPLSAQHQRLLVEKMLLLKHQHKQEEQQQLLRQQQQLFSQVLADQQRSQQRFGEPSYGHLQASLDALRLQPSKDMSQVNQQMQVPVSREERGVNLADLLPVTHATNQTVASFETPSLHLQNQLFGNVDPRMVLADQTDHTHKKDSKSEYERTISADYMNSLYSEKPVLSPGYHATHNEEEPVSCPNNESSTSTTIAPEIFESKLLEEQAKDMYAGQGDISNELSGEIPATEVKNNEVSVGRKTSEKKSRKQRAKQSAELAKTTSKASLQETKQLEPGSADDSEIKGKTKKSADTLIDNDTRPIKSSTATASVTSQMSSEADSVRGEESSLQNTRTQPGRAWKPAPGFKPKSLLEIQMEEQRVAQAEALAPKISTTVSSVGTAAPWAGIVANSDPNILRETHGELAITQTGVVKPESVPALKAKKSHLHDLLADDVFAKSSDKEREEMETVSKNDAFMQVTTTNAESFDDDNFIEAKETKKSRKKSARAKNSGAKVAAHVPTVDTSFQTNSVEKGKSSRVIQQQEKEVLPAIPSGPSLGDFVLWKGETVNNPPPAAAWSTGPKKSTKPSSLRDIVREQEKMTTSSHPPPSPVPTTQKATPPQVHQGGASWSRSASSPSQAVSQSSYQSKSKGDDDLFWGPVEQSTQETKQGDFPHLTSQNSWGTKNTPGKVNAGTSLNRQKSISTGSADRVLSSPVVTQASQKGKKEAVTKLTEANGFRDWCKSECLRLLGSEDTSVLEFCLKLSRSEAETLLIENLGSRDPDHKFIDKFLNYKDLLPSEVVEIAFQSKGSGVGTRNNTGDDYYYNTTAANDGFSKVGGKKKAKKGKKVSLSASVLGFNVVSNRIMMGEIQTIED >fgenesh2_kg.8__542__AT5G42930.1 pep chromosome:v.1.0:8:5422323:5424638:1 gene:fgenesh2_kg.8__542__AT5G42930.1 transcript:fgenesh2_kg.8__542__AT5G42930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase [Source:UniProtKB/TrEMBL;Acc:D7MQR9] MDLLGFYSCWNGYQKQRSTEVIVIKDTSTDPNLIIVSFRGTDPFDADDWCTDLDLSWYEVKNVGKIHGGFMKALGLQKEGWPKEVNFDQTQKETTLYAYYTVRRNLKEILDQNPTSKFILTGHSLGGALAILFTAVLVMHEEEQMLERLEGVYTFGQPRVGDEEFGNFMKDSLKKFDVKYERYVYCNDMVPRLPFDDKTLMFKHFGACLYYDSFYKGKVEEEEPNKNYFNLLWVTPKIMNAMWELIRSFVIPYWKGREFREGWFLRCFRVVALLIPGLPAHFPNEYINVTLLGDLPDSHLD >fgenesh2_kg.8__544__AT5G42920.2 pep chromosome:v.1.0:8:5431860:5435382:1 gene:fgenesh2_kg.8__544__AT5G42920.2 transcript:fgenesh2_kg.8__544__AT5G42920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEIEEGMVTTDEIPTPEVTTETTQPPQEPGKSPLELLRESKTSVEEIITKMLSMKKQGNQKSEIRELLTQMFLNFVNLRQANRAILTEEDKVKAETERAKAPVDFTTLQLHNLMYEKSHYVKAIKACRDFKSKYPDIDLVPEQDFFRHAPDAIKDQSLSSDSSHVLMPKRLNFELHQRKELCKQRARLEQQKKSLLDTIAERKKFLSSLPLHLKSLKKASLPVQNQLGIQHTKKLKQHNLAELLPPPLYVIYSQLLAQKEAFEESIELEVVGSLKDAQAYARQQSKKDSGMLNNTESSRLEDDGPDDDDDGQRRRKRPKKLTSKEGSDKAGLYQVHPLKIVLHIYDDEIPDTKSLKLVILKFEYVLKLNVVCVGAEGSQDGPEKNIFCNLFPDDAGLEPPHQSTKLILGDGQAFDENRTSRPYKWVQHLAGIDISPIILGEEAHNTDSAKSDTFVPDLSLYRQQHRVQTVLQRIRSRKKAHLALAEQLDLLMKHELPVVNCEDAPWALHKVLCALDSWLHIRSSDSKSCSLTLNSVEQVPEPMEIDVDGRSVSGKEDLESIREDGELPSLVTAAASLTSSNHTPSKVSNQARSRQLALMTKNLDSPISKGKSPSFKKYEDDLDLVLDDSEVDEPPTEAHVEALCPEKADNSWVDYGVREFALVLSRKIDGGKLWKLEATVCISMEYPLRPPLFSLSLHASSSSGNGNGTNESDHYNELRAMEAEVNLHMLKIIPSDQENYLLSHQIRCLAMLFDYYADDPSPDSKRGTATTVVDVGLCKPVDGKLLVRSFRGRDHRKMISWKGKGCASGYPC >fgenesh2_kg.8__547__AT5G42870.1 pep chromosome:v.1.0:8:5610529:5614701:1 gene:fgenesh2_kg.8__547__AT5G42870.1 transcript:fgenesh2_kg.8__547__AT5G42870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipin family protein [Source:UniProtKB/TrEMBL;Acc:D7MQT4] MNAVGRIGSYIYRGVGTVSGPFHPFGGAIDIIVVEQPDGTFKSSPWYVRFGKFQGVLKNRRNLIKIEVNGVDSGFNMYLAHTGQAYFLREVEDVVGESESGEVYTLSSGDEAETTSKMGDDVVDKVKIPLKSRSCNYDSPSPRSGNGKIVGKPGILGFVFGGRSVRESQDGGVSSIERAEIAADLLDVKWSTNIDTRKCGKGKSSESLDGKGSGESSTSGKSCVVGSSEMLVDSDSILETPLVASPTLRFLDEKEQDFRESTNVEDYCEENVSSGVVENGLCEASSMGFSVTSEGSGNVEIFVEPRTETLAQDSVTGCVLDPKQELLSAPESVEIVTVGSADQADLGSIGTSQEGSSTGSSVQDENKITINDMHISARDFEKSQSASGESILQPEIEEEQFSFSDLDEGKPGGNSSEGSSSPDTVKVDGKESYDEIETSPEKGVVVENSIALSEPINIERKKDISTDEMERLVGSLPIMRLQNNDDMDASPSQPLSQSFDPCFNTSKLDLREDESSSGGLDAENVAEGSPKLKAFNHVIANPEVVELSLCKHLLSEGMGAEAASQAFISEKLDMEKFASLGPSILENDKLIVKIGGCYFPWDAAAPIILGVVSFGTAQVFEPKGMIAVDRNEKPGDVLAQGSGSWKLWPFSLRRSRNDTEASSSGDTAEPEDKQEKSSPRPVKKTVRALTPTSEQLASLDLKEGMNSVTFTFSTNIVGTQQVDARIYLWKWNSRIVVSDVDGTITRSDVLGQFMPLVGIDWSQTGVTHLFSAVKENGYQLIFLSARAISQASVTRQFLVNLKQDGKALPDGPVVISPDGLFPSLFREVIRRAPHEFKIACLEEIRALFPPEHNPFYAGFGNRDTDEISYLKVGIPRGKIFIINPKGEVAVNRRIDTRSYTNLHALVNGMFPATTSSEPEDFNTWNFWKLPPPSFT >fgenesh2_kg.8__548__AT5G42860.1 pep chromosome:v.1.0:8:5615685:5617476:1 gene:fgenesh2_kg.8__548__AT5G42860.1 transcript:fgenesh2_kg.8__548__AT5G42860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSLSASSPTRSPRRPAYFVQSPSRDSHDGEKTATSFHSTPVLTSPMGSPPHSHSSSSRFSKINGSKRKGHAGEKQFAMIEEEGLLDDGDREQEPLPRRCYVLAFIVGFSLLFAFFSLILYAAAKPQKPKISVKSITFEQLKVQAGQDAGGIGTDMITMNATLRMLYRNTGTFFGVHVTSSPIDLSFSQITIGSGSIKKFYQSRKSQRTVVVNVLGDKIPLYGSGSTLVPPPPPAPIPKPKKKKGPIVIVEPPAPPAPVPMRLNFTVRSRAYVLGKLVQPKFYKRIVCLINFEHKKLSKHIPITNNCTVTSI >fgenesh2_kg.8__54__AT5G47430.1 pep chromosome:v.1.0:8:210284:215696:1 gene:fgenesh2_kg.8__54__AT5G47430.1 transcript:fgenesh2_kg.8__54__AT5G47430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYYKFKSARDYDTIAMDGPFISVGILKDKIFETKHLGTGKDLDIVVSNAQTNEEYLDEAMLIPKNTSVLIRRVPGRPRITVITTQEPRIENKVENVQAETTNFPVADPSATKYPEDDWDEFGTDLYSIPDAQDAQHIIIPRPDLAPADEKVDEESKIQALIDTPALDWQRQGPDTYGAGRGYGRGMPGRMNGRGFGMERKTPPPGYVCHRCNVPGHFIQHCPTNGDPNYDVKRVKPPTGIPKSMLMATPDGSYSLPSGAVAVLKPNEDAFEKEMEGLPSTTRSVGELPPELKCPLCKEVMKDAALTSKCCYKSFCDKCIRDHIISKSMCVCGRADVLADDLLPNKTLRDTINRILEAGNDSTENAGSVGHIPDLESARCPPPKALSPTTSVASKGEKKLVLSNNNDASTLKPPMDVAEITSAPRASAEVNVGKPVDACESTQGSVIVKEATVSKFNTQAPKEEVQQQVAAGEPGKKKKKKTRVPGNADMQWNPVPDLAGTDYMMQMGPGPQYFNGMQPGFNGVQPGFNGVQPGFNGFHPGFNGFGGPFPGAMPPFMGYGLNPVDMGFGGGMNMMHPDPFIAQGFGFPNIPPPHRDLAEMGNRMNLQRAMMGRDEAEARNAEMLRKRENERRPEGGKMFRDGENSRMMMNNGTSASASSINPNKSRQAPPPPTHDYDRRRRPERLSPEHPPPRKIISPSRDSKRKSERYPDERDRHRDRERSRHQDLDREHDRTRDRRDEERSRDHRHHRGESERNQHHHHRKRSEPPSSEPAGTAGTKAEIDNSHKSSVFARISFPEEETSSGKRRKVSSSSSTSVTDPSATAVGTSVHRHSSRKEMEVADYESSDEDRHFKRKKPSRYERSPPVVVSDVSEDKHRYSKRGKGERSRA >fgenesh2_kg.8__553__AT5G42820.1 pep chromosome:v.1.0:8:5722451:5723976:1 gene:fgenesh2_kg.8__553__AT5G42820.1 transcript:fgenesh2_kg.8__553__AT5G42820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MQU4] MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDPQGQPLDPSKIQDHFEDFYEDIFEELNKFGEVESLNVCDNLADHMIGNVYVLFKEEDHAAAALQALQGRFYSGRPIIADFSPVTDFREATCRQYEENSCNRGGYCNFMHVKQISRELRRKLFGRYRRSYRRGSRSRSRSISPRRKREHSRERERGDLRDRDRHGNGKRSSDRSERHDRDGGGRRRHGSPKRSRSPRNVREGSEERRARIEQWNRERD >fgenesh2_kg.8__555__AT5G42810.1 pep chromosome:v.1.0:8:5740156:5742948:-1 gene:fgenesh2_kg.8__555__AT5G42810.1 transcript:fgenesh2_kg.8__555__AT5G42810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-pentakisphosphate 2-kinase 1 [Source:UniProtKB/TrEMBL;Acc:D7MQU5] MVLEEKDASDWIYRGEGGANLVLAYAGSSPLFVGKVIRIQKARRNDKAHMTANGVVSVLTSDEQLLWRENKELISSPNKEVLEQRYVKHVIIPLLGPKHVDAGVRVSVSKEFLECVDKKVTKQRPLWRVNAAHVDTSHDSALILNDHSLFSHGIFSIGDCISVEIKPKCGFLPTSRFIGKENMLKTSVSRFKMHQLLKLEYNEISEESEYDPLDLFSGSKERVLEAIKALYSTPQNNFRVFLNGSLILGGSGESTGRTSPEIGYAFEDTLKGFIQSEDGHRTKYFLQLVSDAVYSSGVLDRLLEIQKLDKLDIEGAIHSYYDIINQPCAICKESGPLEAYSSLHTLPLDESLKIVKDYLVAATAKDCSIMISFQSRNAWDSEPSCDYVSLKPTSQTFDYKVHFIDLSLKPLKRMEAYYKLDKKVISFYNRKQKLENMAEQIGDSKPSHN >fgenesh2_kg.8__556__AT5G28667.1 pep chromosome:v.1.0:8:5747837:5748846:-1 gene:fgenesh2_kg.8__556__AT5G28667.1 transcript:fgenesh2_kg.8__556__AT5G28667.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MQU6] METSYGLQPTLNVSIEESVAMFLRICGHNEVQRDVGLRFFEVLRATKLLTCDYIKTPTRQELRRIPEKLQMDRRYWPYFSGFVGAI >fgenesh2_kg.8__557__AT5G42800.1 pep chromosome:v.1.0:8:5753220:5754857:1 gene:fgenesh2_kg.8__557__AT5G42800.1 transcript:fgenesh2_kg.8__557__AT5G42800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroflavonol reductase [Source:UniProtKB/TrEMBL;Acc:D7MQU7] MVSQTETVCVTGASGFIGSWLVMRLLERGYFVRATVRDPGNLKKVQHLLDLPNAKTQLTLWKADLSEEGSYDDAITGCDGVFHVATPMDFESKDPENEVIKPTVNGMLGIMKACVKAKTVRRFIFTSSAGTVNVEEHQKSVYDENDWSDLEFIMSKKMTGWMYFVSKTLAEKAAWDYAEEKGLDFVSIIPTLVVGPFITTSMPPSLITALSPITRNEAHYSIIRQGQYVHLDDLCNSHIFLYEQEAAKGRYICSSHDATILTISKFLRQKYSEYNVPSTFEGVDENLKSIEFSSKKLTDMGFNFKYSLEEMLIESIETCRQKGFLSVSLPYQSISENKGPTKDDNIELKAGDGLTDGMMPCNKIEPGLTGERTDAPMPAEQMCA >fgenesh2_kg.8__559__AT5G42785.1 pep chromosome:v.1.0:8:5806368:5839554:1 gene:fgenesh2_kg.8__559__AT5G42785.1 transcript:fgenesh2_kg.8__559__AT5G42785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRAYVMIFFFWALLTIITPMLVSWSQALKNLPPLSHDQEQKIKDTSPRRMMGYTEEMHLAQEFVSHIKEEKLMMVPSMAPTPEDNPVTLSLPFLRKKQQDGLVVERAHPIRLQ >fgenesh2_kg.8__560__AT5G42765.1 pep chromosome:v.1.0:8:5847188:5848592:1 gene:fgenesh2_kg.8__560__AT5G42765.1 transcript:fgenesh2_kg.8__560__AT5G42765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLIPISQPFFSSNTKPPPPPISAPQISDHPPRIISRRDTVLLSSAASLLLSLSPTNLAFAFSLGISGPKEWLKEQKKKSSRFLLAPIDASREALRSAYLSLSSESDYTEKDLENLQNLFKSSARDCVPKERTSLVDFQSKSGVEVYTFKLVVKNAASLLGDKDPVKLEAENILDDLVRSFGSLIVLTNGVDMNLPSDRKKIADAVTDAISYLDKFEKGVKDCLEI >fgenesh2_kg.8__562__AT5G42955.1 pep chromosome:v.1.0:8:5873735:5874082:-1 gene:fgenesh2_kg.8__562__AT5G42955.1 transcript:fgenesh2_kg.8__562__AT5G42955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFSSQITTLFIVVTLVCAFVLVFSVEEAEAKLLWNTCLLKITPNCALDIIAVVFENGTISDPCCNDLIKEGKMCHDKLIKYIADKPMLIAHETEYLKKSDDLWNHCVSISKSA >fgenesh2_kg.8__576__AT5G42750.1 pep chromosome:v.1.0:8:5877385:5878709:1 gene:fgenesh2_kg.8__576__AT5G42750.1 transcript:fgenesh2_kg.8__576__AT5G42750.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNLQQVKNSSQTFSEKQNPKQEASPISSTCSSPSHDFSFTISLQPLSSSSKHISPTLRGSTKTTSSYQQTDPFAVDLSPADEIFFHGHLLPLHLLSHLPVSPRTSTSSYNDGFTLPVKDILPDQPTNNNNTENAITTISTEAKNDNTSDKAESENLSRVKTKPMKSFSLFGLSKWRKGFESNEREQEQQQQKIKKPMSLDLSHAVKKYIRMLFQKRGNGTQFRNRRQTSSYSFSSSLMGPNGNSKTMINGSYNKRDLIRGRRGELFSAPASMRTSPTNSGHLRVSTAGLSSSSGSTSSSSSDSTMEELQAAIQAAIAHCKNSSAVDRDDKVKDS >fgenesh2_kg.8__577__AT5G42740.1 pep chromosome:v.1.0:8:5893570:5899053:-1 gene:fgenesh2_kg.8__577__AT5G42740.1 transcript:fgenesh2_kg.8__577__AT5G42740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:D7MRG4] MASSTALTSDTEAWKDLKGHVEDIKKTHLRDLMSDANRCQSMMMEFDGLLLDYSRQRATVETMDKLLNLAKAAQLTEKISRMFNGEHINSTENRSVLHVALRAPKDAVIKADGKNVVPEVWNVLDKIKEFSEKIRSGSWVGATGKPLKDVIAIGIGGSFLGPLFVHTALQTDPEALESAKGRQLRFLANIDPVDVARNIIGLNPETTLVVVVSKTFTTAETMLNARTLREWITAALGASAVAKHMVAVSTNLALVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSVVEKFLKGASSIDQHFQSTPFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGLPLPFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGIVKSQQPVYLKGEVVSNHDELMSNFFAQPDALAYGKTPEQLQKENVSEFLIPHKTFSGNRPSLSLLLPELTAYNVGQLLAIYEHRVAVQGFVWGINSFDQWGVELGKVLATQVRKQLHSSRTQGTALEGFNYSTTTLLKRYLETSSEPQM >fgenesh2_kg.8__578__AT5G42720.1 pep chromosome:v.1.0:8:5972687:5975952:-1 gene:fgenesh2_kg.8__578__AT5G42720.1 transcript:fgenesh2_kg.8__578__AT5G42720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7MRH2] MIASVYSLILLFFSCLVHLSKSQPFLGINYGLTADNLPPPSASAKLLQSTTFQKVRLYGSDPAVIKALANTGIEIVIGASNGDVPGLASDPSFARSWVQTNVVPYYPASKIVLIAVGNEITTFGDNNLMSQLLPAMKNVQSALEAVSLGGGKIKVSTVHVMSVLAGSDPPSAAVFKPEHADILKGLLEFNSETGSPFAVNPYPFFAYQDDRRPETLAYCLFQPNPGRVDPKSNLKYMNMFDAQVDAVYSALNSIGFKDVEIVVAETGWPYKGDPDEAGATVENARAYNKNLIAHLKSGSGTPLMPGRVIDTYLFALYDENLKPGKGSERAFGLFRPDLTMTYDIGLTKTTNNQTSMAPVSPTTPRLPPAAAPTRQTLPAPPQMILPSPVTPSHKNSGQTDVHNSTPRSASLAHICRSLSISTSMLFLSVLYALII >fgenesh2_kg.8__579__AT5G42710.1 pep chromosome:v.1.0:8:5979234:5982769:-1 gene:fgenesh2_kg.8__579__AT5G42710.1 transcript:fgenesh2_kg.8__579__AT5G42710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYGEKRMMKRSDFAQKLLDDLRVRKEQLSGSQNSLQKDKYAYSNRGFKGSRAKSTTFQDLTSGGIEFSNQIVPYGKGQSIEKLDLSKALAFALENAGKATRSDPSGNASIIRFLHDVGKRSLGERRSSQFVVKQQQASSSSPMIHVHIKEISKGAQKLNQIIKACSNGLSFRKGRYLIQCGEQLMEGAIELEQSLRLLVDIQQASEYTTNKQRKNRIKLLEEDDEEEEDAHNQNYQKIKQVAKADIEMRLLALNYQEDKNIKHRKQTSSCEDTEEKSVKPQKGRIPSVVAKLMGLGEFPEDEKETNNKNDAENLTRPRVMQVSENLVELKAQRKSTSLDLVIHKETQTANEINYKAKSQQKDREKNDSNSRKRSKVSYKKDGEMTTKNVIKRNQSPTENKHKVVARSQQKPIHKLSNKKEKLHRERQHENGVTKNHSPKTLSSVDIQTKVPLTDKAKAMKKIFTHVEVAQKGKEGEVHKVKIREKKNQYIYNPNEGLCKVMKRVEITKADGKHDQMLLRSYNDSNKMKAEAETCIKSSQVSGVEHKKEIKDDSILLNAAERVPCQAPSGNQHHGLMFTDGIDQQAPISKSDGYSVRLSKTVYEGTKGEVEASLPLLEKPQEHQKRETTETLSENETNLKRIFVKSQLFLDTAKALFKLNIPQNVFHDATGGSNYLQEDKNLILECAFELMKRKRRFQELSVHPFVKVPISSSRVNSLDHLIRQISKELEKLRAYGRDCHIGSHVEDYVLERDVHHKDPNLNSMWDMGWNDSMVAFIEKDDVMRDIEREVFSGLLEEITRDLICI >fgenesh2_kg.8__584__AT5G42680.1 pep chromosome:v.1.0:8:6041914:6042697:-1 gene:fgenesh2_kg.8__584__AT5G42680.1 transcript:fgenesh2_kg.8__584__AT5G42680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRSSPFFNMDSSAVLSLLRHTGSSMDSKPSKKTSGSIGGGVLKMFKLIPMLSSGCKMVNLLSRGHRRPLLKDYATTGTIFGFRKGRVFLAIQEDPHCLPMFIIELPMLTSALQKEMASETVRIALESETKTSRKKVLEEYVWGIYCNGRKIGYSIRRKNMSEEEMYVIDALRGVSMGAGVLPCKNQYDQETEGEMTYMRARFDRVIGSKDSEALYMINPEGSGQGTELSIYFLRSH >fgenesh2_kg.8__589__AT5G42630.1 pep chromosome:v.1.0:8:6192364:6194463:1 gene:fgenesh2_kg.8__589__AT5G42630.1 transcript:fgenesh2_kg.8__589__AT5G42630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLDSRNTMRALNSIPDLSLQISLPNSHAGKPLHGGDRSSTSSDSGSSLSELSHENNFFNKPLLSLGFEHHHHQRNSNMFQPQIYGRDFKRSSSSMVGLKRSIRAPRMRWTSTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKCTDKGSSGEGKVEKEAEQRIEDNNNNEEADEGTDTNSPNSSTVQKTQRAPWSSTKGVPRSISTQADPHLGTTQHTKENEEKEATNIHLNLEFTLGRPSWGMDYAEPSSDLTLLKC >fgenesh2_kg.8__590__AT5G42620.1 pep chromosome:v.1.0:8:6213092:6217943:-1 gene:fgenesh2_kg.8__590__AT5G42620.1 transcript:fgenesh2_kg.8__590__AT5G42620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloendopeptidase/ metallopeptidase/ zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7MS22] MELLVRSCSCSRLFVYNLQLRFVTFWVLLILLWLGASDAKAKHHHHHHRVALEGVESGVDGVSSHSCIHDQIIEQRKRPGRKVYSVTPQVYHEPRSAAKVYPHNGRVLLSVFDEEKDVKQPIRIYLNYDAVGHSLDRDCQRVGDIVKLGEPPSSTFPAVPACNPNVKPPVSGDCWYNCTLDDISGKDKKHRLHKALEQTADWFRRALAVEPVKGNLRLSGYSACGQDGGVQLPREYVEEGIADTDLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTSESGTLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRTEVTEQQMDEKLGRLVTRVVLPRVVMHSRHHYGAFSQNFSGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYKANYSMADRLDWGRNQGTQFVTSPCNMWKGAYHCNTTQLSGCTYNREAEGYCPILSYNGDLPQWARYFPQPNKGGQSSLADYCTYFVAYSDGSCTDINSARAPDRMLGEVRGSESRCMASSLVRTGFVRGSMTQGNGCYQHRCRNNLLEVAVEGVWKFCPQAGGPIRFPGFNGELICPAYHELCSTSVVSVLGQCPNSCNFNGDCVDGKCRCLLGYHGHDCRNRSCPNSCNGHGKCTAQGVCICENGFTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSKLVTSLLVCKDVLERDMSGQHCAPREPSILQQLEEVVVMPNYNRLFPGGARKLFNIFGNSYCDEAAKRLACWISIQKCDIDGDDRLRVCHSACQSYNMACGASLDCSDQTLFSTAEEGDAECTGSGEIRSPWFSRLWSRLVAS >fgenesh2_kg.8__592__AT5G42570.1 pep chromosome:v.1.0:8:6251290:6252583:1 gene:fgenesh2_kg.8__592__AT5G42570.1 transcript:fgenesh2_kg.8__592__AT5G42570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLLYTVIFAEMALILLLLFKTPLRKLIILTFDRIKRGRGPVVVKTIGITVFIVLLSSIYSLVKIQRRSEDGAVLNPTDQVLASKYLLEASLMGFVLFLSLMIDRLHHYIRELRLLRKTMETAKKQNRGFEDGKNTSGEEVKAIGEEIAALKAKIKTLESESESKGKELKGAQGETEALRKQADGFLMEYDRLLEDNQNLRNQLESVGHSPEGKKGM >fgenesh2_kg.8__593__AT5G42650.1 pep chromosome:v.1.0:8:6278193:6279794:-1 gene:fgenesh2_kg.8__593__AT5G42650.1 transcript:fgenesh2_kg.8__593__AT5G42650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSTPFPISLHPKIVRSKPLKFRVLTRPIKASGSETPPDLAVATRTGSKDLPIRNIPGNYGLPIVGPIKDRWDYFYDQGAEEFFKSRIRKYNSTVYRVNMPPGGFIAENPQVVALLDGKSFPVLFDVDKVEKKDLFTGTYMPSTDLTGGYRILSYLDPSEPKHEKLKSLLFFLLKSSRNRIFPEFQATYSELFDSLEKELSLKGKADFGGSSDGTAFNFLARAFYGTNPVDTKLKADAPGLITKWVLFNLHPLLSLGLPRIIEDPLLHTFSLPPALVKSDYQRLYEFFFESAGEILVEADKLGISREEATHNLLFATCFNTWGGMKILFPNMVKRIGRAGHKVHNQLAEEIRSVIKSNGGELTMGGIEKMELTKSVVYECLRFEPPVPAQYGRAKKDLVIESHDAAFKVKAGEMLYGYQPLATRDPKIFDRADEFVPERFVGEEGEKLLRHILWSNGPETETPTVGNKQCAGKDFVVLVARLFVIEIFRRYDSFDIEVAKSPLGSSVNFTSLRKASF >fgenesh2_kg.8__595__AT5G42560.3 pep chromosome:v.1.0:8:6299056:6301342:-1 gene:fgenesh2_kg.8__595__AT5G42560.3 transcript:fgenesh2_kg.8__595__AT5G42560.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:D7MS33] MVLGYAYPAYECYKTVEKNRPEIEQLRFWCQYWILVACLTVFERVGDAFVSWVPMYSEAKLAFFIYLWYPKTRGTTYVYESFFRPYLSQHENDIDHSLLELRTRAGDMAVIYWQRVASYGQTRILEILQYVADQSTPRPQPKREGRAPAKPTKAPVPQPESEEASLPSSPSSSSSENEGNEPTRKASGPSRPRPTVTSVPAADPKNAGITQIAQKSVASPIVNPTQSTSQVETEPMQIEEVEGEAESVNENSNPEGPKETVMEETIRMTRGRLRKTRSEESR >fgenesh2_kg.8__600__AT5G42500.1 pep chromosome:v.1.0:8:6383406:6384039:1 gene:fgenesh2_kg.8__600__AT5G42500.1 transcript:fgenesh2_kg.8__600__AT5G42500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7MS42] MAKRFLILLPLFSTILLLAVTVTESEAYSTTTPFQGYKPECFTHLHFYFHDVITGDKPTAIKVAEARPTNSLNVNFGVIMIADDPLTEGPDPSSKVVGRAQGMYASTAMKDIVFTMVFNLAFTEGEFNGSTLAMYGRNDIFSKVREMPIIGGTGAFRFARGYAQAKTYKIVGLDAVVEYNVFIWH >fgenesh2_kg.8__602__AT5G42490.1 pep chromosome:v.1.0:8:6413569:6418287:1 gene:fgenesh2_kg.8__602__AT5G42490.1 transcript:fgenesh2_kg.8__602__AT5G42490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin motor family protein [Source:UniProtKB/TrEMBL;Acc:D7MS49] MASGGKGEKILVSVRVRPQNEKEKARNDICDWECVNNTTIICNNNLPERSLFPSTYTFDKVFGFDSPTKQVYEDGAKEVALCVLGGINSSIFAYGQTSSGKTYTMCGITKFAMDDIFCYIQKHTDRKFTLKFSAIEIYNEAVRDLLSGDNNQLRLLDDPERGTVVEKLIEETIRDRSHLEELLTVCETQRKIGETSLNEVSSRSHQILRLTIESTGREYSPDSSSTLSASVCFIDLAGSERASQTLSAGTRLKEGCHINRSLLTLGTVIRKLSKAQSQVENLLKSTAEERSSRMDEQSMFSSMDFDADFRRRSYDSTDLGEPSIINNLTERNFEFLENSEEDDFLLDDKTPQFSRHNLYDGWEELVQITDERLEDACKEVRCIEPEAEQSIRQPATVEIHDLLEFIVDKRAISEVLSPRKEESLLALEYEQSYNSSTAITLLQVKRKPRMKKTWRLEHLQKEEILSAPEHEQSYNSSTGDEKAENEVMEIISTRRKEEPLSALEYEQSFNSSIGNEKAENEDIEISTPTETENVNLSLKTIDVELCGNAKPETYELTFKNSDLEMDPSVEAEESQESVKEDEEMKNEERKMSPSTKQAEQCLNKEENAQSEQQSTEDCELNSLPINNQSEATVEVELTPNDTKLDEDATSGDKWERKQQQEADKDCNESSVCKNIGTDDNDNDTYMALKEKVKEMQKKIEYLMSMHTAEQQQSPSFRREYMSPECFTTKRSRSCRENLLSVRSPHWFENLEGNNNTSPAWRVMQTKASPGRPNTSSISFDSGSSTSIDTRSLKDYDPEMGNSFREFVAGLEEMAKKHHSIDSTPELDYGIPYAPTRTERLEIRPDSPANSIRGNENALPNPQETTDATNNQSEREQADDSVEESKPKETDSTEASQEKLQVAANGQYSSISSDFERQQRQIIELWAVCNVPLVHRTYFFLLFKGDPSDYVYMEVELRRLSFLKQTISNDMEASRIQTVKALTREKEWLSKQLPKKFPWSQRIELYQKWGVEVNSKQRSLQVAHKLWTNTQDMEHIKESASLVAKLLGFVEPSRMPKEMFGLSLLPRTENVKSSGWRFTKSFSGIRLTR >fgenesh2_kg.8__603__AT5G42480.1 pep chromosome:v.1.0:8:6418459:6421745:-1 gene:fgenesh2_kg.8__603__AT5G42480.1 transcript:fgenesh2_kg.8__603__AT5G42480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSHVGIGLFPFQLCRLPPATTKLRRSHNTSTTICSASKWADRLLSDFNFTSDSSSSSFATATATLVSPPPSIDRPERHIPIPIDFYQVLGAQTHFLTDGIRRSFEARVSKPPQFGFSDDALISRRQILQAACETLSNPRSRREYNEGLVDDEEATVITDVPWEKVPGALCVLQEAGETEVVLRVGEALLKERLPKSFKQDVVLVMALAFLDISRDAMALDPPDFITGYEFVEEALKLLQEEGASSLAPDLRAQIDETLEEITPRYVLELLGLPLGDDYAAKRLNGLSGVRNILWSVGGGGASALVGGLTREKFMNEAFLRMTAAEQVDLFVATPSNIPAESFEVYEVALALVAQAFIGKKPHLLQDADKQFQQLQQAKVMAMEIPAMLYDTRNNWEIDFGLERGLCALLIGKVDECRTWLGLDSEDSQYRNPAIVEFVLENSNLDDNDDLPGLCKLLETWLAGVVFPRFRDTKDNNFKLGDYYDDPMVLNYLERVEVVQGSPLAAAAAMARIGAEHVKASAMQALQKVFPSRYADRTSAEPKDAQETVLRVDHVGNNVGHDGEPAVFNAEAERPSENFETNDYAIRAGVSKSSVDAINVNMSVADMLKEASVKILAAGVAIGMISLFSQKYLPIKSSSSFQRKDMASSMESDIATIGSVRADDSEALPRMDARTAESIVSKWQKIKSQAFGHDHRIDMLPEVLDGRMLKIWTDRAVETAQLGLVYDYTLLKLSVDSVTVSADGTRALVEATLEESACLSDLVHPENNATDVRTYTTRYEVFWSKSGWKITEGSVLAS >fgenesh2_kg.8__605__AT5G42450.1 pep chromosome:v.1.0:8:6461006:6462320:-1 gene:fgenesh2_kg.8__605__AT5G42450.1 transcript:fgenesh2_kg.8__605__AT5G42450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MSR5] IGLASNVFVGSAVLNCYVKLSALTDARRSFDDTRDPNIVSITNLISGYLKKHEFEEALSLFRTMPERSVVTWNAVIGGFSQTGQNEEAVNTFVDMLREGLVLPNESTFPSTITAISNIASHGAGKSIHACAIKFLGKRFNVFVGNSLISFYSKCGNMEDSLLAFNKLQEEQRNIVSWNSMIWGYAHNGRGEEAVAMFEKMIKDTNLKPNNVTLLGLLFACNHAGLIQEGYTYFNKAVNDYDDPNLIQPEHYACMVDMLSRSGRFKEAEELIKSMPLDPGIGFWKALLGGCQIHSNKRLAKLAASKILEMDPRDVSSYVMLSNAYSALENWQDVSLIRRKMKETGLKRFTGCSWIEIKGQVRVFVNADKNNELKDEVYRMLACITQQLDEDECWEDL >fgenesh2_kg.8__60__AT5G47380.1 pep chromosome:v.1.0:8:259001:262196:1 gene:fgenesh2_kg.8__60__AT5G47380.1 transcript:fgenesh2_kg.8__60__AT5G47380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFDLNKDGKKQTKNGDSWHCPDSHKHGRSKSASSERDLHASGNGASQSANNFTRMQTSFVQTTANKRPKPLHNCQILIKNSVSSNDRASLERDVEQLHVRLQQEKSMRMVLERAMGRASSSLSPGHRHLTGQAKELITEIELLEAEVANREHHVLSLYRSIFEQTVSRASSEQTSSISSPAHHIKQPPRKHPSVISNAFCSSKNFPLKPLHAMIIFKDSSRKTSKKDQSAQFQFRNCIPSTTSCSSQAKSHLKDSVTLKSPSQRTLKDHLYQCPNNLSEDMVKCMASVYFWLCCTAMSADPERRTLSRSSTSNVIIPKNTMNEDRAWSCRSTVEVSCISSDKRRFSQASYAINNYRLLVEQLERVTINQMEDNAKLAFWINIYNALLMHAYLAYGVPANSLRRLALFHKSAYNIGGHIINANTIEYSIFCLQTPRNGRWLEIIISTALRKKLAEDKVSSLFSLHKPEPLVCFALCTGALSDPVLKAYTASNVKEELEASKREFLGANVVVKMQKKVMLPKIIERFTKEASLSSDDLMRWVIDSSDEKLGESIQKCIQSKPNNKKSSQVVEWLPYSSKFRYVFSKDLMEKKPCETMKNVFSCVQDKLSLPRPLV >fgenesh2_kg.8__610__AT5G42410.1 pep chromosome:v.1.0:8:6559025:6559360:-1 gene:fgenesh2_kg.8__610__AT5G42410.1 transcript:fgenesh2_kg.8__610__AT5G42410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7MST9] MRSVVKKLLWCGAKNNASSRTSALPEEGRVRVFVGKDRESQCKLEVEANLLNHPMLEDLLRLSEEEFGHSYEGALRIACEIDVFIKLVNLHKTTNHHNNSLCCNNNSTKLS >fgenesh2_kg.8__611__AT5G42400.1 pep chromosome:v.1.0:8:6587097:6593305:1 gene:fgenesh2_kg.8__611__AT5G42400.1 transcript:fgenesh2_kg.8__611__AT5G42400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDSSFSSHGSFSSSRRKKVSALEPNYFGSMCMGVYSDDVSISVREVAQDYSCDSCGDLATVSSASCDFDELCGLDSALEMGCRSNGDFRAGQEASGSGIAPGLDKSVTGYTMYASGWMYGNQQGQMCGPYTQQQLYDGLSTGFLPEDLLVYPIINGYTANSVPLKYFKQFPDHVATGFAYLQNGMISVTPPVSSLSLSSSNATVHQDETRTEHGTSATHLISHQTLPPQTYSNGPVSDQLTLNQDESNLLASFLSLGNEHACWFLVDGEGRNHGPHSILELHNWQQHGYVSDAALIRDGENKLRPITLASIFGVWRVKCGDANCDESVTGVSFISEVSEELSVHLQSGIIKIARRALLDEIISSVISDFLKAKKSEEHLKSYPPTSAAHVVESISSQVINAEKSVVSNTETTGFKNILNEWGQSSIATESLKYTKSVGSIENFQTSCSAVCRTLHNHCMQIMWNAVFYDTVATHSLSWRKKKLWFRSSDNSTLNYCKGSHRNYSDKPEAFKSFTCRVDSFSSKTAHSNELDLPTNGASVRGVSSRKVTLPDTDETESLIASISEHVESELFLSLETHLTDYTSVLIKDGANTTTTTARDGKMHEGSCREQYNLEGSGEKQNDLNVVSAKLRFSNDFSDSQRLLQEGESSEQITSEDIIANIFSTTLETSDIPVSDELDALDIHEPPPPGCESSIKMPSLRCKFRPVRSKESIPEIKAYVATALCRQKLHTDVMREWISLFMKCHLNEFLASRKGSHQLSRKETLALKKRKTVTRNKKLVQSNISNQTADKPRNPCVGSSDEILVKRSKKLSDFHSMKEAVKVDTPSIDLSVRKLSQQKMRNTERRDHCIIKDATKFQKENVAKDAFSKVICDKSQDLERADEFDDELLIKRLRRISRNKSKELRERKNAAKSCEEISVSAEESEETVDRTDHEESLSNKSSQKVQKAHVSKLKRKNMSDVRVEGTKSSRNGAVGGFTEISEKKVIRFPLNTAAREGKNASCNCFTKLLSPVVAAKHGQDMSTPTGSPERLAEGKKIVEKSACNIAQKASSQSSILKRKHLSNEKIPSVPSRRRLSLSSKDSDDDVGNEEKLPCDMSDKLQKGNFLQIFIGPKKLMRRRKLLAKHTTERSPIKDLSVDDGRPKSIALKPLEKLSSKPSKKKVLLSCPKCDGCARTSINGWHWRAWSLKASAEERTRVRGSSSVHIQHFGSKSNSTQNVLSARTNRAKMRNLLAAADGADVLKISQLKARKKRLRFQQSKIHDWGLVALEPIEAEDFVIEYVGELIRSSISEIRERQYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYTKIISVEGKKKIFIYAKRHIDAGEEISYNYKFPLEDDKIPCNCGAQNMYCFCEQVPWIAKLRRDVVFRGTESGGF >fgenesh2_kg.8__612__AT5G42390.1 pep chromosome:v.1.0:8:6594640:6602650:-1 gene:fgenesh2_kg.8__612__AT5G42390.1 transcript:fgenesh2_kg.8__612__AT5G42390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:D7MSU3] MASSSSSIFTGVKFSPILAPFNSGDSRRSRYLKDSRNKVRFNPTSPRLTPNRVLVEAQSVIPSNGLWSQPTSNKGRLKRSFVLGHRSAIFRKEASGISLSQGRNFCLTCKRTQSGVRRSLPSAFVDRTSFSLSRSRLTSSLRKHSQILNATVGPDEPHAAGTAWPDGIVAERQDLELLPPEIDGAELEAFLGCELPSHPKLHRGQLKNGLRYLILPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTHTKDSEDDLFPSVLDALNEIAFHPKFLSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLGRRFPIGLEEQIKKWDVDKIRKFHERWYFPANATLYIVGDIDNIPRIVHNIEAVFGKTGLDNESTPTSPTPGAFGAMANFLVPKLPAGLGGTFSNERTNTADQSKMIKRERHAIRPPVEHNWSLPGTSVDLKPPQIFKHELLQNFAINMFCKIPVSKVQTFGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPKNWQNAVKVAVQEVRRLKEFGVTRGELTRYMDALLKDSEHLAAMIDNVSSVDNLDFIMESDALGHTVMDQTQGHETLVAVAGTVTLEEVNTVGAKVLEFISDFGRPTAPLPAAIVACVPTKVHVDGVGESDFKLSPDEIIESVKSGLLAPIEAEPELEVPKELISQSQLKELTLQRNPCFLPIPGSGVTKLHDKETGITQLRLSNGISVNYKKSTTESRAGVMRLIVGGGRAAETSDSKGAVVVGVRTLSEGGRVGDFSREQASESVELFCVNHLINCSLESTEEFIAMEFRFTLRDNGMQAAFQLLHMVLERSVWLEDAFDRARQLYLSYFRSIPKSLERATAHKLMIAMLNGDERFVEPTPKSLQSLNLESVKDAVMSHFVGANMEVSIVGDFSEEEIERCILDYLGTVKASHDSAKLPGSEPIVFRQPTAGLQFQQVFLKDTDERACAYIAGPAPNRWGFTVDGVDLFQSVSKLPAAHDGLLKSEEQLLEGGDRELQKKLRAHPLFFGITMGLLAEIINSRLFTTVRDSLGLTYDVSFELNLFDRLNLGWYVISVTSTPGKVYKAVDACKSVLRGLHSNQIAPRELDRAKRTLLMRHEAELKSNAYWLNLLAHLQASSVPRKELSCIKELTSLYEAASIEDIYVAYNQLRVDEDSLYSCIGIAGAQAGEEITVISEEEEAEDTFSGVVPAGRGSSMTTRPTT >fgenesh2_kg.8__614__AT5G42350.1 pep chromosome:v.1.0:8:6742557:6744923:-1 gene:fgenesh2_kg.8__614__AT5G42350.1 transcript:fgenesh2_kg.8__614__AT5G42350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MTF5] MISEKPLGEESIRQDLEVLTVSKRLVKSVSQKLKRKIHKSEVVEDEVDARGAVNCLSISVGCRVADTGEDFEDRSDKRWSSASEEGKGLMTICGTEETRLDCFSYGVKERFWKKNNRKYLADSAQDYRKHIFLPDDILEMCLMRLPFTSLLNAQLVCKKWRSMATAQRFLQMRREGSFQTPWFFLFAALKDGCSSGDIHGYDVSQDKWHRVESDLLKGRFMYSVTSIHEEIYVIGGRSMDRNSFKSHRGILVFSPLTKSWRKIASMRHARSLPIVGATEVTSEFSTIQTQHNRQDRRFYLSRMSGESDVYEDPHRLSVRRQHRNSADQNGTKSHRLTRQKLDRLNRNSSKRFVLIAIGGTGLFDEPLDSGEIYDSATNTWSEIQRLPMDFGVVSCGIICNGIFYAYSENDKLSGYDIERGFWIAIQTSPIPPRVHEFYPKLVSCNHRLFMLSVSWCDEGDGQIGRRNKAVRKLWELDLVYLTWNEVSVHPDAPMDWNATYVSDQNIVMGVEMFKIFGQVLSFFTVCDILTEESSWRHVSRNQRSQKLNLSCMNKTIALLHL >fgenesh2_kg.8__616__AT5G42330.1 pep chromosome:v.1.0:8:6790766:6791604:-1 gene:fgenesh2_kg.8__616__AT5G42330.1 transcript:fgenesh2_kg.8__616__AT5G42330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMRSYLKPPLPKSPIRLRSRQVLHSSLQTPSPVFLKPGRRLSDVDLNPKPQFEYSSISSEIHALAKMVKDEFAEEENRKKSSNLESLATNSVPVFERGRFYEEYSAKRNERLRRKKGEEAVEGGVVKGTPYNLGVNHEPMTNKRRGTAKKESIKKTVVSMVETSIPRYSLRSMSKENRKPPIPLNVAVSAMKTVTTRRGRRI >fgenesh2_kg.8__618__AT5G42310.1 pep chromosome:v.1.0:8:6809297:6811944:-1 gene:fgenesh2_kg.8__618__AT5G42310.1 transcript:fgenesh2_kg.8__618__AT5G42310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MTG0] MLLLQQPPLVSSRFHSLYFLTHHHHHHHHHRFFQPPISAFSATTSASLPSSSSPSSSYFSSWNGLDTNEEDDEFSSEVHRRYDFSPLLKFLSRFGPVELVLDSESESESEASPESLNPVEFELVESYSAVPAPYWHSLIKSLCSSTSSLGLAYAVVSWLQKHNLCFSYELLYSILIHALGRSEKLYEAFLLSQKQTLTPLTYNALIGACARNNDIEKALNLISRMRQDGYQSDFVNYSLVIQSLTRCNKIDSVMLQRLYKEIERDKLELDVQLVNDIIMGFAKSGDPSRALQLLGMAQATGLSAKTATLVSIISALANSGRTLEAEALFEELRQSGIKPRTKAYNALLKGYVKTGPLKDAELMVSEMEKRGVSPDEHTYSLLIDAYVNAGRWESARIVLKEMETGDVQPNSFVFSRLLAGYRDRGEWQKTFQVLKEMKSIGVKPDRQFYNVVIDTFGKFNCLDHAMTTFDRMLSEGIEPDRVTWNTLIDCHCKHGRHIVAEEMFEAMERRGCLPCATTYNIMINSYGDQERWDDMKRLLGKMKSQGILPNVVTHTTLVDVYGKSGRFNDAIECLEEMKSVGLKPSSTMYNALINAYAQRGLSEQAVNAFRVMTSDGLKPSLLALNSLINAFGEDRRDAEAFAVLQYMKENGVKPDVVTYTTLMKALIRVDKFQKVPGVYEEMIMSGCKPDRKARSMLRSALRYMKQTLRAS >fgenesh2_kg.8__619__AT5G42270.1 pep chromosome:v.1.0:8:6866202:6868907:-1 gene:fgenesh2_kg.8__619__AT5G42270.1 transcript:fgenesh2_kg.8__619__AT5G42270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSNPLLLSSNFLGSQIIISAPTPKTTTKSLPFSVISRRRYQSEKLMKSLPSQAALAALLFSSTSPQALAVNEPVQPPAPTVTVEAQSPNLSTFGQNVLMTAPNPQAQSSDLPDGTQWRYSEFLNAVKKGKVERVKFSKDGSVLQLTAVDNRRATVIVPNDPDLIDILAMNGVDISVSEGESGNGLFDFIGNLLFPLLAFGGLFYLFRGGQGGAGGPGGLGGPMDFGRSKSKFQEVPETGVTFGDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGMGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKAIGKDVDYEKVARRTPGFTGADLQNLMNEAAILAARRELKEISKDEISDALERIIAGPEKKNAVVSEEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGDENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAVGGAGGNPFLGQSMSSQKDYSMATADVVDAEVRELVEKAYVRAKEIITTQIDILHKLAQLLIEKETVDGEEFMSLFIDGQAELYVS >fgenesh2_kg.8__61__AT5G47370.1 pep chromosome:v.1.0:8:273461:275100:1 gene:fgenesh2_kg.8__61__AT5G47370.1 transcript:fgenesh2_kg.8__61__AT5G47370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKEDLGLSLSLGFSQNSNPLQLNLNPNSSLSNNLQRYPWNQTFAPTSDLRKIDVNSFPSTTNCEEETGVSSPNSTISSTISGKRSEREGISGTGVGSGDDHDEITPDRGYSRGTSDEEEDGGETSRKKLRLSKDQSAFLEETFKEHNTLNPKQKLALAKKLNLTARQVEVWFQNRRARTKLKQTEVDCEYLKRCVEKLTEENRRLQKEAMELRTLKLSPQFYGQMTPPTTLIMCPSCERVAGPSSSNHHHNQRPVSINPWVACAGQVGHGLNFEALRPRS >fgenesh2_kg.8__620__AT5G42250.1 pep chromosome:v.1.0:8:6872380:6877163:-1 gene:fgenesh2_kg.8__620__AT5G42250.1 transcript:fgenesh2_kg.8__620__AT5G42250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNSSGDNKSSRKPIRCKAAVSRKAGEPLVMEEIMVAPPQPYEVRIRIICTALCHSDVTFWKLQVPPACFPRILGHEAIGVVESVGENVKEVVEGDTVLPTFMPDCGECVDCKSQKSNLCSKFPFKVSPWMPRYENSSRFTDLNGQTLFHFLNVSSFSEYTVLDVANIVKIDSSIPPSRACLLSCGVSTGVGAAWETAKVEQGSTVVIFGLGSIGLAVAEGARLCGASRIIGVDINPAKFQVGQNFGITEFVNSMTCEKKSVSEVINEMTGGGADYCFECVGSSSLVQEAYACCRQGWGKTITLGVDKPGSQICLDSFDVLHHGKILMGSLFGGLKAKTHIPLLLKRYLSNELELDKFVTHEMKFEEINDAFQLLLEGKCIRCVLWMG >fgenesh2_kg.8__621__AT5G42242.1 pep chromosome:v.1.0:8:6904172:6905521:1 gene:fgenesh2_kg.8__621__AT5G42242.1 transcript:fgenesh2_kg.8__621__AT5G42242.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 57 [Source:UniProtKB/TrEMBL;Acc:D7MTG8] MERTSTSLLFLVSLVIIFATVVNQIRAQTCDDKLGSCENCDQRCKAKHGPSSVSKCNGPDGMCKCTYECASFKPAKVCVGATDMCTDTCPQSCCDRQCAIKYKNGHGGCVNYAGYRMCICEYSC >fgenesh2_kg.8__622__AT5G42240.1 pep chromosome:v.1.0:8:6905517:6907995:-1 gene:fgenesh2_kg.8__622__AT5G42240.1 transcript:fgenesh2_kg.8__622__AT5G42240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7MTG9] MASVLSGTVAVAMVVVLLSMQWFADGYPEEDLVVRLPGQPTVGFRQYAGYVDVDVKAGRSLFYYYVEAVKQPDTKPLTLWLNGGPGCSSIGGGAFTELGPFYPTGDGRGLRVNSLSWNKASNLLFVESPAGVGWSYSNRSTDYNTGDKTTARDMLVFLLRWFDKFPKSKSRDLFLTGESYAGHYIPQLADAILSYNAHSSGFKFNIKGVAIGNPLLKLDRDSPATYEFFWSHGMISDELKLTITSQCDFDDYTFASPHNVSTACNDAISETGNIISEYVNNYDVLLDVCYPSIVQQELRLKKMATKLSLGVDVCMTYERRFYFNLPEVQKALHANRTHLPYEWSMCSGQLNYSDTDGNIDMLPILKRIIQNKTPVWIFSGDQDSVVPFVGSRTLVRELANDLNFETTVPYGAWFHKSQVGGWAIEYGKLLTFATVRGAAHMVPYAQPSRALHLFSSFVSGRRLPNNTHSSTDD >fgenesh2_kg.8__623__AT5G42230.1 pep chromosome:v.1.0:8:6955558:6957750:-1 gene:fgenesh2_kg.8__623__AT5G42230.1 transcript:fgenesh2_kg.8__623__AT5G42230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSLRNVVVVMAVVLVTVQVFAQGYPEADLVVRLPGQLKVAFRQYAGYVDLDMNAGRSLFYYFVEAEEHPDTKPLTLWLNGGPGCSSGCGGAFTELGPFYPTGDGGGLRVNSMSWNKASNLLFVESPVGVGWSYSNRSSDYNTGDKSTASDMLVFLLGWFDKFPEFKSRDFFLTGENYAGHYIPQLADAILSYNSRSSGFKFNIKGIAIGNPFLKLDRDVRAAFEFFWSHGMISDEVGHTIMSQCDFLDYTYIYPHNLSVACNDAIREAGNSITEYVNNYDFLLDICYPSIVLKELRLKQMATKMSMGVDVCMTYERQLYFNLPEVQMALHANRTHLPYSWSMCSNLLNYSGIDANINMLPTLKRVIQNKIPVWIFSGDQDSVVPFLGTRTVVRELANDLNFKTTVPYGVWFHKRQVGGWAIEYGNILTFATVRGAAHAVANTQPSQALHLFSTFLRGHRLPNKTDIAMHD >fgenesh2_kg.8__625__AT5G42220.1 pep chromosome:v.1.0:8:6986562:6992096:-1 gene:fgenesh2_kg.8__625__AT5G42220.1 transcript:fgenesh2_kg.8__625__AT5G42220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein [Source:UniProtKB/TrEMBL;Acc:D7MTI3] MEDQPINQCSSSTNASENTPESTLELNIKTLDSRTYTFQVNKNETVSLFKEKIASETGVPVGQQRLIFRGRVLKDDHPLSEYHLENGHTLHLIVRQPAESVPSSGTPSEGATPNDGNSTNGGASRNGRHVSHSVVLGSFNVGDQTEGIVPDLSRVIGAVLNSFGVGGQHPTNNSTNGTQSSMPSNPSSHAPPGNTSDGAPGIGSQSQATGHSQPRQAFPGVSFQASMPRVVQIPVTAATTIPIPSFLTPIPDSLDTLVEFINRMEQALSQIGYQPDTSSARSGGRPREELPRNRRGAATPEALSVVLRNAQHLLSGLGVSSLSHIAGRLEQDASSSDPTLRAQIQTEAVQVGLAMQHLGALLLELGRTILTLRMAPSPELSYVNAGPAVYISPSGPNPIMVQPFPHQISPLFTGASASSNPVTGPVGLGTAQRHINIHIHAGTSGSPMMSSVGNQRSNGEGGQGDRDSITSSVATAVPSHSTGENISAGVQPGLDGAASVAQINARIREMVNIMQGRNQIPSGTESLERDTSTGHGVATAIHEQPTNIAATCTPESSSGSLHDLPSERSNSECQSEKDLGGDLGHPAHAKDISCTTGQSSAPSGDATGDAKETKKATPEVATATPLGLGLGGLDRKKRSKQPKVSGKNEDSGTLATLEGLQQSSGTSGQQLLESLFSGSSRSDETGLRRGQGSDDRVDVSSAVSQVLESPALDGLLAGVSRQAGVDSPNMLRNMLQQLTQSPQIMNTVQQIAQQVDGQEIENMMSGGSQGEGGGFDFSRMVQQMMPLVSRAFSQGGPSPHPTTLQPDDRQTSQVNVQSMAQMIEHSDPPEDVFRAMVENAAISQDDLVNELCRDEVLCHEYAELLRRDIEDRLKDDQGP >fgenesh2_kg.8__629__AT5G42200.1 pep chromosome:v.1.0:8:7249754:7250449:-1 gene:fgenesh2_kg.8__629__AT5G42200.1 transcript:fgenesh2_kg.8__629__AT5G42200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MU48] MHYTRISPVLVPSPPPTTAVESSGGGPMIATVFMALLLPCVGMCIVFLIYLFLLWCSTRRRIERLRFAEPVKPVTGKGLSVLELEKIPKLTGKELAIIARSTECAVCLEDIESGQSGRLVPGCNHGFHRLCADTWLSNHTVCPVCRAELAPNIPQCNENQSPC >fgenesh2_kg.8__630__AT3G33151.1 pep chromosome:v.1.0:8:7332490:7350128:1 gene:fgenesh2_kg.8__630__AT3G33151.1 transcript:fgenesh2_kg.8__630__AT3G33151.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MU50] MAKTRGGGQVGSRRSRRNQGLEVEDVTPPVAPATTLKVNKKRKAKKVGTRSSTRSKKVAAQDDEVEDVTPQDNVDDEVEDVTPQDDLVDSVTPEVVEEEKGNDKEDVTPEADQTENAMS >fgenesh2_kg.8__635__AT5G42146.1 pep chromosome:v.1.0:8:7440470:7441017:-1 gene:fgenesh2_kg.8__635__AT5G42146.1 transcript:fgenesh2_kg.8__635__AT5G42146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFIKERRGGSWKRGWLEETLLTTSAPPLTLLALLAIISLLLFLSSYPRYKYEVEKTAANLKLFMLFLPILFVFLLLSLNFVHRLLFKSSYSVRANQAKSLFGEGNFPWGVLLMLILLLLLVSKQSYFHSLWYPTL >fgenesh2_kg.8__637__AT5G42120.1 pep chromosome:v.1.0:8:7479484:7481553:1 gene:fgenesh2_kg.8__637__AT5G42120.1 transcript:fgenesh2_kg.8__637__AT5G42120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MU62] MNHHHSLFFFYVILFLSQDFPTLSHRFSPPLHNLTLYGDAFFRDRTISLTQQQPCFPSATTPPSKPTSSGIGRALYVYPIKFLEPSTNTTASFSCRFSFSIISSPSCPFGDGFAFLITSNADSFVFSNGFLGLPNPDDSFIAVEFDTGFDPGHGDINDNHVGIDVNSIFSVASVDAISKGFDLKSGREMMAWIEYSDVLKLIRVWVGYSRVKPTSPVLSTQIDLSGKVKEYMHVGFSASNAGVGSALHIVERWKFTTFESHSDAIQEEEEKDEECLVCSGEVFENPMGIHRKGFDFRVSVVGFKIPFWSLLPGMAAIVILVAFIVFSLISGKKRISEGADTNSGINRMPGRLSLAEIKSATSGFNENTIVGQGASATVYRGSIPSIGSVAVKRFDRDHWPQCNRNPFTTEFTTMTGYLRHKNLVQFQGWCSEGTETALVFEYLPNGSLSEFLHKKPSSDPSEEFIVLSWKQRVNIILGVASALTYLHEECERQIIHRDVKTCNIMLDAEFNAKLGDFGLAEIYEHSALLAGRAATLPAGTMGYLAPEYVYTGVPSEKTDVYSFGVVVLEVCTGRRPVGDDGTVLVDLMWSLWETGKVLDGADIMLREEFDAGEMERVLMVGMVCAHPDCEKRPRVKEAVRIIRGEAPLPVLPARRPLLRIRSATEAEEMIADGLGREDLTWMTPKSHFS >fgenesh2_kg.8__639__AT5G47820.2 pep chromosome:v.1.0:8:9785295:9791728:1 gene:fgenesh2_kg.8__639__AT5G47820.2 transcript:fgenesh2_kg.8__639__AT5G47820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPPPDDCSVKVAVHIRPLIGDERIQGCQDCVTVVTGKPQVQIGSHSFTFDHVYGSSGSPSTEMYEECAAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGCGDSSQTGIIPQVMNALFSKIETLKQQIEFQIHVSFIEIHKEEVQDLLDPCTVNKSDTTNTGHVGKVAHVPGKPPIQIRETSNGVITLAGSTEVSVSTLKEMAACLDQGSVSRATGSTNMNNQSSRSHAIFTITVEQMRKINTDSPENGAYNGSLKEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKDGAHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIRNKPVVNRDPVSSEMLKMRQQVEYLQAELSLRTGGSSCAEVQVLKERIAWLETANEDLCRELHEYRSRCPGVEHSEKDFKDIRAVDDIVGSIRPDGLKRSLHSIESSNYLIVEATTGDSREIDEEAKEWEHKLLQNSMDKELHELNRRLEEKESEMKLFDGYDPAALKQHFGKKIAEVEDEKRFVQEERNRLLAEIENLASDGQAQKLQDVHAQNLKALEAQILDLKKKQESQVQLLKQKQKSDDAARRLQDEIQSIKAQKVQLQHRMKQEAEQFRQWKASREKELLQLRKEGRKSEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSPRDHSAGTNGFGTNGQTNEKSLQRWLDHELEVMVNVHEVRHEYEKQSHVRAALAEELAVLRQVDEFAVKGLSPPRGKNGFARASSLSHNARMARISSLENMLGISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGEAKNLLQYMFNSLAETRCQLWEKDVEIKEMKDQFKEIVGLLRQSELRRKEAEKELKLREQAVATSLGTPPSSVKHLAEDPTPSPMTVPAQKQLKFTPGIANGKVRGSAAFLDTNKKMVPMGQVSMRKLSAVGKQGGKLWRWKRSHHQWIVQFKWKWQKPWRLSEWIRHSDETLLKAKPRLKALPNKIM >fgenesh2_kg.8__640__AT5G47830.1 pep chromosome:v.1.0:8:9791862:9793664:-1 gene:fgenesh2_kg.8__640__AT5G47830.1 transcript:fgenesh2_kg.8__640__AT5G47830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHPETEMMDNDSAETFMLDKPSTARDFLSAARRLVDQGEPSQALQAVVMAMRNQGGDEAVLHILNRTRELYKRRIQETARMDQLASIFAECAITEAQPLGHEPTTSKDLFGTKETVTADSHGISILEKSGRSQIMLDAFADGSSFICLQCGGLVSIHRRDEHYAYWCSNM >fgenesh2_kg.8__644__AT5G47860.1 pep chromosome:v.1.0:8:9927815:9931202:1 gene:fgenesh2_kg.8__644__AT5G47860.1 transcript:fgenesh2_kg.8__644__AT5G47860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSLWAPPSFSPRRRFFSFSHNRPSLSSMLSFRKERVSRRVLCSYEENDRDRTQSTGIQLYGEIERLLTETVKQSQSSSGGSADWSEVEGAWVLKPRNSKPKMVVHFIGGIFVGAAPQLTYRLFLERLAEKDILVIATPYASGFDHFNIADEVQFKFDRCCRSLQEIVQDLPSFGIGHSLGSVIHLLIGSRYAVQRNGNVFMAFNNKEASLAIPLFSPVLVPMAQRLGPLLSQMATSPTIRLGAEMTRKQLETLSPPIMKQILPLVEQLPPLYMDLVKGREDFIPKPEETRRLVRSYYGISRNLLIKFEDDSIDETSILAQVLGVESSISSKLDMSIRTLPGDHGLPLQQALPDVPPGMVEAVNRGSEFLANIAVGTPWESMAKEVGGSLGMDSKILRADMSKDLALLVDAITSWMASNMGPKLLRP >fgenesh2_kg.8__645__AT5G47870.1 pep chromosome:v.1.0:8:9931152:9932923:-1 gene:fgenesh2_kg.8__645__AT5G47870.1 transcript:fgenesh2_kg.8__645__AT5G47870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQMQQTSTAFTISAPSTAAARIKLFPFRTVAVRRLTANRGVRCSGGGVGVGGGDVGKKKAVPNSNYVVPIDKFSSSSSITRPLIEILRDLNKKIPDNIVKSHDPPSTSAASGFIPWYHANRMLSFYAPGWCGEVRDVIFSENGNVTVVYRLTIRGSDGEAHRESTGTVTTTDDHIEDPVTAAEEIAFCRACARFGLGLYLYHE >fgenesh2_kg.8__647__AT5G47880.2 pep chromosome:v.1.0:8:9938284:9940715:-1 gene:fgenesh2_kg.8__647__AT5G47880.2 transcript:fgenesh2_kg.8__647__AT5G47880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic release factor 1-1 [Source:UniProtKB/TrEMBL;Acc:D7MUU1] MGDKNDDDKNIEIWKIKKLIKSLEAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNRVPPNGLVLYTGTIVNEEGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQYYINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLGAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKRLIGKYFEEISQDTGKYVFGVEDTLNALESGAIETLIVWENLDINRYVMKNNATGETVIKHLNKEQEANTENFKVIDSDLALDVEEKLSLLEWLANEYRRFGCALEFVTNKSQEGSQFCRGFGGIGGILRYQLDMTAFDSEDGDFVDDDSE >fgenesh2_kg.8__648__AT5G47890.1 pep chromosome:v.1.0:8:9940928:9942760:1 gene:fgenesh2_kg.8__648__AT5G47890.1 transcript:fgenesh2_kg.8__648__AT5G47890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSISKSMKELRILLCQSSPASAPTRTFVEKNYKDLKSLNPKFPILIRECSGIQPQMWARYDMGVERCVNLDGLTDPQILKALENLVKSGATKA >fgenesh2_kg.8__651__AT5G47900.1 pep chromosome:v.1.0:8:9943763:9946464:1 gene:fgenesh2_kg.8__651__AT5G47900.1 transcript:fgenesh2_kg.8__651__AT5G47900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYELIKSCDDDQQWREKKDIESALQISRPSLPPDKERLVSLDVFRGLTVALMILVDDVGEILPSINHSPWDGVTLADFVMPFFLFIVGVSLAFAYKNLSCRFVATRKALIRSLKLLLLGLFLQGGFIHGLNNLTYGIDVEKIRFMGILQRIAIAYLVAALCEIWLKGNHNVSSELSMIKKYRFHWVVAFVITTIYLSLLYGLYVSDWEYQISTEDQGSTLTTFLNLKVKCGVRGHTGPGCNAVGMLDRMFLGIQHLYRKPVYARTKQCSISSPNNGPLPPDAPSWCQAPFDPEGLLSSLMAIVTCLVGLHYGHIIIHFKDHKKRLNQWILRSFCLLMLGLALNLFGMHLNKPLYTLSYMCVTSGASGFLLSAIYLMVDVYGYKRASLVLEWMGIHALPIYVLIACNLVFLIIHGFYWKKPINNLLHLIGIGK >fgenesh2_kg.8__654__AT5G47920.1 pep chromosome:v.1.0:8:10075709:10076606:-1 gene:fgenesh2_kg.8__654__AT5G47920.1 transcript:fgenesh2_kg.8__654__AT5G47920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKSIAIKNKTSAFKTRVLMLSLVKHKKLGFRSISNKIHHLLGHADQDDDDNDHDQDKNKAIVLYNSKAYTMAHHEEYDVHEDDSDKYPDLRHTLFEGEEEFGDLEEEGQGSSVIEMVRNSKEEGENFKLEDEIDHVADIFISRFHKQMKLQKLLSFKRYQEMLARGT >fgenesh2_kg.8__657__AT5G47950.1 pep chromosome:v.1.0:8:10127152:10128640:1 gene:fgenesh2_kg.8__657__AT5G47950.1 transcript:fgenesh2_kg.8__657__AT5G47950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MUV7] MDTMKVEIIGKEIIKPSAITPTDLPPLQFSIMDIIMPPVYTVAFLFYTKDDLISQEQTSHTLKTSLSEILTKFHPLAGRVNGVTIESNDEGAVFVEARVDNCNLSSFLRSPDTEFLKQLLPVDDEPAPTWPLLLVQATYFQCGGMAIGLCISHKLADATSLSIFLQAWAATARGESDSVASPDFVSTKLYPAANEAIGIPKKDQVGKRTSVTKRFVFVESKIEELRNKVASDVVPRPTRVQSVTSLIWKCVVTASTDTIREKALFQPANLRPKIPSLLSENQIGNLFFATLTLDGKAGVDIVETVKELQKRAEELSGLVQHEEGSSMTIGSRLFGEIINSKFNHEVHDMHSVTSWCKIPLYKACFGWGSPVWVAGSVSPNLDDVTVLIDSKDGQGIEAWVTLHQENMLLFEQSTELLAFASPNPSVLI >fgenesh2_kg.8__658__AT5G47990.1 pep chromosome:v.1.0:8:10381106:10382903:1 gene:fgenesh2_kg.8__658__AT5G47990.1 transcript:fgenesh2_kg.8__658__AT5G47990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP705A5 [Source:UniProtKB/TrEMBL;Acc:D7MKC0] MASMITVDFENCFIFVLLCLLSRLFYDLFFRKPKDSRAGCDFPPSPPSLPIIGHLHLILFVPIHQSFQKIASKYGPLLHLRFFNFPIVLVSSPSMAYEIFKAQDVNVSSRPPPPIEESLILGSSSFINTPYGDYSKFMKKFMVQKLLGPQALQQSRKIRADELDRFYKNLLDKAMKKECVEIRNEAMKLTNNTICKMIMGRSCSEENGEAETVRGLVTESIFLTKKHFLGAMFHKPLKKLGISLFAKELMSVSNKFDELLEKILVKHEEKLQEHHQCTDMLDMLLEAYGDEKAEYKITRDQIKSLFVDLFSAGTESSANTIQWTMAEIINNPKISERLREEIDSVVGNIRLVQETDLPNLPYLQAIVKEGLRLHPPGPVVRTFQETCEIKGFYIPEKTRLFVNIYAIMRDPDFWEDPEEFKPERFLTSSRLGQEDEKREDMLKYIPFGSGRRACPGSHLAYAVVGSVIGVMVQNFDWRIKGEKINMKEGGTMTLTMAQPLQCTPVPRTLNT >fgenesh2_kg.8__65__AT5G47330.1 pep chromosome:v.1.0:8:290519:292218:-1 gene:fgenesh2_kg.8__65__AT5G47330.1 transcript:fgenesh2_kg.8__65__AT5G47330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKGLKRSCVVVAVALLAMVHVSVSVPFIMLHGISAQCSNARDANFTQLLTNLSGSPGFCLEIGNGVGDSWLMPLARQAEIACEKVKQMKELSQGYNIVGRSQGNLVARGLIEFCDGGPPVYNYISLAGPHAGISSVPMCGSGLFCKLADELIKGDIYSDFIQDHLAPSGYLKIPTDMTKYLENSKYLPKLNNEIPNQRNSTYKDRFTSLQNLVLIKFQDDKVIVPKDSSWFGFYPDGEFEPLLSAQQTKLYTEDWIGLKTLDDAGKVKFVSVAGEHIRMADEDVVKHVVPYLQDQPSSVQRVNRKTKQPLHP >fgenesh2_kg.8__669__AT5G47960.1 pep chromosome:v.1.0:8:10540817:10541923:1 gene:fgenesh2_kg.8__669__AT5G47960.1 transcript:fgenesh2_kg.8__669__AT5G47960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small molecular weight G-protein 1 [Source:UniProtKB/TrEMBL;Acc:D7MKD0] MSKFQSNFNQKIDYVFKVVLIGDSAVGKSQLLARFSRNEFSIESKATIGVEFQTRTLEIDHKTIKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHVARWLEELRGHADKNIVIMLIGNKTDLGTLRAVPTEDAKEFAQRENLFFMETSALDSNNVEPSFLTVLTEIYRIVSKKNLVANEEGESGGDSSLLQGTKIVVAGEETESKGKGCCGTS >fgenesh2_kg.8__66__AT5G47310.1 pep chromosome:v.1.0:8:293905:298070:-1 gene:fgenesh2_kg.8__66__AT5G47310.1 transcript:fgenesh2_kg.8__66__AT5G47310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLGLSSSLCSGEDKEEAETTGEGCLTPVYLNVYDLTPVNNYLYWFGLGIFHSGIEAHGFEYGYGAHEYSSSGVFEVEPRSCPGFIFRRSVLLGTTSMSRTDFRSFMEKLSRKYHGDTYHLIAKNCNHFTEEVCLQVTGKPIPGWINRMARVGSFCNCILPESIQLSSVNHPEALEFSDDNDGSEESVASSVSYETDGEGSDHHLITAPNSDIAYLQDRPVRLARELLQEPTDDASPQYLMKRS >fgenesh2_kg.8__671__AT5G48030.1 pep chromosome:v.1.0:8:10563718:10567533:-1 gene:fgenesh2_kg.8__671__AT5G48030.1 transcript:fgenesh2_kg.8__671__AT5G48030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSNGAKVLRLLTRRCLSSSLLQDLGNQKLRGVCIGGYRRLNTGVGNHAKVLGDYASKSGHDRKWINFGAFNTNFGSTRSFHGTGSSFMSAKDYYSILGVSKNAPEGEIKKAYYGLAKKLHPDMNKDDPEAEKKFQEVSKAYEILKDKEKRDLYDQVGHEAFEQNASGGFPNDQGFGGGGGGFNPFDIFGSFNGDIFNMYRQDIGGQDVKVMLDLSFMEAVQGCSKTVTFQTEVACNTCGGHGVPPGTKREKCKACNGSGMTSMRRGMLSIQTTCQKCGGAGQTFSSICKSCRGARVVRGQKSVKVNIDPGVDNSDILKVARVGGADPEGDQPGDLYVTLKVREDPVFRREGSDIHVDAVLSVTQAILGGTIQVPTLTGDVVVKVRPGTQPGHKVVLRNKGIRARKSTRFGDQYVHFNVSIPANITQRQRELLEEFSKAEQGEYEQRTASGSSQ >fgenesh2_kg.8__673__AT5G48060.1 pep chromosome:v.1.0:8:10764843:10771184:1 gene:fgenesh2_kg.8__673__AT5G48060.1 transcript:fgenesh2_kg.8__673__AT5G48060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MKE3] MRNTTKLVVHVVDAQYLMPRDGQGSASPFVEVDFLNQLSKTRTVPKSLNPVWNQKLFFDYDQSVISHHNQHIEVSVYHERRPIPGRSFLGRVKIPLSNIVYKDDQVYQRFTLEKKWLLSSVKGEIGLKFYISSSEQEKTFPPPLHSKPYTSPTQASASGTEEDTAHSETDDSLKSFASAEQEDLPDSASECVKGKRTEEVKEPDQKLHRQEVFARPAPMHSIRLRSRENPHEAKKPLSRGANQLHPQNTNHLQSYVETDPDDFKVRDMNLDLGERWPNPNAGERFTGTYDLVEQMFYLYVRVVKAKELPPGSITGGCDPYVEVKLGNYKGRTKHFDRKTTLPEWNQVFAFTKERIQSSVLEVFVKDKETLGRDDILGKVMFDLNEIPTRVPPNSPLAPQWYRLEDWRGEGKVVRGEIMIAVWMGTQADEAFPEAWHADSASVHGEGVFNIRSKVYVSPKLWYLRVNVIEAQDMIPSDRNRLPDVFVKANVGMQTLKTSICPMKTTNPLWNEDLVFVVAEPFEEQLVISVEDRVHTSKDEVIGKITLPMNVFEKRLDHRPVHSRWFNLDKYGTGVLEPDSRRKEHKFSSRIHLRICLEGGYHVMDESTMYISDTRPTARQLWKQPVGMLEIGILGANGLVPMKLKDGRGSTNAYCVAKYGQKWVRTRTILDTLSPRWNEQYTWEVYDPCTVITLGVFDNNHLGSSQSGTADSKDSRIGKVRIRLSTLEAHKIYTHSFPLLVLQPHGLKKTGDLQLSVRFTTLSLTNIIYNYGHTLLPKMHYLFPFTVNQVDGLRYQAMNIVATRLGRAEPPLRKEVVEYMLDVDSHLWSMRRSKANFFRIMSLLSGYFLVGKWLEDVCNWRYPVTSVLVNVLFFILVMYPELILPTMFLYMFFIGLWNFRSRPRHPPHMDMKLSWAEAVGPDELDEEFDTFPTSRSQELVRLRYDRLRSVAGRIQTVVGDIASQGERIQSLLIWRDPRATSLFILFCLAASVVLYAMPFKAMALASGLYYLRHPKFRSKLPSLPSNFFKRLPSRTDSLL >fgenesh2_kg.8__674__AT5G48070.1 pep chromosome:v.1.0:8:10828722:10830061:1 gene:fgenesh2_kg.8__674__AT5G48070.1 transcript:fgenesh2_kg.8__674__AT5G48070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MKE4] MVSFCDRRFAFLIIFLFAAQYERVYAGSFHKDVQIHWGDGRGKILDNVGNLLSLSLDKFSGSGFQSHQEFLYGKVEVQMKLVPGNSAGTVTTFYLKSPGTTWDEIDFEFLGNISGHPYTLHTNVYTKGTGDKEQQFHLWFDPTVHFHTYCIIWNPQRVIFTIDGIPIREFKNSESVGVPFPKHQPMRLYASLWEAEHWATRGGLEKTDWSKAPFTAFYRNYNVDACVWAHGKSSCSAHSSWFTQVLDFKGKNRVKWAQRKYMVYNYCTDKKRFPQGAPPECS >fgenesh2_kg.8__676__AT3G24080.2 pep chromosome:v.1.0:8:10841012:10854945:-1 gene:fgenesh2_kg.8__676__AT3G24080.2 transcript:fgenesh2_kg.8__676__AT3G24080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKAIPDDDDGDDLSQFTGFELDEKYMKRLEHNKNREALQRHEEQKKKGLIDDPEEDSEPESEPDSDLGNPESDLKFVDLLLKVKKKDPIIKNKDAKFFESDESSEEDEVDEKDKKKKKKKKKKKLYLKDVQAHHLLEEGPEFVEEDEERKVRTYAEQQGELRRAVTDALEAGGNESDGDDDFLRVVEKEGDDDVEVDQELAKKIDEYFGEEAEVVENQFLKDYLVKQLWKEKEEKIIDKAELKELSEDEQAVWDQEDFEAGRPLERSYRHEENAGDIVMGQSRVVKGSVRKKDNARKELKRLKNVKKKEMKEKMKKVLYVAGFKDGEECPLDAKDFDDEFDPEEYDKMMKAAFDDKYYGAEDSDLNSDEDDDGEKPDFDKEDELLGLPKDWDVTKGGDVFTAAREKGLKHKGNVLGDDGEEEEEEERLLLRDKNEYVKTINCYENCYNDEEEEEEQEEVDEENEAEGKRKRKRKTSLVQRAKEALMEEYYKLDYEDTIGDLKTRFKYAKVQPNRFELDTGEILTLDDADLNQYVPLKKMASYVEKDWEVNKHKVKEQKLKIRELWEGKHNEKKSKKRKKTDVAETKPTPKADEEGEAEAEAEAEAEVEAKLSRKAKRRRRQAEKKLPASRMAAYGKA >fgenesh2_kg.8__678__AT5G48090.1 pep chromosome:v.1.0:8:11043574:11046737:1 gene:fgenesh2_kg.8__678__AT5G48090.1 transcript:fgenesh2_kg.8__678__AT5G48090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNFIVNNMIDEENSSGSDDDSQTNLQFDPVCSICDNGGYVLCCEGSCLRSFHPTIADGIETECESLGFTYKTQIQALGTYLCNNCLYKQHQCYACGELGSSDENSSQQVFPCSASNCGHFYHPICVARLLCADDQIKAEELQAKIAARDYFACPLHICKVCNMSEDKNEYALHFAVCRRCPTAYHRKCLPREITSELNSDDDTLQRAWERLLPYNRILIYCLTHEIDGHILTPARDHLIFPDISGQRRTRSHGLEQFKEDVPSMETDPNHREGLFTRLDITDPYKKPKKDISVNDVEQRVMGIIDEVESSFNFDEFVKSRKPTHIKSYHSGNDISKNITTGLVQTHVNAARAALKMFEEGRDKDARAIFDPDILLQLMKHKTKLEIYLSRYTSFGRHFTNPEKLKEIVERLHWYVENGDTVVDFCCGSNDFSCLMKEKLMETGKTCFFKNFDLIPPKNNFNFEKRDWLSVKPEELPDCSQLIMGLNPPFGHKASLANTFIKKALEFKPKILILIVPSETKRVDAIADYELIWEDRNLLAGMSFYLPGSVDVNDKTIEQWNNIPPPLYLWSRRDWSRSHKTTALQQDHITQMHHFTYNGDCHHTEIPQGGDDIDMVKDMEISPT >fgenesh2_kg.8__680__AT5G48130.1 pep chromosome:v.1.0:8:11291790:11294204:1 gene:fgenesh2_kg.8__680__AT5G48130.1 transcript:fgenesh2_kg.8__680__AT5G48130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:UniProtKB/TrEMBL;Acc:D7ML24] METCSIKDGSSVASSPISSPNISTLLKIKVLSWSKETGLPASVHVRVCNKSFNLHKTLLCTKSGYFKEREDQLSEIEIPQEFPGGAETFEKIMLFIYGCPTLIHPFNIAGLRCAAQFLEMTEQHSTGNLCERFDLYLNQVVLQNWDDTLVVLKKCQDLLPWSEDLLIVSRCIESLAFTACMEILDPERRREKPVVMLEGLVNQPWEYTTVEKIINQDTWIKDLTDLPFEFFRQVIGSLRRQGMKERYVSPLIVFYASKSVIPEGQSNTDILQRALDLLLTRDKAYRFVPVGFYFACLAHNLKHETVLKLQDQIVSLLHIAHTENFIYPKAGNGQVAFSQELLTMESLFSTYVSTESERHLTSSPSNMRVGKLWDVYLSRLPYDQEMKTTRFIELIETVPMSFRESHDQLYLAVNAFLQVHTTIYQEEKGSICSYLNSQKLSQATSLQLVKNEQMPLRLVVQALFIQQLNTHQAFKDCSDSFRFTNSADFSGSVVPSSRPLTSQQSPCTDDETGARNRPLCFLMQKDATLDEYESTSFRIHNLEEQLVSLKKSLQSHNNQKKPTCLGKRSASRNKNTFGQVKTACIGSVSFTSQRNYANKLLRVLRRVILFGSRKTNRKTDESER >fgenesh2_kg.8__681__AT5G48140.1 pep chromosome:v.1.0:8:11294600:11296299:-1 gene:fgenesh2_kg.8__681__AT5G48140.1 transcript:fgenesh2_kg.8__681__AT5G48140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVHFGVSAFFVFCLLGLSANAKVFNIGSPPGSDITNALLKAFNEACQFPTKSTVLIPKGEYKLGEIVMMGPCKAPIRIALQGTVKADGNANGKEKWVAFRNIDGFKLNGGGVFDGEGNAAWRVNNCHKTFNCKKLPISIRFDFVTNAKIRGITSLDAKNFHINVIGAKNMTFEDVKIIAPAESPNTDGIHVGRSDGIKIINSFISTGDDCVSVGDGMKNLLVERVTCGPGHGISIGSLGRYSHEENVSGIKIINCTLQETDNGLRIKTWPSAACTTTASDIHFENILLKNVSNPILIDQEYCPWNQCNKQKPSTIKLANISFKKIRGTSGNKDAVKLLCSKGHPCQNVEVGDINIKYTGADGPATFQCSNVSPKLVGTQIPKACSSPVTKPPK >fgenesh2_kg.8__684__AT5G48160.2 pep chromosome:v.1.0:8:11304469:11306915:-1 gene:fgenesh2_kg.8__684__AT5G48160.2 transcript:fgenesh2_kg.8__684__AT5G48160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGSNHPHQMLPPRQQQRSGGLETALSLVSSDQEPRRESPAESASSQETWPLGDTVAGKKTVSQKTEPDSMEQNVNVMHHVSNADKVSVRDIARERVELVAERMHRLPDEFLDELKNGLKSILEGNVAQSVDEFMFLQKLVQSRSDLSSTTLVRAHRVQLEILVTINTGIQAFLHPNISLSQPSLIEIFVYKRCRNIACQNQLPADDCYCEICTNRKGFCNLCMCMICNKFDFSVNTCRWIGCDLCSHWTHTDCAIRDGQITTGSSAKNASGPGEIVFKCRACNRTSELLGWVKDVFQHCAPNWDRESLMKELDFVSRIFRGSEDQRGRKLFWKCEELIDKIKGGLAEATAAKLILMFFQEIELDSVKSFENGEGGRLMAPQDACNRIAEVVQETLRKMEIVAEEKMRMFKKARMALETCDRELEDKAKEVAELKAERQKKKLQIDELERIVRLKQAEADMFQLKANEAKREADRLQRIVLAKMDKSEEEYASNYLKQRLSEAEAEKQYLFEKIKLQENSRVASQSSGGGGDPSQVMMYSKIRDLLQGYNLSPKVDPQSNERNPFRSNP >fgenesh2_kg.8__687__AT5G48170.1 pep chromosome:v.1.0:8:11335270:11335919:-1 gene:fgenesh2_kg.8__687__AT5G48170.1 transcript:fgenesh2_kg.8__687__AT5G48170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKRISMVEKSNNKRQRVNQVPKFSINDHHDVLVEILRRLDGPSLCSAACVCRLWSAVARNDSIWEELCFRQVSPRPSLSLRSVVSALGGYRCLYFLCIRPVLARLPKLRWTRDQLQLSLSLYCVHYYERLYVGAWLGDAPPSSLMFLRKPVNVV >fgenesh2_kg.8__688__AT5G48180.1 pep chromosome:v.1.0:8:11372648:11373989:-1 gene:fgenesh2_kg.8__688__AT5G48180.1 transcript:fgenesh2_kg.8__688__AT5G48180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7ML34] MCPAENKWVKVGQKGAGPGARSSHAMTVVGNKVYCFGGELKPTIHIDNDLYVFDLETQEWSIAPATGEAPFPCFGVSMVTIGSTIYVYGGRDDKRRYNGLYSYETETNEWKLLSPVEEGLPGRSYHSMAGDDRKVYVFGGVTAKGRVNTLHAYDVVDRKWVEYPAAGEACKGRGAPGLVVVEGRIWVLFGFDGNELGDIHCFDLASEQWKAVETTGDVPPARSVFPAVSYGKYIVIYGGEEEPHELMHMGAGKLTGEIYKLDTETLVWEKIVDVNEEEKPSPRGWCAFAAAVKDGEEGLLVHGGNSPTNERLDDLVFWGFSRLNVN >fgenesh2_kg.8__689__AT5G48220.1 pep chromosome:v.1.0:8:11393043:11395434:1 gene:fgenesh2_kg.8__689__AT5G48220.1 transcript:fgenesh2_kg.8__689__AT5G48220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLQHFPSSAIQRRLSQFNIHDKLTRPSSCLPLRAQKSGITEGSGKVSDLKISEQEVGMYQNEVVESQGIRIRRRPPTGPPLHYVGPFEFRLQNEGNTPRNILEEIVWHKDKEVAQMKERKPLYTLKKALDNVPPAKDFIGALRSAHQRTGLPGLIAEVKKASPSRGILREDFNPVEIAQAYEKGGAACLSVLTDDKYFKGSYENLQAIREAGVKCPLLLKEFIVEAWQIYYGRSKGADAVLLIASVLPDLDIKYMIKICKILKMATLVEVHDEREMDRVLAIEGVELIGINNRNLETFEVDLGITKKLLEGERGELIRQKDILVVGESGLFTPEDIAFVQEAGVKAVLVGESLIKQSDPGKAISSLFGRDISE >fgenesh2_kg.8__692__AT5G48230.2 pep chromosome:v.1.0:8:11395342:11398434:-1 gene:fgenesh2_kg.8__692__AT5G48230.2 transcript:fgenesh2_kg.8__692__AT5G48230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetoacetyl-CoA thiolase 2, embryo defective 1276 [Source:UniProtKB/TrEMBL;Acc:D7ML38] MAHTSESVKPRDVCIVGVARTPMGGFLGSLSSLPATKLGSLAIAAALKRANVDPALVQEVVFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCASGMKAVMIAAQSIQLGINDVVVAGGMESMSNTPKYLAEARKGSRFGHDSLVDGMLKDGLWDVYNDCGMGSCAELCAEKFEITREQQDDYAVQSFERGIAAQEAGAFTWEIVPVEVSGGRGRPSTIVDKDEGLGKFDAAKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGKKALQLGLQVLAKIKGYGDAAQEPEFFTTAPALAIPKAIAHAGLEFSQVDYYEINEAFAVVALANQKLLGISPEKVNVNGGAVSLGHPLGCSGARILITLLGILKKRNGKYGVGGVCNGGGGASALVLELL >fgenesh2_kg.8__694__AT5G48240.1 pep chromosome:v.1.0:8:11415213:11417124:1 gene:fgenesh2_kg.8__694__AT5G48240.1 transcript:fgenesh2_kg.8__694__AT5G48240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGEEGHMAMADRGSRKRRVGVKKGTKAARNKKLKSKPSSSDRFKVTMKNQKLFQKRARDYNSDEEEEDESTKQPEVTIREKIFSDANMGPNYEEIEEEDGEDNDENSDGEDHGEIESGITKFATDGCNAFKIAFKAIMKKTKGDDTLGPVLSAHKHLIGEKLAEEEAEKKAKGQARKAKHLIAEKGHVKPGSYLDSHEKILIGVATKGVVKLFNAVNKAQHAQKGLNPSRSKDAKVLKKRRKEAFFSELGKTKTDNKAQKSEDEAPDWAPLRDNYMLANPKLKDWDKKQETAEGDDFAAMSGDESYED >fgenesh2_kg.8__697__AT5G48290.1 pep chromosome:v.1.0:8:11483317:11484181:1 gene:fgenesh2_kg.8__697__AT5G48290.1 transcript:fgenesh2_kg.8__697__AT5G48290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKILIRVTMTDDKTRAKAMTKAVQFKGVSAVEIKGDHRNQIEVTGVEVDMIPLIQKLRKKVAFAELVSVTKVEPPKKEDEKKGGDGKGAGGKGGDQKGGDKKGPEDKKPPEPKPVPSSFPQGYGVPFSFPQGDGVPSAFPYPCHPAHPYNDIGEPVYNHEPNCTIM >fgenesh2_kg.8__698__AT5G48300.1 pep chromosome:v.1.0:8:11485329:11487944:1 gene:fgenesh2_kg.8__698__AT5G48300.1 transcript:fgenesh2_kg.8__698__AT5G48300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MLL7] MASMAAIGVLKVPPASSFDSTGKATEVVPTRTLSFSSSVTSSDEKKVSLKSTVFRRFKSVVRGRDMIVSPKAVSDSQNSQTCLDPDASSSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQVTADAVRQYLWLFEEHNVLEYLILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEQRATAFGLMKIDEEGRIVEFAEKPKGEHLKAMKVDTTILGLDDKRAKEMPYIASMGIYVVSRDVMLELLRDKFPGANDFGSEVIPGATSLGLRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDSLLMGADYYETASEKSLLSAKGSVPIGIGKNSHIKRAIIDKNARIGDNVKIINSDNVQEAARETDGYFIKSGIVTVIKDALIPTGTLI >fgenesh2_kg.8__69__AT5G47230.1 pep chromosome:v.1.0:8:317449:318629:-1 gene:fgenesh2_kg.8__69__AT5G47230.1 transcript:fgenesh2_kg.8__69__AT5G47230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNEVSALWFIEKHLLDETSPVATDPWMKKESSATESSSVSSSMLFQSSSSSFSPNDFSEYECKPEIIDLDTPRFMDFISIPFEFDSEISNQNQVEPEIQSQIRKPPLKISLPAKTEWIQFAPEITKPEVTKPVSEEEKKHYRGVRQRPWGKFAAEIRDPNKRGSRVWLGTFDTAIEAARAYDEAAFRLRGSKAILNFPLEVGKWKPRADEGEKKRKRDNDEDEKVTVVEKVLKTEQSVDVNGGETFPFVTSNLTELCDWDLTGFLNFPLLSPLSPHPSFGYSQLTVV >fgenesh2_kg.8__700__AT5G48330.1 pep chromosome:v.1.0:8:11507601:11509495:1 gene:fgenesh2_kg.8__700__AT5G48330.1 transcript:fgenesh2_kg.8__700__AT5G48330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein [Source:UniProtKB/TrEMBL;Acc:D7MLM0] MLRLWSIAKVQVRKVSTSESLFCRDGLFNGLVRRQFTSTRGERRTVMSFGDGNHGALGLSSSSIPGMGMDAYEPTVVSNLPSDISFISAGHYHSLAVTFGGEIWAWGRNDEGQLGRIAVDSGRDSRSEPKRVEGLDNVNVRAAFASGVVSAAIGDDGSLWVWGRSKRGQLGLGKGIIEARVPSRVETLAAEHVVKVSLGWGHALALTVDGKVFGWGYVADGRVGNVGLPLEASLLDSITDGSMKDQHAADLNLEAAEKKVVEAMSKENDMPIAWEPCLVEETRNVKVADIACGSDHSLILCHDGTLLSSGSNIYGQLGRSKQDLGMTPVDITESPISIAAGLGHSLAICNRDERKILSWGWNRSRQLGRGKPEHLPREVEGFDGESPASVSAGRVHSLCVTEKGEAWVWGCGKNGRLGLGSSSDEPEPMLLEDIEGCVLQTVAGFDHSLILVAE >fgenesh2_kg.8__702__AT1G27030.1 pep chromosome:v.1.0:8:11523388:11525792:1 gene:fgenesh2_kg.8__702__AT1G27030.1 transcript:fgenesh2_kg.8__702__AT1G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSYCSPGEVSVRLKKRTEVTVHPEKFRFPRKTCPSTNNYGYKIGGGLDFPKKKLRVLWFSPPDVHVPKDGHGLGNDPLPRIVIAEVLVDELSPESQGIIRKYLKPEGGKQAVLSSTLESLIWEKPTWTEQLAKESEIAAWTLIHGYTLNHLAFAVHRFKHRFSDIKFVKQHLEEKGFKLNSDGEILKVSQDGLLLQVSSISERLPVTFADEVTETIPASYIEFTQRQVLPEFKDVPHDEIKEFHRREAFELDNASNIMESTRFTTKF >fgenesh2_kg.8__703__AT5G48360.1 pep chromosome:v.1.0:8:11558728:11561548:1 gene:fgenesh2_kg.8__703__AT5G48360.1 transcript:fgenesh2_kg.8__703__AT5G48360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:D7MLM7] MQNFWFTMFFFLLTCAPPSPLSYASAVTLSRRLLYDYESPLPLPLSPISPPFFPLESSPPSPPPPLPPTPPTTFAVFPTFPANISALVLPRSSKPHHTSPTLLLPALSAVLAVATVIGLALFLYGRHQGQNRHFKNSPCRSSNTSSSYDDEQSHITTNFNMAATTSPSEVFYINTEESDHIRTGGGTCFLKQDSPEIRPLPPLPPRSFHHNNYETEVNEEDEEEEEDAFFSPMASLPGSQNSSPSHSCSSSCSGWVSPARSFSITMSPPNPRYSDATNLQSPSPERLRVRKNYNGNGSSSLRMFSFWNQNMGFGFPRISSASTSPDRGFIRTPLSSLYSSVSTSPDGLFRKFLDSSPPIWNDFSRNVKSVLLSHTASSRRDFVINIGESSSQQSKVVTVPALPPPTRPPPLVPPSQPFMVQNEVKKLSFSDQPPKQLPWDRLRSSSFKLSKEMVETMFIANSSNPRDLPILSQESKVLDPRKAQNIATLLQLLNLSTKDVCQALLDGDCDALGAELLECLSRLAPSKEEERKLKSYSDDSEIGPAERFLKELLHVPFAFKRVDALLFVANFQTEIKRLRKSFSVVQTACEELRNSRMFSILLIAILKTGSKMNVRTNWCGDAHASKLDMLLKLVEVKGLDGRSSLLHFVVQEMIKSDGSMRALEGIRNLNSELSNVKKSVDIEYGVLRSDVWKLCQGLKNVEELLLVSEESGDQWLKFRERMTRFLKAAAEEIVKIKIRESSTLSALEEVTEQFHGDSSKEGHTMRIFMIVRDFLSVLDQVCKEMGD >fgenesh2_kg.8__705__AT5G48370.2 pep chromosome:v.1.0:8:11561848:11565409:-1 gene:fgenesh2_kg.8__705__AT5G48370.2 transcript:fgenesh2_kg.8__705__AT5G48370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7MLM8] MNSPRPISVVSTFASPSSPCDSTKKPLSLWPGMYHSPVTTALWEARSKIFESLLDPPKDAPPQSQLLTRTPSHSRTTIFYPFSSDFILREQYRDPWNEVRIGILLEDLDALAGTISVKHCSDDDSTTRPLLLVTASVHKIVLKKPICVDIDLKIVASVIWVGRSSIEIQLEVMQSELKDVKASSDSVALTANFIFVARDSKTGKAAPINRLSPETEVEKLLFEEAEARNNLRKKKRGGDRREFDHGECKKLKAWLAEGRIFSDMPALADRNSILLKDTRLENSLICQPQQRNIHGRIFGGFLMHRAFELAFSTAYTFAGLVPYFLEVDHVDFLRPVDVGDFLRFKSCVLYTQLDKLDCPLINIEVVAHVTSPEIRSSEVSNTFYFKFTVRPEAKARNNGFKLRNVVPATEEEARHILERMDAEALNSSK >fgenesh2_kg.8__707__AT5G48380.1 pep chromosome:v.1.0:8:11577742:11580429:-1 gene:fgenesh2_kg.8__707__AT5G48380.1 transcript:fgenesh2_kg.8__707__AT5G48380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVFAIWFWNCLCCLLLLCTMIDANQANVDCLRTFKSQVEDPNGYLSSWVFGNETAGYICKFSGVTCWHDDENRVLSIKLSGYGLRGVFPLGIKQCSDLTGLELSRNNFSGPLPSNLTDVIPLVTTLDLSFNSFSGEIPVSISNITFLNSLLLQNNRFSGNLPPELVLLGRLKTFSVANNLLVGPIPNFNQTLKFGAENFDNNPGLCGKPLDDCKSASSSRGKVVIIAAVGGLTAAALVVGVVLFFYFRKLGVVRKKQDDPEGNRWAKSLKGQKGVMVFMFKNSVSKMKLSDLMKATEEFKKDNIIATGRTGTMYKGRLEDGTPLMIKRLQDSQRSEKEFDAEMKTLGSVKNRNLVPLLGYCVANKERLLMYEYMANGYLYDQLHPADEESFKPLDWPSRLKIAIGTAKGLAWLHHSCNPRIIHRNISSKCILLTAEFEPKISDFGLARLMNPIDTHLSTFVNGEFGDFGYVAPEYSRTMVATPKGDVYSFGVVLLELVTGQKATSVRKVSEEAEEESFKGNLVEWITKLSIESKLQEAIDRSLLGNGVDDEIFKVLKVACNCVLPEIAKQRPTMFEVYQLLRAIGESYNFTADDDILIPSESGEGDFIEELIVAR >fgenesh2_kg.8__70__AT5G47220.1 pep chromosome:v.1.0:8:327472:328374:1 gene:fgenesh2_kg.8__70__AT5G47220.1 transcript:fgenesh2_kg.8__70__AT5G47220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATERF-2/ATERF2/ERF2 [Source:UniProtKB/TrEMBL;Acc:D7MPV8] MYGQCDIESDYALLESITRHLLGGGENDLRLNESTPSSCFTDLPLKENDSEDMLVYGLIKDAFHCDTSSSDLSCLFDFPAVKVEPTENFAVVEEKPKKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEAAALAYDRAAFRMRGSRALLNFPLRVNSGEPDPVRITSKRSSSSSSSSSSSSSSSSSENGKLKRRRKAENLTSEVKCEVGEETRVDELLVS >fgenesh2_kg.8__711__AT5G48400.2 pep chromosome:v.1.0:8:11634774:11637776:1 gene:fgenesh2_kg.8__711__AT5G48400.2 transcript:fgenesh2_kg.8__711__AT5G48400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7MLN5] MERFCIQNSFLLVLLFFISNCFASSQNNDDDERIQVRVGLVLDLGSLEGKIVRSSVSMALSDFYAINNDYKTRVSLLVRDSHGEPLLGLASVVDLLKTEGVEVIIGGNSLLEAKLLAELGERARVPVISLNSPMSLSLSKYTHLIQATHDSASEVKGITAFLHGFDWNSVALVYEDDDDWRESMHFMVDHFHENNVRVQSKVGFSVSSSEDSLMDRLRKLKDLGTTVFVVHLSEVLATRLFPCAEKLGLMGEGFAWILTAKSMSSLHESIDDFAKEAMEGVVGFKSYIPMSKELHNFTLRWRKSLHVEEVTGSEITRLSISGVWAHDIAWALASAAEVTRMPNVSSTLLEAISESRFKGLSGDFQLHDKKLLSKKFEIVNMIGSGERRVGFWNSNGSFSNRRHLSSTHDKLETIIWPGGSAQSPKGSSLRESGRKKLRVLVTSSNRFPRLMKVETDPVTNAITIVEGFCIEVFQASIAPFNYEVEYIRWLNGTNYTKLAYALHSQKDKYDAAVGDITITSDRSTYADFTLPFTEMGLGIVAAKERSMWVFFQPLTPNLWITSAAFFVLTGIIVWLIERAENKEFQGSWPQQIGVVLWFGFSTLVYAHREKLKHNLSRFVVTVWVFAVLILVTSYTATLTSMMTVQQIRFNANEDYVGHLSGSLIANAALTNSSLRTMRLLGLNTSEDYAQALLNKNVSYIVSELPYLKVLLGEYPGHFLMVKTQSTTNGFGFMFQKGSELVHNVSREIAKLRTSERLNEMERRWFDKQLPYTTDDTSNPITLYRFRGLFMITGVSFAFALAVLLILWLREKSEIVVKSVNLYLSQQLRHFRFLFTRTIHPSPLDNPIGENAVQMAQRNRR >fgenesh2_kg.8__713__AT5G48430.1 pep chromosome:v.1.0:8:11645671:11647096:-1 gene:fgenesh2_kg.8__713__AT5G48430.1 transcript:fgenesh2_kg.8__713__AT5G48430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLVVLCLLLFSAYSYVSAHNYSPKTLVSTVSKNTILPIFTFTLNKNQEFFIHIGGPYLVRKCNDGLPRPIVPCDSPVCALTRGVSPHQCPLPTNTVINGVCACQATAFEPFQRLCNSDQFTYGDLSISSLNPISPSVTVNNVYYLCIPKPFLVDFPPGVFGLAGLAPTALATWNQLTRPRLGLEKKFALCLPSDESPLNKGAIYFGGGPYKLRNIDARSMLSYTRLIRNPRKLNNYFLGLKGISVNGKRILLAPNAFDFDRNGDGGVTLSTVFPFTTLRSDIYKVFIEAFAKATSDIPRVISTTPLEFCLKSTTNFQVPRIDLELAAGVIWKVSPANAMKKVSDDVACLAFVNGGDAAAQAVVIGLHQMENTLVEFDVGRSAFGFSCSLGLVNASCGDFQTRP >fgenesh2_kg.8__714__AT5G48440.1 pep chromosome:v.1.0:8:11647632:11650596:-1 gene:fgenesh2_kg.8__714__AT5G48440.1 transcript:fgenesh2_kg.8__714__AT5G48440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7MLN9] MVSIFLQSPTSLTSQSYPFSSRSSTRRTRRGASPSLRVTASRSSYDVVVVGGGIIGLTIARQFLTGSDLSVAVVDKAVPCSGATGAGQGYIWMTHKKPGTDVWDLTLRSHELWHKLAESLNVDGLDPEELLGWKKTGSLLIGRTSEECVALKQKVHELSEAGLRTEYLSSDELLLKEPAVLVDDDSGAAFLPDDSQLDAHRAVAYIEKGNREFAPEGRYAEFYHEPVIGLIRSNGRSTEVAGVQTSKRNLYGKKATIVAAGCWSGSLMHELLKDCNISLDVPVKPRKGHLLVVENFDSFHLNHGLMEAGYTNHQSASAPGLDVEERMLSISMTATMDTSGNLILGSSREFVGFDTEADEFIIRCIWERAAEFFPKLRDISLEDFIRNRKVRVGLRPYMPDGKPVIGSVPGLQNLYLAAGHEGGGLSMALATAEMVTDMVLGKPEQVDSSAFGVKGRCC >fgenesh2_kg.8__717__AT3G32340.1 pep chromosome:v.1.0:8:11652988:11656165:-1 gene:fgenesh2_kg.8__717__AT3G32340.1 transcript:fgenesh2_kg.8__717__AT3G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MLP0] MGPEILGTTPSSVMNFLIQTASLAASEAAIYSASVVESAIVLCLELFQLTAPPLRQKTNP >fgenesh2_kg.8__724__AT5G48470.1 pep chromosome:v.1.0:8:11672408:11674918:1 gene:fgenesh2_kg.8__724__AT5G48470.1 transcript:fgenesh2_kg.8__724__AT5G48470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSIHLPFSSTPSPSQRESLIIPSIICSFPFSSSSFRPKQTQKLKCLVQFCAPYEVGGGYTDEELFEKYGTQQNQTNVEDKLNPSEYEALLKGGEQVTSVLEEMITLLEDMKMNEASENVAVELAAQGVIGKRVDEMESGFMMALDYMIQLADKDQDDKRKSLLEVVKETVLSHLTKKCPPHVQVIGLLCRTPKKESRHELLRRVAAGGGAFESENSTKLHIPGANLNDIANQADDLLETMETRPVIPDRKLLARLVLIREEARNMMGGGILDERNDRGFTTLPESEVNFLTKLVALKPGKTVQQMIQNVMQGKDEGADNLSKEDDSSTQGKRQSGINGRVSVTGRKPLPVRPGMFLETVTKVLGSIYSGNASGITAQHLEWVHQKTLQVLEEIAY >fgenesh2_kg.8__726__AT5G48490.1 pep chromosome:v.1.0:8:11679322:11679682:-1 gene:fgenesh2_kg.8__726__AT5G48490.1 transcript:fgenesh2_kg.8__726__AT5G48490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7MM18] MTSKKMAIMVIVMVMASLVIERSVAIDLCGMTQAELNECLPAVSKNNPKSPSQLCCNALKHADYTCLCGYKNSPWLGSFGVDPKLASGLPKECDLANAPAC >fgenesh2_kg.8__727__AT5G48500.1 pep chromosome:v.1.0:8:11698858:11700113:1 gene:fgenesh2_kg.8__727__AT5G48500.1 transcript:fgenesh2_kg.8__727__AT5G48500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEYGGGEYCRRGHVPAFGSWDWNDAVPFTQCFETATTQQPAFLHYAPYPQDRDLYLAGDLYDNHHLVAPAVIIVPRRRAKVGQEPVEKKRNVSKENHSYKTEAREFNAPVSCPTPVVKRSMKAPKPVDEDLYKVSPQLLSVKSKRKRGGGGFGCISRCFLPTRVL >fgenesh2_kg.8__730__AT5G48520.1 pep chromosome:v.1.0:8:11773011:11777897:1 gene:fgenesh2_kg.8__730__AT5G48520.1 transcript:fgenesh2_kg.8__730__AT5G48520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARLCSLVAELGYEGAGKLDPDSFEWPFQYDDARPILDWICSSLRPSNVLSLAELSLYEQFQRDGKLLEGDDLDQAYDSISAFSSRRNNQEAVFGAEESIKEVRDATLAHKAEALELQRQLRRLQTQYDLLTGQSSALIQGRRARVAATSAVSGQITSIEDSLSARNLQMNGVLGRLASTSQELAHYHSGEEDGIYLAYSDFHAYLAGDSACTKELNQWFAKQLDTGPYRLVAEEGKSKCSWVSLDDTSNMLRDLEKSQHQRVAELQRLRSIFGTSERQWIEAQVENAKQQAILLTLKSQVTSVEAHIHFDLHSLRRKHADLVEEISTLYQKEEKLLSETIPELCWELAQLQDTYILQGYYDLKVMRQELYISKQKVFINHLVNQLARHQFLKLACQLEKKNMLGAFSLLKVIESELQGYLSATRSRVGRCSALIQAASDVQEQGAVDDRDSFLHGVRDLLSIHSSTQAGLSTYVSAPAIIQQIVALQSDLSSLQSDLENSLPDDRNRCINELCTHIQNLQQLLFASSTTAQPILTPWPLMKELDEMGKINSKLSTAVEEVTLEHRNKREIVKHHAKDVELQRRVFVDFFCNPERLRNQVRELNALVRARQASSS >fgenesh2_kg.8__731__AT5G01760.1 pep chromosome:v.1.0:8:11788238:11790772:-1 gene:fgenesh2_kg.8__731__AT5G01760.1 transcript:fgenesh2_kg.8__731__AT5G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSSSSSSSASPSFTLSSVTVAVDKATSELLRTPDWTIIIAICDSLNSNRWQCKDAIKAVKRRLQHKSSKVQLLTLTLLEAMLKNCGDFVHSHITEKHLLEDLVKIVRKKGDFEVRNRILLLLDTWNEAFGGVPCKYPHYNWAYQELKRCGVTFPQRSKEAPLMLAPPPPMTHSSSSSSSSMNLLSIGSFRRLDEAMATEIEGLSLSSLESMRNVMDLVNDMVQAVNPSDKSAINDELIVDLVEQSRSNQKKLIQMLTTTADEDVMARGLELNDSLQVVLARHDAIASGVSLPMLEAPETSSALKTYDAAALESDSESSSSSSSESETDEGEDVKDDFMQLARRHALLSAEHSDEEEETLLLGNDNEKIAETEAKTQCKDLALFDTTTTTTTESEQDIIELLSLTLSTTALPSPQTQPQMQPQTQPPSFFADDNILMNNYVVPWAQSQEEPQVPKMTQFAPSRPQFQPWPLQQQQPFSYGYPQPQWSGGQVNSNDTTLWSQGGNENKVFERNLQYLNSFPARATGTSGAASVPTVILTFCETQMQALGVANDLCCNYVIIVPKDFRLLQLQINKEN >fgenesh2_kg.8__732__AT5G48540.1 pep chromosome:v.1.0:8:11795324:11796247:-1 gene:fgenesh2_kg.8__732__AT5G48540.1 transcript:fgenesh2_kg.8__732__AT5G48540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLIVKCSLLLALVCSCKAADSIWQLCNTNSNISASSQVSKNIDSLLATLVSKTPSQGFVTTTSPSYNKKEKVYGLAQCRGDISKTDCSTCIQDAAKKIREVCPNQSDSRILYDFCFLRYSQENFIGKLDTGAGLIYFNIANVTETDPKTFDNELGALFDKIRSEAVLPKSKGLGKGKTKLTPFVTLNGLVQCTRDLGALDCAQCFATAVGSFMTTCHNKKGCRVLYSSCYVRYEFYPFYFPLDPAKTGPSVGRISSVRLSP >fgenesh2_kg.8__733__AT5G48545.1 pep chromosome:v.1.0:8:11822992:11839948:1 gene:fgenesh2_kg.8__733__AT5G48545.1 transcript:fgenesh2_kg.8__733__AT5G48545.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine triad family protein [Source:UniProtKB/TrEMBL;Acc:D7MM35] MEARRLAILCSHLNPPGPNPTRDPILRVSDCSSSASSGDGKVESSNLQNDCVFCKIIRGESPCLKLYEDDMCLCILDTNPLSHGHSLIIPKLHYPTLEETPPSVVAAMCSKVPLISNAIVKATGSDSFNLLVNNGAAAGQVIFHTHIHIIPRKERDCLWASESLRRHTLKLDKEASQLVSRVRQQLCSLPEEQLVQPS >fgenesh2_kg.8__734__AT5G48560.1 pep chromosome:v.1.0:8:11851637:11854670:1 gene:fgenesh2_kg.8__734__AT5G48560.1 transcript:fgenesh2_kg.8__734__AT5G48560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNELFMNTEFPPPPEMATHFEPPPSSSSAMMLNWALMDPNPPQDSSFIWEKSTEQQQQQSIFDSALSSLVSSPTPSNSNFSGGGGDGFLIRELIGKLGNIGNNNNNSGEIYGTPMSRSASCYATPMSSPPPPTNTNSQMMMNRTTPLTEFSADPGFAERASRFSCFGSRSFNGRTNTNLPINNGNNIVNNSGKLTRVSSTPALKALVSPEVAPGGEFPRKRKSVPKGKSKENPISTASPSPSFSKTAEKKEEWGGKGSKSSEEKGGKRRREDEDEEEGEGEGNNNTKPPEPPKDYIHVRARRGQATDSHSLAERVRREKIGERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLSSVNDTRLDFNVDALVSKDVMIPSSNNRLHEEGLQSKSSSRHHQQQQLNMYNSNNAQLLPNISSNNMMLQSPMNSLEASTLARSFTHLPTLTQFTDSISQYQMFSEEDLQSIVGMGVALNPNNESQHMKIEL >fgenesh2_kg.8__735__AT5G48570.1 pep chromosome:v.1.0:8:11857996:11861481:-1 gene:fgenesh2_kg.8__735__AT5G48570.1 transcript:fgenesh2_kg.8__735__AT5G48570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7MM37] MEDDFDMQQFPEEEPEEMDMDLADDDEADSAPNLKIGEEKEIGKSGLKKKLVKEGEKWDTPENGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFTLGQGHVIKGWDLGIKTMKKGENAIFTIPPELAYGETGSPPTIPPNATLQFDVELISWRSVKDICGDGGVFKKIIVEGEKWEKPKDLDEVFVKYEARLEDGTIVGRSDGAEFTVKEGHFCPALAKAVKTMKRGEKVLLTVKPQYGFGETGIPASDGLQAAIPPNATLQIDLELVSWKTVVEVTDDKKVIKKILKEGEGYERPNEGAVVKLKLIGKLQDGTVFMKKGYEEDEEPFEFKTDEEQVIEGLEKAVMGMKKGEVALITISPEYAFGSSESKQELAVIPPNSTVFYEVEMVSFIKEKESWDMNTQEKIEAAGKKKEEGNMLFKAGKYARASKRYERGVKYIEYDSTFDEEEKKKARDLKIACNLNDAACKLKLKDYKEAAKLSTKVLEMDSRNVKAMYRRAHAYMETADLDLAELDIKKALEIDPDNKEVKIEYKKLKEKVKEYNKKDAKFYGNMLSKMLEPHKGAMSIDTKA >fgenesh2_kg.8__73__AT5G47210.1 pep chromosome:v.1.0:8:329238:331749:1 gene:fgenesh2_kg.8__73__AT5G47210.1 transcript:fgenesh2_kg.8__73__AT5G47210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNPFDLLGDDAEDPSQLAVALSQKVEKAAATVQPAKAGKFPTKPAPPSQAVKESRNAPSGGGRGGAGGRGGFSRGRGNSGNREYRNNDAPGNENGFSGGYRPSEDADGARRGGSVGGYRVGGSRGGPRRGGFANGESGDVERPRRNYERHSGTGRGNGLKRDGGGRGNWGTTEDDIPPVTEEPTTEVEKSPVAEKQGGEDETAEANKEPTAEEKAQKEAEEAEAREMTLEEYEKILEEKKKALQATKVEERKVDTKVFESMQQLSNKKNTDEEIFIKLGSEKDKRKDAAEKTKKSLSINEFLKPADGERYNGRGGHRGRGGCGGRGGREHRGDGGNQRNVKPAAPAPAIGDTAQFPSLGK >fgenesh2_kg.8__740__AT5G43470.1 pep chromosome:v.1.0:8:11976430:11982186:1 gene:fgenesh2_kg.8__740__AT5G43470.1 transcript:fgenesh2_kg.8__740__AT5G43470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Viral resistance protein [Source:UniProtKB/TrEMBL;Acc:D7MM46] MAEAFVSFGVEKLWDLLSRESERLQGIDEQVDGLKRQLGRLQSLLKDADAKKHGSERVRNFLEDVTDLVYDAEDILESYVLNKSRGKEKGIKKHVRRLACFLTDRRKVASDIEGITKRISEVIGDMQSLGIQQVIDGGRSMSLQDRQREQREIRQTYAKSPEHDLVGVEQSVEELVGHLVENDKHQVVSISGMGGIGKTTLARQVFHHDIVRRHFDGFAWVCVSQQFTQKDVWQRILQELQPHDGEILQMDEYALQRKLFQLLETGRYLVVLDDVWKKEDWDRIKAVFPQQRGWKMLLTSRNEGVGIHADPTCFTFKAKILNPDESWKLFERIVFARRDETEVRLGEEMEAMGKEMVKHCGGLPLAVKVLGGLLVNKHTVHEWKRVSDNIGDQIVGKLCLDDNSLNSVNRILSLSYEDLPTHLKHCFLYLAHYPEDYKIYMWNLFNYWAAEGICYGSTIRHSGEDYLQELVRRNLVIAEKNNLSWRFEYCQMHDMMREVCLSKAKEENFLQIIKVPTSTSSINAQSPSRSRRLTIRSGKAFHILGHKNNKKVRSLIVLGLEEDFWIQSASVFQNLPFLRVLDLSEVKFKGGKLPSSIGGLIHLRFLSLYDAGVSHLPSSMRNLKLLLYLDLSVAIGEPVHVPNVLKEMLELRNLVLPHKMHDKTKLELGDLVNLEHLWFFSTQHSSVTDLLRMTKLRSLSVSLSERCTFKTLSSSLRELRNLETLHFFSTSKTIMVDYVGKFDLDFVHLKELGLAVRMSKIPDQHQFPPHLEHISLFLCRIEEDPMPILEKLLHLKSVKLGSDAFVGRKMLCSKGGFPQLRALEIYEELELEEWIVEEGSMPCLRTLNILDCKKLKELPDGLKYMTSLKELKIRGMKREWNEKLIPGGEDYYKVQHIPDVQFINCDQ >fgenesh2_kg.8__742__AT5G48640.1 pep chromosome:v.1.0:8:11984465:11986579:-1 gene:fgenesh2_kg.8__742__AT5G48640.1 transcript:fgenesh2_kg.8__742__AT5G48640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein [Source:UniProtKB/TrEMBL;Acc:D7MM48] MAANFWNSSHYKQLLDPEEVDVVHELDKERGISIEDFKLIKFHMSNHIMKLAQHIKVRQRVVATAITYMRRVYIRKSMVEFEPRLVALTCLYLASKAEESIVQARNLVFYIKRLYPDEYNKYELKDILGMEMKVLEALDYYLVVFHPYRSLSEFLQDAAINDVNMNQITWGIVNDTYKMDLILVHPPYRIALACIYIASVHREKDITAWFEDLHEDMNLVKNIAMEILDFYENYRTITEEKVNSAFSKLALKP >fgenesh2_kg.8__743__AT5G48650.1 pep chromosome:v.1.0:8:11988331:11991265:1 gene:fgenesh2_kg.8__743__AT5G48650.1 transcript:fgenesh2_kg.8__743__AT5G48650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein [Source:UniProtKB/TrEMBL;Acc:D7MM49] MDPVAEPSPVVDPLTVGNAFVSQYYHVLYNMPEHLPRFYHEISKVGRVGQDGVMQNFSTLEGITEELKTLTYGNSAEITSYDTQASHDGGFLVAVTGYFTLNERSRRKFTQTFFLAPQEIGFFVLNDILRFANDDAKDTVPETIEGEVVSGINSTRPSDINGNKGSEQAACVSVNSVSKEVSKPLNDENAKDNVLVPEIVNEVAEIDITRKEVADDSPKNYDPDDGLEDVPKKSYAFVLKVTKDKSGVPAGSVPSPKKIPKDQEHQAPSDPSTGQILKDQGQQASSDPSQVIESDTVSESVDAAENGHNQEAVAEGTSIYVKHLPFNANIDMLGAEFKQFGAITNGGIQVINQRGLGYPYGFVEFEEADAAHRAIEASPLMIGGQRAFVEEKLSTSRGNRGNGNGGYGNRNVGVGMRGRGGYGYGYDYRRGGRGPGGGGRSFNRRGNEYVASINSY >fgenesh2_kg.8__746__AT5G48655.3 pep chromosome:v.1.0:8:11993369:11995202:-1 gene:fgenesh2_kg.8__746__AT5G48655.3 transcript:fgenesh2_kg.8__746__AT5G48655.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQEVRVPRGNRRRKAVIDLNAVPGDQEGTSASVRSPTVPTTPSQPAPTMIDVDAIEDDVIESSASAFAEAKSKSRNARRRPLMVDVESGGTTRLPANISNKRRRVPSSEPVIDCEHAYVNDEVNMSSRMSRSKAPAPPPEEPKFTCPICMCPFTEEMSTKCGHIFCKGCIKMAISRQGKCPTCRKKVTAKELIRVFLPATR >fgenesh2_kg.8__747__AT5G48657.2 pep chromosome:v.1.0:8:12026425:12028755:-1 gene:fgenesh2_kg.8__747__AT5G48657.2 transcript:fgenesh2_kg.8__747__AT5G48657.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRPHVPKFGDWTEDAPAFTVVFEKVSKSKKNMNVSNPNEYPEMNPNAAQNRNMSRHDQQPPNHNVRPRHGRFNSREETEFRPSPAHNERNKRVRSVPPTPETYNNQSYGGGGRSMGNPSETNRRQSRDHDPVRPIRNLRGQSSERVATIPPFPGTGSNMENQSYTLIFDKVKEDRNHARSSNGTDHSTPTRPIINQHHQPLPSSPKGCCFPPWNRKGS >fgenesh2_kg.8__748__AT5G48660.1 pep chromosome:v.1.0:8:12032295:12034058:1 gene:fgenesh2_kg.8__748__AT5G48660.1 transcript:fgenesh2_kg.8__748__AT5G48660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFVEGAIAFLLLIKIGPLRELVIKSLDQMKMGKGPATVKTIAGTMSVILFSNLMSIVKIQNKGAKLGTMSPMDQVLWRTHLLEASLLGVVLFLGFIIDRMHHYLRKLINLRSNVGSSKDELEQLQKERAGLKEKEDKASKEIKQLQEELSSILERLKKAETESKEKEKKLETAETHVTALQKQSAELLLEYDRLLEDNQHLQSQILGKTKS >fgenesh2_kg.8__74__AT5G47200.1 pep chromosome:v.1.0:8:331889:333790:-1 gene:fgenesh2_kg.8__74__AT5G47200.1 transcript:fgenesh2_kg.8__74__AT5G47200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVTYDVTDLESFNNVKQWLNEIDRYASENVNKLLVGNKNDLTSQKVVSTETAKAFADELGIPFLETSAKNATNVEEAFMAMTAAIKTRMASQPAGGAKPPTVQIRGQPVNQQSGCCSS >fgenesh2_kg.8__750__AT5G48680.1 pep chromosome:v.1.0:8:12045449:12047209:-1 gene:fgenesh2_kg.8__750__AT5G48680.1 transcript:fgenesh2_kg.8__750__AT5G48680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MM55] MYSDQVVAETKISKSLKNRLNGGSGDFSSRGKQQQVTRKRGRQDDDKWEHDLFDDDDQPRLSKRRFDPKDLRLKLQKKHHGLQIGGRVFSLSVGDLRNKLSGTVNPQTKNGKREAVRPAIKKVAGVTKSETRTAPNRAIKKKPQQNDASVDSFLESLGLEKYSTAFQVEEVDMDALRHMTDDDLKALLIPMGPRKKILLALGSKRG >fgenesh2_kg.8__753__AT5G48720.1 pep chromosome:v.1.0:8:12100978:12102673:1 gene:fgenesh2_kg.8__753__AT5G48720.1 transcript:fgenesh2_kg.8__753__AT5G48720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVTLNQEDHSYMFDDETTPVKACSELGYHVTTEDTNRKMEVHSETRSALKRRRMLQFEDQPETSLFSSESFSAILKSSARDETFDELLPEGSQLIEGFSEDASASSFEGLDLYAEEWYAECLNDAETPMLPDDLSFGSPDVQVDISEYLNVPPETETREAQRPVTRSSPNVIFKGRKSFSRPVSKLPSSIIYPFAFIKPCGVHGGMTLKDINQKIRNPPAKPKQHIEEPAVIQTSAFSGKPVVGKTKIRTEGGKGSITIMRTRG >fgenesh2_kg.8__754__AT5G48730.1 pep chromosome:v.1.0:8:12123227:12125446:1 gene:fgenesh2_kg.8__754__AT5G48730.1 transcript:fgenesh2_kg.8__754__AT5G48730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MMK8] MVSLSTSTSHAPPLPTAFNRRPSERTFTVRCISISPREPKHTITSDKSTNTSSLSLRETRQSKWLMNSEDVIERDSKESKENTNTKIASRKAISIILRREATKEIIEKKKGSKKLLPRTVLESLHERITALRWESALQVFELLREQLWYKPNVGIYVKLIVMLGKCKQPEKAHELFQEMINEGCVVNHEVYTALLSAYSRSGRFDAAFTLLERMKSSHNCQPDVHTYSILIKSFLQVFAFDKVHDLLSDMRRLGIRPNTITFVEMESTLIQMLGEDDCKPDSWTMNSTLRAFGGNGQIEMMENCYEKFQSSGIEPNIRTFNILLDSYGKSGNYKKMSAVMEYMQKYHYSWTIVTYNVVIDAFGRAGDLKQMEYLFRLMQSERIIPSCVTLCSLVRAYGRAGKADKIGGVLRFIENSDIRLDLVFFNCLVDAYGRMEKFAEMKGVLELMEKKGFKPDKITYRTMVKAYRISGMTTHVKELHGVGESVGETQVVLKKPDF >fgenesh2_kg.8__757__AT5G48760.1 pep chromosome:v.1.0:8:12144282:12145749:-1 gene:fgenesh2_kg.8__757__AT5G48760.1 transcript:fgenesh2_kg.8__757__AT5G48760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L13A [Source:UniProtKB/TrEMBL;Acc:D7MML2] MVSGSGICSKRVVVDARHHMLGRLASITAKELLNGQKVVIVRCEEICLSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPSKIFWRTVRGMIPHKTKRGAAALARLKVFEGVPTPYDKIKRMVIPDALKVLRLQAGHKYCLLGRLSSEVGWNHYDTIKELETKRKERAQVVYERKKQLNKLRVKAEKVAEEKLGAQLDILAPVKY >fgenesh2_kg.8__758__AT5G48790.1 pep chromosome:v.1.0:8:12151431:12153265:-1 gene:fgenesh2_kg.8__758__AT5G48790.1 transcript:fgenesh2_kg.8__758__AT5G48790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSFSIATTVSPASLAGTLVSNSKNVLCSLHLKNNDCTKTNRNLKFRACSVSGGYNNTSVDNVPFPRDYFELINQAKEAVELAMKDEKQLMEIEFPTSGLASVPGDSEGATEMTESINMIREFCDRLLAPEKARTTRIFFPEANEVKFAQKTVFGGTYFKLDYLTKPSLFEDFGFFERVKMSDRVKPEDELFLVAYPYFNVNEMLVVEELYKEAVVNTDRKLIIFNGELDRIRSGYYPKFFYPKLAALTKTLLPKMDTVYYIHNFKGQKGGVLFRCYPGPWQVLRRTRNSYICVHQQESMPSLKEVALDILASA >fgenesh2_kg.8__75__AT5G47190.1 pep chromosome:v.1.0:8:334740:336548:1 gene:fgenesh2_kg.8__75__AT5G47190.1 transcript:fgenesh2_kg.8__75__AT5G47190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSHLLPQALHMIPRIPTFSSKNLGVSSILPRASSSKSRLSVSTNHSSSNFGFAIDSKKRREFIVRAEESTEGETEAVVENAVEAEAEAAVAEEEARPPRKTRVKLGDIMGLLNKKAIEVAENVRPVPGLRTGDIVEIKLEVPENKRRLSIYKGIVMSRQNAGIHTTIRIRRIIAGIGVEIVFPIYSPNIKEIKVVSHRKVRRARLYYLRDKLPRLSTFK >fgenesh2_kg.8__760__AT5G48810.1 pep chromosome:v.1.0:8:12221417:12222798:-1 gene:fgenesh2_kg.8__760__AT5G48810.1 transcript:fgenesh2_kg.8__760__AT5G48810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATB5-B [Source:UniProtKB/TrEMBL;Acc:D7MMM7] MGGDGKVFTLAEVSQHSSSQDCWIVIDGKVYDVTKFLDDHPGGDEVILTSTGKDATDDFEDVGHSSTAKAMLDEYYVGDIDTATVPVKAKFVPPTSKTVKANQDKSSEFVIKLLQFLVPLLILGLAFGIRYYTKTKTPSS >fgenesh2_kg.8__762__AT5G48830.1 pep chromosome:v.1.0:8:12238923:12241779:-1 gene:fgenesh2_kg.8__762__AT5G48830.1 transcript:fgenesh2_kg.8__762__AT5G48830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHVVVSPSLCVQLRMHNVHFSTNPRVKISQRKTFGSCKIISRDTSLNLGSSISRGESTCKCTCLASLADFDGVAGSGWVPIGDQVLLTASIFLTYMAGVIPVQKNSSYSSRKNTTVEEDPEVGTSESSGRETDFEGDLKSVWDVVKVKLLDSLDAIKRESTLGSKVLKPKPPQGKPPLSLYAISEGPQLYLLWSCFQKLEEETNNISDTINSDEWMIGFTQIVRKAYQAACTAWLKRELSVGNTDSEAITPLLIRMLNEKDAIFGKIRKSGKEDLFAEFLYFHRFGSPAKAFCYDVSFFRTHGVAILEDFMITLADGVASIYLELISVDSKFSNEMNSGGLGICSLSSRALQKLRNEVALYQWLHQNMEAVVSMYEDRFDLYILKTQVINNLDGSDDTESLSWWRKFKLGKTKAASSSPMRYSIISDFSLPVKRTKELKALSGWRYYFSLFLELSDIGMPIIRVVLDKVSSVISFFLVTLIGRSVGLIFTGIRQSLRWK >fgenesh2_kg.8__763__AT5G48840.1 pep chromosome:v.1.0:8:12242514:12244087:-1 gene:fgenesh2_kg.8__763__AT5G48840.1 transcript:fgenesh2_kg.8__763__AT5G48840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantoate-beta-alanine ligase [Source:UniProtKB/TrEMBL;Acc:D7MMN0] MEPEVIRDKDSMRKWSRAMRLQGKTIGLVPTMGYLHEGHLSLVRQSLTLTDVTVVSIYVNPGQFSSTEDLSTYPSDFSGDLTKLAAISGGKVVVFNPKNLYDYGGETKKINDGGGGGGRVLSCVEEGGLGHETWIRVERLEKGLCGKSRPVFFRGVATIVTKLFNIVEPDVAVFGKKDYQQWRIIQRMVRDLDFGIEIVGSDIAREKDGLAMSSRNVRLSDEERQRALSISRSLAMAKASVAEGKTSCAELKDMIIKQLVGSAGRVDYVEIVDQETLEGVEEIKSGVVICVAAWFGTVRLIDNIEIDVSL >fgenesh2_kg.8__764__AT5G48850.1 pep chromosome:v.1.0:8:12244712:12247079:-1 gene:fgenesh2_kg.8__764__AT5G48850.1 transcript:fgenesh2_kg.8__764__AT5G48850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Male sterility MS5 family protein [Source:UniProtKB/TrEMBL;Acc:D7MMN1] MDRSLKKMKNNYNNSIKSNLMKDDEMFHVIHKVPCGDTPYVRAKHAQLIEKNPEMAIVWFWKAINTGDRVDSALKDMAVVMKQLDRSEEAIEAIKSFRPRCSKNSQDSLDNVLIDLYKKCGRMEEQVELLKRKLRQIYQGEAFNGKPTKTARSHGKKFQVTVQQEISRLLGNLGWAYMQQAKYLSAEAVYRKAQMVEPDANKSCNLAMCLIKQGRFEEGRLVLDDVLESRVLGADDCRTRQRAEELLSELESSLPRMGDAEMEDVLGNILDDDFVLGLEQMTSTSFKSKRLPIFEQISSFRNTLVC >fgenesh2_kg.8__769__AT5G48900.1 pep chromosome:v.1.0:8:12281794:12286237:1 gene:fgenesh2_kg.8__769__AT5G48900.1 transcript:fgenesh2_kg.8__769__AT5G48900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7MMN8] MAITQILVLFASALLLSMFFTGVDSTSEQAVENPEEVAAMVDMSIRNSTERRKLGYFSCSTGNPIDDCWRCDRRWQSRRKALANCAIGFGRNAIGGRDGRYYVVSDPNDDNPVNPKPGTLRHAVIQEEPLWIVFKRDMVITLKEELIMNSFKTIDGRGVNVHIANGACITIQFVTNIIIHGIHIHDCRPTGNAMVRSSPSHYGWRTMADGDGISIFGSSHIWIDHNSLSNCADGLIDAVMASTAITISNNYFTHHNEVMLLGHSDTYTRDKVMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRYLAPRNRFAKEVTKRDYAGQWQWRHWNWRSEGDLFLNGAFFTRSGSGLGASYARASSLAAKSSSLVGVITYNAGALNCRGGRRC >fgenesh2_kg.8__76__AT5G47180.2 pep chromosome:v.1.0:8:337148:339792:1 gene:fgenesh2_kg.8__76__AT5G47180.2 transcript:fgenesh2_kg.8__76__AT5G47180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane family protein [Source:UniProtKB/TrEMBL;Acc:D7MPW2] MTGVGENQLISIQPDELKFLFELEKQSYCDLKVANKTEHYVAFKVKTTSPKKYFVRPNTGVIQPWDSCIIRVTLQAQREYPPDMQCKDKFLLQSTIVPPHTDVDELPQDTFTKDSGKTLTECKLKVSYISPSTTQRSSESGATNGDGQSSETISTIQRLKEERDAAVKQTQQLQHELETVRRRRNQRNSGNGLSLKLAAMVGLIGLIIGFILKLTLASPT >fgenesh2_kg.8__771__AT5G48910.1 pep chromosome:v.1.0:8:12326735:12328680:-1 gene:fgenesh2_kg.8__771__AT5G48910.1 transcript:fgenesh2_kg.8__771__AT5G48910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MMP4] MNPTQTLYSPGGNSPASSLFPQINTCRTIRDLSQIHAVFIKSGQIRDTLAAAEILRFCATSDLHHRDLDYAHKIFNQMPQRNCFSWNTIIRGFSESDEDKALIAITLFCEMMSDEFIEPNRFTFPSVLKACAKTGKIQQGKQIHGLALKYGFGGDEFVMSNLVRMYVICGLMKDACVLFYKNIIEREMVMMIDRRKRDGEVVLWNVMIDGYMRLGDCKAARMLFDKMRQRSVVSWNTMISGYSQNGFFKDAVEVFREMKKGEDIRPNYVTLVSVLPAVSRLGSLELGEWLHLYAEDSGIRIDDVLGSALIDMYSKCGIIEKAIMVFERLPRENVITWSAMINGFAIHGQAGDAIDCFCKMRQAGVRPSDVAYINLLTACSHAGLVEEGRRYFSQMVSVDGLEPRIEHYGCMVDLLGRLGLLDEAEEFILNMPIKPDDVIWKALLGACRMHRNVEMGKRVANILMDMVPHDSGAYVALSNMYASQGNWSEVSEMRLRMKEMDIRKDPGCSWIDIDGVLHEFLVEDDSHPRAKEINSMLVEISDKLRLAGYRPITTQVLLNLEEEDKENALHYHSEKMATAFGLISTSPGKPIRIVKNLRICEDCHSSIKLISKVYKRKITVRDRKRFHHFQDGSCSCMDYW >fgenesh2_kg.8__774__AT5G48950.1 pep chromosome:v.1.0:8:12351225:12353280:1 gene:fgenesh2_kg.8__774__AT5G48950.1 transcript:fgenesh2_kg.8__774__AT5G48950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7MMP9] MDPKSPEFIIDPPLKVLGFVFEELSATRVAGHLTVTEKCCQPFKVLHGGVSALIAEGLASLGAGIASGYKRVAGIHLSIHHLRPAALGDIVFAESFPVSVGKNIQVWEVRLWKTKKTEKTDNKIMVSTSRVTLFCGLPIPDHVKDAPDELKKVISKL >fgenesh2_kg.8__775__AT5G48960.1 pep chromosome:v.1.0:8:12361587:12365563:1 gene:fgenesh2_kg.8__775__AT5G48960.1 transcript:fgenesh2_kg.8__775__AT5G48960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFSVNVPQLSSLYSTQTPKVRMNLCVDQVFDKMLMWRGMSTKMRFPSCSNAELPDLRKRSKRRSLRMIKCRAAGADGGRVAVGDDVFSVTTSSKYEVDYLGQSTKGDLNLKLDPLQSFGDGQATLEGPIEEVARTEAQAAENLIRELGIQGPFSAQHSPRGIFCSRTLNLRSISAIGYDMDYTLMHYNVMAWEGKAYDYCMENLKSMGFPVDGLAFDPELVIRGLMIDKEKGNLVKADRFGYVKRAMHGTKILSNKAVSEIYGRELVDLRNQSRWEFLNTFFSVSEALAYAQMVDRLDDGFISADLGTLDYKGLYKAVAKALFRAHVEGQLKSEIMSKPELFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTDKMMKHSFNKFLPNDMDWRDLFDMVIVSARKPEFFQMSHPLYEVVTGEGLMRPCFKAETGGLYSGGSAQMIESSLNVHGDEILYVGDHIYTDVSVSKVHLRWRTALICRELEEEYMALIGSRGHREELIELINQKEVVGDLFNQLRLALQRRSKGRPAQTLAATNLDDQELTETMQKLLIVMQRLDDKIGLMLETDGELFNKRWGFLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLNYTPFMYFRSQEQSLAHDSPLQDAGMEN >fgenesh2_kg.8__776__AT5G48970.1 pep chromosome:v.1.0:8:12369793:12372797:-1 gene:fgenesh2_kg.8__776__AT5G48970.1 transcript:fgenesh2_kg.8__776__AT5G48970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7MMQ2] MSPTTATVEDPGQIKRALIDASAGAISGGVSRTFTSPLDVIKIRFQVQLEPTTSWGLVRGNLSGASKYTGMVQATKDIFREEGFRGFWRGNVPALLMVMPYTSIQFTVLHKLKSFASGSTKSEDHIHLSPYLSFVSGALAGCAATLGSYPFDLLRTILASQGEPKVYPTMRSAFVDIIQSRGIRGLYNGLTPTLVEIVPYAGLQFGTYDMFKRWMMDWNRYILSSKNPINVDTNLSSLQLFVCGLGAGTSAKLVCHPLDVVKKRFQIEGLQRHPRYGARVERRAYRNMLDGLRQIMISEGWHGLYKGIVPSTVKAAPAGAVTFVAYEFTSDWLESISW >fgenesh2_kg.8__777__AT5G49000.2 pep chromosome:v.1.0:8:12435271:12436281:1 gene:fgenesh2_kg.8__777__AT5G49000.2 transcript:fgenesh2_kg.8__777__AT5G49000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MMR3] LYYPILSLVSKSCRTLVASPELYKTRSFFNRTESCLYVCLEFPPDPNPRWFTLYRKPNQTLTNITEKTKNSSGYVLAPIPNHHSPSASLVAVGSNIYAIGGSIENAPSSRVSILDCRSHTWHEAPSMRMKRNYPAANVVDGKIYVAGGLEDFDSSKWMEVFDTKTQTWEFVLCPLAERFVYRSLVIDGEIYIFGDKVVTYKPKEDRWGDEGEHQSMDLGLYFHSYCVIDNVLYCYRPGGIKWYESEKRSWRKLRGLKGLSKLASSCVRLADYGGKIAIFWDKYSPSSGYKSHMISCAVISLGSCKNQGIRGKVEWFDDMLTVPSSYNFVGALAATL >fgenesh2_kg.8__780__AT5G49020.1 pep chromosome:v.1.0:8:12443986:12447688:1 gene:fgenesh2_kg.8__780__AT5G49020.1 transcript:fgenesh2_kg.8__780__AT5G49020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine N-methyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MN52] MEIPSLNKQQEFTLASVTDLTSSSSSPSSSPVVATFSCVNEVKELRFQESESSDGFSFDLSSTQLFKLGPLLFICVSDLSGSAKEKSSFSRGVVIKFKDDKDSKEFSDSFEECKKDSVKQGSSFLNGTVVSANKSKFDDKIEAASAKMYFHYYGQLLHQQNMLQDYVRTGTYHAAVMENRSDFSGRVVVDVGAGSGILSLFAALAGAKHVYAVEASEMAEYARKLIAGNPLLAERITVIKGKIEDIELPEKADVLISEPMGTLLVNERMLETYVIARDRFLSPNGKMFPTVGRIHMAPFADEFLFVEMANKALFWQQQNYYGVDLTPLYVSAHQGYFSQPVVDAFDPRLLVAPSMFHMIDFTKMTEEQFYEIDIPLKFTASVCTRVHGLACWFDVLFDGSTVQRWFTTAPGAPTTHWYQIRCVLSQPIHVMAGQEITGRLHLVAHSAQSYTINLTLSAKMWGPGANQGGILQTSSCKLDLKEPYYRMSQPQVYPTQEPPAQSQDIHIHSDDLEEVELLQQTANAQL >fgenesh2_kg.8__782__AT5G49030.1 pep chromosome:v.1.0:8:12448347:12473641:-1 gene:fgenesh2_kg.8__782__AT5G49030.1 transcript:fgenesh2_kg.8__782__AT5G49030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFKSFAGNPREAAAMAMVQSSSYRVLSGRSCSNLRRNTPLDSFLAKGRSPVKAFSFLYVSGYSTEPNNEFGHSSKRRSRGPVMAAKKASEGEKQEDGKYKQTVDLPKTGFGMRANSLTREPELQKLWDENQVFKRVSDNNNGGSFILHDGPPYANGDLHMGHALNKILKDIINRYKLLQNYKVQYVPGWDCHGLPIELKVLQSLDQEVRKELTPLKLRAKAAKFAKATVKTQMESFKRFGVWADWNNPYLTLDPEYEAAQIEVFGQMALKGYIYRGRKPVHWSPSSRTALAEAELEYPEGHISKSIYAIFKVVGGAKTSLLDEFVPNICLAVWTTTPWTMPANAAVAVNAKLQYSVVEVQSFSEDESAVTGNKKKMPGKVLKNQQKLFVIVATDLVPALEAKWGVKLIISKTFLGSDLENCRYTHPIDNRDCPVVIGGDYITTESGTGLVHTAPGHGQEDYATGLKYGLPLISPVDDEGKFTEEAGRFRGLSVLGEGNSAVVSYLDENMSLVMEESYAHKYPYDWRTKKPTIFRATEQWFASVEGFRTATMDAINNVKWVPDQAVNRISAMTSSRSDWCISRQRTWGVPIPAFYHVKTKEPLMNEETINHVKSVISQKGSDAWWYMSVEDLLPEKYRDKAADYEKGTDTMDVWFDSGSSWAGVLGKREGLSFPADVYLEGTDQHRGWFQSSLLTSIATQGKAPYSAVITHGFVLDEKGMKMSKSLGNVVDPHLVIEGGKNSKDAPAYGADVMRLWVSSVDYTGDVLIGPQILRQMSDIYRKLRGTLRYLLGNLHDWRVDNDVPYEDLPIIDQHALFQLENVVKNIHECYENYQFFKIFQIIQRFTIVDLSNFYFDIAKDRLYTGGTSSFTRRSCQTVLSTHLLSILRVIAPIVPHLAEDVWQNLPFEYRNEDGSAAKFVFELKWPTVNEQWLSFPAEDVLFWQRLLRGPRVNKVLELARNDKMIGSSLEAKVYLYTADAGMATKLLEMSEAKNEADTLQRIFITSQVEVLPSMEKEMVSSVQHTGEYVEGDKKVWIGVSRAEGSKCERCWNYSGQVGSFSNHPTLCGRCFNVIVANPPEPAFSHSLIHFCFM >fgenesh2_kg.8__785__AT3G49620.1 pep chromosome:v.1.0:8:12492298:12497611:-1 gene:fgenesh2_kg.8__785__AT3G49620.1 transcript:fgenesh2_kg.8__785__AT3G49620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTADFKSLLPVIDISPLLAKCDDFDMAKDAGVAEVVKKLDRACRDVGFFYVVGHGISDDLIKKVREVTHQFFELPYEEKLKIKITPAGGYRGYQRIGVNFTSGKQDMHEAIDCYREFKQGRYGDIGKVLEGSNHWPGNPQEYKDLMEEYIKLCTDLSRNILRGISLALGGSPYEFEGKMLSDPFWVMRIIGYPGVNQENVIGCGAHTDYGLLTLINQDDDKTALQVKNVDGDWIPAIPIPGSFICNIGDMLTILSNGVYQSTLHKVINNSPKYRVCVAFFYETNFEAEVEPLDIFKEKYPRKETSQVAKRVVYGQHLINKVLTTFANLVENS >fgenesh2_kg.8__786__AT5G49060.1 pep chromosome:v.1.0:8:12531560:12533425:1 gene:fgenesh2_kg.8__786__AT5G49060.1 transcript:fgenesh2_kg.8__786__AT5G49060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MN61] MDGNKDDASRCLRIAEDAIASGDKERALKFIKMAKRLNPSLSVDELIAACDNLDSISRNSSVSEKLKTVDGDDDDKLETGKMKYTEENVELVRNIKRNNDYYAILGLEKNCSVDEIRKAYRKLSLKVHPDKNKAPGSEEAFKKVSKAFTCLSDGNSRSQYDQVGIVDEFDHVQRRSRRPRRTYNTRNDFFDDEFDPDEIFRAFFGQQRDMFRDSRAYRSRQARNQFREEEPNVAGPSCLTIIQILPFFLLLLLAYLPFSEPDYSLHKNQSYQILKMTQNMEISFYVRSASAFDEKFPLGSSARANLEGNVIKEYRHFLFQSCRIELQKRRWNKKIPTPHCNELHDRGFVDRQIPI >fgenesh2_kg.8__787__AT5G49070.1 pep chromosome:v.1.0:8:12533770:12535149:-1 gene:fgenesh2_kg.8__787__AT5G49070.1 transcript:fgenesh2_kg.8__787__AT5G49070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7MN62] MNQTIHRVSGSELTTLLSSGGSVFEIFAALLVLHLAYQRIRASIKVYLLDFTCYRAPDSNRVPMSTLIETIYLDDKLDQESIDFQARILERSWLSNQTSIPPSLMEIPLKKSLSSVKIETMTTIFTSVEDLLKKNKLSPRSIDILITNCSLHSPSPSLSAMVINKFHMRSNIKSFNLSGMGCAAGILSVGLANDLLKVHQGSLALIVSTEALNTHWYIGKDRSMLLTNCLFRMGAAAVLMSSNDHDRDNAKYELLHVVRKNKAKDDQAYRCIYQDIDSDEKQGVSITKDVISVAGDMLKMNLTSLGPLVLPYLEQFQYVIRQILCKKLKIYESSSSYTPNFKTAFEHFCIHTGGRAVIQAMEMNLNLTKVDIEPSKMTLHRFGNTSSSSIWYALSYLEAKRRMKKGDRVLQIAFGSGFKCNSAVWRCIREVEPNTENKWLDFIDSYPVDVPDSTNIRTG >fgenesh2_kg.8__78__AT1G01130.1 pep chromosome:v.1.0:8:384582:384982:1 gene:fgenesh2_kg.8__78__AT1G01130.1 transcript:fgenesh2_kg.8__78__AT1G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MPX0] MEKRSDTESSEILGDWDLSPPPVNPEERIVLVSVPTSPESEDARSNQPKEIETRVSDKEIASTSTVAGKAAAARRVLPPWMEPSYEWGGGKWKEDGRKKKKEKEKEKEKEEIIPFKEIIEALLRNSGDKVEED >fgenesh2_kg.8__792__AT5G49120.1 pep chromosome:v.1.0:8:12693095:12693832:-1 gene:fgenesh2_kg.8__792__AT5G49120.1 transcript:fgenesh2_kg.8__792__AT5G49120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSIVLEMTNNNNNTLNSDGGLIISPKVVNKANVIVTTTVTTDTTNLRRCYQDSGFLEHCFLCRRKLLPAKDIYMYKGDRAFCSVECRSKQMIMDEEESLRREYCSLMDVKKKKSESPATAPSRYRRDPRNLAGGFAY >fgenesh2_kg.8__794__AT5G49170.1 pep chromosome:v.1.0:8:12761292:12762103:-1 gene:fgenesh2_kg.8__794__AT5G49170.1 transcript:fgenesh2_kg.8__794__AT5G49170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQNKSPIFPMLQSQHFSDYGFDPQIHYFQVLEEAKKHKSSSIDTFQFKLQKPISKDDLIRTTLHKKNKNKKRWLWCKNALFFLKWRNWPVFAVVGRCSGENDGDNEFDDSSDVHIARARNFRAGSISGPVYVTESWSGSTTPYRPMTTRSAVQYLSLRELTMERQQRITTTSSMSGPIYLVT >fgenesh2_kg.8__795__AT5G49180.1 pep chromosome:v.1.0:8:12782328:12784637:-1 gene:fgenesh2_kg.8__795__AT5G49180.1 transcript:fgenesh2_kg.8__795__AT5G49180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7MN89] MGVDSELKKKKCIIAGVITALLVIMVVAVAIITSRNTSHNSDKIAPVQIKTTTNAVEAVCAPTDYKETCVNSLMKASPDSTQPLDLIKLGFNVTIRSIKDGIKKASAELKAKAANDNETKGALELCEKLMNDATDDLKKCLDNFDGFSITQIEDFVEDLRVWLSGSIAYQQTCMDTFEEIKSNLSQDMHKIFKTSRELTSNGLAMITNISNLLGEFNITGLTGDLGNYARKLLSTEDGIPSWVGPNTRQLMATKGGVKANVVVAQDGSGQYKTINEALNIVPKANQKPFVIYIKQGVYNEKVDVTKKMTHVTFIGDGPTKTKITGSLNFYIGKVKTYHTATVAINGDHFTAKNIGFENTAGPEGHQAVALRVSGDYAVFYNCQIDGYQDTLYVHSHRQFFRDCTISGTVDFIFGDAKVVLQNCNIVVRKPMKGQSCMITAQGRTDVRESSGLVLQNCHITGEPAYLPVKSINKAYLGRPWKEFSRTIIMGTTIDNIIDPAGWLPWNGDFALNTLYYAEYENNGPGSDQAQRVKWPGIKKISPKQARRFTPARFLRGNLWIPPNRVPYMGNLQ >fgenesh2_kg.8__796__AT5G49190.1 pep chromosome:v.1.0:8:12784912:12788825:-1 gene:fgenesh2_kg.8__796__AT5G49190.1 transcript:fgenesh2_kg.8__796__AT5G49190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:D7MNN8] MPTGRFETMREWVHDAISAQRNELLSLFSRYVAQGKGILQSHQLIDEFLKTVKVDGTTEDLNKSPFMKVLQSAEEAIVLPPFVALAIRPRPGVREYVRVNVYELSVDHLTVSEYLRFKEELVNGHANGDYLLELDFEPFNATLPRPTRSSSIGNGVQFLNRHLSSIMFRNKESMEPLLEFLRTHKHDGRSMMLNDRIQNIPILQGALARAEEFLSKLPLATPYSEFEFELQGMGFERGWGDTAQKVSEMVHLLLDILQAPDPSVLETFLGRIPMVFNVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMLLRIQKQGLEVIPKILIVTRLIPEAKGTTCNQRLEKVSGTEHAHILRIPFRTEKGILRKWISRFDVWPYLETFAEDASNEISAELQGVPNLIIGNYSDGNLVASLLASKLGVMQCNIAHALEKTKYPESDIYWRNHEDKYHFSSQFTADLIAMNNADFIITSTYQEIAGSKNNVGQYESHTAFTMPGLYRVVHGIDVFDPKFNIVSPGADMTIYFPYSDKERRLTALHESIEELLFSAEQNDEHVGLLSDQSKPIIFSMARLDRVKNLTGLVECYAKNSKLRELANLVIVGGYIDVNQSRDREEMAEIQKMHSLIEQYDLHGQFRWIAAQMNRARNGELYRYIADTKGVFVQPAFYEAFGLTVVESMTCGLPTFATCHGGPAEIIENGVSGFHIDPYHPDQVAATLVSFFETCNTNPNHWVKISEGGLKRIYERYTWKKYSERLLTLAGVYAFWKHVSKLERRETRRYLEMFYSLKFRDLANSIPLATDEN >fgenesh2_kg.8__797__AT1G61566.1 pep chromosome:v.1.0:8:12793788:12794230:-1 gene:fgenesh2_kg.8__797__AT1G61566.1 transcript:fgenesh2_kg.8__797__AT1G61566.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MNP0] MGMSKSIKVILSLALVLFLALATTKVEATRYISYRGINHGDHAIHCDKAHPNTCKKQEANPYRRGCGTLE >fgenesh2_kg.8__798__AT1G36925.1 pep chromosome:v.1.0:8:12802532:12803368:1 gene:fgenesh2_kg.8__798__AT1G36925.1 transcript:fgenesh2_kg.8__798__AT1G36925.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MNP1] MAIRSKKKNNQTENQDTENIPPNSEANPISPMQPNRVSPNSLSDITNECESPRMKRMRIIKETKDKRKKGESSPSTNLDQNLDETTQNGKSNGNGFPCTAASRRKTNVHKEPYMQESRHDNHKEAPSKDHASSSRSHNSLYNSEEYDDNGDAIYNCEHCGA >fgenesh2_kg.8__79__AT5G47160.1 pep chromosome:v.1.0:8:385306:386357:-1 gene:fgenesh2_kg.8__79__AT5G47160.1 transcript:fgenesh2_kg.8__79__AT5G47160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MPX1] ESFDSIMKKAGFNVAGNGNVGNEKFPPSRRKVPLLCESKVKPLSVEEGIKLMGSESQRRRRFGKPLVSKAVQKRRCSPAKKKLSNATALRVRYSPGKKKLSYAGVLSDIQRNRLSKDLSPREKVQEVLRVFKLVFDELDRNKAARRGESETAKSRIDYQTRNILREMGMQVNCHKRIGPVPGIEVGDEIQFKAALNVIGLHFDIMGGIDYMKKGNKEVATSIVSSEGNDYGDRFINDVMIYCGQGGNVKSKDQKAIKDQKLVGGNLALANSIKEKTPVRVIRGERRLDHRGKDYVYDGLYMVEKYRKERGPQGNILFKFELRRKAGQPYVDF >fgenesh2_kg.8__800__AT5G49215.2 pep chromosome:v.1.0:8:12886952:12889677:1 gene:fgenesh2_kg.8__800__AT5G49215.2 transcript:fgenesh2_kg.8__800__AT5G49215.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase [Source:UniProtKB/TrEMBL;Acc:D7MNQ0] MPVALVLLLTLSSVILINGRSYGVGNVCDLGRRPNERPHSVKITDFGAVGDGETLNTLAFQNAVFYLKSFADKGGAQLYVPPGRWLTGSFNLTSHLTLFLEKDAVILASQDPSHWQVADALPSYGRGIDLPGKRYMSLINGDTLHDVVVTGDNGTIDGQGLVWWDRFNSHSLEYSRPHLVEFVSSGNVIVSNLTFLNAPAYTIHSVYCSNLYIHRVTANTSPESPYTIGIVPDSSENVCIQDSSINMGYDAISLKSGWDEYGISYARPTANVQIKNVYLGAASGSSISFGSEMSGGISDVEVRDAHIHNSLSGISFRTTNGRGGYIKEIDISNIHMVNVGTAFLANGSFGTHPDSGFDANAFPLVSHIRLHDIAGENISTAGYFFGTEESPFTSILLSNISLSIKNSDSPADFWQCSYVDGSSEFVVPEPCLELKSFDSSYGRAEAL >fgenesh2_kg.8__801__AT5G49220.1 pep chromosome:v.1.0:8:12891710:12894046:1 gene:fgenesh2_kg.8__801__AT5G49220.1 transcript:fgenesh2_kg.8__801__AT5G49220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGGVSIARTAIRGENRFYNPPPMRRMQQEAQLQQQIREKQRRDDEEEERRKAATVVAMPPPRTRKGLGVTESKNRVLVSGSEVCAGSSDSSSGSGRVLSDGSNLDRFLEHTTPVVPARLFPMRNRWELKTRESDCHTYFVLEDLWESFAEWSAYGAGVPLEMHPLEMHGSDSTVQYYVPYLSGIQLYVDPSKKPRNPVEDNEGSSEGSSNSKVQKLPNHDKNLPNAVDLSVGELNRISLRDQSIAGSLSSGETEVSNPQGRLLFEYLEYEPPFGREPLANKVSDLASRFPELMTYRSCDLLPSSWVSVSWYPIYRIPVGPTLQNLDACFLTFHSLSTAPPQSAMGCLDSEPSTKLPLPTFGLASYKLKVSVWNQNRTQESQKITSLLQAADKWLKRLQVDHPDYRFFTSNSPQMR >fgenesh2_kg.8__804__AT5G49270.1 pep chromosome:v.1.0:8:12913159:12915884:-1 gene:fgenesh2_kg.8__804__AT5G49270.1 transcript:fgenesh2_kg.8__804__AT5G49270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLPILFGVLLLLTVTPPSMSQLPPTIMAPAPAPTSPSDLCNGIFLSYEFILGRKIPPNDTTDQPYRFESVLTVLNNGREELKEWRVFVGFQHNEILISATDALIVNGTELPALVGNGTILGGYPVSDLKTAIQTAGDLKQMTAEIELVGTQFMVAPPAIPLPSNISLVNDGWLCPEPTLQSKRELTTCCMRDTNITVNTTITTKFLPRQPGDLTIMYDVIRAYDQNYLTEVTMENHNPLGRLDHWELSFDWMRDEFIQKMQGAYPTVVDATKCIFGPQSLIYTGLDFADVLTCERRPIIIDLPPTKKDDTTLGNIPSCCRNGTILPRIMDPSKSVSVFTMQVAKMPPDFNRSALFPPQNWRIKGTLNPDYSCGPPVRVSPTFYPDPSGMPTNKSSFASWQIVCNITQAKTEIPKCCVSFSAFFNDSIIPCNTCACGCVSETRRTCSAESPSLLIPPDALLLPFENRTALTLAWNALKHKTLPNPMPCGDNCGVSINWHMASDYRGGWTVRITIFNWGEIDFPNWFLAVQMKKPALLGFEKAYSFNASLLSVDGGVNNTIFMEGLPGLDYLVAEADEKDPKKKNIRIPGKQQSVIQFSKKLTPGINVAERDGFPAKVIFNGEECLLPDLLPMASGGRRNGAITVLSFITFYVAAFIIRL >fgenesh2_kg.8__805__AT5G49280.1 pep chromosome:v.1.0:8:12918183:12919070:-1 gene:fgenesh2_kg.8__805__AT5G49280.1 transcript:fgenesh2_kg.8__805__AT5G49280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MNQ7] METNHLYTFSTLVVILLMSVTPTVTSKDEVVSCTMCSSCDNPCSPVQSSPPPPSPPPPSTPTTACPPPPSPPRSGGGSSYYYPPPSQSGGGSKYPPPYGGGGQGYYYPPPYSGNYPTPPPPNPIVPYFPFYYHTPPPGSGSDRFMSSCSVIFTFFAVFLCL >fgenesh2_kg.8__809__AT5G49350.1 pep chromosome:v.1.0:8:12985701:12986996:-1 gene:fgenesh2_kg.8__809__AT5G49350.1 transcript:fgenesh2_kg.8__809__AT5G49350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFIRELRISVTLVVFFFLLVSFQGNTYSEEDDSEAGGAGGGVGGGGTGFGGGGTGVGGGGTGGGTGLNGGGTGFGGGGTGGLGGGGGNGGAGGLGGGGGNGGFGGGGAGGLGGGGGNGGFGGGGGGLGGGGVNGGFGGGGGGGGLGGEGPPEIVAKALECLNEKHIYRECEETWRLTLNGDLNIPVASTEEFCEGPCFSETHLALNCIEDIIHHYRFFNRATIHDIRETLKSGCSYGPERGDFNVLEHIEAEEENRSEKIKSKSGPLLGTVLFTIALLL >fgenesh2_kg.8__813__AT5G49400.1 pep chromosome:v.1.0:8:13024641:13053733:1 gene:fgenesh2_kg.8__813__AT5G49400.1 transcript:fgenesh2_kg.8__813__AT5G49400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKDEKAQVAADKIKAATLTAAKGLSRTQAERAAAAAARNVNAYGQKEEGPSRWQEKRELKRQMYLMSTEKAVRLGERKDKTMSVSAVGSSASAASLCQKCFQTGHWTYECKNERVYISRPSRTQQLKNPKLRMKPSIDDLDGNDDDDDEEKLDATNGKAEVERRSKKSKRKHRSKSDSGSDSEASVFETDSDGSSGESSSEYSSSSDSEDERRRKRKAKKSKKKQKQSKERRRRYSSSSSESSESESASDSDSDEDRSRRKKKSKRHSNKRR >fgenesh2_kg.8__815__AT5G49430.1 pep chromosome:v.1.0:8:13057119:13065234:-1 gene:fgenesh2_kg.8__815__AT5G49430.1 transcript:fgenesh2_kg.8__815__AT5G49430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7MNS0] MKPLNFSRKLPGNVQIPDPDIAQVVAPNIDLDLREVYFLILHLLSSGPCQKTYALLRHELLEHELLPRRYHAWYSRSGLSSGDENDDGNSFPLNYTELAKRYSHVKKDHLVELLKQLVFVSNRPNPSRGIGDGNKMIGAGVPTLLGTGSFSLLSSDKEIVGSDLKAPPIGMRWPHMHADQVRGISLREIGGGFGRHHRAPSIRAACYVIAKPSSMVQKMQNIKRLRGHRNAVYCAILDRSGRYVITGSDDRLVKVWSMDTAYCLASCRGHEGDITDLAVSSNNIFIASASNDCVIRVWRLPDGLPVSVLRGHTGAVTAIAFSPRPGSPYQLLSSSDDGTCRIWDARGAQFAPRIYVPRPPSPDGKNSGPSSSNAQQSHQIFCCAFNANGSVFVTGSSDTLARVYSVWSANKTNTDDPEQPNHEMDVLAGHENDVNYVQFSGCAAGSKFSVTDYSKDENVPKFKNSWFCHDNIVTCSRDGSAIIWIPRSRRSHGKSCRWTRAYHLKVPPPPMPPQPPRGGPRQRILPTPRGVNMIAWSLDNRFVLAAIMDCRICVWNASDGSLVHSLTGHTASTYVMDVHPFNPRIAMSAGYDGKTIVWDIWEGIPIQIYEISHYKLVDGKFSPDGTSIILSDDVGQLYILSTGQGDSQKDAKYDQFFLGDYRPLIQDIYGNVLDQESQLQPYRRNMEDPLCDSGMIPYEEPYQTTFQKRRLGALGKEWRPSSLKLAVGPDITLDQDYQMPPLADLDLAEPLPEFIDVMEWEPEVDILSDENDSEYNVPEEYSSGKEQECLNSSTSGESGSSSGESDEDDDHQNSLRRSKRKKDKKEAGIMTSSGRRVKKRNFDELEGAPSNKKRTRKSRSGRKESKRKSSKSKSSRPRRAAARNALSWFSKITGTSKDAEEEEVSELSDSSESESTTQDSGTGDSELEVALLNGHGKQSKGKNILVCDSDDGAQQCDIRETHPTERRRLVLRFPVRNSDKLTLLENLPGSSSDVPTPTLGNGCAEDSRIPGNQFEGLDVSKVKWGMVKARTTKRIRGEAISSHELMGSDPEGKENNLKEDANHHGNGVTAPSCLELKTDIDGMAVDTDTVISSGLPNGGKRYPELDGSPSRLADDRASNGSQDVTGHRHNLIDSLPPISTTLRIRSKRVSRAPDTSLRQEGKPLSIDQETGGSDALNDGFEDAKCDLALDCQKDGVVGTEISLRNDCVLELNPQISDALSIANDVPVSHSHPKRMFDFVYRRKSRKYNNNLDRDAAITKEISPGSCSQDQGSGSKSHEGASNGFHGTQLNGLEKSEGSLTHIQDKISDSRGNQNSQEELRSASGATLRLRSTRNRKSTYPFSETKPIETKKPQQLIENVSWLTLSIHEEGSRYIPQMGDEVAYLRQGHQEYLNFSSLREVAPWTSIKGGNIKAVEICKVESLEYATLPGSGDSCCKMILKVIDPNSEVFNKAFKLTLPEVVTFPDFLVERSRYEAAIQRNWTCRDKCKVWWRDEGEEDGSWWEGRILAVKPKSPDFPDSPWERYTVKYKSDPAETHLHSPWELFDADTKWEPPHIDDEQRNRLLSALTKLETSDKRTQDSYGLRKLNQTVGNSSYTNRFPVPLSLEVIRSRLENNYYRSVEALRHDVAVMLSNAETFFGRNKSVAAKISNLSNWFDRMLPSS >fgenesh2_kg.8__816__AT5G49448.1 pep chromosome:v.1.0:8:13092137:13092889:1 gene:fgenesh2_kg.8__816__AT5G49448.1 transcript:fgenesh2_kg.8__816__AT5G49448.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7MNS4] MANAERTSSGSDIDEKKRKRKLSNRESARRSRLKKQKLMEDTIHEISSLERRIKENSERCRVVKQRLDSVESENAVLKSEKIWLSSYVSDLENMIATTSLKQTQNGGGGDCGDDQNANAEIAVGDCRRRPWKLSCDSLQPIASFKT >fgenesh2_kg.8__820__AT5G49470.2 pep chromosome:v.1.0:8:13107163:13112808:1 gene:fgenesh2_kg.8__820__AT5G49470.2 transcript:fgenesh2_kg.8__820__AT5G49470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MNS6] MEKTTPPAEELLKKIRELEESQEHLKREMSRLKVSAEMKQRSHSASPQRPVRRNSGDGTPMWRKSGAASFRHASPLRKESHAKVAGAGGGDGEGQSAGKFTDKQYLNILQSMAQAVHVFDLNGQIIFWNSMAERLYGFSAAEALGKDPIDILVDGQDASVAQNITRRCSSGESWTGEFPVKNKAGERFSVVTTMSPSYDDDGSLIGIICITNDSALFQDPRGSPAKTRGQEGETSFSRVTSSVASKLGLDSKEAVVSKLGLDSQQPIQVAIASKISDLVGNKVKSKMRAGDNNAANLEGGSGDSHQSDQGFFDAAFSDRREDAATSGADTPRGDFIQSPFGVFLRSDEKASSKPFRDSSDENDGNSVIPKTLTSKAEEWMVKKGLSWPWKGNEREGSEGRRSHSVWPWMQNEQHKEQAYQSNSNHSVKSESQACESIKASSNEPMGYWSSSVNVNSTSSSSSCGSTSSSVMNKVDMDSDCLDYEILWEDLTIGEQIGQGSCGTVYHGLWFGSDVAVKVFSKQEYSEEIITSFRQEVSLMKRLRHPNVLLFMGAVTSPQRLCIVTEFLPRFGLISLANSTRPFLLFKIRGQNSDSPLNLSNNICSGSLFRLLQRNTSKLDWRRRIHMASDIVSITHTRIFQLSALFLFLLASVLHIILFPSLIQPLYLFYNMLHIFLTYVFPQARGMNYLHHCTPPIIHRDLKSSNLLVDRNWTVKVADFGLSRIKHETYLTTKTGRGTPQWMAPEVLRNEAADEKSDIYSFGVILWELVTEKIPWENLNPMQVIGAVGFMNQRLEVPKNVDPQWISLMESCWHSEPQHRPSFQEIMEKLRELQRKYTIQFQAARAASIENSALKEK >fgenesh2_kg.8__821__AT5G49480.1 pep chromosome:v.1.0:8:13118602:13119310:1 gene:fgenesh2_kg.8__821__AT5G49480.1 transcript:fgenesh2_kg.8__821__AT5G49480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSGRIPIPITTTASSDFRPAFEIIDTDRDGKISSDDLRAFYAGITSGENNDETMIGTMISVADANKDGFVEFDEFEKVLETAPLLCRSGNGGDDGLMKDVFKVMDKDGDGRLSYGDLKSYMDSAGLAVSDDEIKAMIRLAGGDLNDGVSFDGLLKIFGC >fgenesh2_kg.8__822__AT3G44150.1 pep chromosome:v.1.0:8:13123247:13124571:1 gene:fgenesh2_kg.8__822__AT3G44150.1 transcript:fgenesh2_kg.8__822__AT3G44150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MNT0] FHKTVAIGGDGGGSNTNNIYSPCSDTRIQRSDGFTFGIAFSSRPSFFLNQTVLLSPCDHRLSLAAMNSQSLSSPSTPPPSSRDNYGGYMVAFAGRKYAARLIPAFIANNTFIVTSFTLIHHEILFMVLLCFKICIGRETGVRRARGIKILNKQDCAIRTPTCKGRGGSVDCSLGIQLAFFGTDKHLAVLNSWYEVENLKQYSLYGLYSNLKSSLTNQFNNFF >fgenesh2_kg.8__826__AT5G49510.2 pep chromosome:v.1.0:8:13128769:13131087:1 gene:fgenesh2_kg.8__826__AT5G49510.2 transcript:fgenesh2_kg.8__826__AT5G49510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin subunit 3 [Source:UniProtKB/TrEMBL;Acc:D7MNT1] MSSSSPSGSGSDLTERRGIPAAKFIQDVETYLSQSGLDPNSALAFHQERLQQYKVVEMKLLAQQRDLQAKIPDIEKCLEVVATLEARKGTGEALLADFEVSEGIYSRACIEDTDSVCLWLGANVMLEYSCEEASALLKNNLENAKASLEVLVADLQFLRDQVTVTQVTIARVYNWDVHQRRVKQVTPTAIAAADS >fgenesh2_kg.8__828__AT5G49530.1 pep chromosome:v.1.0:8:13163610:13167303:1 gene:fgenesh2_kg.8__828__AT5G49530.1 transcript:fgenesh2_kg.8__828__AT5G49530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDEDKPKEVAKTRRFAPGRAGKSKPKPKPEPPQSQTESVSKSEHDVDAKGAGPKVETEVYNGSVKMEIDSKVDKEPEFMDTELIEEDEQLPLQEEEEEEEDVVVREIDVFFNPSFDANTKLYVLQYPLRPSWRPYEMDERCEEVRVNTSTSQVEIDLSMDVHSKNYDSNFGLNMTKQTLKTTWKQPPTLDYAVGVLSGDKLHLNPVHAVAQLRPSMQYLSSNGKKKQEESTEESVGTSKKQNKGVQASTDQKPINEETWVALKYHGLQSEYCSTYLNGMMANGNSSLDFNMSPDVYINELCRGGSSRNSELKETSKRVLLSLPLEERVKKLLCEGSPLLRYNVLKHYAPEFSDEDFLGALQEDGWLVQGLWTPKTKLLGLDENCRSIREYVLSLFSQNTTIKYSEVEATGFQREKMIIMKSMLTEFAKERPLLNDWKFKEPTDVSFIKSYPEIVKEQDILWTNRKKIIESILTTRKSRADNRRNVVGKNSSVTVKPEVPTTLSDKGGSSRNTIPRVVGQKMPEELRWALPKALKKVFQTHKVCRYETICQGLRDLAVSTSNNPKADSGMAVNVALAVDAYQDELKDVISEVAANIHGSYVSISSPDHPEYDSLRGVVISLFCGTGPGAKLMKAEVFAAGRNELNREITNNEYIKVMHDLCETNSSGWVLQKAR >fgenesh2_kg.8__82__AT5G47120.1 pep chromosome:v.1.0:8:409067:410831:-1 gene:fgenesh2_kg.8__82__AT5G47120.1 transcript:fgenesh2_kg.8__82__AT5G47120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATBI-1 [Source:UniProtKB/TrEMBL;Acc:D7MPX4] MDAFSSFFDSQPGSRSWSYDSLKNFRQISPAVQNHLKRVYLTLCCALVASAFGAYLHVLWNIGGILTTIGCVGTMIWLLSCPPYEQQKRLSLLFVSAVLEGASVGPLIKVAIDVDPSILITAFVGTAIAFVCFSAAAMLARRREYLYLGGLLSSGLSMLMWLQFASSIFGGSASIFKFELYFGLLIFVGYMVVDTQEIIEKAHLGDMDYVKHSLTLFTDFVAVFVRILIIMLKNSADKEEKKKKRRN >fgenesh2_kg.8__830__AT5G49550.1 pep chromosome:v.1.0:8:13170068:13171754:-1 gene:fgenesh2_kg.8__830__AT5G49550.1 transcript:fgenesh2_kg.8__830__AT5G49550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRDDLAESLQNLFTSVSSMVKSELQGTNNHLDLLEKMNQRVASEYDDMGDVAAGLRVFAEQMKSKSGGLDEFVGQMDAIEKQVSEFEAVISVLDRYVSVLESKIRAEYRLPHHHRRSNDSVCTD >fgenesh2_kg.8__831__AT5G49555.1 pep chromosome:v.1.0:8:13174509:13177966:1 gene:fgenesh2_kg.8__831__AT5G49555.1 transcript:fgenesh2_kg.8__831__AT5G49555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSFSTLPKKKWDAVVIGGGHNGLTAAAYLARGGLSVAVLERRHVIGGAAVTEEIVPGFKFSRCSYLQSLLRPCIIRELELGRHGLKLLKRSPSSFTPCLDGRYLLLGPDQDLTHSEISKFSKHDAHAYPRYEKQLERFCGFMDPLLDATPPESLQGASSFNDKLSNKMYKSAFWARCLRQAVSLGHKDMVYDFMDLLLAPASKVLNNWFESDVLKATLATDAVIGSTASVHTPGSGYVLLHHVMGETDGEKGIWSYVEGGMGSVSMAIANAAKQAGAEIFTNAEVSEILTEDSSIVKGVLLADGTRVESSAILSNATPYKTYVELVPTNVLPENFIGAIKNSDYSSATTKINLAVDKLPQFQCCNTNHSSPGPEHFGTIHIGAESMDEVHSACHDSENGLPSRRPVIEMTIPSTLDNTISPPGKHVINLFIQYTPYKPSDGNWEDPTYREAFAQRCFKLIDEYAPGFSSSIISYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFLMRPVKGWSNYRSPLKGLYLCGSGVHPGGGVMGAPGRNAAHVVLQDLKKI >fgenesh2_kg.8__832__AT5G49560.1 pep chromosome:v.1.0:8:13178028:13178840:1 gene:fgenesh2_kg.8__832__AT5G49560.1 transcript:fgenesh2_kg.8__832__AT5G49560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFPLRDDDEENDTYEAKMLLIGDGESLPPIPLRDGAPELHKYNIRSIESTLVIRELTSQGLSFQLWPAASTLVTLLDNYRRDPSNSPLTATLSSLKPSPLNILELGSGTGVVGIAAAITLSANVTVTDLPHVLDNLNFNAEANAETVERFGGKVDVAPLRWGEADDVEEVLGRNVDLILASDVVYHDHLYEPLLKTLRLMQLEGKRLVFLMAHLRRWKKESVFFKKARKLFDVDVIHSDVPQQGSRIGVVVYRFTTKQPNQNGRIVSC >fgenesh2_kg.8__833__AT5G49570.1 pep chromosome:v.1.0:8:13182591:13186659:-1 gene:fgenesh2_kg.8__833__AT5G49570.1 transcript:fgenesh2_kg.8__833__AT5G49570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKFVVHHEDSSFDVDYDTEDGLEVLRFQIFSLTLVPPEEQKIVAEDDNRLVSDESDLASISERLRLVSIGGDSVENSDAEMVKSDEELARMLQAEEDAMMFQQFVAARDSGGFEERIRPYVSQVLMYEDPVRQEAARKTVPKDELEEKALVSLAKEGNFEPSKKERDYAFLLQLLFWFKRSFRWVNEPPCDFCGNKTIGQGMGNPLTSELAYGANRVEIYRCTMCPTITRFPRYNDPLKLVETKKGRCGEWANCFTLYCRSFGYDSRLIMDFTDHVWTECYSHSLKRWIHLDPCEGVYDKPMLYEKGWNKKLNYVIAISKDGVCDVTKRYTKKWHEVLSRRILTTESSLQDGLRTLTRERRSSLMYESLSELEFRDRKEQEELERNLHSPDDASVSLPGRQSGDREWRIMRSEFGSDENSSVSSSSCPVRKCVDDHVTNIYDSFLPILTQFVEDGLPVARAIEVLKMIKQVLVDLKNTPYKTRKARLTLDSDSSSSFPEQFLPALGDLLLALSLKSERDTNDKSVTLCLDGKPTKTAIALPVALDALRELIADLSKCQNLNKDSLSFPLLKQNRVYSGSVLASGEELPSGIATAAFDGIQESKWEEPNGAKGCWIVYKTLYNQMHQLIAYEIMSANDAPERDPKDWVLEGSNDGGSTWCVLDKQTSQVFEERFQRKSYKITTPGFQANLFRFRFLSVRDVNSTSRLQLGSIDLYRSHQ >fgenesh2_kg.8__837__AT5G49620.1 pep chromosome:v.1.0:8:13264155:13266137:-1 gene:fgenesh2_kg.8__837__AT5G49620.1 transcript:fgenesh2_kg.8__837__AT5G49620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB78 [Source:UniProtKB/TrEMBL;Acc:D7MPC7] MDDKARSLNINKNMEDFANVEEEIYLRRGPWTIEEDFELINYIATHGEGRWNSLARCAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDTMKYLWMPRLVERIQAASTRFVSTSSCVTNSSDQFVVNNNNTNNMEHLGFISNPNGYITPDNSNVAVSPVSDLSDCHMSSEVGKIGQDQNLVDPQISSQNYLDNNCGLLNGVTKMQDQSDLNWFENVNGMIPNYSDSFWNIGNDEDFWLLQQHQQVLDNGSF >fgenesh2_kg.8__838__AT5G49630.1 pep chromosome:v.1.0:8:13269422:13275202:-1 gene:fgenesh2_kg.8__838__AT5G49630.1 transcript:fgenesh2_kg.8__838__AT5G49630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKSMSVEQSYTDHEIGDINKNFDDDGREKRSGTWMTGSAHIITAVIGSGVLSLAWAIAQLGWVAGPAVLMAFSFITYFTSTMLADCYRSPDPVTGKRNYTYMEVVRSYLGGRKVQLCGLAQYGNLIGITIGYTITASISMVAVKRSNCFHKNGHNVKCATSNTPFMIVFAIIQIILSQIPNFHNLSWLSILAAVMSFCYASIGVGLSIAKAAGGGEHVRTTLTGVTVGIDVSGSEKVWRTFQAIGDIAFAYAYSTVLIEIQATTLIFLSNIQIFVRSYKLIIFCKTFDTLKAGPPSENKAMKRASLVGVSTTTFFYMLCGCVGYAAFGNDAPGNFLTGFGFYEPFWLIDFANVCIAVHLVGAYQVFCQPIFQFVESQSAKRWPDNKFITGEYKIHVPCCGEFSINFLRLVWRTSYVVVTAVVAMIFPFFNDFLGLIGAASFWPLTVYFPIEMHIAQKKIPKFSFTWTWLKILSWACFVVSIVAAAGSVQGLITSLKDFKPFQAP >fgenesh2_kg.8__839__AT5G49650.1 pep chromosome:v.1.0:8:13288072:13291020:1 gene:fgenesh2_kg.8__839__AT5G49650.1 transcript:fgenesh2_kg.8__839__AT5G49650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose kinase [Source:UniProtKB/TrEMBL;Acc:D7MPD2] MADLSLPPDSIFLGFDSSTQSLKATVLDSNLNIVKTELVHFDSDLPHYKTKDGVYRDTTVNGRIVSPTLMWVEAFDLILQKLSNANFDFGKVIAVSGSGQQHGSVYWSKGSSEVLKSLDSKRCLKDQLENAFSVKESPIWMDSSTTLQCKEIENAVGGAMELSQITGSRAYERFTGPQIRKLFTTQGDTYKSTERISLVSSFMASLLIGDYASIDETDAAGMNLMDIKKRCWSKAALEATATGLEEKLGKLAPAYATAGSISQYFVQRFGFEKNCVVVQWSGDNPNSLAGLTLSTPGDLAISLGTSDTARLLILVFGITKELQPSLEGHVLPNPVDPESYMVMLVYKNASLTREEIRDRCAEGSWDVFNKYLQQTQPLNNGKLGFYYTENEILPPLPVGSHRYILENFSGESLEGVKEREANEFDPPSEVRALIEGQFLSKRAHTERFGMPSPPIRIIATGGASANENILSLISAIFGCDVYTVQRPDSASLGAALRAAHGWLCNKKGSFVPISNLYEGKLETTSLNCKLKVKAGDANIASTYGLLMKKRMEIENKLVEKLGHF >fgenesh2_kg.8__841__AT5G49660.1 pep chromosome:v.1.0:8:13303802:13307285:-1 gene:fgenesh2_kg.8__841__AT5G49660.1 transcript:fgenesh2_kg.8__841__AT5G49660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKIFPFLVLFFFFCFNNNQSWGLMSSIQQPQFFKLMKNSLSGLSSWNVSDVGTYYCNFNGVRCDGQGLVTDLDLSGLYLSGIFPEGICSYLPNLRVLRLSHNHLNRSSSFLNTIPNCSLLQELNMSSVYLKGTLPDFSPMKSLRVIDMSWNHFTGSFPISIFNLTDLEYLNFNENPELDLWTLPDYVSKLTKLTHMLLMTCMLHGNIPRSIGNLTSLVDLELSGNFLSGEIPKEIGNLSNLRQLELYYNYHLTGSIPEEIGNLKNLTDIDISVSRLTGSIPDSICSLPKLRVLQLYNNSLTGEIPKSLGKSKTLKILSLYDNYLTGELPPNLGSSSPMIALDVSENRLSGPLPAHVCKSGKLLYFLVLQNQFTGSIPETYGSCKTLIRFRVASNHLVGFIPQGVMSLPHVSIIDLAYNSLSGPIPNAIGNAWNLSELFMQGNRISGFLPHEISHATNLVKLDLSNNQLSGPIPSEIGRLRKLNLLVLQGNHLDSSIPESLSNLKSLNVLDLSSNLLTGRIPEDLSELLPTSINFSSNRLSGPIPVSLIRGGLVESFSDNPNLCVPPTAGSSDLKFPMCQEPRGKKKLSSIWAILVSVFILVLGGIMFYLRQRMSKNRAVIEQDETLASSFFSYDVKSFHRISFDQREILEALVDKNIVGHGGSGTVYRVELKSGEVVAVKKLWSQSSKDSASEDKMHLNKELKTEVETLGSIRHKNIVKLFSYFSSLDCSLLVYEYMPNGNLWDALHKGFVHLEWRTRHQIAVGVAQGLAYLHHDLSPPIIHRDIKSTNILLDVNYQPKVADFGIAKVLQARGKDSTTTVMAGTYGYLAPEYAYSSKATIKCDVYSFGVVLMELITGKKPVDSCFGENKNIVNWVSTKIDTKEGLIETLDKSLSESSKADMINALRVAIRCTSRTPTIRPTMNEVVQLLIDAAPQGGPDMTSKSTTKIKDSIVSDHLTQTRL >fgenesh2_kg.8__847__AT5G49710.1 pep chromosome:v.1.0:8:13422894:13424810:1 gene:fgenesh2_kg.8__847__AT5G49710.1 transcript:fgenesh2_kg.8__847__AT5G49710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDSKNKGKRIYKDNIRVKRKTLQALLDDCQRALELLNLAELSSEEDEESTGERNGSQEEESNRGDPEADELYDLIKSRVECDDFLEKIESAQVSAPQHLAEDSGAWDVVSEDDLWDDETMAQREEDYVLVREEDIAEGIACFMATYLQSLKQTKDLTPEQLQKALSRMFSVKNRKGKLRKAWDGSKVAYNVASWSATVIGIYQNPVILRVASKAFWASCHVISKLV >fgenesh2_kg.8__848__AT5G49720.1 pep chromosome:v.1.0:8:13424857:13427756:-1 gene:fgenesh2_kg.8__848__AT5G49720.1 transcript:fgenesh2_kg.8__848__AT5G49720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7MPE5] MYGRDPWGGPLEINTADSATDDDRSRNLNDLDRAALSRPLDETQQSWLLGPTEQKKKKYVDLGCIIVSRQIFVWTVGTLVAAALLAGFITLIVKTVPRHHPKSPPPDNYTLALHKALKFFNAQKSGKLPKHNNVSWRGNSGLQDGKGETGSFYKDLVGGYYDAGDAIKFNFPMAYAMTMLSWSVIEYSAKYEAAGELTHVKELIKWGTDYFLKTFNSTADSIDDLVSQVGSGNTDDGNTDPNDHYCWMRPEDMDYKRPVTTCNGGCSDLAAEMAAALASASIVFKDNKEYSKKLVHGAKVVYQFGRTRRGRYSAGTAESSKFYNSSMYWDEFIWGGAWMYYATGNVTYLNLITQPTMAKHAGAFWGGPYYGVFSWDNKLAGAQLLLSRLRLFLSPGYPYEEILRTFHNQTSIVMCSYLPVFNKFNRTNGGLIELNHGAPQPLQYSVNAAFLATLYSDYLDAADTPGWYCGPNFYSTSVLRDFARSQIDYILGKNPRKMSYLVGFGTKYPKHVHHRGASIPKNKVKYNCKGGWKWRDSKKPNPNTIEGAMVAGPDKRDGFRDVRMNYNYTEPTLAGNAGLVAALVALSGEAEATGKIDKNTIFSAVPPLFPTPPPPPAPWKP >fgenesh2_kg.8__851__AT5G49750.1 pep chromosome:v.1.0:8:13447142:13450108:1 gene:fgenesh2_kg.8__851__AT5G49750.1 transcript:fgenesh2_kg.8__851__AT5G49750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MPE8] MSSRIEALSFMLVVFFFFQRCYVSAFTNGLEASALRALKNEWTRSPKSWEGSDPCGTNWVGITCTNNRVVSISLVNHNLEGTLSEYISALSELEILDLSFNTGLTGPLPPNIGNLKKLKNLILVGCGFSGQIPESVGSLEQLIKLALNSNKFNGTIPASVGRLSKLDWFDIDDNRIEGELPISNGTSSPGLDMLLQTQHFHFGKNKLSGDIPEKLFSSNMKLIHVLFNDNQFTGKIPKSLGLVTTMLVIRLDTNRLSGDIPPSLNNLTRLDQLHLANNKFTGSLPNLASLTVLDEIDVSNNTLEFSLVPSWISSLRNLSTLKMEGIQLIGSIPISLFSLIRLQYVNLKRNRINGTLEFGTNYSKQLKFVDLRYNNITGYKQAANERIQVILANNPVCGEVGNMPSFCSAIQHQSSFSIIPIYNVDSVA >fgenesh2_kg.8__852__AT5G49760.1 pep chromosome:v.1.0:8:13458802:13463666:1 gene:fgenesh2_kg.8__852__AT5G49760.1 transcript:fgenesh2_kg.8__852__AT5G49760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MPF0] MSSRTGAFMLLIMFFFQICSVSALTNGLDSSALNALKAEWTSPPDGWEGSDPCGTNWVGITCQNDRVVSISLGNLNLEGKLQPDISFLSELRILDLSYNPKLSGPLPPNIGNLGKLRNLILVGCSFSGQIPESIGMLKELIYLSLNLNQFSGTIPASIGQLSKLYWFDIADNQIEGELPVSNGTSSPGLDMLLQTKHFHFGKNKLSGKIPKELFSSNMTLIHVLFDGNQFTGEIPETLSLVKTLTVLRLDRNKLIGDIPSNLNNLTNLNELYLANNRFTGTLPNLTSLTNLYTFDVSNNTLDFSPIPSWISSLPSLSTLRMEGIQLNGAIPISFFSPPQLQTVILKRNSIVETLDFGTDFSSQLEFVDLQYNEITDYKPAANKVLQVILANNPVCLEVGNGPNYCSAIQHNTSFSTLPTNCPPCDKGMEPSPTCSCAYPFTGTLYFRSPSFSGLFNSTNFSILQKAIADFFKKFNYPVDSVGVRNIRENPTDHQLLIDLLVFPLGRESFNQTGMSLVGFAFSNQSYKPPPIFGPYIFKADLYKQFSGVEGSSKSSNKSILIGAVVGAVVLLLLLTIAGIYALRQKKRAERATGQNNPFAKWDTSKSSIDAPQLMGAKAFTFDELKKCTDNFSEANDVGGGGYGKVYRGILPNGQLIAIKRAQQGSLQGGLEFKTEIELLSRVHHKNVVRLLGFCFDRNEQMLVYEYISNGSLKDSLSGKSGIRLDWTRRLKIALSSGKGLAYLHELADPPIIHRDIKSNNILLDENLTAKVADFGLSKLVGDPEKTHVTTQVKGTMGYLDPEYYMTNQLTEKSDVYGFGVVMLELLTGRSPIERGKYVVREVKTKMNTSRNLYDLQELLDTTIIASSGNLKGFEKYVDLALRCVEEEGVNRPSMGEVVKEIENIMQLAGLNPNSDSATSSRTYEDAIKGSGDPYGSESFQYSGNFPASKLEPQ >fgenesh2_kg.8__853__AT5G49770.1 pep chromosome:v.1.0:8:13464439:13468946:1 gene:fgenesh2_kg.8__853__AT5G49770.1 transcript:fgenesh2_kg.8__853__AT5G49770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIGLFQLLIFFFFQICSVYAITDDSDSTALQALKSEWKTLSKSWKSSDPCGSGWVGITCNNNRVVSISLTNRNLNGKLPTEISTLAELQTLDLTGNPELSGPLPANIGNLKKLIVLSLMGCDFNGEIPDSIGNLEQLTRLSLNLNKFTGTIPPSMGRLSKLYWFDIADNQIEGKLPVSDGASLSGLDMLLQTGHFHFSNNKLSGEIPEKLFSSDMTLLHVLFDGNQFTGRIPESLGLVKNLTVLRLDRNRLTGDIPSSLNNLTNLQELHLSDNKFTGSLPNLTSLTSLYTLDVSNNPLALSPVPSWIPFLNSLSTLRMEDIQLDGPVPTSLFSPLQLQTVSLKHNLINTTLDLGTNYSTQLDFVDLRDNFITGYKSAANNHVEVMLADNQVCQDPANQHSEYCSAVQASSTFSTIPKDCGHHCSKGREPNQGCHCVYPLTGVFTLRSPSFSGFSNNSTFIQFGESLTAFFKNGKYPVDSVAMSNISENPTDYHLLIDLTIFPLGDDRFNQTGMDSINSVFTIQAYKPPPRFGPYIFVADQYKTFSDTETSKSVSMSVIIGTVVGVVVLLLLLAMAGIYALRQKRRAEKANDQINPFAKWDTSKNEIDAPQLMGTKAFTFEELSKCTNNFSDANDIGGGGYGQVYKGTLPSGQVIAIKRAQQGSMQGAFEFKTEIELLSRVHHKNVVKLLGFCFDQKEQMLVYEYIPNGSLRDGLSGKNGIKLDWTRRLKIALGSGKGLAYLHELADPPIIHRDVKSNNILLDEDLTAKVADFGLSKLVGDPEKAHVTTQVKGTMGYLDPEYYMTNQLTEKSDVYGFGVVMLELLTGKSPIDRGSYVVKEVKKKMDKSRNLYDLQELLDTTIIANSGNLKGFEKYVDVALRCVEPEGVDRPTMSEVVQEIESVLRLVGLNPNADSATYEEASGDPYGRDSFEYTGIFPAAKP >fgenesh2_kg.8__855__AT5G49800.1 pep chromosome:v.1.0:8:13482137:13483722:-1 gene:fgenesh2_kg.8__855__AT5G49800.1 transcript:fgenesh2_kg.8__855__AT5G49800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLVPSSSSWSVSEESLRRYVRFASESCIQELLSSSEAGRFGNASNGWKMVRHDSNGVEISKRDSGSLHAFRSRRILTSVSPEQFITVANAIDAAKQWEGDLVEATHIREIDENLSVIRLRFGENSKPLFRNREFIVYERRETMQDGTLVVAVASLPKEMAEGLEPTKKKKNNSIIRGFLVESGWVLEKLDDTSCMITYVVQLDPAGWLPKCFVNRLNTKLAMIIDNLRKLAQASLPSFPPT >fgenesh2_kg.8__856__AT5G49810.1 pep chromosome:v.1.0:8:13502838:13509596:1 gene:fgenesh2_kg.8__856__AT5G49810.1 transcript:fgenesh2_kg.8__856__AT5G49810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine S-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MPF5] MADLSVDDFLNQCKQSGDAAYGALRSVLERLEDPNTRSKARIFLSDIYKRVGSSETSLQTYHFHIQDIYLDQYEGFQSRKKLTMMVIPSIFIPEDWSFTFYEGLNRHPDTIFKDKTVSELGCGNGWISIAIAAKWLPSKVYGLDINPRAVKISWINLYLNALDDIGEPVYDEEKKTLLDRVEFYESDLLGYCRDNKIQLERIVGCIPQILNPNPEAMSKLITENASEEFLHSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPAGIMIFNMGGRPGQGVCRRLFERRGVRVTQMWQTKILQAADTDISALVEIERSSPHRFEFFMGLSGDQPICARTAWAYGKAGGRISHALSVYSCQIRQPNLVKIIFDFLKNGFQEISNSLDLSFEDETVADEKIPFLAYLASVLKNSSYFPFEPPAGSKRFCSLIAGFMRTYHRIPINQDNIVVFPSRAVAIESAFRLFSPRLAIVDEHLTRQLPRSWLTSLAIENTSMEKSDDQITVIESPHQSDLMIELIKKLKPQVVVTGMAPFEVITSSSFVHLLEVTREIGCRLFLDISDHFELSSLPASNGVLKYLAENQLPSHVAIICGLVKNKVYSDLEVAFVITEVDAIAKALSKTVEVLEGHTAIISQYYYGCLFHELLAFQLADRHAPAERESEKTKSEEIIGFSSSAVSILKDAELSVTEIDETSLIHMDVDQSFLPIPQSVKAAIFESFVRQNISEAEVDINPSIKQFVWSNYGFPTKSSTGFVYADGSLALFNKLVICCAQEGGTFCLPAGTNGNYVAAAKFLKANVVNIPTESSDGFKLTEKTLTNALETVKKPWVCISGPTVSPTGLVYSNEEMDILLSTCAKFGAKVIIDTSFSGLEYSATSWDLKSALSRLDSSLSVSLLGCLSLNLLSGAIKLGFLVLDQSLIDAFHTLPGLSKPHSTVKYAAKKMLALKEEKASDFLDAVSETIKTLEGRSKRFKEVLKNSGWEVIQPSAGISMVAKPKAYLNKTVKLKAGEGQEVVELTDSNMRDVFLSHTGVCLNSGSWTGIPGYCRFSFALEDSEFDKAIESIAQFKSVLAN >fgenesh2_kg.8__857__AT5G49820.1 pep chromosome:v.1.0:8:13510070:13513205:-1 gene:fgenesh2_kg.8__857__AT5G49820.1 transcript:fgenesh2_kg.8__857__AT5G49820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1879 [Source:UniProtKB/TrEMBL;Acc:D7MPF6] MPSVKLKHHSPPDTISSSSSDSVRLLSRETLRISASLASPPVDDLPPHSPPSPDSQFLHSTLRLICCEEIDGRRFKYVAESDGSGRFKKNSVRAISLESPQTPFDEVGSFLRSYVVPEGFPGSVNESYVPYMTWRALKHFFGGAMGVFTTQTLLNSVGASRNSSASAAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFAGDLLMELGAGVELATAAVPHLFLPLACAANVVKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNIADLMGTGFSILISKRNPSLVTTFGLLSCGYLMSSYQEVRSVVLHTLNRARFTVAVESFLKTGRVPSLQEGNIQEKIFTFPWVDDRPVMLGARFKDAFQDPSTYMAVKPFFDKERYMVTYSPTKGKVYALLKHQANSDDILKAAFHAHVLLHFMNQSKDGTPRLVEQLDPAFAPTEYELESRIAESCEMVSTSYAIFKSRAAEQGWRMSESLLNPGRARLCHMQEE >fgenesh2_kg.8__858__AT5G49830.1 pep chromosome:v.1.0:8:13516487:13520830:-1 gene:fgenesh2_kg.8__858__AT5G49830.1 transcript:fgenesh2_kg.8__858__AT5G49830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTARSKATPTKENGARVEEGLSLFKSDMFDADAYVQSKCSINEKDIKQLCSYLLDLKRASAEEMRRSVYANYPAFIRTSKEISDLEGELSSIRNLLSTQATLIHGLADGVNIDDDKVSDESLANGLLKFEENDLSDLEKWATEFPDHLDTLLAERRVDEALAAFDEGEILMSQANEKHTLGSSVLSSLQFAIAERKQKLADQLAKAACQPSTRGGELRSAIAALKRLGDGPRAHTVLLDAHFQRYQYNMQSLRPSSTSYGGAYTAALSQLVFSAISQASSDSLGIFGKEPAYSSELVTWATKQTEAFSLLVKRHALASSAAAGGLRAAAECAQIALGHCSLLEARGLSLCPVLLKHFKPIVEQALEANLKRIEDNTAAMAAADDWVLTCPPAGSRHASTAFQNKLTSSAHRFNLMVQDFFEDVGPLLSMQLGSKALEGLFRVFNSYVDVLIRALPGSEEEDANFEGSCNKIVQLAETEANQLALLANASLLADELLPRAAMKLSLDQSGHRTDDLRRPLDRQNRNPEQREWKRRLLSTVDKLKDAFCRQHALDLIFTEEGDSHLTADMYINIDGNGEDVDCFPSLIFQELFAKLNRMASLAADMFVGRERFATSLLMRLTETVILWLSGDQSFWDDIEEGPRPLGPLGLRQLYLDMKFVICFASQGRYLSRNLHRGTNEIISKALAAFTATGMDPYSELPEDDWFNDICVDAMERLSGKTKGNNGDVHSPTASVSAQSVSSARSHGSY >fgenesh2_kg.8__859__AT5G49840.1 pep chromosome:v.1.0:8:13521895:13525856:1 gene:fgenesh2_kg.8__859__AT5G49840.1 transcript:fgenesh2_kg.8__859__AT5G49840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSLSISRFVSRKTITSSSLLSRNFRFLLSVDSPPHTSLLRPSSNTLIPSSSFSRRIWDSCSGGGGRGGDDYDHIRSDVNCPRCSAQMHVIFSNRPLSLTAREPGIYQAVNFCSQCKTAFYFRPFKLSPLQGSFIELGKVKGTDDDHDDDDDDQKSFPRNWKIQGLRSDEDGEDAEEDEDETNGRDKEKQSVIKLPTPKEICQGLDEFVIGQEKAKKVLSVAVYNHYKRIYHASRKKGFVSRSDNLDMEDENIDHVELDKSNVLLLGPTGSGKTLLAKTLARIVNVPFAIADATSLTQAGYVGEDVESILYKLYVEAGCNVEEAQRGIVYIDEVDKMTMKSHSSNGGRDVSGEGVQQSLLKLLEGTVVPIPEKGLRRDPRGDSIQMDTKDILFICGGAFIDLEKTVSERQHDASIGFGASVRTNMSTSGFSSAAVTSSLLESLQSEDLVAYGLIPEFVGRLPILVSLSALNEDQLVQVLTEPKSALGKQYKKLFRMNNVQLHFTEGATRLIARKAMSKNTGARGLRSILESILTEAMFEVPDSVTEGSQSIKAVLVDEEAVGSVGTPGCGAKILKGDNVLQQFVEETESKEKRKEDEAKRAQSM >fgenesh2_kg.8__860__AT5G49880.1 pep chromosome:v.1.0:8:13530598:13536268:1 gene:fgenesh2_kg.8__860__AT5G49880.1 transcript:fgenesh2_kg.8__860__AT5G49880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint family protein [Source:UniProtKB/TrEMBL;Acc:D7MPF9] MILRTPPPKRLRSDAGDSPVPTSATGSGNQLIIYEDSPLPASAPLQTSHDHSADQHLCTYQCRQMVKADVLDALSTAEKQVEEYQTKLQTLNANFTEADAERKHFRDKFLYSEQELAAAKGREKMLQEQLLMEINNAQERYTKELQSCHELEVKLQNEMNLRKKAESSAATAEEKAKLLEDKLTHLSGSVDREKKRLNNDIAQLGKEAKLSVSRIGADLERVQCRAHNAETESNLLRSQLEHLKLKFDECLQEKTEVDKKLSSFSSEAASSSDNSVLVKHLQEELKRYEAEVREARKLKSRHLDAELLNVKLLEEQSRRERAESELSKFHDLQLSLEKLENELSSWKPLLNDIPGVSCPDDIVMKFSALQNEVVQSTMKIGEASTRIKHLEETLEATQLGRQNAVTEAALAKEKSEALKTDVKRTEVMLTLVTEEKEQLKALVNELRKSNSEGSVPGAADGTLIQGFESSLAKKEKYINDLEQDLSELKDVNNRQRTEIELLNEKLVDEARRNKSLERDSDRLRSEISLLESKLGHGDFSAANTRVLRMVNTLGVEDEAKQTIEALQAELQKAKERLQAVEELKSQTGDAGKLVDSHITGKIAQLKEQIATLEKREERYKTVFADRISVFRRACCELFGYKIVMDEHQRPNGIPVTRFTLQSIYAQSDDEKLEFEYESGNTSILNNEYASQGDIAKQIEIFIRKFNSIPAFTANLTMESFNRRTLY >fgenesh2_kg.8__861__AT5G49890.1 pep chromosome:v.1.0:8:13536922:13541102:-1 gene:fgenesh2_kg.8__861__AT5G49890.1 transcript:fgenesh2_kg.8__861__AT5G49890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:D7MPG0] MDDRSEEDHDIEVEGGAFQGYERKISGILDDGSVGFRQPLLARNRKNTTSQIAIVGANSCPIESLDYEIFENDFFKQDWRSRKKIEILQYTFLKWALAFLIGLATGLVGFLNNLGVENIAGFKLLLIGYLMAKEKYFQAFFAFAGCNLILATAAASLCAFIAPAAAGSGIPEVKAYLNGIDAYSILAPSTLFVKIFGSIFGVAAGFVVGKEGPMVHTGACIANLLGQGGSKKYRLTWKWLRFFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRNALLWRTFFTTAVVAVVLRSLIEFCRSGRCGLFGKGGLIMFDVNSGPVLYSTPDLLAIVFLGVVGGVLGSLYNYLVDKVLRTYSMINEKGPRFKIMLVMAVSILSSCCAFGLPWLSQCTPCPTGIEEGKCPSVGRSSIYKSFQCPPNHYNDLSSLLLNTNDDAIRNLFTSRSENEFHISTLAIFFVAVYFLGIITYGIAIPSGLFIPVILAGASYGRLVGRLLGPVSQLDVGLFSLLGAASFLGGTMRMTVSLCVILLELTNNLLMLPLVMLVLLISKTVADCFNRGVYDQIVTMKGLPYMEDHAEPYMRNLVAKDVVSGALISFSRVEKVGVIWQALKMTRHNGFPVIDEPPFTEASELCGIALRSHLLVLLQGKRFSKQRTTFGSQILRSCKARDFGKAGLGKGLKIEDLDLSDEEMEMYVDLHPITNTSPYTVLETLSLAKAAILFRQLGLRHLCVVPKTPGRPPIVGILTRHDFMPEHVLGLYPHIDPLK >fgenesh2_kg.8__862__AT5G49910.1 pep chromosome:v.1.0:8:13553193:13556175:1 gene:fgenesh2_kg.8__862__AT5G49910.1 transcript:fgenesh2_kg.8__862__AT5G49910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cphsc70-2 [Source:UniProtKB/TrEMBL;Acc:D7MPG3] MASSAAQIHILGGIGFPASGKNLDNKTNSIPRSVFFGNRTSPFSTPTSAFLRMGRRNNNASRYTVGPVRVVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKSKDRLVGQIAKRQAVVNPENTFFSVKRFIGRRMNEVAEESKQVSYRVIKDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASRFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFERKSNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLASTFKKDEGIDLLKDKQALQRLTEAAEKAKIELSSLTQTNMSLPFITATADGPKHIETTLTRAKFEELCSDLLDRVRTPVENSLRDAKLSFKDIDEVILVGGSTRIPAVQELVRKLTGKEPNVSVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTATDGQTSVEINVLQGEREFVRDNKSIGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVSASDKGTGKKQDITITGASTLPKDEVDTMVQEAERFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGEKIPGPVKEKVEAKLQELKEKIGSGSTQEIKDTMAALNQEVMQIGQSLYNQPQPGGAGSPPGGEASSSSDSSSSAKGGDNGGDVIDADFTDSK >fgenesh2_kg.8__866__AT5G49950.1 pep chromosome:v.1.0:8:13574568:13578412:-1 gene:fgenesh2_kg.8__866__AT5G49950.1 transcript:fgenesh2_kg.8__866__AT5G49950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCAITEDDLISPYLIIFKSLLLIPISHYLTFFFLTILVFLYFFLEIHFPKADPISLTFNPNSEFCQFIVSKCRLLHGRYFPTFWLSSPHLQTAFLSLFGKSPPFSYKRILYQATDGGTIALDWLMHSDVVEGISQVVNGSTSGTDRTPIAIVVPGLTSDSSAAYIKHIAFRLAKEGWNVVVQNHRGLGGISLTSDCVYTAGWTEDLRKVIDHIHSQFPEAPLFAVGTSIGANVLVKYLGEDGPNTPLIGATAVCSPWDLLICDRFINRKLVQKLYDRMLTIGLQGYAQLHHSIISRIADWEGIKKSRSVREFDNYATRLVAKFETTDTYYRRSSSSQYVGNVAVPLLCISALDDPVCTREAIPWDECRANKNIVLATTTHGGHLAYYEGLTATSMWWARAVQEYFEVLLSSSFADRRQKIIPEPLGSSIDQGPFADAGEDGMVAAASEVDTTRADVEPEDSDQIDEKTSNYPHKDNTPQVYNSLLGPLKKRVDQLSRYSRKSIWLLAYIAIVTTWPLVGPALLFSIKRRFRKLVEK >fgenesh2_kg.8__868__AT5G49970.1 pep chromosome:v.1.0:8:13591541:13595402:1 gene:fgenesh2_kg.8__868__AT5G49970.1 transcript:fgenesh2_kg.8__868__AT5G49970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-hydrate epimerase [Source:UniProtKB/TrEMBL;Acc:D7MPH0] MTFTFLLQSPPPLPISPPPQFSLYSLPLPTQRFLTPSQGSRLRSDSVRTLCTKSIIPNMEDSGSPPLSYLTQREAAEIDETLMGPLGFSVDQLMELAGLSVATSIAEVYNPGEYSRVLAICGPGNNGGDGLVAARHLHHLGYKPFICYPKRTAKPLYTGLVTQLESLSVPFVSVDDLPENLSKDFDVIVDAMFGFSFHGAPRPPFDDLIRRLVSLQNYEQTLQKHPVIVSVDIPSGWHVEEGDHEDGGIKPDMLVSLTAPKLCAKRFRGPHHFLGGRFVPPSVAEKYKLELPSYPGTSMCVRIGKPPRVDISAMRVNYVSPELLEEQVETDPTVQFRKWFDEAVAAGLRETNAMALCTANKDKKPSSRMVLLKGFDENGFVWFTNYESKKGSDLSENPSAALLFYWESLNRQVRIEGQVERIPESESENYFHSRPRGSQIGAIVSKQSSVVPGRHVLYDEYEELTKKYSGGSVIPKPKNWGGFRLKPNLFEFWQGQPSRLHDRLQYSLQDVNGKPAWKIHRLAP >fgenesh2_kg.8__870__AT5G49980.1 pep chromosome:v.1.0:8:13596372:13598980:-1 gene:fgenesh2_kg.8__870__AT5G49980.1 transcript:fgenesh2_kg.8__870__AT5G49980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin F-box protein 5 [Source:UniProtKB/TrEMBL;Acc:D7MPH1] MSEDDDDQQSSPLDLPSTAIASSSTPNKSRNCISKSQTFPDHVLENVLENVLQFLDSRCDRNAASLVCKSWWRVEALTRSEVFIGNCYALSPARLTQRFKRVRSLVLKGKPRFADFNLMPPDWGANFAPWVSTMAKAYPWLEKVDLKRMFVTDDDLALLADSFPGFKELILVCCEGFGTSGIAIVTNKCRKLKVLDLIESEVTDDEVDWISCFPEDVTCLESLAFDCVEAPINFKALEGLVARSPFLKKLRLNRFVSLVELHRLLLGAPQLTSLGTGSFSHDEEPRSEQEPDYAAAFRACKSVVCLSGFRELMPEYLPAIFPVCANLTSLNFSYANISPDMFKPIILNCHKLQVFWALDSICDEGLQAVAATCKELRELRIFPFDPREDSEGPVSELGLQAISEGCRKLESILYFCQRMTNAAVIAMSENCPELTVFRLCIMGRHRPDHVTGKPMDEGFGAIVKNCKKLTRLAVSGLLTDQAFRYMGEYGKLVRTLSVAFAGDSDMALRHVLEGCPRLQKLEIRDSPFGDVALRSGMHRYYNMRFVWMSACSLSKGCCKDIARVMPNLVVEVIGSDDDDDNRDYVETLYMYRSLDGPRNDAPKFVTIL >fgenesh2_kg.8__871__AT5G49990.1 pep chromosome:v.1.0:8:13613048:13617280:-1 gene:fgenesh2_kg.8__871__AT5G49990.1 transcript:fgenesh2_kg.8__871__AT5G49990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:UniProtKB/TrEMBL;Acc:D7MPH4] MSAPKSGGDPLPHPPKEQLPDISYCITSPPPWPEAVLLGFQHYLVMLGTTVLIPSALVPQMGGGNEEKAKLIQTILFVAGLNTLLQTVFGTRLPAVIGASYTYVPVTISIMLSGRFNDVADPVERFKRIIRATQGALIVASTLQMILGFSGLWRNVVRFLSPLSAAPLVGLVGYGLYELGFPGVAKCIEIGLPGLIILVLISQYMPHVIKGGKHVFARFAVIFSVAIVWLFAFFLTLGGAYNGVGTNTQRSCRTDRAGLISAAPWIRVPWPFQWGAPLFDAGEAFAMMMASFVALVESTGAFIAVSRYASATMPPPSVISRGVGWQGVAILISGLFGTGIGSSVSVENAGLLALTKIGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGAGGLSLLQFCNLNSFRTLFILGFSIFLGLSIPQYFNEHTAIKGYGPVHTGARWFNDIVNVPFSSNAFVGGCVAYLLDTTLHKKDGSIRKDRGKHWWDRFWTFKNDPRTEEFYALPFNLNKYFPSV >fgenesh2_kg.8__873__AT5G50011.1 pep chromosome:v.1.0:8:13624713:13626636:1 gene:fgenesh2_kg.8__873__AT5G50011.1 transcript:fgenesh2_kg.8__873__AT5G50011.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor/ transcription regulator (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MPH6] MGQDRGLWFPSQRLSSLTSLPLSHLGNQDLNPCGDMFSTRGSYQVSTQSYFDGSYGWVHGPSHLQQQFLPPLNKCMKHVPLKVDGFISKADGGQCSQKRFLVFDQSGDPTTLLVTSDIRKSFETLKQPVCPDMKEEIQRSNKDLFVCQGMHGNSEPDLKEDTEELNALLYSEGESDYCSEEDEVTSSDHSPSIVVSAHEGQKAFLGSYGQSLNAKKRKTLETSNENMRDAESSCGSCDNDNTGISFLKRSKTSSKKIGEEKIFETVSLLRSIVPGEELVDPILVIDRAIDYLKSLKMEVKNRG >fgenesh2_kg.8__876__AT5G50020.1 pep chromosome:v.1.0:8:13645002:13647963:1 gene:fgenesh2_kg.8__876__AT5G50020.1 transcript:fgenesh2_kg.8__876__AT5G50020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MPH9] MARRVFEAWKGSNKFLFGGRLIFGPDAWSIPFTFLLIITPVCFFSVFVATHLRRELLPNNAGHVFLVAGVLFTVFVLILLFLTSARDPGIVPRNSHPPEEELCYDTTASSDGRQTPTVQIPRTKEVMVYGVSVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWRNYRYFFMFVSSATILCIYIFSMSALYIKVLMDNHQGTVWRAMRESPWAVMLMIYCFISLWFVGGLTGFHLYLISTNQTTYENFRYRSDNRINVYNRGCSNNFLETFCSKVKPSRNDFRAFIKEESPKNITLATTWERPEEADEENREERRQKVEDDLDIDEDVLKLQHCLNVEEGSDTAHHKIDIDQMRVGSNERAPTIRSEARHGNWETRRNVQEDDVIAGSSVRESRSYAAAEEGR >fgenesh2_kg.8__878__AT5G50040.1 pep chromosome:v.1.0:8:13650321:13651142:1 gene:fgenesh2_kg.8__878__AT5G50040.1 transcript:fgenesh2_kg.8__878__AT5G50040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYYFGLVSLAVLSPFLLISASATNYIDAICQRVTDKAFCAKTLNAYPAAYPAAASATSQFQAAVATLNLAIAYADKCAGFSGNAAKENPNLKTQFAASQDAFMTISKSVKSAASELKVSPDTANYDVMVCSDSIAVVKNSVGKNSDNASKTVMTMTLMMEKLLAIAVGATVAVG >fgenesh2_kg.8__881__AT5G50080.1 pep chromosome:v.1.0:8:13662849:13663928:1 gene:fgenesh2_kg.8__881__AT5G50080.1 transcript:fgenesh2_kg.8__881__AT5G50080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMVSALTQVVSARSQTEAEGAHSSSSSAGQKRGWLGIDSVPIPSPFARVDSSLSPIEESTSKALPEEAREKRRRYRGVRQRPWGKWAAEIRDPHRAARVWLGTFDTAEAAARAYDEAALRFRGNKAKLNFPEDVRILPPPPPLLPPPADTAANKAEEDLINYWSYSKLLQSSDQRLIPERGQEESTNILEHLPMEQPLPPSSSGPSSSDFCGP >fgenesh2_kg.8__882__AT5G50100.1 pep chromosome:v.1.0:8:13681253:13682683:1 gene:fgenesh2_kg.8__882__AT5G50100.1 transcript:fgenesh2_kg.8__882__AT5G50100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGAAAAASTIWKHRRNPSLRSLSNHFNPNFNHRIIPTGFKYQVRAIQGTSTDPVITPLKNRDEPKPQNWKIKMLYDGDCPLCMREVNMLMERNEKHGTIKFVDISSNDYSPEDNQGLDYKTVMGQIHAIQSDGNVVTGVEAFRRLYEEVGLGWVYTITKFEPIGKLADVVYDVWAKYRLQVTGRPSLEAILEARKKDKVETCGESKDCKI >fgenesh2_kg.8__883__AT5G50120.1 pep chromosome:v.1.0:8:13690737:13691987:-1 gene:fgenesh2_kg.8__883__AT5G50120.1 transcript:fgenesh2_kg.8__883__AT5G50120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7MPJ0] MIRFQESEEEPDFSQEDHLTSSCQPLHQCIATLVCHTASYISSLTLAGKRLYTGSNDGVVRLWNANTLETLAEASSNGDVITGERGGGGAVKSLVILADKLFTAHQDHKIRVWKINDVVEEDVGGKRYMHVATMPTISDRFAKCLMPKDQVEIRRHKKASWVHHVDAVSGLALSRDGTLLYSVSWDRTLKIWRTSDFKCLESFTNAHDDAINAVALSENGDIYTGSSDQRIKVWRKNINEENEKKKKKKKHSLVAILSEHNSGINALALSGNNGTLLHSGGSDGSILVWEREEGGDIVLVGMLRGHTESVLCLAVVSDILCSGSADKTVRLWKCSGTDYSCLAMLEGHIGPVKCLTGAIRHSGKPSEASYHIYSGGLDSQVKVWQILVPT >fgenesh2_kg.8__886__AT5G50130.1 pep chromosome:v.1.0:8:13704726:13707829:1 gene:fgenesh2_kg.8__886__AT5G50130.1 transcript:fgenesh2_kg.8__886__AT5G50130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MPJ2] MKGTLRYLAGIAGPNGFGSRSTAEQVTQRSFFPCSHLTAIITGGTSGIGAETARVLAKRGVRVVMAVRDMKKAEMVKERILRENPEADVKLFEIDLSSLSSVARFCSQFLSQDLPLNILINNAGVFSPDLEFSEEKIELTFATNFLGHYLLTEMLIEKMIDTAEKSGIEGRIINLSSVIHSWVKPDCFSFPKLLHPISRYNGTRAYAHSKLATILHAKALSKQLKDRNANVTINAVHPGIVKTGIIRAHKGLFTDSLFLIASKLLKSISQGAATTCYVALSNETKGLSGKYFADCNETESSDLANDESVAFKLCTHSHALIHDYLHQSQIHSLPNLCLM >fgenesh2_kg.8__888__AT5G50160.1 pep chromosome:v.1.0:8:13738468:13741305:1 gene:fgenesh2_kg.8__888__AT5G50160.1 transcript:fgenesh2_kg.8__888__AT5G50160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATFRO8/FRO8 [Source:UniProtKB/TrEMBL;Acc:D7MPJ6] MAKVLTLLVLRLLMNLSLIGWISLWIIKPTTLWIQSWRQAEDTAKHTFFGYYGLNFAVFSFPPIALSIVGLIYLSLLPQHHHPTRGGRSAAITVSRPAIINSFIGIVSCFEILALLLFLVFLAWNFYARVSNDFKKLMPVKTLNLNLWQLKYYRVATRFGLLAEACLALLLFPVLRGLSMFRLLNIEFAASVKYHVWFGTGLIFFSLVHGGSTLFIWTITHHIEEEIWKWQRTGRVYVAGLISLVTGLLMWVTSLPQIRRKNFEVFYYTHHLYIVFLVAFLFHVGDRHFYWVLPGIFLFGLDKILRIVQSRTESCVLSANLFSCKAIELVLPKDPRLNYAPSSFIFLNIPLVSRFQWHPFSIISSSSVDKHTLSIMIKCEGDWTNSVYNKVEEAANSEKKINNITVRVEGPYGPSSVDFLRYDNLFLVAGGIGITPFLSILKELACKNRLKSPKRVQLVFAVRTFQDLNMLLPISSILFNPIHNLNLKLNVFVTQEKKPSNGTTTLQEFLAQSQVQSIHFKTDEDYSRFPIRGPENFRWLATLVLITVLTFLGFLIGLSHIFIPSEHKNHSGVMKLAASGAMKTAKEKVPSWVPDLIIIVSYVIAITIGGLAATILPWRGKHREAPRMTKEEVIKPGERNFTELKPIPLIEELEIHTGERPKLEEIMSEFEKNLRGWSSIGVLVCGPETMKEDVASMCRKWPQCFGVEDSGRNRMKMNLNFHSLNFNL >fgenesh2_kg.8__889__AT5G50170.1 pep chromosome:v.1.0:8:13749342:13753617:1 gene:fgenesh2_kg.8__889__AT5G50170.1 transcript:fgenesh2_kg.8__889__AT5G50170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MPJ8] MRLYVYILQAKDLPAKETFAKFHVGKHKSKTRVARDTSSPIWNEEFVFRISDVDEGDDVVVSILHHEQQQDHQSIVSTTGLIGKVRIPLCSIAAEENQTLLPTWFVIEKLSDGKFVNIECGKILLSLSLQGKWESTSGEKVLNDKQDINLEGVKELQGSPKDLISSKDGRRRKHHDGKHIMKNFVNQIDKLFHKKEEISKRLHDDSSVDQTVNSNYEDATDKCSSSATCTGFEEGLDLMQSCDSEREEMPENLQGGILLDQKYLVSPCDLNKYLFTPSSQFRKELAELQGLSDVQEGPWTVMQEDTPRLTRVVTYMRAASKMVKAVKATENQVYRKASGKQFAVFVSVSTPDVPYGNTFKIELLYKILPETEPTADGESSRLIISWGIQFNQSTIMKGMIEGGARQGLRESFEQFANLLTKTYKTLDPAAVLDKDQVIATVQSEQKTDLKSAFLYFWSSSVFCAVLLSVYVVAHMLHCEPSKIQGFEFYGLDLPDSFGELLSSGILVLLLERVYMMTVHFIQARLHRGRDQGVKANGKGWILTIALIKGTNLASVEATELFDPYVVFTCNGKTRTSSVKLQAQDPQWNEVIEFDAMEEPPSVLDVEVFDFDGPFDQGASLGHAEINFLKHTADELADMSVPLVGHHAQASQSKLQLRIFLENKNGVETMKDYLSKVEKEVGKKLNIRSPQKNSAFQKLFGLPHEEFLLKEYTCYLKRKLPVQGKLFLSARIVAFYSNVFGHKTKFYFLWEDIDDIQVLPPTFASLGSPLLLIILKKNRGLDAKHGAKSQDDEGRLWFYFQSFVSFDATSRTIMALWKTRTLSVDHRAQIAEEDQDVADPFLLPEAVAVVSDTDALMMSKVYTCDLPGDVELVMKIFGGGELERKIMEKSGCLSYASTTWESKKPGIYERRLSYKYNHYVSVFGGGVTCTQQKSPAPNDEGWIINEIVALHDVPFGDHFRVHIRYEVKKAGVDCKTSKCEVYLKIRWLKTIKFEQRISKSIMEKFRNRFKVIFDLFQKESVANSSLTLL >fgenesh2_kg.8__88__AT5G47080.1 pep chromosome:v.1.0:8:523077:524859:1 gene:fgenesh2_kg.8__88__AT5G47080.1 transcript:fgenesh2_kg.8__88__AT5G47080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit beta [Source:UniProtKB/TrEMBL;Acc:D7MPZ0] MYRDRGTVNSRPEVVDRKRINDALERPSPSTSRQVNGKGKGTAATANSVLIGKQQSHDHRDSRSASLSKNNNVSDDESDTDSEESDVSGSDGEDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSLVPYYEYALDLILDVESSHGEMFTEEQNELIESAAEMLYGLIHARYILTSKGLAAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDLPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPSKATQNYVQRVFGFKLHKP >fgenesh2_kg.8__890__AT5G50175.1 pep chromosome:v.1.0:8:13755870:13756384:1 gene:fgenesh2_kg.8__890__AT5G50175.1 transcript:fgenesh2_kg.8__890__AT5G50175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCKSRATTTVTLSCLLFFVSSFYTISTAKSVTNPLYQLLLFTAFFLAAALSLLILVAAARATMVAWITILVLLAFSGTRRRVLARRGKTITADVAMCLFRETNPRG >fgenesh2_kg.8__891__AT5G50180.1 pep chromosome:v.1.0:8:13759565:13762072:1 gene:fgenesh2_kg.8__891__AT5G50180.1 transcript:fgenesh2_kg.8__891__AT5G50180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSGFRMEPKWQIDPQLLFVGPKIGEGAHAKVYEGKYKNQTVAIKIVHRGETPEEIAKRDSRFLREVEMLSRVQHKNLVKFIGACKEPVMVIVTELLQGGTLRKYLLNLRPACLETRVAIGFALDIARGMECLHSHGIIHRDLKPENLLLTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRLGEKKHYNHKVDAYSFAIVLWELLHNKLPFEGMSNLQAAYAAAFKNVRPSAESLPEELGTIVTSCWNEDPNARPNFTHIIELLLNYLSKVGSPISAIPQRILASKNTLLPPDSPGTSSLMAKLDECGETPKAKSDDKRKGLFFCFNQCY >fgenesh2_kg.8__892__AT5G50190.1 pep chromosome:v.1.0:8:13762193:13771704:-1 gene:fgenesh2_kg.8__892__AT5G50190.1 transcript:fgenesh2_kg.8__892__AT5G50190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSKSLLFLLLIPLLCYYTLITKKLLPQTDNPGKHTSEAEGNGYELQKQIFELRKELEMQRKRSLEVEARAEFADKKVAELSSKLENVRNMIDGKWLLSKLGLNLNKTQISQKWIPSIKDAYVTLTIYLEPKVQYLTDKSMEVSRVMTIGKPHFEKVQVALEAYTENDEIRRVSHGALSSGTRLMLWLSHITFTSVVAFERSNFGFQKFDIL >fgenesh2_kg.8__893__AT5G50200.3 pep chromosome:v.1.0:8:13777846:13779378:1 gene:fgenesh2_kg.8__893__AT5G50200.3 transcript:fgenesh2_kg.8__893__AT5G50200.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-responsive 3 [Source:UniProtKB/TrEMBL;Acc:D7MPK2] MAIHKILFASLLICSLIQSSHGAEKVRLFKELDKGALDVTTQPSRQGDGVVLDAGKDTLNITWKLSAIGSKREAEFKIIKVKLCYAPPSQLDRPWRKTHDELFKDKTCPHKIIAKPYDKTPQSTVWTVERDIPTGTYFVRAYAVDAIGHEVAYGQSTDDAKTTNLFSVQAISGRHTSLDIASICFSVFSVVALVVFFVNEKRKAKIEQSK >fgenesh2_kg.8__897__AT5G50230.1 pep chromosome:v.1.0:8:13792820:13795394:-1 gene:fgenesh2_kg.8__897__AT5G50230.1 transcript:fgenesh2_kg.8__897__AT5G50230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide binding protein [Source:UniProtKB/TrEMBL;Acc:D7MPK4] MLQEEKAMEAIKDALRALRKRHLLEEGAHAPAISALSRPIISQGSEWKEKTEKLETELQQCYKAQSRLSEQLVIEVAESRTSKAILQEKELFINDLQKELTQRREECTQIQEELEEKTKTVDVLIAENQEIRSQLEEMTNRVQKAESENKMLIDRWMLQKMQDAERLNEANDLYEEMLAKLKANGLETLARQQVDGIVRRNEDGTDHFVESTIPSTCGHRIHAHEGGCGSIIFEYNSGTLFTGGQDRAVKMWDTNSGALIKSLYGSLGNILDMAVTHDNKSVIAATSSNNLFVWDVSSGRVRHTLTGHTDKVCAVDVSKFSSRHVVSAAYDRTIKLWDLHKGYCTNTVLFTSNCNAICLSIDGLTVFSGHMDGNLRLWDIQTGKLLSEVAGHSSAVTSVSLSRNGNRILTSGRDNVHNVFDTRTLEICGTLRASGNRLASNWSRSCISPDDDYVAAGSADGTVHVWSLSKGNIVSILKEQTSPILCCSWSGIGKPLASADKNGYVCTWT >fgenesh2_kg.8__898__AT5G50240.2 pep chromosome:v.1.0:8:13795733:13797040:1 gene:fgenesh2_kg.8__898__AT5G50240.2 transcript:fgenesh2_kg.8__898__AT5G50240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-L-isoaspartate O-methyltransferase (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MQ37] MRAQVLLFPTITAYGRLYCAPRRLHRYNSSSSSQFLNLSLSRFSGGLFFHMESGTSSSGKKSMVENLKRYGVISSKRVAQVMEALDRGLFVPVGSSAYVDTPVPIGYNATISAPHMHATCLQLLEDKLQPGMCALDVGSGTGYLTGCFALMVGAEGRVVGVDHIPELVDMSIKNIEKSVAASLLKKGSLSLHVGDGRKGWQEFAPYDAIHVGAAASEIPQPLLDQLKPGGRMVIPLGTYFQELKVIDKNEDGSIKVHTETSVRYVPLTSRDEQL >fgenesh2_kg.8__89__AT5G47070.1 pep chromosome:v.1.0:8:530226:532648:1 gene:fgenesh2_kg.8__89__AT5G47070.1 transcript:fgenesh2_kg.8__89__AT5G47070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLFLFKSKKPKSRNQQKDNNNNNKKNKRKGKELLQNSAPELTNRSETSSFNLQTPRSLPSPRSIKDLYTEREQNLRVFTYEELSKATYGFSRKLAIGEGGFGIVYKGKILNNGDSDPPLVVAIKKLNRQGLQGHKQWLAEVQFLGVVNHPNVVKLIGYCSEDGESGIERLLVYEYMSNRSLEDHLFPRRSHTLPWKKRLEIMLGAAEGLAYLHELKVIYRDFKSSNVLLDHEFCPKLSDFGLAREGPEGDNTHVTTARVGTHGYAAPEYVQTGHLRLKSDVYSFGVVLYEIITGRRTIERNKPVAERRLLDWVKEYPADSQRFSMIVDPRLRNNYPAAGARSLAKLADLCLKKNDKERPAMEIVVEGLKKIIEESDNEDYPMATAKESSQVRTRQVAKPEKQSLRGGVSVRG >fgenesh2_kg.8__902__AT5G50260.1 pep chromosome:v.1.0:8:13804862:13806558:1 gene:fgenesh2_kg.8__902__AT5G50260.1 transcript:fgenesh2_kg.8__902__AT5G50260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFIVLALCMLMVLETTKSLDFHEKDVESEDSLWELYERWKSHHTIARSLEEKAKRFNVFKHNVKHIHETNKKENSYKLKLNKFGDMTSEEFRRTYAGSNIKHHRMFQGERQTTKSFMYANVDTLPTSVDWRKNGAVTPVKNQGQCGSCWAFSTVVAVEGINQIRTKKLTSLSEQELVDCDTNKNQGCNGGLMDLAFEFIKEKGGLTSELVYPYKASDETCDTNKENAPVVSIDGHEDVPKNSEVDLMKAVAHQPVSVAIDAGGSDFQFYSEGVFTGRCGTELNHGVAVVGYGTTIDGTKYWIVKNSWGEEWGEKGYIRMQRGIRHKEGLCGIAMEASYPLKNSNTNPSRLSSDSLKDEL >fgenesh2_kg.8__904__AT5G50290.1 pep chromosome:v.1.0:8:13810754:13813032:1 gene:fgenesh2_kg.8__904__AT5G50290.1 transcript:fgenesh2_kg.8__904__AT5G50290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILILIISSLALLELCVVDACRSYCGNITVDYPFGIRNGCGHPGYRDLLFCMNDVLMFHISSGSYRVLDIDYAYQSITLHDPHMSTCETIVLGGKGNGFEAEDWRAPYFNPTSDNVFMLIGCSPKSPIFQGFPEKKVPCRNISGMSCEEYMSCPAWDMVGYRQPGIHSGSGPPMCCAVGFESVKAINLSKLECEGYSSAYNLAPLKLRGPSDWAYGIRVKYELQGSDAFCRACVATSGTCGYDESADGGGLRHVCMCDNHNSTTNCDSVISPTGASSSVRPKTIGSLILYFITMNIGFQRRK >fgenesh2_kg.8__905__AT5G50300.1 pep chromosome:v.1.0:8:13820634:13822665:-1 gene:fgenesh2_kg.8__905__AT5G50300.1 transcript:fgenesh2_kg.8__905__AT5G50300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease family protein [Source:UniProtKB/TrEMBL;Acc:D7MQ45] MGREKKLCKSWSDMKKHLNDTVSKSFAGRFFKLEARKTTFTTELRAATATFLTMAYIITVNANILADSGATCSFHDCSAVAGSSAPGPECVLGSNPGYEECLARVKKDLVVATSLSAMVGSLAMGLLANLPFGLAPGMGANAYIAYNVVGFRGSGSISYHTAMAIVLLEGCAFLAVSALGLRGKLARLIPQTVRLACAVGIGMFIAFVGLQMNQGIGLVGPDKSTLVTLTACAETDYVTGACLGGKMKSPTFWLAVVGFLITSFGLMKNVKGSMIYGIVFVTAVSWIRGTQVTIFPHTPLGDSNYNYFTKIVDFHKIQSTLGAISFTEFRKSEVWVAFATLFYVDLLGTTGVLYTMAEIGGFVEDGKFEGEYAAYLVDAGSSVVGSALGVTTTATFVESSAGLKEGGKTGLTAVIVGVYFLASMFLTPLVTNVPRWAVGPSLVMVGVMMMGVVKDIRWGETKEAVTAFVTILLMPLTYSIANGIIAGIGIYLALSMYDVVLGVAKWLNGVRKRVMREHNQVSSVATVEIV >fgenesh2_kg.8__906__AT5G50310.1 pep chromosome:v.1.0:8:13829613:13834543:1 gene:fgenesh2_kg.8__906__AT5G50310.1 transcript:fgenesh2_kg.8__906__AT5G50310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MQ47] MGKKTKKPGKGKEKTERKTAKADEKKARREGKKLSPEDDIDAILLSIQKEEAKKKEVHVEENVAAPSPRSNCSLTINPLKETELILYGGEFYNGQKTYVYGDLYRYDVDKQEWKSISSPNSPPPRSSHQAVAWKNYLYIFGGEFTSPNQERFHHYKDFWMLDVKTNQWEQLNLKGCPSPRSGHRMVLYKHKIIIFGGFYDTLREVRYYNDLYVFDLDQYKWQEIKPKPGAMWPTARSGFQFFVYQDEIFLYGGYSKEVSSEKSSEKGIVHADLWSLDPRTWEWNKVKKIGMPPSSRAGFSVCVHKKRALLFGGVVDMEMEGDVMMSLFLNELYGFQLDNRRWYPIELRKEKSTKYKAKKNLEAKPVASNNDDEMDSTEEDESSAMIEQSDGVGSSDGISDRMAAGLTVDGNRSDKSKALQGAKARLDPQVSVSEEVIKPCGRINSCMVVGKDTLYIYGGMMEIKDKEVTLDDLYSLNLSKLDEWKCIIPATETEWVEVSEDEEGDEDEDEDDSEDEGNSEESDEDDDEEVEAMDVDGSVKVGEVVAMIKGEGKSLRRKEKRARIEQIRANLGLSDSQRTPVPGETLKDFYKRTNMYWQMAAYEHTQHTGKELRKDGFDLAETRYLELKPILDELAILEAEQKAEEAEGPEASSSSRKGGIAKKKR >fgenesh2_kg.8__908__AT5G50320.1 pep chromosome:v.1.0:8:13835746:13839927:-1 gene:fgenesh2_kg.8__908__AT5G50320.1 transcript:fgenesh2_kg.8__908__AT5G50320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 3 [Source:UniProtKB/TrEMBL;Acc:D7MQ48] MATAVVMNGELKKQPRPGKGGYQGRGLTEEEARVRAISEIVSTMIERSHRNENVDLNAIKTAACRKYGLARAPKLVEMIAALPDSERETLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPAEYRDFFIRNLHDALSGHTSANVEEAVAYSEHSATKCIGMTIETRPDYCLGPHLRQMLTYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDMESFKEFFESPSFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIVARILSMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMDDLGLKCRDVRTREAGIQDIHHKIKPEQVELVRRDYTANEGWETFLSYEDTRQDILVGLLRLRKCGKNVTCPELMGKCSVVRELHVYGTAVPVHGREADKLQHQGYGTLLMEEAERIARREHRSNKIGVISGVGTRHYYRKLGYELEGPYMVKHLL >fgenesh2_kg.8__909__AT5G50330.1 pep chromosome:v.1.0:8:13841695:13845206:-1 gene:fgenesh2_kg.8__909__AT5G50330.1 transcript:fgenesh2_kg.8__909__AT5G50330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7MQ49] MHGFDFKEIQEKISESFRPWQRSFHFWVRATNIYTGYKVFQLRVSLVKDAKKQEEMWERQHEQAADKIYFMCSDLGGFFLKIAQLLAKPDMAPAAWVKKLVTLCDQAPATPFDAIQLVLEKELGKSIGEIFETFDEKPLGSASIAQVHRARVKGNKMNVVVKVQHPGIERLMMTDIRNLQLFALYMQRTDIKFDLHSITKEMEKQIGYEFDFKREASAMERIRCFLYENNKKSPVLVPRVLRDMVTKRVLVMEYINGIPILSIGDEMAKRGINPHGKIAEAAKHNILSSLSRAYGQMILKSGFFHADPHPGNILICKGQEVALLDYGQVKELPDKLRLGYANLVIAMADDNASGVSQSFWEMGLRTVAKCVNEQQELLRLAQTLFDTKMPAGQTVLQPFSDDSSIKKIAVETFPEELFSVLRTVVLLRGLSVGMRVNYSCAQQWRSMAEEALLASGRITRDGKGRSRRQASLGRLRPGS >fgenesh2_kg.8__90__AT5G47060.1 pep chromosome:v.1.0:8:534696:535842:-1 gene:fgenesh2_kg.8__90__AT5G47060.1 transcript:fgenesh2_kg.8__90__AT5G47060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSRKPYFIEEEEDDNLASSLTEMEAGFSGNNNNHGNPQNGVVSSRFSYVRINSLRNTCNNYYNQYSVSSPRSVVSGRFHDFRFDNQQPHFLDSCFLCKKPLGDNRDIFMYRGDTPFCSEECRQEQIERDEAKEKKQNLSYSVKSAMRRKEQSSPTRSRDYAFYNGTVAAA >fgenesh2_kg.8__911__AT5G50335.1 pep chromosome:v.1.0:8:13857933:13858504:-1 gene:fgenesh2_kg.8__911__AT5G50335.1 transcript:fgenesh2_kg.8__911__AT5G50335.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MQ52] MVCRAEEAMKQREMIKRCKEDDRYQKKKIQSITNCNKEKGCRFKRSTSKLDNDGASSAIFLLACIACSSF >fgenesh2_kg.8__916__AT5G50370.1 pep chromosome:v.1.0:8:13908540:13910135:-1 gene:fgenesh2_kg.8__916__AT5G50370.1 transcript:fgenesh2_kg.8__916__AT5G50370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase [Source:UniProtKB/TrEMBL;Acc:D7MQ61] MATSSTASVDMEDIQTVDLMSELLRRMKCASKPDKRLVFIGPPGSGKGTQSPVIKDEFCLCHLSTGDMLRAAVAAKSPLGLKAKEAMDKGELVSDDLVVGIMDEAMNRPKCQKGFILDGFPRTVTQAEKLDEMLNRRGAQIDKVLNFAIDDSVLEERITGRWIHPSSGRSYHTKFAPPKVPGVDDLTGEPLIQRKDDNADVLRSRLDAFHKQTQPVIDYYAKKGNLVNIPAEKAPEEVTKVVKKVVST >fgenesh2_kg.8__917__AT5G50375.1 pep chromosome:v.1.0:8:13913283:13915841:1 gene:fgenesh2_kg.8__917__AT5G50375.1 transcript:fgenesh2_kg.8__917__AT5G50375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSSPSLWLAPNPSKRWGELFFLFYTPFWLTLCLGIVVPYKLYETFTELEYLLLALVSAVPAFVIPMLLVGKVIDTSLCWKDRYWVKANLWIIMFSYVGNYFWTHYFFKVLGASYTFPSWKMNNVPHTTFFLTHVCFLFYHVASNITLRRLRHSTADLPDSLKWCFEAAWILALSYFIAYLETIAIANFPYYEFVDRSAMYRVGCLFYAIYFIVSFPMFFRMDEKSSDGWDLSRVAVDALGAAMLVTIILDLWRLFLGPIVPLPEGQNCLQSGLPWFSN >fgenesh2_kg.8__919__AT5G50380.1 pep chromosome:v.1.0:8:13963099:13965509:-1 gene:fgenesh2_kg.8__919__AT5G50380.1 transcript:fgenesh2_kg.8__919__AT5G50380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTAASINAGGGGGGEDRVLATAQQIVKSLNTPKEVREDMLLIFSSFDNRLSNIKTAMTNQEEDQNDALVARLEAAESVIHRWDGGNDSSRHSSSSSGNYRSSSFSLSFDESPEEATEFLSAVDEIISLLEDLSSENKPDMVDRADSALQMAMSQLEDEFRRILIRNTVPLDAERLYGSMRRVSLSFADGDVVEDFENFGLVADGDGSGSRRRLFHERGGSIGCDLWVDLINPTAVEDLKEIAERMIRAGYEKECVQVYSTVRRDALDECLMILGVEKLSIEEVQKIDWKSMDEKMKKWIQAVKITVRVLLVGEKKICDEIFSSSESSKEVCFNETTKSCVMQMLNFGEAVAIGRRSSEKLFRILDMYDALANVLQTLEVMVTDCFVCNETKGVLEALGDAARGTFVEFENNVRNETSKRPTTNGEVHPMIRYVMNYMKLIVDYAATLNSLLENDELNGLSGDDSTEEMSPLAKRILGLITSLESNLEEKSKLYEDGGLQHVFMMNNIYYIVQKVKDSELGKLLGDDWVRKRRGQIRQYATGYLRASWSRVLSALRDESMGGSSSGSPSYGQRSNNSSKMALKERFRGFNASFEELYRLQTAWKVPDPQLREELRISISEKVIPAYRAFFGRNRSQLEGGRHAGKYIKYTPDDLESYLPDLFEGTQLVIHHPRRKS >fgenesh2_kg.8__91__AT5G47050.1 pep chromosome:v.1.0:8:545485:557070:-1 gene:fgenesh2_kg.8__91__AT5G47050.1 transcript:fgenesh2_kg.8__91__AT5G47050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7MPZ5] MAVQAHHHPSTLFFLNNGQEGNDCSNQPHKSQFHSINAGVDSRKRAREVSSVIDLDITAAPMNPPPQTPPQVIGRRQAPNVVSTGLRLSREQSQNQEQPFLSFPMTGDVAGEIKSQTDELNRFLQIQGEQLRRMLAENNEWHYRELLRTTEESVRRRLREKEAEIEKATRRHAELEARAAQIETEARAWQMRAAAREVEATSLQAQLQQAVVIAHGGGIITTAEPQSGSVDGVDEAEDAESAYVDPDRYEIIEPRCRICRRRSATVLALPCRHLVLCKECDGSVRICPLCLSTKNSGVEVFYS >fgenesh2_kg.8__920__AT5G50390.1 pep chromosome:v.1.0:8:13966574:13968766:-1 gene:fgenesh2_kg.8__920__AT5G50390.1 transcript:fgenesh2_kg.8__920__AT5G50390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MQ71] MEIPLARYQSIRLDEIRDSSSNQKVFNFPRKFSLRGRRWKNPFGRITCSSVVQGLKPKPKLKPEPIRIDVEESKDQVFDDTQIRKSGVRICSQIEKLVLCNRFREAFELFEILEIRCSFKVGVSTYDALVEACIRLKSIRCVKRVYGFIISNGFEPEKYMMNRILLMHVKCGMIIDARRLFDEMPERNLFSYNSIISGFVNFGNYEEAFELFKLMWEELSDCETHTFAVMLRASAGLWSVYVGKQLHVCALKLGVVDNTFVSCGLIDMYSKCGDIEDARCAFESMPEKTTVAWNNIVAGYALHGYSEEALCLLYEMRDSGVSMDQFTLSIMIRISTRLAKLELTKQAHASLIRSGFESEIVANTALVDFYSKWGRVDTARYVFDKLPRKNIISWNALMGGYANHGRGTDAVRLFERMIAAKVAPNHVTFLAVLSACAYSGLSEQGWEIFLSMSEVHGIKPRAMHYACMIELLGRDGLLDEAIAFIRRAPLKTTVNMWAALLNACRMQGNLELGRVVAEKLYGMGPEKLGNYVVLYNMYNSMGKTAEAAGVLETLESKGLSMIPACTWVEVGDQTHSFLSGDRFDSYNETVKREVYQKVDELMEEIYEYGYLAEEKNLLPDVDEKEEERVGRYHSEKLAIAYGLMNTPEWNPLQITQNHRICKDCHKVVEFISLVTGREMVVRDASRFHHFKEGKCSCGGYW >fgenesh2_kg.8__925__AT5G50430.1 pep chromosome:v.1.0:8:13987302:13990380:-1 gene:fgenesh2_kg.8__925__AT5G50430.1 transcript:fgenesh2_kg.8__925__AT5G50430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKACIKRLQKEYRALCKVPVSHVVARPSPNDILEWHYVLEGSEGTPFAGGFYYGKIKFPPEYPYKPPGITMTTPNGRFVTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDNSPTTGSVNTSVAEKQGLAKSSLAFNCKNATFRKLFPEYVEKYSQQQVAEEEAATQQTTPEDSPQEENAKIESEKSIGLKKESIQEVGLKERRKNKKEALPGWIVLLLVSIVGVVMALPLLQL >fgenesh2_kg.8__927__AT5G50440.1 pep chromosome:v.1.0:8:13990789:13991856:-1 gene:fgenesh2_kg.8__927__AT5G50440.1 transcript:fgenesh2_kg.8__927__AT5G50440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrin [Source:UniProtKB/TrEMBL;Acc:D7MQ80] MASGTMGGLSEVYSSAKRILLRARDGIERLERFDSDPTDLSSSVKRDITEVQSLCSNMDGLWRSIPVKAQRDLWRRKSEQVGEEAEYLNQSLEKYMWRNQRKMLEAKERADLLGRGSGEGAHILQIFDEEAQGMNSVKNSKRMLEDSFQSGVAILSKYAEQRDRLKRAQRKALDVLNTVGLSNSVLRLIERRNRVDTWIKYAGMIATLVILYLFIRWTR >fgenesh2_kg.8__928__AT5G50450.1 pep chromosome:v.1.0:8:13999015:14000304:-1 gene:fgenesh2_kg.8__928__AT5G50450.1 transcript:fgenesh2_kg.8__928__AT5G50450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MQ82] MTHLNKKQRLEKNHNHFDNLHDDLIISILRKLASSASSPSDFLTVLSTCKRLNRLGLQPLVLSKAGTQTLAVTAEKWSDSSHKFLKLCVNAGNIDASYALGMIRFYCLQNPVSGASLMAKAAIKSHAPALYSLSVIQFNGSGGSKTDKNLRAGVTLCARSAYLGHVDALRELGHCLQDGYGVPRDVSEGRRLLIQANARELACSLRSYLSLKCGDEILTDLSVVPVQEIHPVNRFLKEWFSSGRVDLAEGLRMCSHGGCGRPETRAHEFRRCSVCGKVNYCSRGCQALDWRAKHKIECTPLDLWIAVPAEI >fgenesh2_kg.8__929__AT5G50460.1 pep chromosome:v.1.0:8:14008708:14009908:-1 gene:fgenesh2_kg.8__929__AT5G50460.1 transcript:fgenesh2_kg.8__929__AT5G50460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVVDPLRDFAKDSIRLVKRCHKPDRKEFTKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGAT >fgenesh2_kg.8__92__AT5G47040.1 pep chromosome:v.1.0:8:567925:573496:1 gene:fgenesh2_kg.8__92__AT5G47040.1 transcript:fgenesh2_kg.8__92__AT5G47040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog 2, peroxisomal [Source:UniProtKB/TrEMBL;Acc:D7MQL6] MAETVELPSRLAILPFRNKVLLPGAIIRIRCTSHSSVTLVEQELWQKEEKGLIGILPVRDDAEGSSIGTMINHGAGSDSGERSLKFLVGTTDAQKSDAKDQQDLQWHTRGVAARALHLSRGVEKPSGRVTYVVVLEGLSRFNVQELGKRGPYSVARITSLEMTKAELEQVQQDPDFVALSRQFKTTAMELVSVLEQKQKTGGRTKVLLETVPIHKLADIFVASFEMSFEEQLSMLDSVDLKVRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEYLLRQQMRAIKEELGDNDDDEDDVAALERKMQAAGMPSNIWKHAQRELRRLKKMQPQQPGYNSSRVYLELLADLPWEKASEEHELDLKAAKERLDSDHYGLAKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFVRLSLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVGVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKSFNDHYLNVPYDLSKVVFVATANRVQPIPPPLLDRMELIELPGYTQEEKLKIAMRHLIPRVLDQHGLSSEFLKIPEAMVKNIIQRYTREAGVRSLERNLAALARAAAVMVAEHEQTLPLSKDVQKLTSPLLNGRMAEGGEVEMEVIPMGVNDHEIGGTFQSPSALVVDETMLEKILGPPRFDDSEAADRVASAGVSVGLVWTTFGGEVQFVEATSMVGKGEMHLTGQLGDVIKESAQLALTWVRARASDFKLALAGDMNVLDGRDIHIHFPAGAVPKDGPSAGVTLVTALVSLFSQKRVRADTAMTGEMTLRGLVLPVGGIKDKILAAHRYGIKRVILPQRNSKDLVEVPAAVLSSLEVILAKRMEDVLENAFEGGCPWRNNHSKL >fgenesh2_kg.8__930__AT5G50480.1 pep chromosome:v.1.0:8:14016443:14017172:-1 gene:fgenesh2_kg.8__930__AT5G50480.1 transcript:fgenesh2_kg.8__930__AT5G50480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C [Source:UniProtKB/TrEMBL;Acc:D7MQ85] MENNNNNGDNMNDGNHQPPPSYSQLPPMASSNPQLRNYWIEQMETVSDFKNRQLPLTRIKKIMKADPDVHMVSAEAPILFAKACEMFIVDLTMRSWLNAEENKRHTLQKSDISNAVASSFTYDFLLDVVPKDDGIATADPGFVAMPHPDGGGVPQYYYPPGVVMGTPVAGSGMYAPPQAWPAAAGDGEDEGADNEGNGGGN >fgenesh2_kg.8__932__AT5G50630.1 pep chromosome:v.1.0:8:14047888:14049743:-1 gene:fgenesh2_kg.8__932__AT5G50630.1 transcript:fgenesh2_kg.8__932__AT5G50630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin family protein [Source:UniProtKB/TrEMBL;Acc:D7MQ93] MTWWRQKLELLVNDRWLVFVCAMWIQSVAGVGYLFGGSMSPAIKTSLGYNQKQIALLGVAKNLGDAIGFVSGALSEVSPTWVVLIVGATQNLVGYGVVWLVVTGQLPNLPLWMLFVAIFVGTNGETYYNTASLVSCIHNFPESRGPVVGILKGFSGLSGAILTQVYLMFNPSRDSSVILMVALGPPVVVLALLFVVRPVERSCRANFRSDDLRFLAIYGFCVVLAVYLLGLLVLQSLFDITQSIITTSGAILVVFMVVPILVPFSSVFISGNNVTLVKSEEGTSHVDQHEVKTLIERSDILPEKRRAPCIGEDFTLLQALGQADFWLIFMSLVLGVGSGITVIDNLGQICYSLGYNNTKIFVSLISISNFLGRVAGGYFSELIIRKLSLPRTLAMSAVQAIMSLGLIYYAIDWPGKIYVVTIVIGMGYGAHWAIAPASVSDIFGLKSFGSLYNFQITALPIGSFVFSGVIASNIYDYYARKQAGASTETESLVCTGSVCYSVTCGLMSMLCLMAMVLSLSVVYRTRKFYLRLHRVSKT >fgenesh2_kg.8__936__AT5G50660.1 pep chromosome:v.1.0:8:14061431:14061634:1 gene:fgenesh2_kg.8__936__AT5G50660.1 transcript:fgenesh2_kg.8__936__AT5G50660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWVAVVVATVLFVVLSPGLLFQVPGNNNFVDFGKMETSGYSILLHAFLYFGLVTVFTVVIHFPGT >fgenesh2_kg.8__93__AT5G47030.1 pep chromosome:v.1.0:8:577098:579103:-1 gene:fgenesh2_kg.8__93__AT5G47030.1 transcript:fgenesh2_kg.8__93__AT5G47030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase delta [Source:UniProtKB/TrEMBL;Acc:D7MQL8] MLKQASRLLSRSVAAASAKSATTRAFSTELPSTLDSTFVEAWKKVAPNMEPPQTPSAFMKPRPSTPSSIPTKLTVNFVLPYTSELTGKEVDMVIIPASTGQMGVLPGHVPTIAELKPGIMSVHEGTDVKKYFLSSGFAFLHANSVADIIAVEAVPLDHIDPSQVQKGLAEFQQKLASATTDLEKAEAQIGVEVHSAINAALSG >fgenesh2_kg.8__940__AT5G50580.2 pep chromosome:v.1.0:8:14067266:14069331:1 gene:fgenesh2_kg.8__940__AT5G50580.2 transcript:fgenesh2_kg.8__940__AT5G50580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sumo activating enzyme 1b [Source:UniProtKB/TrEMBL;Acc:D7MQ99] MDGEELTEQETALYDRQIRVWGAGAQRRLSKSHVLVSGIKGTVAEFCKNIVLAGVGSVTLLDDRLVTTEAFNANFLILPDENAYVGKTVAEICCDSLKEFNPMVHVSIEKGDLSTFGVDFFEKFDVVVIGYSSRATKKAVNEKCRNLAKRVAFYTVDCRGSCGEIFIDLKNYKYTKKKLDETVECELMFPSFEEAVSAPWKPIPRRTAKLYFAMRVIELFEETEGRKPGECSLSDLPRVLKLKKELCEGNSVSEKHIPDSLLERLVSGNTEFPPACAIIGGILGQEVIKVISGKGEPLKNFFYFDAEDGKGVIEDLSNKL >fgenesh2_kg.8__946__AT5G50600.1 pep chromosome:v.1.0:8:14071563:14073518:-1 gene:fgenesh2_kg.8__946__AT5G50600.1 transcript:fgenesh2_kg.8__946__AT5G50600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MQA1] MELINDFLNLTAPFFTFFGLCFFLPPFYFFKFLQSIFSTIFLENLYGKVVLITGASSGIGEQLAYEYACRGACLALTARRKNRLEEVAEIARELGSPNVVTVHADVSKPDDCRRIVDDTITHFGRLDHLVNNAGMTQISMFENIEDITRTKAVLDTNFWGSVYTTRAALPYLRQSNGKIVAMSSSAAWLTAPRMSFYNASKAALLSFFETMRIELGGDVHITIVTPGYIESELTQGKYFSGEGELIVNQDMRDVQIGPFPVSSASGCAKAIVNGVCRKQRYVTVPSWFKVTYLWKVLCPELIEWGCRLLYMTGTGMSEESALNKRIMDIPGVRSALYPESIRRPEIKSD >fgenesh2_kg.8__949__AT5G50710.1 pep chromosome:v.1.0:8:14075355:14075912:1 gene:fgenesh2_kg.8__949__AT5G50710.1 transcript:fgenesh2_kg.8__949__AT5G50710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTAMQETQYNNGPRTYKKPVLNFLDRLSLSFIVVVFCVIVVFCPLWLQFSNSFFLFTIPRVVNYILKPKVLFVLTNVIVITLIGESRFSRARSSLPDSELPRSRSSSLWSHENVRVEIGYQRFSEENIDQPRLREGKRCKLSPLEMDSLNQRADDLIARVNRQRRLEIGLVHSHIDEGRISL >fgenesh2_kg.8__94__AT5G47020.1 pep chromosome:v.1.0:8:585730:593734:-1 gene:fgenesh2_kg.8__94__AT5G47020.1 transcript:fgenesh2_kg.8__94__AT5G47020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein [Source:UniProtKB/TrEMBL;Acc:D7MQL9] MLGLVFLCVLLVSTPCFSLSQYGGTEFESPLRLFSDEDSGNSTSLPISVTCQDLDGVGSLNTTCTLNSDLRFDSDVYVYGTGNLNILAHVLVDCPIEGCMIIFNVSGTIHLGQSARIVAGSVVFSAINLTMDSNSSIYTTALAGPPPSQTSGTPYGSDGAGGGHGGRGASCVKSNKTTYWGGDVYAWSSLHDPWSYGSEGGVKLSTKNIRGKGGGRVRLLLKDTVHVNGTVYADGGDAGEEGGGGSGGSICIRAGYGKISASGGRGWGGGGGGRISLDCYSIQEDVKVFVHGGASIGCPKNAGAAGTYFNAELVSLRVGNDNMTTETETPLLDFPTRPLWSNIYVDNNAKVLVPLLWTRMQVRGQISLYRGSSIVFGLSKYPISEFELVAEELLMSNSVIKVFGALRLVTKMLLMLNSVIQIDGEGNPAVPSSVLEVRNLAVLRGKSVITSNANLGVYGQGMLTLSGPGDAIKGQRLSLSQFYNITVGPGSILQAPLDDYESKNAVTQTLCESKTCPIDLISPPDDCHVNYTLSFSLQICRVEDILVIGLVKGSIIQIHRARTVVVTDDGLITATGFGCSGGLGKGLYSNGAGSGAGHGGRGGSGIFNGRVCNGGHTYGDPDFPCELGSGAESPDKSYGNVTGGGMIVIGSIQFPLLILNLRGSLSSDGQSLWKPTANGNRSLVGGVGGGSGGTILLFLQMLELSKNSSLSVRGGRGGPLGGGGGGGGRLHFHWDMLHTGDEYSPVAVVKGSISNRGGAGDNGGRFGEEGTMTGKKCPKGLYGTFCLECPIGTYKNVEGSDKHLCTPCPPEHLPSRAKFVYVRGGVSEPVCPYKCVSDKYRLPNCYTPLEELVYTFGGPLPFTLLLSCVVVVLGLLLSTLSIKLLRLSFYGANSIEHQSAHCLPHLLSLSEVRGAKSEDTQTHAYRMYFMGPNTFREPWHLPYSPPDAIIEIVYEDAFNRFIDEINSTAAYDWWEGSVHSILSVLANPCAWSWKQWRRRRKIHRLQEYVKSQYDHSCLRSCRSRALYKGMKVGATPDLMVAYIDFFLGGDEKRVDMVSIIQKRFPMCILFGGDGSYMSPYSLHSDTLLTNLLGQHIPPSVWHRFVAGLNAQLRTVRHGSIRSALLPVIRWINSHGNPQLEFHGVRIELGWFQATASGYYQLGILVFVGDFPLNTVNRSLSFSRSDDESPRNSSACPSKSLIELQQNLIQPGHGLSRKRINGGINGGLINEISLESLEYRRDLLFPFSLLLNNTRPVGRQDTLLRLISILLLADLSVTLLALLQFYWLAIAAFLAILLILPLSLLCPFPAGLNALLSKEMRRASLARIYGLWNATSLTNVIVAFICGVIHSGFFSDELPNIWNAIRDDDRWWVLPTILLLLKSIQARFLDWHVANLEVPDFSLLCPDPDTFWAYESGA >fgenesh2_kg.8__950__AT5G50720.1 pep chromosome:v.1.0:8:14077234:14078495:-1 gene:fgenesh2_kg.8__950__AT5G50720.1 transcript:fgenesh2_kg.8__950__AT5G50720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:D7MQA3] MTKLWTLLSALHSLAGPVVMLLYPLYASVIAIESPSKVDDEQWLAYWILYSFLTLSELILQSLLEWIPIWYTAKLVFVAWLVLPQFRGAAFIYNRIVREQFKKYGILKPKVEHQAE >fgenesh2_kg.8__952__AT5G50740.1 pep chromosome:v.1.0:8:14088322:14090380:-1 gene:fgenesh2_kg.8__952__AT5G50740.1 transcript:fgenesh2_kg.8__952__AT5G50740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKAEEPQVKSEDKKPAEEEKKKEPQEIVLKIFMHCEGCAKKIHRCLKGFEGVEDVTTDCKTSKVVVKGEKADPLKVLQRLQRKSHRQVELISPIPEPKPVSDVPEKKEKEKPKPEEKKEEVVTVVLRVHMHCEACAMEIQKRIMRMKGVESVEPDFKASQVSVKGVFTPEKLVEFIYKRIGKHAAVVKQDPPPKPPEKEKETKDKGEKKKEEGQPKEGKEAKEDGGGGGAKGDGAAAGEGNKVVDLKKNEYQYQPPRYPVEMFAYPPQIFSDENPNACTIM >fgenesh2_kg.8__955__AT5G50760.1 pep chromosome:v.1.0:8:14098664:14099441:1 gene:fgenesh2_kg.8__955__AT5G50760.1 transcript:fgenesh2_kg.8__955__AT5G50760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7MQA8] MKNPILKTWRKVKSFGHTSSSTTPSFTRSKSCHGSFRLEDAKSNESKAKSKKELPSHGFFTVYVGPTKQRIVVKTKLLNHPLFKNLLEDAETEYGYRRDGPIVLPCEVDFFFKTLADMKSNHGHHDGDDYDDDDDGFTNSPVCGFICSPYRSYGGGGMAMKRNGSYKLLRSPSLFKLNRF >fgenesh2_kg.8__956__AT5G50780.1 pep chromosome:v.1.0:8:14114866:14119481:1 gene:fgenesh2_kg.8__956__AT5G50780.1 transcript:fgenesh2_kg.8__956__AT5G50780.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRVKQENPVTTSTTLSTWKPAARNKTIPPRESVIELSSSDEGSELGENLDGIADIESVDRTGGDVSGTKRARSDSIASPAKKLAVMIPEDDEGFLQSNTSGQAILALPATPCNVVAAPSSPWGSCKQFWKAGDYEGTSGGDWEVSAGGFDHVRVHPKFLHSNATSHKWSLGAFAELLDNALDEVHTGATFVNVDMIENKKDGSKMVVIEDDGGGMNPEKMRHCMSLGYSAKSKLADTIGQYGNGFKTSTMRLGADVIVFSRCLGKDGKSSTQSIGLLSYTFLKSTGKEDIVVPMLDYERRDSEWCPITRSSVSDWEKNVETIVQWSPFPTEEDLLRQFNLVKKHGTRIIIYNLWEDDQGMLELDFDTDPHDIQLRGVNRDEKNIDMASQFPNSRHYLTYKHSLRSYASILYLKIPREFRIILRGKDVEHHNIVNDMMQTEKITYRPKEGADGCAKYSNLSAVVTIGFVKDAKHHVDVQGFNVYHKNRLIKPFWRIWNAAGSDGRGVIGVLEANFVEPAHDKQGFERTTVLSRLEARLLQMQKNYWRSNCHKIGYASRQGKKSVKDTEDRESSPEYDPKRSDSSRKRNAPSSFKTPTAAPNYNTPTAASEKFNTRSNVIRGGKGSLKDSKDIGYKSSGKGGGKLGNSFSKSDKRVKPQLARAVEVTNSDDDYDSSPERNVTELPEKISELPKPQSGSRTLSQLEQENNELRDRLNKKEEVFLLLQKDLRREKELRKTLEAEVQTLKYKLEEMDKEQASLIDVFAEDRDRRDKEEENLRIKLEVLIRSNLISLNSLLDSRNRMT >fgenesh2_kg.8__957__AT5G50790.1 pep chromosome:v.1.0:8:14123927:14125647:-1 gene:fgenesh2_kg.8__957__AT5G50790.1 transcript:fgenesh2_kg.8__957__AT5G50790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:D7MQB1] MAISQAVLATVFGILGNIISFFVCLAPIPTFVRIYKRKSSEGYQSIPYVISLFSAMLWMYYAMIKKDAMMLITINSFAFVIQIVYISLYFFYAPKKEKTLTVKFVLFVDVFGFGAIFVLTYFLIHANKRVHVLGYICMVFALSVFLAPLGIIRKVIKTKSAEFMPFGLSFFLTLSAVMWFFYGLLLKDMNIALPNVLGFIFGVLQMILFLIYKKPGTKVLEPPGIKLQDISEHVVDVVRLSTMVCNSQMRTLVPQDSADMEATIDIDEKIKGDIEKIKDDNEAFLISKN >fgenesh2_kg.8__959__AT5G50810.1 pep chromosome:v.1.0:8:14164949:14165797:-1 gene:fgenesh2_kg.8__959__AT5G50810.1 transcript:fgenesh2_kg.8__959__AT5G50810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNMANNPELLQFLAQEKERAMVNEMVAKMTSVCWDKCITSAPGSKFSSSESSCLTHCAQRYMDMSMILMKRFQSQ >fgenesh2_kg.8__95__AT5G47010.1 pep chromosome:v.1.0:8:595571:603038:-1 gene:fgenesh2_kg.8__95__AT5G47010.1 transcript:fgenesh2_kg.8__95__AT5G47010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-level beta-amylase 1 [Source:UniProtKB/TrEMBL;Acc:D7MQM0] MDSQQSDLFDTASQPDAVADAYTFLEFNTQGDSEFDYPEFRSPTAWPTPSDSISIADVSDRGGGGAAADHHSEASSPSSLSAGAGNGAKVGRGGVGGSGGVSSSSQVDALAAGMGNLNFEETGDDDGFDYGKNDFTEHACKYCGISNPACVVRCNVASCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHRDSPLGETILECYNCGCRNVFLLGFISAKTDSVVVLLCRDPCLNVNALKDMNWDLSQWCPLIDDRCFLPWLVKVPSEQEQLRARQISAQQINKIEELWKTNPDATLEDLEKPGVDDEPQPVQPKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKENLTVRWDIGLNKKRVAYFVFPKEENELRLVPGDELRLRYSGDTAHPAWQSVGHVIKLTAQEEVALELRANQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKNFAVDETSVSGYIYHQLLGHEVEAQMVRNTLPRRFGVPGLPELNASQVNAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKNLKRQTEREITQSADVICCTCVGAADLRLSNFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGIKPIRLQVQYRMHPALSEFPSNSFYEGTLQNGVTIIERQTTGIDFPWPVPNRPMFFYVQLGQEEISASGTSYLNRTEAANVEKLVTAFLKSGVVPSQIGVITPYEGQRAYIVNYMARNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLVEGPLNNLKQSMVQFQKPRKIYNDRRLFSCGGAGMIGNDNYGSGNPNADRRGSRGRAGGPYLPSGPPNGARPGLHPAGYPIPRVPLSPFPGGPPSQPYAIPTRGPVGAVPHAPQPGNHGFGAGRGTSVGGHLPHQQATQHNVGTIGPSLNFPLDSPNSQPSPGGPLSQPGYGSQAFRDGFSMGGISQDFLGDDFKSQGSHVPYNMADFSTQASQGGYAVDYATQGAHGAFPGNFMNQNSQGGYSRFSGNNDFMSQEYMAHGGQGLFTQAGFIDSSQDDGQNPYGVNNPNLQSQGLPNSLYSQPFSHYNTQPLNLSGPQQSQPNQSSQNPKHPYNG >fgenesh2_kg.8__960__AT5G50820.1 pep chromosome:v.1.0:8:14168706:14169605:1 gene:fgenesh2_kg.8__960__AT5G50820.1 transcript:fgenesh2_kg.8__960__AT5G50820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC097 [Source:UniProtKB/TrEMBL;Acc:D7MQB4] MDISAQRFAMNGRSMRLPPGFRFDPDDEDLVFEYLAKKVLHRPMDFDLPELRSCNVDPWDLLGEKNKEVYYFVKKEERERKGRETLSGYWEECEEEEVMEAGDRDCIHLEGRRKTFAFYIGKKPRGTITPWIMYEFRLLSSRATRWSSSPLPRGEVGKWRAVKVVVKEENEEEMVEDEHESDESDGEEVIQSR >fgenesh2_kg.8__961__AT5G50830.2 pep chromosome:v.1.0:8:14170568:14172090:1 gene:fgenesh2_kg.8__961__AT5G50830.2 transcript:fgenesh2_kg.8__961__AT5G50830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSRLGGVAATRAEEAGVVPLPAGIRPLLRRRLEEMKKRSHAGVLKGSQTLSKKELLRHNSSEDGEDTEENEGSLKSSAKVAPAPDHHVEEKKEVIYEKIPSKDDFKEVKEEVVVKKQEEENHHQDVVKKQDEENKEVVEKQDEENQHDDVAINVKKEEDVVKNHDDNEGSMNNFDERMIGPGSPSFRVYCVDVPSDDDDEEKDGEDTRKSMETESVMTEIKEVDESIVKKDKRERRGRRFGMGLPRKYLANVTAPCYAGGGCMGNTHSRLVQEKSNQ >fgenesh2_kg.8__963__AT5G50840.2 pep chromosome:v.1.0:8:14173673:14176642:1 gene:fgenesh2_kg.8__963__AT5G50840.2 transcript:fgenesh2_kg.8__963__AT5G50840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPHSNLLPEVDSLPDGFVDGATDPPLNSPKTQEENCITTTINHETVAIEKAEKPRTFPVPLCETDGNEDDEVDDLIRDSEKLSLEAELEQKQEETSTPVSQTLSEGSSQNSTLSKEMDSLKPKKQQEVVESKRKGSKNMFKSEKEFLEFMLKYQQVLSERDSAITVRDKLESLCRELQRQNKMLMEECKRVSTEGQTLRSDLSTKFQDAIKDVSIKLDEQKDESLTQLKENEMLRTKLKHLADQFMLSEQQHEQRLKQKTLELQISALKIKQHEEKLIHEQSQMKVYADQVSQLLSTEKNLRLQLTADGDKFQQFQDALVKSNEVFETFKQEIDKMSKAIKELRKENAFLKSKTEKSDITLIELVEERERLKKLLEKTKKQKDKLESLCRSLQAERKQKETNSADSALQP >fgenesh2_kg.8__966__AT5G50860.1 pep chromosome:v.1.0:8:14181198:14184818:-1 gene:fgenesh2_kg.8__966__AT5G50860.1 transcript:fgenesh2_kg.8__966__AT5G50860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MQB8] MGCVLCKESTGDKRKHNNPDEPPPSDLRKAEDLPSSSSTTTTAVVSVPEVVEIGEKKKGLDSIQIQPPRTWHTGDFSAGSSRRPGMSLRTPEGWPPWLISACGDSIKDLTPRRATTYEKLEKIGQGTYSNVYKAKDLLTGKIVALKKVRFDNLEAESVKFMAREILVLRRLNHPNVIKLEGLVTSRVSCSLYLVFEYMEHDLSGLAATQALKFDLPQVKCFMKQLLSGLEHCHSRGVLHRDIKGSNLLIDNDGILKIADFGLATFYDPKQKQTMTSRVVTLWYRPPELLLGATNYGTGVDLWSAGCIMAELLAGKPVMPGRTEVEQLHKIFKLCGSPSDSYWKKYKLPNATLFKPQHPYKRCVAEAFNGFTPSSVHLVETLLAIDPADRGTSTSALSSEFFTTEPLPCDPSSLPKYPPSKELNVKLRDEEARRQKGLAGKGSGIDGARRIRYRGDRTGRAIPAPEANAESQANLDRWRAISQTNGKSKSEKFPPPHQDGAVGYPLEDRSKKSSVFGAKAETSLGLSRSLKSGEGTSMRKISNKDGARGASSRKYIWGLKPPPALGLSMDLLFRSRSEVFGIRR >fgenesh2_kg.8__967__AT5G50870.1 pep chromosome:v.1.0:8:14189674:14191364:-1 gene:fgenesh2_kg.8__967__AT5G50870.1 transcript:fgenesh2_kg.8__967__AT5G50870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 26 [Source:UniProtKB/TrEMBL;Acc:D7MQC0] MIDFSRVQKELQDCERNQDSSGIRVCPKADNLTRLTGTIPGPIGTPYEGGTFQIDITMPEGYPFEPPKMQFSTKVWHPNISSQSGAICLDILKDQWSPALTLKTALVSIQALLSAPEPKDPQDAVVAEQYMKNYQVFVSTARYWTETFAKNSSLEEKVRKLVEMGFGDAQVRSAIESSGGDENLALEKLCSG >fgenesh2_kg.8__96__AT5G47000.1 pep chromosome:v.1.0:8:608057:609450:1 gene:fgenesh2_kg.8__96__AT5G47000.1 transcript:fgenesh2_kg.8__96__AT5G47000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7MQM1] MSNMQFSRSFNPFVLLFCLAVVVPTISADVAILRTDYYQKTCPDFNKIVREAVTTKQVQQPTTAAGTLRLFFHDCFLEGCDASVLIATNSFNKAERDDDLNDSLPGDAFDIVTRIKTALELSCPGVVSCADILAQATRDLVTMVGGPYFDVKLGRKDGFESKAHKVRGNVPMANQTVPDIHGIFKKNGFTLREMVALSGAHTIGFSHCKEFADRLYGSKADKEINPRFAAALKDLCKNHTVDDTIAAFNDVMTPGKFDNMYFKNLKRGLGLLASDHILIKDNSTKPFVDLYATNETAFFEDFARAMEKLGTVGVKGDKEGEVRRRCDHFNNLNV >fgenesh2_kg.8__970__AT5G50915.1 pep chromosome:v.1.0:8:14208623:14210565:-1 gene:fgenesh2_kg.8__970__AT5G50915.1 transcript:fgenesh2_kg.8__970__AT5G50915.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7MQU8] MATFSYFQNYPHSLLDPLLFPTPHPSINLTGFIDQNHLHPLPNISTVEDISFNPFLDEYNVDRPENSGLEKQANTTKTATTRSSSCDHGSSAITTIGKKRGRKARNVSNSKEGVEGTKSKKQKRGSKEEPPKDYIHVRARRGQATDSHSLAERVRREKISERMRTLQNLVPGCDKVTGKALMLDEIINYVQTLQNQVEFLSMKLTSISPVVYDFGSDLDGLVLRSEMGSPEVGTSFTNTMPTTTSIFPSLLDNAIVQEEGEGREKFVDRSGFNNNNFCSFP >fgenesh2_kg.8__972__AT5G50920.1 pep chromosome:v.1.0:8:14214453:14219278:-1 gene:fgenesh2_kg.8__972__AT5G50920.1 transcript:fgenesh2_kg.8__972__AT5G50920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 93-V [Source:UniProtKB/TrEMBL;Acc:D7MQU9] MAMATRVLAQSTPPSLACYQRNVPSRGSGRSRRSVKMMCSQLQVSGLRMQGFLGLRGNNALDTLGKNRQDFHSKVRQAMNVPKGKASRFTVKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGENNEVTANVGGGSSSNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIRQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILKGLRERYEIHHKLRYTDDSLVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKELRQITKEKNEAVRGQDFEKAGTLRDREIELRAEVSAIQAKGKEMSKAESETGEEGPMVTESDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHKRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADILLKEVFERLKKKEIELQVTERFKERVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDVDAEGNVTVLNGGSGTPTTSLEEQEDSLPVA >fgenesh2_kg.8__976__AT5G50950.2 pep chromosome:v.1.0:8:14230795:14235743:1 gene:fgenesh2_kg.8__976__AT5G50950.2 transcript:fgenesh2_kg.8__976__AT5G50950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIMQFEGEKKNLSEVADVTLKQDQDEQQRRSYSTSFREERDTFGPIQVPSDKLWGAQTQRSLQNFKIGGDRERMPEPIVRAFGVLKKCAAKVNMEYGLDPMIGEAIMEAAQEVAEGKLNDHFPLVVWQTGSGTQSNMNANEVIANRAAEILGHKRGEKIVHPNDHVNRSQSSNDTFPTVMHIAVATEITSRLIPSLKNLHSSLESKSFEFKDIVKIGRTHTQDATPLTLGQEFGGYATQVKYGLSRVACTLPRIYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETNLPFVTAENKFEALAAHDACVETSGSLNTIATSLMKIANDIRFLGSGPRCGLGELSLPENEPGSSIMPGKVNPTQCEALTMVCAQAMGNHVAVTIGGSNGHFELNVFKPVIASALLHSIRLIADASASFDKNCVRGSEANRERISKLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGCTLKHAAMKLGVLTSEEFDTLVVPEKMIGPSD >fgenesh2_kg.8__979__AT5G50970.1 pep chromosome:v.1.0:8:14267837:14270737:1 gene:fgenesh2_kg.8__979__AT5G50970.1 transcript:fgenesh2_kg.8__979__AT5G50970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MQV6] MSELCTNPKKPKLKEDDEDEEANVSCKEEQEEVLVALVEHRSNEIERLNHHISNYQNKLVEAERSLQNSKAKLAQLRGVSVPSISAKKDNKPLKTLRNVNVSEDYASPSPSKTLKPCDSSDHPRSSCGSSVSKAKTVVVKQKSETSRDSPNVKDRGMKRKFEQKEHKELIRLIAGNSSPTTIKCHTSNQISSQHKRKLRSLILCPVNEQLFATSSLDGMVSLWQLQPGRLAASLLSTTDCLSRKQRRWAEDMAWHPSGNTLFSVYTADDGDSQISILNLNKTRGVTFLENKPHVKGIINNIKFMPWESTCFVTGGSDHAVVLWNESDDEENKWKSKTLHRNLHSAAVMGVDGMRNKNVVLSVGADKRIYGFDVQVGRADYKHQIDYKCMSILANPCDFNLFMVQSGEPEKQLRLFDIRLRKTELHSFGWKQDSSESQSALINQSWSPDGLYLTSGSVDPVIHVFDIRYNARKPTQSIKAHQKRVFKAEWHYSQPLLISISSDLNIGLHKIS >fgenesh2_kg.8__981__AT5G51000.1 pep chromosome:v.1.0:8:14274007:14275077:-1 gene:fgenesh2_kg.8__981__AT5G51000.1 transcript:fgenesh2_kg.8__981__AT5G51000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MQV8] MSMSDLSGRDLVEEILSRVPITSLRAVRLTCKQWNDYLSKDPSFTKNHYGKEAKEIMACLMSVNLHNHKDLADPSLKKIGKLNQVKISQLLFHCDGLLLLMLCNAKDKSRLMVWNPNNLCILDMFYMGYDTNNNHKILKFSSFHREYEIYDFKSNAWRVDVTTDCNIYRWSTVSLKGNAYCIAHEKLKRFGPRLPLPFHSCPEDAVILSTCDTYEMKIWITTKIEPNIVLWSNFLKVDMRLLTERFWFPYWIFFVDEKKKVAMICHIDSKTWNNYKSHMVGENGYYREVKCRKPESYLHMCSYVPSSVQI >fgenesh2_kg.8__984__AT5G51030.1 pep chromosome:v.1.0:8:14297984:14299695:-1 gene:fgenesh2_kg.8__984__AT5G51030.1 transcript:fgenesh2_kg.8__984__AT5G51030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MQW5] MVGSKEKSKEKRDKRLQEISLLRTIPYSDHQRWWTSETVAVVTGANRGIGFEMVRQLAGHGLTVILTSRDENVGVEAAKILQEGGFNVDFHRLDILDSSSIQEFCIWIKEKYGLIDVLINNAGVNYNVGSDNSVEFSHMVISTNYYGTKNIIKAMIPLMRHACQGARIVNVTSRLGRLKGRHSKLENEAVRAKLMDVDSLTEEIVDKTVSEFLKQVEEGTWESGGWPHSFTDYSVSKMAVNAYTRVLAKELSERPEGEKIYANCFCPGWVKTAMTGYAGNISAEDGADTGVWLALLPDQAITGKFFAERREINF >fgenesh2_kg.8__986__AT5G51040.1 pep chromosome:v.1.0:8:14301921:14303262:1 gene:fgenesh2_kg.8__986__AT5G51040.1 transcript:fgenesh2_kg.8__986__AT5G51040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRALINVHRIIRSTAVVGRRSVIPAAANRIIFRNGVDSGTRFFCGNTASAQNFDIDLSNEENKRRTINRLLYRSKQRGFLELDLVLGNWVEENVDSMDENGVKSLIHVLNLENPDLWKWLTEQEQPPEEVSSNPVFSALHEKVMKNLNKHAAPETRAAAGQPWVKGWDDFKRGRDAPISGNQ >fgenesh2_kg.8__988__AT5G51060.1 pep chromosome:v.1.0:8:14314900:14320164:-1 gene:fgenesh2_kg.8__988__AT5G51060.1 transcript:fgenesh2_kg.8__988__AT5G51060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVSFEVSGGYHSDAEAGNSGPMSGGQLPPIYKKPANSRFTAENSQRTRTAAPYVDLTVDVQDDRVSVHSLKMEGGSSVEESPELTLLKRNRLEKKTTVVKRLASVSHELKRLTSVSGSVGGRKPPRPAKLDRTKSAATQALKGLKFISKTDGGAGWSAVEKRFNQITATTGGLLLRTKFGECIGMTSKDFALELFDALARRRNITGEVIDGDQLKEFWEQINDQSFDSRLKTFFDMVDKDADGRLTEDEVREIISLSASANNLSTIQKRADEYAALIMEELDPDNIGYIMLESLETLLLQAATQSVITSTGERKNLSHMMSQRLKPTFNRNPLKRWYRGLRFFVLDNWKRCWVIVLWLIVMAILFTYKYIQYKRSLVYAVMGDCVCMAKGAAETVKLNMALILLPVCRNTITWLRNKTRLGVVVPFDDNLNFHKVIAVGIIVGVTMHAGAHLACDFPRLLHATPEAYRPLRQFFGDEQPKSYWHFVNSVEGITGLVMVLLMAIAFTLATPWFRRGKLNYLPGPLKKLASFNAFWYTHHLFVIVYILLVAHGYYLYLTKDWHNKTTWMYLVAPVILYACERLIRAFRSSIKAVTIRKVAVYPGNVLAIHLSRPQNFKYKSGQYMFVNCAAVSPFEWHPFSITSAPQDDYLSVHIRVLGDWTRALKGVFSEVCKPPPAGVSGLLRADMLHGANNPDFPKVLIDGPYGAPAQDYKKYEVVLLVGLGIGATPMISIVKDIVNNIKAKEQAQLNRMENGTSEPQRNKKESFRTRRAYFYWVTREQGSFDWFKNIMNEVAERDTNRIIEMHNYCTSVYEEGDARSALIHMLQSLNHAKNGVDIVSGTRVMSHFAKPNWRNVYKRIAMDHPNSKVGVFYCGAPALTKELRHLALDFTHKTSTRFSFHKENF >fgenesh2_kg.8__989__AT5G51070.1 pep chromosome:v.1.0:8:14331604:14336087:1 gene:fgenesh2_kg.8__989__AT5G51070.1 transcript:fgenesh2_kg.8__989__AT5G51070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSTSSPLTLHSRRLLSSSSSSSHVTSIAASSLSSFASSYLGISLSNRTIHRFSTTPTKFRRFPQRKRKKFTPISAVFERFTERAIRAIIFSQKEAKSLGKDMVYTQHLLLGLIAEDRDPQGFLGSGITIDKAREAVWSIWDEANSDSKQEEVSSTSYSKSTDMPFSISTKRVFEAAVEYSRTMDCQYIAPEHIAVGLFTVDDGSAGRVLKRLGANMNLLTAAALTRLKGEIAKDGREPSSSSKGSFQAPPAGRIAGSGTGGKAKAKNVLEQFCVDLTARASEGLIDPVIGREKEVQRVIQILCRRTKNNPILLGEAGVGKTAIAEGLAISIAEANAPGFLLTKRIMSLDIGLLMAGAKERGELEARVTALISEVKKSGKVILFIDEVHTLIGSGTVGRGNKGSGLDIANLLKPSLGRGELQCIASTTLDEFRSQFEKDKALARRFQPVLINEPSEEDAVKILLGLREKYEAHHNCKYTMEAIDAAVYLSSRYIADRFLPDKAIDLIDEAGSRARIEAFRKKKEDAICILSKPPNDYWQEIKTVQAMHEVVLSSRQKQDDGDAIADESGELVEESSLPPAAGDDEPILVGPDDIAAVASAWSGIPVQQITADERMLLMGLEDQLRSRVVGQDEAVAAISRAVKRSRVGLKDPDRPIAAMLFCGPTGVGKTELTKALAANYFGSEESMLRLDMSEYMERHTVSKLIGSPPGYVGFEEGGMLTEAIRRRPFTVVLFDEIEKAHPDIFNILLQLFEDGHLTDSQGRRVSFKNALIIMTSNVGSSAIAKGRHGSIGFILDDDEEAASYTGMKALVVEELKNYFRPELLNRIDEIVIFRQLEKAQMMEILNLMLQDLKSRLVALGVGLEVSEPVKELICKQGYDPAYGARPLRRTVTEIVEDPLSEAFLAGSFKPGDTAFVVLDDTGNPSVRTKPDSSTVRVTDKTSIA >fgenesh2_kg.8__995__AT5G51110.1 pep chromosome:v.1.0:8:14353575:14354929:-1 gene:fgenesh2_kg.8__995__AT5G51110.1 transcript:fgenesh2_kg.8__995__AT5G51110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSSPPCNISVSSLLLRKPSCTILKVFGLLPPASRSNRKLGRLTVTRSNLAQDFLGDFGARDPYPEEIASQFGDKVLGCQSTEHKILIPNASVLSLSQLQCSPVSPSQPPLSADDARTLLHKVLGWSIVEDEAGGLKIRCMWKVRDSECGVELINRIQKVAEASGHYPSLHVESPTQVRAELFTPSIGGLSMNDFIMAAKIDDIKTSDLSPRKRAWA >fgenesh2_kg.8__997__AT5G51130.1 pep chromosome:v.1.0:8:14357382:14359765:1 gene:fgenesh2_kg.8__997__AT5G51130.1 transcript:fgenesh2_kg.8__997__AT5G51130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDNDQKKNKKKRNRSNENEKSVEKVVTNEEKVPTQQKQQQGNCNQSKKKKNQEVYPFGNYRNYYGYRISHDTDEDPRLKVLKKEWFEGKDCLDIGCNSGIMTIHIAKKFGCRSILGVDIDSSRIEDAHWHLRKFVRMQNSAKPSEQKSSSEGAEGVHRSKEQSVSLSNGEAKTDSAETKDLSQIVSFQKENFVLTRNLDENRYDTILCLSVTKWVHLNWGDDGLITLFSKIWRLLQPGGIFVMEPQPWKSYENNRRVSETTAMNYRTIVLRPDRFQEILLDKIGFRTVEDLTSSLSGASKGFDRQILAFQK >fgenesh2_kg.91__1__AT5G50800.1 pep scaffold:JGI8X:scaffold_91:1557:3859:-1 gene:fgenesh2_kg.91__1__AT5G50800.1 transcript:fgenesh2_kg.91__1__AT5G50800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:D7MWB0] MALTHNVWAFVFGMLGNIISFVVFLAPVPTFVRICKKKSTEGFQSLPYVSALFSAMLWIYYAMQKDGSGFLLITINAVGCVIETIYIVLFVTYANKKTRISTLKVLGLLNFLGFAAIVLVCELLTEGSTREKVLGGICVGFSVSVFAAPLSIMRVVVRTRSVEFMPFSLSLFLTISAVTWLFYGLAIKDFYVALPNVLGAFLGAVQMILYIIFKYYKIPMAQKTDKSKAVSDHSIDIAKLTTVTPGPISDSAVHQPPLIHNVPETQIQVTEVKSQNITDPKDQINKDVENQSQV >fgenesh2_kg.938__1__AT2G39490.1 pep scaffold:JGI8X:scaffold_938:1104:2822:1 gene:fgenesh2_kg.938__1__AT2G39490.1 transcript:fgenesh2_kg.938__1__AT2G39490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MYC2] VFNNKSNHDQRRESSFIKTQILTYHKQLHVDKSAFVSTILAPHNVMHMSFFFSGGSNKEESFCWRLEINDQIPRRVDSSGFLVKTLCLDSVNSLTHEVVSSMVLEFSLLDSLKICGCKGLTSLTIDSPTKLLHLSISGCPKLRYLEIRSFKLKTFHYQGSLPLIKIHEHFNLTKAIFDVTQGPSYYNNGLDIGPLSLIIKNSQYLTLCRWMFEEVIKPSISSSWRSFQFYKLQELRWIDNSMNQENINSMISFLKLCPSIERLFIDIDSNTYSSNEEVSVDAVHACEHARVLRDLKLVKLEGFESEDNKNQLILALQQIVSNDQLLLVLSSIS >fgenesh2_kg.954__1__AT5G49550.1 pep scaffold:JGI8X:scaffold_954:507:1033:-1 gene:fgenesh2_kg.954__1__AT5G49550.1 transcript:fgenesh2_kg.954__1__AT5G49550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRDDLAESLQNLFTSVSSMVKSELQGTNNHLDLLEKMNQRVASEYDDMGDVAAGLRVFAEQMKSKSGGLDEFVGQMDAIEKQVSEFEAVISVLDRYVSVLESKIRAEYRHHHHHRRSNDSVCTD >fgenesh2_kg.96__1__AT2G38330.1 pep scaffold:JGI8X:scaffold_96:19026:21454:-1 gene:fgenesh2_kg.96__1__AT2G38330.1 transcript:fgenesh2_kg.96__1__AT2G38330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWC7] GSAELAAVGVSVSVFNLVSKLFNVPLLNVTTSFVAEEQAIAAKDDSDSIETSKKVLPSVSTSLVLAAGVGIAEAIALSLGSDFLMDVMAIPFDSPMRIPAEQFLRLRAYGAPPIVVALAAQGAFRGFKDTTTPLYAVVAGNVLNAILDPILIFVLGFGISGAAAATVISEYLIAFILLWKLNENVVLLSPQIKVGRANQYLKSGGLLIGRTVALLVPFTLATSLAAQNGPTQMAGHQIVLEVWLAVSLLTDALAIAAQSLLATTFSQGEYKQAREVIFGVLQVGLATGTGLAAVLFITFEPFSSLFTTDSEVLKIALSGTLFVAGSQPVNALAFVLDGLYYGVSDFGFAAYSMVILGLISSLFMLVAAPTFGLAGIWTGLFLFMALRLVAGAWRLGTRTGPWKMLWSAPEKPE >fgenesh2_kg.970__2__AT2G36000.1 pep scaffold:JGI8X:scaffold_970:7:1483:1 gene:fgenesh2_kg.970__2__AT2G36000.1 transcript:fgenesh2_kg.970__2__AT2G36000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEALSFLSSSFPSLHHNFPTLSRLRFHNFPALSFKPNTSSSSLFKSPNIPSLSSTTATTETLESSIHEKLIYLDSLGIDFLTLINRHPPLLSTALSAVESVVDYMTTPPINFTLQDFRRLVSMCPELLTSPLTSHTIPVITFLLREVGVDSIFDLRQALRRRPRLLACSVDHQLRPTLYFLQRIGILDPHKHTYLLSCSVEHKLVPRIDFFEKLGFSRRSATAMFKRFPQLFNYSIAENYEPKLKYLMVEMERDVREVLEFPQYFSFSLENRIKPRHEACAAKGVRFPLPVMLKTNEAGFRDTLEVCCDSSPPLKTSRLVTVQKILDL >fgenesh2_kg.97__1__AT5G43290.1 pep scaffold:JGI8X:scaffold_97:8093:9280:-1 gene:fgenesh2_kg.97__1__AT5G43290.1 transcript:fgenesh2_kg.97__1__AT5G43290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 49 (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MWC9] MAEKGSQWTRRCGNDAVEDIFVNEPPLFFLSQEQHHRLMPYEDSITNKFVTSTLYSGPRIQDIANALVLVEPLSHPVREISKSTVPRLERSTLNKVDKYTLKVKNNSNGMCDDGYKWRKYGQKSIKNSPNPRSYYKCTNPICNAKKQVERSIDEPNTYIITYEGFHFHYTYPFFLPDKTHQWPNKKTKIHKYYAQEMNKGSQTQEESKEAQLGEPANQNQPVHKAQENTPVNLEDGLFFPVDQCRRQQGLLEDVVAPAMKNIPTKDSVLTAS >fgenesh2_kg.9__104__ATCG00950.1 pep scaffold:JGI8X:scaffold_9:1652446:1655252:-1 gene:fgenesh2_kg.9__104__ATCG00950.1 transcript:fgenesh2_kg.9__104__ATCG00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORF64c (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVM3] MNGAKRSAEAVGCQNASVGERSALGGSNRASGGGRSGSENVGLSNANIGENPMPRKPKGSSARFVHG >fgenesh2_kg.9__106__ATCG01250.1 pep scaffold:JGI8X:scaffold_9:1662348:1664202:1 gene:fgenesh2_kg.9__106__ATCG01250.1 transcript:fgenesh2_kg.9__106__ATCG01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEFLLFILTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSLAPSHQWTPDVYEGVRWFSSFRDYECNRSIRRQKDHPKMIISWLLRTNQIRWFHFSIFRTCSYGTKVEKIEKNQSFTTTDEGFLEKLRIIVAFLSVTSKVAASASATRIFDIPFYFSSNEWHLLLEILAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYIAMNLGTFACIILFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWQAGLYFLLLMTGRNQEITPHVRNYRISPLRSNNSIELSMIVCVIASTIPLISMNPIIAIAQDTLFTF >fgenesh2_kg.9__107__ATCG00870.1 pep scaffold:JGI8X:scaffold_9:1665413:1665629:-1 gene:fgenesh2_kg.9__107__ATCG00870.1 transcript:fgenesh2_kg.9__107__ATCG00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KMT2] HGRIEILDQNTMDGWYELPKQEFLNSEQPELLLTTSKKFPLIKDGNPLENQKYACRMKLLLLSVPITNQLNN >fgenesh2_kg.9__109__AT2G07110.1 pep scaffold:JGI8X:scaffold_9:1707906:1708368:1 gene:fgenesh2_kg.9__109__AT2G07110.1 transcript:fgenesh2_kg.9__109__AT2G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVN7] LHNTDLKQERRRTKMLRRLVLKSYGSLKPDRLVIVNAFSFSEGSLFCCLRKVEREYETILKRTIQSICVLTVVLNTTTSVIIQVVHDGGSVSFLCSLHLVNIY >fgenesh2_kg.9__10__AT4G13370.1 pep scaffold:JGI8X:scaffold_9:314817:317333:1 gene:fgenesh2_kg.9__10__AT4G13370.1 transcript:fgenesh2_kg.9__10__AT4G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPGILLKLLQCMNSGTRPTGDHRSAILQVTGIVPALAGSDLWPNQGFYVQISDSLNSTYVSLSERDTDLILTNRLQLGQFIYLERLEFATPVPRAAGIRPVAGRHAFVGTPEPLIARVSPGSKRDFVIQPVSDSEYSLDPIAVYLNNRRIDDDGDGDVTIPNLRQALAPVNQNEENRNQIRNQKPKQKTPQRFSSPASSKRSVSSGKKNSSGAVTVERDPSPAVSGKGKRSASPVPSKCVVPSLAAAREENRKLAREPSIVVPSRYRQPSPNGRKMNPSPSGRRMSISPGRRLSSGVKMSPMVVDSSGKKKMAAIAAGISKVSEALVGSSAKNGNRKNWDEPLGADGSVKNKPDHQAILRTQAAMTRRLSDANRRKSDSSACEEKAKSCSSESSLLEEVSVVEGLGITYHERKWTDGSVPFDSISDELAKLGKEAMQRRDFAARAAARALEEANANECIIRCFSKFSELSSASKVGNPLRIINEFLTIYEDVMKYSKIASENSFSSSSDQQNPIALWVEAALATNLDVVSLVKSQESPSSLKKSMPTRLSPRPSSKTDNIVGMWTDIDGLKETAKFAVNLQSEMQMWFIGFVEESLDNKNAAKRSLDGSSIAAVLSQLKQVNEWLDRVSSNQENQITTMDKIERLKRKIYGFVIHHVGSTYDNSASSS >fgenesh2_kg.9__111__AT4G12650.1 pep scaffold:JGI8X:scaffold_9:1725470:1727280:-1 gene:fgenesh2_kg.9__111__AT4G12650.1 transcript:fgenesh2_kg.9__111__AT4G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:D7MVP0] MILDNLPALRFAKQNGVTIQWTGYPVGYSPPNSNDDYIINHLKFKVLVHEYEGNVMEVIGTGEEGMGVISEADKKKALGYEIVGFEVVPCSVKYDPAKMTKLHMYDPVPSVNCPLELDKAQIIKEHERITFTYEVEFVKSETRWPSRWDAYLKMEGARVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLTKYEELDKEAQAQMNEELSGWKLVVGDVFREPEMSKLLCIMVGDGVRITGMAVVTIVFAALGFMSPASRGMLLTGMIILYLFLGIAAGYAGVRLWRTVKGTSEGWRSLSWSIACFFPGIAFVILTVLNFLLWSSNSTGAIPISLYFELLALWFCISVPLTLFGGFLGTRAEAIQFPVRTNQIPREIPERKYPSWLLVLGAGTLPFGTLFIELFFIFSSIWLGRFYYVFGFLLIVLLLLVVVCAEVSVVLTYMHLCVEDWRWWWKAFYASGSVALYVFAYSINYLVFDLQSLSGPVSAMLYIGYSLLMAIAIMLATGTIGFLTSFYFVHYLFSSVKID >fgenesh2_kg.9__112__AT4G12680.1 pep scaffold:JGI8X:scaffold_9:1737912:1740981:1 gene:fgenesh2_kg.9__112__AT4G12680.1 transcript:fgenesh2_kg.9__112__AT4G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKGFFEKLWSFVSFLPYFFLLLLLGLTKALIVGPVSSAIILIGNSTVIIGLWPAHFVWTYYCLARTKRIGLVLKTLALILFPLPLLLWPVTGIVGSLFGGIAYGFFTPLMATFEAVGESVTSKCYHCFVDGSFSTIKGSCTVVTDFTDFCFHSYFSYMDELREMVSADVEPLEIRLSRLPSCLLASLIGVVVDVLLITAVALYKSPYMLLKGWKRLLEDLVGREGPFLETVCVPFAGLAILLWPLAVVGAVIASVLSSFFLGLYSGVIVHQEDSFRMGLNYIIAAVSLYDEYVNDLLYLREGTRLPRPCYRTKIETGHGKRILVESKNIDLKSKRSSSLASKLVSEQSRTLKKAITLYKPVQVWEWLFKSCEVNGRILLRDGLIDVKDVEECLVKGNCKKLYIKLPAWTVLQCLLASAKSNSSGLVITDGVELTELNSPRDKVFVWLIGPLLIMKEQIKNLKLTADEEFCLRKLVMVCKNERTEDWDSTGFPSSDTVRKAQLQAIIRRLQGMVASMSRIPTFRRRFMNLVKVLYIEALEMGVSGNRAGGILKPNSHQTGNLDRTETPDMDVV >fgenesh2_kg.9__113__AT4G12690.1 pep scaffold:JGI8X:scaffold_9:1791636:1792671:1 gene:fgenesh2_kg.9__113__AT4G12690.1 transcript:fgenesh2_kg.9__113__AT4G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSESSTAEKITEDPLTYKTAQSSVTCIYQAHMVGFWRNVRVLWSKNLMNHSLTVMVTSVQGDMNYCCKVDLKPWHFWNKKGYKSFEVEGNQVDVYWDFRSAKFNGGPEPSSDFYVALVSEEEVVLLLGDHKKKAFKRTKSRPSLVDAALFYKKENVFGKKSFSTRAKFHDRKREHEIVVESSTGAKDPEMWISVDGIVLVQVRNLQWKFRGNQTVLVDKEPVQVFWDVYDWLFSSPGTGHGLFIFKPESGESETSNGTKDSSVSSSSDFCLFLYAWKLE >fgenesh2_kg.9__115__AT4G12710.1 pep scaffold:JGI8X:scaffold_9:1797012:1799045:-1 gene:fgenesh2_kg.9__115__AT4G12710.1 transcript:fgenesh2_kg.9__115__AT4G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MVP7] MVVEENQNQMMDSIAGDSAITANVSEDEAEEETTTNTWKNTKELLILHLSKKLLHGDLDFRIEAAKEIRKLLRKSPVKSSARSKLADAGVIPPLVPMLISSNVDARHASLLALLNLAVRNERNKIEIVKAGAVPPLIQILKLHNASLRELATAAILTLSAAPANKATIITSGVPPLLVQMLSSGTVQGKVDAVTALHNLSACKEYSASILDAKAVSPLIHLLKECKKHSKFAEKATALVEMILSHSEDGRNAITSCEDGILTLVETVEDGSPLSIEHAVGALLSLCRSDRDKYRKLILKEGAIPGLLSSTVEGTSKSRDRARVLLDLLRETSREKEMAPLILEKIVYGIAVQVDGAEKAAETAKKLLQNMVHRSMELSMKSIQHKANISSKSNI >fgenesh2_kg.9__119__AT4G12730.1 pep scaffold:JGI8X:scaffold_9:1836073:1837573:-1 gene:fgenesh2_kg.9__119__AT4G12730.1 transcript:fgenesh2_kg.9__119__AT4G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFRRAATALVLISQLHLFLSLSNAHNITRILAKDPDFSTFNHYLSATHLADEINRRQTITVLAVDNSAMSSILSKGYSLYTIRNILSLHVLVDYFGAKKLHQITDGSTSTASMFQSTGSATGTSGYVNITDIKGGKVAFGVQDDDSKLTAHYVKSIFEKAYNISVLHISQVLTSPEAEAPTASPSDLILTTILEKQGCKAFSDILKSTGADKTFQDTVDGGLTVFCPSDSAVGKFMPKFKALSPANKTALVLYHGMPVYQSLQMLRSGNGAVNTLATEGNNKFDFTVQNDGEDVTLETDVVTAKVMGTLKDQEPLIVYKIDKVLLPREIYKAVKTTAPAPKSSKKKPKNAEADADGPSADAPSNDDGEVADDKNGAVSAMITRTSTVVTAIFGLCFGFWLM >fgenesh2_kg.9__15__AT4G13345.1 pep scaffold:JGI8X:scaffold_9:328418:333279:-1 gene:fgenesh2_kg.9__15__AT4G13345.1 transcript:fgenesh2_kg.9__15__AT4G13345.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGTSIDKNGYEGIKNGSWFSQFRNGYNPWMARYVYGLIFLLANLLAWALRDYGQGALTEMRKFKNCKEGGECLGTEGVLRVSLGCFLFYFIMFLSTVGTSKTQSSRDKWHSGWWFAKLFMWLGLTIFPFLLPSSIIQLYGEIAHFGAGVFLLIQLISIISFITWLNECFQAQKDAERCHVHVMLLATTAYTVCILGVILMYIWYVPDPSCLLNIFFITWTLFLIQLMTSISLHPKINAGFLTPALMGLYVVFICWCAIRSEPVGETCNRKAEGSSRTDWLTIISFVVALVAMVIATFSTGVDSQCFQFRKDENHEEDAIPYGYGFFHFVFATGAMYFAMLLVGWNIHHSMKKWTIDVGWTSTWVRIVNEWLAVGVYIWMLVAPMVLKSRQTT >fgenesh2_kg.9__16__AT4G13340.1 pep scaffold:JGI8X:scaffold_9:363606:365634:-1 gene:fgenesh2_kg.9__16__AT4G13340.1 transcript:fgenesh2_kg.9__16__AT4G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTIQILLLFFLFINLTNSFSHGGVLSDNEVRHIQRRQLLEFAERSVKITVDPSLIFENPRLRNAYIALQAWKQAILSDPNNFTSNWIGSNVCNYTGVFCSPALDNRKIRTVAGIDLNHADIAGYLPEELGLLSDLALFHVNSNRFCGTVPHRFNRLKLLFELDLSYNRFAGKFPTVVLQLPSLKFLDLRFNEFEGTVPKELFSKDLDAIFINHNRFRFELPENFGDSPVSVIVLANNRFHGCVPSSLVEMKNLNEIIFMNNGLNSCLPSDIGRLKNVTVFDVSFNELVGPLPESVGEMVSVEQLNVAHNKLSGKIPASICQLPKLENFTYSYNFFTGEAPVCLRLPEFDDRRNCLPGRPAQRSPGQCKAFLSRPPVNCGSFICGRSVSPRPSGGFYSPPPTPVYSPPPPPPCIEQPPPPPPPCIEYSPPPPPVIHYSSPPPPPVYYSSPPPPPVYYSSPPPPPPVHYSSPPPPEVHYSSPPPPPVHYSSPPPPPSAPCEESPPPAPVVHHSPPPPIVHHSPPPPPVVHRSPPPPSPEYEGPLPPVIGVSYASPPPPPFY >fgenesh2_kg.9__1__AT4G13480.1 pep scaffold:JGI8X:scaffold_9:106155:107122:-1 gene:fgenesh2_kg.9__1__AT4G13480.1 transcript:fgenesh2_kg.9__1__AT4G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor [Source:UniProtKB/TrEMBL;Acc:D7MV59] MVEEVWRKGPWTAEEDRLLIEYVRVHGEGRWNSVSKLAGLKRNGKSCRLRWVNYLRPDLKRGQITPHEENIILELHAKWGNRWSSIARSLPGRTDNEIKNYWRTHFKKKAKPTTNNAEKIKSRLLKRQQFKEQREIELQQEQQLFQFDQLDMKKIISLLEDNNSSSSSDGGGDVFYSPYQITHSSKPFGYNPNSLEEQLQGRFTPVNIPDANTMSEDNAIWDGFWNMDVVDGNGGNLGVVAATAAYGPRKPYFHNLVIPFC >fgenesh2_kg.9__20__AT2G07505.1 pep scaffold:JGI8X:scaffold_9:419002:419498:1 gene:fgenesh2_kg.9__20__AT2G07505.1 transcript:fgenesh2_kg.9__20__AT2G07505.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7KKB7] MGDKGRGIPSRCRCGEDVVLRTSKTIKNPGRLFYACRYGEENGRGHLFKWTDETMVEEMEDIIPKIDELERASLTLQKGLQALESEMETLAMETRSCEAVVCGFEKELRGLEKEIQGCKMELRGLKNILVCVVLMVLVYVFV >fgenesh2_kg.9__23__AT4G13270.1 pep scaffold:JGI8X:scaffold_9:457123:458904:-1 gene:fgenesh2_kg.9__23__AT4G13270.1 transcript:fgenesh2_kg.9__23__AT4G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKHEDYGVPYTPLPSSQPSQTVILLTPYRRNRYPSIFRNLRCSLLFTAAILLLSAAVYLLYPSDPDITVSRINLNHISVVDSHKIALDLSFSLTIKVRNRDFFSLDYDSLVVSIGYRGRELGLVKSKGGHLKARDSSYINATLELDGLEVVHDVIYLIGDLAKGVIPFDTIAQVKGDLGVLLFQIPIQGKVSCEVYVNVNNQKISHQDCHRKVNLVSFVPH >fgenesh2_kg.9__26__AT4G13261.1 pep scaffold:JGI8X:scaffold_9:606301:606696:-1 gene:fgenesh2_kg.9__26__AT4G13261.1 transcript:fgenesh2_kg.9__26__AT4G13261.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVA2] MARPIFSQEVHEDVVISPTPFEEANSPAMEYDMKLPHYSQKEIDFLKACAEKPSSICGGEIFQNVLDEKNLVTDECCRDILKIGKDCHLGLIKIIFSSYEYKNIASIPRSKQTWNDCVRRVGSKIGAPVSFE >fgenesh2_kg.9__30__AT4G13250.1 pep scaffold:JGI8X:scaffold_9:690271:692906:1 gene:fgenesh2_kg.9__30__AT4G13250.1 transcript:fgenesh2_kg.9__30__AT4G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MVB2] MTTLTKIQVYPQVLEHRLFCRDPIRVGSRLTCRERSNRVSVHRCEKKVERKRKVEKFKGNGLWGSLKSGFLGFSKLGFLSKDEYNQKVENLEMVFSSIAVQIARYIVTMTSTGAILLIGFQLSGGDSSMNSLVWYSWLGGIIIGTMTGANMVLEDHYRAGPRNVVITGSTRGLGKALAREFLLSGDRVIVTSRSSESVDMTVKELEQNLKEIMSNASESAKKKLSDAKVVGIACDVCKPEDVEKLSNFAVKELGSINIWINNAGTNKGFRPLLEFTEEDITQIVSTNLIGSILCTRGAMDVMSRQHNGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQFHGSIVKESQKTNVGLHTASPGMVLTELLLSGSSIKNKQMFNIICELPETVARTLVPRMRVVKGSGKAVNYLTPPRILLAIVTSWLRRGRWFDDQGRALYAAEADRLRNWAENRTRLSLTDAMEMYTENTWVSVFSLSVVCAFIILQSTTPSSFPGT >fgenesh2_kg.9__37__AT4G13215.1 pep scaffold:JGI8X:scaffold_9:745128:746832:-1 gene:fgenesh2_kg.9__37__AT4G13215.1 transcript:fgenesh2_kg.9__37__AT4G13215.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVB9] TTIPVIKPITRPATAVVLNLEEPRHGFKGNPQRLGLFPSKTSAPNFYPPKLGNTPVKLLSERL >fgenesh2_kg.9__40__AT4G05505.1 pep scaffold:JGI8X:scaffold_9:751616:753733:1 gene:fgenesh2_kg.9__40__AT4G05505.1 transcript:fgenesh2_kg.9__40__AT4G05505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRGGKGKRGRGSKIPPPPQNRPTSSQPVRVESKTLNRRPRGLPSQYEFTPANRQAPLQDSEQEPIAQPPTGPTIRDYPPPTQLFQSGEGSPRGSGSTPFRASGSTQPRSGGSRRFNGKPTISLQRRFNRKPPISLQRRFNRKPPILHLGRRQILHLEPLYHITALKLKTHMRKKMKMRKLRLIMKGNQLSLKIRLLLSMSCFSGQDVRSIQRSSLPHLSLKPIGKLSRLGLGLILSNVCVYLLSRLGVYMVS >fgenesh2_kg.9__41__AT4G13200.1 pep scaffold:JGI8X:scaffold_9:756527:757568:1 gene:fgenesh2_kg.9__41__AT4G13200.1 transcript:fgenesh2_kg.9__41__AT4G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVC2] MSSFTIPSPSSFSLSNSYYQPSRNSFIPRNSRSNFEFRRLAVEARRRSTSLRCSNGTHGSDSGENENRSVLDAFFLGKALAEVINERIESTVGEVLGTIGKFQAEQQKQVQEIQEEVLERAKKAKERAARETKEEQGLVASKSAAITRNPAASVVTSVTPTPTNESNTIMGGVVESSSSSD >fgenesh2_kg.9__43__AT4G13180.1 pep scaffold:JGI8X:scaffold_9:806107:807032:1 gene:fgenesh2_kg.9__43__AT4G13180.1 transcript:fgenesh2_kg.9__43__AT4G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MVC8] MEKNLRSSSSLPLAGRVAIVTGATRGMGREIAIHLHSLGARVTINYVSSSSKAELLVQELNDSSQPKSAIAVRADVSDPDEINNLFDQTEQEFGSKVHIVVNCAGVLDPKYPSLSETTLEDFDKTFTINTRGSFLCCKEAAKRVMRGGGGRIIMMSTSMVGGLAPGYGVYAASKAAVETMVKVLAKELKGSRITANCVAPGPVATEMFYAGKSDEMVKMLAGACPMGRIGESKDITEIVGFLAGDGGEWINGQVIRANGGFVV >fgenesh2_kg.9__45__AT4G13160.1 pep scaffold:JGI8X:scaffold_9:810081:811497:-1 gene:fgenesh2_kg.9__45__AT4G13160.1 transcript:fgenesh2_kg.9__45__AT4G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPESYRLTFYGILVAFMELAFAYCLLCVSAIVFITSKLLLFLPCPCSGILGYQNSDLCIQKLLFDWPFRIILRVQKLATTTRLSVLHHQEDQEEKKNLVEKDKNLELIDKVRLLEEAVEEERVARAALMVELEEERAASASAADEAMAMILRLQADKASLEMEGKQYERMIDEKFAYDEEEMNILKEILFKREREKHFLEKELETYKHIDDDQETQDDGFNEKREGDEDREPIMYDVHVIEDNSNAKVKDDDVAEHKEMTQMEDEVKQLLVKDHDSVSSPTSSSIHLP >fgenesh2_kg.9__46__AT4G13140.1 pep scaffold:JGI8X:scaffold_9:815071:815992:1 gene:fgenesh2_kg.9__46__AT4G13140.1 transcript:fgenesh2_kg.9__46__AT4G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFYLGKLFRQSGASDDVCSKLGIGKEKALSITQAVQKYGNLSGFVERFSLGMRNPTAFFAGALGISPECFFAGVCCGGLITLPLQLVIGFLLRERPMFALAAVATAVGIWTIFPYAVAASTALFIYIRSCYSTKD >fgenesh2_kg.9__48__AT4G13110.1 pep scaffold:JGI8X:scaffold_9:869680:870890:-1 gene:fgenesh2_kg.9__48__AT4G13110.1 transcript:fgenesh2_kg.9__48__AT4G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQQQSDSPIDEPTNSSSWSFGNLIKTLATKSESVIGSYRRDFEEFGSELKKETSIIRRVASRLPDSLEIGAAVASESLESVGQVIDDIGASVWKSTAKIISHGKESLKPDRDRTNQGFSVKPYSRFEMMLLAMQSDKGTFVREPDDLSDFENWSLGFKLEEKRNGIVDLINGNKVVKEMYEEIVPVEVDAETFWRRYFYKVNKLEQVEEARVKLLKRAISGEEDEDLSWELDEKDSEIGSENVVLEEEKVESREVSSKDSDYSVISTQPSLPEVEDLGWDKMEEHVRSNEERSLEVGQGIVERSGWRRRVSVAVEKEEDLSWDIEDEDDDSVHQQ >fgenesh2_kg.9__54__AT4G13075.1 pep scaffold:JGI8X:scaffold_9:1006708:1007115:1 gene:fgenesh2_kg.9__54__AT4G13075.1 transcript:fgenesh2_kg.9__54__AT4G13075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWVICLMVISIFMMMEPTLAAGVGKFLNPGVLDPCLRPNPPAGCQAPGSAGKPKERVNEYKAGCSKLTRCNRAG >fgenesh2_kg.9__57__AT4G13030.1 pep scaffold:JGI8X:scaffold_9:1145285:1214000:-1 gene:fgenesh2_kg.9__57__AT4G13030.1 transcript:fgenesh2_kg.9__57__AT4G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDTFKDDFVDEESSRSSSSPCSCCFSDDLGFKDDVSEDNFANFSNHVTDLRRREKSYQEILQSYDVLLRSSKRKLRQARNEILRYTPGSWSDVKLSDYDVPKTTSIMLVGPKGAGKSSLVNKISRVIEDDEFFPARAQESFGTQSKGGTFFVQEYMIPRGGSASFCLYDTRGLSHISSSDNTRMIEQWMTKGVHHGEPVIWTSDSSDLKDRLIRDGGTGYERRKVNSVIFVINAVEILKSMECETSYAHMISTAFNCPLLSFKDDKPAVVMTHGDMLSLEDRARVRVFLGELLGIPPAKQIFDIPESRDIATALTICNLLCYSLDHADKNFVFLPKRNFTISKVGGGGLTKWIILLDIISIALILFMALASIWVVTHNPVSGQKLAHEAEYKLLTFPSPRLNNLTHEAQPIRRIVPQQVMGGPILACVQHPKSESVPESDHSIDLQIAQRLWFDEGKVTEVEPSFDWRTTRRLWYVE >fgenesh2_kg.9__5__AT4G13450.1 pep scaffold:JGI8X:scaffold_9:199472:200426:1 gene:fgenesh2_kg.9__5__AT4G13450.1 transcript:fgenesh2_kg.9__5__AT4G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein family protein [Source:UniProtKB/TrEMBL;Acc:D7MV66] MEEGRRGSSSTPQSRKIMVIADPTRESAAALQYALSHAVLEQDELILVHVENSGGSWKNAFSSFLRLPSSISSSSSGSSPASNGTATASNAAATALASEIGQGDGNFLEQMKRICEIAQPKVRVHTECIAMEGVKATAILLHGDKLGVDVIIIGQRRTISSSLLGTRRPGGSLRGSKGVDTAEYLIENSKCTCVGVTKKGQNGGYVLNTKTHKNFWLLA >fgenesh2_kg.9__70__AT4G13010.1 pep scaffold:JGI8X:scaffold_9:1229175:1231168:-1 gene:fgenesh2_kg.9__70__AT4G13010.1 transcript:fgenesh2_kg.9__70__AT4G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7MVG4] MAGKLMHALQYDSYGGGAAALKHVQVPVPTPKSNEVCLKLEATSLNPVDWKIQKGMIRPFLPRKFPCIPATDVAGEVVEVGSGVKNFKAGDKVVAVLSHLGGGGLAEFAVASEKLTVKRPQEVGAAEAAALPVAGLTALQALTNPAGLKLDGTGKKANILVTAASGGVGHYAVQLAKLANAHVTATCGARNIDFVKSLGADEVLDYKTPEGATLKSPSGKKYDAVVHCANGIPFSTFEPNLSENGKVIDITPGPSAMWTYAVKKVTMSKKQLVPLLLIPKAENLEFMVNLLKEGKVKTVIDSKHPLSKAEDAWAKSIDGHATGKIIVEP >fgenesh2_kg.9__73__AT4G12970.1 pep scaffold:JGI8X:scaffold_9:1342502:1343156:1 gene:fgenesh2_kg.9__73__AT4G12970.1 transcript:fgenesh2_kg.9__73__AT4G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHEMMNFKLRCITIFFLLFALVLGNYVVQASRPRSIENTVSLLSQVHLQNSRRRHMIGSTAPTCTYNECRGCRYKCRAEQVPVEGNDPINSAYHYRCVCHR >fgenesh2_kg.9__74__AT4G12960.1 pep scaffold:JGI8X:scaffold_9:1349942:1351142:-1 gene:fgenesh2_kg.9__74__AT4G12960.1 transcript:fgenesh2_kg.9__74__AT4G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSLTKLVLFACVLLLTFSENLVAGDSDKVKLNLYYESLCPGCQEFIVDDLSKIFDYDLYTITHLKLVPFGNAKLSDNLTITCQHGEEECKLNALEACAIRTWPDPIFIQGQLNVRISFWQITLDVRKLSMIVKMVIFRERYLILRYATQTISLKPPHEYVPWVTLNGKPLDDNVQSMDDLMAQICKAYKGKTALPKVCNSSALMSKSPKRKVWKLQVLCR >fgenesh2_kg.9__76__AT4G12900.1 pep scaffold:JGI8X:scaffold_9:1380459:1381511:-1 gene:fgenesh2_kg.9__76__AT4G12900.1 transcript:fgenesh2_kg.9__76__AT4G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma interferon responsive lysosomal thiol reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MVI4] MSSSSSSTKFCFFACLLLFTFSHNLVAGESDKVKLNLYYESLCPSCQNFIVHHLGKIFNTDLHTITDLKLIPFGNAHVSDDLTVTCQHGEEECKLNALEACAIRTWPNQRLHYKFIRCVETNTNAWESCVKKYGGEKAINDCYNGDLSKELILGYANQTLRLKPEHKYVPWMTLNGEPLYENIGDFVDLVCKAYKGKAALPQLCYSSVLSQRTMLKLEFSYVDEAINH >fgenesh2_kg.9__79__AT4G12870.1 pep scaffold:JGI8X:scaffold_9:1396499:1397717:-1 gene:fgenesh2_kg.9__79__AT4G12870.1 transcript:fgenesh2_kg.9__79__AT4G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma interferon responsive lysosomal thiol reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MVI8] MVSSSSSTKLVFFTCFVLFTFSDKLVAGESDKVQLNLYYESLCPGCQRFIVDELVKIFDSDLDTITDVKLVPFGNAKVSNNLTVICQHGEEECKLNALEACVINTLPNQKLQYQFIRCVENYTKNWESSCLNGYGDEKAINDCYNSDLSKKLILGYAKQTSSLKPEHEFVPWVTLNSKPLYTNLDDLVGQVCKAYKGKTPLPKICSSSALSEWKMSKLEFSYVDETINH >fgenesh2_kg.9__81__AT4G12850.1 pep scaffold:JGI8X:scaffold_9:1404060:1405034:-1 gene:fgenesh2_kg.9__81__AT4G12850.1 transcript:fgenesh2_kg.9__81__AT4G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7MVJ0] MTGDLKLHEPYVGMKFESEEEAKEFYVEYSKILGFVVRMMQRRRSGIDGRSLARRLGCNKQGFSRTDPRSSCSSSREGCKATILVKMEKSGKWVVTRFVKEHNHSLLFIGSSSNSFADKDRKIKELAEEIECQDRLCDAYRDRLVSFIDNFEHYTGELSLKVRDIVENIKKLECQIQTRA >fgenesh2_kg.9__86__AT4G12790.1 pep scaffold:JGI8X:scaffold_9:1533138:1535452:1 gene:fgenesh2_kg.9__86__AT4G12790.1 transcript:fgenesh2_kg.9__86__AT4G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7MVK3] MGYAQLVIGPAGSGKSTYCSSLYEHCETIGRRMHVVNLDPAAEIFNYPVAMDIRELVSLEDVMEELKLGPNGGLMYCMEYLEDSLHDWVDEELENYRDDDYLIFDCPGQIELFTHVPVLKNFVEHLKQKNFNVCVVYLLDSQFITDVTKFISGCMSSLAAMIQLELPHVNILSKMDLLQDKSNIDDYLNPEPRTLLAELNERMGPQYAKLNKALIEMVGEYGMVNFIPINLRKEKSIQYVLSQIDVCIQFGEDADVKIRDDDEDFGDDGPDL >fgenesh2_kg.9__90__AT4G12770.1 pep scaffold:JGI8X:scaffold_9:1536133:1540706:-1 gene:fgenesh2_kg.9__90__AT4G12770.1 transcript:fgenesh2_kg.9__90__AT4G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFTGLLARDFGLKPQGKSAPMAAQSNSSASDFNSFASSYSFANAAGKKSESLPVFDDLGRDGDDLLFKDVFSGPPPKYGSSSGDSRSPPASAFDYDAMFKEPKSKPASSMPVYDKPVYDDEDVFESIPELKIPSTSSQSARFDDVFSSPPKHRKQNSSPFDDLMGNNLGKRGTESDREEKASSIFDDLIPGFGRTSSPPPKRTTSETTSQSQKPPYRTAETSSNVEEDPFVVLEESASSPREPSTGGFTDPLEDIGKFNSRKTDSSVHEGVFVDTDPLNSLGKPGPDMNSRGKSILRPPGNISGSQSPPVESPGSYRSKKVSFDDVLEPQNTSAPPPTSSNGSFESSDDVWLTVSEIPLFTQPTSAPPPTRPPPPRPTRPIKKRVNEPSIPTSTNHSHVPSTARASVNSPTASQMDELDDFSIGRNQTAANGYPDPPSGEDSDIFSAAAASAAAMKDAMDKAEAKFRHAKERRVKENLKASRNREEDQTENYDSRERELRENQVRLDRERAEREAEMVKEQEREREEREREQKRIERERERLLARQAVERATREARERAATEAHAKVQRAAVGKATDARERAERAAVQRAHAEARERAAAGAREKAAKAAAEARERVNAEAREKEARVRAERAAVERAAAEARGRAAAQAKAKQQQENNNDLDSFFSSISRPNSAPRQRTNPLDPFQDSWNKGGSFESSRESSRVPSGPTENLRKASSVTNIVDDLSSIFGVPATQSGGFQDVDGETEERRRARLERHQRTQERAVKALAEKNERDLQVQREQVEKDRIGVTLDVEIKRWGAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAASVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDMLKEAWNKFNSEELF >fgenesh2_kg.9__92__AT4G12760.1 pep scaffold:JGI8X:scaffold_9:1605289:1606625:-1 gene:fgenesh2_kg.9__92__AT4G12760.1 transcript:fgenesh2_kg.9__92__AT4G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELAKPASTIPKRTSNKSQPEFDYSTRKQKFRENCFRRVREDRTRLLWKLRLSDCQSSDQKEIINSAFQDIVSDELKKIEDSSRNLSTVTEDCDDILWEYEEGLKGVYEGDSEDILLEMQQIFYKDLLSDNDVQIETWEDEEDDYLAALVSQNMCLNSEQEQNQIWCPICKKGELMENNRHIYCNMCEMQLNKGEEVNLNILQERLAEAHAEHLERGCRIKPKFSVQSVYNLKALYITCEVCNTFEVVV >fgenesh2_kg.9__93__AT4G12750.1 pep scaffold:JGI8X:scaffold_9:1607800:1613600:1 gene:fgenesh2_kg.9__93__AT4G12750.1 transcript:fgenesh2_kg.9__93__AT4G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7MVL0] MEDLGKSLGLTVKEVCGWFKRRRTRGKGVKSMANDGLGANNPQSYDRSIMRSSTSSRCVGAAVEERCSVGTRKANCQTLLPSSHILAKIFRKDGPSLGFEFDHLPSGARKASWLGTSSVDQQKQKVARKRKISELMDSTSQDCIKENGTVIKHGIGKGLMTVWRVMNPNRRDVSPCVDLLDERATLPQSSARNPPHQKKKQRQLASILKQKLLQKKSTEKKRRSINREAELNKDETQRAFKENCELAANGEVFKETCQTISILVDDEELEMRERQERGNPLACSCHHPSSGSHGCFLCKGVFLLFLDLLPKFPPNSVQMRVPFGLHPWNSSPESVKKLFKDSLLLGKIHLSLLKLLLLDVETELQRGSFSNLSISCKFLALLQSVESQILILDMWRDSLNSLTWTEILRQILVAAGYGSVKCAVQSEDLSKQLACICFVLEGRSVTCGELKALTRFYFVIEIHMRLMKKYGLRLGTLKGELFRMLNEKGNNGLKISELANAPEVAVLNFATAPEERENSICSTLASDITLFEKISESTYRVRVNCFSEDPDKSQSDSDNSGSVDDESDDCSISSGDEIEHVSENPALRKVKCRKRRKHKSKTQEVCSEIDESHPGEPWLLGLMEGEYSDLSIEEKLDVFVALIDLLSSGSTIRMEDLPRAMVDYAPSIYSHGFGGKIKRSSSNQYSYPRGSWVHGGELHGMKALSKSSDSHPVDSSSIVVAFAKLAEDKANNIHPMQSVYLGSDRRFNRYWLFLGPCNANDPGHRCVFFESSEDGHWEVINNKEALRALLSVLDDRGRREARLIESLEKRESFLCQAMLNRQVTQSESAHFTDIVREDSSSPVSDIDNNLCLSEIANDQFSSQHAAIVFEIGSKREKSLLWSLLQEFDEWIWANFNFNLNAVKHRRRSYLDSLTRCKSCHDLYWRDEKHCKICHATFEVDIDLEERYAIHTATCRRKEECDTIPDHKVLSSQLQSLKAAVYAIESAMPEDALIGAWRKSAHRLWAKRLRRSSTVSEITQVIGDFVGAINEDWLWHSSDQGQTLMGEIICCFPSMPQTTSAIALWLVKLDTLIGPYVEKAQPERNQPLCRTRNTSRRVSKQ >fgenesh2_kg.9__98__ATCG01060.1 pep scaffold:JGI8X:scaffold_9:1638684:1638847:-1 gene:fgenesh2_kg.9__98__ATCG01060.1 transcript:fgenesh2_kg.9__98__ATCG01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psi 9 kDa protein (Fragment) [Source:UniProtKB/TrEMBL;Acc:D7MVL5] MIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLWHETTRSMGLAY >scaffold_100001.1 pep chromosome:v.1.0:1:47:2523:-1 gene:scaffold_100001.1 transcript:scaffold_100001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPGVLTDWPWTPLGSFKYIVIAPWAVHSTYRFVTDDPEKRDLGYSLVFPFLLFRILHNQVWISLSRYYTSSGKRRIVDKGIDFNQVDRETNWDDQILFNGVLFYTGICLLPEAKQLPWWRTDGVLMAALIHAGPVEFLYYWLHKALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFVLFAIPLLTTLLTKTASIFSFAGYIIYIDFMNNMGHCNFELIPKRLFHLFPPLKFLCYTPSFHSLHHTQFRTNYSLFMPLYDYIYGTMDESTDTLYEKSLERGDDIVDVVHLTHLTTPESIYHLRIGLASFASYPFSYRWFMRLLWPFTSLSMIFTLFYARLFVAERNSFKKLNLQSWMIPRYNLQYLLKWRKDAINNMIEKAILEADKKGVKVLSLGLMNQVEKPSLTLLVLHWVDAVRRVKLLLN >scaffold_100003.1 pep chromosome:v.1.0:1:7429:7630:1 gene:scaffold_100003.1 transcript:scaffold_100003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERQVVGAEKLIQLLHPSEDRMGNSIHCTGLESHRYTPSILAASTILSYVKH >scaffold_100007.1 pep chromosome:v.1.0:1:13702:15386:-1 gene:scaffold_100007.1 transcript:scaffold_100007.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KP29] MLLPAAVQNSNVPLASSASYSRLPRCRSPVLSVALSKKTAAIVCSISQVYGYGTVDYERRPIVQWNAIYKKISLMEKPELGAASVLNQWEKGGRKLTKWELCRVVKELRKYKRPNQALEVYDWMNNRGERFRLSASDAAIQLDLIGKVRGISDAEQFFLTLPENFKDRRVYGSLLNAYVRAKSREKAEALLHTMRDKGYALHPLPFNVMMTLYMNLREYDKVDAMVFEMKQKDIRLDIYSYNIWLSSCGSLGSVEKMELVYQQMKSDVSINPNWTTFSTMATMYIKMGETEKAEDALRKVEARITGRNRIPYHYLLSLYGSVGNKKELYRVWNVYKSVVPSIPNLGYHALVSSLARMGDIEGAEKVYEEWLPVKSSYDPRIPNLLMNVYVKNDQLEKAEGLFDHMVEMGGKPSSSTWEILADGHTRKRCIPEALTCLRKAFSAEGSSNWRPKVLMLSGFFKLCEEESDVTSKEAVLELLRQSGHLEDKAYQALIDVDENRTENNSEIDAHETDALLTQLQDDL >scaffold_100008.1 pep chromosome:v.1.0:1:15665:19464:-1 gene:scaffold_100008.1 transcript:scaffold_100008.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KP30] MAKFLQSYGYDLILGSVAAIYVVMAPYTKVEESFNVQSMHDILYHRHHLDSYDHLEFPGVVPRTFIGAFIVSVFASPVVSIISWLGFPKVYSLVAARLVLGCIILSTLRFFRVQIRNKFGHQVETFFVLFTSLQFHFLFYCTRPLPNILALGLVNLAYGNWLKGNFYPALSFLIFATVILRCDTMLLLGPIGLELLLTKSISFWKALKYCVGTALLAVGLTISVDSIMWKKCVWPEFEVFWFNSILNRSSDWGTHSIHWYFTSALPRSLLVAYPLSLLGTLVDRRVPFFILPVLSFVILYSKLPHKELRFIISSVPMFNLSAAVAASRIYNNRKKTIWKLVNMVMLAFFAISAGCTLVTFMASYYNYPSGYALNRLHQIGHPANVAGGEWVHIDTFGAMNGISRFCEDAFPWRYSKEEEIVVEELQNRNFTYLVNEHSSVDGYKCLFYEEGFERLELRRGFPPIVLVKKAKVYVHRDMKKEDPFHMKWPGC >scaffold_100009.1 pep chromosome:v.1.0:1:19692:20609:1 gene:scaffold_100009.1 transcript:scaffold_100009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEASEFYLRYYVGHKGKFGHEFLEFEFREDGKLRYANNSNYKNDTIIRKEVFLTPAVLKECKRIVSESEILKEDDNNWPEPDRVGKQELEIVLGNEHISFATSKIGSLVDVQSSNDPEGLRIFYYLVQDLKCLVFSLISLHFKIKPI >scaffold_100010.1 pep chromosome:v.1.0:1:24515:27497:1 gene:scaffold_100010.1 transcript:scaffold_100010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLFNINTLLMVSFHALMRFRVCQWKLASLFTPSTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTRSSEFEIVSTPVICGHGVKESGAMVISDSDITVIVKLIKIRSNVPD >scaffold_100013.1 pep chromosome:v.1.0:1:33055:34772:1 gene:scaffold_100013.1 transcript:scaffold_100013.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRAB1B [Source:UniProtKB/TrEMBL;Acc:D7KP34] MNPEYDYLFKLLLIGDSGVGKSCLLLRFSDDSYVESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLSEIDRYASDNVNKLLVGNKSDLTENRDVPYETAKAFADEIGIPFMETSAKDATNVEQAFMAMSASIKERMASQPAGNNARPPTVQIRGQPMAQKNGCCST >scaffold_100016.1 pep chromosome:v.1.0:1:43130:46178:-1 gene:scaffold_100016.1 transcript:scaffold_100016.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KP37] MAESRSNRAAIQATNDDASASKLSCVKKGYMKDDYVHLFVKRPVRRSPIINRGYFSRWAAFRKLMSQFLECGTNNAKTQILSLGAGFDTTYFQLLDEGKGPNLYVELDFKEVTSKKAAVIENSSQLRDKLGPNASISIEKGQVLSDHYKLLPVDLRDIPKLSDVISFADMDPSLPTFIIAECVLIYLDPDSSRAIVNWASKTFSTAVFFLYEQIHPDDAFGHQMIRNLESRGCALLSIDASPTLLAKERLFLDNGWQRAVAWDMLKVYGSFVDTQEKRRIERLELFDEFEEWHMMQEHYCVTYAVNDAMGIFGDFGFTKEGGGERMSSSSASSP >scaffold_100018.1 pep chromosome:v.1.0:1:49553:51020:-1 gene:scaffold_100018.1 transcript:scaffold_100018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEELKEDGEEVISQRECEKSIGIVSCTSCSSTTSIDLGVWNAKRALVGAGGRALFYPTLLYNVLRNMVQSEFRWWDLVDEYVLLGAVPFPTHVPLLKELGVYGVVTLNEPFETLVPSSLYHAHGINHLVIPTRDYLFAPLVSDICQAVDFIHKNASSGKTTYVHCKAGRGRSTTIVICYLVKYREMTPECAYEYIRSIRPRVLLASAQWKAVKEFCSSRMGRKAKESNVIVKRRSLGSEAEKEVLSLFDDGSVVVVTESDLAGYDEPRNIGGDASCVDVLPELSLACKVQFASQAALARISCLWLKSPRRQVLVDQLQSLGVNIKVC >scaffold_100022.1 pep chromosome:v.1.0:1:57579:57871:1 gene:scaffold_100022.1 transcript:scaffold_100022.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KP43] MKESEGDKINDENQFPGGFLGLKYKRSEGDPRGRFQAIFLEFGWPCCPLSPPISFIYDDGSPCTQRAIWCQSLIRGFQVPTSL >scaffold_100023.1 pep chromosome:v.1.0:1:58865:72177:1 gene:scaffold_100023.1 transcript:scaffold_100023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSKVAGHTRFLLHSFHDSDVDSIALQLSQLVDFGVETSIPVLKTCLDCFTVRRSHPNSLQLEKVVSLVFKHVLNLSNLATLLSHALKDVELTQDSVHDLTTCLNFSISEKIGFALALTDFERLDAKTAGRNLLLAEIEQLSANTAQIDSTEQIQNVLLFLHKSEDLSMHLDSFLQFLSSAQPRDDFSFALSPMLSQQVHEAHVFRSMDFHIDSAENEFDAILAEIDKEISVGDLMGELGCGFTADALQCKEILSTFAPLGEATISRILGNVSRTCADLEDNHTTFPTFTLALSCCIPTELPTPRSWNVDILVDTIKQLAPGTSWRKVIENLDHDGFDIPNMESFSFFMRLYKAACKEPFPLDAVCASVWKNMEGQLSFLKHAISAPPEVFTFMHSPRKLVYIDNMHSHEQQLGLSNHAWLSLDLLNVLCQLAERGHAVLVSSLLQYPLTQCPRTLLLGMTHIKTAYNLIQREVVSAILPVIITNSQDSGFILNLWHQNAELVLWGILDAQNLKADSLLRIIEICHELKILSVVLESVPVSFSIKLAVLASLRGLLDIENWLPNCLYVYKDLFAEECLKFVKNVHFSESDDFTAKSFHPSDPLSDLHLDATTSLMKVLKAHDNAITSSQLVEEIEKVNAAILDCNPKLQNGEAKDSSTSTAYGDDVEAEANAYFHQMFSSQLSVDAMVQMLSRYKDSLVQREKLIFECMIANLFEEYRFFPKYPERQLKIASILFGSVIKHQLISSLTLGMALRLVLDSLRKPADSKMFLFGSKALEQFVNRLVELPQYCNHILQISHLRSTHPELVTVIEQALSRISSGNLESDASVSHPGPSQSIPGNGELSGSGIGQSALQLQQKNEVHIDDRSKLPNVLSNEAKPLLPSLSTTSADVSVNPKNPGITTSSSTSAGFVRPARAATSTRFGSALNIETLVAAAERRENAIEAPPSDVQDKVSFIINNISTANIESKGKEFAEILPQQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVDSKLLFKEILQNTYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNYVLRAREIDPKSLIVEAYEKGLMIAVIPFTSKVLEPCQNSIAYQPPNPWTMAILGLLAEIYSMPNLKMNLKFDIEVLFKNLGVEVKEVAPTSLLKDRKREIDGNPDFSNKDLGVTHISQPQMIPEPKTISPLKQIDLPLDVANSPNTDVPSKLLSQYVAPQRVYTNTLVDDEKVATLGLPEQLPSPQGLFQSTPSPLFSISQLSAALPNIGNHVVINQKLSAFGMHFPFQRVVPLAMDRAIKEIVSGIVQRSVCIACQTTKELVLKDYALEPDESRIYNAAHLMVASLAGSLAHVTCKEPLRTSISGHLRNSLQGLNISNDALEQIVQLVTNDNLDLGCAAIEQAATEKAIQTIDADIAQQLLLRRKHRDGAGSSFFDPNILSQNSVSFIPESLRPKPGHLSLSQQRVYEDFVQHPWQKQSTQTSHGLSAASSSSGDVALGSGYGPVSGKVASEFLSSVGNARMDMVSRPTDISVDGFESSPVSLLSSQVDPAGDSSSLQLSKSLPTSELNLAESSDAATKETGTSLQTLTSATMERLGGNNIIQPSLSTRDALDKCQIVTQKMEELVANNAGDDEIQAVVSEVPEIILRCISRDEAAFAVAQKAFKALYENASSNLHVSANLGILVAIRDVCKRVVKELTSWVIYSEEERKLNKDITIGLIQRELLSLAEYNVHMAKHLDGGRNKSATDFAISLLQSLVTEESSVISELHSLVDALAKLASKSGSPESLQQLIDIIRNPVTNTAGLSDSSAGNDNNDRQKDEKVACNITTNTEENTSLDYVELDPAVFQNRVSTLFKNWYQICELPGANETACSQYVLHLHQTGLLKGDDTTESFFRILLELSVAHCISSEDINSGAVQSPQQPQSPSFLIIDMYAKLVFSILKYFPEQESSSKLFLLSEIMAATVRFIHKDAEDKKTSLNPKPYFRLFINWLLDLCSLDPGTDGANFQVLTAFANAFHALQPLKIPAFSFAWLELVSHRSFMPKLLTVNGQKGWPYVQRLLVDLLQFLEPFLRNAELGGPVHFLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPSSCIQMRNIILSSFPRNMRLPDPSTPNLKIDLLPEIVEAPCILSEVDAALKAKQMKNEVDEYLTSRQQNSTFLSELKTKLLLSSSEASSAGTRYSVPLINSLVLYTGMQAIQQLQAGETQAQNVVALQMFKYLSMELDTEGRYLFLNAIANQLRYPNNHTHYFSFIMLYLFFESDQEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYGFWKQAFIRCAPEIEKLFESVARSCGGLKPVDEGMVSGGWVSDNSH >scaffold_100037.1 pep chromosome:v.1.0:1:113969:115315:-1 gene:scaffold_100037.1 transcript:scaffold_100037.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-glucuronate 4-epimerase 2 [Source:UniProtKB/TrEMBL;Acc:D7KP52] MSHLDDDIPSTPGKFKMDKSPYFLHRTRWQSSVAKLAFWSLVFFGLLFIFFYRSPISNPDSSRRSLRTYSWGGPHWEKRVRSSARVRTRNGVSVLVTGAAGFVGTHVSAALKRRGDGVLGLDNFNDYYDTSLKRSRQALLERSGVFIVEGDINDLSLLKKLFEVVPFTHVMHLAAQAGVRYAMENPSSYVHSNIAGFVNLLEVCKSANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKAISIFQGANHGTVARDFTYIDDIVKGCLGALDTAEKSTGSGGKKRGAAQLRVFNLGNTSPVPVTELVSILERLLKVKAKRNMMKLPRNGDVAFTHANISWAEREFGYKPSTDLQTGLKKFVRWYLGYYKQQAGKKVAAA >scaffold_100045.1 pep chromosome:v.1.0:1:145719:146083:1 gene:scaffold_100045.1 transcript:scaffold_100045.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KP60] MKPERKSSGSAGQRTKEGETDSGSAGQRTKEGETDNNVFDLSSIKVAVNDVGTKTKARKSIEKRMKRIAKSFSDETIRYLSEYRRVNEEYEKFVLDLKAQGMTIPYY >scaffold_100051.1 pep chromosome:v.1.0:1:161789:162258:-1 gene:scaffold_100051.1 transcript:scaffold_100051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEQVAELISSLEQATLMAQQMGTTVDQNQLLQISSLRIAHQRLSAFLASIPSAEGEKSFSSVEPMQLGEEEKGEAEEERYSAMEKVEEKMRECFIRNKRLKRPLSPSSTEERSGRDYGFDSDPHATKLRALDLIYQFHG >scaffold_100053.1 pep chromosome:v.1.0:1:168435:168702:1 gene:scaffold_100053.1 transcript:scaffold_100053.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KP68] MANLLSLKSGSWVMGRIYINASSVKHADLETMGFGSVIIAHLILLGFAGQGLSDALECKRCFYNQKSGIYAIEIC >scaffold_100056.1 pep chromosome:v.1.0:1:175723:177344:-1 gene:scaffold_100056.1 transcript:scaffold_100056.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7KP71] MANTRVAVVTGSNKGIGFETCRQLARNGITVILTARDENKGLAAVQKLKTENGFSDQAISFHPLDVSDPDTIASLAAFLKTRFGKLDILVNNAGVGGANVNVDVLKAQIAEAGAPTDISKIMSDTYEIVEECIKTNYYGVKRMCEAMIPLLQSSDSPRIVSIASTMGKLENVSNEWAKGVLSDAENLTEEKIDEVINEYLKDYKEGALQVKGWPTVMSGYILSKAAVIALTRVLAKRNKSFIINSVCPGFVNTEINFNTGILSIEEGAASPVNLALVPNGDPSGLFFDRANVSSF >scaffold_100058.1 pep chromosome:v.1.0:1:185231:186495:-1 gene:scaffold_100058.1 transcript:scaffold_100058.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LIM domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KP73] MSFTGTLDKCNVCDKTVYVVDMLSIEGMPYHKSCFRCTHCKGTLVMSNYSSMDGVLYCKTHFEQLFKESGNFSKNFQPGKTEKPELTKTPSKISSIFCGTQDKCAACEKTVYPLEKIQMEGECFHKTCFRCAHGGCTLTHSSYASLDSVLYCRHHFNQLFLEKGNYAHVLQAANHRRTASGNTLTPEPTEDIAVEAKEENGVSQS >scaffold_100061.1 pep chromosome:v.1.0:1:193425:194152:-1 gene:scaffold_100061.1 transcript:scaffold_100061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGMHVSDDCKLKFLELKAKRNYRFIVFKIDEKAQQVMIDKLGNPEETYDDFTRSIPEDECRYAVYDFDFTTPENCQKSKIFFIAWSPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSLDIIKGRVNL >scaffold_100066.1 pep chromosome:v.1.0:1:204808:205917:-1 gene:scaffold_100066.1 transcript:scaffold_100066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLQLPPGFRFHPTDEELVMHYLCRKCASQSIAVPIIAEIDLYKYDPWELPGLALYGDKEWYFFSPRDRKYPNGSRPNRSAGSGYWKATGADKPIGLPKPVGIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSVRKKKNSLRLDDWVLCRIYNKKGATERRGPPPPAVYSDEIMEEKPKVTEMVMPPPQQQAAATATATASEFVYFDTSDSVPKLHTTDSSCSEQVVSPEFTSEVQSEPKWKDWSAVSNDNNTLDFGFNYIDATVDNAFGGGSSNQMFPLQDMFMYMQKPY >scaffold_100069.1 pep chromosome:v.1.0:1:216564:216889:1 gene:scaffold_100069.1 transcript:scaffold_100069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLRGCGGGGEEAAKAAKIAVWWDMVECPIPEGFDARRVRPSLEAAFKKLGYSGPVSITAYGDQTHTSVDLLRCLSSTSLCCTYQIRFIDPWN >scaffold_100076.1 pep chromosome:v.1.0:1:242562:243321:1 gene:scaffold_100076.1 transcript:scaffold_100076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAAFLGGLVVTFKEQMHTDVLVKSGDPYLHTKPFWNMLDSDECKTSSEESITLPDLSHDEFKSLLEFLYTGNLKAPYNQYRSLYLAADKYDISYLLDVCRNHFIASLSSRNVLDILELASIPCDTILKDAAINHIVKHMEEVVVPMKYETFVQRNPDLSVEITRAYLRETKAKAKDHGAPPFNGFTSSRVNW >scaffold_100079.1 pep chromosome:v.1.0:1:249785:252308:1 gene:scaffold_100079.1 transcript:scaffold_100079.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 4 [Source:UniProtKB/TrEMBL;Acc:D7KQ54] MSPAKKSRSFPPISECKSREYDSIAADLDGTLLLSRSSFPYFMLVAIEAGSLFRGLILLLSLPIVIIAYLFVSESLGIQILIYISFAGIKIKNIELVSRAVLPRFYAADVRKDSFEVFDKCKRKVVVTANPIVMVEPFVKDYLGGEKVLGTEIEVNPKTMKATGFVKKPGVLVGDLKRLAILKEFGEESPDIGLGDRTSDHDFMSICKEGYMVHETKSATTVPIERLKNRIIFHDGRLVQRPTPLNALIIYLWLPFGFMLSIFRVYFNLPLPERFVRYTYEILGIHLTIRGHRPPPPSPGKPGNLYVLNHRTALDPIIIAIALGRKITCVTYSVSRLSLMLSPIPAVALTRDRVADAARMRQLLEKGDLVICPEGTTCREPYLLRFSALFAELSDRIVPVAMNCKQGMFNGTTVRGVKFWDPYFFFMNPRPSYEATFLDRLPEEMTVNGGGKTPFEVANYVQKVIGGVLGFECTELTRKDKYLLLGGNDGKVESINKTKSMD >scaffold_100080.1 pep chromosome:v.1.0:1:253000:254805:-1 gene:scaffold_100080.1 transcript:scaffold_100080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP86A4 [Source:UniProtKB/TrEMBL;Acc:D7KQ55] MEISNAMLLVAVVTGYWLWFKRISRWLKGPRVWPLLGSLPGLIEQRDRMHEWITENLRACGGTYQTCIFAVPFLAKKQGLVTVTCDPKNLEHMLKTRFDNYPKGPTWQSVFHDLLGQGIFNSDGDTWLFQRKTAALEFTTRTLRQAMGRWVNRGIKLRFCPILETAQAKSEPVDLQDLILRLTFDNICGLAFGKDTRTCAPGLPENGFASAFDRATEASLQRFIIPEFMWKLKKWLGLGLEVSLSRSLGEIDGYLAAVINTRKQELMSQQESGTHQRHDDLLSRFMMKKTESYSDTFLQHVALNFILAGRDTSSVALSWFFWLITMHPTVEDKIVREICSVLIETRGADDVASWTEEPLGFDEIDRLVYLKAAISETLRLYPSVPEDSKHVENDDVLPDGTFVPAGSSVTYSIYAAGRMKSTWGEDCLEFNPERWISPMDGKFINHDQYRFVAFNAGPRICLGKDLAYLQMKTIAAAVFLRHRLTVVPGHKVEQKMSLTLFMKNGLLVNLHKRDLQGIMKSLVVKKSDGVANGKCNGVIGEGVAVYLNTGVAVV >scaffold_100084.1 pep chromosome:v.1.0:1:272408:273876:-1 gene:scaffold_100084.1 transcript:scaffold_100084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILCEFRLFVLLFSTLFFVSVAISFSPADEYLIDCGSVVDSTVDNRRFIGDVSASSNGKLFSSVGSITLQSEIPAPNLAQIYKTARIFTRQAKYEFNVVENGIQMVRIHFHRFNSSKIDFSDAEFHVTVNGYVVLRNFSGAFGPRVREFLIWVDVGKVVIRFVPSKDSKLAFVNAIEVISAPKDLIADVVTSVSNDGATEKLNGLVKQAMEVVYRINVGGRKVTPFNDTWWRTWVPDERFLKVSDGSEKVYFSGRIKYRLGGASREVGPDNLYNTARVVKSNNGSVSQVNMTWEFPVSSGYKYLIRLHFCDIASMSLGMLYFNIYINGNLAYQDLDMSDATNYVLASPYYIDFVVDGENSSSGLISLSVGPSNKSIEHVVDAILNGVEIMKMNNTLGSLDGSVSTEMILSHCPNRRNLSLFMSMVAFMCILMSVYIVTQRRKAKDGFGWLRLSDNVPEDNNNPKSVEGFGTRMP >scaffold_100086.1 pep chromosome:v.1.0:1:281840:283744:-1 gene:scaffold_100086.1 transcript:scaffold_100086.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:D7KQ60] MSVETTFFGDDSNRGVSINGGRYVQYNVYGNLFEVSQKYVPPLRPIGRGASGIVCAAWNSETGEEVAIKKIGNAFGNIIDAKRTLREIKLLKHMDHDNVIAIKDIIRPPQPDNFNDVHIVYELMDTDLHHIIRSNQPLTDDHSRFFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTKSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTREPLFPGRDYVQQLRLITEFYEQTQIWFIFFNTQLIGSPDDSSLGFLRSDNARRYVRQLPQYPRQNFAARFPNMSVNAVDLLQKMLVFDPNRRITVDEALSHPYLAPLHENNEEPVCVSPFRFDFEQPSLTEENIKELIYRESVKFNPQDS >scaffold_100090.1 pep chromosome:v.1.0:1:291348:291764:-1 gene:scaffold_100090.1 transcript:scaffold_100090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ63] MSLYQHCISDLQTYGIISSFQFKLFKNFETDTTKPKKETPTLSFKEVIDLLEYKFIVISYYITGVLVWSNNGFSLLAPPKPFLGGNLDYSCVATLKNYSLINCSSFKSKPNFLKTNTSTSIMKH >scaffold_100095.1 pep chromosome:v.1.0:1:308924:310761:1 gene:scaffold_100095.1 transcript:scaffold_100095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVLKLDLHDDKAKQKALKTVSTLPGIDSIAMDMKEKKLTVIGTVDPVNVVSKLRKYWPMTDIILVGPAKEPEKEKKEEPKKEGGGEPPKKEGEAPKEEGKKEGEAPKKEEEKKEGGDKKEGEKKDQPQPQPQPVVPPPDHVLELVKAYKAYNPHLTTYYYAQSIEENPNACVIC >scaffold_100096.1 pep chromosome:v.1.0:1:313347:315496:-1 gene:scaffold_100096.1 transcript:scaffold_100096.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-amino-cyclopropane-1-carboxylate synthase 2 [Source:UniProtKB/TrEMBL;Acc:D7KQ68] MGLPAKNKGAILSKIATNDQHGENSEYFDGWKAYDKDPFHLSRNPHGIIQMGLAENQLCLDLIKDWVMENPEASICTLEGVHQFSDIANFQDYHGLKKFRQAIAHFMGKARGGKVTFDPERVVMSGGATGANETIMFCLADPGDVFLIPSPYYAAFDRDLRWRTGVEIIPVPCSSSNNFKLTVEAVDWAYKKAQESNKKVKGLILTNPSNPLGTMLDKDTLTNLVRFVTRKNIHLVVDEIYAATVFAGGDFVSVAEVVNDVDISEVNVDLIHIVYSLSKDMGLPGFRVGIVYSYNDSVVSCARKMSSFGLVSSQTQLMLASMLSDDRFVENFLMESSRRLGIRHKVFTTGLKKADISCLTSNAGLFAWMDLRHLLRDRNSFESEIELWHIIIDKVKLNVSPGSSFRCTEPGWFRVCFANMDDDTLHVALGRIQDFVSKNNNKIVEKASENDQVIQNNNAKKQNWRQSNLRLSFRRLYEDGLSSPGIMSPHSPLLRA >scaffold_100100.1 pep chromosome:v.1.0:1:328181:328380:1 gene:scaffold_100100.1 transcript:scaffold_100100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ72] MAVVVTEEMIRRFITALCICAPKNDSPASRSILSFHHAENGISKRQSTKWQN >scaffold_100104.1 pep chromosome:v.1.0:1:339353:341720:1 gene:scaffold_100104.1 transcript:scaffold_100104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSGDVYGADAGSSRSDEDRGFKEDLNEPASPMRNRQEDSNSRPGSQRSVKSLRKEEETDSDSSSSKTRNNPVQYTDKQQAELLRKLDSIKDHLLRGGGNANVADQPPPPMGFHGHGPPSYYNPYPEPFPYGMYPTASNPPHVPAYRDPYGFPVHRVPQNFYQGPGHYPNQMPPRPPYPQGQYVDIGSDILDTQLQDPRFFPGTPSRYGDVPLSPTKHHGEKVGPFSPHAGVQGHHTRWPSDIDSDMGGAFARGYVQKTVSDTDSRRYHPLAGGAPFIACHNCFELLYVPKKKLLGQERQQKLQCGACSEVISFKVVDKKLVFSSSALGETTNRVSVEVEDRSARNAVVDDYPLKDEEIRIHQETKIVHAGLRSEHSDDEDRSSISSRPQKQVVKSVRSRAQGAKVSPPPPPPEKSNLLELFEYSNVNRAAITYGMAQLGYNKQESYMKQDSLKSESVATETDVSYNEYYNNTEESEDSRISKANKEERRPRNRKQSSEYSFPEVTNKISSNDQNDEQLEVWVNGHLIPEDLVISAEKQAGPVQAGKYWYDYRAGFWGVMGNPCLGIIPPFIEEFSRPMPDNCGAGNTSVFVNGRELHERDLDLLSRRGLPRGKNRSYIIDISGRVLDGDSGEELKSLGRLAPTVDKVKHGFGMRVPRSLVS >scaffold_100113.1 pep chromosome:v.1.0:1:373723:374582:-1 gene:scaffold_100113.1 transcript:scaffold_100113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKAVGEAEAKAVTRVWWDINRCPVPSDVDVRRVGPCIKRALEKLGYSGPLTITAGGILTDVPHDFLRQVHSSGIALHHVPTVSETDISGLGWAVLKWTWYNQPPANLMLISYEPIFLGTLGKLGGIGYNIVRSILPDDLEQAASSASASSSAGCFLWESLLASFTSSRNTTVT >scaffold_100114.1 pep chromosome:v.1.0:1:374911:376187:-1 gene:scaffold_100114.1 transcript:scaffold_100114.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KQ85] MSDSGEPKPSQQEVSQPQPAGEETKSQQVCTFFKKPTKSKNIRKRAIDADEEDGDSKSESSILQNLKKVAKPDSKLYFSSGPAKSSTTSEAAERPVFHYDSSKEIQVQNDSRATATLETETDFNQDARAIRERVLKKADEALKGNKKKASDEKLYKGIHGYTDHKAGFRREQTISSEKAGGSHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDSCKFLHDRGDYKPGWQIEKEWEEAEKVRKRNKAMGVEDEDDEADNDSDEDENALPFACFICREPFVDPVVTKCKHYFCEHCALKHHTKNKKCFVCNQPTMGIFNAAHEIKKRMAEERSKAEEGL >scaffold_100116.1 pep chromosome:v.1.0:1:383180:383629:1 gene:scaffold_100116.1 transcript:scaffold_100116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLQFHSTNSLKFALLPYVPSEIAPISLESDINVQTSGEDVTVRINCPLESHPASRIFHAFEETKVEVMNSNLEVSQDTVLHTFVVKSEELTKEKLISALSREPSNSVQSRTSSGR >scaffold_100135.1 pep chromosome:v.1.0:1:457529:459100:-1 gene:scaffold_100135.1 transcript:scaffold_100135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSPQMFPMVPSIPPTNNITTEQIQKYLDENKKLIMAIMENQNLGKLAECAQYQALLQKNLMYLAAIADAQPPPPTQGAAPSPAMASQMTTPHPGMQPPSYFMQHPQASGMGQQASPAGLFPPRGPLQFGSPHQLQDPQQQQIHQQAMQGHMGIRPMGMNNNGMQHAMQQPDTGLGGNEEASKMEQMDKEKMMASERIQRSFVLLIFRTSESRKGFAIAYLSF >scaffold_100136.1 pep chromosome:v.1.0:1:459556:460824:1 gene:scaffold_100136.1 transcript:scaffold_100136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDIQDEIRVQKNPLPVDEDHIALLKSPYLGEIVEEISSVSEKLNAQCDDGGSDVNSAPNIFYFDHVPPKKRRYLGTSDTWRSFEPLNEDACVVCDITDDSVAPCSGNDCPISVHRECAELDCEDSATSYCPYCWFKDKATRSTTLRTVGVAAAKTLDQYRCTKLKSGDIAMARENNQLENDNDNSLSMQLHENLHQLREVVGQLKAQNFRFDETIVEKACGEACVDVDDQRKRVLWTAKEENMLRVGVEIFAATINKNIPWKKILEMGKGIFHKTRNSSDLKDKWRNMVRM >scaffold_100139.1 pep chromosome:v.1.0:1:475576:477203:1 gene:scaffold_100139.1 transcript:scaffold_100139.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7KQB2] MERTNSIEMDRERLTAEMAFRDSSSAVIRIRRRLPDLLTSVKLKYVKLGLHNSCNLTTILFFLIILPLTGTVLVQLTGLTFDTFSELWSNQAVQLDTATRLTCLVFLCFVLTLYVANRTKPVYLVDFSCYKPEDERKISVDSFLTMTEENGSFTDDTVQFQQRISNRAGLGDETYLPRGITSKPPKLNMSEARAEAEAVMFGALDSLFEKTGIKPAEVGILIVNCSLFNPTPSLSAMIVNHYKMREDIKSYNLGGMGCSAGLISIDLANNLLKANPNSYAVVVSTENITLNWYFGNDRSMLLCNCIFRMGGAAILLSNRRQDRKKSKYSLVNVVRTHKGSDDKNYNCVYQKEDERGTIGVSLARELMSVAGDALKTNITTLGPMVLPLSEQLMFLISLVKRKMFKLKVKPYIPDFKLAFEHFCIHAGGRAVLDEVQKNLDLKDWHMEPSRMTLHRFGNTSSSSLWYEMAYTEAKGRVKAGDRLWQIAFGSGFKCNSAVWKALRPVSTEEMTGNAWAGSIDQYPVKVVQ >scaffold_100145.1 pep chromosome:v.1.0:1:501318:503489:1 gene:scaffold_100145.1 transcript:scaffold_100145.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7KQB7] MGEGMIGVRVVEKYSPVIVMVMSNVAMGSVNALVKKALDVGVNHMVIGAYRMAISAFILVPFAYILERKTRPQITFRLMIDHFVSGLLGASLMQFFFLLGLSYTSATVSCALVSMLPAITFALALIFRTENVKILKTKAGMLKVIGTLICISGALFLTFYKGPQISNSHSHGEASHNKNDQDNANNWLLGCLYLTIGTVLLSLWMLFQGTLSIKYPCKYSSTCLMSIFAAFQCALLSLYKSRDVNDWIIDDRFVITVIIYAGVVGQAMSTVATTWGIKKLGAVFASAFFPLTLISATLFDFLILHTPLYLGSVIGSLVTITGLYMFLWGKNKETESSTALSSRMDNEAQNINKDNDSKSPV >scaffold_100153.1 pep chromosome:v.1.0:1:548765:550572:-1 gene:scaffold_100153.1 transcript:scaffold_100153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVYSIRIDYPADFRFRPTDDVIIDYYLRLKHLGIEEGDVNHFITTVEISSYDPWDLPCSQIKEKCSNDNKVWYFYSRDDYKFNEEIWKTSSGYWKETGSNTPIIGKRKRTNGVKIGEKKVLVFQSCANPNGSKSDWVMHVYQPTFLPPDQRAYVVCKVEFKSEDKDTPGNSDCVIELNHPPIPCSSQNGASSTTIFEVG >scaffold_100160.1 pep chromosome:v.1.0:1:573275:574678:-1 gene:scaffold_100160.1 transcript:scaffold_100160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNKIVGVDSEDESDDCDIAEANCELAMVEGQLCNIPYELYDLPDFTGILSVETWNSVLTDEERFFLSCFLPDMDQQTFILTMQELLGGANLYFGNPVVKFYKNLRGGLFTPKVACFKQGVMFVKRRKYYYSLKFYHEKLIKTFTEMQRLWIQYGKKFGNYSRLLLWSGRTQTGNLKRLGLNRVPSEEMDSATCRFKTPNVVKPVERNRTKSLTFPRSGSSKNGLKIKITKGGVFGYQRSSLVSAGYHHQTLPKGLLKLVPKSSSAILREPYVAPGNNLQQIHETGSKSTRFAASPYLGTRFEKPPYGTIGCSISELPKCLLNHQDPFLTYLETTQRSTEGTEPVFHDPTHTIPRALGVSNYSSTEHHNLPLKQEEYVHYHLNSPGFEPRTVDRGSETAESKRILSGNNFQREAKVLRKPLRVLSEDNHAREANTDNLYSLTYKRRKVQRIA >scaffold_100161.1 pep chromosome:v.1.0:1:575814:577930:1 gene:scaffold_100161.1 transcript:scaffold_100161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSCCIRLLHLAFVFLLLISSFILQGTAAGNLSKQKLTSLILQNEIVKEVNENPNAGWKASLNDRFANATVAEFKRLLGVKPTPKTAYLGVPIVRHDLSLKLPKEFDARTAWSQCTSIPRILDQGHCGSCWAFGAVESLSDRFCIKYNLNVSLSANDVVACCGLLCGLGCNGGFPMGAWLYFKYHGVVTEECDPYFDNTGCSHPGCEPGYPTPKCVRKCVSENQLWGESKHYGVSAYRINHDPQDIMAEVYKNGPVEVAFTVYEDFAHYKSGVYKHITGTKIGGHAVKLIGWGTSDDGEDYWLLANQWNRSWGDDGYFKIRRGTNECGIEHGVVAGLPSDRNVFKDVTTSDDLLVSSF >scaffold_100162.1 pep chromosome:v.1.0:1:578374:580449:1 gene:scaffold_100162.1 transcript:scaffold_100162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKCIKLHLASVFFFLGLLISSFNLQGIAAENLSKQKLTSWILQNEIVKEVNENPNAGWKAAFNDRFANATVAEFKRLLGVKPTPKTEFLGVPIVSHDISLKLPKEFDARTAWSQCTSVGRILDQGHCGSCWAFGAVESLSDRFCIKYNMNISLSVNDLLACCGFLCGQGCNGGYPIAAWRYFKHHGVVTEECDPYFDNTGCSHPGCEPAYPTPKCARKCVSGNQLWRESKHYGVSAYKVRSHPDDIMAEVYKNGPVEVAFTVYEDFAHYKSGVYKHITGTNIGGHAVKLIGWGTSDDGEDYWLLANQWNRSWGDDGYFKIRRGTNECGIEHGVVAGLPSDRNVFKGITTSDDLLVSSF >scaffold_100164.1 pep chromosome:v.1.0:1:584904:586271:-1 gene:scaffold_100164.1 transcript:scaffold_100164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRNFRKRSFEEEEEDNDVNKAAISDEEEKRRLALEEVKFLQKLRERKLGIPALSTAQSSIGKVKPVEKTEAEGEKEELVLQDTFAQETAVLIEDPNMVKYIEQELAKKRGKNIDDAEEVENELKRVEDELYKIPDHLKVKKRSSEESSTQWTTGIAEVQLPIEYKLKNIEETEAAKKLLQERRLMGRPKSEFSIPSSYSADYFQRGKDYAEKLRREHPELYKDRGGPQADGEGAKPSTSSSNNNADSGKSRQAATDQIMLERFRKRERNRVMRR >scaffold_100168.1 pep chromosome:v.1.0:1:595629:595881:-1 gene:scaffold_100168.1 transcript:scaffold_100168.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KB77] MASIVLLLTQILHPTNPEFPSSSSMILPPSSSSSSSHYTQQQKSPRLDSFKISPLHEDPQDSRVMIDLF >scaffold_100169.1 pep chromosome:v.1.0:1:596769:597999:-1 gene:scaffold_100169.1 transcript:scaffold_100169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHSILLLCFFLSISYLLSSCQTEATAIERLVPRDLYYKIFIHKDNTACPANGFYTYESFVQATRRFPRFASIGSPATQRLEVAAFLAQISHETTGGWATAPDGPYAWGLCFKEEVSPQSNYCDSSNTQWPCFPNKTYQGRGPIQLSWNYNYGPAGRALGFDGLKNPETVSNNSVIAFQTALWFWMTPQSPKPSCHDVMIGKYRPTAADLAANRTSGFGLTTNIINGGLECGIPGDGRVNDRIGFFQRYTGLFKVATGPNLDCENQRPYA >scaffold_100171.1 pep chromosome:v.1.0:1:601736:602094:-1 gene:scaffold_100171.1 transcript:scaffold_100171.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KB80] MQHQFSKKSPKLNNDYRLKTKLCKNTQITENSIEAEKVQTFRNECQNPLITWNKEELANAALEKKRRRIKSRSTNLKLGFFKVENLRKTCPLSSLFCIRDYLRVV >scaffold_100172.1 pep chromosome:v.1.0:1:602514:603658:1 gene:scaffold_100172.1 transcript:scaffold_100172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMFSGEQDLEVDIEAGRSDDTQESTSDTVSGNGVWSDRVNFGVSEKIADDLIYPLMGDEKRVETSSQSLDLSEKKCDNGKFKKSRKASKPPRPPKGPSLSENDRKIMRDIQELAMRKRARIERMKNSLKRMKATKSSPSSPCISIFSMIITALFFAFLVFQGFSASSSSLSSDKSPAPTVSPNNQLISVHLYNDFAPVEQTDPSPTTSFRYTRKRISGAEEEDSRDVTR >scaffold_100176.1 pep chromosome:v.1.0:1:617112:618613:1 gene:scaffold_100176.1 transcript:scaffold_100176.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein CtaG [Source:UniProtKB/TrEMBL;Acc:D7KB85] MSWSKACRGIRISSYLENLHRTSQSPRTSLCSRYYTHGACKGNEHYLRSKRVFWGSSSSWSLNSHSATAKSMLVSAHRQYSTQSPTETKSQKMLYYLTAVVFGMVGLTYAAVPLYRTFCQATGYGGTVQRKETVEEKIARHSESGTVTEREIVVQFNADVADGMQWKFTPTQREVRVKPGESALAFYTAENKSSAPITGVSTYNVTPMKAGVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPRMDGINNLILSYTFFKVSEENTTDTVNNSSSVPVQETK >scaffold_100180.1 pep chromosome:v.1.0:1:628623:630888:-1 gene:scaffold_100180.1 transcript:scaffold_100180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7KB89] MLTSTYNRNQILCFMTLILTLVSLTEARSHHHKEKRKHNNHNHHSSKPKPPSSSISQPPTPPPGPPDSPAPSVPPSPSDEPGDDNNGIYNVRKYGAVGDGETDDTEAFKMAWDSACNNENNTDSVLLVPYGYTFMIQSTIFTGPCRSYQFFQVDGTIVTPDGPESWPSNISKRQWLVFYRVNGMSLKGDGVIDGRGQKWWDLPCKPHRSVNKSAIVAGPCDSPIALRFFMSSNLRVEGLQIKNSPQFHFRFDGCQGVHVESLHITAPPLSPNTDGIHIENSNSVTIYNSIISNGDDCVSIGSGSYDVDIRNLTCGPGGHGISIGSLGNHNSRACVSNITVRDSVIKYSDNGVRIKTWQGGSGSVSGVTFNNIHVDSVRNPIIIDQYYCMTKDCANKTSAVFVSDIAYQGIKGTYDIRSPPMHFGCSDAIPCTNLTLSDIELLPAKGEFVLDPFCWNAYGIAEELSIPPVWCLMSDPPKGLQGSLVDKCGSS >scaffold_100187.1 pep chromosome:v.1.0:1:668474:669242:1 gene:scaffold_100187.1 transcript:scaffold_100187.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7KB95] MKKSSPLLCFSLALFSLLSSPSSSARIFSSVVPSAAPSPAVSTTSDGGVDETDFSAFTQWNILNLTDLKSTFKNLPDLSKLNISSLHVSPAVGYVCSNTDYAAECIVSILPLLRDFRKFEPKPIDVLRMEMSALYEKANATLDLAKRLIVDQSTPRDVADVLDLCVDNYESLLDDLKDASVAVDDGDFGRLESVVSAAIADVVTCSDAFTESSELESPMVNVDAFLKKLCSNVLAISQMIHM >scaffold_100188.1 pep chromosome:v.1.0:1:670715:672588:1 gene:scaffold_100188.1 transcript:scaffold_100188.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:D7KB96] MAHACVSTSASSLRFTAGFVSASPNGSSFDSPKLSLPFEPLRSRKTKKLVSDRKNWKNSTPKAVYSGNLWTPEIPSPQGVWSIRDDLQVPSSPYFPVYAQGQGPPPMVQERFQSIISQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPTKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLAYHTGQSLEKINQDTDRDFFMSAKEAKEYGLIDGVIMNPLKALQPLAAA >scaffold_100193.1 pep chromosome:v.1.0:1:689175:690417:1 gene:scaffold_100193.1 transcript:scaffold_100193.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Os01g0254000 [Source:UniProtKB/TrEMBL;Acc:D7KBA0] MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLATVPFLILGNKIDIPYAASEDELRFHLGLSNFTTGKGKVNLTDSNVRPLEVFMCSIVRKMGYGEGFKWLSQYIK >scaffold_100194.1 pep chromosome:v.1.0:1:691077:693903:-1 gene:scaffold_100194.1 transcript:scaffold_100194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAKALTLVFWDIIKCPVPDGCDPRVILPSIKRLLGNNGYCGPLTVTAIGKLEDVPTDTLKALYSSGIHLTIGPLVLLYEITNKTHESVAPQIQGYLRRKRVNLPHGICLVCRHDPPALGFNNFITHLSNADHKLGLTTTRNTMRRPPVDLSRKEGFSGPLTIIAIGVLADVPTDILRALYSSGITFHIVPYVKRVNLPFGFRNFPCQSFDSFTKHLFGIYHQRKLSDLLLMRSGHCLDAPLREPLEENLEAVTSVYWDIKMRPVPPGCDPHRVGPCIKRFLENKGYSGPLTITAMGALEDVPYDILRGVHSSGIGLDCIPYGFSISLERHIYEFMDWNPPPANVMVISDAKHSASDDVFGLQSKGYNIVEPPCDSHESFFLAGVCVCQCC >scaffold_100199.1 pep chromosome:v.1.0:1:706878:709509:-1 gene:scaffold_100199.1 transcript:scaffold_100199.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7KBA5] MDSLCFNSGLHGVIPAITAVGNGVSGGVVEVRATATAPSQKRGPFGFSFKYPLTPFWSRGGGGGIASRRRSGLCLDDAVLVDSGDSRKPIAEETAVEMDTERRNGSWVLKILDVQSMWRDREEEDEDEDDDDDDEEDEDEEVESDDAVLAEDDGGCDVCSILEDDGDEANKFQLDRESFSKLLRRVTLPESKLYAQMSYLGNLAYSISKIKPANLSKYYGLRFVTSSAEKTESALKAGNGEVSGETKPIEEEEEAKDEEEEEEKKKGRKISASAAYEIVASAASYLHSRTNNILPFNSSSKADKNDVNLANAESSSDVAYSVTSVVAAEEDVKQAVADDLKSTISSPCDWFICDDDQSHTRFVVIQGSESLASWQANLLFEPIEFEGLGAIVHRGIYEAAKGMYEQMLPEVKAHIKTHGTNAKFRFTGHSLGGSLSLLLNLMLLVRGEVPASSLLPVITYGAPFVLCGGDRLLKKLGLPKSHVQAIIMHRDIVPRAFSCNYPYHVAELLKAVNGNFRSHPCLNKQSMLYSPMGELLILQPDETFSPGHELLPPGNGLYLLTGDFESPNNEDSEEDRLRAAQTVFLNTPHPLDILSDRSAYGSSGTIQRDHDMNSYLKAVRSVIRKEVNQIRRAKREHRRSLWWPILVARESGSSGIAISNGQINSQDFSGMMQTGRKSLQRFSRLVASQHMPLIVVMLFPVKLLFLGAFNVFSFR >scaffold_100200.1 pep chromosome:v.1.0:1:712841:713720:1 gene:scaffold_100200.1 transcript:scaffold_100200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IID 18 kDa subunit (TAFII-18) family protein [Source:UniProtKB/TrEMBL;Acc:D7KBA6] MSNTPATAASKSKAAGTSQPQEKRKTLFQKELQHMMYGFGDEQNPLPETVALVEDIVVEYVTDLTHKAQEIGSKRGRLLVDDFLYLIRKDLPKLNRCRELLAMQEELKQARKAFDVDEKELVD >scaffold_100201.1 pep chromosome:v.1.0:1:713924:714511:-1 gene:scaffold_100201.1 transcript:scaffold_100201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKKKSRSMATQRAWSLVRMALLWGRKGGIFKRWHMFELRNLFSKHLRALAHHNSLDNDRYISRYGEKQLSFDETPIFNVKMHRPASMRFLLPCIAPPVDFDYDFELDCQDDTDDVRSYGYYDGSCNEKCDRSANDDQEVEGEKGVDVRAEEFIAKFYEQMKLQRQISYLQYKEHNEVV >scaffold_100205.1 pep chromosome:v.1.0:1:720824:722852:1 gene:scaffold_100205.1 transcript:scaffold_100205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKPSAKTEVRRNRYKVSVDADEGRRRREDNMVEIRKNKREENLQKKRREGFTPSMASQLGQDFSSSLPTEKRLENIQQMVAGVMSEDRNIQLEATAGFRKLLSIERNPPINEVVQSGVVPRIVQFLSRDDFTQLQFEAAWALTNIASGTSENTKVIIDSGAVPLFVKLLSSASDEVREQAVWALGNVAGDSPKCRDHVLSCEAMMSLLAQFNEHSKLSMLRNATWTLSNFCRGKPQPAFEQTQAALPALERLLHSTDEEVLTDASWALSYLSDGTNEKIQTVIDAGVIPRLVQLLAHPSPSVLVPALRTIGNIVTGDDLQTQEIISSQALPGLLNLLKNTYKKSIKKEACWTISNITAGNSSQIQKSYFHLLCRK >scaffold_100208.1 pep chromosome:v.1.0:1:730979:731207:-1 gene:scaffold_100208.1 transcript:scaffold_100208.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBB4] MFLLMQNLFMEPFILNLEESSMLSSLRGLKVLDPNDRYANHNTVFFDVSMKLASLVSTRKSH >scaffold_100209.1 pep chromosome:v.1.0:1:731564:731809:-1 gene:scaffold_100209.1 transcript:scaffold_100209.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBB5] MIPAMETHSLFVSFIVVLFPAVPSLAVLVLSLAVGETVARRWPDLKSFGLSEYESQQRMEINLTEKR >scaffold_100210.1 pep chromosome:v.1.0:1:733323:737232:-1 gene:scaffold_100210.1 transcript:scaffold_100210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSAACSSSPVTITVTPCKGSGDRSLGLTSPIPRASVINNNQNSPLSSRATRRTSISGGNRRSSGGEGRYCSMSVEDLTAETTNSECVLSYTVHIPPTPDHQTVFASQESGMGEEDEMLKGNSNNKSFLSGTIFTGGFKSVTRGHVIDCSMDRADPEKKSGQICWLKGCDEKVVHGRCECGFKICRDCYFDCITSGGGNCPGCKEPYRDVNDDPETEEEDEEDEAKPLPQMGESKLDKRLSVVKSFKAQNQAGDFDHTRWLFETKGTYGYGNAVWPKDGYGIGSGGGGNGYETPPEFGERSKRPLTRKVSVSAAIISPYRLLIALRLVALGLFLTWRVRHPNREAMWLWGMSTTCELWFALSWLLDQLPKLCPVNRLSDLGVLKERFESPNLRNPKGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAVDYPVEKLACYLSDDGGALLTFEALAQTASFASTWVPFCRKHNIEPRNPEAYFGQKRNFLKNKVRLDFVRERRRVKREYDEFKVRINSLPEAIRRRSDAYNVHEELRAKKKQMEMMMGNNPQETVKVPKATWMSDGSHWPGTWSSGESDNSRGDHAGIIQAMLAPPNAEPVYGAEADAENLIDTTDVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYIYNSMALREGMCFMLDRGGDRICYVQFPQRFEGIDPNDRYANHNTVFFDVSMRALDGLQGPMYVGTGCIFRRTALYGFSPPRATEHHGWLGRRKVKISLRKSKAVMKKDDEVSLPINGEYNEEENDDGDIESLLLPKRFGNSNSFVASIPVAEYQGRLLQDLQGKGKNSRPAGSLAVPREPLDAATVAEAISVISCFYEDKTEWGKRVGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAIFATRRMKFLQRVAYFNVGMYPFTSLFLIVYCILPAVSLFSGQFIVQSLNITFLIYLLSITLTLCMLSLLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKSSTPEEGEDEFADLYAVKWSFLMVPPLTIMMVNMIAIAVGLARTLYSPFPQWSKLVGGVFFSFWVLCHLYPFAKGLMGRRGRVPTIVFVWSGLLSIIVSLLWVYINPPSGKQDYMQFQFP >scaffold_100214.1 pep chromosome:v.1.0:1:747054:748712:-1 gene:scaffold_100214.1 transcript:scaffold_100214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANARSLVAEANNINVGSLILMALVFGSCVANGEYLGGRRGLAANSGNPTVYDITKFGAVGDGSTNTFKAFLNTWIQVCDSPVPATLLVPKGQFLAGPVIFAGPCKSKVTVNVQGTIIATTSGYATPEWFLFERVDNLVLTGTGTFHGKGEAVWKADGCGKKVQCNLPPTSLKFRNMKNVEINGISSVNAKAFHMFLVKTENVNIQNIKLIAPAESPNTDGIHLSNADNVSILDSTIATGDDCVSVGRGSNNVTVERVICGPGHGLSVGSLGKYKNEEDVSGIHVNNCTMVETDNGLRIKTWGGSDPSKAVDIKFENIIMQSVKNPIIIDQNYGSRGGDSQVAISDILFKNIRGTTITKDIVQIMCSKSVPCKGVNVVDVNLNYVGKTGGEKKSSSGGLVGALCDNANVIFGGKLSFPMCPK >scaffold_100215.1 pep chromosome:v.1.0:1:750076:752884:-1 gene:scaffold_100215.1 transcript:scaffold_100215.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7KBC1] MALYLYSSRLIICLSFLLLITNGFSSSSSRSSIHHRHHLDNHNYKDALSKSILFFEGQRSGKLPPNQRMTWRSNSGLSDGSALNVDLVGGYYDAGDNMKFGFPMAFTTTMLSWSLIEFGGLMKSELPNAKDAVRWATDFLLKATSHPDTIYVQVGDPNMDHACWERPEDMDTPRSVFKVDKNNPGSDIAGEIAAALAAASIVFGRCDPSYSNLLLQRAITVFTFADKYRGPYSAGLAPEVCPFYCSYSGYQDELLWGAAWLHKATNNPTYLNYIKANGQILGADEFDNMFSWDNKHVGARILLSKEFLIQKVKSLEEYKEHADSFICSVLPGASSSQYTPGGLLFKMGESNMQYVTSTSFLLLTYAKYLTSARTVAYCGGSVVTPARLRSIARKQVDYLLGDNPLKMSYMVGYGLKYPRRIHHRGSSLPSVAVHPTRIQCHDGFSMFTSQSPNPNDLVGAVVGGPDQNDQFPDERSDYGRSEPATYINAPLVGALACLARS >scaffold_100219.1 pep chromosome:v.1.0:1:762378:762803:-1 gene:scaffold_100219.1 transcript:scaffold_100219.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7KBC5] MARSLANAKILSVFVSEKLSNAVFRRGFAAAAKTALDGSVSSGGTASAAEMKKNVGEASSEKAPWVPDPKTGYYRPETVSEEIDPAELRAVLLNNKQ >scaffold_100221.1 pep chromosome:v.1.0:1:765430:766468:-1 gene:scaffold_100221.1 transcript:scaffold_100221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRAEIFGQLTGGLEAAWSKLKGQAPNVAAIKIQSSFRAYLARKALRARKAIVRLQAIVRGRAVRRKVSALLKSTLSNKASTPSIIQRQTERKHWSKTKSEIKEELQVSNHSMSNSKVKCNGWDNSALTKEDIKATWLRNEFMDKTLVMDKISPLSFPRGSFSRLEQRLLEDESWFQSSNGFQPCMSVTGSAR >scaffold_100222.1 pep chromosome:v.1.0:1:766738:768326:-1 gene:scaffold_100222.1 transcript:scaffold_100222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAKIHLLVDLRSLSLPPSNLSPCISSHGVRFTPLVLTPLSLSSLPAFSIEAFSRLSLADNDNGVKSSYRRGGFRPVERKRRRRGSRSGSGRSSDRSGTLRCCSVNAQVTTCSEFQFAVGTDSIGELFCEANWSSDVSEAARNSRSDRDSSVGEKEGGGLGFGFGIVESMGNESGYGSEPGYRGDAEIGYGDEFDEEEEDSKLLFWGDTESSKEICAEEKEFSDKKSHYRCRRVRRNDYNKAVDSMTHNISLLHLQPYRFDQSNAISSGD >scaffold_100229.1 pep chromosome:v.1.0:1:786367:786721:1 gene:scaffold_100229.1 transcript:scaffold_100229.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBD5] MTSSLQTTSYRFPFIFRDKTHDFTVTSSIATKLFFAELIISCSFVTIIISSHYLVNDKLWALGGLFSLWTQIGLLFLWVSLYSPFHLCLVGLKPNNHYSRLGLL >scaffold_100230.1 pep chromosome:v.1.0:1:787193:787397:1 gene:scaffold_100230.1 transcript:scaffold_100230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBD6] MSSAFDYSDGLLFLSPDRRGSSKAQSSIRSQPSNTLSMSKTIQQHNFGSLSWHN >scaffold_100233.1 pep chromosome:v.1.0:1:800563:803042:-1 gene:scaffold_100233.1 transcript:scaffold_100233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATAPSLNRHFLCRVSNPYSRVKQRRPWLPPGDATLFNSRRSWDSHLLFFASSSSSPSSSPPSPNSPTDDLTAESCVNTGLDLFKRGRVKDALVQFETALSLDPNPIESQAAYYNKACCHAYRGEGEKAADCLRIALRDYNLKFATILNDPDLASFRALPEFKELQEEARLGGEDIGDSFRRDLKLISEVRAPFRGFRKFFYFAFAAAAGISTFFTVPRLIQAIRGGDGAPDLLETTGNAAINIGGIVVLVSLFLWENKKEEEQMVQITRDETLSRLPLRLSTNRVVELVQLRDTVRPVILAGKKETVTLAMQKADRFRTELLRRGVLLVPVVWGERKTPEIEKKGFGASSKAATSLPSIGEDFDTRAQSVVAQSKLKGEIRFKAETVSPGEWERWIRDQQISEGVNPGDDVYIILRLDGRVRRSGRGMPDWAEISKELPTMDDVLSKLER >scaffold_100239.1 pep chromosome:v.1.0:1:816662:816932:1 gene:scaffold_100239.1 transcript:scaffold_100239.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBE5] MGFAGENSGVSGAILSNIAHGPISCNGNTYNFNGPNMFPAPPAQGVVLLKTTKAYMTRSTEDPLHLYFICDKILLS >scaffold_100240.1 pep chromosome:v.1.0:1:817056:820017:1 gene:scaffold_100240.1 transcript:scaffold_100240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKKGRTLLAKRKTLGTIKTRRTKKIRKMEGTLERHSLLQFGQLSKLSFDNRPSSNVASSAFQGLIDSDSSELRNQLGSADSDANCGDKDFILSQDFFCTPDYITPDNQNLMNGLDISKDHSPCPRSPVKLNTVKSKRCRQESFTSNHSNSTWSSKHRVDEQENDDIDTDEVMGDKLQANQTERTGYVSQAAVALRCRAMPPPCLKNPYVMNQSETATDPFGYQRSKCASFLPASTSGDGLSRYLTDFHEIQQIGAGHFSRVFKVLKRIDGCLYAVKHSTRKLYLESERRKAMMEVQALAALGFHENVVGYYSSWFENEQLYIQLELCDHSLSALPKKSSLKVSEREILVIMHQIAKALQFVHEKGIAHLDVKPDNIYIKNGVCKLGDFGCATRLDRSLPVEEGDARYMPQEILNEDYDHLDKVDIFSLGVTVYELIKGSPLTESRNQSLNIKEGKLPLLPGHSLQLQQLLKTMMDRDPMRRPSAREVLDHPMFDRIRG >scaffold_100241.1 pep chromosome:v.1.0:1:822113:822439:1 gene:scaffold_100241.1 transcript:scaffold_100241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELAALFPHILVDVSSVKALCSRWFPIEKRRAPAKKNNHRAMDYIRETIKELKYYKKTIFKARK >scaffold_100246.1 pep chromosome:v.1.0:1:845110:845459:-1 gene:scaffold_100246.1 transcript:scaffold_100246.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7KCA5] MEKISNLLEDKPVVIFSKTSCCMSHTIKSLISGYGANPTVYELDEMSNGSEIERALVELGCKPTVPAVFIGQELVGGANQLMSLQVRNQLASLLRRAGAIWI >scaffold_100257.1 pep chromosome:v.1.0:1:899012:901473:1 gene:scaffold_100257.1 transcript:scaffold_100257.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N(7)-)-methyltransferase non-catalytic subunit [Source:UniProtKB/TrEMBL;Acc:D7KCB5] MEEESHTEEVEIQNKLEVAPALISVHPSQKSLAVAVGSDLRVFNLIENRPVSLVDESDGPSRKESIRAIRYSASGKLFVSAGDDKLVKIWSTDSWRCLNTVCSEKRVSAVAISSDDSHVCYADKFGVVWVIELDGINEGKTLPSKKGALLLSHYCSIITSLEFSPDGRYILSADRDFKIRVTVFPKKPLEGAHEIQSFCLGHSEFITCTAFVSTPELTQGYLMSGSGDSTVRLWDITSGSLLDTCEVSTVAGHLESNESESPTQVTVTDICAIPNSSLAAVAIQSFQGIFLLSCDLTAHTLSIRKVIKIPGESFIPTSISVSASSRLLWMVSGASNLPGSNHPGFSRVRVISCLETESSILEDEHIPGGTKLLEQLQGKVPIEESVMSAAAEAVRAAMSSLLMKKQYSEEKREFRKRTRNDKKTTQ >scaffold_100259.1 pep chromosome:v.1.0:1:904253:904913:-1 gene:scaffold_100259.1 transcript:scaffold_100259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAAGIFSPAITTTASAVKKLHLFSTSHRLKSLSFTKTAIRAEKTESSSAAPAVKEEAPVGFTPPQLDPNTPSPIFAGSTGGLLRKAQVEEFYVITWNSPKEQIFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKITYQFYRVFPNGEVQYLHPKDGVYPEKANPGREGVGLNMRSIGKNVSPIEVKFTGKQSYDL >scaffold_100260.1 pep chromosome:v.1.0:1:905189:906974:-1 gene:scaffold_100260.1 transcript:scaffold_100260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor Prp18 family protein [Source:UniProtKB/TrEMBL;Acc:D7KCB8] MDLLREEILKKRKSLAEESGGKKFFKRSEIEQKKIQKLREEERREHELKAQRRAASGGDGKLSGSAPGSSNASASASSKSSASDAAAIADSKSLTDENLILPRQEVIRRLRFLKQPMTLFGEDDQSRLDRLKYVLKEGLFEVDSDMTEGQTNDFLRDIAELKKRQKSGIMGDRKRKSRDERARDEGDRGETREDELSGGESSDVDADKDMKRLKANFEDLCDEDKILVFYKKLLIEWKQELDAMENTERRTAKGKQMVATFKQCARYLVPLFNLCRKKGLPADIRQALMVMVNYCIKRDYLAAMDHYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSVKRLMTFCQRRYPTMPSKAVEFNSLANGSDLQSLLAEERFFGGDRERVSEERLRLMPSQSES >scaffold_100261.1 pep chromosome:v.1.0:1:907163:909323:1 gene:scaffold_100261.1 transcript:scaffold_100261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIRRFSCNDLLRFTSVNLDHLTETFNMSFYMTYLARWPDYFHVAEGPGNRVMGYIMGKVEGQGESWHGHVTAVTVSPEYRRQQLAKKLMNLLEDISDKIDKAYFVDLFVRASNTPAIKMYEKLGYIIYRRVLRYYSGEEDGLDMRKALSRDVEKKSVIPLKRPITPDELEYD >scaffold_100263.1 pep chromosome:v.1.0:1:923754:924537:1 gene:scaffold_100263.1 transcript:scaffold_100263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVCQPQDNIVPKNQSFYQKDDMGGLSFLQSMSDITAIVQTKEDKAYVHPMEKRSVSKLNEKSLEMCTESLGTETGSESGDELSLLAFEAATTPRVPLQLKPREDTNLTDKKSPMVRNNSFPPPIKFVEDSKYNRMVRWLGEDGRLVVQAIRASSPPSCFVAERGEGRLRLMLTSESSLLSHSHEEEEEEEETEEGIDEETSDIMEGKYGNKKFSRLSRRCKENGREPKPMLTWKQQHFWVATST >scaffold_100265.1 pep chromosome:v.1.0:1:930603:930956:1 gene:scaffold_100265.1 transcript:scaffold_100265.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCC4] MGQNEKETKLLSFHKSPLLLPSPLGDKHRRKISSSTFKLLPISLSVVDNTTKLPFSPVSFLSLSSSINFNCSFLLVGWVYRLQLEIACFAEVNPEFLGFCKF >scaffold_100268.1 pep chromosome:v.1.0:1:940106:941321:-1 gene:scaffold_100268.1 transcript:scaffold_100268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRHCAESKAKEQEAMNPATVDESRSRHHPWWKSKYVIYDIMIHILVIASNVATFLYVRNYKIPIAGDKIYMIELVGFYSCFSGILGVVEWVDIFKNIPDMEFKGGFMDRISHIFGLVFLIVLLYSISPVFALYFGIPSSLWFLSVLIYTMHVLCCRSYRTSFPS >scaffold_100272.1 pep chromosome:v.1.0:1:951936:954255:-1 gene:scaffold_100272.1 transcript:scaffold_100272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSFTPSTFRIAISLLLLVAIVTAVIFLPVEQKLKDFLLWIKEDLGPFGPLALALAYIPLTIVAVPASVLTLGGGYLFGLPVGFVADSLGATLGATAAFLLGRTIGKSYVTSKIKHYPKFQAVSVAIQKSGFKIVLLLRVVPILPFNMLNYLLSVTPVRLGEYMLATWLGMMPITFALVYVGTTLKDLSDITHGWHEVSVFRWVIMMVGVALAVILIICITRVAKSSLDKALAENGTDLDVKKNDDASVLPIAEPPPDLHEPLVIRIDPSNT >scaffold_100274.1 pep chromosome:v.1.0:1:960480:962774:1 gene:scaffold_100274.1 transcript:scaffold_100274.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase/ zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7KCD3] MDLDAWEIIHIPEKPALSPDHQPTVKVYASLIKPKFANMIVRHLCKIAPLEDLRHVKRVKKKILPDSGETQLTVILCLAPEHHDQLNNMPPDVQKLVDPYELSPFITQVCKYAAVSKEEWEEQSKIWPTSFHPPTYNIDGIGGFSEEDTQSICKFMRVVIDMAVSGHKPLVNAAVIVDPSVRRIIASETDQVYASSAPRDNTSAGTRPFKETGEICLNGILEKQNCSLSAVACLNPWQWSLQPHDTEICSQWNPLRHASMVAIESSSARDRHLFPNSSEIFGQDHVQPSNTDSPAKKQKTSSHSPDVQSDSGEETLRDPSMERPYLCTGYDIFLLLEPCAMCAMALVHQRIKRIFYAFPNPTAGGLGSVHRLQGEKSLNHHYAVFRVLLPDDALRQMTMV >scaffold_100275.1 pep chromosome:v.1.0:1:963983:967477:1 gene:scaffold_100275.1 transcript:scaffold_100275.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIE alpha subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7KCD4] MEKSGPVQKAVVLQPFVKLVRLVARAFYDDYTTKSDNQQKSARSDNRGIAAVVLDALARRQWVREEDLAKDLQLHAKQLRKIIRLFEEEKLIMRDHRKETAKGAKMYSAAVAATTDGRAEDKVKLHTHSYCCLDYAQICDVVRFRLHRMKKRLKDELEDKNTVQEYGCPNCQRKYNALDALRLISMEDDSFHCENCNGELVVECNKLTSEEVVDGDDNARRRRREKLKNMLQKLEVQMKPLMDQLNRVKDLPIPEFGSFLAWEARAAMAARANGDLNPNDPLRSQSGYGSTPMPFLGETKVEVNLGDGNEDVKSKGGDSSLKVLPPWMIKEGMNLTEEQRGEMRQEAKVDGGSRGAAKLSEDKKSASGNGDEKDLKDEYLKAYYLELLKQQELAARRNQQESAGESASDIQLGTTSSGRQVSMKAKREEEDEDEDEEDVEWEEKAPVAANGNYKVDLNVEAEASGGEEEEEEDDIDWEEG >scaffold_100276.1 pep chromosome:v.1.0:1:967897:969237:1 gene:scaffold_100276.1 transcript:scaffold_100276.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCD5] MAMPFLRRLRLRLRPPTVNAGGQPKSESYDLKKFHVGDMIIGRIKRVEPYGLFIDIDQTGMVKKKTIAARRSQVKMIILPEANRKDFDELAENVKEVLDGNKRWRSSSTHQESFDMATWSFVVLTASPQLHGHYHYSLWYLCGTHCFC >scaffold_100282.1 pep chromosome:v.1.0:1:982624:984244:1 gene:scaffold_100282.1 transcript:scaffold_100282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSYSTLGFSLLQELGSNEIIKKSRAYLVNPGGRKHDILPESSFNLKPQLLEPLKPFSSSSKSNHFVEFDSTMMKHRLMDVHETGPDPVCLSLGITGHYAKKQKVLEFLLSRSEELKEGGFDLSLLSELMGLEALGSSSQLPFATSSYFYLNQEFAKPLLDLMVDGNILFLSSRAELNDFVSTAAEFHRLRNSTRWRKLSRLVPQFQRFNSEALIDTLQLSAVKPDAVTLAPLKSAEKTRLKPSPKKQNPKIRDKEKDLYERNCLHAFESLISLMIGNEQHRKTTMLSLKKSRGELLELLTQCSIGFAGTGMAVLFFLVCDVASRQVPFCANKFFEGALSLSLVLLSWSVSRLREALVNFNRKTINEEEISNKVERRIKEVYFRAATVIAMVALRFG >scaffold_100286.1 pep chromosome:v.1.0:1:991644:999478:1 gene:scaffold_100286.1 transcript:scaffold_100286.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KCE5] MSMVQKQEEMNGCGLNVDKVEAFTVSPQEKGRKNKRKLADPSQPNAASLTEFPPYELPSLKPQNHLSGNGLIGEVSNQLQVEDSESVEWDDPFACQLEELLSSNLLTLFLNAMKELIDCGYTDDEVLKAISGCRLYCGGNNLMSNIVNNTLSVLKVGNEGAGSRDYVFEDLQQLVSYTLVEMVSLVKEVRPSLSTVEAMWRLLMCDLNVLQAFEVEGDGLVSSSKSFDSESLGAESNPPNSSDPDNPKPPQSNPQGNRNEPLKFGNFPNSPNSKKTQSSGTTPGKEVCSGSTVSCQGMRSTSFTLVSDEKMVSCRKGRTKKEIAMLRQKSCVEKIRTYSKGSGYKAAKFASVGSFLLEKRVKSSSEFVAKNSSPKITAEIGVKLSLAEDSGCFVRKNSKLDSPVVMVDAKGYITALPARSVKSASKKKSGSESVTLIPSASEKKSDSLVPSASEKKSDSSVPSASEKKSGSKSEEKASLSAKLAPDYYAGIPYDAALGIYVPRDKKDELILKLVPRVNDLQNEMQVWTDWANQKVKEATGRLLKDQPELKALRKEREEAEQYKKEKQLLEENTRKRLSEMDFALKNATSQLEKAHNTARRLELEQSLLKKEMEAAKIKAVESAESCREAKERGQRSLKDTHSWEGQKILLQEELKGQRDKAAVLQKEVTKAKNRQNQIEAALKQERTAKGKLSAQASLIKKETKELEALGKVEEERIKGKAETDVKYYIDNIKRLEREISELKLKSDYSRIIALKKGSSESKATKRENVGMTKVKRERECVMCLSEEMSVIFLPCAHQVLCIKCNQLHEKEGMMDCPSCRGTIQRRIQARFLIVVEMKLQVRVVEARNLPAMDLNGFSDPYVRLQLGKQRSRTKVVKKNLNPKWAEDFSFGVDDLNDELVVSVLDEDKYFNDDFVGQVRVSVSLVFDAENQSLGTVWYPLNPKKKGSKKDCGEILLKICFSQKNSVLDLTSTGDQASASRSPDLRLESPIDPSTCASPSRSDDASSIPQTTFAGRFTQIFQKNAITATPTPSTSRSIDASEPSETSRPVFSLELSEDESSSASFEELLKVMESKDQGSEPPSNLPGGVVVDQLFMISPSDLNILLFASDSSLYASFTELQGTTEVQIGPWKGENDGESVKRVVSYLKAPTKLIKAVKGTEEQTYLKADGEVYAVLASVATPDVPFGSTFKVEVLYCISPGPELPSGEQCSRLVVSWRLNFLQSTMMKGMIENGARQGLKDNFEQYANLLAQSVKPVDSKDIGVNKEQALSSLQAEPQSDWKLAVQYFANFTVFSTFLIGIYVFVHIVFAIPSAIQGLEFNGLDLPDSIGEFVVSGVLVLQCERVLQLISRFMQARKQKGSDHGIKAHGDGWLLTVALIEGVDLAAVDPSGHCDPYIVFTSNGKTRTSSIKFQKSNPQWNEIFEFDAMADPPSVLNVEVFDFDGPFDEAVSLGNAEINFVRSNISDLADVWVPLQGKLAQACQSKLHLRIFLDHTGGGDVVRDYLNKMEKEVGKKCCYAFLSAESKFQINVRSPQTNSAFQKLFGLPQEEFLINDFTCHLKRKMPLQGRLFLSARIVGFYASLFGNKTKFFFLWEDIEDIQVLPPTLASMGSPIIVMTLRPNRGMDARIGAKTHDEEGRLKFHFHSFVSFNVAQKTIMALWKAKSLTPEQKVQAVEEESEQKLQSEESGLFLGVDDVRFSEVFSLTLPVPVSFFMELFGGGEMDRKAMERAGCQSYSCSPWESEKADVYERQTYYRDKRISRYRGEVTSTQQKSLVPEKNGWLVEEVMTLHGVPLGDYFNLHLRYQMEESASKPKTTYVRVYFGIEWLKSTRHQKRVTKNILVNLQDRLKMTFGFLEKEYSSRQQQQQQQQVT >scaffold_100288.1 pep chromosome:v.1.0:1:1005642:1007070:-1 gene:scaffold_100288.1 transcript:scaffold_100288.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KCE7] MDSCIQELHFSHLHIPVTINQKFLVHPSSPTPANHQSPHHSLYLSNLDDIIGARVFTPSVYFYPSTNTQESLVLKRLQDALGEVLVPYYPLSGRLREVENGKLEVFFGEEQGALMVSANSSMALSDLGDLTVPNPAWLPLIFRNPGEEAYKILEMPLLIAQVTFFTCGGFSLGIRLCHCICDGFGAMQFLGSWAATAKTGKLITDPEPVWDRETLKPRNPPMVKYPHHEYLPIEERSNLTNSLWDTKPLQKCYRISKEFQCRVKGIAQGQDSTLVCSTFDAMAAHIWRSWVKALDVKPLDYNLRLTFSVNVRTRLETVKLRKGFYGNVVCLACAMSSVNGLINDSISKTTRLVQEARLRVSEDYLRSMVDYVEVKRPKRLEFGGKLTITQWTRFEMYETADFGWGKPVYAGPIDLRPTPQVCVLLPQGGVESGNDQSMVVCLCLPPSAVHNFTRLLSLTDHK >scaffold_100289.1 pep chromosome:v.1.0:1:1007685:1008842:1 gene:scaffold_100289.1 transcript:scaffold_100289.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine-containing phosphotransfer factor 5 [Source:UniProtKB/TrEMBL;Acc:D7KCE8] MNTTVVAQLQRQFQDYIVSLYQQGFLDNQFSELRKLQDEGTPDFVAEVVSLFFDDCSKLINTMSASLERPDNVDFKQVDSGVHQLKGSSSSVGAQRVKNVCISFKECCHVQNREGCLRCLQQVDYEYKMLKTKLQDLFNLEQQILQAGGTIPQVDIN >scaffold_100294.1 pep chromosome:v.1.0:1:1030216:1032110:-1 gene:scaffold_100294.1 transcript:scaffold_100294.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coproporphyrinogen III oxidase [Source:UniProtKB/TrEMBL;Acc:D7KCF3] MASHSSTLLSSPTFAPFSSHRLQYSPNLSTLRFSRPIRNKPNLALRCSVSIEKEVPETERPFTFLRDSDDATHSSSFSSSVRARFETMIRAAQDSVCDAIEAIEGGPKFKEDVWSRPGGGGGISRVLQDGNVFEKAGVNVSVVYGVMPPEAYRAAKGSASDQKPGPVPFFAAGVSSVLHPKNPFAPTLHFNYRYFETDAPKDVPGAPRQWWFGGGTDFTPAYIFEEDVKHFHSIQKQACDKFDPSFYPRFKKWCDDYFYIKHRDERRGLGGIFFDDLNDYDQEMLLSFATECANSVVPAYIPIVEKRKDMEFTEQHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLSARWEYDHKPEEGTEEWKLLDACINPKEWI >scaffold_100295.1 pep chromosome:v.1.0:1:1033191:1033422:-1 gene:scaffold_100295.1 transcript:scaffold_100295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIGQWRKLFSSTKFTFRHREQNKCADLLAKKAVIHSNHYALYHSCPIFLYPLVNNDAEHMQ >scaffold_100297.1 pep chromosome:v.1.0:1:1035263:1036672:1 gene:scaffold_100297.1 transcript:scaffold_100297.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KCF6] MAHPQPPNIIETCHISPPNGTVAHSPTDFVPNLKQSLSISLQHFFPYAGKLIILSRPDPPYLQYNDGEDSLVFTVAESTETDFNQLKTDSPKDIRVLHGVLPKLPPPHVSPEGIQMPPIMAMQVTIFPGAGICIGNSATHVVADGVTFSHFMKYWMSLTKSNGKDPATVLLPPLPIHSCRNMIKDPGEVGAGHLERFWSQNSGKNSSHVTPENMVRATFTMSRNQIDNLKSWVKEQSENQSPVSTFVVTLALIWVSLMKTIVQDSETEAEEEDKDEVFHLMINVDCRNRLKYTQPIPQTYFGNCMAPGIVSGKKRDLLGEKCVLAASDAITARIKDMLSSDLLKTAPTWGQGVRKWVMSRFPTSIAGAPKLGLYDMDFGLGKPCKMEIVHIETGGSIAFSESRDGSNGVEIGIALEKKKMEVFGSILQQGIKKFET >scaffold_100298.1 pep chromosome:v.1.0:1:1038080:1039409:-1 gene:scaffold_100298.1 transcript:scaffold_100298.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KCF7] MSSSYASSCTKLISLTKQLSSYANQGFHEQALTLFRQMHSSFALPLDAHVFSLALKSCAAAFHPVLGGSVHAHSVKSNFLSNPFVGCALLDMYGKCLSVTHARKLFDEIPQRNAVVWNAMISHYTHCGKIQEAVELYEAMDVMPNESSFNAIIKGFVGTEDGSYKAIEFYRKMIDFRFKPNLITLLALVSACSAIGAFRLIKEIHSYAFRNLIEPHPQLKSGLVEAYGRCGCIVYVQLVFESMTDRDVVAWSSLISAYALHGDAESALRTFQEMESAKVTPDDIAFLNVLKACSHAGLADEALLYFKRMQGDYGLRASRDHYSCLVDVLSRVGRFEEAYKVIQAMPEKPTAKTWGALLGACRNYGEVELAEIAAKELWKIEPENPANYVLLGKIYMSVGRQEEAERLRMEMKESGVKVSPGSSWCLFKD >scaffold_100299.1 pep chromosome:v.1.0:1:1039806:1041520:-1 gene:scaffold_100299.1 transcript:scaffold_100299.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 14 protein [Source:UniProtKB/TrEMBL;Acc:D7KCF8] MRKLYRKLVSFRDPFARVKRHVSSHSGIRAFSDRKWMFPFLASLIMSITLLILLISGQFDGFYGEEDQLPLDVVSESNEYFVESDFKQSLNSTADVNLGPPRLAYLISGTKGDSHRMMRTLQAVYHPRNQYVLHLDLEAPPRERMELAMSVKSDPTFREMENVRVMSQSNLVTYKGPTMIACTLQAVAILLRESLYWDWFLNLSASDYPLVTQDDLLYVFSNLSRNVNFIENMQLTGWKLNQRAKSIIVDPALYLSKKSDIAWTTQRRSLPNSFKLFTGSAWIMLTRSFLEYCIWGWDNFPRTILMYYTNFVSSPEGYFHTVICNSKEFINTAIGHDLHYIAWDSPPKQHPRSLSLKDFDNMVKSKAPFARKFHKNDPALDKIDKELLGRTHRFAPGGWCIGSSANGNDPCSVKGDDSVLKPGPGSARLQELVQTLSSDEFRRKQCS >scaffold_100301.1 pep chromosome:v.1.0:1:1045792:1047663:1 gene:scaffold_100301.1 transcript:scaffold_100301.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KCG0] MNLILKRQFSRHASLCLTPSNSSSAPTKQSRILELCKLGQLTDAIRILNSTHSSEISAKSNLYASLLQTCRKVFSFIHGLQFHAHVVKSGLETDRNVGNSLLSLYFKLGPDMRETRRVFDGMFVKDAISWTSMMSGYVASKEHVKALEVFVEMVSFGLQPNKFTLSSAVKACFELGEVRLGRCFHGVVITHGFEWNHVISSTLAYMYGVNKEPVDARRVFDEMPEPDVICWTAVLSAFSKNDLYEEALGLFYAMHRGKGLVPDGSTFGTVLTACGNLRRLKQGKEIHGKLITNGIGSNVVVESSLLDMYGKSGSVREARQVFNGMPRKNIVSWSALLGGYCQNGEHEKAIEMFREMEEKDLYCFGTVLKACAGLAAVRLGKEIHGQYVRRGCFGNVIVESALIDLYGKSGCIDYASRVYSKMSVRNMITWNAMLSALAQNGRGEEAVSFFNDMVKKGIKPDYISFIAVLTACGHTGLVEEGRNYFALMAKSYGIKPGTEHYSCMIDLLGRAGLFEEAENLLDRAECRNDASLWGVLLGPCAANTDASSIAERIAKRMMELEPKYHMSYVLLSNMYKAIGRHGDALKIRKLMVRRGVAKTVGQSWIDAH >scaffold_100309.1 pep chromosome:v.1.0:1:1078704:1078920:-1 gene:scaffold_100309.1 transcript:scaffold_100309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASVAGITLVVSDLPWLSHLVLAIDSAFLVILMLLIIPPCSVLFCIIDDGWLVFFYE >scaffold_100315.1 pep chromosome:v.1.0:1:1088811:1089012:-1 gene:scaffold_100315.1 transcript:scaffold_100315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERQVVGAEKLIQLLHPSEDRMGNSIHCTGLESHRYTPSILAASTILSYVKH >scaffold_100324.1 pep chromosome:v.1.0:1:1132635:1132911:1 gene:scaffold_100324.1 transcript:scaffold_100324.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KCI0] MSFSYTESVSEGVLRFYLISHHFSSNTISSAKNYNPIETKTSRVFSKTVKQEKKKKKKESNFCKNQKERSELKFLSII >scaffold_100331.1 pep chromosome:v.1.0:1:1160048:1160733:-1 gene:scaffold_100331.1 transcript:scaffold_100331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKKKVTKAVAVGKSKEVRYRGVRRRPWGRYAAEIRDPIKKKRVWLGSFYTGVEAARAYDSAAREFHGAKAKTNFRLLGEDGNASVTPVNNALSETAPDGNANFLLVGDDVNASAMLVNNSLSETACDGTLPLDCQNLLSPGVAEAVAGFFLDPSDATALKEELDRVCPDQFASIDMGLSIGPQTAVEEPETSSAVDCELRKEPDLDLNLDP >scaffold_100333.1 pep chromosome:v.1.0:1:1162144:1162849:-1 gene:scaffold_100333.1 transcript:scaffold_100333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFALKPIFCFIAVFCFIVHNVEAREGKLFFSKFTHLDRPNNKEVALTPAPAPGLAQAKGRLGDGSFGPGSGMIPQTKESWPVSSTTTDEDFEKLMATFDQEKNTQLPEAFEEEEESEDSEDLNEQKDKYNNDNGNTYTTNNNYNDNGRGYGNVEEKQGMSDTRFMENGKYFYDTRGRNSENTPASRGYENARGNDHPNEFETMEEYYKSLEGSQEEYEP >scaffold_100336.1 pep chromosome:v.1.0:1:1179694:1179961:1 gene:scaffold_100336.1 transcript:scaffold_100336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVASVAGITLVMSDLPWLSHLVLAIDSAFLVVLMLLIIPYAFSSTRQGFLRHIFYFPHFLMLLVVNEDSNNMDG >scaffold_100350.1 pep chromosome:v.1.0:1:1236935:1238054:-1 gene:scaffold_100350.1 transcript:scaffold_100350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFPVGSRFLPKDLGLVRFYLRNMVERKQSSFITTMDVYMDEPWLLPHVNNPLFKNKEWYYFVQLTKRRSSVQRKVPGRGGSEGGTWRSNDGKEEIKDGHQRVMGYQQSFTYHRKVHGELVKTDWLMQEYSLHKSDEQQKLVLCRIRYKKQKKVNKIRRVNHQAHQTQVAENTNNILQSQSVQEEADLTGLTDELEKMPEGQEDREEQEEVDLTDFPDELETMLEGQEDCEQQQEAELTGFSDDPESMLLDGEEDRDVTQQQQQQQQEEEIPVPTPMQNNNNNNNNVVMMMSNQQVQEEAAGLIGLADDFAMTLLEGQEDRDVTQQQQQQQEDDDMMVLMDNPNDALALGNYEFII >scaffold_100351.1 pep chromosome:v.1.0:1:1239006:1240991:-1 gene:scaffold_100351.1 transcript:scaffold_100351.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:UniProtKB/TrEMBL;Acc:D7KD98] MNIFTKKPNPREVLRESKREMTQATRGIEKEIGSLQSEEKKLVLEIKRTAKSGNEGATKILARQLIRLRQQIANLQGSRAQMRGIATHTQAMHAHTSVAAGMQGATKAMAAMSKNMDPAKQAKVMREFQKQSAQMDMTTEMMSDAIDDALDNDEAEDETEDLTNQVLDEIGIDIASQLSSAPKGKIGGKNAENVGSSEIDELEKRLAALR >scaffold_100363.1 pep chromosome:v.1.0:1:1281276:1281608:-1 gene:scaffold_100363.1 transcript:scaffold_100363.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of the outer mitochondrial membrane 22-I [Source:UniProtKB/TrEMBL;Acc:D7KDB0] MAPKKIGAGGRDSSILAKISNYEIVSQGRRAACDAVHVSKKLLKSTGKAAWIAGTTLLILVVPLILELEKDQQLSEFEFQQTSLLGTPPVGAMH >scaffold_100368.1 pep chromosome:v.1.0:1:1295330:1300922:-1 gene:scaffold_100368.1 transcript:scaffold_100368.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMRP5 [Source:UniProtKB/TrEMBL;Acc:D7KDB4] MDFNEISLIFREHLPLLELSSVFINLILFLVFLFAVSARQILVCVRRGRDRISKDDTVSASNVSLEREANHVSVGFGFKLSLLCCLYVLGVQVLVLGFDGIKVIREVSDWFVLCFPAAQSLAWFVLSFLVLHLKYKSSEKLPFLVRIWWFLSFSICLCTMYVDGRRLAIEGWSGCSSHVVANLAVTPALGFLCFVALRGVSGIQVTRSSSDLQEPLLVEEEAACLKVTPYSTAGLLSLVTLSWLDPLLSAGSKRPLELKDIPLLAPRDRAKSSYKVLKSNWKRSKSENPSKPPSLARAILKSFWKEAACNAVFAGLNTLVSYVGPYLISYFVDYLGGKEIFPHEGYVLAGIFFTSKLIETVTTRQWYMGVDILGMHVRSALTAMVYRKGLKLSSIAKQNHTSGEIVNYMAVDVQRIGDYSWYLHDIWMLPMQIVLALAILYKSVGIASVATLVATIISILVTIPLAKVQEDYQDKLMTAKDERMRKTSECLRNMRVLKLQAWEDRYRVRLEEMREEEYGWLRKALYSQAFVTFIFWSSPIFVAAVTFATSIFLGTQLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQEDATIVIPRGLSNIAIEIKDGVFCWDPFSSRPTLLGIQMKVEKGMRVAVCGTVGSGKSSFISCILGEIPKISGEVRICGTTGYVSQSAWIQSGNIEENILFGSPMEKTKYKNVIQACSLKKDLELFSHGDQTIIGERGINLSGGQKQRVQLARALYQDADIYLLDDPFSALDAHTGSDLFRDYILSALAEKTVVFVTHQVEFLPAADLILVLKEGRIIQSGKYDDLLQAGTDFKALVSAHHEAIEAMDIPSPSSEDSDENPIRDILVLHNPKSDVFENDIETLAKEVQEGGSASDLKAIKEKKKKAKRSRKKQLVQEEERVKGKVSMKVYLSYMGAAYKGLLIPLIILAQASFQFLQIASNWWMAWANPQTEGDQSKVDPTLLLIVYTALAFGSSVFIFVRAALVATFGLAAAQKLFLNMLRSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLFGIVAVMTNVTWQVFLLVVPVAVACFWMQKYYMASSRELVRIVSIQKSPIIHLFGESIAGAATIRGFGQEKRFIKRNLYLLDCFVRPFFCSIAAIEWLCLRMELLSTLVFAFCMVLLVSFPHGTIDPSMAGLAVTYGLNLNGRLSRWILSFCKLENKIISIERIYQYSQIVGEAPAIIEDFRPPSSWPETGTIELLDVKVRYAENLPTVLHGVSCVFPGGKKIGIVGRTGSGKSTLIQALFRLIEPTAGKITIDNIDISQIGLHDLRSRLGIIPQDPTLFEGTIRANLDPLEEHSDDKIWEALDKSQLGDVVRGKDLKLDSPVLENGDNWSVGQRQLVSLGRALLKQAKILVLDEATASVDTATDNLIQKIIRTEFEDCTVCTIAHRIPTVIDSDLVLVLSDGRVAEFDTPARLLEDKSSMFLKLVTEYSSRSTGIPEL >scaffold_100371.1 pep chromosome:v.1.0:1:1313475:1313742:-1 gene:scaffold_100371.1 transcript:scaffold_100371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEKAGKATNGGEAEKSLKDKGNEFFKAGNFLKAAALYTQAIKLDPSNVTLYRFSFTTICNLTIPIGFRSKMIV >scaffold_100373.1 pep chromosome:v.1.0:1:1317950:1327866:1 gene:scaffold_100373.1 transcript:scaffold_100373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATFNPAVGSHVWVEDPDEAWLDGEVVEINGDQIKILCTSGKQVVVKASNIYPKDVEAPASGVEDMTRLAYLHEPGVLQNLQSRYDINEIYTYTGSILIAVNPFRRLPHLYSSHMMTQYKGASLGELSPHPFAVADAAYRQMVNEGVSQSILVSGESGAGKTESTKLLMRYLAFMGGRGDATEGRTVEQKVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDQSGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPEEDAKKFKLGDPKIYHYLNQSKCIQLDAMNDAEEYHATKKAMDVVGISSEEQDAIFRVVASILHLGNIEFAKGTEIDSSIPRDEKSWFHLKTAAELLMCDEKSLEDSLCKRIMATRDETITKTLDPEAALLSRDALAKVMYSRLFDWLVEKINTSIGQDPDSKYLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEEINWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTYKNHKRFTKPKLARSDFTICHYAGDVTYQTELFLDKNKDYVIAEHQALLNASTCSFVANLFPPLSDDSKQSKFSSIGTRFKQQLVSLLEILNTTEPHYIRCIKPNNLLKPGIFENQNVLQQLRCGGVMEAIRISCAGYPTRKHFDEFLNRFGIIAPQVLDKNSDEPAACKKLLDKAGLEGYQIGKSKVFLRAGQMADLDTRRTEILGRSASIIQRKVRSYLAQKTFIQLRISATQIQAVCRGYLARSIYEGMRREAAALKIQRDLRKFLARKAYTELFSATISIQAGMRGMVSRKELCLRRQTKAATIIQSRCRVYLARLHYRKLKKAAITTQCAWRGKVARKELKNLKMAARETGALQEAKNKLEKQVEELTWRLQLEKRMRTDLEEAKKQENAKYESSLEEIQNKFKETEALLIKEREAAKTVSEVLPIIKEVPVVDQELMEKLTNENEKLKGMVSSLEIKIDETAKELHETARISQDRLKQALAAESKVAKLKTAMQKLEEKISDMEAEKQIMLQQTILNTPVKTVAGHPPTATIKNLENGHRTNLENQFNEAEFNGNAGKSAAERQLENVDTLIDCVKENIGFSNGKPIAAFTIYKCLLHWKCFESEKTSAFDRLIEMIGSAIENEDDNGHLAYWLTNTSALLFLLQKSLKTGGTGATASKKPPITTSLFGRMALSFRSSPNLAAAAEAAALAVIRPVEAKYPALLFKQQLAAYVEKIFGMIRDNLKKELSALISMCIQAPRISKGGVQRSGRSLGKDSPAIHWQSIIDGLNSLLAILKENYVPLVLIQKIHTQTFSFINVQLFNSLLLRKECCTFSNGEFVKSGLAELELWCGQVNEYAGPSWDELKHIRQAVGFLVIHQKYRVSYDDIVHDLCPILSVQQLYRICTLYWDDCYNTRSVSQEVISSMRALMTEESNDADSNSFLLDDNSSIPFSIDEISNSMHEKDFASVKPAKELLENPDFVFLH >scaffold_100374.1 pep chromosome:v.1.0:1:1328760:1331080:1 gene:scaffold_100374.1 transcript:scaffold_100374.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eIF2 gamma [Source:UniProtKB/TrEMBL;Acc:D7KDB9] MSRNKGLAEQDLKKLDVTVLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDEKCPRPMCYKAYGSGKEDSPNCDVPGFENSKMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANETCPQPQTSEHLAAVEIMQLKHIIILQNKIDLIQENVAINQHEAIQKFIMNTVADAAPIVPVSAQLKYNIDVVCEYIVKKIPIPERNFVSPPNMIVIRSFDVNKPGYEVDEIKGGVAGGSILRGVLKVNQLIEIRPGIVTKDERGNSKCTPIYSRIISLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEIGSLPDVFVELEVNFFLLRRLLGVRTKGSEKQGKVSKLTKGEILMLNIGSMSTGAKVVGVKVDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTIEVPPSPF >scaffold_100378.1 pep chromosome:v.1.0:1:1348917:1353771:1 gene:scaffold_100378.1 transcript:scaffold_100378.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KDC2] MESKIKKPANFIEDADIDGGAESDSTISSVISLEDESVVDVSGQNLEFSLLDNVDDSVKGLYFFRNVFNLLPKSIGGLGRLRKLKFFSNEIDLFPPELGNLVNLEYLQVKISSPGFGDGLSWDKLKGLKELELTKVPKRSSALTLLSEISGLKCLTRLSVCHFSIRYLPAEIGCLKSLEYLDLSFNKIKSLPNEIGYLSSLTFLKVAHNRLMELSPALALLQNLESLDVSNNRLTNLHPLDLSLMPRLQILNLRYNKLPSYCWIPTWIHCNLEGNYEEMGVDTCSSSMVEMDVFETPYENNTITVPHKGSHRNPLNMSTGISSISRCFSARKSSKRWKRRQHYFQQRARQERLNNSRKWKGEVPPGGLSLKMEVEETGKQGMKVPENTDRGSVDSTYSGDNDKLLEEASVITSEEEEEESSLKAKFASDNSRFVETQLTSERDNNESCEIKASSPSSGDAPGTADYNSSSERKKPNNKSKRCSEKYLDNPKGSKCHRPSTDFANLSHKYSRNSFCSTEDSLPDGFFDAGRDRPFMSLSKYEEILPLDSREVILLDRAKDEVLDAITLSARTLVARLKKLNCLTPDVDQVSIDNLQVASFLALFVSDHFGGSDRTAIIERTRKAVSGTNYQKPFICTCLTGNQDDLAALNKQVSTTAEDVILSDVCEKSLRSIKSKRNSIVVPLGKLQFGICRHRALLMKYLCDRMEPPVPCELVRGYLDFMPHAWNIVPVKQGSSWVRMVVDACRPHDIREDTDQEYFCRYIPLNRLNESIRTKENLEPGCSVSSLLTGKGVERANSSLIRCKLGSTEAVVKMRTLEVSGASLDDIRTFEYTCLGEVRILGALKHDCIVELYGHEISSKWITSENGDEHRRVLQSSILMEHIKGGSLKGHIEKLSEAGKHHVPMDLALSIARDISGALMELHSKDIIHRDIKSENVLIDLDNQSANGEAIVKLCDFDRAVPLRSHLHGCCIAHVGIPPPNICVGTPRWMSPEVFRAMHEQNFYGLEVDIWSFGCLIFELLTLQNPYFDLSELQIHESLQKGKRPKLPKKLETLSSETEEDESTNKLCEEFDLTESDLDTMRFLIDVFHQCTEESPSDRLNAGDLHEMILSRTKRE >scaffold_100381.1 pep chromosome:v.1.0:1:1363817:1364612:-1 gene:scaffold_100381.1 transcript:scaffold_100381.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7KDC5] MDEFVNLKETELRLGLPGTDNVCEEKESIISCCNNNNKRALSSDNEKENESSSRKTETSPPRKAQIVGWPPVRSYRKNNIQTKKNESEHEGQGIYVKVSMDGAPYLRKIDLSCYKGYSELLKALEVMFKFSVGEYFERDGYKGSDFVPTYEDKDGDWMLIGDVPWEMFICTCKRLRIMKGSEAKCLSCGV >scaffold_100386.1 pep chromosome:v.1.0:1:1392215:1392876:-1 gene:scaffold_100386.1 transcript:scaffold_100386.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7KDD0] MDLESVKRYLEGDEDEKAKESKVAKLPHRFLERFVTNGLKVDLIEPGRIVCSMKIPPHLLNAGNFLHGGATATLVDLIGSAVIYTAGVSHSGVSVEINVSYLDAAFLDEEIEIESKALRVGKAVAVVSVELRKKKTAKIIAQGRHTKYFAPRSNI >scaffold_100389.1 pep chromosome:v.1.0:1:1405856:1406200:-1 gene:scaffold_100389.1 transcript:scaffold_100389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTARNVSGSGNRKSSRLQRRAPPPLKINPSEANWKVAIPFLSPTESPPQKPPAVMKREEQRWGKEAEKPPVFKKWQHPAAPFYYQPAPSSNQPFGWPN >scaffold_100390.1 pep chromosome:v.1.0:1:1407953:1409503:-1 gene:scaffold_100390.1 transcript:scaffold_100390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSFLGRVLFASLFILSAWQMFNDFGTDGGPAAIELAPKLDLTKAHLSSIFGVALPNLEVKQVVWTIVALKGLGGLLFVIGNIFGAHLLAVYLVVVSPILYDFYNYGPEDRQFSLLLTEFLQSVALLGALLFFIGMKNSTTTSKRILKKRTPKPKAA >scaffold_100392.1 pep chromosome:v.1.0:1:1411904:1413092:-1 gene:scaffold_100392.1 transcript:scaffold_100392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTDRRNPFTNLVFPPPPPPPSTTFTSPIFPRTSSSGTNFPIIAIAVIGILATAFLLVSYYIFVIKCCLNWHQIDIFRRRRRSSDQNPLMIYSPHEVNRGLDESAIRAIPVFKFKKRDVAAGEDDQNKNSQECSVCLNEFQEDEKLRIIPNCCHVFHIDCIDIWLQGNANCPLCRTSVSCDASFSLDLISSPISSPENSPHSRNRNLEPGLVLGSDDDFVVIELGASNGNNRGSVRNRDFLTEQERVASNQVSTGNSSKSMSPLPRKFDNRGMHKKESKFHKMTSMGDECIDTRGKDGHFGEIHPIRRSISMDSSVDRQLYLAVQEEISRRNRQIPVAGDSEDSTSSSGGGNNRVMKRCFFSFGSSRTSKNSSILPVYLEP >scaffold_100393.1 pep chromosome:v.1.0:1:1420214:1420658:1 gene:scaffold_100393.1 transcript:scaffold_100393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGGRSGGGGGGGGAEQMKYRGVRRRPWGKYAAEIRDSRKHGERVWLGTFDTAEDAARAYDRAAYSMRGKAAILNFPHEYSMGTGSSSTAASSSSSSRQVFEFEYLDDSVLDELLEYGENYNKTHNINTGKRQ >scaffold_100399.1 pep chromosome:v.1.0:1:1439295:1441701:1 gene:scaffold_100399.1 transcript:scaffold_100399.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7KDE3] MASSYVTFSTVTPVVSGSDTRGLARLAFTRRRVTLSPNARRRLLRISAKASTKNAMEYRKLGDSDLNISEVTMGTMTFGEQNTEKESHEMLSYAIEEGINCIDTAEAYPIPMKKETQGKTDLYISSWLKSQQRDKIVLATKVCGYSERSSYIRDSGEVLRVDAANIKESVEKSLKRLGTDYIDLLQIHWPDRYVPLFGDFYYDTSKWRPSVPFAEQLRAFKDLIDEGKVRYIGVSNETSYGVMEFVNTAKLEGLPKIVSIQNGYSLLVRCRYEVDLVEVCHPKNCNVGLLAYSPLGGGSLSGKYLVTDQEATKNARLNLFPGYMERYKGSLAKEATIQYVEVAKKHGLTPVELALGFVRDRPFVTSTIIGATSVEQLKEDIDAFLMTERPFSQEVMADIDNVFKRFKDPSFV >scaffold_100400.1 pep chromosome:v.1.0:1:1443450:1444051:-1 gene:scaffold_100400.1 transcript:scaffold_100400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVINVISSPFALACFFALACFFALACFFALACFFALACFFALACFFALACFFAPASSCTYSAYPSRLPVSPRSLSGKEPKEHGRQDNRQDNLPRGERMGRLYVISPASSSSGSSSSFLPRGSFPEAPSPRLLPRGSFPEAPSPRLLPRGSFPEAPSPRLLPRGSFPEAPSPRPFVSAPSSLP >scaffold_100401.1 pep chromosome:v.1.0:1:1445026:1445665:1 gene:scaffold_100401.1 transcript:scaffold_100401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGLRLKAAKWMLRSGAATVSRGWSSEMGKGVRRFSTETENDVPTSGISRPLAEILKVKNWEPSQNKLKKQSRGKSLGQEVGKKSGGRRRSTDEEVRAKKPGAKKYRKKPRARARARAKKPLANGRSWGEETGAKKRGGEVGAKKLGRRSWGEEVGAKVGAKKPSGGKETGRRSRGQ >scaffold_100403.1 pep chromosome:v.1.0:1:1451889:1454829:1 gene:scaffold_100403.1 transcript:scaffold_100403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVVGGKFKLGRKLGSGSFGEIFLGVNVQTGEEVAVKLEPLRARHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEFNCMVIDLLGPSMEEFFNYCSRSFTLKTVLMLADQMINRVEYMHVRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGTKKQKYDKISEKKRLTPVEVLCKNFPPEFTSYFLYVRSLRFEDKPDYSYLKRLFRELFIREGYQFDYVFDWTILRYPQFGSSSSSNSKPRPSLRPAMNIPVPSADKAEKPSIGQDSRERFSGVFEAYTRRNGSGTGVQADQSSRPRTSENVLASKDTQNQERPISLSRNQSLSRKAIAGSSVRATSSADFTENRSSRLIPNNGRSSTTQRTQFAPSSSSLAAKAAPTRTARDITLQSLELLSIGNSKRK >scaffold_100406.1 pep chromosome:v.1.0:1:1459244:1460067:-1 gene:scaffold_100406.1 transcript:scaffold_100406.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L28, chloroplast [Source:UniProtKB/TrEMBL;Acc:D7KDF0] MATMATQGPWLRVVSVSPTKSTELGSLTSQLSGVRISHGYSDAINRITLPSVPSLQPIVARRICPFTGKKANRANKVSFSNHKTKKLQFVNLQYKKVWWEAGKRFVKLRLSTKALKTIEKNGLDAVAKKAGIDLSKK >scaffold_100410.1 pep chromosome:v.1.0:1:1476347:1478852:-1 gene:scaffold_100410.1 transcript:scaffold_100410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDVISSHEQLSMDEITSPLTAQIFDFCDSQLFQETFNQTSEVTSASNGCGYVENNNTNNFPDKSNSGSNQDRDDNNDNADLSIIFDSQDDFDNDITASIDFSSSIQFPVSDQLQEQFDFTGIQLHQPPNALYSSSSGELLPPPLSVFEEDCLSSVPSHNLGSINPSSPSCSFLGNTGLPTYMTVTGNMMNTGLGSGFYSGSIHLGSDFKPSHDQLMEIQADNGGLFCPDPIKPIFNPGDHHLQGLDGGENQNHMVAQPVLPQLGTEITGLDDPSFNKVGKLSAEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDEFGEPNRQACSSHHEEDDDDVGVKEEEQLVDSSDIFSHISGVNSFKCNYPIQSWI >scaffold_100412.1 pep chromosome:v.1.0:1:1481198:1485445:1 gene:scaffold_100412.1 transcript:scaffold_100412.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7KDF6] MNCAISGEVPEDPVVSKKSGLLYEKRLIERHISDYGKCPVTGEPHTLDDIVPIKTGKIVKPKPLHTASIPGLLGTFQTEWDSLMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDESRQLLAEAERQLPAAPEVATSNAALSNGKRGIDDGEQGPDAKKMRLGISAEVITELTDCNAALSQQRKKRQIPKTLASIDALEKFTQLSSHPLHKTNKPGIFSMDILHSKDVIATGGIDTTAVLFDRPSGQILSTLTGHSKKVTSIKFVGDTDLVLTASSDKTVRIWGSSEDGNYTCRHTLKDHSAEVRAVTVHATNKYFVSASLDSTWCFYDLSSGLCLAQVTDASENVDYTAAAFHPDGLILGTGTAQSIVKIWDVKSQANVAKFGGHTGEITSISFSENGYFLATAAQDGVRLWDLRKLKNFRTFEFPDANSVEFDHSGSYLGIAASDIRVFQTASVKAEWNPIKTLPDLSGAGKATCVKFGLDSKYIAVGSMDRNLRIFGLPGDDDTEDSAQDS >scaffold_100416.1 pep chromosome:v.1.0:1:1494879:1497777:-1 gene:scaffold_100416.1 transcript:scaffold_100416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFLSQTGFYDIDRLIKMDTPSNIMVISNETASPGLFKFLKSTGYNPLMPFPYGSLDTLIMQDSLVLEDETEDSALWHCSVCCLDPPAHGFENFITHLSTGDHKVMLSEPRNTVPRPPVDLSRLHVTAYLMESDMMSRDQPWTDERPPLPTDLSTRLAKLKDLEAVKYEGESFVYWDIKLCPLPPYCDASLVGPRIKLFLKNEGFSGPLTIIAIGVLTDVPIDILQKVYSSGIALRIVPNCPSAIRSLIGNWVFRNGPRRNIMVISKDEFFTNHCGVLHSSQYCNFKLFDSLPMADGLDAPVREPLEENLKAVTAVYWDIKTRPVPPGCDPHRVGPCIKRFLENKGYSGPLTITAMGALEDVPNDILRGIYSSGISLNCIPYGFSISLERHIDEFMDWNPPPGNIMVISASNGVRRLLQSKGYNIVEPVPCESFFLADSEALEDDKCSETIEYLHLYCWVCCRYVDNLKNFTTHLASNRHQRKETDSVKEIAAEEAPEVMASSGRTACVGDEEECWRIMLEKNLGEALSEKLF >scaffold_100417.1 pep chromosome:v.1.0:1:1497780:1498072:-1 gene:scaffold_100417.1 transcript:scaffold_100417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPTNKQAKALTLVFWDIISSPVPDGCDPRVVRPSIKRLLEKEGYCGPLTVTAVGKLADVHPDTLRALYSSGIHLIISPFGG >scaffold_100418.1 pep chromosome:v.1.0:1:1499368:1500624:-1 gene:scaffold_100418.1 transcript:scaffold_100418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERFNELKLKNDGTVGEASDFTSKIITTAFQNFADRRHCRRCLIFDCHMHEKYEPTIALAFQSEDKSSLFEDEDRQPCTEHCYLKGIKTCLEVYNYMCEHDQRTVSLDLNKPREKHKQVNKKVSRKSSRSVRKKSRLGKYARYPPALKKTTNGEAQFYKHYTPCTCASKCGDQSPCLTNENCCEKYCGYVI >scaffold_100422.1 pep chromosome:v.1.0:1:1510633:1512437:-1 gene:scaffold_100422.1 transcript:scaffold_100422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIMINPLLSVQNSPTKFLKPPLSSLHRQKQLQERRSNNNTLFMVETKPRRATTLACLSIRRRRNNNGVSEFEETARFEQVGGKGISVLCGLGYWVQGSRCFPWLALNFHMVHSLALQPSTLQLVQYSCSLPMVAKPLYGVLSDVLYIGSGRRVPYIAIGVFLQVLAWGSMGMFQGAREVLPSLVAFVLLSNLGASITEVAKDALVAEYGLRYRINGLQSFALMASAAGGVLGNLLGGYLLLTTPPKISFLVFAGLLSLQLVVSLSSKEESFGLPRIAETSSVLESVKTQISNLKEAIQADEISQPLIWAVVSIAMVPLLSGSVFCYQTQVLNLDPSVIGMSKVIGQLMLLCLTVVYDRYLKTLPMRPLVHIIQLLYGLSILLDFILVKQINLGFGISNEIYVLCFSSLAEILAQFKILPFAVRLASMCPQGCEGSVTSFLASTLCLSQIVSAFLGVGLANLIGITSSNYSNLSSGILIQSLAALMPLCFMHFVPMSEPVIEKERKRGISKRSRRNRRVGRVVDKENVDYRRERESEEAQR >scaffold_100424.1 pep chromosome:v.1.0:1:1525144:1527758:-1 gene:scaffold_100424.1 transcript:scaffold_100424.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2748 [Source:UniProtKB/TrEMBL;Acc:D7KE55] MAAGFSSLIPRTPLKRAVSSATGYLTAPLASISTARNLFAGGACDEIYGEPGGFPHSSGIRRYNTVGNSAALTGPFHHFLRVNQTNKPAFLRVQSMSYQFVADSHASPKRIVKTEDEEDFSDSSKKENAETPRKHQIGENIPKKDKIKFLVNTLLDMEDNKEAVYGALDAWVAWERNFPIASLKRVIAILEKEHQWHRMIQVIKWILSKGQGNTMGTYGQLIRALDMDRRAEEAHVIWRKKIGNDLHSVPWQLCLQMMRIYFRNNMLQELVKLFKDLESYDRKPPDKHIVQTVADTYELLGMVDEKERVMTKYSHLLLGTASDDKPRRSSRKKKKQELRIPEATTEDAAKAEVQEELKENLDNHQESEATTEKQSEHGALICSINSIRDMDCKMY >scaffold_100425.1 pep chromosome:v.1.0:1:1528254:1538355:1 gene:scaffold_100425.1 transcript:scaffold_100425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAKVTVGSHVWVEDPDDAWIDGEVEEVNTEEITLNCSGKTVVAKLNNVYPKDPEFPELGVDDMTKLAYLHEPGVLLNLKCRYDANEIYTYTGNILIAVNPFKRLPHLYGSETMKQYKGTAFGELSPHPFAVADSAYRKMINEGVSQAILVSGESGAGKTESTKMLMRYLAYMGGRAESEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDRRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPEQETERYKLGKPSTFRYLNQSNCYALDGLDDSKEYLATRKAMDVVGINSEEQDGIFRVVAAILHLGNIEFAKGEESEASEPKDEKSRFHLKVAAELFMCDEKSLEDSLCKRVMVTRDESITKSLDPDSAALGRDALAKIVYSKLFDWLVTKINNSIGQDPNSKHIIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFIDNQDVLDLIEKKPGGIIALLDEACMFPRSTHDTFAQKLYQTFKDHKRFGKPKLAQTDFTICHYAGDVTYQTELFLDKNKDYVVGEHQALLSSSDCSFVSSLFPPLPEESSKTSKFSSIGSQFKQQLQSLLESLSTTEPHYIRCVKPNNLLKPEIFENINILHQLRCGGVMEAIRISCAGYPTRRPFNDFLTRFRILAPETTKSSYDEVDACKKLLAKVDLKGFQIGKTKVFLRAGQMAELDAHRAEVLGHSARIIQRKVLTYQSRKKFLLLQAASTEIQALCRGQVARVWFETMRREAASLRIQKQARTYICQNAYKSLCSSACSVQTGMRAKAARVELQFRKKRRATIIIQSQIRRCLCRQHYVRTKKAAITTQCGWRVKVARQELRNLKMAAKETGVLQDAKTKLENQVEELTSNLELEKQMRYLHLISVLLQMEIEEAKSQEIEALQSALTDIKLQLRETQETKSKEISDLQSALQDMQLEIEELSKGLEMSNDLAAENEQLKDSVSLLQNKIDESERKYEEISKISEERIKEEVPVIDQSAIIKLEAENQQLKALVSSLEEKIDALDRKHDETSSNITEQLKENVSSDYESVSNLAAENERLKALVGSLEKKINESGNYSTDEQKEGKRVLKEESLTEDALIDNERVKKLADENKDLNDLVSSLEKKIDETEKKYEEASRLCEERLKQVLDAETKLIDLKTSMQRLEEKVSDMEAEEQIRRQQALVNSASRKMSPQVSFTGTPPLENGHHESLAPIPSRRFGTESFRRSRIERQPHEFVDVLLKCVSKNIGFSHGKPVAALTIYKCLMRWKIFEAEKTSIFDRIVPVFGSAIENQEDDNHLAYWLTNTSTLLFLLQRSLRQQSSTGSSPTKPPQPTSFFGRMTQGFRSTSSPNLSTDVVQQVDARYPALLFKQQLTAYVETMYGIIRENVKREVSSLISSCIQSLKESSYDSSVVNSPSKSSKENSPTKPSEENLPAKSSEENSPKKSAGDKSPKKLSDENSPSKEGQAVKSSEENSQASSWQSIIGFLNYNLITWKKNYVPLFLVQKIFSQTFQYINVQLFNSLLLERECCTVNMGKKVKAGLDELELWCSQATEEFVGSSWDELKHTRQAVVLLVTEPKSTITYDDLTTNICSVLSTEQLYKICTLCKDKDDGDHNVSPEVISNLKLLMTNENEDSRSFLLDDDSSIPFDTDEISSCMQEKDFANVKSASELADNPNFHFLKD >scaffold_100431.1 pep chromosome:v.1.0:1:1558163:1558610:-1 gene:scaffold_100431.1 transcript:scaffold_100431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLPKIYIVFLFVFLSFGSGYGVQPFWPDTVVTMTNLIENQEKSGPPLTVHCKSKQDDLGSHVVPFKQEYHFKFQTNLWKTTLFFCTFQWDKQLKQFDIFDALRDQDVCYLCNWTIKADGACRLGKKQKCFPWK >scaffold_100437.1 pep chromosome:v.1.0:1:1578342:1582181:-1 gene:scaffold_100437.1 transcript:scaffold_100437.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7KE67] MAVLPTRLLAMMCLLLFVGAMEKNTTHDKISSLPRSDENEWNQHAVTNPDEVAGEVLALTEMSVRNHTERRKLGYFTCGTGNPIDDCWRCDRNWHKNRKRLADCGIGFGRNAIGGRDGRFYVVTDPRDDNPVNPRPGTLRHAVIQDRPLWIVFKRDMVIQLKQELIVNSFKTIDGRGANVHIANGGCITIQFVTNVIVHGLHIHDCRPTGNAMVRSSETHFGWRTMADGDAISIFGSSHVWIDHNSLSHCADGLVDAVMGSTAITISNNHLTHHNEVMLLGHSDSYMRDKAMQVTIAYNHFGVGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYAAPKNPFAKEVTKRVDTPASHWKGWNWRSEGDLLQNGAYFTSSGAAASGSYARASSLSAKSSSLVGHITSDAGALPCRRGRQCSS >scaffold_100442.1 pep chromosome:v.1.0:1:1603686:1609656:-1 gene:scaffold_100442.1 transcript:scaffold_100442.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:UniProtKB/TrEMBL;Acc:D7KE72] MEFDIPLPEELELLEANSHFYEEEEDDYLNFEEPPYPYPIDGDEEKEEERVAQKEPHVRQSESSDINGCKRPRSLISDPMVNLDEVSPASDKRSKIDANRVEIEDEDWLRFSPVKEVVHVMEEEEVVIPQETILSSNLVIGICRYASEIDGECVPITAPDGGERVYAKFCRALGDEEVKKLDVNDKSHGLIKDPISVLLQQSEKEAFNKVLQASSEDQNETISAEASVMHEKLWVDKYSPSSFTELLSDEQTNREVLLWLKQWDASVFGSEIRSTTEEVLSALKRHSTSSHHQKSDSAFTRKKQFNRWSKESFRYPKNADVSNTNTADNNDLWNKKSKLTGPPEQKILLLCGAPGLGKTTLAHIAAKHCGYRVVEINASDERSASAIETRILDVVQMNSVTADSRPKCLVIDEIDGALGDGKGAVDVILKMVLAERKHATGKENIENVKTSSKKERRTAPLSRPVICICNDLYAPALRPLRQIGSYICSTNGESCGKQAEMCANDRLKYICYMEGMKARSFALSALAEYTECDIRSCLNTLQFLYKKKETINVIDIGSQVVGRKDMSKSLFDIWKEIFTTRKMKRERSNDASGSGAKNFDFLHTLVSSRGDYDLIFDGIHENILQLHYHDPVMDKTISCLDCLGTSDLLHRYIMRTQQMPLYVLVNIKCTRCRTLLVEKQESLRSWHHKIPPYIGRHLSIKSFVEDSISPLLHILSPPTLRPVASHLLSDRQKDQLAGLVMLMCSYSLTYKNVKSDPVLSNLRDDAASDALVLALDPHLFDFINFKGHQFKHHVLALAMKQVLVHELHGDLDCMILQASGGRSGILNKPEIKKINQDLARKRNAAANESQRNPISSKPPLVSVGIATTSKPNSSDVKKASRTALNFFDRFRKSRKDYEDPEDVQKRATAKRDSRPLLFKFNEGFTNAVKRPVRMREFLL >scaffold_100443.1 pep chromosome:v.1.0:1:1610390:1612031:-1 gene:scaffold_100443.1 transcript:scaffold_100443.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 7B [Source:UniProtKB/TrEMBL;Acc:D7KE73] MAQQSLIYSFVARGTVILVEFTDFKGNFTSIAAQCLQKLPSSNNKFTYNCDGHTFNYLVEDGFTYCVVAVDSAGRQIPMAFLERVKEDFNKRYGGGKAATAQANSLNKEFGSKLKEHMQYCMDHPDEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRTTGTQMRRKMWLQNMKIKLIVLAIIIALILIIVLSVCHGFKC >scaffold_100444.1 pep chromosome:v.1.0:1:1613257:1614545:1 gene:scaffold_100444.1 transcript:scaffold_100444.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 7B [Source:UniProtKB/TrEMBL;Acc:D7KE74] MGQQSLIYSFVARGTVILAEYTEFKGNFTSVAAQCLQKLPSSNNKFTYNCDGHTFNYLADNGFTYCVVVVESAGRQIPMAFLERVKEDFSKRYGGGKASTAKANSLNKEFGSKLKEHMQYCADHPEEISKLSKVKAQVTEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRTQGTKMKRKLWFENMKIKLIVFGIIVALILIIILSVCHGFKCT >scaffold_100445.1 pep chromosome:v.1.0:1:1614983:1616245:-1 gene:scaffold_100445.1 transcript:scaffold_100445.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Male sterility MS5 family protein [Source:UniProtKB/TrEMBL;Acc:D7KE75] MMMIQRRVGDERQDSSAAAYNVVHKLPHGDSPYVRAKHVQLVEKDAEAAIELFWRAIEARDRVDSALKDMALLMKQQNRAEEAIDAIQSFRDLCSRQAQESLDNVLIDLYKKCGRIEEQVELLKQKLWMIYQGEAFNGKPTKTARSHGKKFQVTVEKETSRILGNLGWAYMQLMDYTAAEAVYRKAQLIEPDANKACNLCTCLIKQGKQDEARTIIFRDVLTENKEGSGDPRLMARVQELLSELKPQEEEAAALASVSVECEVGMDEIAVVEGLDEFVKEWRRPYRTRRLPIFEEILPLRDQLAC >scaffold_100451.1 pep chromosome:v.1.0:1:1642576:1642919:1 gene:scaffold_100451.1 transcript:scaffold_100451.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KE81] MGRIKVSPRGRRVVVELPNANILPTLILSASTPPPRNLWTIDCGKCVFFAKINKLGACGAFSTDQNATGLCLTNYKINLVGPFLSEPISVLFLVKYLLAL >scaffold_100456.1 pep chromosome:v.1.0:1:1658891:1661134:-1 gene:scaffold_100456.1 transcript:scaffold_100456.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine N-methyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KE86] MRSSQNGGGGPSAPVDKEVDYAQYFCTYSFLYHQKDMLSDRVRMDAYFNAVFQNKHHFEGKTVLDVGTGSGILAIWSAQAGARKVYAVEATKMADHARALVKANNLDHIVEVIEGSVEDISLPEKVDVIISEWMGYFLLRESMFDSVISARDRWLKPTGVMYPSHARMWLAPIKSNMADRKRNDFDGAMADWHNFSDEIKSYYGVDMGVLTKPFAEEQEKYYIQTAMWNDLNPQQIIGTPTIVKEMDCLTASVSEIEEVRSNVSSVINVEHTRLCGFGGWFDVQFLGRKEDPAQQEIELTTAPSEQHCTHWGQQVFIMSNPINVEEGDNLNLGLVMSRSKENHRLMEIELKCEIKESSGNPKESFKKTYFIE >scaffold_100462.1 pep chromosome:v.1.0:1:1683565:1685691:-1 gene:scaffold_100462.1 transcript:scaffold_100462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPDPNSSASVTVSPSLSTASETPAIPANTVRPPPSQPPPAPPPPPPPTYRPIAPLRHPNPLQQSAHNNNLYAQSIPVRRQIQDPSAVLYPGRGFSARPVRGFVADPSLTAGYQSGYPPRPSFAYNPRQFGPSQMESLLQQYLRGRLPQINPLPRLGSGSPVGSGLMRGSPQFLQPRVAPPPTSILDTGRNRKARSKDGALVVVRGRKVRITEGASLYSLGRSWLKNGAHVGIQPQRSGIMKPLPKPVPVDITTETSVPDDPDEESADEEKEDEEAVKQLSEKDLLKRHIERAKKVRAR >scaffold_100463.1 pep chromosome:v.1.0:1:1686280:1687340:1 gene:scaffold_100463.1 transcript:scaffold_100463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGCSTPSAHVLTSSRAFKSSSYRAASGRTQHYLARSSLSVIKNSWGSPSPFNELPRVSRGVPLSYLSASSSLLLNGEQGSLSGTLPVLPIRRKTLLTPRASKDVPSSFRFPPMTKKPQWWWRTLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLGAIGRLPSWFLMAYFFVAYLGIVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSKWMPLGVYWGKFGMHFWTAVAFAYLFTVLESIRCALAGMYADIPFVCDAAYIQIPYD >scaffold_100467.1 pep chromosome:v.1.0:1:1702395:1704802:1 gene:scaffold_100467.1 transcript:scaffold_100467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGRCFLFLLLPSFFFLPSQTQSSHSFTSVLVSQNGLDFVKNLLVNKAIASIIPLQIPRIEKSVKIPFLGGIDVVVSNLTIYELDVASSYVKLGETGVVIVASGTTCNLSMNWHYSYSTWLPPIEISDQGIASVQVQGMEIGLSLGLKTDEGGLKLSLSECGCHVEDITIELQGGASWFYQGMVNAFKDQIGSSVESTIAKKLTEGVSDLDSFLQNLPKEIPVDDNAALNVTFTSDPILRNSSITFEIDGLFTKGETNQFLKSFFRKSVSLVICPGNSKMLGISVDEAVFNSAAALYYNADFVQWVVDKIPEQALLNTARWRFIIPQLYKKYPNQDMSLNISLSSPPRVKISEQYVGANVNADLVINVLDASQVIPVACISLMIRGSGALRVMGNNLGGSVSLEDFSMSLKWSNIGNLHLHLLQPIVWTVIQTVFVPYANDHLEKGFPLPIIHGFTLQNAEIICSSSEITVCSDVAYLDSSQQPQWRLKLPRSTTWKPNL >scaffold_100473.1 pep chromosome:v.1.0:1:1725333:1726714:-1 gene:scaffold_100473.1 transcript:scaffold_100473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFPIISLEKLNGEERAITMEKIKDACENWGFFECVNHGISHELLDKVEKMTKEHYKKCMEERFKESIKNRGLDSLRSEVNDVDWESTFYLKHLPVSNISDVPDLDDDYRTLMKEFAGKIEKLSEELLDLLCENLGLEKGYLKKVFYGSKSPTFGTKVSNYPPCPKPDLVKGLRAHTDAGGIILLFQDDKVSGLQLLKDGEWVDVPPVKHSIVVNLGDQLEVITNGKYKSVEHRVLSQTDGEGRMSIASFYNPGSDSVIFPAPELIGKEPEKEEKENYPSFVFEDYMKLYSAVKFQAKEPRFEAMKAMETTVANSVGPLATA >scaffold_100474.1 pep chromosome:v.1.0:1:1729529:1731502:-1 gene:scaffold_100474.1 transcript:scaffold_100474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKLKKAIGAVKDQTSISFAKVANGATGGGDLTTLEVAILKATSHDEEVPIDDRLVTEILGIISSKKSHAASCAAAIGRRIGRTRNWIVALKSLVLVLRIFQDGDPYFPREVLHAMKRGAKILNLSSFRDDSNSCPWDFTAFVRTFALYLDERLDCFLTGKLQRRYTIRDQTGRISTNTTNSRSRFNPKTGIKSHEPAVRDMKPVMLLDKITYWQRLLDRAIATRPTGDAKANKLVKMSLYAVMQETFDLYRDISDGLALLLDSFFHLQYQSCIHAFQACVRASKQFEELNGFYDICKSIGIGRTSEYPSIQKISLELLETLQEFLKDQSSFPASSGLYPSPNSLLPPPPSSKDSAVSSSLDFGDSTIDTSERYSDYGSFRSTSLEDLMSRTEAGTSSPPMSCHSEPYGGGRDDPNGNNFDTVSTKSLPNNPSVSASNLTLDLLSLDDVSNTAEAKDVEDKKKQDDSKAETFDPWEALMLRDEPSTSEDQRDSGNWLLVLEETATQVQDNNSMAIVPFGLDDPMPAFQAAMDQYNPFLEEPVAELAAAEEPMTTFSALALTEFQPEPTFQVNVPEDFEPSSTPTFKATGTLPVECDPFTTFESTFGFGETLSENGGVNQQSVLQEQQLWLQNQKKIIAKHLS >scaffold_100480.1 pep chromosome:v.1.0:1:1746883:1747153:-1 gene:scaffold_100480.1 transcript:scaffold_100480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSRRLLCLIVFLFLLVLSKASRIHVERQRFSSKPSGEDREFIPSQHTLPVVDAGEILPDKRKVKTGSNPLHNKR >scaffold_100483.1 pep chromosome:v.1.0:1:1754939:1756711:-1 gene:scaffold_100483.1 transcript:scaffold_100483.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEB2] MEVPQVPQAYRRKISLLEKAVKLFWLRFFQERSDFRAFALTQTDHFPPSFIDRLIVRLDRAGWPRPLDSGYEIPRKIVARLVALFADPDPDQFLCSIYDLEKRVKKRADRERKKLLAIAMEVPQAYRRETSLLENAVELFWDRFFPERSFFRAFALTQTDQFPPSFIDRLIEGLNRVRWTRHLDPGYEIPRKIMARLVALFADPDQYICSIYDLEKRVKKKADKKRKKLSAIPPWDLSVIEKSIHQFWVTFSSLDGADLRSFAESQANNFPHNYIAEFLDRLKRAGWSQPSDSDNKLPLKLMSRLASSMCKAPEMVDDCFSLVMRMEAEMEAEVRRAEAEKIAAEAQLRRQESDAAAAAVAARKKAEKAEKSAQEKARKRIAREKNNLNRIGAKSLGLQ >scaffold_100484.1 pep chromosome:v.1.0:1:1757511:1757800:1 gene:scaffold_100484.1 transcript:scaffold_100484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAETDVVRPVEDNSSEETGPEQDHGGRPELKQGEILRTLATVEKDSQAIAESFSSLFVSLRSTLSEVRVLFYELILVRR >scaffold_100501.1 pep chromosome:v.1.0:1:1832605:1833885:-1 gene:scaffold_100501.1 transcript:scaffold_100501.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7KEC9] MAIKNLLALVVLLNVLGVSVAQKGLDLNYYKHRCPDVEAIVLRVTVQYVSRQPSLAAALLRLHFHDCFVRGCDGSVLLRSRDNDAEINALPSLSLRGFEVVDAAKSAVEKKCPGVVSCADILALVARDAVSVINGPSWPVPLGRRDGRISRRSEVNLPSPFAGIAALKQGFFAKGLNTTDLVVLSGGHTIGISNCGLINKRIYNFTGKGDFDPSMNPSYVRKLKKRCKPNDFKTPVEMDPGSVKKFNSHYFDNVAQKKGLFTSDSTLLDDPETKSYIDRQVATAGSSFPKDFSDSMVKLGFVQILTGEKGEIRKRCAFVKPKTKETLTFL >scaffold_100503.1 pep chromosome:v.1.0:1:1838611:1841133:-1 gene:scaffold_100503.1 transcript:scaffold_100503.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TraB family protein [Source:UniProtKB/TrEMBL;Acc:D7KED1] MTIEPTQSSPSSEPDVHSGEDFVHIDDPRPTGDISLSDSIVNVDKDELLDEAAEEEFRGSDSVFSGGDGGGADDDGGECSSETIKVELPEDLAKSVVILTCESTADGGSCDVYLVGTAHVSKQSCLEVEAIISILKPEVVFVELCSSRLSVLKPQTLKIPTMSDMIESWKQKQNTFGILYGWFLAKIASHLEVFPGAEFRVAYEEAIKYGGKVILGDRPVQITLKRTWAKMPLWHKVKFLYSILFQAVFLPSAEELEKMLKDMDNVDMVTLVIQEMSKEFPTLMDTIVHERDQYMASSLLRVASEHSSVVAVIGKGHINGIKKNWKQPITMNDLMEIPSDKSVFTIKRIISSVAIAVAGTAIVSGILLARRR >scaffold_100504.1 pep chromosome:v.1.0:1:1843048:1845079:-1 gene:scaffold_100504.1 transcript:scaffold_100504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPFRPVSKTYSSSSSSSTIATRMINCILLFVFIFLVYLLISASRLQSKDSIHAYFSSSDQDQSQIPTKIEHVVFGIGSSTNSWGARREYVKLWWDAQKMRGCVFVERPFPSSENHTDSNLLPPVCVSQDTSRFRYTWRDGDRNAIRIARCVLETVRLFNTSSKEVRWYVFGDDDTIFIPENLARTLSKYDHTSWYYIGSTSEIYHQNSMFGHNMAFGGGGFALSSSLANVLARNFDSCIERYPHLYGGDSRVHVCVLELGVGLSKEPGFHQFDVRGNALGILTSHSMRPLVSLHHLAHIDPIFPNSTTFSAVRHLFSAVELDPLRIFQLSICYDRWYSWTISVSWGYTVQIDSRHLFLPDVLRTQETFRPWQDSGGLASVYMFNTREIHPDPCQRPVTFYMQHVSYSSHNGTIKSVYKQAYENCTYDPITSPRKIKEIRVFSRRLDPNIKQVRFFYFNKI >scaffold_100507.1 pep chromosome:v.1.0:1:1851623:1851839:1 gene:scaffold_100507.1 transcript:scaffold_100507.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KED5] MAERDGRHRRVPSILLCSGQRGAWRLTLREIVVVVVRACVSIAVEEEDDSFRSAGDER >scaffold_100511.1 pep chromosome:v.1.0:1:1868054:1868498:1 gene:scaffold_100511.1 transcript:scaffold_100511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHNTPPYDLTKLDHYIKYQPPEEAEDFFVHVEVKVLGKGSSPLEIFLSTSVHDFIWEDEDCYEKAELYEFFVEDAGIDSYEAQFLVNDLILYVNKVTRPLDEDFTGVFKLMAEVTVKPVDLNHAGSDQTESH >scaffold_100515.1 pep chromosome:v.1.0:1:1878466:1880897:1 gene:scaffold_100515.1 transcript:scaffold_100515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNRASSKTPPISGLREKHQQDLEKLTLTSQPFKTLRFFVVAVLLYVRRWSSYLLANVGWLMLFGSIFVAFAALLVTLDGPHVKHLEELSEYTRFGLWWIFLGVASSIGLGSGLHTFVLYLGPHIALFTIKAMQCGRVDLKSAIYDTIQLKRSPSWLDKPCHEFGSPVFSSGVPLSSILPQVQIEAILWGLGTALGELPPYFISRAASLSGGKMEELETCSGDDNGFIAKRVNQIKGWLLSHSQYLNFFTILILASVPNPLFDLAGIMCGQFEKPFWEFFLATLIGKAIIKTHIQTVFIICVCNNQLLDWVENELIYILSFVPGFASALPELTAKLRLMKEKYLVASPPVSSDINVKKWDLSFASVWNGVVWLMLLNFFGQIVTSTAQRYLKKQQEEELDALTNKSSLSSKRSK >scaffold_100516.1 pep chromosome:v.1.0:1:1883370:1886267:-1 gene:scaffold_100516.1 transcript:scaffold_100516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEQKDHHSTVESDDKVEAVLHLLRKHSPLTLKQEKFCNRACVGRFLRIKGDNVKKAAKQLRSCLSWRSSLGIESLIADEFTAELAEGLAYVAGLDDECRPVLVFRIKQDYQKLHTQKQLTRLVVFTLEVAISTMSRNVEQFVILFDAIAAAVLLVTLIVLFSFSLNVPLLIHCTPSFSYYYFFFIKQNTNFQHLFSHVFPKNIVNCFFKSASAFMNILVTTLKIVGIRTFVDLSTATMIVSMQDFQDSFDYDDFSSSYPSRVSSLRFDTSSLKSTDKIGSCASSRFAFTVSRDGLDTIKPWCLTLTDTSSSKLGHNTGAYISPLNARSFSFASPAARSEPFGGPRRSFFASTPMPARTTDRHSIGTLRDPRIPRPSFFQSPAVFFRRESHVSKSEKPRDSFVQFLKFYRRPYDEMTYRSKMRPPLGGLVSIVSTQIRRRHVSLSQRF >scaffold_100519.1 pep chromosome:v.1.0:1:1899785:1901224:-1 gene:scaffold_100519.1 transcript:scaffold_100519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLFLDSFLRKSPPPSNMMVISRQPAYIPAEFSHFLNKLREKGYYIIFPFPLEEMASTHATPLAKNPVALEEDKSTETGEPPSWFCNVCHHFVAPGLAAGRGVDNFIRHLSTRDHARLCFWRMSLWGSAELVVEIGYLFHYNRADPRKKSNVPLLAMKPNNSGVEHSFGGLSGTRRKAEQLLDIVPKIGVKR >scaffold_100520.1 pep chromosome:v.1.0:1:1903339:1904072:-1 gene:scaffold_100520.1 transcript:scaffold_100520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVMWKNFHLCFPSNLTKPSSSPSDATSDDPNRPSILLINNFNLLYDDSSAAHRRRLSKPLIDDVEPSSTFTASTFTAANSSSSSASYDDSDNCGFAPDDDSSPPDLTAVLASRRFFFSSPGRSNSITDSPDLRCQDNYDTATTTTRLLTGGTAVKQHVQSPDPYNDFRRSMQEMLDAVTNAGDLRRYEFLHELLLSYLSLNAADTHKFIIRAFADILVSLLSDGHRIS >scaffold_100523.1 pep chromosome:v.1.0:1:1913756:1915230:-1 gene:scaffold_100523.1 transcript:scaffold_100523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKILVSPSEKSSTTTTTTTTTTVSTEDSEKRDSNCYFPDCRKDANCSCEICLDSLNATLDLMPLSVQKSSLTKLSFASNFKSTVESTPTSFDPTVVTTPASVSRPILKLMISSPKKKQIKKSKVSENEEQRKTTKKERSLLILVVLKLVLVIGLVLCSELGFSWVFKGLLKPEFTEEIVRNVGERTQADLYLGVKLRLLEDELKGFVNNDEFPSCGGSDSKWKIIQDGPMLNSKCVLYKSAIEEVSIWGWPLQTSGLFHTGFSSSSITVLSGRVTEWTEGKFGYTMRETNTSWRKTKWSTSVLQLDPNTWVLEYSKSSVIDDSSSLLSLTMDLIKHMVFRAAKNVNREVFWMFSASGSLYSEAESKASTMTPT >scaffold_100532.1 pep chromosome:v.1.0:1:1964008:1964523:-1 gene:scaffold_100532.1 transcript:scaffold_100532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGNKLDRKKTMTMNWEGLGDFEDEDDDRFFETHDRLSSALAFDMSAASSSDEDEDFDDCRLSFSSAVSSLTTASRKLRTLAMSPDYDIWMAAPGSISERRRRLLHGMGLVSNKDMVSAVSIRRVVSNAAVVSNGEDKKMKKKIMNGEVDDEN >scaffold_100533.1 pep chromosome:v.1.0:1:1965385:1966805:-1 gene:scaffold_100533.1 transcript:scaffold_100533.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KF51] MALPHFLLVTFPAQGHVNPSLRFARRLIKTTGTRVTFATCLSAINRSMIPDHNNVNDLLSFLTFSDGFDDGVISNTDDVQNRLLNFERNGDKALSDFIEANLNGDSPVTCLIYTILPNWAPKVARRFHIPSVLLWIQPAFVFDIYYNYSTGNNSVFEFPNLPSLAIRDLPSFLSPSNTNKAAQAVYLELMEFLKEESNPKILVNTFDSLEPDFLTAIPNVEMVAVGPLLPAEIFTGSESGKDLSRDQSSSYKLWLDSKTESSVIYVSFGTMVELSKKQIEELARALIEGKRPFLWVITNKLNREAKIEGEEETEIEKIASFRHELEEVGMIVSWCSQIEVLRHRAVSCFVTHCGWSSSLESLVLGVPVVAFPMWSDQPANAKLLEKIWKTGVRVRENSEGLVERGEIKRCLEAVMEEKSEELRESAEKWKRLAIEAGGEGGSSDKNVEAFVKTLCFEVV >scaffold_100536.1 pep chromosome:v.1.0:1:1972467:1972953:-1 gene:scaffold_100536.1 transcript:scaffold_100536.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KF54] MSKSSILSAIKRKTTEALKNFVHVEGQSIMKQVAAVGAKEAGVTDVHNDKFNGELGGAYFSVLTPTVKEDHWFVKKSALLEYIIVRTYIQVTLLRRRLWRRSILCRCRRSLGMGLKTAVKPAVPSRRATKNKLAIKHLDPVVFCDNVI >scaffold_100538.1 pep chromosome:v.1.0:1:1976187:1977656:-1 gene:scaffold_100538.1 transcript:scaffold_100538.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KF56] MAPPHFLLVTFPAQGHVNPSLRFARRLIKTTGTRVTFVACVSVFHNSMIPNHNNVDNLSFLTFSDGFDDGGISTYEDRQKRTANLKVNGDKALSEFIEASRNGDSPVTCVIYTILLNWAPKVARRFQLPSALLWIQPALVFDIYYNHFMGNNSVFKLTNLSSLEIRDLPSFLTPSNTNKAAYDSFQEMMEFLIEETNPKILINTFDSLEPEALTAFPNIDMVAVGPLLPTEIFSGSAKSVEDQSSSYTLWLDSKTESSVIYVSFGTMVELSKKQIEELARALIEGKRPFLWVITDKSNRETKTEGEEETEIEKIAGFRHELEEVGMMVSWCSQIDVLSHRAVGCFVTHCGWSSTLESLVLGVPVVAFPMWSDQPTNAKLLEESWKTGVRVRENEEGLVERGEIRRCLEAVMEDKSVELRESAKKWKRLAIEAGGEGGSLDKNMEAFVEEICGDSHVQRLCEAEDVKVNDKRVGV >scaffold_100540.1 pep chromosome:v.1.0:1:1991950:1992272:-1 gene:scaffold_100540.1 transcript:scaffold_100540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFEGMLMKVSIFALIQGLVYLILSKSSRVFSTSKTMKRAYSFRSARSISIRRILAVLQDMPAGGEMSPSAMGPSLASPSSSPSPSDI >scaffold_100542.1 pep chromosome:v.1.0:1:1995729:1998870:-1 gene:scaffold_100542.1 transcript:scaffold_100542.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger [Source:UniProtKB/TrEMBL;Acc:D7KF60] MSSGAPLNVTNPSYDIEISRFGKIVCYDQSLLFEKREQKGWESGSTLASSLPFFITQLFVANLSYRVLYYITRPFYLPPFVAQILCGLLFSPSVLGNTPFIVTHVFPYRFTMVLETFANLALVYNIFLLGLGMDLRMVRITELKPVIIAFAGLLVALPVGAFLYYLPGNGHPDKIISGCVFWSVALACTNFPDLARILADLKLLRSDMGRTAMCAAIITDLCTWVLLVFGFASFSKAGTWNKFMPFVIVVTAIFVLLCIFVIRPGIAWIFSKTVKAGHVGDTHVWFILGGVVLCGLITDACGVHSITGAFLFGLSIPHDHIIRNMIEEKLHDFLSGILMPLFYIICGLRADIGFMLDYTDKFMMVVVICSSFLVKIVTTVVTSLFMHMPMRDAFAIGALMNTKGTLSLVVLNAGRDTKALDSPMYTHMTIALLVMSLVVEPLLAVAYKPKKKLVHYKYRTVQKIKGETEFRVLACVHILPNVSGITNLLQVSNPTKQSPLSVFAIHLVELTGRTTASLLIMNDECKPKANFSDRVRAESDQIAETFEAMEVNNDAMTVQTITAVSPYATMHEDICALAEDKRVCFIILPYHKHLTPDGRMGEGNSSHAEINQNVLSHAPCSVGILVDRGMAMVRSESFRGEAMKREVAMLFVGGPDDREALSYAWRMVGQHVIKLTVVRFVPGREALISSGKVAAEYEREKQVDDECIYEFNFKTMNDSSVKYIEKVVNDGQDTISTIREMEDNNSYDLYVVGRGYNSETPVTAGLNDWSSSPELGTIGDTLASSNFTMHASVLVIQQYSATKRQAAVTAAAATTVVGAVAGATVNNQEPVGGGAKTPRDAEPFMKSMYEDEDEDDEEDHQYGIHR >scaffold_100550.1 pep chromosome:v.1.0:1:2021713:2023541:-1 gene:scaffold_100550.1 transcript:scaffold_100550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPAITFPLIFTLLTFIDVSSSASIVFNVVSFGAKPDGVTDSTAAFLKAWQGACGSVSSATVVVPTGTFLLKVITFGGPCKSKITFQVAGTIVAPEDYRTFGNSGYWILFNKVNRFSLVGGTFDARANGFWSCRKSGQNCPPGVRSISFNSAKDVIISGVKSMNSQVSHMTLNGCTNVVVRNVRLVAPGDSPNTDGFTVQFSTGVTFTGSTVQTGDDCVAIGQGTRNFLISKLACGPGHGVSIGSLAKQLNEDGVENVTVSSSVFTGTQNGVRIKSWARPSTGFVRNVFFQNLIMKNVQNPIIIDQNYCPSKQGCPTEHSGVKISQVTYKNIQGTSATQQAMNLACSKSNPCTGITLQDIKLIYNKGTPATSFCFNAVGKNLGVIQPTSCLNR >scaffold_100551.1 pep chromosome:v.1.0:1:2026732:2028486:-1 gene:scaffold_100551.1 transcript:scaffold_100551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPAITFPLLFTLLTFIDVSSTASNVFNVVSFGAKPDGVTDSTAAFLKAWQRACGSASSATVVVPNGTFLLKVITFGGPCKSKITFQVTGTVVAPEDYRTFGNSGYWILFNKVNRFSLVGGTFDARANGFWSCRKSGQNCPPGVRSISFNSAKDVIISGVKSMNSQVSHMTLNGCTNVVVRNVKLVAPGNSPNTDGFHVQLSTGVTFTGSTVQTGDDCVAIGPGTRNFLITKLACGPGHGVSIGSLAKELNEDGVENVTVSSSVFTGSQNGVRIKSWARPSTGFVRNVFFQDLVMKNVENPIIIDQNYCPTHEGCPNEYSGVKISQVTYKNIQGTSATQEAMKLVCSKSSPCTGITLQDIKLIYNKGTPATSLCFNAVGKSLGVIQPTSCLNR >scaffold_100554.1 pep chromosome:v.1.0:1:2042829:2044321:1 gene:scaffold_100554.1 transcript:scaffold_100554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDTFSQVIDIGVEEEEESFYARDDDVLTLLQPTSPPTISSAKNIPKPPPLPCITYQRFQASTRKTSSLSRLVPKEAVETWDKLFKEGFGADTYVETDNKSHFPAHSSVLAAASPVMAKLLNQSRDKNGKTYLKIPGVPCEAVHMFIRFLYSSCYEEEEMKKFVLHLLVLSHCYSVPSLKRVCVEILDQGWINKENVIDVLQLARNCDATRICFVCLSMVIKDFKSVSSTEGWKVMKRANPLLEQELIEAVIEADTRKQERRKKLEEREVYMQLYEAMEALVHICSEGCGTIGPRDKALKGSHTVCKFPACKGLEGALRHFLGCKSRASCLHCKRMWQLLQLHSCICDDSNSCKVSLCWNFKEKMKKLSKKEQSKWRLLVENIITAKNSLGPFSSRPSGLI >scaffold_100556.1 pep chromosome:v.1.0:1:2051907:2052819:1 gene:scaffold_100556.1 transcript:scaffold_100556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSRDAGMMMENKRNVCSLEESSIKRHKSDLSFNSKERKDKVGERISALQQIVSPYGKTDTASVLLDAMHYIEFLHEQVKVLSAPYLQTIPDATQEEVEQYSLRNRGLCLVPMENTVGVAQSNGADIWAPVKTPLSPAFSVTSQSPFR >scaffold_100568.1 pep chromosome:v.1.0:1:2089002:2090032:1 gene:scaffold_100568.1 transcript:scaffold_100568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDEGREEYLFKIVVIGDSAVGKSNLLSRYARNEFSANSKATIGVEFQTQSMAIEGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDITRRTTFESVGRWLDELKIHSDTTVARMLVGNKCDLENIRAVSVDEGKALAEAEGLFFVETSALDSTNVKTAFEMVILDIYNNVSRKQLNSDTYKDKLTVNRVSLVKDDNSASKQSSGFSCCSST >scaffold_100569.1 pep chromosome:v.1.0:1:2090434:2094558:1 gene:scaffold_100569.1 transcript:scaffold_100569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPFSCRFLAAAVTLYLIGLLCVGADTKDVTVPNIPGCSNEFQMVKVENWVNGENGETFTAMTAQFGTMLPSDKDKAVKLPVALTTPLDSCSNLTSKLSGSIALSLRGECAFTAKAEVAQAGGAAALVLINDKEELDEMVCGEKDTSLNISIPILMITTSSGDALKKSIMQNKKVELLLYAPKSPILDYAVVFLWLMSVGTVFVASVWSHFTSPKKNDEQYDELSPKKSSNDDATKGGAEEETLDISAMGAVIFVISASTFLVLLFFFMSSWFILILTIFFCIGGMQGMHNIITTLITRRCNKCGQKNVKLPLLGNTSILSLVVLLFCFVVAILWFMKRKTSYAWAGQDIFGICMMINVLQVARLPNIRVATILLCCAFFYDIFWVFLSPLIFKQSVMIAVARGSKDTGESIPMLLRIPRLSDPWGGYNMIGFGDILFPGLLICFIFRYDKENNKGVSNGYFPWLMFGYGLGLFLTYLGLYVMNGHGQPALLYLVPCTLGITVILGLVRRELRDLWNYGTQQPSAADVNPSPEA >scaffold_100586.1 pep chromosome:v.1.0:1:2162732:2163225:1 gene:scaffold_100586.1 transcript:scaffold_100586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTELKRVFQMFDKNGDGTITGKELSETLKSLGIYIPDKELTQMIEKIDVNGDGCVDIDEFGELYKTIMDEEDEEEEDMKEAFNVFDQNGDGFITVDELKAVLSSLGLKQGKTLDDCKKMIKQVDVDGDGRVNYNEFRQMMKGGGFSSL >scaffold_100587.1 pep chromosome:v.1.0:1:2164390:2165735:-1 gene:scaffold_100587.1 transcript:scaffold_100587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTKKPHVLVIPFPQSGHMVPHLDLTHQILLRGATVTVLVTPKNSSYLDSLRSLHSPEHFKTLILPFPSHPCIPSGVETLQQLPLEAIVHMFEALSRLHDPLVDFLSRQPPSDLPDAILGSSFLSPWINKVADAFSIKSICFLPINAHSISVMWAQEDRSFFNDLETATTESYGLVVNTFYELEPQFVETVKTRFLNHHRIWTVGPLLPFKAGVDRGGQSSVPPAKVSAWLDSCPEDNSVVYIGFGSQIRLTAEQTAALAAALEKSGVRFIWAVRDAAKKVNSSDNSGEEDVIPAGFEERVKEKGLVIRGWAPQTMILEHRAVGSYLTHLGWGSVLEGMVGGVLLLAWPMQADHFFNTTLVVDKLRAAVRVGENRDSVPDSDELARVLAESVREDLPERVTLMKLREKGMEAIKEGGSSYKNLDDLVAEMCV >scaffold_100592.1 pep chromosome:v.1.0:1:2174747:2175729:-1 gene:scaffold_100592.1 transcript:scaffold_100592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVGEETEPEWIKRVKLEGAVPCLQPDNCQNGWTTPSPDTFMVRGPKYFSDNVKVPAGDFLLKPLGFDWIKGPTKLSEILSYPSSRIRKVIDEEFQADGTKPFVWAFNLQLPHKDNYSAVAYFVATEPILEGSLMDRFLKGDDGFKKSRLKLIANIVNGPWIVRKAVGEQAICVIGRALSCKYVSGENFVEIDVDIGSSMVASAIVHLAFGYITTLTVDLAFLIESQTEAELPEKLLGAVRFSELQTESAKFIELSTSNERWDQTTSERSSWWKSIGNGFSNLLNQDTANMNNTSHGEIQNQKDEHVQQKQ >scaffold_100594.1 pep chromosome:v.1.0:1:2179053:2181058:-1 gene:scaffold_100594.1 transcript:scaffold_100594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKSPAPPSGGLPPPSGRYSAFSPNGSSFGMKSESSFPHLTPSGSSSSDANRFSHDISRMPDNPPKNLGHRRAHSEILTLPDDLSFDSDLGVVGATDGPSFSDDTDEDLLYMYLDMDKFNSSATSTSQMGEPSEPAWRNELGSTSNLQSTPGSSSERPRIRHQHSQSMDGSTTIKPEMLMSGNEDVSGVDSKKAISAAKLSELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLTLLQRDTNGLSVENNELKLRVQTMEQQVHLQDALNDALKEEVQHLKVLTGQGPSNGTSMMNYGSFGSNQQFYPNNQSMHTILAAQQFQQLQIQSQKQQQQQQQQQQQQHHQQQQQQQQFQFQQQQLYQFQQQQRLQQQEQQSGASELRRPMPSPGQKESVASPDLEAPLTKD >scaffold_100595.1 pep chromosome:v.1.0:1:2183178:2183391:-1 gene:scaffold_100595.1 transcript:scaffold_100595.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFA6] MRLPLFLGFSNDKSDVWVGVQSGSCCFATIHGHAAHASPLIRTSSDHCFTGLKKTLK >scaffold_100596.1 pep chromosome:v.1.0:1:2187359:2189650:1 gene:scaffold_100596.1 transcript:scaffold_100596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSASEKEENHRKMAADKAEMGRKKRALWERKWKRLDIVKAFASLFVHFLCLLAPFNFTWPALRVALIVYTVGGLGITVSYHRNLAHRSFKVPKWLEYFFAYCGLLAIQGDPIDWVSTHRYHHQFTDSDRDPHSPNEGFWFSHLLWLFDTGYLVEKCGRRTNVEDLKRQWYYKFLQRTVLYHILAFGFLLYYFGGLSFLTWGMGIGVAMEHHVTCLINSLCHVWGSRTWKTNDTSRNVWWLSVFSFGESWHNNHHAFESSARQGLEWWQIDISWYIVRFLEIIGLATDVKLPSESQRRRMAMVR >scaffold_100606.1 pep chromosome:v.1.0:1:2217178:2218392:-1 gene:scaffold_100606.1 transcript:scaffold_100606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAQVPKVKQRSVKLKAKGMGSRQYSLGEVGPPINPRAGLRREQAGRGSYRGS >scaffold_100611.1 pep chromosome:v.1.0:1:2239667:2240891:1 gene:scaffold_100611.1 transcript:scaffold_100611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNEKKRAEAEKAKGEYTPRSRALLDQQIDLAKNCRPLSCGLGNYEVAYFNYKTNLRTDGFIVQMRGVVSCSCRMYLVSGIPCSHIISCLRHEKNSDQDPKTLISPWFTTEKLKRCYLNLMKPVNGMNLWRITSAPRVKPPPFKKPSGRPPGKKRKKEKGEPRDAKKMTKRGTKIHCGLCGVEGHNKLKCKTGPKPKPPPKPPGRPRKRPFASISEAEPSLPSLPSLPSFPSQSNEVEDLPSLSSALPKRGRGRPRKSQFDGASSSQPPPERTEPMYDTGPRTLLREGYGVFTSPVTGDDYIHVGRSVVDTRDNTVLPSTLYAARERKKLAVGRGRGKKK >scaffold_100612.1 pep chromosome:v.1.0:1:2241256:2241819:-1 gene:scaffold_100612.1 transcript:scaffold_100612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSRSTSMPNGILADRCHDDEFDYGIPTHCFCGGRAQLDTQSTMNPGRRFYTCQNSDDGAHHIRKCFDEAVMQEFTYLLGELHKMHETLQRHKVEILHIYDLHDENVMEFARLREMIAKKSDGIALELKNVLVAIFVLVAIIIYLFK >scaffold_100613.1 pep chromosome:v.1.0:1:2244125:2245775:-1 gene:scaffold_100613.1 transcript:scaffold_100613.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7KG06] MAMSGTFHLTSDYVPGYTLSDSRSFSTSAVSRRTLSILPCSSCLDHKNGRLKSVSNKNAFLCRASSGGYRRNPDFSRLNKHGFRGNNRQSEGRDDLDIENSDMLSSRNGPLFSLSSSPKFQAISSPGPREKEIVELFRKVQAQLRARAASKKEEKKIEEASKGQGKESETVDSLLKLLRKHSGEQSKKQVSKFSSQGEVQEDTVDKQNRNGNLVNSGNKDNNASSFTRPTSSFRRKSPVPRSKSPPAYSSEATFDQSSSYSVTWTQKKDTVELQDEPEHEPEIEHEPEYEHEPENESEPGPLTTMLKKESELKPDSSSLFQEEEDDEVTLDDDGILDVFSDDDESHDDADEDSDEAEEEAVKDLSKLKLMELRGLAKSRGLKGFSKMKKAELVELLSSNSS >scaffold_100614.1 pep chromosome:v.1.0:1:2246233:2248081:-1 gene:scaffold_100614.1 transcript:scaffold_100614.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-type peptidase [Source:UniProtKB/TrEMBL;Acc:D7KG07] MASITNYVRYMAHKLEYSLTLSLKKHTREKLSDRELLGIVMKNLFYGRITYLHSDKGQEMAPTMGTNENTLLVRKLPVVDTRYIFVGDAVVFKDPNEKKKYIVRRLAAVEGSEMVSSDEKDEPFVLEKDQCWVVAENQEMKSKEAYDSRTFGPISMADIVGRAIYCLRTAVDHGPVSNSEFAMEEDSPILAVELDVDELAKDHKA >scaffold_100617.1 pep chromosome:v.1.0:1:2261071:2261362:1 gene:scaffold_100617.1 transcript:scaffold_100617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKLWVCLVLLLVLKLTSVNECRPLVAEESFSGSSRLKKIRRELFERLKELKVRSEGEMTILGDTLDSKRLSPGGPDPRHH >scaffold_100621.1 pep chromosome:v.1.0:1:2271974:2273124:1 gene:scaffold_100621.1 transcript:scaffold_100621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVLRNSNLTLVVLICFVLIASKLCSVNSSVYDPHKTLKQRFEKWLKTHSKLYGGRDEWMLRFGIYQSNVQLIDYINSLHLPFKLTDNRFADMTNSEFKAHFLGLNTSSLRLHKKQRPVCDPAGNVPDAVDWRTQGAVTPIRNQGKCGGCWAFSAVAAIEGINKIKTGNLVSLSEQQLIDCDVGTYNKGCSGGLMETAFEFIKSNGGLTTETDYPYTGIEGTCDQEKAKNKVVTIQGYQKVAQNEASLQIAAAQQPVSVGIDAGGFIFQLYSSGVFTSYCGTNLNHGVTVVGYGVEGDQKYWIVKNSWGTGWGEEGYIRMERGISEDTGKCGIAMLASYPLQ >scaffold_100623.1 pep chromosome:v.1.0:1:2275786:2276858:-1 gene:scaffold_100623.1 transcript:scaffold_100623.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KG17] MAFRLTHLRKPLAYARSFNACFPFFRSISSFEAVEKAIKCAVETKEYLRIPELVVSLKEPYQNSMLFSFLSAFQHQHRIRVIDEILQSFVPVRPRSLPKIVYSSLLTYCLQSSDPLPLSFAILQRTLRSGCLPNPQTQLLLSDAWLERRRGSQSVADIINEMKLIGYSPDTGTCNYLVSSLCAVDKLDEAIKVVEEMGAAGCIPDVESYGAVINSLCLARKTNDVVKIVKEMVSKAGISPRKGMLTKVAAALRANREIWKAIEMIEFVESRDYPVEFESYEVVVEGCLEVREYILAGKMVMRMTDRGFIPYIKVRQKVVERLISIGEWKLACTVRQRLSELRS >scaffold_100624.1 pep chromosome:v.1.0:1:2277832:2278491:-1 gene:scaffold_100624.1 transcript:scaffold_100624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRNSNNTTTTTNISNNSSSHQACASCKHQRKKCNNECILSPYFPARKTKEFQAVHKVFGVSNVQKMVRTVREEDRTKLSDSLTWEALWRQKDPVLGSYGEYRRICEELKLYKSLVHNQPLIGNWDNNQRVFSNNSNNKNGLAMNSSGSGGLSVNNNGVGVNREIVNVGYTSRNVQGGWENLKQDQRQQCYAVINGFKQHYVPL >scaffold_100627.1 pep chromosome:v.1.0:1:2290550:2293916:1 gene:scaffold_100627.1 transcript:scaffold_100627.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:D7KG21] MSEYRGLRRAHILANHILRSPSPSSNLSLTPEVCLQYSPPELNERYGFDVKEMRKLLDGHNLEDRDWFYGLMMQSNLFNRKERGGKGFVSPDYNQTMEQQRHISMKRILYLLENGVFQGWLTETGPEAELNKFALYEVCGIYDYSLSAKLGVHFLLWGNAVKFFGTKRHHEKWLKDTEDYLVKGCFAMTELGHGTNVRGIETVTTYDARTEEFVINTPCESAQKYWIGEAANHANHAIVISQLSINGTNQGVHVFIAQIRDQDGNICPNVRIADCGHKIGLNGVDNGRIWFDNLRIPRENLLNSVADVLSDGKYVSSIKDPDQRFGAFLAPLTSGRVTIASSAIYSAKLGLAVAIRYSLSRRAFSVAANGPEVLLLDYPSHQRRLLPLLAKTYAMSLAVNDLKMIYVKRTPESNKAIHVVSSGFKAVLTWHNMRTLQECREAVGGQGLKTENRVGHLKGEYDVQTTFEGDNNVLMQLVSKALFAEYVSCKKRNKPFKGLGLEHMNSPRPVLPTQLTSSTLRCSQFQKNVFCLRERDLLERFTCEIAELQGRGESREFSILLNHQLSEDLSKAFTEKAILQTVLDAEAKLPPGSVKEVLGLVRSMYALISLEEDPSLLRYGHLSRDNVGDVRREVCKLCGELRPHALALVASFGIPDAFLSPIAFNWVKANAWSSL >scaffold_100630.1 pep chromosome:v.1.0:1:2298706:2299172:-1 gene:scaffold_100630.1 transcript:scaffold_100630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KG24] MEFVKGDQVEVCSKEDGFLGSYFGATVLSKTPEGSYYKVKYKNLVSEKDQSKPLIEVISADELRPMPPKSLPVMFRCQDKVDALDKDGWWVGEVTAARGNMYSVYFLTTGEELQYPLYSLRRHLEWVNGDWVSSARRQQ >scaffold_100633.1 pep chromosome:v.1.0:1:2308651:2309011:-1 gene:scaffold_100633.1 transcript:scaffold_100633.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KG27] MRSRVVRILFLMPSHSTPYYSTLHSNIPMQFLDYMPSEDKGEFDESDQFLMDLIGFASKLARNWSALPSHIVLFASEATKL >scaffold_100634.1 pep chromosome:v.1.0:1:2310554:2311337:1 gene:scaffold_100634.1 transcript:scaffold_100634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTADLPQRNQSKVDPKNVNRAVKSLLKWWDSKSKTENDGFVYLTVTLKRIPQLDRTNPLMIPLPHPLIDLAAEDSPELCLIIDDKHKNKITKEAALKKIEAENIPITTVIKVSKLKSDLRKLEEEEKRFELYFAERRLMPILPKLLGKEFVKKKKNPIAINLRHGNWKEQIEKACESALFFVGTGTCSVVKVAKLSMGRKEIAENVVAAMNGIGESVPGKWKNVKLFHLKLLESLALPVYQSVA >scaffold_100636.1 pep chromosome:v.1.0:1:2316622:2318287:-1 gene:scaffold_100636.1 transcript:scaffold_100636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRADEEYDYLFKLVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATKTTKVEGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLIYDVTRHATFENAARWLRELRGHTDPNIVVMLIGNKCDLRHLVAVKTEEAKAFAERESLYFMETSALDATNVESAFTEVLSQIHKIVSKRSVDGGGDSTELPGKGETINVKEDGSVLKRMGCCSN >scaffold_100637.1 pep chromosome:v.1.0:1:2320021:2320267:-1 gene:scaffold_100637.1 transcript:scaffold_100637.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KG31] MTTIAIATPTKAKNITEIKKQSLNMDVGDVNSEDVESLLFLATRVYALYAAVISIQRLLSTQLSTDST >scaffold_100641.1 pep chromosome:v.1.0:1:2329390:2330606:-1 gene:scaffold_100641.1 transcript:scaffold_100641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLMRSILIHPCGGSIINARSTTTSAQYVASRSRDPVFEKLMDKYKNLLKVIAIQDLILANPTADPPSLSIDFLSRLSQKLHLNRGAASFLRKYPHIFHVLYDPVKAEPFCRLTDVALEISRQEALAITATLSLVVDRLVRLLSMSISKSIPLRAVFKVWRELGLPDDFEDSVISKNPHLFKLSDGHEPNTHILELVQEEDKRLEFEAAVEKWRVVECSKEDCCVERTELQFSFKHSYPPGMRLSKTFKAKVKEWQRLPYVGPYEDMAGKKKNRSGVMGIEKRAVAIAHEFLSLTVEKMVEVEKISHFRKCFGIDLNIRDLFLDHPGMFYMSTKGKRHTVFLREAYERGRLIDPNPVYDARRKLLDLVLLGRHAALSESGSTSMCEQE >scaffold_100642.1 pep chromosome:v.1.0:1:2331778:2332886:1 gene:scaffold_100642.1 transcript:scaffold_100642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIHQPIARRVWRSNVDEEMARMEECLKRFPLIAFDTEYPGIIFRTYFDSSSDECYRAMKGNVENTKLIQCGFTLFNAKGEIGGVWEINFSNFGDPSDTRNEISIEFLRRHGLDLQKIRDEGVDMFGYGFFPKLMTVFRSQKHVEFVTFQGAYDFAYFLSILNNGKLPETHGEFATEVVKVFGQVYDTKVMAGFCEGLGEHLGLSKLAQLLQITRVGRAHHAGSDSLMTALVFIKLKQVYEDSRFARGLIYGIGKRNLVAAPAPEPVPLMCQQNVASYPVFHNGYVRNYEQPQLVSYHPSAAPWAFCNATGTYVQLTHLPANTFAYPSQTPSAAVDYLGPVPNYYNNNACYVVE >scaffold_100644.1 pep chromosome:v.1.0:1:2336389:2339527:1 gene:scaffold_100644.1 transcript:scaffold_100644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRVQLRGGTIEAISNQVDVDREQVLEPFDIENETGKETNGSRSFDVGYSSGDNLETLPKASKTDISPADVLKTLFFILVWYTFSTFLTLYNKTLLGDDLGKFPAPLLMNTIHFSIQAVLSKMITWYWSGRFQPDVTISWRDYFVRVVPTALGTAMDINLSNESLVFISVTFATMCKSAAPIFLLLFAFAFRLESPSLKLFGIISVISAGVLLTVAKETEFEFWGFVFVMLAAVMSGFRWCMTQVLLQKETFGLKNPFIFMSCVAPVMAIVTGLLSLLLDPWSEFRDNKYFDSGAHFARTCFLMLFGGALAFCMVLTEYVLVSVTSAVTVTIAGVVKEAVTIVVAVFYFHDEFTWLKGVGLMIIMVGVSLFNWYKYDKLQKGHKTEDETQLQAPSQTGKYVILDEMDDQENSP >scaffold_100647.1 pep chromosome:v.1.0:1:2359143:2359602:1 gene:scaffold_100647.1 transcript:scaffold_100647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSTVQHGGNKSGKSNVWANTNLAKTVAALDEFKFGFPSGGLTTVSNKWWGRPEKGGRQDVGGENTEYGDGDVKDEAASHTQNSLVAIRKRIAEEGREAVELGLHKGFCSKRPDKRDQALLFQIFNAALPKDWVTDSS >scaffold_100649.1 pep chromosome:v.1.0:1:2361378:2362684:1 gene:scaffold_100649.1 transcript:scaffold_100649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTGIGGALVVVARSRCFCVTANSDLLRSKLDRLHAEAESTRAKANSNRLRLLRLSEAAENLRKQAAVNVQTGKENDARELLLQKKKVMQALDKAKARIELLDTLSSKLNEAISVKETQLIGNISLDLEEDGENSTSGGIHIVSPKPKSTEDGHANDYTHLDSEGSQLIERNSEDYQELLNTDNHVLEDVSTGSILKEVSSYESFLESLDQKLSRIEAELVTVVNVAFLVLNHEDKPKNLKVQQTAEILEEIRRVRERIADIICKEANIS >scaffold_100650.1 pep chromosome:v.1.0:1:2363049:2365276:-1 gene:scaffold_100650.1 transcript:scaffold_100650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPELLVILAEWVLYRLLANSCYRAARKLRGYGFQLKNLLSLSKSQSQHNNSHHQHQQQQQQNQQNQSLQDSLNPIFPSITKYQELVDKNRAGSVSSDHHLDTFVCDVDGVLLRQHSSKHFHTFFPYFMLVAFEGGSIIRAILLLLSCSFLWTLQQETKLKVLSFITFSGLKVKDMDNVSRSVLPKFFLENLNLQVYDIWARTEYSKVVFTSLPQVLVERFLREHLNADDLIGTKLQEIEVMGRRFYTGLASGSGFVVKHKSAEDYFLDNKKKPALGIGCSSSPQDHIFISICKEAYLWNEEESMNKNNTLPRERYPKPLIFHDGRLAFLPTPLATLAMFIWLPIGFFLAVFRISVGVLLPYHVANFLASMSGVRITFKTHNLNNGRPEKGKSGVLYVCNHRTLLDPVFLTTSLGKPLTAVTYSLSKFSELIAPLKTVSLKRDRKKDGEAMQRLLSKGDLVVCPEGTTCREPYLLRFSPLFAELTEDIVPVAVDARVSMFYGTTASGLKCLDPIFFLMNPRPVYCLEVLKKLPKEMTCAGGKSSFEVANFIQGELARVLGFECTNLTRRDKYLVLAGNEGIVR >scaffold_100655.1 pep chromosome:v.1.0:1:2382668:2384199:-1 gene:scaffold_100655.1 transcript:scaffold_100655.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylpyruvate dioxygenase [Source:UniProtKB/TrEMBL;Acc:D7KG48] MGHENAAVSENQHHDDGAASSPGFKLVGFSKFVRKNPKSDKFKVKCFHHIEFWCGDATNVARRFSWGLGMRFSAKSDLSTGNMVHASYLLTSGDLRFLFTAPYSPSFSAGEIKPTMTASIPSFDHVSCRSFFSSHGLGVRAVAIEVEDAESAFSISVANGAIPSSPPIVLDESVTIAEVKLYGDVVLRYVSYKGGNTDKSEFLPGFEPVEDTSSFPLDYGIRRLDHAVGNVPELGPALTYVAGFTGFHQFAEFTADDVGTAESGLNSAVLANNDEMVLLPINEPVHGTKRKSQIQTYLEHNEGAGLQHLALMSEDIFRTLREMRKRSSIGGFDFMPSPPPTYYQNLKKRVGDVLSDEQIKECEELGILVDRDDQGTLLQIFTKPLGDRPTIFIEIIQRVGCMMKDEEGKAYQSGGCGGFGKGNFSELFKSIEEYEKTLEAKQLVG >scaffold_100656.1 pep chromosome:v.1.0:1:2387002:2395251:-1 gene:scaffold_100656.1 transcript:scaffold_100656.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7KG50] MAGLTRTAGAFAVTPHKISVCILLQIYAPSAQMSLPFPFSSVAQHNRLGLYLLSLTKSCDDIFEPKLEELINQLREVGEEMDAWLTDHLTNRFSALASPDDLLNFFNDMRGILGSLDSGVVQDDQIILDPNSNLGMFVRRCILAFNLLSFEGVCHLFSSIEDYCKEAHSSSAQFDASNNNLESLTQYDQMDMENYAMDKATEEIEFQKSASGIVPFHLHTPESLFKATEGLLHTRKETLRTSKKDTEATPVACASSSTLEDTLVDESLFLRTNLQIQGFLMEQADAIETHGSSSSFSSSSIESFLEQLQNLAPELHRVHFLRYLNKLHSDDYFAALDNLLRYFDYSAGTEGFDLVPPSTGCSMYGRYEIALLCLGMMHFRFGHPNLALEVLTEAVRVSQQLSNDTCLAYTLAAMSNLLSEMGIASTTCVLGSSYSPVTSTASSLSVQQRVYILLKESLRRADSLKLRRLVASNHLAMAKFELMHVQRPLLSFGPKASTHHKTCPVSVCKEIRLGAHLISDFSSESSTMTIDGSLSSAWLKDLQKPWGPPVISQDSGSRKSSTFFQFCDHLVSIPGSVAHLIGASYLLRATSWELFGSAPMARMNTLVYATLFGDSSSSSDAELAYLKLIQHLALYKGYKDAFAALKIAEEKFLTVSKSKILLLKLQLLHERALHCGNLQLAQRICNELGGLASTAMGVDMELKVEASLREARTLLAAKQYSQAANVAHSLFCTCHKFNLQIEKASVLLLLAEIHKKSGNAVLGLPYALASISFCQSFNLDLLKASATLTLAELWLGLGSDHAKRALDLLHGAFPMILGHGGLELRARAYISEANCYLSDPSFSVSTDSDTVLDSLRQASDELQALEYHELAAEASYLMAMVYDKLGQLDEREEAASLFKMHIIALENPQDVEQNMA >scaffold_100662.1 pep chromosome:v.1.0:1:2406805:2409439:-1 gene:scaffold_100662.1 transcript:scaffold_100662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLMNRNLSPLCRSVLRFIDLSVCRAMACFLDCFRARDNRSTSNLVSHSSLANPRKRQDSQNDLSALFLSEEKAASSPCHDKERFDLDSIHIDKGLRDEARFLKACGTIPETPIEIRKGSQKLSSPQHSGSSHFHSWISSSSAIGSHLAESSTPMKACEEVGRPSFTSEQTTSSCVIDVRDSARISSASSDGTEVESVGTAIKGELDRTARPTFTAGKNKSVRFECDLDQSHSSNSSENSSSRKPEMGGKICFTVSSPNPTPLKLSDEMQTPGTLYPANMESAGRGRPRIRSQFVHSVSNIMENASLYKCYMDSHGSLDYEEQIEGETPSSATYGEKVEESSDEKLSKFEASFSPWLNQINEDSNENIAVSNERTPGVAAITPGDRPIIGLVAAQWIENEQTEISPKLWDGNGIPNSTTKYKEDQKVSWHATPFEVRLEKALSEEGGQSLFPQRKLEVMMEEVEGDTDISQLQHSVQPNSVVSF >scaffold_100666.1 pep chromosome:v.1.0:1:2423202:2425146:-1 gene:scaffold_100666.1 transcript:scaffold_100666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWICCTCQIEDSNEEQQLKSSQQQSDANHKNPKPAPVAKHEMKKEALPIEVPPLSLDEVKEKTENFGSKALIGEGSYGRVYYATLNDGVAVALKKLDVAPEAETDAEFLSQVSMVSRLKHENLIQLLGFCVDGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPTLDWITRVKIAVEAARGLEYLHEKSQPPVIHRDIRSSNVLLFEDYKAKIADFNLSNQAPDNAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCIDPKLKADYPPKAVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKPPAAAPAPES >scaffold_100669.1 pep chromosome:v.1.0:1:2437947:2440415:1 gene:scaffold_100669.1 transcript:scaffold_100669.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-type carbohydrate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KG61] MYHHAFSLKFHSFSPHYALSLSNFSTQSPNPLLPNFPPFARVPNVSSSVFLRCRSSAADVFPVRYANGSSSIGSLGDTGGIVVAEKPIDVATLGNLCVDIVLSVHELPPPSREERKALMDELSLSPPDKKYWEAGGNCNMAIAAARLGLHCVAIGHVGDEIYGEFLLDVLHEEGIGTVALDRGTNAKDTSSFCETLICWVLVDPLQRHGFCSRADFKEEPAFSWITDLSDEVKMAIRQSKVLFCNGYDFDDFSPSFIMSTIDYASKVGTAIFFDPGPRGKSLSKGTPDERRALSHFLRMSDVLLLTSEEAEALTGIRNPVKAGQEILRNGKGTKWVIVKMGAKGSILVTKSSVSVAPAFKVEVVDTVGCGDSFVAAIALGYIRNMPLVNTLTIANAVGAATAMGCGAGRNVAKRHQVVDLMKASKLNDEEMFFEQLLAENSESPRINLLSKGMIKDGSNKQQIETISMEKVVSELLAELERGRCCVKASS >scaffold_100673.1 pep chromosome:v.1.0:1:2449562:2452283:-1 gene:scaffold_100673.1 transcript:scaffold_100673.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KG64] MVMIKVKKETMRACFSCPLCDNILRDATTISECLHTFCRKCIYEKITEDEIETCPVCNIDLGGTPLEKLRPDHNLQDLRAKIFPLKRRKVKAPGIASVLAKRKERSISSLVVSTPRLSAQAGTTGRRTKAATRKELRNGSLAERRVKKEESSGDELLEGTSSPDTLNKFTQNKRHLKKSCKESFSNKENKDGDEPWDSKLDWKPLNFLVEVANRTKPLKSSASQGSGSKSEHANASHNQFQGSKTKTKDKKRKCKREDEKSNGDPTTSETTTPKRMRTAQRKRSATTLGDSRNLPQPDESSAKQERRNGPVWFSLVASSDQEGGTSLPQVPANFLRIRDGNIPVSFIQKYLMRKLDLESETEIEVRCMGEAVIPTLTLHKVVDLWLQKSSKHQRFAASIGSSAKDFMMVLDYARKLPESATSKRTIKTLVVAEEDSVETG >scaffold_100682.1 pep chromosome:v.1.0:1:2481102:2481327:-1 gene:scaffold_100682.1 transcript:scaffold_100682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSDFSRCKACKEERNRQSFLKVLQRVDLSSEKQGNNVLNSLLCKFLLATLHVLMLCKF >scaffold_100685.1 pep chromosome:v.1.0:1:2489509:2495007:-1 gene:scaffold_100685.1 transcript:scaffold_100685.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:D7KG76] MSSMKSVSALDNVVVKSPNDRRLYRVIELENGLCALLIHDPDIYPEGSVPDQIDEDDEDGEEDEEDSDEDDDEDNDEEDDEEGEGDEEDDEDEDELKGKGDHQTKKAAAAMCVSMGSFLDPPEAQGLAHFLEHMLFMGSTEFPDENEYDSYLSKHGGSSNAYTEMEHTCYHFEVKREFLQGALKRFSQFFVAPLMKTEAMEREVLAVDSEFNQALQNDACRLQQLQCYTSAKGHPFNRFAWGNKKSLSGAMENGVDLRECIVKLYKEYYHGGLMKLVVIGGESLDMLESWVVELFGDVKNGSKIRPTLEAEGPIWKGGKLYRLEAVKDVHILGLTWTLPPLRYAYVKKPEDYLAHLLGHEGRGSLHSFLKAKGWATSLSAGVGDDGINRSSLAYVFGMSIHLTDSGLEKIYDIIGYIYQYLKLLRDVSPQEWIFKELQDIGNMDFRFAEEQPADDYAAELSENMLAYPVEHVIYGDYVYQTWDPKLIEDLMGFFTPQNMRIDVVSKSIKSEEFEQEPWFGSSYIEEDVPLSLMESWSNPSEVDNSLHLPSKNQFIPCDFSIRAINSDVDPKSQSPPRCIIDEPFMKFWYKLDETFKVPRANTYFRINLKGAYASVKNCLLTELFINLLKDELNEIIYQASIAKLETSLSMYGDKLELKVYGFNEKIPALLSKILAIAKSFMPNLERFKVIKENMERGFRNTNMKPLNHSTYLRLQLLCKRIYDSDEKLSVLNDLSLDDLNSFIPELRSQIFIEALCHGNLSEDEAVNISNIFKNSLTVEPLPSKCRHGEQITCFPLGAKLVRDVDVKNKSETNSVVELYYQIEPEEAQSTRMKAVLDLFHEIIEEPLFNQLRTKEQLGYVVECGPRLTYRVHGFCFCVQSSKYGPVHLLGRVDNFIKDIEGLLEQLDDESYEDYRSGMIARLLEKDPSLLSETNELWSQIVDKRYMFDFSHKEAEELRSIQKKDVIRWYKTYFRESSPKCRRLAVRVWGCDTNMKETQTDQKAVQVIADAVAFKSTSKFYPSLC >scaffold_100690.1 pep chromosome:v.1.0:1:2505054:2506206:-1 gene:scaffold_100690.1 transcript:scaffold_100690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPIGRRIFRQRLETGEREGDAEQRRKESISVITSCMLPVQAFMRLVYVSALVFGDASSFLLPWKRVLKVTDAQLFFINLMHGNLHGYVSKHVLTAINVENLVDLKKAQLSFKLSDELAEDLFREHTRRVVVENISSALSILKSRTLAA >scaffold_100691.1 pep chromosome:v.1.0:1:2510187:2510446:-1 gene:scaffold_100691.1 transcript:scaffold_100691.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KG82] MAHSAPSLEEEANKTWRQGEIYDARAIHEPWPMHEAVNARASCSGENSTKPAGFHEEDPRRNRINHFTNLSG >scaffold_100692.1 pep chromosome:v.1.0:1:2511528:2512016:-1 gene:scaffold_100692.1 transcript:scaffold_100692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTTTEAAEAAILVYWDMKMCPLPDGYNARRVGQIIERKLRQFGYNGPITITAVGILDGVPERALEALLSSGISLYNAPYGTKDVARLALWSRYDFPPPGNLMVISRPPEAAVILDSK >scaffold_100694.1 pep chromosome:v.1.0:1:2517996:2519616:1 gene:scaffold_100694.1 transcript:scaffold_100694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTADIPPNQSIYIKNINEKIKKEELKRSLYCLFSHFGRILDVVALKTPKLRGQAWVVFTQVTAASNAVLQMQNFPFYDTFSSLMFQRIQYAKSKSDYVTKAQGTFVPKEKKMKQEDKVERKRHAEETQQPSMPNGATTQNGMPVPPFQPSGQDTMPPNNILFIHNLPIEMTSMMLQLLFEQYTGFKEIRMIEAKPGIAFVEYEDDVQSSMAMQALQGFQDHSTESNGRLFCQEVKTRVLLCG >scaffold_100700.1 pep chromosome:v.1.0:1:2553292:2553515:-1 gene:scaffold_100700.1 transcript:scaffold_100700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGX7] MAEAALTGADLFHSRLGKPSPDPILDASQGDRVTVHEPKLLQHFKYFPELRKKKVGLSTY >scaffold_100703.1 pep chromosome:v.1.0:1:2557787:2564579:1 gene:scaffold_100703.1 transcript:scaffold_100703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPPTSEVARAPIAVYWDMKMCPVPYGYDARRVGPFIESNLRQLGYTGPITITAVGLLSDVPEQILEALFSSGVSLSNVPYGTRDVATLVLFRTFDFPPPASFMVISHPEDAAVFLDLVSEIGYNTIFPFPLKEAASHLEDDDGKPLWENFLRAEPPEEDKCSETGQSWVCRVCHGVTGRDFQSFMTHLSTPKHIWEFPRWHTYATSGNQIPCVSSDESVDAVEEAPLDSYPENATIMIGRRIFRQRLETGEREGDAEQRRKESISVITSCMLPVQAFMRLVYVSALVFGDASSFLLPWKRVLKVTDAQLAEDLFREHTRKVVVENISSALSILKSRTLAALASVVEELEKVLEFNNLLVSLKSHSEADQFACGVGDESDFERRMDDLKLLYRAYVTDALSGGRIAENKLVAMSQLRNILGLGKREAEAISVDVTSKAYRKRLANAVSNGDLEAQDSKAKYLQKLCEELHFDAQMAGAIHEEIYRQKLQQCVIDGELSDDNVAALLRLRVMLCIPQQTVETAHAEICGTIFEKVVREAISSGVDGYDAETRKSVRKAAHGLRLSRETAMCIASKAVRMVFTNYIRRARAAENRTESAKELKKMIAFNTLVVTEMVTDIKGESSDKAPEEDPAEMVAKEKTVSGNLQRSQILRSKVLGKQRIKNPNYKGKWKNPWIDNPEFEDDPDLYVLKPIKYAGIEVWQVKAGSIFDNILICDDPEYARSIVDDYFAQHRESEKELFAEAEKEKLEKMSYEHGHTNRCLQEARKAREEGERRRKERDHRYGDRRRRYKRPNPRDYMDDYHDEL >scaffold_100707.1 pep chromosome:v.1.0:1:2574665:2576529:-1 gene:scaffold_100707.1 transcript:scaffold_100707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLHSSAIKASLHRSFPSSLRSTLSVSFSAGSLIRLPAAGNRVLSVVATSGRDSSMSSINDSRGSSSKVAAESFFRSVLGQMETVYLNRNPTPKSVLELVRSVDDEQLCYDHLAFRTFGIGGYGIDSLASFFLDYGYTPMDELKFPAKKLRALWFAPPDVSAVPGGSGVNGPLPRVFISELLVDQMSSQTQDVIRKYTGASPNGKKYAALSSALGTLTWEKPLSSEFEQLARESEYAAWTLVNGYALNHVTISVHRLKSHLNKIKKLNQFLEEKGFKLNSEGGVLKVSPDGGLQQSSTVADSISFKFSDGVTKSIPCSYIEFAERLVLPQYQNVPESEIRESHRRDGFEVGNADKIFESTFQEQLSRRTG >scaffold_100712.1 pep chromosome:v.1.0:1:2589263:2589900:-1 gene:scaffold_100712.1 transcript:scaffold_100712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESADSGSQRSDPVKGDDTGPSFVSSPPATPSRYESQKRRDWNTFLQYLKNHKPPLALSRCSGAHVIEFLKYLDQFGKTKVHVAACPYFGHQQPPSPCSCPLKQAWGSLDALIGRLRAAYEENGGRPDSNPFATRAVRIYLREVRESQAKARGIPYEKKKRKRPPTVTTVRVDVASSRQSDGDACNVGAPSVAEAVPP >scaffold_100715.1 pep chromosome:v.1.0:1:2603901:2604417:1 gene:scaffold_100715.1 transcript:scaffold_100715.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OB-fold nucleic acid binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KGZ1] MDRSLQNTHAKLVARDIQRLTQSPTESNSFSLLGGACVSRVETVGTIISRDLTPKFLKFGVDDGTGCVTCVLWLNQLTSSYFSRWHPDTILLLASAARKQAAEIRIGSVARVRGRVGSYRGVMQITATVVVVERDPNAEILHWLECLRLGQSCYRILS >scaffold_100724.1 pep chromosome:v.1.0:1:2637585:2640073:1 gene:scaffold_100724.1 transcript:scaffold_100724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWIKNLVRISQTTSSSVGNVFRNPESYTLSSRFCTALQKQPEIETVQAKDVVNGLEPQRYDGLAPTKEGEKPRVLVLGSGWAGCRLMKGIDTSIYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPISRIQPAISREPGSYYFLANCSKLDADNHEVHCETVTEGSSTLKPWKFKIAYDKLVLACGAEASTFGINGVLENAIFLREVHHAQEIRRKLLLNLMLSEVPGISEDEKKRLLHCVVVGGGPTGVEFSGELSDFIMKDVRQRYAHVKDDIRVTLIEARDILSSFDDRLRQYAIKQLNKSGVKLVRGIVKEVKPQKLILDDGTEVPYGLLVWSTGVGPSSFVRSLDFPKDPGGRIGIDEWMRVPSVQDVFAIGDCSGYLESTGKSTLPALAQVAEREGKYLANLFNVMGKAGGGRANSAKEMELGEPFVYKHLGSMATIGRYKALVDLRESKEGKGISMAGFVSWFIWRSAYLTRVVSWRNRFYVAINWLTTFVFGRDISRI >scaffold_100726.1 pep chromosome:v.1.0:1:2647197:2648497:1 gene:scaffold_100726.1 transcript:scaffold_100726.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S18 family [Source:UniProtKB/TrEMBL;Acc:D7KH00] MRIARFATRSLNDVAFLRPFRSIVSRSLATNANEDPNQNRSSFDSSDNLDSLFGDYNGNDEKSSGFFQHLSKAEKDKRDFNGYNRSGGSRYSGGGSMNKDESFDPSSDGVDGKLKEAALFYNMDEGDGLKEYSFRPDFNNSWGINNFPRMQKQMQNPRQNNNKSEVTTEEVLKNADFRNVRFLAKFITEAGIIIKRKQTGISAKAQRKIAREIKTARAFGLMPFTTMGTKAFQFGKTMENRDQDFEYEVLDDDDEFDEGNA >scaffold_100727.1 pep chromosome:v.1.0:1:2648853:2651111:-1 gene:scaffold_100727.1 transcript:scaffold_100727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRLRLRLPHHKSSPRTPSYLLLCVLALSFFSFTALLLYKVDDFIAQTKTLAGHNLEPTPWHIFPRKSFSEGTKHSQAYRILQCSYFSCPYNAVVQPKSLQSESVSGRRTHQPQCPDFFRWIHRDLEPWAKTGVTKEHVKRAKANAAFRVVILSGKLYVDLYYACVQSRMMFTIWGILQLLNKYPGMVPDVDMMFDCMDKPIINQTEYQSFPVPLFRYCTNEAHLDIPFPDWSFWGWSETNLRPWEEEFGDIKQGSRRRSWDNKQPRAYWKGNPDVVSPIRLELMKCNHSRLWGAQIMRQDWAEEAKGGFEQSKLSNQCNHRYKIYAEGYAWSVSLKYILSCGSMTLIISPEYEDFFSRGLLPKENYWPISPTDLCRSIKYAVDWGNANPSQAETIGKRGQGYMESISMDRVYDYMFHLITEYSKLQKFKPEKPASANEVCAGSLLCFAEQKERELLERSRVVPSLDQPCKLPVADRSRLERLIQQKKKTIENVRYMEMTRTKKSSK >scaffold_100728.1 pep chromosome:v.1.0:1:2652142:2654421:-1 gene:scaffold_100728.1 transcript:scaffold_100728.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7KH02] MAFRQVSTTVILFCYLLISSQSLEFKKPHKIEGPIKTIVVVVMENRSFDHILGWLKSTRPEIDGLTGKESNPLNVSDPNSEKIFVSDDAVFVDMDPGHSFQAIREQIFGSNDTSGDPMMNGFAQQSESMEPGMAKNVMSGFKPDVLPVYTELANEFGVFDRWFASVPTSTQPNRFYVHSATSHGCSSNVKKDLVRGFPQKTIFDSLEENGLSFGIYYQNIPATFFFKSLRRLKHLVKFHSYALKFKLDAKLGKLPNYSVVEQRYFDIDLFPANDDHPSHDVAAGQRFVKEVYETLRSSPQWKEMALLITYDEHGGFYDHVPTPVKGVPNPDGIIGPTRFTSGLTDWGTVIHEPDGPTPHSQFEHSSIPATVKKLFNLKSHFLTKRDAWAGTFEKYFRIRDSPRQDCPEKLPEVKLSLRPWGAKEDSKLSEFQVELIQLASQLVGDHLLNSYPDIGKNMTVSEGNKYAEDAVQKFLEAGMAALEAGADGNTIVTMRPSLTTRTSPSEGTNKYVGSY >scaffold_100730.1 pep chromosome:v.1.0:1:2657647:2659129:1 gene:scaffold_100730.1 transcript:scaffold_100730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KH04] MVKETELIFVPVPSTGHILVHIEFAKRLINLDHRIHTITILNLSSPSAPDASVFARSLIASQPKIRLHDLPSTQDPPPFDLYQRAPEAYIVKLIKKTTPLIKDAVSSIVESRRRGSDSVRVAGLVLDLFCNSLINDVGNELNLPSYIFLTCNARYLGMMKYIPDRHRKIASEFDLNSGDEELPVPGFINAIPTKFMPPGLFNKEAYEAYVELAPRFADAKGIMVNSFAELEPHPFDYFSHLESFPPVYPVGPILSLKDRASPNEEAADRDQIVGWLDDQPESSVVFLCFGSRGSVDEPQVKEIALALELVGCRFLWSIRTSGAVETNANDVLPEGFMGRVAGRGLVCGWAPQVEVLAHKAIGGFVSHCGWNSTLESLWFGVPVATWPMYAEQQLNAFTLVKELGLAVDLRMDYVSSRGGLVTCDEIARAVRSLMDGGDEKRKKVKEMADAARKALMDEGSSSLATARFIGELFEDGSSC >scaffold_100735.1 pep chromosome:v.1.0:1:2678707:2679141:-1 gene:scaffold_100735.1 transcript:scaffold_100735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATGIKRANPNTRPVQRDASAKQTAIKGPYGKSPGCTTSCGLRLPRKTEVTAAKLIKHLGCKFAKGLRLVVMRKKKRSPPSKVSSFSGRSQPSIIPINNDNHRSEAIEDCIQFINSSSSFTRSNSTS >scaffold_100742.1 pep chromosome:v.1.0:1:2696273:2697544:1 gene:scaffold_100742.1 transcript:scaffold_100742.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proliferating cell nuclear antigen [Source:UniProtKB/TrEMBL;Acc:D7KH16] MLELRLVQGSLLKKVLESIKDLVNDANFDCSSTGFSLQAMDSSHVALVSLLLRSEGFEHYRCDRNLSMGMNLGNMSKMLKCAGNDDIITIKADDGGDTVTFMFESPTQDKIADFEMKLMDIDSEHLGIPDAEYHSIVRMPSNEFSRICKDLSSIGDTVVISVTKEGVKFSTAGDIGTANIVLRQNTTVDKPEDAIVIEMKEPVSLSFALRYMNSFTKATPLSDTVTISLSSELPVVVEYKVAEMGYIRYYLAPKIEEEEDTNP >scaffold_100747.1 pep chromosome:v.1.0:1:2717592:2719876:1 gene:scaffold_100747.1 transcript:scaffold_100747.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol 4-alpha-methyl-oxidase 2 [Source:UniProtKB/TrEMBL;Acc:D7KH21] MASLVESGWQYLVTHFSDFQLACIGSFLLHESVFFLSGLPFIYLERQGFLSKYKIQTKNNTPAAQGKCITRLLLYHFCVNLPLMMASYPVFRAMGMRSSFPLPSWKEVSAQILFYFIIEDFVFYWGHRILHSKWLYKNVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPALTGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWIFGTDKGYRRLKTLKENGDMKQT >scaffold_100754.1 pep chromosome:v.1.0:1:2738915:2739375:1 gene:scaffold_100754.1 transcript:scaffold_100754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVNTFGKSESPRSPTRLQRQAPSALNLDRVSENPFVQQSCDAVAGAAIPLLSPLFVSPNSHSSHSKEGHINPNPHASPSREGHDFTFRAGNSEKNGSQPSMDHKEGWQHSAKVDHSDQMSLLNMFQTKFLLVDHSQ >scaffold_100757.1 pep chromosome:v.1.0:1:2750246:2750539:-1 gene:scaffold_100757.1 transcript:scaffold_100757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESYDGDTVTVDDDYHMGCTTPTRDECRIPAYPPCPPPVRRKRSLLPFGKKREPPKKGFFQPPDLDLFFSVVAASKRQPSCA >scaffold_100758.1 pep chromosome:v.1.0:1:2751073:2754808:1 gene:scaffold_100758.1 transcript:scaffold_100758.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH10 [Source:UniProtKB/TrEMBL;Acc:D7KH32] MIFSKLGSSLARSSRSKGLVYGGGVRSAILNQGRLRAPQNLEAAVNQVDGGLGFLRRHFASLAARKGLDTGDLSRVFANPRLRRFFSSQSPKKRNYENYYPKDSKKAPKNEQKSQSGEGSKKNENENVGDMFTKESQNMLIPLMAIALILSTFSLGSREQQQISFQEFKNKLLEPGLVDHIDVSNKSVAKVYVRSSPKNQTTEEVVQDPGNGVPAKGRGGQYKYYFNIGSVETFEEKLEEAQEAIGVNSHDFVPVTYVSEMIWYQEMLRFAPTLLLLGTLIYGARRMQGGLGGVGGPGGKGGRGIFNIGKAQITRADKNSKNKIYFKDVAGCEEAKQEIMEFVHFLQNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESAVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFQIYLKKIKLDHEPSYYSQRLAALTPGFAGADIANVCNEAALIAARHEGATVTMAHFDSAIDRVIGGLEKKNRVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLIGRISTGAQNDLEKVTKMTYAQVAVYGFSDKIGLLSFPQREDEFSKPYSNRTGAMIDEEVREWVGKAYKRTVELIEEHKEQVAQIAELLLEKEVLHQDDLTKVLGERPFKSGERTNYDRFKSGFEETEKESEKESVPVKPVEDGGVPPLEPQVVPT >scaffold_100762.1 pep chromosome:v.1.0:1:2771939:2774279:-1 gene:scaffold_100762.1 transcript:scaffold_100762.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHTKLLFAACATLSIIHLVQSQNQQVNIYFDFGSYPQDVHDRIWMLSILPEWTHINTTHHVIDSIGGYDPPQDVLRTGAMPANASDPMTITWSLETATDQVYGYIYTAEITDVPANETREFEVVANDKVHFDPFSPTKFEAQILFNDVPLTCEGGFCRVQLIKTPRSTDLSSSGLNGVISPSIQNLTQLQELDLSQNNLTGEVPEFLAKMKSLLVINLSGNKLSGLVPQALLDRKKEGLKLLVDENMICVSCHYHTCVSSHICYKKEKAICWERYLIENNR >scaffold_100779.1 pep chromosome:v.1.0:1:2844068:2847892:1 gene:scaffold_100779.1 transcript:scaffold_100779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSINGSTSNLSDGSGRTFTSSFSGQSGAASPAFHHAGSIQGLHNIHGNFNVPNLAGSLGSRNSSLNGVPSAGVQQQNGSISNGRFASSNIPVALSQISHGSSHGHSGLTNRGGLGVSPILGNAGSRMTSSMGNMVGGGTMGRTLSSGGGLSIPSLGSRLNLAVNSGSGNIGQNRMMGGILPQGSPQVLSMLGNSYPSAGGLSQNHVQAMNSLSSMGLLNDMNSNDTSPFDINNDFPQLTSRPTSAGGQGQLGSRLKQGLGISPIVQQNQEFSIQSEDFPALPGYKGSNADYPMDLHHKEQLHENSVLMMQSQQLSMGRSGGFNLGGAYASHRPQQQQQHAQVVSSSGVSLHGSDIFSSSHPSYHSQTGGPPVIGLRSMNSGNSVTGMGTYDQQLIQQYQQQQNTSQYRLQQMSAVSQPFRDGGLKPMQATQSNPDRFGLLGLLSVIKLSDPDLTSLALGIDLTTLGLNLNSTENLHKTFGSPWSNEPSKGDPEFSVPQCYYAKNPPPLHQGLFAKLLVETLFYVFYSMPKDEAQLYAANELYNRGWFYHKEHRLWFIRIGEPLVKTNVYERGSYHCFDPNSFEIVQKENFVLYYEMLEKRPSLSQDSQH >scaffold_100782.1 pep chromosome:v.1.0:1:2860532:2862414:-1 gene:scaffold_100782.1 transcript:scaffold_100782.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXO70H6 [Source:UniProtKB/TrEMBL;Acc:D7KH51] MVLLKPSALTKSSKLGPQQQQGFSESLIGDSVEAADAFICQWVTPHLYDSSSSSCSLSSLFSAQNRLEGRRFLEVLGRLQYAIQSTVAMNPDSAKLAQGQDLMRKAMKHLEKEFYRVLKSNRRILSPESVSGWSSESNTPSRSSGTASDSSSDGELDSESSSELGNDRGGNSEAIVDLKMIANCMISSGYEKDCVKIYKKFRKKIIVDALSHLGFEKLTSTQMQKLEWEILEKKIKIWVRVARVAINTLFNGERILSDHIFSSAVAESCFVEITLQSALNLFIFSLTVAKSRKTAEKIFPTLDVYQTILHLIPKIDQIFSYDSTAAVRLQANESLEKLSESVNAMMTEFQSSITKESSKSAISGGGVHQLTRYVMNFIVFLADYSDSLATILKESSLPLPEDYFSSSGEENPGSGGRSPMAARLAWLILVLLCKVDAKSRLYNDSALSYLFLANNLHYVVTKVRTSNLRVVLGDDWVANHEVKVSQYLEKYEKMAWGDVITSIPRDSTAETEREESLRRFNEAFEEAYKKHKTWVVPDPNLRGEIQASVARKLMPGYTGFYKKYPVGSCEIVRFTPEDLNNYITDLYIGLERSVPVSKTKKYMVSQSHATDLK >scaffold_100786.1 pep chromosome:v.1.0:1:2868928:2869264:1 gene:scaffold_100786.1 transcript:scaffold_100786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTTLAFIVFVLSSVAPIYGGIVKVSWDERKEACIVTKLQPCLPAVTNESPPSTECCRKLKEQHSCFCDYLQNPQFSPYITAGKKVLAACKIPYPKC >scaffold_100787.1 pep chromosome:v.1.0:1:2871860:2872190:1 gene:scaffold_100787.1 transcript:scaffold_100787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTTLVFIAFVLSSLVLTKTMISGKEVKAACDFAKFQICKPAIIAGSPPSEECCGKLKEQQSCLCAYVISPSISQYIGNAKRLIAACGIPFSNCS >scaffold_100788.1 pep chromosome:v.1.0:1:2873226:2873559:1 gene:scaffold_100788.1 transcript:scaffold_100788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFITALVVIAFVMSSLVPTKAIRVSREEEKVACIETDLQVCQPALETPSPPSTECCKNLKIQQSCLCDYMANPSIEKYLEPARKVFAACGMPYPRC >scaffold_100790.1 pep chromosome:v.1.0:1:2876336:2876663:1 gene:scaffold_100790.1 transcript:scaffold_100790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKFTTLVFIAFVLSSLTPTKAEEKEPCVVTDLIACLPAVQGGSPPSTECCTKLKEKQSCFCEYWKSPLVAPYMTSAKQVLEACGVPVPVCQSF >scaffold_100798.1 pep chromosome:v.1.0:1:2893489:2895340:1 gene:scaffold_100798.1 transcript:scaffold_100798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITKPVLVGIIKKEAPQLASVLRDMKNGLDGVRSKVEALTAMVRANSFPTADGISYLEAKHLLLLSYCQDIVYYLLRKAKGLSIDGHPVVRSLVEIRMFLEKIRPIDKKLQYQIQKLTTAGGSVTELAQSDGKGSGDAQKSEDLSNYKPKPDLLADKNGDDQEDDVYRPPKFAPMSMDDKTSKQERDAARKEKHLLREATGNTYMKDVLDDLEDRPEEIRDYYGVASNEQKRFMAQYERQQRAEEELFTRAPRSKEDKKREKRLKSSSGLLELTEDFYDDIKFLDKDGEKPSFGRNKRGGQFKKRKTRH >scaffold_100816.1 pep chromosome:v.1.0:1:2962171:2968091:1 gene:scaffold_100816.1 transcript:scaffold_100816.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIT4 phosphatase-associated family protein [Source:UniProtKB/TrEMBL;Acc:D7KHX8] MFWKLTSLSASSPVETILDKENFTLEELLDEEEIIQECKALNSRLINFLREKAQVEQLLRYIVEEPPQDADSKRAFKFPFISCEIFTCEIDVILKTLVEDEELMDLLFSFLEPNRPHSALLAGYFSKVVICLMIRKTAALMNYVKGHQNVFCQLVDLIGITSIMEVLVRLVGADDHVYPNFLDVMQWLADSNLLEMIVDKLKPSSPPEVQANAAETLCAISRNAPSALATQLSSPGYVAKIFGHALEDSHSKSSLVHSLSVCTSLLDPRRSAVSSSMFNSYRGQHMFESPVPVSPETIGAMLPKLNDLLMLLTVASDSTVLPTTYGELRPPLGKHRLKIVEFIAVLLKTGSEAAQKELVSSGTIKRTLDLFFEYPYNNALHHQVESIILSCLENKSDLMVNHILRDCDLIGKFLSSDRDSNLSVSGDSQPTVAASGKTPPRVGYVGHITRISNKIGQLSNSNGQIKAYLQENSEWNEWQGSVLQERNTVENVNRWGCGRPTTLQDRTRDSDEEDRDYDVAALANNLSQAFRYKIYGNDDNEEDQNALDRDDDDVYFDDESAEVVISSLRLGDDQGSLFTNSNWFTFQDDRFGSTPSDTAGSKTLEDVELNKTFNANTSSSDDDEVVVGEEDDDLTGNPKDNALNTTETNFQMESPLDFFDFNTSEKAEEAFAEQPPEWVGWGEPSDMQASGTGGLNPFIDDDDDDSKNIMNLDISMPEVKTEPMIPNGSERSLFDKDVEFVGVEAEGTEKAMEQAMKEGIVGEAGAMKKNKEMAEDPKAEESSGGVKEFNDNNYWKVDQEVGVLE >scaffold_100820.1 pep chromosome:v.1.0:1:2980432:2980809:1 gene:scaffold_100820.1 transcript:scaffold_100820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEENSTEGTSAYVEPIAPLISKGDSGIIAISPLCDHYNDQMRIDSLTTCSDEEIIESLYQNIFSIVLCLQIEESGCGSHTPISAPCPGAPMKLTKLSRNIDPGFQRKLF >scaffold_100821.1 pep chromosome:v.1.0:1:2981119:2983606:-1 gene:scaffold_100821.1 transcript:scaffold_100821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMHRSGAPRRTNENAKLIITTIVGMVFGFFVGITLPLGAFRKISLPSGLISSLDVAMSDGKLFSGGRSPEDFGSRKSPKIYVPTNPHGAELLPPGIIVAETDFYLRRLWGEPSEDLKKKPKYLVTFTVGLEQRNNINAAVLKFSEDFQILLFHYDGRTTEWDQFEWSKNAIHISAKKQTKWWYAKRFLHPDVVSAYEYIFIWDEDLGVKHFNADRYIELVKKHGLEISQPGLEPNNGLTWEMTKRRGDRDVHKETKEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGSQGESEKGKSPWQGVRERCRNEWSMFQNRVAEADKAYMEQHKVKE >scaffold_100833.1 pep chromosome:v.1.0:1:3031822:3034476:-1 gene:scaffold_100833.1 transcript:scaffold_100833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDRLNSPSTCTVTIEVLGHELNFAQDPNSKHLGTTVWDASMVFAKYLGKNCRKGRFSPSKLKGKRAIELGAGCGVAGFALAMLGCDVVTTDQKEVLPLLKRNVEWNTSTILQMTPGSASFGSLRVAELDWGNEDHIRAVGPPFDYVIGTDVVYSEQLLEPLLRTILALSGPKTTVMLGYEIRSTVVHDKMLQMWKDNFEVKTIPRSKMDGEYQDPSIHLYIMAQKSPAESSGNVSRDEIVIDTDETKCKTKVPTGECQNRVEEEAVVTHGPRLNEDSLLMRLRDGKLSEWEMRRYGTVAAQLLRDVKIDVKSLVPQDPPL >scaffold_100834.1 pep chromosome:v.1.0:1:3035369:3040401:-1 gene:scaffold_100834.1 transcript:scaffold_100834.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase [Source:UniProtKB/TrEMBL;Acc:D7KHZ2] MLAIRSSNYLRCLPSLCTKTQISQFSSVLLSFSRQVSHLRLSSCHRAMSSSRPSAFDALMSNARAAAKKKTPQTTTSSRSPNKRKIGETQDANLGKTLVSEGTLPKTEDPVEPISDSAKPRSDTSSIAEDSKTGTKKARTLSKTDKIDEMKSKIGLLKKKPNDFDPEKVSCWEKGERVPFLFLALAFDLISNESGRIVITDILCNMLRTVIATTPEDLVATVYLAANEIAPAHEGVELGIGEGTIIKAISEAFGRTEDHVKKQNTELGDLGLVAKGSRSTQTMMFKPEPLTVVKVFDTFRQIAKESGKDSNEKKKNRMKALLVATTDCEPLYLTRLLQAKLRLGFSGQTVLAALGQAAVYNEEHSKPPPNTKSPLEEAAKIVKQVFTVLPVYDIIVPALLTGGVWNLPKTCNFTLGVPIGPMLAKPTKGVAEILNKFQDIVFTCEYKYDGERAQIHFLEDGTFEIYSRNAERNTGKYPDVALALSRLKKPSVKSFILDCEVVAFDREKKKILPFQILSTRARKNVNVNDIKVGVCIFAFDMLYLNGQQLIQENLNIRREKLYESFEEDPGYFQFATALTSSDIDEIQKFLDASVDVGCEGLIIKTLNSDATYEPAKRSNNWLKLKKDYMDSIGDSMDLVPIAAFHGRGKRTGVYGAFLLACYDVDKEEFQSICKIGTGFSDAMLDERSSSLRSQVIATPKQYYRVGDSLNPDVWFEPTEVWEVKAADLTISPVHRAATGIVDPDKGISLRFPRLLRVREDKKPEDATSSEQIADMYQAQKHNHPSNEVKGDDD >scaffold_100838.1 pep chromosome:v.1.0:1:3051131:3051970:-1 gene:scaffold_100838.1 transcript:scaffold_100838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSLSRNALCSKCNDMGTWDDYMAYRRRMDQNLLGSSSQQMSDSPTDAGEPSRVPETPTNGQSSTDPADLLTLDQLLRSAGRASLKKLDPRRMNGDGW >scaffold_100843.1 pep chromosome:v.1.0:1:3069481:3069984:-1 gene:scaffold_100843.1 transcript:scaffold_100843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLEPLEEEKTVEQKPRSQEEEDHQETSKKEELLESLCTPTSSDHKIPEVETCPPPPRKRPREISLTKKKRLSKDLRFFEATDVGSQEVETLFVHEPNHIRKKRRSYSA >scaffold_100844.1 pep chromosome:v.1.0:1:3072957:3078804:1 gene:scaffold_100844.1 transcript:scaffold_100844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPPENGVDGDDEREEEEEEEEEEEEEEENGDDAEEEPRLKYQRMGGNVPTLLSNDAASCIAVAARMIALGTHDGTVHILDFLGNQVKEFRAHTAPVNDLNFDTEGEYIGSCSDDGSVVINSLFTDDEKMKFDYHRPMKAISLDPDYTKKQSNRFVAGGLAGHLYMNSKRWFGNKDQVLHSGEGPIHSVKWRGSLIAWANDVGVKVYDTAKDQRVTFIEKPRGSPRPEALLPHLVWQDDTLLVIGWGTSVKIASIKSDQQQPGTFRQIQMSSLTQVDIVASFQTSYYISGIAPFGDSLVILAYIPIEGDGEKEFSSTTTLSRQGNAQRPEIRIVSWNNDELTMDALPVHGFEHYKAKDYSLAHAPFPGSSYAGGQWAAGDEPLYYIVSPKDVVIAKPRDAEDHINWLLQHGFHEKALAAVEASEGRTELIDKVGAGYLDHLIVERKYAEAASLCPKLLRGSASAWERWVFHFAQLRQLPVLVPYMPTDNPRLKDTVYEVALVALATNPSYHKELLSAVKSWPRSVYSALTVISAIEPQLNTSSMTDALKEALAELYVIDGQYQKAFSLYADLLKPEVFDFIEKYSLHEAIRGKVVQLMLLDCKRATVLFIQNRDLIPPSEVVPQLLKAAKNPQLLKAGKKCDSRYYLYLYLHALFEVSHDTGKDFHDMQVELYAEYDTKMLLPFLRSSQHYKLEKAYELCVKKDFLREQVFVLGRMGNAKQALAVIINKLGDIEEAVEFVSMQHDDDLWEELIKQCLNKPEMVGLLLEHTVGNLDPLYIVNMVPNGLEIPRLRDRLVKIVTDYRTETSLRHGCNDILKTDIVNLLVKCFNEARRGVCLSHEDDDSRAKREDNNRSFSQRMVVDKSLSIKVTEVKSKTRGDTRCCMCFDPVSIRGDTVVVFFCCHAYHETCLMDAAFSNSNNKTTKGSSGYEYSYDNGVDEEEEDEEEDEGGDGDRPGRSRLRCILCTTAAAASAR >scaffold_100849.1 pep chromosome:v.1.0:1:3093145:3093619:-1 gene:scaffold_100849.1 transcript:scaffold_100849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPIRPNKLLRCRITRLVSTLRTRKEPACFSKCSLLSPLGGDISLTKIESRPNHNCPIRLVDDANVGSILSYKFYVNFEVSMAEARAQNALTEVQEFTSFVLAGAGELSPGYDSLVTIKKVWGQSFIIVPWLVKCKMSSLFKL >scaffold_100850.1 pep chromosome:v.1.0:1:3094002:3094293:-1 gene:scaffold_100850.1 transcript:scaffold_100850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEAHLYRIWIKLSYSEAAAGKAYPNCQAIPCDQFEVAFQAVELWISVRTVLPVENSLGGSIHRNYDLLLRHRLHIVGEVVEV >scaffold_100851.1 pep chromosome:v.1.0:1:3094500:3110377:1 gene:scaffold_100851.1 transcript:scaffold_100851.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2284/POL2A/TIL1 [Source:UniProtKB/TrEMBL;Acc:D7KI06] MSGDNRRRDRKDTRWSKKPKVVNTAEDELESKLGFGLFSEGETRLGWLLTFSSSSWEDRDTGKVYSCVDLYFVTQDGFSFKTKYKFRPYFYAATKDKMELEVEAYLRRRYERQVADIEIVEKEDLDLKNHLSGLQKKYLKISFDTVQQLMEVKRDLLHIVERNQAKFDALEAYESILAGKREQRPQDCLDSIVDLREYDVPYHVRFAIDNDVRSGQWYNVSISSTDVILEKRTDLLQRAEVRVCAFDIETTKLPLKFPDAEYDQIMMISYMVDGQGFLIINREVTACVGEDVEDLEYTPKPEFEGYFKVTNVKNEVELLQRWFYHMQELKPGIYVTYNGDFFDWPFIERRASHHGIKMNEELGFRCDHNQGECRAKFVCHLDCFAWVKRDSYLPQGSHGLKAVTKAKLGYDPLEVNPEDMVRFAMEKPQTMASYSVSDAVATYYLYMTYVNPFIFSLATIIPMVPDEVLRKGSGTLCEMLLMVQVSTYLPQAQAYKVNVVCPNKNQADPEKFYQNQLLESETYIGGHVECLESGQLIDNLGRDLEYAITVEGKMRMDSISNYDEVKDEIKEKLEKLRDDPIREEGPLIYHLDVAAMYPNIILTNRLQVHLISPPSIVTDEVCTACDFNLPGKTCLRKLEWVWRGVTFMGKKSDYYHLKKQIESEFVDAGANIQSSKSFLDLPKLDQQSKLKERLKKYCQKAYKRVLDKPITEVREAGICMRENPFYVDTVRSFRDRRYEYKTLNKVWKGKLSEAKASGNSIKIQEAQDMVVVYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLIERIGKPLELDTDGIWCCLPGSFPENFTFKTIDMKKLTISYPCVMLNVDVAKNNTNDQYQTLVDPVRKTYESHSECSIEFEVDGPYKAMIIPASKEEGILIKKRYAVFNHDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLHGSTLEECYSAVAEVADRWLDLLDVCEIALIFLIGFLSHFLQNQGKDIADSELLDYISESSTMSKSLADYGEQKSCAVTTAKRLAEFLGVTMVKDKGLRCQYIVACEPKGTPVSERAVPVAIFTTNPEVMKFHLRKWCKTSSDVGIRLIIDWSYYKQRLSSAIQKVITIPAAMQKVANPVPRVLHPDWLHKKVREKDDKFRQRKLVDMFSSANKDGGLETDHPVTKDNVEDIEDFCKENRPSVKGPKPIARSYEVNKKQSEREQQESWDPEFHDISFQNIDKSVNYQGWLELKKRKWKVTLEKKKKRRLGDLRSPNQSDAHEINQKVGQGRGGVGSYFRRPEEALTSSHWQVCTIIQLVPSPQSGQFFAWVVVEGLMLKIPLTIPRVFYINSKVPIAEYFQGKCVNKILPHGRPCYSLTEVKIQEDKFKKESKKRAALLADPRVEGIYETKVPLEFSAICQIGCVCKIDNKAKHRNTQNGWEVGELHMKTTTECHYLERSIPLLFLYNSTSTGRAIYVLFCHASKLMSAVVVDPFNGNELLPSALERQFRDSCQELSLESWDGIRFQVRYVDHPEAAKKIIQIAISEYREENCGPTVAVIECPDFNSMKEGIKALDDFPCVRIPFNGDDNSYQPVSWQRPAAKIAMFRCAAAFQWLDRRIAQSRYAHVPLGNFGLDWLTFTIDIFLSRALRDQQQVLWVSDNGVPDLGGINNEEAFFADEVQQTSLVFPGAYRKVSVELKIHNLAVNALLKSNLVNEMEGGGFLGFEQDVNPRGINSNDNTSFDETTGCAQAFRVLKQLIHSCLTDVRKSENIYADSILQRLSWWLCSPSSKLHDPALHLMLHKVMQKVFALLLTDLRRLGAIIIYADFSKVIIDTVKFDLSAAKAYCESLLSTVGNRLVSSLLDIYVYDSKSLMDCTHFPAMIFVLFFYNYAGIRATDDEISLDEVTIEPKWNTTLTQTNVSENKKHVATHGPFFLDTDASHLSWQRDFIIIVAKFIFDPWKFAIEKKKGSSESLEAQMVEYLREQIGSTFINMLVKKVDDIMSHMKEINVSDASRVSGQAPKGDYTLEFIQVISAVLALDQNVLQDVLLENLFVTGFIHKSNLISGNLVLETMFTNRVMRKSLLKYIKVKECAAEAEFLDPGPSFILPNVACSNCDAYRDLDICRDPALLTEKEWSCGDPQCGKIYDREQMESSLLEMVRQRERMYHMQDLVCIRCNQVKAAHLTEQCECSGSFRCKESGSEFSKRMEIFLDIAKRQKFRLLEEYISWILYGPSY >scaffold_100860.1 pep chromosome:v.1.0:1:3141560:3144520:-1 gene:scaffold_100860.1 transcript:scaffold_100860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:D7KI15] MAPFLLTVLQVLLALTFWIGIGSGSSNRYNAGDHVPLFVNKVGPLHNPSETYQYYDLPFCRPEPVIEKQETLGEVLNGDRLMSSLYELKFREDKTHLVLCRKRLTSSDVARFRDTIAQDYYFQMYYDDLPLWGFVGKVERDYFGQEEKHTKYYIFSHLKFNVLYNADEVIEINSFSDPSYLVDISENTETDVQFTYSVSWNLTSERSETRMNKYSQASLHPISQKIHFFSFLNSITVVVLLIGLLSLLFVRHLKNELRSSSIGDEEEKKEVGWKLVQSDVFRCPRNVSLLCAFLGTGTQLLILIIALFALAFTGFLYPYNRGMLLTSLVIMYTLTSVVAGYTSASFHSQFEGNKQKRSVRLAGILYPVPFLIIVSVLNTVAITYGATAALPFGTIVIIILIFTLLNIPFLMLGGVLGNRFGLLEFQPPTAVKRNPREIPLQNWYRRKLYQLFLGGFVPFIAVVLEWHQLYASLWGFKIYTSPGIMLFTFAVLIFLSTSVGIILTYIQLSGEDHEWWWRSILCGGFSAVFMYAYGVLFYLRSDMTGFLQLSFYLGYTALFCYALFLVLGTISFLASLLFIRHIYRSVKLE >scaffold_100861.1 pep chromosome:v.1.0:1:3145093:3146636:1 gene:scaffold_100861.1 transcript:scaffold_100861.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:D7KI16] MSKLQSEAVREAITIITGKSDAKKRNFVETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKMGLENMDVESLKKLNKNKKLVKKLAKKYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVSHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGPPQRIF >scaffold_100866.1 pep chromosome:v.1.0:1:3156188:3159129:1 gene:scaffold_100866.1 transcript:scaffold_100866.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7KI21] MGKSEKTSLGRSLVKHHNHMIQESKDKGKYYKNLQKKVLESVTEVSDIDAIIEQAEEAERLYTINHSSSTPLSINLDTNSSSSVIAPEEWREQQKIEEALHASSLQVPRRPPWTPEMSVEELDANEKQAFLNWRRMLVSLEENEKLVLTPFEKNLDIWRQLWRVLERSDLIVMVVDARDPLFYRCPDLEAYAREIDEHKKIMLLVNKADLLPTDVREKWAEYFRLNNILFVFWSAIAATATLEGKVFKEQWRQPDNFQKTDDPDIMIYGRDELLNRLEFEAQEIVKVRNSRVASTVEYQRDQAVVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLIISDELMLCDCPGLVFPSFSSSRYEMIASGVLPIDRMTEHREAIQVVADKVPRRVIESVYNISLPKPKTYERQSRPPHAAELLRSYCASRGYVASSGLPDETKAARLILKDYIGGKLPHYAMPPGMTQGDEADIEDTEELEDILEGSESEDSTVGDETESEQVPGIDAVLDDLSSFDLANGLKSSKKVTAKKQTASHKQHKKPQRKKDRTWRVQNTEDGDGMPMVKVFQKPANTGPLTMR >scaffold_100867.1 pep chromosome:v.1.0:1:3159914:3166472:1 gene:scaffold_100867.1 transcript:scaffold_100867.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7KI22] MDEDSSMVADNDQDREFQSLDGGQSPSPMERETPQQMNDQSPPEGGSVPTPPPSDPNPTSSPQQAAAVVGQEQQPAQVVGPRCAPTYSVVDAMMDKKEDGPGPRCGHTLTAVPAVGDEGTPGYIGPRLVLFGGATALEGNSGGTGTPTSAGSAGIRLAGATADVHCYDVLSNKWTRLTPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSSRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTYAASHAAAAAATNSPPGRLPGRYGFSDERNRELSESATDGAVVLGSPVAPPVNGDMHTDISPENAFLPGTRRTNKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDCGAEATPSGKPTFSLIKPDSMGSMSVTPAGIRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFASEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDISYIDYLFLGDYVDRGQHSLETISLLLALKVEYQHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAASIEKKIICMHGGIGRSINHVEQIENIQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPALSSPETSPERHIEDTWMQELNANRPATPTRGRPQNSNDRGGSLAWM >scaffold_100868.1 pep chromosome:v.1.0:1:3168321:3170616:1 gene:scaffold_100868.1 transcript:scaffold_100868.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Al-activated malate transporter 1 [Source:UniProtKB/TrEMBL;Acc:D7KI23] MEKVREIVREGIRVGNEDPRRIIHAFKVGLALVLVSSFYYYQPFGPFTDYFGINAMWAIMTVVVVFEFSVGATLGKGLNRGVATLVAGGLGIGAHRLARLSGATVEPILLVMLVFVQAALSTFVRFFPWVKTKFDYGILIFILTFALISLSGFRDEEIMDLAESRLSTVVIGGVSCVLISIFVCPVWAGQDLHSLLASNFDTLSHFLQDFGDEYFEASEDGDYKVVEKRKKNLGRYKRVLDSKSDEEALANFAEWEPPHGQFRFRHPWKQYVAVGALLRQCAYRIDALNSYINSDFQIPMDIKKKLETPLRRMSSESGKSMKEMSISLKKMIKSSSSDIHVSNSQSACKALSTLLKSGILNDVEPLQMISLLTTVSLLIDIVNLTEKISESVHELASAARFKNKMRPTVLFEKSDSGRIGRAMPVESHEDDHVVTVLHDVDKSNNSRGESSQDSCHHHVAIKIVDEKHEDGEIHVHTSLLEDVTRNGRLH >scaffold_100870.1 pep chromosome:v.1.0:1:3181932:3185880:-1 gene:scaffold_100870.1 transcript:scaffold_100870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQNKLSFFCRFLFSVLTIAPLAFSEIFLEEHFEGGWKSRWVLSDWKRNEGKAGTFKHTAGKWPGDPDDKGIQTYNDAKHYAISAKIPEFSNKNRTLVVQYSVKIEQDIECGGAYIKLLSGYVNQKQFGGDTPYSLMFGPDICGTQTKKLHVILSYQGQNYPIKKDLQCETDKLNHFYTFILRPDASYSVLVDNKEREFGSMYTDWDILPPRKIKVKNAKKPEDWDDREYIDDPNDVKPEGFDSIPREIPDRKAKEPEDWDEEENGLWEPPKIPNPAYKGPWKAKRIKNPNYKGKWKNPWIDNPEFEDDPDLYVLKPIKYAGIEVWQVKAGSIFDNILICDDPEYARSIVDDYFAQHRESEKELFAEAEKERKAREDEEARKAREEGERRRKERDHRYGDRRRRYKRPNPRDYMDDYHDEL >scaffold_100875.1 pep chromosome:v.1.0:1:3203295:3204348:1 gene:scaffold_100875.1 transcript:scaffold_100875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSESFVGKNWNGFKDFWSDRLSFFENYTRFTKRDSPLPSWSSSDVDEFIASDPVHGPTLKTAREAVTFGVTGAALGAVSTAAFAWKYSRSPHGAALSFLGGGVFGWTFGQEVANHTLQLYKLDTMAAQVKFLEWWERKSQ >scaffold_100878.1 pep chromosome:v.1.0:1:3210675:3213333:-1 gene:scaffold_100878.1 transcript:scaffold_100878.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:D7KIS7] MVATSATSSFFPVPSSSLDPNGKGNKIGSTNLAGLNPAPNSGRMKVKPNAQAPPKINGKKVGLPGSVDIVRTDIEASHPAPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRSDMLVDPFGIGRIVQDGLVFRQNFSIRSYEIGADRSASIETVMNHLQETALNHVKTAGLLGDGFGSTPEMFKKNLIWVVTRMQVVVDKYPTWGDVVEVDTWVSQSGKNGMRRDWLVRDCNTGETLTRASSVWVMMNKLTRRLSKIPEEVRGEIEPYFVNSDPVLAEDSRKLTKLDDKTADYVRSGLTPRWSDLDVNQHVNNVKYIGWILESAPVGIMERQKLKSMTLEYRRECGRDSVLQSLTAVSGCDIGNLATAGDVECQHLLRLQDGAEVVRGRTEWSSKTPTTTWGTAP >scaffold_100886.1 pep chromosome:v.1.0:1:3234583:3235570:-1 gene:scaffold_100886.1 transcript:scaffold_100886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYNEIAKKKREAKADRKRAIHGDPLTNKLKTRTPVPSLSGKRQRKLLRKWRREQKEMVEKGLVTMEDVEMASAQAGLEDSKKSPKKFSVKKSLKLNKLKNKGKKKKTQKASGEGSADCMLE >scaffold_100894.1 pep chromosome:v.1.0:1:3274381:3275449:1 gene:scaffold_100894.1 transcript:scaffold_100894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSQTLGNNNANKYQICEEIGRGRFGTVTRVYAPATGDFFACKTIDKASLSDDLDRACLDNEPKLMALLSYHPNIVQIHDLIDTDSTLSIFMELVDPSVSIYDRLVSSGTFSESQTASFAKQILQALSHCHRYGVVHRDIKPENILVDLRNDTVKICDFGSGIWLGEGETTEGVVGTPYYVAPEVLMGCSYGEKVDIWSAGVVLYTMLAGTPPFYGETAEEIFEAVLRGNLRFPTKIFRGVSSMAKDFLRKLICKDASRRFSAEQALRHPWIQRAGETEERFM >scaffold_100896.1 pep chromosome:v.1.0:1:3284228:3285317:1 gene:scaffold_100896.1 transcript:scaffold_100896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQNYQTHTFCLFETVVIQGLSPSIANQTPAMPLEPFLIWIIYQFVWLSLKDLTDSYRIHCRPFFVMSIPWNCDVLLGRRFVSGAFAHYRWIFRLSTGESPLTEWYGDVTWVFDPGINEGIILLDGIGIIYQIGYASRIELGLSFILGIRVTCARYGTWYSCVECDNGFLVPLKLSDDGDGFPLPWLEFFVSWIDCMGLGLYGSKVKVMTFFYDDDGDYFPWSEAFLLPERVHRYIQLTCLWYNPNQVTSVMRRKMVKSDCDGDVFSFPWSENHISMKRKIMGTNRQRCVRRFEYIVLSFKRQELESFQATLQFFLLIRVMRKAFWSFIYKMIANYEYVKGGRLSVSM >scaffold_100904.1 pep chromosome:v.1.0:1:3316706:3320123:1 gene:scaffold_100904.1 transcript:scaffold_100904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILLILGLLLCYSFVSSSGDTTIHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNARNEYPAQVFNNENHKLNLYGDNVEVDYRGYEVTVENFLRVLTGRHENAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDAEELQSHDLADAVKQMKEKRRFKELMIMVDTCQAATLFNQLQSPGVLAIGSSLKGENSYSHHLDSDIGVSVVDRFTYYTLAFFERLNIYDNASLNSLFRSYDPRLLMSTAYYRTDLYQPHLVEVPVTNFFGSVMETIHTNSAYKAFSSKISNSKSNPEMPLNQLSEHDANIPNDELKNEKQKCPYSQMRADLHKKLEKLENVDTVVNLSIAVMVVVVMVSSSLLR >scaffold_100906.1 pep chromosome:v.1.0:1:3325066:3325738:1 gene:scaffold_100906.1 transcript:scaffold_100906.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7KIV2] MNQKPPPYGYGGAGGGGGVGPSSSSNTTIIGTLSARAKQTTQSMITTLRPWRELLDLSALSLPRGYDEAMAHLRHNISYFRGNYALTVLAIVFLGLIYHPMSMIAFIVVFIGWILLYFSRDSNDSIVISGKEVDDRIVLVLLSLVTVLALVYTDVGENVLVSLIIGLLIVGAHAAFRNTDDLFLDEESARRGGLVSAASGNRPPSSYTPI >scaffold_100910.1 pep chromosome:v.1.0:1:3339555:3340816:-1 gene:scaffold_100910.1 transcript:scaffold_100910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKIGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNKWASIASYLPQRTDNDIKNYWNTHLKKKLNKSESDERSRSENMVLQTSATRNTVNHRSTYASSTENISRLLEGWMRASPKTSTTTTTFLEQKMQNRTNNFIDHHTDQFPYEQLQGSWEEGHSKGINGDDQGVKNSESNNGDDVHHEDGDDDDDHHNATPPLTFIEKWLLEETSTAGGQMEEMSHLMELSNMI >scaffold_100912.1 pep chromosome:v.1.0:1:3348674:3350366:1 gene:scaffold_100912.1 transcript:scaffold_100912.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:D7KIV8] MAKGVAVLNSSEGVKGTIFFTQEGDGVTTVTGTVSGLKPGLHGFHVHALGDTTNGCMSTGPHFNPDGKTHGAPEDANRHAGDLGNITVGDDGTATFTITDTQIPLTGPNSIVGRAVVVHADPDDLGKGGHELSLATGNAGGRVACGIIGLQG >scaffold_100916.1 pep chromosome:v.1.0:1:3368833:3369394:-1 gene:scaffold_100916.1 transcript:scaffold_100916.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:D7KIW1] MSTGAGSGTTKGGRGKPKATKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGSVTIANGGVLPNIHQNLLPSKVGKNKGDIGSASQEF >scaffold_100921.1 pep chromosome:v.1.0:1:3399136:3402522:1 gene:scaffold_100921.1 transcript:scaffold_100921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQKSMEKGLLRKSLSIRERKFPNEDAFLEAGLSRKSPREVKKPQNDDGECRVTASVFLSTFVAVSGSFCTGCGVGFSSGAQAGITKDLSLSVAEYSMFGSILTLGGLIGAVFSGKVADVLGRKRTMLFCEFFCITGWLCVALAQNAMWLDCGRLLLGIGVGLFSYVIPVYIAEIAPKHVRGSFVFANQLMQNCGISLFFIIGNFIPWRLLTVVGLVPCVFHVFCLFFIPESPRWLAKLGRDKECRSSLQRLRGSDVDISREANTIRDTIDMTENGGESKMSELFQRRYAYPLIIGVGLMFLQQLCGSSGVTYYASSLFNKGGFPSAIGTSVIATIMVPKAMLATILVDKMGRRTLLMASCSAMGFSALLLSVSYGFQSFGILPELTPIFTCIGVLGHIVSFAMGMGGLPWIIMAEIFPMNVKVSAGTLVTVTNWLFGWIITYTFNFMLEWNASGMFLIFSMVSASSIVFIYFLVPETKGRSLEEIQALLNNSVQ >scaffold_100923.1 pep chromosome:v.1.0:1:3406455:3408719:1 gene:scaffold_100923.1 transcript:scaffold_100923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELISSSTIGNSALCLTLIFILIFFFLFTTTTIPTFPDHPLRSLLDESTTNTSSIVNPKSSCFSSRSHDNGGVINYFSLHYCIFNENLFFSIPILSLLILLHFYILIKTAQTHFSTVTTKLADRLNLSPSMAAVTLLALGNGAPDVFASVAALRGGQYRTGFGAILSAGTFVSAFVVGFVAIYAAPFPVDAASFVRDVLFYLIAASFLFYVYLSGEIFVWQAIGFVGFYIFFVGFVFWMDFGTNVEKGKITSEEEKDLLRLQDCEIAAGPLVGYKAEKDHQFSGIFRLYGMISRMWETPVSVLLNLTIPKPSPSEWSRFYRSANIVFCPFALLYTCNSFVQLNHPISFLFPNTHLPLWLVVLFMTSSLAFLHFTVEKQPPKTEQLPVIVVAFIMSVFWISTIAGELLNCLAALGTLLKLPPALLGLTVLAWGNSVGDLVADVAVAKAGRPAMAMAGCFAGPMFNMLVGLGSALVMQTANVYPNAYKLGFHVGIVIAFVFLLLSLMGSLLVITWSRFRVPRFWGICLVGLYVAFTFVSLIIASVST >scaffold_100925.1 pep chromosome:v.1.0:1:3411223:3413521:1 gene:scaffold_100925.1 transcript:scaffold_100925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNDFGAFIEKVTISPTSTSSLPPSLQGLTFAIKDIFDVEGRVTGFGNPDWLRTHTAATSTAPVVSSLLEAGATALGITIMDEMAYSINGENAHYGTPRNPIAFDRVPGGSSSGSAVVVAARLVDFSIGTDTGGSVRVPASYCGIFGFRPSHGAVSTVGVTPMAQSFDTVGWFARDTATLKRVGCVLLQQDHLNPIEPSQLIIADDCFKLCSVPHDLLVQPLVGSVEKSFGGNTVVKEVNLGEYIEQNVPSLKHFMTSDDVTTQQELCIPSLMALSSSMRLLQRHEFKINHGAWISLVKPEFGPGISARIEEAIRTSEEKIDLCRSVKSELITALSTLLGEKGVLVIPTVPGPPPHLQANVAALESFRSRAFSLLSIAGVSGFCQVSIPLGLHENLPVSVSLVAKYGSDGFLLSLVDSLAAFI >scaffold_100928.1 pep chromosome:v.1.0:1:3423240:3427477:-1 gene:scaffold_100928.1 transcript:scaffold_100928.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 2 protein [Source:UniProtKB/TrEMBL;Acc:D7KIX3] MAEIGKTVLDSGWIAARSTEVDVDGVQLTTTNPPAVSSESRWMEAVVPGTVLGTLVKNKAVPDPFYGLENEAITDIADSGRDYYTFWFFTKFQCKWLLNQYVHLNFRAINYSAEVYVNGHKTVLPKGMFRRHTLDVTDILHPENNLLAVIVNPPDHPGTIPPEGGQGGDHEIGKDVAAQYVEGWDWICPIRDRNTGIWDEVSISVTGPVRIIDPHLVSTFFDDYKRAYLHVTAELENKSTWNADCSVNIQITAELENGVCLVEHLQTENVLIPAQGRIQHTFKPLFFYKPELWWPNGMGKQNLYDILITVDVKEFGESDSWMQPFGFRKIESAIDSVTGGRLFKINGEPIFIRGGNWILSDGLLRLSKERYRTDIKFHADMNMNMIRCWGGGLAERPEFYHFCDIYGLLVWQEFWITGDVDGRGVPVSNPNGPLDHDLFLLCARDTVKLLRNHPSLALWVGGNEQVPPKDINEALKEDLRLHSYFETQLLSDKDSDPSVYLDGTRVYIQGSMWDGFADGKGNFTDGPYEIQYPEDFFKDTYYKYGFNPEVGSVGMPVADTIRATMPPEGWEIPLFKKGLDGFVEEVPNRMWDYHKYIPYSKPGKVHDQILMYGTPENLDDFCLKAQLVNYIQYRALFEGWSSQMWTKYTGVLIWKNQNPWTGLRGQFYDHLLDQTASFYGCRSAAEPVHVQLNLASYFFEVVNTTSKELSDVAIEASVWDLDGNCPYFKVFKIVSAPPKKVVKISEFKYPKAENPKPVYFLLLKLYNVSDKAVISRNFYWLHLPGKDYRLLEPYRKKQIPLTITCNVVMVGPKYELEINVHNTSRADLAKNVVQEDRKRDLGLLQKLFSRCVVSADSNRGLKVVEMKGSDSGVAFFLRFSVHNAETEKQDTRILPVHYSDNYFSLVPGESMSFKISFAAPTGMKKSPRVMLQGWNYPDRFSVFG >scaffold_100931.1 pep chromosome:v.1.0:1:3436591:3436793:1 gene:scaffold_100931.1 transcript:scaffold_100931.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KIX5] MGTSDVGCTCCYLKIFALMVPEASLPACAGAQCRDSMVLANGNKHLSLESLST >scaffold_100932.1 pep chromosome:v.1.0:1:3437932:3438391:-1 gene:scaffold_100932.1 transcript:scaffold_100932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEDRLLPIANVGRLMKQILPSNAKISKEAKQTVQECATEFISFVTCEASDKCHRENRKTVNGDDIWWALSTLGLDNYADAVGRHLHKYREAERERTEHNKGSNDSGNEKEPNTRSDVHNQSTKFIRVVEKGSSSSAR >scaffold_100939.1 pep chromosome:v.1.0:1:3474698:3474926:-1 gene:scaffold_100939.1 transcript:scaffold_100939.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHK7] MKNLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCSVSRSSRRVF >scaffold_100942.1 pep chromosome:v.1.0:1:3483106:3485465:-1 gene:scaffold_100942.1 transcript:scaffold_100942.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:D7KIY4] MASCLQVSMNSLLPRSSSFSPHPPLSLNSSGRRNLKTFRYAFRAKASAKIPMPPINPKDPFLSTLASIAANSPEKLLNRPVNADVPPYLDIFDSPQLMSSPAQVERSVAYNEHRPRTPPPDLPSMLLDGRIVYIGMPLVPAVTELVVAELMYLQWLDPKEPIYIYINSTGTTRDDGETVGMESEGFAIYDSLMQLKNEVHTVCVGAAIGQACLLLSAGTKGNRFMMPHAKAMIQQPRVPSSGLMPASDVLIRAKEVITNRDILVELLAKHTGNSVETVANVMRRPYYMDAPKAKEFGVIDKILWRGQEKIIADVVPSEEFDKNAGIKSVERV >scaffold_100944.1 pep chromosome:v.1.0:1:3489811:3492070:1 gene:scaffold_100944.1 transcript:scaffold_100944.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase and archaeosine transglycosylase domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KIY6] MFKKFCLEEISSQNQVKASVQRRIRQSIQDEYPGLESVMEDLLPKKIPLIVVKCPNHLTLVVVNNVPLFFCIRDGPYMPTLRLLHQYPNIMKRFQVDRGAIKFVLSGANIMCPGLTSPGGVLDEEVDVERPVAIYAEGKQHALAIGFTKMSAKDIKSINKGIGVDNMHYLNDGLWKMERLD >scaffold_100955.1 pep chromosome:v.1.0:1:3522421:3525819:-1 gene:scaffold_100955.1 transcript:scaffold_100955.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin 2 [Source:UniProtKB/TrEMBL;Acc:D7KIZ6] MAKLVTSIVSLILIGLVAIASAAVIFEERFDDGWESRWVKSEWKKDDNTAGEWKHTAGNWSGDSNDKGIQTSEDYRFYAISAEFPEFSNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILTYNEANHLIKKDVPCETDQLTHVYTFILRPDATYSILIDNVEKQTGSLYSDWDLLPPKKIKDPSAKKPEDWDDQEYIADPEDKKPDGYDDIPKEIPDTDAKKPEDWDDEEDGEWTAPTIPNPEYNGEWKPKQIKNPNYKGKWKAPLIDNPDFKDDPELYVFPKLKYVGLELWQVKSGSLFDNVLICDDPDYAKKLAEETWGKLKDAEKAAFDEAEKKKEEEESKDAPAESDAEEEPEDDEGDDSDSESKTDEESKSEVSEETAEKDATAHDEL >scaffold_100958.1 pep chromosome:v.1.0:1:3535525:3535979:-1 gene:scaffold_100958.1 transcript:scaffold_100958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCPSNEIRISNELGPGLVLQYHCHSRDNNLGVQNLQFKELKQIRFGDKLGRRTRWTCLLKHGLYMRYYQEFIAYRMGNVRRCGAIRHWFARKDGIYLMRNMHPPPTFHHMHGIKLSNVDDNDIFSSVNILDNIWL >scaffold_100960.1 pep chromosome:v.1.0:1:3539124:3540208:-1 gene:scaffold_100960.1 transcript:scaffold_100960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGERRSLYISAQSPETLNATFALIYRFKLEFILLEKYNESFYNFMCVVATCLRLNVAFFDMFPCPKNKIFITNELGSGLVLQYHCHSRDNNLDVANLQFNESKEIVFADKLGRRTRWTCLLKHGLYMRYYSEFIAYRMANVRRYGAYREWIARKDGIYVIRNRNPPPTFHHIHVISNVDDNDII >scaffold_100962.1 pep chromosome:v.1.0:1:3545929:3549331:1 gene:scaffold_100962.1 transcript:scaffold_100962.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:D7KJN5] MSLRPSTRAELRKKIYKTGVDADEARRRREDNLVEIRKNKREDSLLKKRREGMMLQQQPLGAGLDGPQNAAAVEKRLEGIPMMVQGVYSDDPQAQLEATTQFRKLLSIERSPPIDEVIKAGVVPRFVEFLGRQDHPQLQFEAAWALTNVASGTSDHTRVVIEQGAVPIFVQLLSSASDDVREQAVWALGNVAGDSPNCRNLVLNYGALEPLLAQLNENSKLSMLRNATWTLSNFCRGKPPTPFEQVKPALPVLRQLIYLNDEEVLTDACWALSYLSDGPNNKIQAVIQAGVCPRLVELLGHQSPTVLIPALRTVGNIVTGDDSQTQFIIDSGVLPHLYNLLTQNHKKSIKKEACWTISNITAGNKVQIEAVVAAGIILPLVHLLQNAEFDIKKEAAWAISNATSGGSHEQIQYLVTQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKEMGLNGGVNLYAQIIEESDGLDKVENLQSHDNNEIYEKAVKILERYWAEEEEEQILQDGGNENSQQAFNFGNNQPAAPPGGFKFG >scaffold_100971.1 pep chromosome:v.1.0:1:3576547:3577155:1 gene:scaffold_100971.1 transcript:scaffold_100971.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7KJP4] MIVLVLYIFTPHIEAIKADEATEALINSICIENEDYGFCNKIIHEKLKAPTATIKELTSLIIYTTMDHASDTYIFIDNILREWPVPKETTGLKTCHEVYNRETNSFLEIRFLFSKGEYERMGEAILSTAKILEDCRGDFLIPPYKEPLIEKKRVMRILITMSAVSGHMIKNEKASLISSVVTAQFFNI >scaffold_100972.1 pep chromosome:v.1.0:1:3578192:3578381:-1 gene:scaffold_100972.1 transcript:scaffold_100972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQDIAKSVTRDGRLTSYLSIGGPVWLLSRIEEDNMFRISSTAFFFIF >scaffold_100974.1 pep chromosome:v.1.0:1:3582087:3585122:-1 gene:scaffold_100974.1 transcript:scaffold_100974.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7KJP7] MAKSDMLPFLAMVLVQIGYAGMNITSKMAMEAGMKPLILVAYRQIFATIATFPVAFFLERKTRPKITLRVLVQVFFCSITGATGNQVLYFIGLQNSSPTIACALTNLLPAVTFLLAAIFRQETVGIKKASGQAKVIGTLVCVIGAMVLSFYHGHTIGIGESKIHWAYAENITRQGSSSAHSNFFLGPFLIMAAAVSWAAWFIIQTKMSETFAAPYTSTLLMCLMGSIQCGAIALISDHKLSDWSLSSPLRFISALYAGVVASALAFCLMSWAMQRKGPLYVSVFSPLLLVVVAIFSWALLEEKLYTGTFMGSALVVIGLYGVLWGKDREVSEKEEEREKVKQQQRSKGKSESNEDIESRLPVASSGNGSTRSISP >scaffold_100976.1 pep chromosome:v.1.0:1:3590857:3592346:-1 gene:scaffold_100976.1 transcript:scaffold_100976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFNLTHRIVMAPMARMRSYGNVPQPHVALYYSQRTTPGGLLISEATGVSETAMAYQNMPGIWRKEQIQAWKPIVDAVHSHGGVFFCQLWHAGRVSHRDCQPNGEAPVSSTDKPFVEDPSNEFTPPRRLRTDEIPTIVNDFRLAARNAIEAGFDGVELHGAHGYLLDQFMKDSVNDRTDRYGGSLENRCRFALQVIEAVSNEIGPDRVGIRLSPFANYMESGDSDPKRLGLYMANSLNRFEILYCHMVEPRMKTASEIFECTESLTPMRKAFNGAFIVAGGYTREDGNKAVAEERTDLVAYGRLFLANPDLPRRFELDAPLNKYDRPSFYSSDHVVGYTDYPFLDTTDQ >scaffold_100985.1 pep chromosome:v.1.0:1:3615805:3617357:1 gene:scaffold_100985.1 transcript:scaffold_100985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGKVACVTGATGYIASWIVKLLLLRGYTVKATVRDPKDTKKTKHLLALEGAEERLKLFKADLLEEGSVQQAIEGCDGVFHIASPVILTATDPQAFQAELIDPAVKGTMNVLKTCAKASSVKRVVVTSSTAVVLGCKPPLGPNDVVDETFFSYPNFCLEMQPTLNFSIEVIVDLLNGKSPPNSFYYRFVDVRDVSLAHIKAFEVPSANGRYIIVDPNTTMKDIKKNEESDEMMNEMTYKVCVEKVKCLGIEFTPIEETLRDTIVSLKEKCLYNKPTEDPNHR >scaffold_100991.1 pep chromosome:v.1.0:1:3637520:3638925:1 gene:scaffold_100991.1 transcript:scaffold_100991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLTHITNHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSPEEENLIVELHAVLGNRWSQIASRLPGRTDNEIKNLWNSSIKKKLKQRGIDPNTHKPISELESFSDKDKPTTSNNKISGNDHKSPSSSSATNQDFFIERPSEFSDYFGFQKLNFNSNLGLSVTTDTSLCSMIPPQFSSGNMVGSVFPTPVCVKPSINLPPDNNSSSPVSGGDHVKLAAPNWEFQTNNNTSNFFDNGGFSWSIPNSSTSSSQVKPNHNLEEIKWSEYLNTPFFIGNTVQSQSSQPIYIKSETDYLANVSNMTDPWTQTQNENLGTADTSDVFAKDLQRMAVSFGQSL >scaffold_100999.1 pep chromosome:v.1.0:1:3663009:3663904:1 gene:scaffold_100999.1 transcript:scaffold_100999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKANQSHKLKLLLVFLLATLILIFILRATLTSSHEQQTPEETRTARCSGACNKLPRSLAQALIHYSTSVITPQQTLKEIAVSSTVLGKKSPCNFLVFGLGHDSLMWSSLNYGGRTVFLEEDEAWIKQIKRRFPMLESYHVTYDSKVNQADNLIEVGKGPECTAIGDPRYSMCQLALKGLPAEIYETSWDLIMVDAPTGYYDEAPGRMTAIYTAGMMARNRKQGGETDVFVHDVNREIENKFSKTFLCEGYMTKQEGRLRHFVIPSYRDGSESESNRPFCP >scaffold_101000002.1 pep scaffold:JGI8X:scaffold_1010:3504:3831:1 gene:scaffold_101000002.1 transcript:scaffold_101000002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKGQHKKKKKRSCAAKSSGDGTASDGNKKDVEEERKDGEGTKELEKVEKKIIESLMEAFCSVSMEEAMAAYKEAGGDLNKAAEILSDLVRKRR >scaffold_101001.1 pep chromosome:v.1.0:1:3668587:3668848:-1 gene:scaffold_101001.1 transcript:scaffold_101001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPIWGWCIIVAAIIILAVLSNFLGRRYLKPREIEEDGKASSSVTLEKKEPVPVKEDGKSKTNEKARGDNLV >scaffold_101002.1 pep chromosome:v.1.0:1:3669515:3670806:-1 gene:scaffold_101002.1 transcript:scaffold_101002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPDEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGRTVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTTFENVSRWLKELRDHADSNIVIMLIGNKTDLKHLRAVATEDAQSYAEKEGLSFIETSALEALNVEKAFQTILSEIYRIISKKSISSDQTTANASIKEGQTIDVAATSESTAKKPCCSSS >scaffold_101004.1 pep chromosome:v.1.0:1:3674332:3675490:1 gene:scaffold_101004.1 transcript:scaffold_101004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNSASGLSWRRLLFFASIGLQFFLGLSGDSKNTNAGVKAESHTSSSKTGTKVILILVGFVAVAVFSFFLYKLWQKKKRDEQYARLLKLFEEDDELEVELGLRD >scaffold_101007.1 pep chromosome:v.1.0:1:3685440:3687304:-1 gene:scaffold_101007.1 transcript:scaffold_101007.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KJT0] MFRIEILRSTLSRSHPQRFSRVSSSLLSTWYSPESISADDNNDPVLVKLSVAIRDSYKDPPLEFSSFAACSSIRNVLPSLSVRHVVDLINRNPLSLPHRSIFAFFKFISSQPEFRFTVETYFVMARFLAIHEMFVEAQSLIELVVSRKGKNSASSVFISLVEMRGTPMCDFLVDALMITYMDLGFIPDVIQCFRLSRKHNFVVPIRGCGNLLDRMMKLNPTGTVWGFYMEILDAGFPLNVYVFNILMNKFCKEGNICDAQKVFDEITKRSLRPTVVSFNTLINGYCKVGNLDVGFRLKHHMEKSRTRPDVFTYSALINALCKENKMDGAHRLFYEMCERGLIPNDVIFTTLIHGHSRNGQIDLMKESYQKMLSKGLQPDIVLYNTLVNGFCKNGDLVAARNIVDGMIRRGLRPDKVTYTTLIDGFCRGGDVDTALEIRKEMDQNGIELDRVGFSALICGMCKEGRVIDAERALREMLRAGMKPDDVTYTMMMDAFCKKGDAQTGFKLLKEMQSDGHIPNVVTYNVLLNGLCKLGQMKNADMLLDAMLNVGVVPDDITYNTLLEGHHRHANASKHYKQKPEIGIVADLASYKSLVNELYRASKDHRNR >scaffold_101008.1 pep chromosome:v.1.0:1:3687668:3688806:-1 gene:scaffold_101008.1 transcript:scaffold_101008.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L21 [Source:UniProtKB/TrEMBL;Acc:D7KJT1] MPAGHGVRARTRDLFARPFRKKGYIPLSTYLRTFKVGDYVDVKVNGAIHKGMPHKFYHGRTGRIWNVTKRAVGVEVNKQIGNRIIRKRIHVRVEHVQQSRCAEEFKLRKKKNDELKAAAKATGETISTKRQPKGPKPGFMVEGMTLETVTPIPYDVVNDLKGGY >scaffold_101019.1 pep chromosome:v.1.0:1:3726452:3727223:-1 gene:scaffold_101019.1 transcript:scaffold_101019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNSGSLFEAEEISDGECVTTTKTQKVQQNVRGARILSKEEENKRDSYLFCCQNFYTLPEMIKYMKENHGIGETTVKNVFRELLKGRKAEAYLRESQKRMKIRTKEQQQLLSYLDDQKYTEDIFIHIRISKKCAFKSLLMPLFGLVVCLLLFDLLQESSYRSTMC >scaffold_101035.1 pep chromosome:v.1.0:1:3775328:3779698:-1 gene:scaffold_101035.1 transcript:scaffold_101035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLAGRLLHRFTNHETAFNAGHHCSQGTDQGTSGLSHRKDLNMPSQGVHLHIHDRYVLMDNGILQVTLSKPGGIITGIKYNGIDNVLEVRNKETNRGYWDLHWNEPGGKGIFDVISGGTFRVIVETEEQVEISFLRTWDPSLEGKFIPLNIDKRFVMLRGSSGVYSYGIYEHLKDWPGFELGETRIAFKLRKDKFHYMAVADDRKRIMPFPDDLCKGRCQTLDYQEASLLTAPCDPRLQGEVDDKYQYSCENKDLRVHGWISFDPPVGFWQITPSNEFRSGGPLKQNLTSHVGPTTLAVFHSTHYAGKTMMPRFEHGEPWKKVYGPVFIYLNSTANGDDPLCLWDDAKIKMMAEVESWPYSFVASDDYPKSEERGTARGRLLIRDRFINSDLISAGGAYVGLAPPGDSGSWQIECKGYQFWAIADEAGYFSIGNARPGEYNLYAWVPGFIGDYHNGTIVTVTSGCMIEMGDIVYEPPRDGPTLWEIGIPDRKASEFFIPDPDLTLVNRVLVHDQDRFRQYGLWKRYTDLYPNADLIYTVGVSDYRRDWFFAHVPRKKGDVHEGTTWQIRFNLENIDQKANYKLQVAIASATLAELQIRVNDAEAIRPLFTTGLIGRDNSIARHGIHGVYMLYAVNVPGNRLVQGDNTIFLKQPRCNGPFQGIMYDYIRLEGPPS >scaffold_101043.1 pep chromosome:v.1.0:1:3812705:3812924:1 gene:scaffold_101043.1 transcript:scaffold_101043.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKK1] MECSLSSGRANPNFNFETRQNDGRKMEKRLKDDVIKLRTYTSFYIAKVPVFIRHETST >scaffold_101044.1 pep chromosome:v.1.0:1:3815217:3818284:1 gene:scaffold_101044.1 transcript:scaffold_101044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRNFNFFPHLSSFLVFSLFSVVSSDDLQVLLKLKSSFADSNLAVFDSWMLNSRTGPCSFTGVTCNSRGNVTEIDLSRQGLSGNFPFDLVCEIQSLEKLSLGFNSLSGIIPSNMRNCTNLKYLDLGNNLFSGTFPDFSSLNQLQYLYLNNSAFSGVFPWKSLRNATSLVVLSLGDNPFDATADFPVEVVSLKKLSWLYLSNCSIAGKIPAAIGDLTELRNLEIADSSLTGEIPSEISKLTNLWQLELYNNSLTGKLPTGFGNLKNLTYLDASTNLLQGDLSELRSLTNLVSLQMFENEFSGEIPMEFGEFKDLVNLSLYTNKLTGSLPQGLGSLADFDFIDASENLLTGPIPPDMCKNGKMKALLLLQNNLTGSIPDSYASCLTLERFRVSENSLNGTVPAGLWGLPKLEIIDIEMNNFEGPITADIKNGKMLGALYLGFNKLSDELPEEIGDTKSLTKVELNNNRFTGKIPSSIGKLKGLSSLKMQSNDFSGEIPDSIGSCSMLSDVNMAQNSLSGEIPHTLGSLPTLNALNLSDNKLTGRIPESLSSLRLSLLDLSNNRLSGRIPLSLSSYNGSFNGNPGLCSMTIKSFNRCINPSRSHGDTRVFVLCIVFGSLILLASLVFFLYLKKTEKKEGRSLKHESWSIKSFRKMSFTEDDIIDSIKEENLIGRGGCGDVYRVVLGDGKEVAVKHIRCSSTQKNFSSAMPILTEREGRSKEFETEVQTLSSIRHLNVVKLYCSITSDDSSLLVYEYLPNGSLWDMLHSCKKSNLGWETRYDIALGAAKGLEYLHHGYERPVIHRDVKSSNILLDEYLKPRIADFGLAKILQASNGGPDSTHVVAGTYGYIAPEYGYASKVTEKCDVYSFGVVLMELVTGKKPIEAEFGESKDIVNWVSNNLKSKESVMEIVDKKIGEMYREDAIKILRIAILCTARLPGLRPTMRSVVQMIEDAEPCRLMGIVISKESDVKIKEIS >scaffold_101046.1 pep chromosome:v.1.0:1:3820455:3823986:-1 gene:scaffold_101046.1 transcript:scaffold_101046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVEEEQKSTGEEKYLEPLSSQEKSLDDVVKETELGYGGGIIVDEAFLGKSIESVLLAIQEQPLSKTLIIAPVLSMSQWEEDIAKLSKAKVFVFDDGCKVEDLTAFDFVITSHATVKRGYKTVCCPHCHKLLSSVKPQHFCDKHRDIKKKGKTIGPLHSTEWERVFLDEGHLLEGGDQAIFALKAKFRWILTSRLYTDHLYPLLRFLQTDPYSLHFCLDCKCNCADLGFWTKCPTCRHKSSDRHSHWLEKYKFQGGIATCLTDLCLRPSKGSLSLKSAFPPSYVTVRRDDPTKAEKKMYKQLKSFINKEFAAYFQDESLMENYARIHCLINRLKQASMHLDLVDLSDFCGICGRASQEAIVLPCTHIYCRDCLFRVYPCALSASAARSCCPTCGVTFKRNLLLHASLKGKRKPDKAEDTNSIILRSSCTKIEALREEITWMLGTDESAKAVIFSRYKSFLELIERQLTKSGLRCVKLSESADGKAALQRFKEDGQCKILLTTFEIVGDLLDLSVASHVFLMDPGWNPITDRQALARVQTVRQSKPTRMVKFISKGTIEEKIIDVLQEKQNTLAGVDDYCSSIEKLTEEEMGFLFSD >scaffold_101047.1 pep chromosome:v.1.0:1:3826722:3832198:-1 gene:scaffold_101047.1 transcript:scaffold_101047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKSITAMATDGDTPNWSELVTDIWSLVFKHLSFTDFARAKTVCSSWYFASKSSSPRKNHTPWLILYEDTHWLMFNSEEEKFYRTQNLGRFAECRGVASCGSWVLVFDKEINFYIINPFTPELIRLPPLEYSNSGTKFERPGNYIFHLLFDDFRINSVVVGNSVLWVDEKTKDYLVVWSYKEACHPGAYIYYCRKREQEWFEIPASTCGKLFGCLDMAYKDEKLYIHTYNGSIRILDFSLGDLPRQIDNHPYSHRPFVTEFPNRRRGMRLTTSGDVVMIQWVTVTHKRIPFQIYKMSSKRETKESFRVQGLGDDDDAADWERVHSFEDEESLVWDLSVTLPTKGVSGIKKDSIYYCHTSNSSIREVATYEFPTHKISAYEIPKQAIKPIRHRGILIGEARWFVPCFGAEPKPFSIQAPCEFQSASVMILEDDSFASIVAGNLYLAINHLSSRMDAYLLRKSMKIGGYFTGQKEKTEMQKELLGGTLFVTVLVTHLSMRMVELEKCVELGFLPFTEKDVRNMLQSFKKLDPEDENIDFLRMCQSIKEKDPNFKFEFTLDANDKLEIIAWSYASSIQSYGIFGDAVVFDTTHCLSAVEMPLGIWVRVNNYGVHCFFCCVLLWDENFRSWSWALQVTKWHTKSSSWVGRDMVNSFGLHINKLYVAHSLCSLPYLRNHFLAGMTLTGRSKANQLLDSEVLERTNPATSICRTKHQPKTGFPMESLAASVLTPSAFSNLQAQLVLAALYASFEMDDWYLVRHHTKLSGGRKVYWVPQEGIISCNCQLFDFSGFLCRHALHVLSTGNYFPVPDRYLPLRWRRISTSFGKTFRSNAEDHGERVQLLQNLVSTLVSEPSKSKERLEIATEQTSILLSSNREQRQPISSLALRDISTVQRNFWNCNAMHVWRELINCNCVARLNAIVRSLPSVETLGCTSVICSDKTGTLTTNMMSVLAF >scaffold_101049.1 pep chromosome:v.1.0:1:3840273:3842690:1 gene:scaffold_101049.1 transcript:scaffold_101049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRDWRADARTPRFFVDSEESIDEDALAVAETEDGGSFFLDTWGQIRRNRPPVRPKLLDLCLRGVAENSHALSSLQLVPDDLKSRIASMVPRLSKIDANFVKLLVQDSPAEVIVKDCSSLEENDVKDILSACNGDKLQVLILYFCAQAHTDSLLSISSNRFPVLSSLSLRGAFRLTDNALDSISKAAPSLELIDLSECSMLTSFAMVILVNNFGATLRGLDIEGCQIDLSQVSEVVKNFKSLEYFSIAGVEGVDDGFVVKFLEVCGSKLTGLSLARCEDVSDVSIQAIGKYCANLGALDVWGVVKLTDMALKYMSVCSSLCVLKFGSNSFSDQAIATFLEEGPGPSLQQLCLHKIREVAQQTATTLSECCKSLWYLDLSWCQKITGEDVCKILVGCPSLSQVRGFGCKKVLRKALEAAEVIRS >scaffold_101050.1 pep chromosome:v.1.0:1:3845188:3847924:1 gene:scaffold_101050.1 transcript:scaffold_101050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWLRNLEIADSSLTGEIPSEISKLTNLWQLELYNNSLTGKLPTGFGNLKNLTYLDASTNLLQGDLSELRSLTNLVSLQMFENEFSGEIPMEFGEFKDLVNLSLYTNKLTGSLPQGLGSLADFDFIDASENLLTGPIPPDMCKNGKMKALLLLQNNLTGSIPDSYASCLTLERFRVSENSLNGTVPAGLWGLPKLEIIDIEMNNFEGPITADIKNGKMLGALYLGFNKLSDELPEEIGDTKSLTKVELNNNRFTGKIPSSIGKLKGLSSLKMQSNDFSGEIPDSIGSCSMLSDVNMAQNSLSGEIPHTLGSLPTLNALNLSDNKLTGRIPESLSSLRLSLLDLSNNRLSGRIPLSLSSYNGSFNGNPGLCSMTIKSFNRCINPSRSHGDTRVFVLCIVFGSLILLASLVFFLYLKKTEKKEGRSLKHESWSIKSFRKMSFTEDDIIDSIKEENLIGRGGCGDVYRVVLGDGKEVAVKHIRCSSTQKNFSSAMPILTEREGRSKEFETEVQTLSSIRHLNVVKLYCSITSDDSSLLVYEYLPNGSLWDMLHSCKKSNLGWETRYDIALGAAKGLEYLHHGYERPASNGGPDSTHVVAGTYGYIAPEYGYASKVTEKCDVYSFGVVLMELVTGKKPIEAEFGESKDIVNWVSNNLKSKESVMEIVDKKIGEMYREDAIKILRIAILCTARLPGLRPTMRSVVQMIEDAEPCRLMGIVISKESDVKIKEIS >scaffold_101051.1 pep chromosome:v.1.0:1:3849356:3853926:-1 gene:scaffold_101051.1 transcript:scaffold_101051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLGWLIGLSQRSRQTKSLDAEPYVARVKPVLMVDTVQEIAIYIHRFHNLDLFQQGWYQIKISMRWEDGDNNSCGIPSRVVQYEALDSTSNDSYGVWKIDDKDSSFLTQPFRIKYARQDVRLCMMVSFTMPLERYEGSATSAVILKFELLYSPIIEDIPVTHSDACPAAVHEFRIPPKALSGVHSYCPVHFDTFHAVLIDVSVHVSVMKSAAYKRPAILSSDASNGKNLASGNVQSSKKAFTQIASADKKLVSFVKALLGARDTLLEEMQRLSKAVGQTIDLSEFVSSMDNALLSDSASTGKSVEVEGSGQGKQQNNLEKLNGPFDLASDDWLHNFSKEHLSRTFHLLGTQLHYLWNTFLTFHRDNYTKILEYLRDIWTKDRRAEWSIWMVYSKVEMPHHFISGMDDISNHSSHKRVSSVLKPNDPAQVAATRAELHRRSIAQMRINNRAIQDMHIFGDPMRVPIVIIERVWNAPRRTFSDNSYMRHVDRIDSSLLNGHDDESGTKKHNNPQHTGRELKIVVFVHGFQGHHLDLRLIRNQWLLIDPKIEFLMSEANEEKTHGDFREMGQRLAQEVVSFFKRKKDKHARYGRLKNIKLSFVGHSIGNVIIRAAIADSLMDPYRKYFHTYLSLSGPHLGYLYSSNSLFNSGLWLLKKLKSTQVIHQLTLTDDPDLQNTFFYKLCKQKTLGSFKNIILLSSPQDGYVPYHSARIESCQPASFDNSKRGVAFLEMLNNCMDQIRGPSPETPHHQRVFMRCDVNFDTTLYGRNLNSFIGRAAHIEFLESDIFARFIMWSFQDLFR >scaffold_101052.1 pep chromosome:v.1.0:1:3855253:3857894:1 gene:scaffold_101052.1 transcript:scaffold_101052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIYIDVIYSSLKLPFSQMKSFNTEHNPSAVESGNRFDDDGREKRTGTFMTASAHIITAVIGSGVLSLSWAIAQLGWLAGTVILVTFASINYYTSTMLADCYRSPDTAPGTRNYTYMDVVRAYLGGRKVQLCGLAQYGSLVGVTIGYTITASISLVAIGKANCFHDKGHDAKCSLSNYPSMAAFGIVQLMLSQIPNFHKLSFLSIIATVMSFCYASIGIGLSITTVTSGKVGKTGLTGTVVGVDVTASEKMWRSFQAVGDIAFSYAYSIVLVEIQDTLKSTPPENKVMKKASLAGVSTTTVFYILCGGIGYAAFGNKAPGDLLTDFGFYEPYWLIDFANACIVLHLIAAYQVFAQPIFQFVEKKCNKKWPESIFITSEHSMNIPLIGKCTINLFRLLWRTCYVVLTTVVAMIFPFFNAILGLLGALAFWPLTVYFPVAMHIEQAKVKKYSLRWIGLKLLVSLCLIVSLLATIGSIVGLITSVKAYKPFNN >scaffold_101054.1 pep chromosome:v.1.0:1:3862860:3864694:-1 gene:scaffold_101054.1 transcript:scaffold_101054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFIRLTIGNLALKVPLAAKTTSSVVHPSSSPCFCKIKLKNFPPQTAAIPYIPLETTQFPEIQTLAATFHLSSSDIQRLASRSIFTSKPCLKILIYTGRAGAACGVHSGRLLAKVSVPLDLSGTLSKPCVFHNGWISVGKGAGKSSSSAQFHLNVKTEPDPRFVFQFDGEPECSPQVVQIQGNIRQPVFTCKFSCRHTGDRTQRSRSLPTETSVPRSWLNSFGSERERPGKERKGWSITVHDLSGSPVAMASIVTPFVASPGTDRVSRSNPGSWLILRPGDCTWRPWGRLEAWRERGGATDGLGYRFELIPDGSSGAGIVLAESTISSHRGGKFSIELGSSSSSSSPTSGVNRSRSHRGGSSGSGGGASPANSPRGGSGDYGYGLWPWNVYKGFVMSASVEGEGKCSKPCVEVSVQHVSCMEDAAAYVALSAAIDLSMDACRLFNQRMRKELCHESLS >scaffold_101059.1 pep chromosome:v.1.0:1:3881244:3881496:-1 gene:scaffold_101059.1 transcript:scaffold_101059.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKL7] MYLLLCDIVETEISLSSSGDACPCHGNTKAAIGSSLFTSPLFVNLFPVSHPSPSLTESIGGPCEIMVDRT >scaffold_101060.1 pep chromosome:v.1.0:1:3883915:3885880:1 gene:scaffold_101060.1 transcript:scaffold_101060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:D7KKL8] MIKAISSLRKTLVLPLHLHIRTLQTFAKYNAQAASALREERKTPLYQNGDDEYVDLDWDNLGFGLTPADYMYVMKCSKVGEFTQGELSPYGNIQLSPSAGVLNYGQAIYEGTKAYRKENGKLLLFRPDHNALRMKLGAERMLMPSPSVDQFVNAIKQTALANKRWVPPSGKGTLYIRPLLMGSGPILGLGPAPEYTFIVYASPVGNYFKEGMAALNLYVEEEYVRAAPGGAGGVKSITNYAPVLKALSRAKSQGFSDVLYLDSVKKKYLEEASSCNVFVVKGRTISTPATNGTILEGITRKSVMEIASDQGYQVVEKAVHVDEVMDADEVFCTGTAVVVAPVGTITYQDKRVEYKTRDESVCQKLRSVLVGIQTGLIEDNKGWVTNIN >scaffold_101064.1 pep chromosome:v.1.0:1:3898631:3900495:-1 gene:scaffold_101064.1 transcript:scaffold_101064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGESNEGEMGFKHGDDESGGIPRVGITSMPLYAKADPFFSSADWDPVVNAAGGFSSSHYHPSMAMDNPGMSCFSHYQPGSGSGFAADMPASLLPYGDCGGGQIGHFLGSDKKGERLIRAGESSHEDHHQVSDDAVLGASPIGKRRLPEAESQWNKKAVEEFQEDPQRGSDQSQKKHKNDQSKETVNKESSQSEEAPKENYIHMRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPEINIDIDRILAKDLLQSRDRNTPTLGLNPFSGFQGTIPNLSTTTNPQYNPLPQTTLESELQNLYQMGFVSNPTTMSSFSPNGRLKPEL >scaffold_101066.1 pep chromosome:v.1.0:1:3919235:3919777:-1 gene:scaffold_101066.1 transcript:scaffold_101066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNQNRFLRIISTPLRALGKARDFYVRSITGCAARTQYSSSASVSAPFPRSRSSSSAAFSSSASSRRATDFGIDEDYSELVRAASVRSLGHKNEIDMLLQEKLQQQRQQKQGGLPKSSSVGMAKIEEEEETEEGSVNPKMKKTKKVSDLLYPRSKSYAVTGSPKL >scaffold_101080.1 pep chromosome:v.1.0:1:3974374:3974799:-1 gene:scaffold_101080.1 transcript:scaffold_101080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPSWFNADWKAEFHRLYHLESVEELELGWRDMVNPFGLHTSRHINNLYASRSLWSLPYLRSHFLDGMTLTGRSKAINAFIQRLLSAQILLAHFVEQVCSLLHSDSVNSLLV >scaffold_101081.1 pep chromosome:v.1.0:1:3976169:3977066:1 gene:scaffold_101081.1 transcript:scaffold_101081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLATSTDRISLSFLGPRISAANDFASSLSFAYDRRLPRRVTASADDNSSITTVAENRLQNRLFMVYCCLGFMWMVKNESLPRYFRFHMTMGLLLDALEYLPWININGKLIRMYYTMLVMGLSFTHLLIDFVYWWFLPILVCIAVVVAIIFTELFTLLGFS >scaffold_101086.1 pep chromosome:v.1.0:1:3996204:3998251:1 gene:scaffold_101086.1 transcript:scaffold_101086.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar GTP-binding protein 1 [Source:UniProtKB/TrEMBL;Acc:D7KKP1] MVKYNFKKITVVPNGKQFVDIVLSRTQRQSKTVIHKSDKISKLRSFYMMKVKLTQSNFNDKLSAIIHEFPRLDEIQPFYESLLHVLYNKDHYKLALGQVNTAKNKISKISADYVKLLKHGDTLYRCKCLKVAALGRMCTVMKGIGPSLAYLEQVRQHLARLPSIDPNTRTLLICGCPNVGKSSFMNKVTRADVDVQPYAFTTKSLFLGHTDYKCLRYQVIDTPGLLDREIEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYTIAQQASLFHNIKSVFKNKPLVIVCNKTDLMPMENLSEEDRKLIEEMKDEAMKTAMGEDEDAVLLKMSTLTEEGVMTVRNAACQRLLDQRVAAKMKSKKIVDHLNRFHVAMPKARDDQDRLPFIPQVAKEAGEKEKRKTEKDLEDENGGAGVYSASLKKNYILAKEEWKEDIIPEICDGHNVADFVDSDILNRLEELTSEESLRKAEEEEENGFEIEGEKLTEKEKNDLAAIRKKKALLIQESRLKKSNAQNRAAVPRKFGKDKKFTRKRMGRELSSLGLDPSSALNHARSKSRGRKRERYDGLSIDAMDVDVNDDEQQKKKMCLRSRSRSLSRSRSVSRPPHEVLPGEGFKDSSQKIKAIKIGHKSHRKRDKAARRGEADRVIPSLKPKHLFSGKRGNGKNQRR >scaffold_101089.1 pep chromosome:v.1.0:1:4008200:4009643:1 gene:scaffold_101089.1 transcript:scaffold_101089.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KKP4] MRCTSFSSFNFSLEDALHLLQRFLYSSNQIKQIHAVLFTSKALVASRWKTKCVYNTLIRSYLTTGQYKASLALFTHMLASQVQPNNLTFPSLIKAACSSFSVSSGVALHGQAFRRGVLWDPFVQTSFVRFYGEVGDLKSSRKMFDDILDPCVVACNSLLDACGRNGEMNSAFELFQKMPVTDVVSWTTVINGFSKNGLHAKALMVFGEMIQNQRAVITPNEATFVCVLSSCANFDQGGIRLGKQIHGYILTKEIILTPILGTALLDMYGKAGDLEMALTIFHQIRDKKVCAWNAIIPALASNGRPKQTLEMFEMMKSSNVHPNGITLLAILTACARYKLVDLGIQLFSSICSEFKIIPTSEHYGCIVDLIGRAGLLVDAANFIKNLPFEPDASVFGALLGACKIHENAELGNKVGKQLIVLQPQHCGQYVALSMLNALDSNWSEAEQMRKAMIEAGIRKIPAYSVLS >scaffold_101093.1 pep chromosome:v.1.0:1:4017017:4018083:-1 gene:scaffold_101093.1 transcript:scaffold_101093.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase [Source:UniProtKB/TrEMBL;Acc:D7KKP8] MARSDVKLIGAWASPFVMRPRIALNLKSVPYEFLQETFGSKSELLLKSNPVHKKIPVLLHGDKPVCESNIIVEYIDDTWSSSGPSMLPSDPYDRAMARFWAAYIDEKWFVALKSFLKAGGEEEKKAVIAQLEEGNAFLEKAFIDCSKGKPFFNGDNIGYLDIALGCFLAWLRVTELAVSHKILDEAKTPSLSKWAENFCNDPAVKPVMPETAKLAEFAKKIFPKPQA >scaffold_101094.1 pep chromosome:v.1.0:1:4021918:4023312:1 gene:scaffold_101094.1 transcript:scaffold_101094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKCSSSSFFFVLFFFFLLSSHVSSQACQKTCGQIPIKYPLGTGSGCGDPRFTRYITCDPDQQTLTLTTHTGCYPITSVDYAKQEIYVTDPSMSTCACTRPSHGFGLDWDAPFSFHDDTVFTLLDCSVDESPVFTPLSNGSGRVSLCDRQSSSICTFLYSNCRAISLINLQVSTCCVYVPLDLGPSFEMDLNKLKCSSYSGFYNLGPGQESHPENWNYGIALKYKFNVFDEYPGVCGGCERSNGACGFNTQTSSFVCNCPGGINTTSDCFFLYNSASILVPWLSSKRMGNVPFAWFVVWMVMSLQ >scaffold_101096.1 pep chromosome:v.1.0:1:4025649:4025872:-1 gene:scaffold_101096.1 transcript:scaffold_101096.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKQ1] MKTDEEDWKCEGEGGKFGYRKATERRRLLDSGKNGSAWVSRDIYCVHVLAITGPLGLNYL >scaffold_101098.1 pep chromosome:v.1.0:1:4029990:4031024:-1 gene:scaffold_101098.1 transcript:scaffold_101098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRGYSSSDTLVESIWFYSEMGRRGIKPNKLTFPFLLKIQVEVLKHGFDSDVYVGNNLIHLYGSCKKTSDARKVFDEMTDRNFVSWNSIMTALVENGKFNLVFECFCEMIGKRFCPDETTMVVLLSACGGNLSLGKLVHSQVVVRELELNCRLGTALVDMYAKSGGLEYARLVFERMVDKNVWTWSAMIVGLAQYGFAEEALQLFAKMMKESTVRPNYVTFLSVLCACSHTSLVDDGYKYFHKMEKAHKIKPMMIHYRAMVDILGRAGRLNEAYDFIKKKPFEPDAVVWRTLLSACSIYHNIRTTICIQSYYCLNF >scaffold_101100.1 pep chromosome:v.1.0:1:4037260:4037466:1 gene:scaffold_101100.1 transcript:scaffold_101100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KKQ5] MEPENNELDLKTDEAISAESEARRLQLARANDWPLRICFFVGMMTSLPACGSNC >scaffold_101106.1 pep chromosome:v.1.0:1:4057989:4059823:-1 gene:scaffold_101106.1 transcript:scaffold_101106.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7KKR1] MPSNGDLDRQIEQLMECKPLSEADVRTLCDQARAILVEEYNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAAQFNHNNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMEQNFLQFDPAPRQVEPDTTRKTPDYFL >scaffold_101108.1 pep chromosome:v.1.0:1:4071145:4071625:1 gene:scaffold_101108.1 transcript:scaffold_101108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNDINLDLSLSQYVEDDPWVLTKKLSDSDLCYRAQLYLPKQEMEQFILPEMENDLVRKLGAGVEIKLRDIDNVSDDSYTVRLKVRNGQYYLEKGWRLIKNDKCLKTGDHIGLFWDKLTGEFKFKHSESQSIVKRVLIRHRRTN >scaffold_101109.1 pep chromosome:v.1.0:1:4072168:4072826:-1 gene:scaffold_101109.1 transcript:scaffold_101109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKSDPDPLQDYCVSPCHSSHQQIFLNGVLCKDPTEATVSDFTTSALSRPGNTQTKPLMTNVTVTTTANLPGLNTMGLTMARLDFGGSGVVPPHVHPRASEVTVCLDGVLLVGFVDTSGRVFTQELHPGETFVFPKGLIHFLYNIDTVSSALAVSGLNSQNPGTQIVSLSSFIPKPPFLQEVLKSAFDINGQDVARIRKSLQR >scaffold_101110.1 pep chromosome:v.1.0:1:4074771:4075937:-1 gene:scaffold_101110.1 transcript:scaffold_101110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGGVSCLRMSEMMNVGGIESAPLDLDEVHVLAVDDSLVDRIVIERLLRITSCKVTAVDSGWRALEFLGLDNEKASAEFDRLKVDLIITDYCMPGMTGYELLKKIKESSNFREVPVVIMSSENVLTRIDRCLEEGAQDFLLKPVKLADVKRLRNHLTKDVKLSNGNKRKLPDDSDSVNSSLPPPSPPLTISPESSPPLTISTESSDSSPQLSPVEMFSTSPLSTPIDDDDVLTTSPESSPEDSPIRRQKMRSPGLD >scaffold_101111.1 pep chromosome:v.1.0:1:4080443:4080687:1 gene:scaffold_101111.1 transcript:scaffold_101111.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KKR6] MSRKFFFDVVIIISSDAGHHRRFRFIKSEPLWFTKSEIQVAKSESLVPIFSNLILHGKNLSDFIHKS >scaffold_101119.1 pep chromosome:v.1.0:1:4114703:4115045:1 gene:scaffold_101119.1 transcript:scaffold_101119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERWLKLLDPKGKYFSDRIISRDDGTVRHKKSLDVMGNEEAVLFVDESKIVWQKKYGEFFASSCKQFKEDSKLLPDESESDGALSTVLNVLKQTHGILF >scaffold_101126.1 pep chromosome:v.1.0:1:4137977:4138175:-1 gene:scaffold_101126.1 transcript:scaffold_101126.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLF7] MFKDTWIPAFGVFPIAVTKTFIFVSVCASENTITLLATYVAVLEALFMLSCL >scaffold_101130.1 pep chromosome:v.1.0:1:4147841:4149342:1 gene:scaffold_101130.1 transcript:scaffold_101130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLSSPSPSLSCVENVTCKSSHVSRVLISGTDKNNHGESSEPKILRDVHISERLLEDFTELARENTEKDLETCGTLAAFLERGIFYVTTLIIPKQESTSNSCQAMNEVEVFSIQNERELYPVGWIHTHPSQGCFMSSVDLHTHYSYQVMVPEAFAIVVAPTDSSKSYGIFKLTDPGGMEVLKGCSETGFHPHKEPEDGNPVYEHCSNVYKNSNLRFEIFDLR >scaffold_101132.1 pep chromosome:v.1.0:1:4153016:4156358:-1 gene:scaffold_101132.1 transcript:scaffold_101132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVQQQADLIGKTISPFVASQPTNVGGLTDQKIIGGSETTQPPATSPPSPPSPDSGGAQASPPPATAAQPPPNQPPNTTPPPTPPSSPPPSITPPPSPPQPQPPPQSTPTGDSPVVVPFPKPQLPPPSLLPPPSLVNQLPDPRTNDDNILQPINNPISPPSPPSTPFSPPSTENSGSQGSPPLSSLLPPLLPLSPNSPGNPSQPLDSPPGGGSNRVPSSSSSPSPPSLSGSNNHSGGSNRHNANNNGNDGNGQQSNESNYTEKTMIGIGIAGVLAVIFIAAVFFVRRKQKKGSSSPRSNQYLPPANVSVNTEGFIHYRQKPGNGNSSAQNSSPDTNSLGNPKHGRGTPDSAVIGTSKILFTYEELSQITEGFCKSFVVGEGGFGCVYKGILFEGKPVAIKQLKSVSAEGYREFKAEVEIISRVHHRHLVSLVGYCISEQHRFLIYEFVPNNTLDYHLHGKNLPVLEWTRRVRIAIGAAKGLAYLHEDCHPKIIHRDIKSSNILLDDEFEAQVADFGLARLNDTAQSHISTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDTSQPLGEESLVEWARPRLIEAIEKGDISEVVDPRLENDYVEGEVYRMIETAASCVRHSALKRPRMVQVVRALDTRDDLSDLTNGVKVGQSTVYDSGQYSNEIRIFRRASEDSSDLGTNTGYYPSQDYATSHESESRAFNTSHRNH >scaffold_101133.1 pep chromosome:v.1.0:1:4157648:4158756:-1 gene:scaffold_101133.1 transcript:scaffold_101133.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor [Source:UniProtKB/TrEMBL;Acc:D7KLG4] MGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >scaffold_101135.1 pep chromosome:v.1.0:1:4163294:4163762:-1 gene:scaffold_101135.1 transcript:scaffold_101135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMRRSLSLRSITMMILMAVLVWSVTLETCIARRGRHWRHSHRSSSDLSDSLSSKKPKSHGNSHHHSSHNNHNDNHHHKSKPKPKPKLKMPPKSSDNNSPVVSRPPKVQPPPLPPQKGSQVFNVMDFGAKGDGKCDDTKVQ >scaffold_101138.1 pep chromosome:v.1.0:1:4184189:4185952:1 gene:scaffold_101138.1 transcript:scaffold_101138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTTASSYWLNWRVLLCALILLAPLVLAAVLIWKYEGKRRRQRESQRVLPGTLFQDEAWTTCFKRIHPLWLLAFRVFSFVAMLTLLISNVVRDGAGIFYFYTQWTFTLVTLYFGYASVLSIYGCCIYNKEAGGNMETYTSIGDTEQGTYRPPIALDGEGNTSKSSNIHSEAPVRESAGFWVYTFQILFQTCAGAVVLTDIVFWAIIYPFTKGYKLSFLDVCMHSLNAVFLLGDTSLNSLRYPLFRISYFVLWSCIFVAYQWIIHAVKNLWWPYQFLDLSSPYAPLWYLGVAVMHIPCFAVFALIIKLKNHLLQRHKS >scaffold_101140.1 pep chromosome:v.1.0:1:4189575:4195052:-1 gene:scaffold_101140.1 transcript:scaffold_101140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:p-glycoprotein 10 [Source:UniProtKB/TrEMBL;Acc:D7KLH1] MQPSTGDPAIVDMAVAEKEKKRPSVSFLKLFSFADFYDCVLMALGSIGACIHGASVPVFFIFFGKLINIIGLAYLFPQEASHKVAKYSLDFVYLSVVILFSSWLEVACWMHTGERQAAKMRKAYLRSMLSQDISLFDTETSTGEVISAITSDILVVQDAISEKVGNFLHFISRFIAGFAIGFASVWQISLVTLSIVPLIALAGGIYAFVGTGLIVRVRKSYVKANEIAEEVIGNVRTVQAFTGEEKAVSSYQGALKNTYNYGRKAGLAKGLGLGSLHFVLFLSWALLIWFTSIVVHKGIASGGESFTTMLNVVIAGLSLGQAAPDISTFMRASAAAYPIFQMIERNTEEKTGRKLGKVNGDILFKEVTFNYPSRPDVVIFDKLNFVIPAGKVVALVGGSGSGKSTMISLIERFYEPTDGAVMLDGNDIRYLDLKWLRGHIGLVNQEPVLFATTIRENIMYGKDDATSEEITNAAKLSEAISFINSLPEGFETQVGERGIQLSGGQKQRISISRAIVKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVVAHRLSTVRNADIIAVVGGGKIIESGSHDELISNLDGAYSSLLRIQEAASPNLNHTPSLPVSTKFLPELPIAETTLCPINQSINQPDTTKQAKVTLGRLYSMIRPDWKYGLCGTLGSFIAGSQMPLFALGIAQALVSYYMDWETTQNEVKRISILFCCGSVITVIVHTIEHTTFGIMGERLTLRVRQNMFSAILRNEIGWFDKVDNTSSMLALRLESDATLLRTIVVDRSTILLENLGLVVTSFIISFILNWRLTLVVLATYPLIISGHISEKIFMQGYGGNLSKAYLKANMLAGESISNIRTVAAFCAEEKVLDLYSKELLEPSERSFRRGQMAGILYGVSQFFIFSSYGLALWYGSILMEKGLSSFESVMKTFMVLIVTALVMGEVLALAPDLLKGNQMVASVFELLDRRTKVVGDTGDELSNVEGTIELKGVHFSYPSRPDVTIFSDFNLNVPSGKSMALVGQSGSGKSSVLSLILRFYDPTAGIIMIDGQDIKKLKLKSLRKHIGLVQQEPALFATTIYENILYGKEGASESEVMEAAKLANAHSFISSLPEGYSTKVGERGIQMSGGQRQRIAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMRNRTTVVVAHRLSTIKNSDMISVIQDGKIIEQGSHNSLVENKNGPYSKLINLQQQQPHHP >scaffold_101141.1 pep chromosome:v.1.0:1:4201314:4201753:-1 gene:scaffold_101141.1 transcript:scaffold_101141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSGKPHHQLDGEIRETTDGKKWVIAGIPSRSPLKQINLSSGVTVTETEEQDQCPTTPTAVSVRIPRVPPCPAAPKKRKPSLKCSYGAGARDFFSPPDLETVFIPRLY >scaffold_101151.1 pep chromosome:v.1.0:1:4234305:4236690:-1 gene:scaffold_101151.1 transcript:scaffold_101151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFKRLIFFGKELPIRSVQITETTDLNEVVEKEPWLSSEKLVVKPDMLFGKRGKSGLVALKLDFADVATFVKDRLGKEVEMSGCKGPITTFIVEPFVPHNEEFYLNVVSDRLLCSISFSECGGIEIEENWDKVKTIFLPTGASLTPEICAPLVATLPLEIKAEIEEFIKVIFTLFQDLDFTFLEMNPFTLVDGSPYPLDMRGEWGDIKFPMPFGRVMSPTKSFIHRLDEKTTSASLKFTVLNPKGRIWTMVAGGGARVIYADTVGDLGYASELGNYAEYSGAPKEDEVLQYTRVVIDCATANPDGKSRALVIGGGIANFTDVAACYFQWHNPRSKAESSKDAYICEKRRTKLPKGLAIMRALGDEIGVPIEVYGPEATMTGICKEAIQYITAAA >scaffold_101153.1 pep chromosome:v.1.0:1:4242831:4245541:1 gene:scaffold_101153.1 transcript:scaffold_101153.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7KLI4] MAGAASALFLLDIKGRVLVWRDYRGDVTAAQAERFFTKLIEKEGDSQSNDPVAYDNGVTYMFVQHSNIYLMIASRQNCNAASLLFFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEARILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGLQFKKNEVFLDVIESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQIERHSRSRVEMLVKARSQFKERSTATNVEIELPVPTDASNPNVRTSLGSAAYAPEKDALVWKIKSFPGNKEYMLRAEFHLPSITAEEATPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLM >scaffold_101158.1 pep chromosome:v.1.0:1:4254961:4255351:1 gene:scaffold_101158.1 transcript:scaffold_101158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSHTSPIFILMLSLFALDQCVRMEAREIERWNKIYIPTCFHVNCDPTFSLKRDCYCCGTAIDQCYDDQQYCNAHCPPPKV >scaffold_101159.1 pep chromosome:v.1.0:1:4257757:4258018:1 gene:scaffold_101159.1 transcript:scaffold_101159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCFKYVGMQVDVRDIENSSKFYIPPCGPAQCGKLFYKWSCWCCMRNPKLCTKYQKDCDSDPRCPRISLEN >scaffold_101161.1 pep chromosome:v.1.0:1:4259350:4262822:-1 gene:scaffold_101161.1 transcript:scaffold_101161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVFVLRKPRNGNKCRCFDRCITMRQTETDLFSEETRMITRRGRRRKRRSFFLDMILLFRTCSSNILFLSLLLLSSSFSSVLSENLSPRNQTLRPLEELNKLKAINQHLRKINKPSIKTIHSPDGDIIDCVLLHHQPAFDHPRLRGQKPLDPPERPRGHNRRGLRPKSFQLWGMEGETCSEGTVPIRRTKEEDILRANSVSSFGKKLRHYRRDTSSNGHEHAVGYVSGEKYYGAKASINVWAPQVQNQYEFSLSQIWIISGSFGNDLNTIEAGWQVSPELYGDNYPRFFTYWTNDAYQATGCYNLLCSGFVQTNSEIAIGAAISPSSSYKGGQFDITLLIWKDPKHGNWWLEFGSGILVGYWPSFLFTHLKEHASMVQYGGEVVNSSPFGAHTSTQMGSGHFAEEGFTKSSYFRNIQVVDWDNNLVPSPNLRVLADHPNCYDIQGGSNRAWGSYFYYGGPGKNPKCP >scaffold_101162.1 pep chromosome:v.1.0:1:4266652:4275705:-1 gene:scaffold_101162.1 transcript:scaffold_101162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSAVHNLLNRGLIRPLNFDHQNKLNSSVFQTSTVNSAVGKIGRSKLYGKGLKKAGRSLITERPVSFVPRAVLAMDPQAAEKFTLDGNIDLLVEVTSTTVREVNIHIAYTSDSLFLHWGAIRDNKENWVLPSRSPDRTQNYKNSALRTPFVKSGGNSHLKLEIDDPAIHAIEFLIFDESRNKWYKNNGQNFHINLPTERNAKQNVSVPEDLVQIQAYLRWERKGKQMYTPEKEKEEYEAARMELQEEVMRGASVEDLRAKLLKKDNTNESPKSNGTSSSGRKEKKKVSKQPERKKNYNTEKIQRKERDLNKLIYKHVADSVEPKSKSSSEPRSLTTLEIYAKAKEEQETTPVFSKKTFKLEGSAILVFVTKLSGKMKIHVATDFKEPVTLHWALSQKGGEWLDPPSDILPPNSLPVRGAVNTKLTITSTDLPSPVQTFELEIEGDSYKGMPFVLNAGERWIKNNGSDFYVDFAKEEKHVQKDYGDGKGTAKHLLDKIADLESEAQKSFMHRFNIAADLVDEAKSAGQLGFAGILVWMRFMATRQLVWNKNYNVKPREISKAQDRLTDLLQDVYATYPEYRELLRMIMSTVGRGGEGDVGQRIRDEILVIQRKNNCKGGIMEEWHQKLHNNTSPDDVVICQALMDYIKSDFDISVYWKTLNDNGITKERLLSYDRAIHSEPNFRGEQKDGLLRDLGHYMRTLKAVHSGADLESAIQNCMGYQDDGEGFMVGVQINPVSGLPSGYPDLLRFVLEHVEEKNVEPLLEGLLEARQELRPLLLKSHDRLKDLLFLDLALDSTVRTAIERGYEQLNDAGPEKIMYFISLVLENLALSSDDNEDLIYCLKGWKFALSMCKSKKDHWALYAKSVLDRSRLALASKAERYLEILQPSAEYLGSCLGVDQSAVSIFTEEIIRAGSAAALSSLVNRLDPVLRKTANLGSWQVISPVEVVGYVIVVDELLTVQNKTYDRPTIIVANRVRGEEEIPDGAVAVLTPDMPDVLSHVSVRARNGKICFATCFDSGILSDLQGKDGKLLSVQPTSADVVYKEVNDSELSSPSSDNLEDAPPSISLVKKQFAGRYAISSEEFTSDLVGAKSRNIGYLKGKVPSWVGIPTSVALPFGVFEKVISEKANQTVNEKLLVLKKTLDEGDQGALKEIRQTLLGLVAPPELVEELKSTMKSSDMPWPGDEGEQRWEQAWAAIKKVWASKWNERAYFSARKVKLDHDYLCMAVLVQEVINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRSLSFICKKNNLDSPLVLGYPSKPIGLFIGRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDQVVLDYTTDPLITDESFQKKILSDIARAGDAIEKLYGTAQDIEGVIRDGKLYVVQTRPQV >scaffold_101166.1 pep chromosome:v.1.0:1:4284093:4284504:-1 gene:scaffold_101166.1 transcript:scaffold_101166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVAMGKSFFIQPGCVMDGALAIVALILQVLLEKKGTGFIVVVSLWRVLRVVETAFELSDEAIEVKIDGIISQFQALSKENRTLLETLAEKDEVIKMLEEELNRLKENGDIPFVTNYREAI >scaffold_101167.1 pep chromosome:v.1.0:1:4285294:4286710:1 gene:scaffold_101167.1 transcript:scaffold_101167.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7KLJ6] MAAASGVRRIKLGSQGLQVSAQGLGCMGLSILDGTTKLDADLVALIHHAINSGITLLDTSDIYGPETNELLLGQALRDGMREKVELATKFGILFRDEKLGYRGDPAYVRAACEASLKAIDTTVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPLTAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFAAGPKFIENMDNGDYRKGLPRFQQENLDHNKILYEKVNAMAEKKSCTPAQLALAWVHHQGNDVCPIPGTSKIKNLNQNIGALSVKLTIEEMAELDAMGHPDSVKGESSPTYIVTYKNSETPPLSSWTS >scaffold_101171.1 pep chromosome:v.1.0:1:4297518:4299970:-1 gene:scaffold_101171.1 transcript:scaffold_101171.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit H [Source:UniProtKB/TrEMBL;Acc:D7KLK0] MATMARSFLQAISKDEAVAPPLRVVQIEGLAVLKMIKHCKEFAPTLVTGQLLGLDVGSVLEVTNCFPFPVRDDDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTVLGSYQTVELIETFMNYQENIKRCVCIIYDPSKADLGVLALKALKLSDSFMELYRGGNFTGEKLREKNFSWMDIFEEIPIKVSNSALVSAFMTELETDTPVSQGDYDRLHSSTTPFLENNMEFLIKCMDDLSMEQQKFQYYYRNLSRQQAQQQAWLQKRRTENMARKSAGEEPLPEEDPSNPIFKAIPEPSRLESFLITNQVSNFCGQINGVAGQNFSRLYLTKALHEN >scaffold_101174.1 pep chromosome:v.1.0:1:4307378:4314561:-1 gene:scaffold_101174.1 transcript:scaffold_101174.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 4 [Source:UniProtKB/TrEMBL;Acc:D7KLK3] MATFINLEDSPMFQKQVCSLEGTADELKDRCQKLYKGVKKFMGTLGEASKGESAFADCLEEFGGGPDDPISLSIGGPVISKFINSLRELASYKEFLCSQVEHVLLERLMNFINVDLQEAKESRHRFDKAAHSYDQSREKFVSLKKNTRGEIVAELEEDLENSKSTFEKSRFNLVNSLMTIEAKKKYEFLESISAIMDAHLRYFKLGYDLLSQLEPFIHQILTYAQQSKEQSKIEQDRLARRIQEFRTQSELDSQQLAANAEPSGVNGNRGGIPYKNTETSLTADKEVIKQGYLLKRSSSLRTDWKRKFFVLDSHGSMYYYRTNGNKSMGSQHHYSGSSDHNTGVFGRFRARHNRSGSLTEGSLGYNTIDLRTSLIKLDAEDMDLRLCFRIISPQKTYTLQAENGADRMDWVNKITTAIGTLLNSHFLQQSPVRFLDKSNTSSAPANAVVSGDQIRHNDARQNIGDDVSTILRGIPGNNACAECNAPEPDWASLNLGVLLCIQCSGVHRNLGVHISKVRSLSLDVKVWEPTILDLFRNLGNVYCNSLWEGLLHLDDDCEDRSALPHASVSKPCPEDSFSVKEKYILGKYLEKALVIKDESEAKPSAASRIWEAVQSRNIREIYRLIVTTGDVNIINTKFDDITDIDAYHHVDAAEKAVKKRHDPTVCLRIKESNKAGNCLQGCSLLHVACHIGDSVLLELLLQFGADLNIRDYHGRTPLHHCISSGNHKFAKILLRRGARPSIEDDGGLSVLERAMEMGAITDEELFLLLAECA >scaffold_101182.1 pep chromosome:v.1.0:1:4340842:4345054:1 gene:scaffold_101182.1 transcript:scaffold_101182.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLLLLGLELRCPPHLFNTHSRPSSSLSLPALSLRILTSTAATTSTAVVESPATVAGAPRSKRHSNSYLTRKSAISEVQRSSDFLSSLHRLERVLKVQDLNVILRDFGISGRWQDLIQLFDWMQQHGKISVSTYSSCIKFVGAKNVSKALEIYQSIPDESTKINVYICNSILSCLVKNGKLDSCIKLFDQMKRGGLKPDVITYNTLLAGCIKVKNGYPKAVELIGELPHNGIQMDSVMYGTVLAICASNGRCEEAENFIQQMKAEGHSPNIYHYSSLLNSYSWKGDYKKADELMTEMKSIGLVPNKVMMTTLLKVYIKGGLFDRSRELLSELESAGYAENEMPYCMLMDGLSKAGKLEEARSIFDDMKGKGVKSDGYANSIMISALCRSKRFEEAKELSRDSETTYEKCDLVMLNTMLCAYCRAGEMESVMRMMKKMDEQAIIPDYNTFHILIKYFIKEKLHLLAYQTTLDMHSKGHRLEEELCSSLIYHLGKIRAPSEAFSVYNMLRYSKRTICKELHEKILHILIHGDLLKDAYIVVKDNAKMISQPTLKKFGRAFMISGNINLVNDVLKVLHGSGHKIDQVQFEIAISRYILLPDKKELLLQLLQWMPGQGYIVDSSTRNLILKNSHMFGRLLIAEILSKHHVASRPPIKSRSEPKFRST >scaffold_101184.1 pep chromosome:v.1.0:1:4358425:4360483:-1 gene:scaffold_101184.1 transcript:scaffold_101184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYELVKDIGAGNFGVARLMKVKNSKELVAMKYIERGPKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIAMEYAAGGELFERICSAGRFSEDEARYFFQQLISGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTIQKIMAVQYKIPDYVHISQDCKNLLSRIFVANSLKRITIAEIKKHPWFLKNLPRELTETAQAAYFKKENPTFSLQTVEEIMKIVADAKTPPPISRSIGGFGWGGNGDGEGKEEDAEDVEEEEEEEVEEEEDDEDEYDKTVKEVHASGEVRIS >scaffold_101187.1 pep chromosome:v.1.0:1:4367672:4369513:-1 gene:scaffold_101187.1 transcript:scaffold_101187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVDVLWKLFPLYSFGSERDSLSESILQIIPETMASSTTKILCDAGDSDLCRDDSAAFLLKFVAIASILLAGAAGVAIPLIGRNRRFLQTEGNLFVAAKAFAAGVILATGFVHMLAGGTEALSNPCLPDYPWSQFPFPGFFAMVAALVTLLVDFMGTQYYERKQERNQAAGETAVVEPGREETAVVPVVGERVNDNKVFGEEDGGGIHIVGIRAHAAHHRHSHSNSHGTCDGHAHGHSHGHGHGHVHGNSDVENGARHVVVSQILELGIVSHSIIIGLSLGVSQSPCTIRPLIAALSFHQFFEGFALGGCISQAQFRNKSATIMACFFALTTPIGIGIGTAVASSFNSHSPGALVTEGILDSLSAGILVYMALVDLIAADFLSKRMSCNVRLQVVSYIMLFLGAGLMSALAVWA >scaffold_101189.1 pep chromosome:v.1.0:1:4373102:4376918:-1 gene:scaffold_101189.1 transcript:scaffold_101189.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:D7KLL5] MEHMMKEGRSLAETPTYSVASVVTVLVFVCFLVERAIYRFGKWLKKTRRKALFTSLEKMKEELMLLGLISLLLSQSARWISEICVNSSLFNSKFYICSEEDYGIHKKVLLEHTSSTNQSSLPHHGMHEASHQCGHGREPFVSYEGLEQLLRFLFVLGITHVLYSGIAIGLAMSKIYSWRKWEAQAIIMAESDIHAKKTKVMKRQSTFVFHHASHPWSNNRFLIWMLCFLRQFRGSIRKSDYFALRLGFLTKHNLPFTYNFHMYMVRTMEDEFHGIVGISWPLWVYAIVCICINVHGLNMYFWISFIPAILVMLVGTKLEHVVSKLALEVKEQQTGTSNGAQVKPRDGLFWFGKPEILLRLIQFIIFQNAFEMATFIWFLWGIKERSCFMKNHVMISSRLISGVLVQFWCSYGTVPLNVIVTQMGSRHKKAVIAESVRDSLHSWCKRVKERSKHTRSVCSLDTATIDERDEMTVGTLSRSSSMTSLNQITINSIDQAESIFGAAASSSSPQDGYTSRVEEYLSETYNNIGSMPPLNDEIEIEIESEEDNGRRESGSEENNGETLLELFRRT >scaffold_101196.1 pep chromosome:v.1.0:1:4411833:4412846:1 gene:scaffold_101196.1 transcript:scaffold_101196.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7KLM2] MSDHQLETEMNFWGETSEEDYFNLKGIIGSKSFFTSPRGLNLFTRSWLPSSSSPPRGLIFMVHGYGNDVSWTFQSTPIFLAQMGFACFALDIEGHGRSDGVRAYVPSVDLVVDDIISFFNSIKQNPKFQGLPRFLFGESMGGAICLLIHFADPVGFDGAVLVAPMCKISDKVRPKWPIDQFLIMISRFLPTWAIVPTEDLLEKSIKVEEKKPIAKRNPMRYNEKPRLGTVMELLRVTDYLGNKLKDVSIPFIVVHGSADAVTDPDVSRELYEHAKSKDKTLKIYEGMMHSMLFGEPDDNIEIVRKDIVSWLNDRCGGGDKTKT >scaffold_101201.1 pep chromosome:v.1.0:1:4435112:4439210:1 gene:scaffold_101201.1 transcript:scaffold_101201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTRWQVFFVLSVLALTMPFSAGVTNLRDVSAINNLYITLGAPSLHHWLAFGGDPCGEKWEGVVCDSSNITEIRIPGMKVGGGLSDTLADFSSIQVMDFSSNHISGTIPQALPSSIRNLSLSSNRFTGNIPFTLSFLSDLSELSLGNNLLSGEIPDYFQQLSKLTKLDLSSNILDGHLPSSMGDLASLKILYLQDNKLTGTLEVIEDLFLTELNVENNLFSGPIPPNLLKVPNFKKDGTPFNTSIITPPPPPVVDPPPATHHAPPVPRIPPVSGVPPAPFAPFAPLQPQHPPPSPPLVWSPPSSDNGGGDPWNTGSGQPTLQISPPSGSGSGKFWSTQRIILVVSSVAIIVLVSGLCVTLWRCCRSKISNRYYTGARKDLQRPYFNKPPSQPTPTMGKVSREPMVKPFDGYGAGDRKYGYPMPPRAEESRRAMPPTSYYNKDVNTPQKPLQQPPRQFQSNDSASKRAAHFPPGLNSSSSATVFTIASLQQYTNNFSEENIIGEGSIGNVYRAELRHGKFLAVKKLSNTINRTQSDGEFLNLVSNVLKLKRGHILELLGYCNEFGQRLLVYEYCPNGSLQDALHLDRKLHKKLTWNVRMNVALGASKALQFLHEVCQPPVVHQNFKSSKVLLDGKLSVRVADSGLAYMLPPRPTSQMAGYAAPEVEYGSYTCQSDVFSLGVVMLELLTGRRPFDRTRPRGHQTLAQWAIPRLHDIDALTRMVDPSLHGAYPMKSLSRFADIISRSLQMEPGFRPPISEIVQDLQHMI >scaffold_101204.1 pep chromosome:v.1.0:1:4444320:4445904:-1 gene:scaffold_101204.1 transcript:scaffold_101204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEKPILKLKLLVDRKTNKVVLAEAGKDFVDVLFGFMALPMGTIVRLLEKNSRNQKSQATRPATIGCFNNLYKSVVDMDEDDFMTEASKDMLLYPKFVKEKQCRQLKLYIGDDTEEFKVPDGVCDELFVSQKTSFHITETMEVAEFASIMIARRILLRLGYDSFKKLDIMSVDVGHEEVLSLLHCLFFSETPFTDVFLKKHSSCGMTRSHDMLTLPVEDGEGGKYFVTIPPTVYVEKQDQEDLYYAESRQAFRGSFLKKHSSCVEDEGEAGGPNEVVSLTIFVRRQDRKVLYAESGQDFVDLLFTFLAIPLESVWEITRIGGTNASSNTCMLPLQYSLQKSLLGVSYLNNDGSCQWTSHSNSGIVKRGATYMITDDLTITAANSCSTISLLEKLHTNLSYMEEHVINISKAEAINLLNASLVTSTPLTTTLSLLDEYEC >scaffold_101214.1 pep chromosome:v.1.0:1:4484841:4485712:-1 gene:scaffold_101214.1 transcript:scaffold_101214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPPAGKPLVSARSGHRKLVKVTPKGSHSQILRKKAMIYRKKALRVVTPKRENKWKIISEEGMSTPLTSHYPRPDTFGLVAGGYVLKPALRISETFRDVTNYHQSSMTVTPPLKMKKEMLPSRE >scaffold_101217.1 pep chromosome:v.1.0:1:4491065:4492788:1 gene:scaffold_101217.1 transcript:scaffold_101217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASVKESISSLISLSHSIKSFTVKWQLIRTKLEELYSGITSVENLNSDFDPSLSTLINAILDSLQESHDLASRCLNVSFSGKLLMQSDLDVMSGKFDRHVRNLSRIYSSEILRHGFAIVVSKPSDKACRDDMRFYVRDLLTRMKIGDVEMKKQALVKLNESMEEDDRYMKIVVETSDMVNVLIEFLDSEIGIQEEACKALFLISGFDSYKPVLIRSCVVGPLVRVLENGNVVGREASARCLMKLTENSENAWSVSAHGGVAALLKICSCGEFGGELIASSCGVLRNLVGVEEIKRYMIEEDMVSTFIKLIGSRDEIVQVNSIDLLSSMCCRDEESREILVRGGGIQELVLVSDPNTFSSSKSKEMALRAIHNLCFGSVSYLNALLSSRFLDHLLYLLRNGETSVQESALKVTSRLCSLPADVKRIMGDAGFIPELVRFLDAKSLRVREMASEALYYLISVPKNRKKFLQEDCNISYILQLLDQEERMNERSDLGNTKSLILILISLTSCNSARRKISASGYLKIIEKLAEREDSDAKKLVKKLSRNRFHTILGGIWHS >scaffold_101218.1 pep chromosome:v.1.0:1:4502046:4504844:1 gene:scaffold_101218.1 transcript:scaffold_101218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGFVVGDGQNAYPGKLTPFVLFTCVVAAMGGLIFGYDIGISGGVTSMPSFLKRFFPSVYRKQQEDASTNQYCQYDSATLTMFTSSLYLAALISSLVASTVTRKFGRRLSMLFGGILFCAGALINGFAKHVWMLIVGRILLGFGIGFANQAVPLYLSEMAPYKYRGALNIGFQLSITIGILVAEVLNYFFAKIKGGWGWRLSLGGAVVPALIITIGSLVLPDTPNSMIERGQHEEAKTKLRRIRGVDDVSQEFDDLVAASKESQSIEHPWRNLLRRKYRPHLTMAVMIPFFQQLTGINVIMFYAPVLFNTIGFTTDASLMSAVVTGSVNVAATLVSIYGVDRWGRRFLFLEGGTQMLICQAVVAACIGAKFGVDGTPGELPKWYAIVVVTFICIYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSITVSVNMIFTFIIAQIFLTMLCHLKFGLFLVFAFFVVVMSIFVYIFLPETKGIPIEEMGQVWRSHWYWSRFVEDGEYGNALEMGKSSNQAGTKHV >scaffold_101219.1 pep chromosome:v.1.0:1:4506738:4507496:-1 gene:scaffold_101219.1 transcript:scaffold_101219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAGGFIGSHLCEKLMMETPQKVLALDVYNEKIKHLLEPDTVEWSGRIQFHRINIKHDSRLEGLIKMADLTIHLAVICTPADYNTLPLETIYNNFIDARPVVKYCSENNKRLIHFSTCEVYGKTTGSFLPKDHPLA >scaffold_101234.1 pep chromosome:v.1.0:1:4565285:4566077:-1 gene:scaffold_101234.1 transcript:scaffold_101234.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7KMD9] MELNRWLPMILLIKLIELSRLLQSDLIVAKDGSSNFTTVNEAIASAPENNAKRFVIYVKKGIQRSYTYQEEEKRLNHHWRR >scaffold_101236.1 pep chromosome:v.1.0:1:4568693:4571549:-1 gene:scaffold_101236.1 transcript:scaffold_101236.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 family protein [Source:UniProtKB/TrEMBL;Acc:D7KME1] MSRFLISRIVGKQTQSLLSNQKERVSQWGSYRTAFTAALRSPQFRTYSSSGRVPSNGYCILAFRDLKGSFLSKHLSRSYSTVPARNVVSHHAQVAWKRLHYKYSERISTMAQAFSLSLTRSHLLIPGIVALTCGQVAWAQRAPGSGINHYASDKSLYTRAKNGPIFLSSLLFSVIEGFILIGRAFYIACLFTPSILMGLVVESCGPRFRKIWLQMVHRTLERAGPAFIKWGQWAATRPDLFPKDLCLQLSKLHSNAPEHSFAYTKKTIEKAFGRKLSEIFEEFEEVPVASGSIAQVHRASLRFQYPGQKSKSSLVAVKVRHPGVGESIRRDFVIINLVAKISTLIPALKWLRLDESVQQFGVFMLSQVDLAREASHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETYEHGESVARYVDGMEGHEWIKTRLAHIGTHALLKMLLVDNFIHADMHPGNILVRKKASGGGLFKTKKPHIVFLDVGMTAELSKNDRENLLDFFKAVARRDGRTAAERTLKLSRKQNCPNPEAFIEEVEEAFKFWGTPEGDLVHPADCMHELLEKVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPGYDVMHTLQTMVLKTDWAKSLSYTVDGLMAP >scaffold_101242.1 pep chromosome:v.1.0:1:4587673:4589624:1 gene:scaffold_101242.1 transcript:scaffold_101242.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7KME7] MSEDMGGVKVVSKWPPVIVMVASQVAMGSVNALVKKALDVGVNHMIVGAYRMAISSLILAPIAYFLERKIIPQITFRLMVDHFISGLLGASLMQFFYLLGLSYTSATVACALVSLMPAITFAFALIFRTEKIKNLKTQAGMIKVMGTIICIGGALFLTFYKGPHISNSHSHLQALPHTNSNHNTKNWLLGCLYLTIGIVLLSLWILFQGTLNIKYPCKFSSTCLMSIFAAFQCALLSLYKSRDLKHWIIDDGFVIGVIVYAGVIGQAMSTVAATWGITRLGAVFASAIMPVSLISATLFDFLILDTPLYLGSVIGSVVTIIGLYVFLWGKNKEMEA >scaffold_101244.1 pep chromosome:v.1.0:1:4595980:4596614:-1 gene:scaffold_101244.1 transcript:scaffold_101244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSSTENATPPLTTGDRASSPSAAHESANSSIFKKLGSLISETLDFIMKEEEKKGVSVSHEDLVSWAKQLDKEGKREYALEIFMWMDKKKMSFSTSELALFVNVIAKTRGLDDAHAYLKKVDPDCDRMDQPNKNWPAYVSLLRLETELLKKRGIVRAVRPLAVASE >scaffold_101250.1 pep chromosome:v.1.0:1:4610504:4611056:-1 gene:scaffold_101250.1 transcript:scaffold_101250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRSLKKKYENNLGKAKEGDEPIFVKPHDRKAFELSKLVWGGIRMVLQSGMKSNGKSKKSSKSKKVESVKHEFDCEDDVTNKGEASLVGALEMDTLVKSSLARSIARFGLDQLVAQQGLSLLSSEDKKRFEVQWKALQLREFEFLSQKSCFLHKVVKKMTEVSRSNA >scaffold_101257.1 pep chromosome:v.1.0:1:4621998:4622309:1 gene:scaffold_101257.1 transcript:scaffold_101257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSRNLATLSLMIITLLTWTKIVEGQEALLGKKVLPLCHRECMPICMKVTEATQEICEPACQSGCLQLQGRGTGLSASDQGVDMVIA >scaffold_101259.1 pep chromosome:v.1.0:1:4633981:4635207:-1 gene:scaffold_101259.1 transcript:scaffold_101259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELTKPKLKLKVVYRKVSDYDLKEIVLFLILLTSSVEGSFKALCCKLGSEVRPNDYKKQGDAEPKRQVKETESEPSVLEDLGYLGICRLIKLRELDLSSNALTRLFY >scaffold_101261.1 pep chromosome:v.1.0:1:4640942:4641142:1 gene:scaffold_101261.1 transcript:scaffold_101261.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMG4] MAVHTKLSTFFRFLIAFSTFVVISFTMRDVELKMVYNGLPVSTRSLYIPLPR >scaffold_101265.1 pep chromosome:v.1.0:1:4664046:4664705:1 gene:scaffold_101265.1 transcript:scaffold_101265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSDMIEEIPSWVPSRSLSRLKSTCKPWETLITDSQSQDLSRMSCVGIDFDELENPCLNLQAFQPISTSNILVQNMYHCDGILLFVMRSKKLLVLNLLLKQARWIKCGHGTDKLKDMYGYGLGYIRNQHQVIVITKSLGFVAVFVMYQELKFTNSSLNILSVTYM >scaffold_101272.1 pep chromosome:v.1.0:1:4675663:4679027:1 gene:scaffold_101272.1 transcript:scaffold_101272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNENCVIAVAKQRGIDVLLNDESNRENPAMVSFGEKQRFMGAAAAASATMHPKSTISQLKRLIGRKFREPDVQNDLRLFPFETSEDSDGGIQIQLRYMGEIQSFSPVQILGMLLSHLKQIAEKSLKTPVSDCVIGIPSYFTNSQRLAYLDAAAIAGLRPLRLMHDSTATALGYGIYKTDLAANSSPTYIVFIDIGHCDTQVCVASFESGSMRVRSHAFDRNLGGRDFDEVLFNHFAVEFKEKYNIDVYTNTKACVRLRASCEKLKKVLSANAEAQLNIECLMEEKDVKSFIKREEFEKLSAGLLERLIVPCQKALADSGLSLDHIHSVELVGSGSRIPAISKMLSSLFKRELGRTVNASECVARGCALQCAMLSPVFRVRDYEVQDSFPFAIGFSSDKGPINTPSNELLFPKGQIFPSVKVLTLHRENTFHLEAFYANHNELSPDLPTQISSFMIGPFHISHGEAARVKVRVQLNLHGIVTIDSATVESKLSLSEQLIEYHKENITSEEMISEENHQSSAMKDGTLDPSSGSTGNEPKAIKRMEIPVVANVSGALTKDELSEAKQREKSLVEQDLKMESTKDKKNALESFVYEMRDKMLNTYRNTATESERECIARNLQETEDWLYEDGDDESENAYIEKLNDIKKLIDPIENRFKDGEERLQASKDLLKTIADNRMAAESLPPPRKNAVLDECHKAERWLHEKTTKQDSLPKDANPELQSAEIKRKADALNATCKYIGKSNSPPAKPEHNGSHGSRKSDDMELD >scaffold_101275.1 pep chromosome:v.1.0:1:4698394:4699177:1 gene:scaffold_101275.1 transcript:scaffold_101275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESITKWDLGSLRTYYSVEPCEKFQEDEFLDISLVPRLQAELWKAQSRIRELEAEKFGSEENIKCFSRHQRNEREETSDPFVDYLKEKLSKKSEEMKRVKAENSRLKKKILDRESSVNRLRRERDTMEKVCEELVTRINELKVNTRRMWDETEEERHMLHMAEMWREERVRVKFMDAKLALQEKYEEMNLFVVELEKCLETAREVGGIEDKRLRQGEGLIKMARSMEAEDNKIDFGRFEFVSDEDNV >scaffold_101287.1 pep chromosome:v.1.0:1:4738117:4739781:-1 gene:scaffold_101287.1 transcript:scaffold_101287.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7KMI6] MYESANVSDDADRTAFRRAEKKYKLYYEKDSKFSRRKKLPKPIDLSELLDFKLISQDFNNNGVLPDGIRVSKVDSSPVFCIENRPGFYFIPGALSLEEQCKWIKESLTNFPQPPNRTNHNAIYGPIADLFDSAKDNKVLVQEDLTNNKWKFYEEDDIAKAKQSSCKSVSASVLLRKLRWSTLGLQFDWSKRNYDVSLPHNNIPDALCQLAKTHAAIAMPDGEEFRPEGAIVNYFGIGDTLGGHLDDMEADWSKPIVSMSLGCKAIFLLGGKSKDDPPHAMYLRSGDVVLMAGEARECFHGVPRIFTDEKNAEIGALESELSHESGHFFTEYIKTSRININIRQVF >scaffold_101288.1 pep chromosome:v.1.0:1:4740857:4743993:1 gene:scaffold_101288.1 transcript:scaffold_101288.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:D7KMI7] MALRCFPIWVCPQTTHHRTPLVGLAEFDANKRRRSCLWECSSSASQRAVTAIEGEIPYSHELKKSSDELGLTQETQSVSFHRDLSMLPKPLTANSLYSSAGDDSKVRISFQGIPGAYSETAALKAFPNCETVPCEQFEAAFQAVELWLVDKAVLPIENSVGGSIHRNYDLLLRHRLHIVQEVHLPVNHCLLGVPGVKKKDIKCVLSHPQALDQCVNSLNNLGIQRISAKDTATAAQTVSSSGKIDVGAIASVRAANIYGLDILAENIQDDANNVTRFLILAREPMIPRTDRPYKTSIVFSLEEGPGVLFKALAVFALRSINLSKIESRPQRRRPLRVVDGSNNGSAKYFDYLFYIDFEASMADTRAQHALGHLQEFASFIRILGCYPMDLVR >scaffold_101289.1 pep chromosome:v.1.0:1:4744507:4746358:-1 gene:scaffold_101289.1 transcript:scaffold_101289.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7KMI8] MSTIRFLRILTIRAMSSSSSSSSWSCNKCTFLNSASQKLNCMICLAPVSLPSSSPSPSLSISTNDEAKWACKACTFLNTYKNSICDVCGTRLPTSSLLGFDDLTDSGLESNNADSSVGSVFFPLRRCSKRKAMDDDVVEVEGASVVCSESQGVMKKNKEIETKGVASDSGTPLTCLKILSYNVWFREDLELNLRMRAIGHLIQLHSPHLICFQEVTPEIYDIFRKSNWWKAYSCSVSVDVAVSRGYYCMLLSKLGVKSFSSKSFGNSIMGRELSIAEVEVPGGKPLVFATSHLESPCPGPPKWDQMFSRERVEQAKEAIEILRPNTNVIFGGDMNWDDKLDGKFPLADKWVDVWEVMKPGDLGFTYDTKANPMLSGNRALQKRLDRILCRLDDYKLGGIEIVGKEAIPGLSYEKEKKVRGEIKKLELPVLPSDHFGLLLTLSLK >scaffold_101291.1 pep chromosome:v.1.0:1:4752651:4754414:1 gene:scaffold_101291.1 transcript:scaffold_101291.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase [Source:UniProtKB/TrEMBL;Acc:D7KMJ0] MAEASDLLEWPKKDNRRFLHVVYRVGDLDRTIAFYTECFGMKLLRKRDVPEEKYSNAFLGFGPETSNFVVELTYNYGVSSYDIGTGFGHFAISTQDVSKLVETVRAKGGNVSREPGPVKGGGSVIAFVKDPDGYTFELIQRGPTPEPFCQVMLRVGDLDRAIKFYEKALGMRLLRKIERPEYKYTIGMMGYAEEYESIVLELTYNYGVTEYTKGNAYAQIAIGTDDVYKSGEVIKIVNQELGGKITREPGPLPGLGTKIVSFLDPDGWKTVLVDNKDFLKELE >scaffold_101295.1 pep chromosome:v.1.0:1:4767205:4768526:1 gene:scaffold_101295.1 transcript:scaffold_101295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMTLIARVTDGLPLAEGLDDGRDLPDSDMYKQQVKALFKNLSRGQNEASRMSVETGPYVFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGPNIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSSRLTSESRIYADKAKDLNRQALIRKWAPVAIVFGVVFLLFWVKTKLW >scaffold_101299.1 pep chromosome:v.1.0:1:4776806:4778028:-1 gene:scaffold_101299.1 transcript:scaffold_101299.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KN68] MARRRRMLSSLCCSTPLPKNPIWFRLKLFVLSLRSLPSFIPPLRLVVCRSSSFSSSLKILGSPVRRAPPPFGRTASYFAGGKRLNRVLPVVVVSSTSLHGELCLLPFSMFDFISDSVIRRRRMSSSSLGLGQIPSLQFRWKGFNWAWPIFRPLGVCCGPLSLLGCKFVLLCKHIFLACLIAVHFSPHVTTTKSIFPRLTLCHHVAISDFFTQRVTGYFSGFSPPPTPDTSPVGPVCFWFKTTFVGSDFAQIRRRLITGYFSGVSLPTSMADPGCSQPRTTVVGLYLNGSSVWCIVTSFLTAKFRIDLVALVAVSITRNIALYMCCVVQGVISLLRSSVIKVQGLRDDDYCLGFMIALIYLSICFSFMYCFASGSGSLIALAPPFVTFPSFEDD >scaffold_101303.1 pep chromosome:v.1.0:1:4788805:4790337:1 gene:scaffold_101303.1 transcript:scaffold_101303.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine racemase family protein [Source:UniProtKB/TrEMBL;Acc:D7KN72] MSAAAIDGVAALRSVVQRVNQAAEKAGRVSDQIRVVAVSKTKPVSLIRQVYDAGHRSFGENYVQEIIEKSPQLPDDIEWHFIGNLQSNKVKPLLSGVPNLVMVESVDDEKIANMLDRVVGNIGRKPLKVLVQVNTSGEESKFGVEPSGCVGLAKHVKEACSNLEFSGLMTIGMADYTSTPENFKLLAKCRSEVCKELGIPEEQCELSMGMSGDFELALQIELGSTNVRIGSTIFGAREYPKK >scaffold_101308.1 pep chromosome:v.1.0:1:4808562:4808787:1 gene:scaffold_101308.1 transcript:scaffold_101308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIERIKELIEEEIGIPPDQQRLVFVYTGKQLADDLTAKHYNLQCGSVIHLVLALRGGFY >scaffold_101310.1 pep chromosome:v.1.0:1:4813130:4816696:-1 gene:scaffold_101310.1 transcript:scaffold_101310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit beta [Source:UniProtKB/TrEMBL;Acc:D7KN79] MAPALAVTRDLTAAGSLENAPAKGRASVYSEVQSSRINNALPLPSVLNGAFKIVEGPASSAAGNPEEIAKLFPGLYGQPSVAVVPDQNASLSAQKLKIGVVLSGGQAPGGHNVISGLFDYLQERAKGSTFYGFKGGPAGIIKCKYVELNAEYIHPYRNQGGFDMICSGRDKIETPDQFKQAEETAKKLDLDGLVVIGGDDSNTNACLLAENFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVSAQKQTLKNVTDYMVDVICKRAELDYNYGVILIPEGLIDFIPEVQELIAELNEILANEVVDENGLWKKKLTEQSLKLFDLLPEAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKQAGAYKGQFMGQSHFFGYEGRCGLPTNFDATYCYALGYGAGVLLNSGKTGLISSVGNLAAPVEEWTVGGTALTALMDVERRHGKFKPVIKKAMVELEGAPFKKFASLREEWALNNRYISPGPIQFTGPGSDALSHTLLLELGAE >scaffold_101321.1 pep chromosome:v.1.0:1:4880091:4880557:1 gene:scaffold_101321.1 transcript:scaffold_101321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVTKAKTLKMDQMPRRYRNHRKTLSLARSDTLSSIIMRFCWSPIAIHLFFRAFSRRSLLCYCRRFVSDCVSSSSCSQVVIIGPRMKWCFRQLSFSSAKWYGDVIWTFDPGIIGTQIDSEVPGRGSA >scaffold_101325.1 pep chromosome:v.1.0:1:4907169:4911941:1 gene:scaffold_101325.1 transcript:scaffold_101325.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NRT1.1 [Source:UniProtKB/TrEMBL;Acc:D7KN94] MSLPETKSDVLLDAWDFQGRPADRSKTGGWASAAMILCIEAVERLTTLGIGVNLVTYLTGTMHLGNATAANTVTNFLGTSFMLCLLGGFIADTFLGRYLTIAIFAAIQATGVSILTLSTIIPGLRPPRCNPTTSSHCEQASGIQLTVLYLALYLTALGTGGVKASVSGFGSDQFDETEPKERSKMTYFFNRFFFCINVGSLLAVTVLVYVQDDVGRKWGYGICAFAIVLALSVFLAGTNRYRFKKLIGSPMTQVAAVIVAAWRNRKLELPADPSYLYDVDDIIAAEGSMKGKQKLPHTEQFRSLDKAAIRDQEAGVTSNIFNKWTLSTLTDVEEVKQIVRMLPIWATCILFWTVHAQLTTLSVAQSETMDRHIGSFEIPPASMAVFYVGGLLLTTALYDRVAIRLCKKLFNYPHGLRPLQRIGLGLLFGSMAMAVAALVELKRLRTANSHGPTVKTLPLGFYLLIPQYLIVGIGEALIYTGQLDFFLRECPKGMKGMSTGLLLSTLALGFFFSSVLVTIVEKFTGKAHPWIADDLNKGRLYNFYWLVAVLVALNFLIFLVFSKWYVYKEKRLADVGIELDDEPSIPMGH >scaffold_101335.1 pep chromosome:v.1.0:1:4941907:4944172:1 gene:scaffold_101335.1 transcript:scaffold_101335.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7KNA3] MAQSHPDPTTSRHVAVIGAGAAGLVAARELRREGHSVVVLERGSQIGGVWVYTSEVEPDPLSLDPTRPVVHSSLYKSLRTNIPRECMGFTDFPFATRPHDGSRDPRRHPAHTEVLAYLRDFAKEFDIEEMVRFETEVVKAEQMAAEGEERGKWKVESRSSDGIANEIYDAVVVCNGHYTEPRHALITGIDSWPGKQIHSHNYRVPDQFKDQVVIVIGSSASGVDICRDIAQVAKEVHVSSRSTSPETYEKLTGYDNLWLHSTIEIAREDGSVVFENRKTVYADTIMHCTGYKYYFPFLDTKGEVTVDDNRVGPLYKHVFPPALAPSLSFIGLPWQITPFPMFELQSKWVAAVLSGRVSLPSQDEMREDTKAFYDKLEASGIPKRYTHLMPDDSQFEYDNWLADQCEYPRIEKWREQMFYIGFKRIYAQSATYRDNWDDDHLIVEAYDDFVKFMSSYQELLPMLKT >scaffold_101336.1 pep chromosome:v.1.0:1:4945406:4948097:1 gene:scaffold_101336.1 transcript:scaffold_101336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCISVSLSCDRVVNQISQCLCVSGNYIHNLSENLASLQKAMGVLKAKRDDVQGRVDREEFTGHRRRLAQVQVWLTRILTIENQFNDLLSTCNAEIQRLCLCGFFSKNMKMSYLYGKRVIVLLREVEGLSSQGEFDVVTEATPIAEVEELPIQSTIVGQDSMLDKVWNCLMEDKVGIVGLYGMGGVGKTTLLTQINNKFSKLGGGFDVVIWVVVSKNATVHKIQRSIGEKLGLVGKKWDEKNKNQRALDIHNVLRRKKFVLLLDDIWEKVNLNVIGVPYPSGENGCKVAFTTRSKEVCGRMGVDDPMEVSCLDTRNAWDLLKKKVGENTLGSHPDIPQLARKVSEKCRGLPLALNVLGETMSCKRTIQEWCHAIEVLTSSATDFSGMEDEVLPILKYSYDSLNGEDAKSCFLYCSLFPEDFKIRKEMFIEYWICEGFIEEKQGREKAFNQGYDILGTLVRSSLLLEDKDFVSMHDVVREMALWISSDLGKHKERCIVQAGVGLDELPEVKNWRAVKRMSLMNNNFENIYGCPECVELITLFLQNNYKLVVISMEFFRCMPSLTVLDLSENHSLSELPEEISELVSLQYLDLSGTYIERLPHGLQKLRKLVHLKLERTRRLESISGISYLSSLRTLRLRDSKTTLETSLMKELQLLEHLELITTNISSSLVGELVYYPRVGRCIQHIFIRDHWGRPEESVGVLVLPAITNLCYISIWNCWMWEIMIEKTPWNKNLTSPNFSNLSNVRIEGCDGLKDLTWLLFAPNLINLRVWGCKHLEDIISKEKAASVLDKEILPFQKLECLNLYQLSELKSIYWNALPFQRLRCLDILNNCPKLRKLPLDSKSVVKVEEFVIKYKEKKWIERVEWEDEATRQRFLPSCRLR >scaffold_101339.1 pep chromosome:v.1.0:1:4960360:4964287:1 gene:scaffold_101339.1 transcript:scaffold_101339.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-fructosidase [Source:UniProtKB/TrEMBL;Acc:D7KNA6] MASSDALLPISAREEEPLCPYTRLPMADPNQETHGRRRRPFKGLLAVSFGLLFIAFYVALIATHDGSRSNDAGIDETETITSRARLAGVSEKSNDGLWKLSGDRNTPAFEWNNSMLSWQRTAFHFQPEQNWMNDPNGPLFYKGWYHFFYQYNPNAAVWGDIVWGHAVSRDLIHWVHLPIAMVADQWYDSNGVWTGSATILPDGSIVMLYTGSTDKAVQVQNLAYPEDPSDPLLLKWVKFPGNPVLVPPPGILPKDFRDPTTAWKTSEGKWRITIGSKLNRTGISLVYDTTDFKTYEKLDTLLHRVPNTGMWECVDFYPVSKTAVNGLDTSVKGPDVKHIVKASMDDTRFDHYAVGTYFDSNGTWIPDDPTIDVGMSTSLRYDYGKFYASKTFYDQNKGRRVLWSWIGESDSEAADVQKGWSSVQGIPRTVVLDTKTGKNLVQWPVEEIKSLRLSSKQFDIKVGPGSVVPVDVGSAAQLDIEAEFEINKESLDKILGNASVMAEAEEFSCEKSGGSTVRGALGPFGFSVLATESLSEQTPVYFYVAKGKDSELKTFFCIDTSRSSVANDVVKLIYGSVVPVLKGEKLTMRILVDHSIVEAFGQGGRTCITSRVYPTSAIYGAAKLFLFNNALDATITASFRVWQMNSAFIHPYTEEAFRALTRT >scaffold_101347.1 pep chromosome:v.1.0:1:4999423:4999969:-1 gene:scaffold_101347.1 transcript:scaffold_101347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIEIERETSSWNKSPASPCFFSLFTVLISNCDGLKDLTWLLFAPNLTNLEVSFSDRLEDIISEEKALNSVTGDEAGMIIPFQKLEKLQLWNLPKLKSIYWNTLPFPCLREIDIRKCPNLRKLALDSQNVGRVEELVINYREKEWIEEVEWEDEATQLRFLPSSKLV >scaffold_101348.1 pep chromosome:v.1.0:1:5003325:5003811:-1 gene:scaffold_101348.1 transcript:scaffold_101348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDGLSDDQVSSMKEAFMLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKSIIASENLSSPFDFNRFLDLMAKHLKTEPFDRQLRDAFKVLDKEGTGFVAVADLRHILTSIGEKLEPNEFDEWIKEVDVGSDGKIRYEDFIARMVAK >scaffold_101354.1 pep chromosome:v.1.0:1:5028636:5028931:1 gene:scaffold_101354.1 transcript:scaffold_101354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLNMDGKKKKSKVKRSSFVEEEDDNKYHHWLDRKEKREIGGYGKGKGCGGGVRADSSTRIGVGATAAGTTKFPWSNMRFVGL >scaffold_101355.1 pep chromosome:v.1.0:1:5032052:5034470:-1 gene:scaffold_101355.1 transcript:scaffold_101355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNAHTAAQQTPPPPPPAPAPPAIDGLTTDELTAKALNKRYEGLMTVRTKAVKGKGAWYWTHLEPILVRNTDTGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSVTPISTITPSPTSSSSSPQTHHRKRNSSGAVTTAVPSRLNPPPIGGSYHVTPITVVDPSRFCGGELHYSTPPPQHLMLSGGKDDLGPLAMLEDSVKKLKSPKPSQTQSLTRSQIESALDSLSDWVFESCGSVSLSGLEHPKFRAFLTQVGLPIISKRDFATTRLDLKYEEARAEAESRIRDAMFFQIASDGWKSGESGESLVNLIVNLPNGTSLYRRAVLVNGAVPSNYAEEVLLETVKGICGNSPQRCVGIVSDKFKTKALRNLESQHQWMVNLSCQFQGLNSLIKDFVKELPLFKSVSQNCVRLAKFINNTAQIRNAHCKYQLQEHGESIMLRLPLHCYYDDERRSCSSSSSSSSSSNKVCFFEPLFNLLEDVLSSARAIQLVMHDDACKVVLMEDHMAREVREMVGDEGFWNEVEAVHALIKLVKEMARRIEEEKLLVGQCLPLWDELRAKIKDWDSKFNVGEGHVEKLVERRFKKSYHPAWAAAFILDPLYLIRDSSGKYLPPFKCLSPEQEKDVDKLITRLVSRDEAHIALMELMKWRTEGLDPMYARAVQMKERDPVSGKMRIANPQSSRLVWETYLSEFRSLGKVAVRLIFLHATTGGFKCNSSLLKWVNSNGRSHAAVDRAQKLIFISANSKFERRDFSNEEDRDAELLAMANGDDHLLNDVLVDTSSV >scaffold_101356.1 pep chromosome:v.1.0:1:5038100:5039290:1 gene:scaffold_101356.1 transcript:scaffold_101356.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon family protein [Source:UniProtKB/TrEMBL;Acc:D7KNC1] MGDIWAWLISFFFLIALVGIIVYQLVCLADLEFDYINPYDSASRINSVVLPEFIVQGVLCVFYLLTGHWVMTLLCLPYLYYNFQLYSKRQHLVDVTEIFNLLNWEKKKRLFKLAYIVLNLFLTIFWMIYSALDDYED >scaffold_101360.1 pep chromosome:v.1.0:1:5049724:5049954:-1 gene:scaffold_101360.1 transcript:scaffold_101360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNC5] MPWLPPVTYYRPFPFPAENRTHFPAKTYVERQNKRNNFKRAKIFSMCSFGRTEKIITLVVVF >scaffold_101365.1 pep chromosome:v.1.0:1:5070113:5072904:1 gene:scaffold_101365.1 transcript:scaffold_101365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIHLFLVLVNFIYISSSLSQTINERDILLQFKDSISDDPYNSLASWVSDGDLCNSFNGVTCNPQGFVDKIVLWNTSLAGTLAPGLSNLKFVRVLTLFGNRFTGNLPLDYSKLQTLWTINVSSNALSGPIPEFIGELSSLRFLDLSKNGFTGEIPVSLFKFCDKTKFVSLSHNNLSGSIPGTIVNCNNLVGFDFSYNNLKGVLPPRICDIPVLEYILVRNNLLSGDVSEEIKKCQRLILVDFGSNLFHGLAPFEVLTFKNITYFNVSWNRFGGEIGEIVDCSESLEFLDASSNELTGRIPNGVVGCKNLKLLDLESNKLNGSIPGGIEKIETLSVIRLGNNSIDGEIPREIGSLEFLQVLNLHNLNLIGEVPEDISNCRVLLELDVSGNNLEGEVPRKLLNLTNLEILDLHRNRLNGSIPPELGNLSSIQFLDLSQNSLSGSIPSSLENLNALTHFNVSYNNLSGIIPPVPVIQAFGSSAFSNNPFLCGDPLVTPCNSRGAAAKSRNSNALSISVIIVIIAAAIILFGVCIVLALNIRARKRRKDEEILTVETTPLASSIDSSGVIIGKLVLFSKNLPSKYEDWEAGTKALLDKENIIGMGSIGSVYRASFEGGVSIAVKKLDTLGRIRNQEEFEQEIGRLGGLQHPNLSSFQGYYFSSTMQLIFSEFVPNGSLYDNLHLRIYPGTSSSHGNTDLNWHKRFQIALGSAKALSFLHNDCKPAILHLNVKSTNILLDERYEAKLSDYGLEKFLPVMDSFGLTKKFHNAVGYIAPELAQQSLRASEKCDVYSYGVVLLELVTGRKPVESPSRNQVLILRDYVRDLLETGSASDCFDRRLREFEENELIQVMKLGLLCTSENPLKRPSMAEVVQVLESIRNGFGS >scaffold_101377.1 pep chromosome:v.1.0:1:5114569:5116990:-1 gene:scaffold_101377.1 transcript:scaffold_101377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINSEEQMIKLFGIPLSDKPRWQQFLICSSGFFFGYLVNGICEEYVYNRLKFSYGWYFTFAQGLVYIALIYIYGFRTKQMVNPWKTYVKLSGVLMGSHGLTKGSLAYLNYPAQIMFKSTKVLPVMVMGAFIPGLRRKYPVHEYISAMLLVIGLIIFTLADAHTSPNFSIVGVVMISGALIMDAFLGNLQEAIFTMNPETTQMEMLFCSTVVGLPFLLAPMFLTGELFRAWNSCAQHPYVYGVLVFEAMATFIGQVSVLSLIALFGAATTAMITTARKAVTLLLSYLIFTKPLTEQHGTGLLLISMGIILKMVPDPNPNTKSSGSSQTPGKLERVKFEKEDDEESRPLV >scaffold_101385.1 pep chromosome:v.1.0:1:5173562:5175136:1 gene:scaffold_101385.1 transcript:scaffold_101385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNFRRIAAGSLHLLQKSATRPALFPKPSDSGVIARLRWTTRALSTTESAETAAAASVFSSKDNLMSRVNRLHKEGKDELALHLFDSMDANKVEFTPSEFAFHIEILAKVKGLAAAKAYFKKADPDFNDGDIHAKNWPAYATLLRLTSEELDQLANHLHPLRNSYSRMAELVSPSVVSIITRNYSEEKGARNMFGSGFFIDNTTILTCSHIVVNSQRLTDESYLNSRQELKVVLHDGTELDGTLVRYDSVQDVAMVRVDTSGLPDGSYSPVKLGFPNRVRQGDWIGCFGAPLQLPNTITFGVVSAIRTAEELDMGDRKGQFFQMDCTTYEGSCGGPIVSLDGSVIGMTCLSFGDPNGPTQDSVEQEHGMAVSIDSVLCVLELV >scaffold_101386.1 pep chromosome:v.1.0:1:5176712:5176976:1 gene:scaffold_101386.1 transcript:scaffold_101386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVKEGPFEGHQWAEPSVDKLRVLMRHVMSNPYEAKVKGNRGRDDMVQKFTPEVVTEFVANQIEIIFDEQRRT >scaffold_101387.1 pep chromosome:v.1.0:1:5177091:5177377:-1 gene:scaffold_101387.1 transcript:scaffold_101387.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KP93] MKKSIFLVMELRNLSLVNGPGLLLIKSLNILILILLLYSSSSISVSQAVDFKKPVYKEVMSAFASHLQ >scaffold_101388.1 pep chromosome:v.1.0:1:5177805:5178591:1 gene:scaffold_101388.1 transcript:scaffold_101388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNFRRIAAGSLHLLQKSATRPALFPKPSDSGVIARLRWTTRALSTTESAETAAAASVFSSKDNLMSRVNRLHKEGKDELALHLFDSMDANKVEFTPSEFALYIEILAKVKGLGCAFKYFKKVDPYFNNQDHHARNWPTYATLVRLQWEHLRKAGLRPLTLSCDDKSSGSKLHANMKRMDISAPPAPKLTHSTAPIRFGGGKAISVRGTYRLSSSGLRLKNE >scaffold_101389.1 pep chromosome:v.1.0:1:5181044:5181253:1 gene:scaffold_101389.1 transcript:scaffold_101389.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KP95] MAVRKRLSSCASPLTQENQSALASVLCSAFGPPTSQKNPANLSKYPFLKLAKILM >scaffold_101390.1 pep chromosome:v.1.0:1:5188994:5189602:1 gene:scaffold_101390.1 transcript:scaffold_101390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLGSRRKDPAYRGIRCRSGKWVSEIREPKKTTRIWLGTYPTAEMAAAAYDVAALALKGRGAVLNFPGSAQSYPVPESKSAADIRTAAAAAAAMKGCEEGEEEKEATEKNSSSSQFRARENHVNNEVASSSWCGTEFMDEEEVLNMPNLLANMAEGMMVAPPSWMGSRPSDDSPENSNDEDLWGY >scaffold_101391.1 pep chromosome:v.1.0:1:5194119:5196420:-1 gene:scaffold_101391.1 transcript:scaffold_101391.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane bound O-acyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KP97] MDMSSMAASIGVSVAVLRFLLCFVATIPVSFACRIVPSRLGKHLYAAASGAFLSYLSFGFSSNLHFLVPMTIGYASMAIYRPKCGIITFFLGFGYLIGCHVFYMSGDAWKEGGIDSTGALMVLTLKVISCAMNYNDGMLKEEGLREAQKKNRLIQMPSLIEYFGYCLCCGSHFAGPVYEMKDYLEWTEGKGIWDTSEKRKRPSPYGATIRAILQAAICMALYLYLVPQYPLTRFTEPVYQEWGFLRKFSYQYMAGFTARWKYYFIWSISEASIIISGLGFSGWTDDASPKPKWDRAKNVDILGVELAKSAVQIPLVWNIQVSTWLRHYVYERLVQNGKKAGFFQLLATQTVSAVWHGLYPGYMMFFVQSALMIAGSRVIYRWQQAISPKMAMLRNILVFINFLYTVLVLNYSAVGFMVLSLHETLTAYGSVYYIGTIIPVVLILLSYVVPAKPSRPKPRKEE >scaffold_101395.1 pep chromosome:v.1.0:1:5203246:5203555:1 gene:scaffold_101395.1 transcript:scaffold_101395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSLVAVLVTMMVMGNLLPQTEAQKIPFMQCYPACIVVCKSESTFPKFLKCPFTCLRTCLHPPSPPPSPSPSPSPSPSENIIDQTDH >scaffold_101400.1 pep chromosome:v.1.0:1:5218426:5219037:-1 gene:scaffold_101400.1 transcript:scaffold_101400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTDLKPENSDPKTQNYQGPSEEQRERYRMFMIGGGCKESFTAFEDCEGNTIVDCSETMWKLDQCMKVHLDYYQPYYSIWKTVDEIEERNRDPVFPAKEPKEKAKQVSEFMRDPCRESIRSFRRRDAEYTRNNNTLTFHRKLEAFDTVYKCMEAHSDYNQYQAFLASMKRRYEYYDKEFDAFLAARKL >scaffold_101402.1 pep chromosome:v.1.0:1:5226869:5228680:1 gene:scaffold_101402.1 transcript:scaffold_101402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQRRSKVSVSIASVFVTKWDCSNVASIFNRVKRLKGQFAQLYVVATLSTKEQSDSFMRSYFHSISLFVDMRWSLGNLHLYKSLMLRWDSRRLLRLLTLVGCCKQQKVASKLKVERKRIVQDTDIFIRFVTSIPNINKHDANTLCQAIGSIEAIAKASKEDILAISKHRSLF >scaffold_101403.1 pep chromosome:v.1.0:1:5230473:5232594:1 gene:scaffold_101403.1 transcript:scaffold_101403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNQLAISSSSSSSSSSSYYESLKILEADVQHANSLFDTAIHFAGLKAVGESVGNPRRYFDNNLVGTINLYETMAKYNCKMMVFSSSATVYGQPEKIPCMEDFELKAMNPFGRTKDPKGIPNNLMPYIQQVAVGRLLELNVYGHDYPTKDGSAVRDYIHVMDLADGHIAALRKLFADPKIGCTAYNLGTGQGTSVLEMVAAFEKASGKKIPIKLCPRRSGDATGVYASTEKAEKELGWKAKYGVDEMCRDQWNWANNNPWGYQKKL >scaffold_101404.1 pep chromosome:v.1.0:1:5232608:5233947:-1 gene:scaffold_101404.1 transcript:scaffold_101404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVDLFSCPFYPQELHLHGNSIGDEGTRALMAGLSSHKGFSIEHSSDGAEKIADALKQNRSIATIDLGGNNIHAEGVNAIAQALKDNAIITTFHGNVKMLKLGWCQIAAKGAEHIADMLRYNNTISVLDLRANGLRDEGASCLARSLKVVNEALTSVDLGFIEIRDDGAFAIAQALKANEDVTVRDQFDTCGI >scaffold_101405.1 pep chromosome:v.1.0:1:5235090:5235690:-1 gene:scaffold_101405.1 transcript:scaffold_101405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMDLKHENFDPKSQNYQGPSEEQLERYRMFMIGGGCKESFTAYEDCEGNTIECTEKWLKLKKCMEVHIDYYQPISAMWKKVDELEERNRIPVYPSKEPKERAKQASEFVRGPCKEPLRSFKRRDAEYTRNSNTLSFHSQQEALDTVYKCMETHSDYYEAFLSERKKRDEYYFKEFDAFLAARKL >scaffold_101409.1 pep chromosome:v.1.0:1:5253131:5253789:1 gene:scaffold_101409.1 transcript:scaffold_101409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTDLKPEKSDPKSQNNQVPSEELGDSSSDNWRYRFQDFMEGGACKESYLDYHYCFDEEEPKYKEVLEKCMNAHSDYYEPVLALLETCKEQLTNDIEAIFLPSTILDQTKEGDAEREEMLEEKVLAFMKGGGCEEQCTAWRDCFEEAEKNKEDVDIKCAGVHAMWFNCMDAHSDYFHPFLAPLKTAGEDFEKKLEAFVSPKQAD >scaffold_101418.1 pep chromosome:v.1.0:1:5292420:5293105:1 gene:scaffold_101418.1 transcript:scaffold_101418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNLKPQNSDPKSENNQAPSEELGDSSSDNWKFRFLDFMEGGACKESYLDLIYCVEDEEPKYKEALEKCMNAHSDYYEPIISRIETCIETSEEQLTKDIEAIFLPSTILDQTKEGDAEREEILEEKFLEFMKGGGCEEQCTALRDCFLEAEKNKEDVDIKCAGVEAMWFNCMDVFSRPLTLLETTLKRSSKPFSLLSKLTKRILGIHTEAS >scaffold_101422.1 pep chromosome:v.1.0:1:5303458:5306772:1 gene:scaffold_101422.1 transcript:scaffold_101422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAITCVSESTLIRRVRSFRSKKKRKKTHPAILRPTTIQRKNSKETEYYFDFDFSKIGKDSSFKQVVFDDNYLTHEPDTDGALLQRLSRLAINKYNDDQNGRSPETLSISESYETELQLIYKPFKTSVDLFQQQAALSFSSVGGIGLDPSVSKDLVDRAMFIAHVTPFYPKQLAAFPAQLTDLLRGFIEATKEPGITFFVANFDVSLVLDSKRFLLERPLLFVWALSWWWTRETPNCLIMLELHVLHVSCVDTEPVEAEHDSRAYNYIDTSMSYASSFPAQWESLQWTVHKLSTMPAVSLTIGGKVLILLQRRLFENMIHIA >scaffold_101423.1 pep chromosome:v.1.0:1:5307333:5309373:1 gene:scaffold_101423.1 transcript:scaffold_101423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADILPPLTTKEAFEKVDNSNLTRPVGYHFVDNEACDFLKRGYFRGLNLDYYPAFFDEKLHISARTEPEHYELGASYYFHPKLEFTGYVNTHGGLTASVKAITDNLIIVAMTELRNARLYMAGAGFEYLALNYRAQLLLGSDSDIGLFGATYIQRVTPRLSLGGEFFWCSVYPKLGYAARYETDKMVASAKKGKAPFSFNFIFKLCRDEIITMTHVFFAHNQVSLATDFEYSIFSRDDVIASVGYECKISKQSTVQGKIDSNGVVSAFLRKKLTMGLECLLSASLGRKNRDRKLGLSLIYG >scaffold_101424.1 pep chromosome:v.1.0:1:5309737:5313220:-1 gene:scaffold_101424.1 transcript:scaffold_101424.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S1 RNA-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KPD0] MDVLALSSSASAASLAGNFPLFTSRVRVRRNRENLFVKQKKFLVSASKREEPKLNEWDQMELNFGRLLGEDPKLTLAKIVARKVNPEASFIEIEKSFYKNKGKIPEVEEIPLDWSNDKKKKSTSSLDGLKLVKPVLKDGVKFEKPVMKKPSPVLKKPLVEAAAPKVQRLPNVILRKPSSFYTSNDDDEESKLRLKPNLTLKMRNERENERFSDMTLLKKPEPVSVDAAEESSEDKVIPDGLTMEEGGQEDVTYSEYTLLEKPEARSKPENIKEEVGDSRAVESSEIENNSIQKPEARPEPENVDNNVGDSGAMESSEIENNSIPTEMQLNCERSSGSSEERTINSDPIEKILSKPISQTIVEASLQGKPQRLDPSSVEPSVSDKGQPLIVNHEGRQVSVELKGPPTRSSLEENDWNEAESLVKTELRADVELISSSTRGFAVSYGSLIGFLPYRNLAAKWKFLAFESWLRRKGVDPSLYRQNLGVIGGQDVTSKAPSPDSSLDSEVATSINGEVSSDMKLEDLLMVYDREKQKFLSSFVGQKIKVNVVMANRNSRKLIFSMRPRENEEEVEKKRNLMAKLRVGDVVKCCIKKITYFGIFCELEGVPALVHQSEVSWDATLDPASYFKIGQIVEAKVHQLDFALERIFLSLKEITPDPLTEALESVVGGDNDQLGGRLQAAELDAEWPDVESLIKELEMVEGIQSVSKSRFFLSPGLAPTFQVYMAPMFKNQYKLLARAGNRVQELIVEASLSKEEMKSTIMSCTNRVE >scaffold_101427.1 pep chromosome:v.1.0:1:5319510:5321465:-1 gene:scaffold_101427.1 transcript:scaffold_101427.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin signaling F-box 3 [Source:UniProtKB/TrEMBL;Acc:D7KPD3] MNYFPDEVIEHVFDFVASHKDRNSISLVCKSWHKIERFSRKNVFIGNCYAINPERLIGRFPCLKSLTLKGKPHFADFNLVPHEWGGFVLPWIEALARSRVGLEELRLKRMVVTDESLELLSRSFANFKSLVLVSCEGFTTDGLASIAANCRQLRELDLQENEIDDHRGQWLNCFPDSCTTLISLNFACLKGETNVAALERLVARSPNLKSLKLNRAVPLDALARLMTCAPQLVDLGVGSYENEPDPESFVKLMTAIEKCISLRSLSGFLEVAPLCLPAFYPICQNLISLNLSYAAEIQGNHLIKLIQLCKRLQRLWILDSIGDKGLAVVAATCKELQELRVFPSDVHGEEDNNAAVTEVGLVAISAGCPKLHSILYFCKQMTNAALIAVAKNCPNFIRFRLCILEPHKPDHITSQSLDEGFGAIVQACKGLRRLSVSGLLTDQVFLYIGMYAEQLEMLSIAFAGDTDKGMLYVLNGCKKMRKLEIRDSPFGNAALLADVGRYETMRSLWMSSCEVTLGGCKRLAQNSPRLNVEIINENENNRMEQNEEDEREKVDKLYLYRTMVGTRKDAPPYVRIL >scaffold_101437.1 pep chromosome:v.1.0:1:5346042:5347145:-1 gene:scaffold_101437.1 transcript:scaffold_101437.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAN11 [Source:UniProtKB/TrEMBL;Acc:D7KPE2] MGTSSDPIQDGSDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAITSLLEQYPNRVEIVQLDESNGEIRSDPNLSFEHPYPPTKTIFIPDKECQRPDLLATSSDFLRLWRIADDHSRVELKSCLNSNKNSDFCGPLTSFDWNEAEPRRIGTSSTDTTCTIWDIEREAVDTQLIAHDKEVFDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPALPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDISSMGQHVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >scaffold_101438.1 pep chromosome:v.1.0:1:5347521:5348866:-1 gene:scaffold_101438.1 transcript:scaffold_101438.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic release factor 1-2 [Source:UniProtKB/TrEMBL;Acc:D7KPE3] MAEESDTNIEIWKIKKLIKGLESARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLSAITSAQQRLKLYNKVPTNGLVLYTGTIVNDDGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVSGLILAGSADFKTELSQSELFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKKLIGKYFEEISQDTGKYVFGVEDTLKALEMGAIETLIVWENLDINRYELKNSTTGEMVVKHFGKDQESDTSNFHDSETNAELEVQEKMPLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGMLRYQLDMRTFDELSDTEVYEDSD >scaffold_101444.1 pep chromosome:v.1.0:1:5383587:5384606:1 gene:scaffold_101444.1 transcript:scaffold_101444.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of shoot regeneration ESR1 [Source:UniProtKB/TrEMBL;Acc:D7KPE6] MEEALRNFTESSHSPDPNPFTKNFTDATASPVSRNRKPSSKDTTVTIAGVGSSTTRYRGVRRRPWGRYAAEIRDPMSKERRWLGTFDTAEQAACAYDSAARAFRGAKARTNFAYPTAVIMPEPRFSFSTKKSLSSPRCPLPSLPLDLSTQDFFGAPAAQRINTQSIFLRDASCSSRKTTPYNSFNVSSSSYSAPKTACFSSSDNENNESFFPEESSDSGLLQEVVQEFLKKNRGTPPPPTPPPVTSHTHNSGDFSALTLYSDNMFQDTKESLSSKLDRFGNFQANDSGYFDGVSAAADGGSNEWGYQEMLMYGTQLGCTCRRSWG >scaffold_101445.1 pep chromosome:v.1.0:1:5388215:5389821:1 gene:scaffold_101445.1 transcript:scaffold_101445.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7KPE7] MWWMMGEAGGHYCSKKTDDICGGVCSQEPGRFFSFSRLCCALRGVDMKTYIFLLVIVPTCVLAGYVHGQKISYFLRPLWESPPKPFHDIPHYYHENASMETLCKLHGWGVREYPRRVYDAVLFSNELDILAVRWRELFPYITQFVLLESNTTFTGLPKPPVFAAHRDEFKFIESRLTYGTVGGRFVKGQNPFYEEAYQRVALDQLLRIAGITDDDLLLMSDVDEIPSRHTINLLRWCDDIPKILHLRLKNYLYSFEFLVDSKSWRASVHRYETGKTRYAHYRQSDEILADAGWHCSFCFRRISEFIFKMKAYSHNDRVRFGHFLNPKRVQRVICKGADLFDMLPEEYTFKEIIGKMGPIPHSFSAVHLPSYLLENADKYRFLLPGNCIRESE >scaffold_101446.1 pep chromosome:v.1.0:1:5390656:5392945:-1 gene:scaffold_101446.1 transcript:scaffold_101446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDNSNRCSNGKKPNDGMRLIIATFVGIVIGFFLGISFPTLSLTKLNFPSGILPSVDIAYVEDETPETSSETLLHTWSSRSPLHRANSSDAPHWKIWVPSNPRGAEMLTPGIIASESDYYIRRLWGLPEEDVPVKPKYLIAFTVGFGQKVNVDACVKKFSEDFTIVLFHYDGRTTEWDEFEWSKRAIHVSVPKQTKWWYAKRFLHPDIVAPYDYVFIWDEDLGLENFDVEEYIRLIKKHGLEISQPAVESKTKITWEITKRKTKGEVHKDSKEKPGRCNDPHLPPCAAFIEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIIHQSLPSLGSQGEAQDGKAGWQGVRDRCKREWTMFQSRMASSEKQYLNEIANSTIH >scaffold_101447.1 pep chromosome:v.1.0:1:5395120:5397863:-1 gene:scaffold_101447.1 transcript:scaffold_101447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPWGGIGAWADEAERADEEQAAEATATAADSQSFPSLKEAATAKSSKKKKKMTLSEFTKGAYTAPSSAGLTREQMLQLPTGPRQRSEEEMQPGRLGGGFSSYGGGRSSGPPGRMSRDRDDSDGSWGGGGGGRRSYGGFDDDQRGSNSRVSDLPQVSRADEDDDWGKGKKSLPSFDQGRQGSRYGGLGGGGGGAGSYGGGGGGAGSYGGGGGGAGGGGGFSKADEVDNWAAGKAKSSTFGSGFRDSGPEPDRWARGVLPSGGGVQEERRRLVLEPRKVDAGGSETPTAGKTSKPNPFGAARPREQVLAEKGLDWKKLDSDIEAKKGQTSRPSSAQSSRPSSAQSNRSESSALNNVENVVKPRPKVNPFGDAKPREVLLEEQGKDWRKIDSELEHRRVDRPETEGERMLKEEIEELRKKLEKEAAIAPESKESHQESDSNHQNLPDLIREKEKDLDLLIRELDDKVRFRPRAVERPGSSASRAGSYSERPHSRAGSIDESRNVEPMERPRSRGTGDNWPRPVDDRRNFQGSKERGFFSNRNFDRSSSSRDGW >scaffold_101452.1 pep chromosome:v.1.0:1:5413948:5414734:-1 gene:scaffold_101452.1 transcript:scaffold_101452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNFRRIAAGSLHLLQKSATRPALFPKPSDSGVIARLRWTTRALSTTESAETAAAASVFSSKDNLMSRVNSLHKEGKDELALHLFDSMDANKVEFTPSEFALYIEILAKVKGLGCAFKYFKKVDPYFNNQDHHARNWPTYATLVRLQWEHLRKAGLRPLTLSCDDKSSGSKLHANMKRMDISAPPAPKLTHSTAPIRFGGGKAISVRGTYRLSSSGLRLKNE >scaffold_101453.1 pep chromosome:v.1.0:1:5415624:5415877:-1 gene:scaffold_101453.1 transcript:scaffold_101453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPLTEALESVVGGDNDQFGGRLQAAELDAEVLKTFYESCYKLMSLSKPYDYMRPQIRWVKAYVFFIL >scaffold_101454.1 pep chromosome:v.1.0:1:5415950:5417205:1 gene:scaffold_101454.1 transcript:scaffold_101454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHALANKRRISVSGASKLLANMLYSYRGMGLSVGTMIAGWDETVSKTNFISSTLLFSSHSEGKYWDIIYLWKSYPVSYAN >scaffold_101463.1 pep chromosome:v.1.0:1:5449325:5453140:-1 gene:scaffold_101463.1 transcript:scaffold_101463.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1745 [Source:UniProtKB/TrEMBL;Acc:D7KPG2] MGICKTRGIVLEPPCPKSVDGISIDPEPNWNFDSLVAEIESVEKKLNGFSMFPQPITNTTLRIGRSGGGFVMRVSDEEMESDDVEEEEEEEDHSQICTAGKRFACDELYLSDESDDEFDHEPEYMMNKMGLAESALYEVINDHQTEIKDDIRNQVSVVETEIMNEIETSRSAIARVEKYSETRKEVERKLDLQYQRKVAEALDTHLTAVQREHKIKSQIEERKIRSEEAQEEARRKERAHQEEKISQEKAHAEAEMLAKIRAEEEKKEVERKAAKEVAEKEVADRKAAEQKLAEQKAVIESVTGGSATSNAQAGGKSIRAAENALTLENHRLKKLEELETMNQSLKSRSNENFSSFEKHIGRVIRQISGTKDSVSGKINEIVKIFKDPRCPVSISIAAFAKKMVTTKEKPNPFASSYVIVYITSQFPQAMDILLAEFHKACIYTVPKHIINSQSAWDSDAYERLDSIMRLYGALVQTDIRGGNATNVHGIEHGWAWLARFLNKIPANRATATALNSFLQTAGFGLHQRYKSQFVKVVNVVREHFLQKLKAKKDTSDLLLIIAEITAYLDDRMYLKEPEGRSMKTTSTLSSEYTAEINQQNDNQNYKNNYYRGYY >scaffold_101476.1 pep chromosome:v.1.0:1:5509320:5510272:-1 gene:scaffold_101476.1 transcript:scaffold_101476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPLHLHLQRKKKLIDISKMKMGGSKRRVSSKGLGAVLKEQRAKLYIIRRCVVMLLCWHD >scaffold_101479.1 pep chromosome:v.1.0:1:5532782:5533857:1 gene:scaffold_101479.1 transcript:scaffold_101479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSVDESTTSTGSICETPAITPAKKSSVGNLYRMGSGSSVVLDSENGVEAESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAARAYDVAVHRFRGRDAVTNFKDVRMDEDEVEFLNSHSKSEIVDMLRKHTYNEELDQSKRRRNGNGNMVRTMLTSGLSNDGVSTTEFRSAEALFEKAVTPSDVGKLNRLVIPKHHAEKHFPLPSSNVSVKGVLLNFEDVNGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLRAGDVVSFSRSNGQDQQLYIGWKSRSGSDLETGRVLRLFGVNISPESSRNDVVGNKRVNDTEMLSLVCSKKQRIFHAS >scaffold_101482.1 pep chromosome:v.1.0:1:5539923:5540126:-1 gene:scaffold_101482.1 transcript:scaffold_101482.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KQE0] MATSLISQPFQIQKVSMEGGVHALKSSFVETLYALRVGSIVHIKKPNTKAHY >scaffold_101490.1 pep chromosome:v.1.0:1:5576476:5578277:1 gene:scaffold_101490.1 transcript:scaffold_101490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7KQE4] MIKKFSNMDYNQKRERCGKYIEALEEERRKIHVFQRELPLCLDLVTQAIEACKREIPEMTTDNVYGQPECSEQTTGECGPVLEQFLTIKDSSTSNEEVDEEFDDEHGNHDPDNGSEDKNTKSDWLKSVQLWNQPDHLLPKEERLQQETMETVTRDESMRKDPMVNGCEGRKREAEKDGGGGRKQRRCWSSQLHRRFLNALQHLGGPHVATPKQIRELMKVDGLTNDEVKSHLQKFRLHTRRPRQTVPNNGNSQTQHFVVVGGLWVPQSDYSTGKTTGEATTSSTTTTTGIYGAMAAPPPPQWPSHSNFRPSIIVEEGSGSHSEGVVVRCSSPAMSSSTRNHYVKNN >scaffold_101491.1 pep chromosome:v.1.0:1:5580131:5583113:1 gene:scaffold_101491.1 transcript:scaffold_101491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLFNINTLLMVSFHALMRFRVCQWKLASLFTPSTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTRSSEFEIVSTPVICGHGVKESGAMVISDSDITVIVKLIKIRSNVPD >scaffold_101493.1 pep chromosome:v.1.0:1:5590817:5594518:-1 gene:scaffold_101493.1 transcript:scaffold_101493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVDEPLYPIAVLIDELKNDDIQLRLNSIRRLSIIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEYAHVLLPPLETLSTVEETCVREKAVDSLCRIGAQMRENDLVEHFTPLAKRLSAGEWFTARVSACGIFHIAYPSAPDLLKTELRSIYGQLCQDDMPMVRRAAATNLGKFAATIESAHLKTDIMSMFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVTFSQDKSWRVRYMVANQLYELCEAVGPEPTRTDLVPAYARLLRDNEAEVRIAAAGKVTKFCRILNPELAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEHLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVHSIREAAANNLKRLAEEFGPEWAMQHIVPQVLEMINNPHYLYRMTILRAVSLLAPVMGSEITCSKLLPAVITASKDRVPNIKFNVAKMMQSLIPIVDQAVVENMIRPCLVELSEDPDVDVRFFANQALQSIDNVMMSS >scaffold_101496.1 pep chromosome:v.1.0:1:5600918:5601546:-1 gene:scaffold_101496.1 transcript:scaffold_101496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKLLAITRTDSAEKKRVRDELSDEAVLDSPEVKRLRDDLFDVLDDSDPEPVSQDLDSVMKSFQDELSTVTTTTGQGSSAGETQPYLGYLLEASDDELGLPPPPSISPVPVAKEEETTETLTDLVRASSDSSGIDEIWGFENHVTNYGDLDFGSGVGDGGDYVAVEGLFEFSDDCFDSGDLFSWRSESLPAE >scaffold_101499.1 pep chromosome:v.1.0:1:5623656:5624036:-1 gene:scaffold_101499.1 transcript:scaffold_101499.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQF3] MVAGEIYFQNVEARISGKDGYNSNLSSFSPSGDDIFEADDKSSCAIDKPISSLLPHPALHPPSEKISSPTSPLLHEPSHSAALEIYPSKPEIFPAVDCRNSVEPPPHTHLYG >scaffold_101502.1 pep chromosome:v.1.0:1:5632309:5633999:1 gene:scaffold_101502.1 transcript:scaffold_101502.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7KQF6] MIMWLRESSLLVVESRELVMHAKCSTESLNQMFLFGTLCSMVSANKVFCEMVEKNVVIWTSMINGYLLNKDLVSARRYFDLSPERDIVLWNTMVAGYIEMGNMMEARSLFDQMPCRDVMSWNTVLEGYANIGDMEACERVFDEMLERNVFSWNGLIKGYAQNGRVSEVLGSFKRMVDEGSVFPNDATLTLVLSACAKLGAFDFGKRVHKYGENLGYNKVDVNVKNALIDMYGKCGAIEIAMEVFKGIKRRDLISWNTMINGLAAHGHGTEALDLFHEMKNCGISPDKVTFVGVLCACKHMGLVEDGLAYFNSMFTDFSITPQIEHCGCVVDLLSRAGFLTQAVEFINKMPVKADAVIWATLLGASKVYKKVDTGELALKELIKLEPRNPANFVMLSNIYGDAGRFDDAARLKVAMRDTGFKKEAGISWIETDDGLVKFYSSGEKHPRTEELQRILGELKSFNILLDEEEEL >scaffold_101505.1 pep chromosome:v.1.0:1:5643494:5645720:-1 gene:scaffold_101505.1 transcript:scaffold_101505.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein GT-1 [Source:UniProtKB/TrEMBL;Acc:D7KQG0] MFISDKSRPSTDFYKDDNPNSSTTTTRDMMIDVTTTNESVDLQSHHHHNHNHHNRHLHQPQPQQQILLGESSGEDHEVKAPKKRAETWVQDETRSLIMFRRGMDGLFNTSKSNKHLWEQISAKMREKGFDRSPTMCTDKWRNLLKEFKKAKHHDRGNGSAKMSYYKEIEDILRERNKKVTPQYNKSPNTPPTSAKVDSFMQFTDKGFDDTSISFGSVEANGRPALNLERRLDHDGHPLAITTVDAVAANGVTPWNWRETPGNGGDSHGQAFGGRVITVKFGDYTRRIGVDGSAEAIKEAIRSAFGLRTRRAFWLEDEDQIIRCLDRDMPLGNYLLHLDNVCKTHLAGLAIRVCHYDESNQLPVHSEEKIFYTEEDYRDFLARRGWTCLQVDGFRNIENMDDLQPGAVYRGVR >scaffold_101509.1 pep chromosome:v.1.0:1:5655864:5657046:-1 gene:scaffold_101509.1 transcript:scaffold_101509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRRLAGLKGLPFPQNAKLTVSYSITVNNVTTAYRDPVVFIPVLDQEASANAKEEDRVPCCFCFSYVPEAKPQQPDPYDIYQQFEIHQPKSLSRNYFATSVAPDGVPPEFLKRKYWTVEYSTSEDFGLRDDAKGIYNEIRSELPNDVNASVVVGKWYVPFISVKEGNAKEQLKSSTYYSMILIQRWEEVYSCEKAYNENREAVINVEVETEVVRDKRLGRRMGLRTAVTERMKWEEERFGWKSEPRRAVVKRSERFDGGGSNWKNYRCYVFVESFELRRKDESLVLAFEFKHVDKLRTKWES >scaffold_101520.1 pep chromosome:v.1.0:1:5693526:5694157:-1 gene:scaffold_101520.1 transcript:scaffold_101520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7KQH3] MNTIPAELTGYFHYLSPDKYNNQNPIMESEYFNMPSSPTSSSTFYHLNGLINNNKYSSSFNGQDLMTSNNSTSDDDHQQSMVIDERKQRRMISNRESARRSRMRKQRHLDELWSQVKRLRTDNYCLIDKLNRVSESHELALKENAKLKEETSDLRQLISEIKSNNEDDNSFLRELEDSISNSRSDSNQSGRDFELC >scaffold_101521.1 pep chromosome:v.1.0:1:5701193:5702061:1 gene:scaffold_101521.1 transcript:scaffold_101521.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQH4] MISWKSFDIGDSSTPAVVLPSAHHLYRLRWRRRVRLMYSARWGLEIGEKFTRSPIFDSKSESLLQVLQHECQFKEAVEFMEALGLKKQCSCVMFFNMNVR >scaffold_101524.1 pep chromosome:v.1.0:1:5720284:5720689:1 gene:scaffold_101524.1 transcript:scaffold_101524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLRVTIAVFLAALVFTATFSNSVVEANKKEIIITFTCKKKSDCFTNIACEACVDCRCDKGLCKCHGFGGDKGNPTAAPLTP >scaffold_101532.1 pep chromosome:v.1.0:1:5744381:5745089:1 gene:scaffold_101532.1 transcript:scaffold_101532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMARDSSTSEEKTHKPNCERNVSGELDVFEATRYFSDFNEPTMIQIHKQSIVTENRQKRVHPETEEQLPKPRVVVIKPQKKEMTRGGGKKLTSFLNSLLRSAGLKKSKSTTEVETPRGERMRRKSCVVTTHVEASSPISCAGAWSLNARRRSFDEKDVKDLKKSDQKLNIRFCESLYSVQRVECKNPSAGDENDVNGGCESDSSSESDLFELDLFAKTNP >scaffold_101535.1 pep chromosome:v.1.0:1:5753319:5754448:-1 gene:scaffold_101535.1 transcript:scaffold_101535.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucosamine/galactosamine-6-phosphate isomerase family protein [Source:UniProtKB/TrEMBL;Acc:D7KQI9] MALTWTHKDRGEIRVHENLEELSIDLVDYIAEISEASIKEHGAFCIVLSGGSLISFMGKLIEPPYDKIVDWAKWYVFWADERVVAKSHDDSNYKLAKDNLLSKVNVFPRHICSINDTVSVEEAATEYEFAIRQMVRTRTVAASDNSDSPRFDLILLGMGPDGHVASLFPNHPALEVKDDWVTFLTDSPKPPPERITFTLPVINSAANVVVVATGESKANAMHLAIDDIPLPESSLSLPARLVHPSNGNLIWFMDKPAGSKLERFKFSE >scaffold_101540.1 pep chromosome:v.1.0:1:5782631:5783674:-1 gene:scaffold_101540.1 transcript:scaffold_101540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGWLKMSIRRAATAGVHDSFTISRNYRYLLACAVDSCSARLETIKYDIAQIDFSCMQPNWNLFSDKDFGFIILTTVEYKRSSDGVIHDAFEIARDYKDVLATYAF >scaffold_101542.1 pep chromosome:v.1.0:1:5791400:5793788:-1 gene:scaffold_101542.1 transcript:scaffold_101542.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7KQJ7] MSSRPTFVRTLFDLNEQAYIKASPTVLGSDGQHMEWVLVEYSSPYPSDDDWIGVFSPGDFNASICPAEIKYVDPPLLCSAPIKFQYANYSNARYTSTGNASLKLQLINQRADFSFGLFSGGLLNPTLVAVSNKVVFENPNAPLYPRLALGKEWDEITVTWTSGYGLDIAEPVVEWGIMEGERKFSPAGTLTFGRNSMCGDPARTVGWCDPGYIHTAFLKELWPNSKYTYRVGHKLFSGAHIWSKENQFKSSPFPGQDSLQRVVIFGDMGKAEVDGSNEYKDFQRASLNTTKQLIRDLKNTDAVFHIGDICYANGYLSQWDQFTAQIEPIASTVPYMVASGNHEHVWPNSGSFYQGLDSGGECGVPAQTMFYVPAENRAKFWYSSDYGMFRFCVANTELDWREGTEQYNFIEHCLASVDRQKQPWLIFLAHRVLGYSSADLYAEQASFAEPMGRDGLQNLWQKYKVDIAVYGHAHNYERTCPIYQVKKVSFMSIYNQRLFLLDERSDCFCYPFVLLC >scaffold_101543.1 pep chromosome:v.1.0:1:5794689:5797374:1 gene:scaffold_101543.1 transcript:scaffold_101543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEVSDCGSIILEEWNGSSSTKLFKTATITASASLSIQRSANRFNHVWRRVLQAFVPEGFPGSVTPDYVGFQFWDTLQGLSTYIKMMLSTQALLSAIGVGEKSATVIGATFQWFLRDFTGMLGGILFTFYQGSNLDSNAKMWRLVADLMNDIGMLMDLLSPLFPSAFIVVVCLGSLSRSFTGVASGATRAALTQHFALQDNAADISAKEGSQETMATMMGMSLGMLLARFTSGNPMAIWFSFLSLTVFHMYANYRAVRCLVLNSLNFERSSILLTHFMQTGQVLSPEQVSSMEGVLPVWATSLRSTNSKLLHKRVHLGVRVSSLPRLEMMQLLNGVGASSYKNAKYLLAHRKGNVSVILHKDSSAADVLKSYIHAIVLANLMEKSTSFYSEGEAWIDKHYDEFLHKLRSGGWKTERLLSPSITWRANWISHTSAAKID >scaffold_101545.1 pep chromosome:v.1.0:1:5804172:5806864:-1 gene:scaffold_101545.1 transcript:scaffold_101545.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KQK1] MRVIPSSLLSHVRGLIRRGPSSRWYVVPALSRTNITISHSEQVKEGTFDYKALELNEIGVLRVLNSMKDDPYLALSFLKRIEGNVALPSVQAYATVIRIVCGWGLDQKLDTFLVELVRKGDAGRGFSVMELLKAIGEMEQSLVLLIRVSTALVKAYANLEMFEEAIDIFFRTYHSLGRAPDIKALNFLLNRMIASGRTDMVVGVFWEIERLGLDADAHTYVLVVQALCRNDDKEGVDKLLIRLLNSETRNPCVFYLNFIEGLCLNQMASMAYLLLQPLREVNILVDMSDLGIAYRRVVRGLCNEMRIEDAEKAFLDMEEHGIDPDVYVYSAIIEGHRKTMNIPKAFDIFNKMVEKGKRINCVIGSSILQCCCQMGNFSGAYDLFEEFRELNIPLDRVCYNVAFDALGKLGKVEEAIELFREMTGKGIAPDVINYTTLIGGCCLQGKCSDAFDLMIEMDGSGKTPDIVIYNVLAGGLARNGLSQEALETLKLMEDRGVKPTYVTHNMVIEGLIVAGKLDKAEAFYESLEHKSRENDASMVKGYCEAGCLDQAFERFIRLEFPLPKSVYFTLFTSLCAEKNHISKAQDLLDRMWELGVEPEKSMYGKLIGAWCRVNNVRKARQFFEILVTKEIIPDLFTYTIMINTYCRLNELKQAYALFQDMKRRDVKPDVVTYTVLLNSNPELDVKREMEAFDVKPDVVLYTIMINRYCQLNDVKKAYALFKDMKRREIVPDVVTYTVLLKNNPELDLTREMKAFDVKPDVFYYTVLIDWQCKIGDLKEAKGIFDQMIESGVDPDAAPYTALIAGCCKMGNLKEAKMIFDLMIESGLKPDFVSYTTLIAGFRRNGFVRKAFTLMKEMLEKGIKPTQASLSAVHYAKSKAKGLR >scaffold_101551.1 pep chromosome:v.1.0:1:5825678:5826628:-1 gene:scaffold_101551.1 transcript:scaffold_101551.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DRL1 protein [Source:UniProtKB/TrEMBL;Acc:D7KQK7] MALVVICGQPCSGKSIAAVTLAEALKESETKQNVRIIDEASFHLDRNQNYVNMPAEKNLRGKLRSDVDRSVSRGDIVIVDSLNSIKGYRYELWCIARAAGIRYCVVYCDVDEAHCRQWNKERSDRGEDGYDDGIFEDLVRRFEKPERRNRWDSPLFELYPSREVIDKSSSVILEAVTYLTKTVDSKTQDVRILQPSIATQAARFSEANSLYELDRATQEIINAIVEQQSLGGAISRVTLGNELPPIEISRPIGLPELRRLRRTFVKLMGQSSLSGPPLPTDAESAKRRFVDYLNREFGGNNA >scaffold_101554.1 pep chromosome:v.1.0:1:5831900:5834033:-1 gene:scaffold_101554.1 transcript:scaffold_101554.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:D7KQL0] MIANFSFFFLLLFVSGFVFSANAKATISISPNVLNRSGDSVVIQWSGVDSPSDLDWLGLYSPPESPNDHFIGYKFLNESSTWKSGFGSISLPLTNLRSNYTFRIFRWSESEIDPKHKDHDQNPLPGTKHLLAESEQLSFGSGVGMPEQIHLSYTNMVNTMRVMFVAGDGEERFVRYGESKDLLGNSAAARGMRYEREHMCNSPANSTIGWRDPGWIFDTVMKNLNDGVRYYYQVGSDSKGWSEIHSYIARDVTAEETVAFMFGDMGCATAYTTFIRSQDESISTVKWILRDIEALGDKPALISHIGDISYARGYSWVWDEFFAQVEPIASKVPYHVCIGNHEYDFPTQPWKPDWAASIYGNDGGGECGVPYSLKFNMPGNSSESTGMKAPPTRNLYYSYDTGSVHFIYISTETNFLKGGSQYEFIKRDLESVNRKKTPFVVVQGHRPMYTTSNEVRDTMIRQKMVEHLEPLFVNNNVTLALWGHVHRYERFCPISNNTCGKQWQGNPVHLVIGMAGQDWQPIWQPRPNHPDLPIFPQPEQSMYRTGEFGYTRLVANKEKLTVSFVGNHDGEVHDTVEMLASGEVISGNKESTKIPNLKTVPASATLMGKSESNALWYVKGAGLMVVGVLLGFIIGFVTRGKKSSSGNRWIPVKNEET >scaffold_101557.1 pep chromosome:v.1.0:1:5839575:5840264:1 gene:scaffold_101557.1 transcript:scaffold_101557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIQSLILFDRCNLIHLIAFQELLQETWKNCESYTGKHNSEIARVLFPDDVSRGLKFCDEKEALYRKIVAEKTKPLDGLIKLTKWIEDCGLKRAAVTNAPKENAELMISKLGLTDFFQAVILGSECEHPKPHPGPYLKALEVLNVSKEHTLVFEHSISRIKAGVDHC >scaffold_101566.1 pep chromosome:v.1.0:1:5881802:5883097:-1 gene:scaffold_101566.1 transcript:scaffold_101566.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family protein [Source:UniProtKB/TrEMBL;Acc:D7KBF9] MDLRRSSIVLLIFSILSPVTLSIRYEIHSGHTKCISEEIHANAMSVGKYSIINPNEDHPLPSSHKVTVRVTSPQGTAYHESDGVESGQFSFTAVETGDYISCFSAVDHKPETTLIIDFDWRTGIHTKDWSNVAKKSQVETMEFEVKKLFETVNSIHDEMFYLRDREEEMHNLNIATNSKMAWLSFVSLAVCLSVAGLQFWHLKNFFQKKKLI >scaffold_101571.1 pep chromosome:v.1.0:1:5926831:5927561:-1 gene:scaffold_101571.1 transcript:scaffold_101571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEDWTVKIYVLLCGITPPKGGGGSVGRILTEIASKIFTTPKDARIPKTYEQKDQEPNRIILTDRQRQIQSHMEPTIEERIQRAFPRHHPTLAEQVLKRR >scaffold_101576.1 pep chromosome:v.1.0:1:5942351:5943444:-1 gene:scaffold_101576.1 transcript:scaffold_101576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKAVGVAEEEGKGSLLLLSRLSSALVKAYANLEMFDDVIDIFFQTSPLRCFRANRAAAVGCGCTYVLVVQALSRNEDTKGVEKLLSRLLNSETRNLCVFYLNFIEGLYLNQMTVEPGKSMFGELICAWCRVKNVRKARWNFNVLVARGIIPDLYTFTIMISTHCRLNELNEAYALFIRMKTMGIKPDVVTCIVLLNIPKLDTKREMKAFDVKPDVVYYTVLIAQQCKIQNLQEAERIFAEMIESGLEPDVVPYTALIAGCCRNGFVHKAVTLMQEMWEKGIEPTKASLSAVHYAILKAKRLRSRK >scaffold_101584.1 pep chromosome:v.1.0:1:5964430:5965521:-1 gene:scaffold_101584.1 transcript:scaffold_101584.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casparian strip membrane protein 6 [Source:UniProtKB/Swiss-Prot;Acc:D7KBH3] MEEAKHIEAVEAKQIEAEEAQRIKAGEAKQIEAGETSRSSRKVITFEPKLVINKGISVLGFVLRLFAVFGTIGSALAMGTTHESVVSLSQLVLLKVKYSDLPTLMFFVVANAIAGGYLVLSLPVSIFHIFSTKAKTSRIILLVIDTVMLALVSSGASAATATVYLAHEGNTTANWPPICQQFDGFCERISGSLIGSFCAVILLMLIVINSAISLSRH >scaffold_101587.1 pep chromosome:v.1.0:1:5974839:5975260:-1 gene:scaffold_101587.1 transcript:scaffold_101587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSATLFMVCCLLMFFLMNNIKEVETVHVEQAGVCEFTGEFPGKCGNDGRKTCIEAMNKNGGTPLKRNKNLRCECFDNPVVILGRPKRICRCRDNC >scaffold_101589.1 pep chromosome:v.1.0:1:5978272:5978858:-1 gene:scaffold_101589.1 transcript:scaffold_101589.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KBH8] MSSENDFAEFSSFFERMIQGGGDGLSRFLPVIVALAAREDDDDQESSNQTTNPRLVMIRSGYGLDDFFSGGEKQGRSPASKSAVENMPRVVIGEDKEKDGGSCAICLEEWSKGDVATEMPCKHKFHSKCVEEWLGMHATCPMCRYEMPVEEVEEEKKVGIWIGFSINAGERRNAEDGGRRS >scaffold_101597.1 pep chromosome:v.1.0:1:6003237:6004618:1 gene:scaffold_101597.1 transcript:scaffold_101597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLTSSSSTPNVSFDFMMNNNNNSNNLYGPFSSSSTSFSYLISKEDTLTQKNLMSGINMNINPDVLGVNKKASEDLEISVFGAEKYFNGDMDSDHSPRLVSSLPDPEVPVERIFVPPKQSSKNSSETPSLRSESSWNSQSLLLHNKYMEKKKNIKNNSSCNSYFQEKDISTNHKVSNKKSFLATLGCRCVCSNWSSVDVVDEKRRTSGLKKIRTQLSFSGDLSSEMKIHQQQQEAMLEQRKSLEIFGSPLIEKRIIQKKFPWEYSSSVKKEEHGFSVKHEEEEDGSVSDVSTDLFEIESLTGKAKPFLARQGSSDPDSPNGYAPSEVSIQWSVVTASVADFSVMSECATSPVKKNRSFPIPRIPIMAKSNRETAPQRRKSSSGGLLMGCKSHKSVRVSGDSYTSMNRTPSYVPRFPVEANPTSIETRRRISSSSVSHTQSPFLYT >scaffold_101598.1 pep chromosome:v.1.0:1:6005755:6007511:-1 gene:scaffold_101598.1 transcript:scaffold_101598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFAISDEFLGTFVPILVYWVYSGMYICLGSLDKYRLHSKIDEDEKNLVTKSAVVKGVLLQQTLQAIISVILFKITGSDADAATTQQFSILLLARQFIIAMLVIDTWQYFIHRYMHLNKFLYKHIHSQHHRLVVPYSYGALYNHPLEGLLLDTIGGALSFLFSGMSPRTAIFFFSFATIKTVDDHCGLWLPGNPFHIFFSNNSAYHDVHHQLYGTKYNFSQPFFVLWDRILGTYLPYSLEKRANGGFETRPIKVSKDE >scaffold_101599.1 pep chromosome:v.1.0:1:6009207:6011355:-1 gene:scaffold_101599.1 transcript:scaffold_101599.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7KBI8] MATELEELVEFLSSPSPPVKKAAVEIVSGLTGSEEGLQSLSKYSEILLPSLSRLLNESKEVSEPAAQALVNLSQNSELARKMIQMGLIKVAMDMLYKPESCITRLLVMLLVNLTQLDDGVSSLLQIDDEKMHGLHIMKLVRSFCRSSGETTDDQFEHVGSILVNISKTEDGRKLLLEPKRGLLKQIIRQFDSTNQLRKKGVAGTIRNCCFEAKNQLQNILLISEFLWPALLLPVAGSKTYSEQDIAKMPPELGSALSIEREPVTDPDIRVQTLEAIYLIILEEAGRRAFWSVNGPRILQVGYEYEEDPKAMRAYEQVGSLLVEEAGGPEESLEPIKAES >scaffold_101601.1 pep chromosome:v.1.0:1:6014513:6015694:1 gene:scaffold_101601.1 transcript:scaffold_101601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKGKPYPKSRYCRGVPDPKIRIYDVGMKRKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMVKSAGKDAFHLRIRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKALGTCARVAIGQVLLSVRCKDAHGHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRADFTKLRQEKRVVPDGVNAKFLSCHGPLANRQPGSAFLPAHY >scaffold_101606.1 pep chromosome:v.1.0:1:6038925:6040614:-1 gene:scaffold_101606.1 transcript:scaffold_101606.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose transporter 3 [Source:UniProtKB/TrEMBL;Acc:D7KBJ4] MEAHGSGLRRVLLLSFCVAGIWAAYIYQGVLQETLSTKKFGEDGKRFEHLAFLNLAQNVICLVWSYIMIKLWSNGGSGGAPWWTYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGSLVYGIRYTLPEYLCTFLVAGGVSMFALLKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSITARYPKTNAWDIMLGMNLWGTIYNMVYMFGLPHGSGFEAVQFCKQHPEAAWDILMYCLCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSVLSGNPLSSKQWGCVSMVFGGLSYQIYLKWRKLQRMQKKKKT >scaffold_101614.1 pep chromosome:v.1.0:1:6063102:6064836:1 gene:scaffold_101614.1 transcript:scaffold_101614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQERFKKNLNAVVFSFFFFFLCSTSDLLLPRSPLAILTGGRWDLLQPSVGISAMHMQLLHNNKVVIFDRTDYGPSNLSLPSQTCQNGTVFDCSAHSILYDVASNTYRPLTLRYDTWCSSGSLNASGSLIQTGGYGAGERTVRIFTPCDEGVGSVSCDWVENRAYLSSRRWYSTNQILPDGRIIIVGGRRAFTYEFYPKNPGESVFNLRFLAETRDPNEENNLYPFLHLLPDGNLFIFANRRSILFDFVNHRIIKEFPVIPGGDKRNYPSTGSSVLLPIFLTGENNRSKIMAEVMVCGGAPPGAFLKAARTIPKIFVGASRTCGRLKVTDPNPKWVMEQMPSPRVMSDMLLLPNGDVLIINGAANGTAGWEDATNAVLNPILYLPDEPDPTRRFEILTPTRIPRMYHAASLLLSDGRVLVGGSNPHRNYNFTARPYPTELSLEAYLPRYLDPQYARVRPTIITVELAGNMLYGQAFAVTFAIPAFGMFDGGASVRLVAPSFSTHSTAMNQRLLVLRVRRVSQLSVFAYKADVDGPTNSYVAPPGYYMMFVVHRGIPSVAVWVKI >scaffold_101615.1 pep chromosome:v.1.0:1:6067748:6068738:-1 gene:scaffold_101615.1 transcript:scaffold_101615.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHB31 [Source:UniProtKB/TrEMBL;Acc:D7KBK3] MEIASQEDHDMPIPLNTTFGGGSHGHMIHHHHDHHAANSAPPTHNNNITTQPPPMPLHGNGHGNNYDHHHQDPHHVGYNAIIKKPMIKYKECLKNHAAAMGGNATDGCGEFMPSGEDGSIEALTCSACNCHRNFHRKEVEGEPAATAISPYHQPPPHRKLMLNHHKIRSAMPHQMIMPIGVSNYRYMHNNSESEDFMEEDGVTTASRSLPNLPFNQKKRFRTKFTPEQKEKMLSFAEKVGWKIQRQEDCVVQRFCEEIGVKRRVLKVWMHNNKIHFSKKNNINLEDNDNEKIDNLNNVDLSGNNDMTKIVP >scaffold_101617.1 pep chromosome:v.1.0:1:6084187:6084501:-1 gene:scaffold_101617.1 transcript:scaffold_101617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSAKTMKIALFLLLLITVQTIARPFTDKTGKIPTVPSSPSKGPPPGHGHPPRPSPTVPSSPAKDPPPGHGHPPSQAANDRNTMFMPDK >scaffold_101618.1 pep chromosome:v.1.0:1:6085247:6089240:-1 gene:scaffold_101618.1 transcript:scaffold_101618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVRISDPSKLHLKKELTQIRKVAAKGLRDPGTTSSWKSPLTSSRSVAEPPVTSKNVEILCNNQLDSQFPSSRGFGKEKEKKVFLYNWKTQKTSSEKSDGEDGTSSIQAGLNDDDDVSDARNGGGDSCLGETRSASMIFSCRDTNLVSPGFSKFRKNGFSKKKSKELDLISPYHHQPKEAIFRSSAKARKFPSHHLHAASAEVSDETEDFSNSENPTKVSSPLLSKLKHKNWSRSSSKFLRATSKREDSSLTCNSTPALSTSSYNMYGIRNPSTVGSWEDGDDELDDDNLDFKGRQGCGIPFYWTKRNLKHRGGCRSCCSPSFSDTLRRKGSSILCGSQSAYRRHRHSNGRYNKQKLALRSVKGVLPLLKYGGDSRGGSSIGIGCSDDDLSTDFGELDLEAQSRLDGRRWSTCCKSQDGEGEEEEEEGSTPESIQSLSQKYKPMFFDELIGQSIVVQSLMSAVKKGRIAHVYLFQGPRGTGKTSTARILSAALNCDVVTEEMKPCGYCKECSDYMLGKSRDLLELDAGKKNGAEKVRYLLKKLLTLAPQSSPRYKVFVIDECHLLPSMTWLSLLKFLENPLQKVVFICITTDLDNVPRTIQSRCQKYLFNKVRDGDIVVRLRKIALDENLDVESQALDLIALNADGSLRDAETMLEQLSLMGKRITVDLVNELVGVVSDDKLLELLELALSSDTAETVKKARELLDLGADPVLMMSQLASLIMDIIAGAYKALDEKYSEAFLDRRNLTEADLERLKHALKLLSEAEKQLRVSTDRSTWFIATLLQLGSVPSPGTTHTGSSRRQSSRATDESISREVIAYKQRSGLQCSNSASPTSMRKSGNLVHEVKLSSSSREVLESETSIASHDDTTTSSMTLTCRNSEKLNDIWIKCVDRCHSKTLKQLLYAHGKLLSISEVEGILVAYIAFGEREIKARAERFVSSITNSIEMVLRRNVEVRIILLSETELLNSKQTRQTAVTTSSDNESGNEIPMKRIEAIIQEQRLETEWLQKIPGSQGRLKPERNQILPQEDTNGVKVLKICEMGEFKENQTGKRMEHCPVSPSLLHNSNFTNNKDNLGYESESGRGVCSLLFCWNTQKSPRRTKIKGTSVRSRRSRERRFSLFSGCARPRK >scaffold_101619.1 pep chromosome:v.1.0:1:6091026:6094036:1 gene:scaffold_101619.1 transcript:scaffold_101619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRELTASLAAIASQALTFTQLNQIHAHLIVSNSLHRQSYWASRLISCCTRLRAPPYYARLVFDSVTFPNVFLVNSMFRYFSQMDMANDALRLYEQRSRCGIMPDTFFFPVVIKSAGKFGVLFQALVEKMGIFKDPYVRNVIMDMYAKHESVESARKVFDQITHRKGSDWNVMISGYWKYGNKEEACKLFDMMPEGKIDVVSWTVMITGFAKLKDLENARRCFDCMPEKSVVSWNAMLSGYSQNGFTEETLRLFNDMLRLGVRPNETTWVIVISACSFRADPSLAHSLVKLIGEKRIRLNFFVKTALLDMHAKCRDILSARRIFNELGTQKNLVTWNAMISGYTRIGDMSSARQLFDTMPKRNVVSWNSVIAGYAHNGQPALAIEFFEDMIDYGDSKPDEVTMISVLSACGHMGDLELGDCIVDYIGKKQIKLNDSGYRSLIFMYARCGNLWEAKRVFDEMKERDVVSYNTLFSAFAANGDGVKTLNLLSKMKDEGIEPDRVTYTSVLTACNRAGLLEEGQRIFKSIRNPSADHYAFRVLLDCPFLERNKVDGDGLTFLDILRNLGQNEGGWDLDLEQVVLTTGYNEATSDFSKSPITFWRYCSAIMRGLRSNISDEARGVFLVVCTLIITATYQTALQPCECGFRGDATDFSTRRISSDTSDEARGVFLIICTLLITATYQTALPPPGGVNQSDGHAASAARYSTPFVSYQLPARGLLTLWFVYIGASLCVSYALAIAVISPHPLLFLSACFVLYLLFPLKVLMEVFVRQWCSHQTVAPNPRLSWFWKV >scaffold_101627.1 pep chromosome:v.1.0:1:6124698:6125864:1 gene:scaffold_101627.1 transcript:scaffold_101627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSVIRSALCKSEEYKHLMKNEDRENMKWLKVFNKCDLYSKRKIRSKHVSI >scaffold_101628.1 pep chromosome:v.1.0:1:6128189:6128488:1 gene:scaffold_101628.1 transcript:scaffold_101628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPALSIVQDLPSCWIRLVTEHKPLSPGTQTEHNQGCSQLAMFGKILMRNMTISREDKFSSSIHVTPLFHISSRRHPKSTLKA >scaffold_101629.1 pep chromosome:v.1.0:1:6133985:6135676:-1 gene:scaffold_101629.1 transcript:scaffold_101629.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inisitol oxygenase [Source:UniProtKB/TrEMBL;Acc:D7KBL7] MTILIDRHSDQNDAGDEIVEKNQGNVKEEETELVLDAAFEAPHTNSFGHTFRDYDAESERRRGVEEFYRVNHIGQTVDFVRKMREEYRKLNRTEMSIWECCELLNEFIDESDPDLDEPQIEHLLQTAEAIRKDYPDEDWLHLTGLIHDLGKVLLHPSFGELPQWAVVGDTFPVGCAFDESIVHHKYFKENPDYDNLSYNTKYGIYTEDCGLDNVLMSWGHDDYMYLVAKENQTTLPSAGLFIIRYHSFYALHKSEAYKHLMNNEDRENMNWLKVFNKYDLYSKSKVRINVEDVKPYYLSLINKYFPAKLKW >scaffold_101631.1 pep chromosome:v.1.0:1:6140146:6141536:-1 gene:scaffold_101631.1 transcript:scaffold_101631.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7KBL9] MAIFKILVLLLSLSCFCQAQLSPSFYDQTCPNALSTIRSSIRTAISRERRMAASLIRLHFHDCFVNGCDASVMLVATPTMESERDSLANFQSARGFEVIDQAKSAVESVCPGVVSCADIIAVAARDASEYVGGPRYNVKVGRRDSTNAFRAIADSGDLPNFRASLNDLSELFLKKGLNTRDLVALSGAHTLGQSQCLTFKGRLYDNSSDIDAGFSSTRKRRCPVNGGDTTLAPLDQVTPNSFDNNYYRNLMQKKGLLETDQVLFGTGASTDSIVTEYSRNPSRFASDFGAAMIKMGDIQTLIGSDGQIRRICSAVN >scaffold_101633.1 pep chromosome:v.1.0:1:6146622:6148454:1 gene:scaffold_101633.1 transcript:scaffold_101633.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7KBM1] MGSSQGSTLSADVMSLVDTLPVLAKTLIAGGAAGAIAKTAVAPLERIKILLQTRTNDFRTLGVSQSLKKVLQFDGPLGFYKGNGASVIRIIPYAALHYMTYEVYRDWILEKNLPLGSGPIVDLVAGSAAGGTAVLCTYPLDLARTKLAYQVSDTRQSLRGGANGFYRQPTYSGIKEVLTMAYKEGGPRGLYRGIGPTLIGILPYAGLKFYIYEELKRHVPEEHQNSVRMHLPCGALAGLFGQTITYPLDVVRRQMQVENLQPMTSEGNNKRYKNTFDGLNTIVRTQGWRQLFAGLSINYIKIVPSVAIGFTVYESMKSWMRIPPREISKPA >scaffold_101634.1 pep chromosome:v.1.0:1:6149138:6152886:1 gene:scaffold_101634.1 transcript:scaffold_101634.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KBM2] MEGMLSSGDQQRLVSSFLEIAVGQTAETARQFLQATSWKLEEAIQLFYIGNEGGMLPSGTHTQPASNDHVAAQSWGAATGTGNERILQNDVDEVRAPLPVVRETLYGESVYYGTMRVGNSQPEPNSLIAFRNFSEEPKSPGIWEPDEGDSSASASASASASASASESASAPRDSLASLYRPPFHLMFHGSFEQAKATSSSQDKWLLVNLQSTTEFSSHMLNRDTWANDAVSQTIKANFIFWQVYDDTTEGRKVCTYYKLESIPVVLVIDPTTGQRMRIWSGMVEPENLLEDLVPFMDGGPREHFASLSKKRPRGSFSLAPHSKPKEDVAKDEEEEELQRALAASLEDNSMKESSDDQSTMTPEEVAVEAVTSAVLPTFPPLPEEPKGGDRSLQCRVGIRLPNGQRLQRNFLKTDTIQLLWSFCYSQLEESERKKPLKLTQAIPGESKTLDYESNLTLEQSGVANSMISATWE >scaffold_101639.1 pep chromosome:v.1.0:1:6182221:6183814:-1 gene:scaffold_101639.1 transcript:scaffold_101639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSLRLLAKPLLESKRGFCTSSDKIVASVLFERLRVVIPKPDPAVYAFQEFKFNWQQQFRRRYPDEFLDIAKNRAKGEYQMDYVPAPRITEADKNNDRKSLYRALDKKLYLLIFGKPFGATSDKPVWHFPEKVYDSEPTLRKCAESALKSVLGDLTHTYFVGNAPMAHMAIQPTEEMPDLPSYKRFFFKCSVVAASKYNISNCEDFVWVTKDELLEFFPEQAEFFNKMIIG >scaffold_101644.1 pep chromosome:v.1.0:1:6205521:6206430:1 gene:scaffold_101644.1 transcript:scaffold_101644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSREVDDFIKETIDHSLGLPISMDALKKKLYTAEESQRRLREQYLSLVSRLKEKDKVIDLVRSEASMNAQSLKKFVEENQKLASECEDLVNQCKKWERECILYHQDRESLMEFGNETDERAREAESRVRELEEEVRKMSDEIKNRIESEEECLVDSILTSFVSKDESKSLGRIFLEANVEDKCCQTLLRKWDQLKPTTQKVVSLVSMVKRIEKEKECLILNLAKAEQEVELVSEQNRELDRENRKLLRQCCAERSHGSNKFIKRKSLKLMSSPIEKRIELSSQEFLD >scaffold_101646.1 pep chromosome:v.1.0:1:6209070:6210141:-1 gene:scaffold_101646.1 transcript:scaffold_101646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWNRAAVALKDGPSLIAADDILTAAVVKATSHDELSIDTESAQFIYRHVLSSPSSLKHLVSLISSRVKRTRSWAVALKGLMLMHGFFLCKTTVAESIGRLPFDLSSFGEGSSRIMSKSGGFNLFVRAYFAFLDRRSILFHDGNRHRYNEESSVLIRLVIIRKMQIIVDSLIRIKPIGETMNIPLINEAMENVISEIMEIYGWTCRRIAEVLPNVHSKIGKTEADLALKIVSKSTKQGRELKKYFEFCKDLGVSNAQEIPNFVRIPEADVIHLDELVRTSEIDSCEEGVERTEIVVEEEEEEIETRPELVSDLITLDHDEEAPASPTRVVVVDIPDLISF >scaffold_101648.1 pep chromosome:v.1.0:1:6215299:6216775:-1 gene:scaffold_101648.1 transcript:scaffold_101648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGFALCVSCGATATACHLWGHRIKGDDGPLGVVFEDTVLYGQALNIGTSMTVVGEAVRDKAGNLMIQKSKEQSLMVFCGENSFDKMVGNMKSNSEFYIFYSKIFGTVAVAVAVVYGVDFVRKVLLPFVWKKKDLGNNNRSENDNSDSEDTHQ >scaffold_101655.1 pep chromosome:v.1.0:1:6245781:6246318:1 gene:scaffold_101655.1 transcript:scaffold_101655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKRRCRQIPQEIVEDILVKLQVRSLMRFKAVSRDWRGTIESKFFLDRHFRFQKSLGEQARILTISSETRYDRLAFDTMLFSTNGIVHEISPYPPISPIHRFKGSKISIPCDGLFCLYSCTLMSILNPATTCCRSLPYPTSTIYCGTYNESPSYFQSTFLMIN >scaffold_101664.1 pep chromosome:v.1.0:1:6272328:6276012:-1 gene:scaffold_101664.1 transcript:scaffold_101664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKSLIGLINKIQRACTVLGDHGGEGMSLWEALPTVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTEEGTTEYAEFLHAPKKRFADFAAVRKEIEDETDRITGKSKQISNIPIQLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVRSYVEKPNCIILAISPANQDIATSDAIKLAREVDPTGERTFGVATKLDIMDKGTDCLDVLEGRSYRLQHPWVGIVNRSQADINKRVDMIAARRKEREYFETSPEYGHLASRMGSEYLAKLLSQHLETVIRQKIPSIVALINKSIDEINAELDRIGRPIAVDSGAQLYTILELCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDRHLSTKNVQKVVSEADGYQPHLIAPEQGYRRLIDGSISYFKGPAEATVDAVHFVLKELVRKSISETEELKRFPTLASDIAAAANEALERFRDESRKTVLRLVDMESSYLTVEFFRKLHLEPEKEKPNPRNAPAPNADPYSDNHFRKIGSNVSAYINMVCDTLRNSLPKAVVYCQVREAKRSLLNFFYAQVGRKEKEKLGAMLDEDPQLMERRGTLAKRLELYKQARDDIDAVAWK >scaffold_101668.1 pep chromosome:v.1.0:1:6295623:6295930:1 gene:scaffold_101668.1 transcript:scaffold_101668.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCK4] MQETIKNVRWDSSIRTRTTVAVARECSDAQSKPAKRKLPILTVSSSPEIMTLLLIAYLVASLIDAVCLAWEALRKFNPLYFLDTSGYA >scaffold_101674.1 pep chromosome:v.1.0:1:6315505:6316235:-1 gene:scaffold_101674.1 transcript:scaffold_101674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLHHGSASDTPHSSESPSISLPPYPEMIMEAIESLNDKNGCNKTTIAKHIESTQQTLPPSHTTLLSYHLNQMKKNGQLIMVKNNYMKPDPDAPPKRGRGRPPKQKTQAESDAAAAAAAAVSADPPRSRGRPPKPKDPSEPPKEKVTTGSGRPRGRPPKRSRTDAETVAAPEPAAQATGERRGRGRPPKVKTTVVAPVGC >scaffold_101675.1 pep chromosome:v.1.0:1:6317481:6317946:1 gene:scaffold_101675.1 transcript:scaffold_101675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLLHVSYASFDSQGFTVIVVLSTQAFADELGIPFLETSAKNATNVEEAFMAMTAAIKTRMASQPAGGSKPPTVQIRGQSVNQQSGCCSS >scaffold_101676.1 pep chromosome:v.1.0:1:6320351:6320561:-1 gene:scaffold_101676.1 transcript:scaffold_101676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSVATKSKTVEEDGAAAETAIEERWSLYEAYNESLWRRNSRRRPKRGGTADQW >scaffold_101687.1 pep chromosome:v.1.0:1:6370525:6371903:1 gene:scaffold_101687.1 transcript:scaffold_101687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGPTFFFLLSTLVLAVSVKSPPPPLFPDEALPTKSGYLPVKPASGSSMFYAFYEAQEPTTLLPDTPLLVWLQGGPGCSSMIGNFYELGPWRVMSSATELERNPGAWNRLFGLLFVDNPIGVGFSIASSKQDIPTNQRQVAEHLYAALVEFLEQNPGFENRPVYFTGESYAGKYVPAIGYYILREKPNGKVNLKGLAIGNGLTDPVTQVQTHAVNVYYSGLVNAKQRVEVEKAQEIAVALVKSQKWREAADARTELLTLLSNMTGLATLYNTARSIPYRTDLVLDLLNRREAKRVLGVSETMRFEECSDEVEDVLREDVMKSVKFMVEYALERTQVLLYQGMLDLRDGVVSTEEWVKTMNWSVLGMFSTAERRVWKDEDGAVVGYVQRWGNLCHVAVSGAGHFVPTDKAVNSRDMIEGWVLGKGLFGGNEDMKQTISSSFYNSI >scaffold_101690.1 pep chromosome:v.1.0:1:6380497:6382503:1 gene:scaffold_101690.1 transcript:scaffold_101690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGFQLTQLQRAQSDVFYGGRSIHTKERENGSALQKHHVSEASSSNVERFLESVTPSVPAHYFSKTTIRERRGSDIESQVPYFVLGDVWESFAEWSAYGIGVPLTLTNNKDRVFQYYVPSLSGIQVYADFDALTSSLQARRQGEESESDFRDSSSEGSSSESERGLSYPKEQISARMDKLSLRKEHLEDSSSDDGEPLSSQGRLIFEYLERDLPYIREPFADKMSDLASRFSELNTLRSCDLLPSSWFSVAWYPIYKIPTGPTLKDLDACFLTYHSLHTPFQCPGVTTGSMHVVQPRESVEKMELPVFGLASYKLRGSVWTGFRGSGHQVANSLFQAADNWLRLRQVNHPDFIFFCRR >scaffold_101693.1 pep chromosome:v.1.0:1:6386906:6389800:-1 gene:scaffold_101693.1 transcript:scaffold_101693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMPPQFPLEIRSALRRASSTVYFHCSIGTVTPPSFRHRTTLFRPRAFSSSSVKLPTKPSLCTADELHYVSVPNSDWRLALWRYLPPPQAPTRNHPLLLLSGVGTNAIGYDLSPGCSFARHMSGQGFETWILEVRGAGLSTRVSDLKDVEESAHELSNRIESTARAAAGKESCSDENKATDIVDSSAPASDVSVVGEASAWDESQLVARLTSTFMRLSERLSGFLSEGQSVFMSAKLFDKIAMLVDDTQLYERFNEIRSKLLSLIESRQNSGLVNQIRDLAQRLVNLFDDGQRSVSPPLIDLQERLTATIEDFQKQLDLIVKYDWDFDHYLEEDVPAAIEYVRAQSKPKDGKLFAIGHSMGGILLYAMLSRCAFEGREPSVAAVATLASSVDYTTSDSALKLLIPLANPAEALSVPVVPLGALLAAAFPLSTRPPYVLSWLNDLISSTDMMHPELLEKLVLNNFCTIPAKLLIQLTTAFREGGLRDRSGKFSYKDHLPRTSVPVLALAGDRDLICPPAAVEDTVKLFPENLVTYKLLGEPEGPHYAHYDLVGGRLAVEQVYPCITEFLSHHDSA >scaffold_101699.1 pep chromosome:v.1.0:1:6417856:6419628:-1 gene:scaffold_101699.1 transcript:scaffold_101699.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase NAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KCN3] MSTLPFAPSVTHAHFSHSLSPMFILRRLPLTRHLRLSRNNRVASVVSAAAVRQDASLWTPAPLSLIESAAESLFHISIDISNAPDLVASYTRPGQYLQLRVPDVEKPSFMAIASPPSLAASRGAFEFLVKSIAGSTAEILCGLKKGETVELSPVMGNGFNIDLIDPPEKYPTVLIFATGSGISPIRSLIESGFGADRRSDVRLYYGARNLKRMAYQDKFKEWESSGVKVVPVLSQPDDGWKGETGYVQAAFARAKQLAAPKATGAVLCGQKQMAEEITSILVADGVSNDKLLKNF >scaffold_101708.1 pep chromosome:v.1.0:1:6446580:6447088:-1 gene:scaffold_101708.1 transcript:scaffold_101708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATQEQVGLLDKILPPALADAGLEDCALPPESIQDAFRKAANAVKSRAVSIFEHEEEGGCVADPIPEASDTIIIGGDNERDTGPCLTGKGNVKLAESEKAGDLVVAGEGGEGRSCVDGLKDLDVEGIESSGEKKDLTEEDEDEEMKPILVEGFV >scaffold_101710.1 pep chromosome:v.1.0:1:6452637:6453238:-1 gene:scaffold_101710.1 transcript:scaffold_101710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37 [Source:UniProtKB/TrEMBL;Acc:D7KCP4] MGKGTGSFGKRRNKSHTLCVRCGRRSFHIQKSRCSACAYPAARKRTYNWSVKAIRRKTTGTGRMRYLRNVPRRFKTCFREGTQATPRRNKAAAASS >scaffold_101717.1 pep chromosome:v.1.0:1:6477139:6478426:-1 gene:scaffold_101717.1 transcript:scaffold_101717.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F9L1.26 [Source:UniProtKB/TrEMBL;Acc:D7KCQ0] MDIDAFEDIFNEITRVGACENQLICLWLIGFVFLQHSEENGFEEPNNGEELSWDDLEREAASNISSKRSQAGRSHQQDSFRKGDEISGDLYELWRQEQNSIAARLDKELKSRWELDELIEEQLSRYQSHYYKSMVSTSLKDVSNLVLPTWLPPHELAAVAWLGDWRPTSILDLVRILAAQNPSFSLSESSERVLSQLLREIRIEEAVIDEEYAEIQATCVLHLPFSPLCNTRSHEEALRSVQELFGNIHRVISKAQRLRYKVLELVMKKLLNQTDTAEFVVAFAGIQDAIHQFGEQKKLRKYYPAVPSPLKGSGSSS >scaffold_101723.1 pep chromosome:v.1.0:1:6495636:6496972:1 gene:scaffold_101723.1 transcript:scaffold_101723.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase family protein [Source:UniProtKB/TrEMBL;Acc:D7KCQ7] MKENAGNPLHLTSLNHVSVLCRSVDESMNFYQKVLGFIPIRRPESLNFEGAWLFGHGIGIHLLCAPEPEKLPKKTAINPKDNHISFQCESMGVVEKQLEEMGIEYVRALVEEGGIQVDQLFFHDPDGFMIEICNCDSLPVVPLVGGMARSCSRVKLHQMVQPQPQTQIHQVVYP >scaffold_101724.1 pep chromosome:v.1.0:1:6497622:6497896:-1 gene:scaffold_101724.1 transcript:scaffold_101724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNNNNKNTKMMSSAKESRNGTTNIFITETRNKKVERKASMDVDKCAEAFITKFRNQLLLQRLESIENMLSRGP >scaffold_101731.1 pep chromosome:v.1.0:1:6512421:6515822:-1 gene:scaffold_101731.1 transcript:scaffold_101731.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7KCR5] MNYRFQNLLGAPYRGGNALITQNTQLISPVGNRVSVTDLNKNHTVTLSLDSSSNICRIAASPDGTFLLAVDEQNRGLFINLPRRVVLHRITFNDNVSALKFSPNGKFIAVGIGKLVQIWRSPGFRRAVMPFELVRTFANSDDIVVSLEWSLDSDYLLVGSKDLAARLFCVRKLKGVLNKPFLFLGHRDSVVGCFFGVDKMTNKVNRAFTIARDGYIFSWGYTGKDVKMDESEDGHSEPPSPVTPERADEVMVENGGGVGTDLKKRKEYDGKDLESDDDEEYMHRGKWVLLRKDGFNQASAKVTACDYHQGLDMVVVGFSNGVFGLYQMPDFICIHLLSISREKLTTAVFNQRGNWLTFGCAKLGQLLVWDWRSESYILKQQGHYFDVNCVTYSPDSQFLATGADDNKVKVWSVMSGSCFITFTEHTNAVTALHFMADNHSLLSASLDGTVRAWDFKRYKNYKTYTTPTPRQFVSLTADPSGDVVCAGTLDSFEIFVWSKKTGQIKDILSGHEAPVHGLMFSPLTQILASSSWDNTVRLWDVFASKGTVETFQHNHDVLTVAFRPDGKQLASSTLDGQIHFWDTIEGVLMYTLEGRRDIAGGRVMTDRRSAANSSSGKCFTTLCYSADGGYILAAGTSRYICMYDIADQVLLRRIQISHNLSLDGVLDFLHSKKMTEAGPMDLIDDDNSDEEAGIDKQSRGNLGYDLPGSRPNRGRPIIRTKSLSIAPTGRSFAAATTEGVLIYSIDDTFIFDPTELDIDVTPEAVEAAIKEAEVSRALALSMRLNEDSLIKKCIFAVAPADIKAVAISVRQKYLERLMEALVDLLENCPHLEFILHWCQEICKAHGSSIQRNYRILLPALRSLQKAITRAHQDLADMCSSNEYTLRYLCSVPNNH >scaffold_101739.1 pep chromosome:v.1.0:1:6556308:6558303:-1 gene:scaffold_101739.1 transcript:scaffold_101739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWHWPSPLLLILIFAASLLPSSSAIDFLYNSFSSVTNRTDVILIEDSRVDSSVISFINESDPFSFGRVFYPQKLSIIPDPTRNPTRLSSFSTSFVFSILPDISTTPGFGLCFVLSNSTSPPNALSSQYFGLFTNATVRFNAPLLAVEFDTGRNSEVNDIDDNHIGIDLNNIESTTSVTAGYYDSVNGSFLRFNMRNGNNVRAWIDFDGPNFQINVSVAPVGVLRPRRPTLTFRDPVIANYVSADMYVGFSASKTNWNEARRILAWSLSDTGTLREINTTNLPVFFLENSSSSLSTGAIAGIVIGCVVFVGLLGFGGYMIWRKLMREEEEEEIEEWELEFWPHRFSYEELAAATEVFSNDRLLGSGGFGKVYRGILSNNSEIAVKCVNHDSKQGLREFMAEISSMGRLQHKNLVQMRGWCRRKNELMLVYDYMPNGSLNQWIFDNPKEPMPWRRRRQVINDVAEGLNYLHHGWDQVVIHRDIKSSNILLDSEMRGRLGDFGLAKLYEHGGAPNTTRVVGTLGYLAPELASASAPTEASDVYSFGVVVLEVVCGRRPIEYAEEEDMVLVDWVRDLYNGGRVVDAADERVRSECETMEEVELLLKLGLACCHPDPAKRPNMREIVSLLLGSPQEDLLTGLTPAAAADSTAAHA >scaffold_101746.1 pep chromosome:v.1.0:1:6587789:6588669:1 gene:scaffold_101746.1 transcript:scaffold_101746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPLLTKSGHNVDDVTIHGDSSSNDEHIVDITINGNSDEQTPRESVQWSVQSTNRCVWILVEFVMTLFQIVAAIFFCTLTKDEQNPELEEYWFIWIIGYTCASIATLPLLCWRLWHCSETRLWMALLAFSCIRYVLPNLEFSVKSFVWPVKFCFNQIWEFFEKMITGIGILCWCIEVDD >scaffold_101747.1 pep chromosome:v.1.0:1:6590367:6591294:1 gene:scaffold_101747.1 transcript:scaffold_101747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPLLTENDHIVDNVTTHGDSSSNDERILDILTMINGSSSSAAKQIPYEWSEYSIDAFSWNFVEFVATLVKIVAAIVVLTLTKDEQLPQKIFVMLVISYTCGCIATLPILGWRFLQYDPSVRSHRRLCLAFFAISCILQVFRHFYCSVMCFLLPMLSRFTKVLDFLEDIDEKLNYIVLALFVCISIFLCIRKS >scaffold_101753.1 pep chromosome:v.1.0:1:6622315:6625401:1 gene:scaffold_101753.1 transcript:scaffold_101753.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar-type H+-pumping pyrophosphatase 1 [Source:UniProtKB/TrEMBL;Acc:D7KDI3] MVAPALLPELWTEILVPICAVIGIAFSLFQWYVVSRVKLTTDLGASSSGGANNGKNGYGDYLIEEEEGVNDQSVVAKCAEIQTAISEGATSFLFTEYKYVGVFMIFFAAVIFVFLGSVEGFSTDSKPCTYDTTRTCKPALATAGFSTIAFVLGAVTSVLSGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAASGLLVLYITINVFKIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKIERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAEASCAALVVASISSFGINHDFTAMCYPLLISSMGILVCLITTLFATDFFEIKVVKEIEPALKNQLIISTVIMTVGIAIVSWVGLPTSFTIFNFGTQKVVKNWQLFLCVCVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGIHTVDVLTPKVIIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGCLVMLTPLIVGFFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGVSEHAKSLGPKGSEPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKYL >scaffold_101754.1 pep chromosome:v.1.0:1:6625795:6626981:-1 gene:scaffold_101754.1 transcript:scaffold_101754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSISTSWLLSSPSNSKSDSFSFIATLKPFRYYPFQSLTPNRISSRSPLPIQIRSGIRELRERIDSVKNTQKITEAMRLVAAARVRRAQDAVIKGRPFTETLVEILYSINQCAQLEDIDFPLSIVRPVKRVALVVVTGDKGLCGGFNNAVIKKATLRVQELKQRGIDCVVISVGKKGNAYFSRRDEFEVDKCIEGGGVFPTTKEAQVIADDVFSLFVSEEVDKVELIYTKFVSLVKSDPVVHTLLPFSMKGESCDVKGECVDAMEDEMFRLTSKDGKLAVERTKLEAEKPEISPLMQFEQDPVQILDAMMPLYLNSQILRALQESLASELASRMNAMSNATDNAVELKKNLTMAYNRARQAKITGELLEIVAGAEALRES >scaffold_101755.1 pep chromosome:v.1.0:1:6627723:6628828:1 gene:scaffold_101755.1 transcript:scaffold_101755.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prephenate dehydrogenase family protein [Source:UniProtKB/TrEMBL;Acc:D7KDI5] MLLHFSPAKPLISPHNLRRNSPAFLISPPRSLRIRAIDAAQIFDYETQLKSEYRKSSALKIAVLGFGNFGQFLSKTLIRHGHDLITHSRSDYSDAANSIGARFFGNPHDLCEQHPDVVLLCTSILSTESVLRSFPFQRLRRSTLFVDVLSVKEFPKALFLKYLPKEFDILCTHPMFGPESGKHSWSGLPFVYDKVRIGDAASRHERCEKFLRVFENEGCKMVEMSCEKHDYYAAGSQFVTHTMGRVLEKYGVESSPINTKGYETLLDLVENTSSDSFELFYGLFMYNPNALEQLERLDMAFESVKKELFGRLHQQYRKQMFGGEVQSSKKTEQKLLNDGGVVQMKDISSSSSS >scaffold_101757.1 pep chromosome:v.1.0:1:6630725:6633173:-1 gene:scaffold_101757.1 transcript:scaffold_101757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQFRLDIATTFLAFNVPRANTSLNHRFASARLRRATVSLRTKPSSFYPAAVYSDSRRRFHSAVASDSSLAVMDTDDSFDVSTENLQDNRIPATIITGFLGSGKTTLLNHILTGDHGKRIAVIENEFGEVDIDGSLVAAKTAGAEDIMMLNNGCLCCTVRGDLVRMISELVQTKKGRFDHIVIETTGLANPAPIIQTFYAEDEIFNDVKLDGVVTLVDAKHARLHLDEVKPEGYVNEAVEQIAYADRIIVNKTDLVGEPELASVMQRIKTINSMAHMKRTKYGKVDLDYVLGIGGFDLERIESSVNEKDHEGHDDHHHDHDHGHHCHDHHSEHDHEHEHHSHDHTHDPGVASVSIVCEGDLDLEKANMWLGALLYQRSEDIYRMKGILSVQDMDERFVFQGVHEIFEGSPDRLWRKDETRTNKIVFIGKNLNREELEMGFRACLI >scaffold_101766.1 pep chromosome:v.1.0:1:6677843:6679148:-1 gene:scaffold_101766.1 transcript:scaffold_101766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGDWRTQFPSDSRSRVVNKIMETLIKQLPFIGPEGINELRKIAVRFEDKIFSNAINQAEYLRQISLKMLSMETKSQNAAGSSSSVFAADDNSPPLVPEPSLPNNEPDVNSSDWRTQQPPDSRQKNIYALLETLKKNVPYSGKEGIDELMRIAVSFEELIFNTAISQFQEDYLGKISIKMRTM >scaffold_101768.1 pep chromosome:v.1.0:1:6685206:6687092:1 gene:scaffold_101768.1 transcript:scaffold_101768.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S15 family protein [Source:UniProtKB/TrEMBL;Acc:D7KDJ8] MALHLARRHQRLPSNPSLIRFFSNSSSESSPKVLNTPVENPSQSPSSPPPSDSSYSSPSFDINTTLKQKLQNQQEQGRKQFTRSDTQSQSRGSTSFAQNQSRVSSHDLGNNLAKFQRRSTVPPPRDSGGVQSPPQISLEGLYKQSTATNSSDPRNWKAHGFNSSTVSETLKNLRTQTNTSSNMGKKGGFLGSFKSTTAGEALPISLFETKMDEREVREGETEEMMTEFLTFYNEEELGEKLRMLRPEGEKEEGWFSLQELNQRLVKLRQVEEKEAQYRTKNFATLRNVISSIKNDNDKKNEAFSQQNSAIMGHLGGIPEYKLLPPKEDLVDTYFHPDNMSSAEKMKIELTKVREEFKMSESDCGSARVQVAQLTTKIKHLSSSLHKKDKHSRKGLLGMVQKRKKLLKYLRRTDWDSYCLVLSKLSLRDNPEYKL >scaffold_101770.1 pep chromosome:v.1.0:1:6689558:6690953:1 gene:scaffold_101770.1 transcript:scaffold_101770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KDK1] MTGGEGVDWSIGGSMVTSGGGSSGVDWSGGVGFSGCPLPISGGRLSTGGGDPVVITGVGNCAAGGVKVDRSKGGGRRKTGDGGGDPVGISGGENHAIGGMGGTSATSGGGDVPAVPGAPPPKRGGGELVIPGAPTPNRGGGGEPVTPGAPPPRRGGGGERVIPGAPPPKRGGGGEPVNPGAPPPKRGGGDEPVIPGVPPPNRGGGGESISPGAPPLKRGGGGESVVPGAPPPKRGGGVIVNGGCETEPPGSGGGGDKTKGRGGEGREEDNGGGSGAEGGGRGRTGTGEGVTDGDGGGRTGSKGGHGGSIKIGVGTNGVTGGGEAGAGTQVMQGCGGGGAGAVAQVMQGCGGGGAGAGTQVMQGCGGGGAGAVTQVMQGCGGGGGGDGGGGQGMGIGGGGDACTQVIHGGGGEPLTMIGGGGGEQGVTTGSDGGGGRGRGGGKITGGGKKGF >scaffold_101771.1 pep chromosome:v.1.0:1:6694146:6695285:1 gene:scaffold_101771.1 transcript:scaffold_101771.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KDK3] MYLPPLESSPNPTSSSSVRCEKRMMLQRCESGFKLRKLNDAVEEDIVTQMESNITHENTLVSEPEATLGPQTTEPTTEEETQRSSAKSQLYKFCSVRHWKAPVYECIAEGPCHMILFTVKATVEMKEDSRITVLECFGDPQHKKKIAAEQAAEAALWYLKNVGHTLQTEKASGHKGQIKPISKMMGTGEPV >scaffold_101773.1 pep chromosome:v.1.0:1:6699728:6701125:1 gene:scaffold_101773.1 transcript:scaffold_101773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLFNINTLLMVSFHALMRFRVCQWKLASLFTPSTKGAGLAPLPARLTSSPPRLADFRYSTSIFEKYTECNVVKSQIKHCEEHNRQRWLLSQLLNVVSPDGPNTFFFFFCQHSNVV >scaffold_101775.1 pep chromosome:v.1.0:1:6713141:6714317:1 gene:scaffold_101775.1 transcript:scaffold_101775.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7KDK7] MARLLRCLRRSVILSSSPSISYRNPRICVQSNQNPSFLISRKFLSSGSYVSEMRKSAFQGNILRLIRSEIQSELDHSPILKLEDRFGPFTVDERPGEQWISLRRNFGDKEDIKIEATMFDGSVPSSKSTSSDPQDVQLHITFIVNISKGDGGTLEIMCSAWPDTIQISKFFVRKSSKNSPNSYTGPEFQEMEDELQDSVYRFLEERGISDDLAEFLHQYMKNKDKAEYIRWMEAVKSYVEQK >scaffold_101777.1 pep chromosome:v.1.0:1:6718037:6720665:1 gene:scaffold_101777.1 transcript:scaffold_101777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLSFQISCDQTLNHVCGCLCGDGNYIKKLTQNLDELEDALEELVATRVDLSTSVRIEERNGLQRLAKVQLWLSNAEAIEYEARGLIPSRTTETERLCMNGYCSNNFLSSCVYIWLSRNGRVAKIQEEIGKRLSIHNERWVQSEEEEKASDIHKILKKQKFVLLLDDIWSEVDLQKIGVPYPNEENYCKIAFTARSVEVRGCMMRANAEMHVPCLEPDDAWDLFQKQVGDITLNFHEDIPQLARKMATKCQVLPLALTVIGGAMSCKRTVHEWGVVKMHDVVREMALRIACLSPYNASNFIVETGTSLHDLPDYNTSQGMEVGRMSLMGNQIQKGFCSSNCPELLTLFLHNNDLLDLSSQFFWSMPKLVVLDLSRKYNLRKLPDISNLTTLRYLDLSHTEIKLLPSGLDKLESLIHLNLEFTVDLQNIDRITRLRKLQVLKLLGSSSKYSSFLGLCAILDLKTLEVLTISIDDDICWEILQCNSSLARCIQVLSLRTFILPAIRVQVGPVWYSLRKLEIQGCKFSEIYIDMGDLGELKAVHWSPLHFPCLTTVVILGCPKLKKLPLHSESAKGQNLVIDAEKEWIEELEWEDEATKQRFYPS >scaffold_101778.1 pep chromosome:v.1.0:1:6726892:6729351:1 gene:scaffold_101778.1 transcript:scaffold_101778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVSLDVSCDQTLHHACGCLFGDGNYIHMMEANLEALEKTMQELEERRDDLLRRVVIDEDKGLQRLAQVQGWFSRVQSVESQVKDLLEARSTQTKRLCLLGYCSKKCITSWLLAKGVFQVVAEKIPVPKVDKKHFQTTVGLDSMVEKAWNSLMIGERRTLGLYGMGGVGKTTLLACINNRFLEVVNEFDVVIWVVVSKDLQIESIQNQILGRLSLDKEWKQETEIERASHLNKIGVPPPTQENGSKLVFTTRSKEVCKDIEVDDIMEVACLSPDEAWELFQQKVGENPIKSHHDFLPVARKIAAKCCGLPLALCVIGKAMACKETVQEWRHAIHVLNSSSHEFPDYEIGKEKLIKYWICEGFIDGSRNDDGADNQGHDIIGLLVHAHLLVDGVLTFTVKMHDVIREMALWIASNFGKQRETFCVRSGAQLREIPKDINWELVRRISLMSNQISEISCSCNCSNLSTLLFQNNKLVDISCEFFRFMPALVVLDLSRNSILSRLPEEISNLGSLQYLNLSYTGMKSLPDGLKEMKRLIDLNLEFTRELESIVGIATSLPNLQVLRLYCSRVCVDDILMKELQLLEHVEIVTATIEDAVILKNIQGVDRLASSIRGLCLSNMSAPVVILNTVVVGGLQRLTIWNSKISEIKIDWESKERGDLICTGSPGFKQLSAVHIVRLEGPTDLTWLLYAQSLRILSVSGPSSIEEIINREKEMSIRTLHPDIVVPFEELESM >scaffold_101783.1 pep chromosome:v.1.0:1:6747629:6750192:-1 gene:scaffold_101783.1 transcript:scaffold_101783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATASGGNRTFSNSPLIENSDSNQIVVPEKKSWKNFFSYLGPGFLVSIAYIDPGNSLVIQSLAANLGVVTGKHLAEHCRTEYSKVPNFMLWVVAEITVVACDIPEVCNASDLSPEDRESCQDLDLNKASFLLRNVVDKWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRLEPWLRNLLTRCLAIIPSLIVSLIGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSHANSLVISSVTWIIGGLIMGINIYYLVSSFIKLLLHSHMNLAAIVFLGLLGFSGIAIYLAAIGYLVLRKNRESTHCKHAVT >scaffold_101790.1 pep chromosome:v.1.0:1:6766729:6767245:-1 gene:scaffold_101790.1 transcript:scaffold_101790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSVALVFLFLVFLCFPISLDSQKLDPATSLLNQEQAHAEFIIKDIGGEDNKSIDIFRAGKGGHGVTGGRGGGGRKASPKKSNAAMDHTPTFFSAASILFTGCIMFSLTSFNILHIVLNIINFEFY >scaffold_101803.1 pep chromosome:v.1.0:1:6805077:6805330:-1 gene:scaffold_101803.1 transcript:scaffold_101803.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDN7] MPTFWQSVAINIAFRIFKRGNSNLTCIARDTLPKANNRDKHNQLPITFLKFPVYDLTNTIIITMIGSFNN >scaffold_101809.1 pep chromosome:v.1.0:1:6819305:6821075:1 gene:scaffold_101809.1 transcript:scaffold_101809.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KDP2] MLVKLEKGIARYIFTISRLRFMESIEQSIIPGLPDDLALRCIAKLSHGYHGVLECVSRGWRDLVRSVDYSSYKARNGWSGSWLFVLTERSKNQWVAYDPQADRWHPLPTTRAVQDGWHHSGFACVCVSNCLLVIGGCYAPSVSSFPHQKPVVTKDVMRFDPFKKEWKMVASMRTPRTHFACTAVSGKVYVAGGRNLTHSRGIPSAEVYDPVADRWEELPAMPRPQMDCSGLSYRGCFHVLSDQVGFAEQNSSEVFNPRDMTWSTVEDVWPFSRAMQFAVQVMKNDRVYTIVDWGESLIKTRDTDEGEWYNVGSVPSVVLPNHPRELEAFGYGFAALRDELYVIGGKVLKWEESGAGRFDIVRLPVVRVCNPLDRPLNWRETKPMCIPAGGSIIGCVSLEESSPL >scaffold_101819.1 pep chromosome:v.1.0:1:6855382:6857380:1 gene:scaffold_101819.1 transcript:scaffold_101819.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine-5'-monophosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7KEE4] MSGFEDGFSAEKLFSQGYSYTYDDVIFLPHFIDFSTDAVSLSTRLSKRVPLSIPCVASPMDTVSESHMAAAMAALGGIGIVHYNCDIETQASVIRHAKSLQVPIASDAVFKCPEHQIGSVDDFGPSSFVFVSQTGTLTPKLLGYVSKSEWSSMKDDQKEMKIYDYMRSCESKDYYVPWDIDLDKIEAVLEDKQKGFVVLEKEGEAVNVVTKDDVERVKGYPKLGSGTVGADKKWMVGAAIGTRDSDKERLEHLVDAGANVVVLDSSQGNSIYQLEMIKYVKNTYPELDVVGGNVVTMYQAENLIKAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSTLASQHGVPVIADGGISNSGHIVKALVLGASTVMMGSFLAGSTEAPGAYEYRNGRRVKKYRGMGSLEAMTKGSDQRYLGDTAKLKIAQGVVGAVADKGSVLKFIPYTMHAVKQGFQDLGASSLQSAHKLLRDNILRLEARTGAAQIEGGIHGLVSYEKKSF >scaffold_101825.1 pep chromosome:v.1.0:1:6878691:6879006:-1 gene:scaffold_101825.1 transcript:scaffold_101825.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEF0] MSKAIWSDFLRRLRQTATIEGRIEWLRPGMRMMMHRMWRSGMREITANWRSKFNGWRVIDSAWIVIGKSICLAFVRYHDLFGVFDVLFRVI >scaffold_101826.1 pep chromosome:v.1.0:1:6879774:6882234:1 gene:scaffold_101826.1 transcript:scaffold_101826.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP79F1 [Source:UniProtKB/TrEMBL;Acc:D7KEF1] MMSFTTSLPYPFQILLVFILSMASITLLGRILSRPTKTKDQSRQLPPGPPGWPILGNLPELIMTRPRSKYFRLAMKELKTDIACFNFAGIRAITINSDEIAREAFRERDADLADRPQLFIMETIGDNYKSMGISPYGEQFMKMKRVITTEIMSVKTLNMLEAARTIEADNLIAYVHSMYQRSETVDVRELSRVYGYAVTMRMLFGRRHVTKENVFSDDGRLGKAEKHHLEVIFNTLNCLPSFSPADYVERWLRGWNVDGQEKRVTVNCNIVRSYNNPIIDERVELWREKGGKAAVEDWLDTFITLKDRNGKYLVTPDEIKAQCVEFCIAAIDNPANNMEWTLAEMLKNPEILRNALKELDEVVGKDRLVRESDIPNLNYLKACCRETFRIHPSAHYVPSHLARQDTTLGGYFIPKGSHIHVSRPGLGRNPKIWKDPLVYKPERHLQGDGITKEVTLVETEMRFVSFSTGRRGCIGVKVGTTMMVMMLARFLQGFNWNLHKDFGPLSLEEDDASLLMAKPLLLSVEPRLAPSLYPKFRP >scaffold_101833.1 pep chromosome:v.1.0:1:6898564:6902272:-1 gene:scaffold_101833.1 transcript:scaffold_101833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILWVFLILMKMFYRFVSRHSNTRRFSTLQCLERVEEEEGKKVIRWSGCFSLSDHWNPELSCFDQTGFSQITRETTGRALHALCVKGLVRLSVLHTNTLINMYTKFGRVKPARYLFDKMPVRNEVSWNTMMSGIVRVGLYLEGMEFFQKMCDLGIKPSSFVIASLVTACGRSGSMFREGVQVHGFVAKSGLLSDVYVSTAILHLYGVYGLVSCSRKVFEEMPDRNVVSWTSLMVGYSDKGEPEEVIDIYKSMRGEGVECNENSMSLVISSCGLLKDESLGRQIIGQVIKSGLESKLAVENSLISMFGNMGNVDYANYIFNQISERDTISWNSIVAAYAQNGHIEESSRIFNLMRRFHDEVNSTTVSTLLSVLGDVDHQKWGRGIHGLVVKMGFDSVVCVCNTLLRMYAGAGRSEEADLVFKQMPTKDLISWNSLMASFVNDGRSLDALGILCSMIRTGKSVNYVTFTSALAACFSPEFFDKGRILHGLVVVSGLFDNQIIGNALVSMYGKIGGMSTSRRVLLQMPRRDVVAWNALIGGYAENEDPDKALAAFQTLRVEGVSANYITVVSVLSACLVPGDLLERGKPLHAYIVSAGFESDEHVKNSLITMYAKCGDLSSSQDLFNGLDNRSIITWNAILAANAHHGHGEEVLKLVSKMRSFGLSLDQFSFSEGLSAAAKLAVLEEGQQLHGLAVKLGFELDCFIFNAAADMYSKCGEIGEVVKMLPPSVNRSLPSWNILISALGRHGYFEEVCETFHEMLEMGIKPGHVTFVSLLTACSHGGLVDQGLAYYDMIAKDFGLEPAIEHCICVIDLLGRSGRLAEAETFISKMPMKPNDLVWRSLLASCKIHRDLDRGRKAAENLSKLEPEDDSVFVLSSNMFATTGRWEDVENVRKQMGFKNIKKKQACSWVKLKDKVSSFGIGDRTHPQTMEIYAKLEDIKKLIKESGYVADTSQALQDTDEEQKEHNLWNHSERLALAYALMSTPEGSTVRIFKNLRICSDCHSVYKFVSRVIGRRIVLRDQYRFHHFESGLCSCKDYW >scaffold_101838.1 pep chromosome:v.1.0:1:6924278:6924570:-1 gene:scaffold_101838.1 transcript:scaffold_101838.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KEG3] MYCLQVAAYHLFFNASRICYLLALIIFVFLRFFAIFQNTEVNQVVEPRTTTLLRFTDTKGDVNVTFSGVFLESVIKDITDNLL >scaffold_101842.1 pep chromosome:v.1.0:1:6945844:6948376:1 gene:scaffold_101842.1 transcript:scaffold_101842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGRGRGRGGGGINRRYLSKVIDSCGNLTTAEDIVDDLRSRHSNFARLTRQVLLLNVRQVLNARNNKAEEEDDSNNGDEEAAAAKRKKVSSSPSYSSTSEDEDAVYSEKLSPRFDLINGSLRDNYAKLNSSLKKPIGSPVEKNVEVETVGNKGRSKMATMGSRKESKGSLSVSGTTGNGELEVEGDKGPTFKDFGGIRKVLDELERNVLFPILNPEPFRKIGVKPPSGILFHGPPGCGKTKLANAIANEVGVPFYKISATDVVSGVSGASEENIRELFSKAYRTAPSIVFIDEIDAIGSKRENQQREMEKRIVTQLLTCMDGPVNKGPESSAGFVLVIGATNRPDALDPALRRSGRFECEIALSVPDEDARTEILSVVAQKLRLEGPFDKKRIARLTPGFVGADLEGVANMAGRIATKRILDSRKSQLSENGEDDDNSWLRHPWPEEELEKLFVKMSDFEEAVNLVQASLTREGFSIVPDVKWDDVGGLEHLRLEFNRYIVRPIKKPDIYKAFGVDLETGFLLYGPPGCGKTLIAKAVANEAGANFMHIKGAELLNKYVGESELAIRTLFQRARTCSPCVIFFDEVDALTTSRGKEGAWVVERLLNQFLVELDGGDRRNVYVIGATNRPDVIDPAFLRPGRFGNLLYVPLPNADERASILKAIARKKPIDPSVDLDGIAKMKCEGFSGADLAHLVQKATFQAVEEITTSGEDDDDDITDTSQCTIKMTHFEQALSLVSPSVNKQQRRHYQELSVRLQESSGRKP >scaffold_101845.1 pep chromosome:v.1.0:1:6955331:6956453:1 gene:scaffold_101845.1 transcript:scaffold_101845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKDDNQSGEVAGTVVEFMEVAITMIVFLKGFYPSAAFERRRYMNVVVQRARHPELRDYIHSAASGLLPFIQKGLVERVAVIFSSKDNVPVERFIFKLTINPSSAALVEEGQLEFALRSFLIKLSVSKSLVKPLPQNCRWEVTAYLRSLPQVGSSKGELWIPTDTKQWQNPPVITPVKSLNSEPLCLQLYLEHPSLSQPHNLVKPNAAHEP >scaffold_101848.1 pep chromosome:v.1.0:1:6962195:6965180:1 gene:scaffold_101848.1 transcript:scaffold_101848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKQIGNHSTGVFDASQYDFFGSHVVEEVEFGGLEDEDEEELPVAGIEEDFAFDKDEVHVSRTLSDADDLASTFSKLNRDPEGYRSTVPIAGIGSRQYYVGDGWNHGEELPNWYGQQKLDSEAIQDDKRRPSQPFPTLNLVEQRNLHRATSYPEPQHQQRPNPHQQQFSSEPILVPDQHLGQPNTQFHSDGSHTRSPNLSPFPSSHPQLVGMHGSPQITGNLPQFRPSLPVNNRPPAQWMNGQNMFPGDSSGIMNNMLPQQQLPHQNGLMPQQQRMPQMQGPQNRLVHPMQPHGHLSGMQPQLFNSNLSRSASSGSYDAMLGFGDLREARPGSSQGNRLNMRFLQHGFDGGLQRRNNAWPPFRSKYMTAVEIENILRMQLVATHSNDPYVDDYYHQARLAKKSAGAKLKHHFCPNHLRDLPSRARPNNEPHAFLQVDALGRVPFSSIRRPRPLLEMDPPNSTKSGNLEQKDTDKPLEQEPMLAARVIIEEGLYLFLEVDDIDRFLEFNQLQDGGNQLRQKRQALLDGLAVSLQLVDPLGKNGQNSGLAFQDVVFLRITSLRKGRKLLTRYLQLLFPGSDPMRIVCMAIFRHLRSLFGVRSSDTETTKTTIKLAKVVALCIQTMDLGPVSLCLAAVSCSSEQPPLRPLGSPVGDEATIILKSALDRATELLRANNYNNAGMNLWRASFDEFFNLLMKHCISKYDSIMQNLNSQLPPHFATEMSEAAAQAIVREMPIELLRASFPHISDEQKRILVEFLKLGSQKTESVIA >scaffold_101852.1 pep chromosome:v.1.0:1:6979096:6982313:1 gene:scaffold_101852.1 transcript:scaffold_101852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNKYSCNTRAETLEWIIAIIDFLKPFSFLINAHVVNFFKDKQWEAVDEVWMSCLKDEKPENILLIPSGVVQDHWPASLKEFVHTLRSLSFPREQADLHAILADVDLVPLSTVLSQGMNLKKKHEVEVLSSVVSSVVKSVGAPTVVDVGAGQGYLAQVLSFQYKHSVVAIDSSSHHGTVTDARAARIRKHFAAQMRKSGSGNKCPDVPMTITCRVLSSEMLKALTNVPLEKSDTDFNGSALNEGQSRSQSASDANRLCSLVLAGLHACGDLSVTMLRTFMECEEVKAVVSVGCCYNLLSEKSSDDSCSKCGYPMSAGLKSLGFSLGKNARDLACQSAERWSGLGEDAGLQNFQLHSFRAAFQMVLSKHYPEVLVTSPSIGRQGKAFRRQQQRKSLETPAAVDTTRKDTDDKKPMRQTSSNSDMCSSFEKFCLSAFSRLNLEHPLDLDLNATWKEADAFTELIGPYWSIRAALGAVLETLILLDRLMFLQEQGDSIKVVMLPIFDPTISPRNVAIIAKRL >scaffold_101865.1 pep chromosome:v.1.0:1:7023311:7025497:-1 gene:scaffold_101865.1 transcript:scaffold_101865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVVKQAEKVEAHIGTRNASVKSPVEDPMVVLQKGYSLTIILAVLTFGASTRWLLYTEQAPSAWVNFFMCGLVGIITAYVFVWISRYSTDYKYEPVRTLALASSTGHGTNIIAGVSLGLESTALPVLVTSVAIISAFWLGNTSGLMDEKGNPIAGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLHFSAYMDEVDIAIPEVFIGGLLGAMLIFLFSAWACAAVGRTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVAIVASSALREMIKPGALAIISPIAVGFVFRILGYYTGQPLLGAKVVAAMLMFATVCGILMALFLNTAGGAWDNAKKYIETGALGGKGSDSHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPIFL >scaffold_101873.1 pep chromosome:v.1.0:1:7060521:7062457:1 gene:scaffold_101873.1 transcript:scaffold_101873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYPSHQLSNGLFVSGRPEQPKERPPTMSAVAMPYTGGDIKRSGELGKMFDIPADGTKSRKSGPIPGAPSRSGSFAGTAQSGPGAPMATGRMSGSLASAGSVSMKKTNSGPLSKHGEPLKKSSGPQSGGVTRQNSGSIPILPATGLITSGPIISGPLNSSGAPRKVSGPLDSSGLMKSHIPSVVHNQAVTTLGPEDDFSCLKSFPKPVLWLVVLIFIMGFLAGGFILGAVHNPILLVVVAILFTVVAALFIWNICWGRRGITDFIARYPDADLRTAKNGQYVKVTGVVTCGNVPLESSFHRVPRCVYTSTCLYEYRGWGSKPANSSHRHFTWGLRSSERHVVDFYISDFQSGLRALVKTGSGAKVTPLVDDSVVIDFKQGSEHVSPDFVRWLSKKNLTSDDRIMRLKEGYIKEGSTVSVIGVVQRNDNVLMIVPSSEPLAAGWQWRRCTFPTSLEGIVLRCEDSSNVDAIPV >scaffold_101876.1 pep chromosome:v.1.0:1:7068798:7070703:-1 gene:scaffold_101876.1 transcript:scaffold_101876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGA >scaffold_101881.1 pep chromosome:v.1.0:1:7082069:7083659:1 gene:scaffold_101881.1 transcript:scaffold_101881.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KEK4] MDVENSVKEKQRNSDRISNLPDSLLCQILSDLSTKESVCTSVLSKRWRSLWLHVPALDLDSNNFPDDDVFVSFVNRFLVSENEQHLERFKLIYEVNEHDASRFKSWINAVIKRRVCHFNVHNEVDDDDDDDELFKMPLSLYSCESLVNLQLYRVVLDHPESVSLPCVKIMHLDMVKYDADSTLERLISGCSVLEELTIVRDPNDSLQVVCVRSKSLKSFKIECERYESENHVVEIDAPRLEYMNLCDHRSDSFIIHNIGPFAKVDIDVIFNVEYNDPLEPDDSSKIAMLGKFLTGLSTVSEMVISSDTLQVIHDYCKMEQLPQFSNLSRLHAYFEDSWWEMLPTFLESFPNLHSLVMEFDCFPDKEQIDLSSVPRCFISSLEFVHLKTPFVVNMQKEGRPLTGTSSKMKLAKYFLENGAALKKLTVSASFCNIINEIKSIPRSSTRCQVVMD >scaffold_101882.1 pep chromosome:v.1.0:1:7088153:7088474:1 gene:scaffold_101882.1 transcript:scaffold_101882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRISVSMICLLILIVGFVLQSCQARKVLVPYRTSKGLFLSALPKGNVPPSGPSDKGHTSPPDDSDQRMVPENSPEIYRRLESVPSPGVGH >scaffold_101888.1 pep chromosome:v.1.0:1:7108460:7110141:1 gene:scaffold_101888.1 transcript:scaffold_101888.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKGAAQWSSILVPSVQEMVKEKTITTVPPRYVRSDQDKTKVDDDFDVKTEIPIIDLKRLCSSTTMDSEVEKLDFACKEWGFFQLVNHGIEPSFLDKVKSEIQDFFNLPMEEKKKFWQRPDEIEGFGQAFVVSEDQKLDWADLFFHTVQPVELRKPHLFPKLPLSFRDTLETYSAEVQSVAKILIAKMASALETKPEELEKLFDDVDSIQSMRMNYYPPCPQPDQVIGLTPHSDSVGLTVLMQVNEVEGLQIKKDGKWVPVKPIPNAFIVNIGDVLEIITNGTYRSIEHRGVVNSEKERLSIATFHNVGMYKEVGPAKSLIERQKVARFKRLTMKEYSDGLFSRTLDGKAYLDALRI >scaffold_101890.1 pep chromosome:v.1.0:1:7114024:7114697:1 gene:scaffold_101890.1 transcript:scaffold_101890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGASPRIVDATDNSLDKIPQQLESRVIGTCFWDLLFKRAETEASTIRRHQDKNLKPRRIKSTSASMQG >scaffold_101891.1 pep chromosome:v.1.0:1:7127317:7131067:1 gene:scaffold_101891.1 transcript:scaffold_101891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSAPFLVLDENKKMMLLPLTLLHNEAPDPVNISSWTEVPNVSTTAEFPLQKWVHVGCEVSRNYMRLYICGEIVGEQVLTSLMTNSTNSDCARKISLFSVGGDGYSVQGFIHCAEVLPSNVPANYHYTKDPPLWLSVDKPSTSGIGLDKDGVWIIVSGTFCSLDVVLTNAIGQPVHKDVKVVASLLYADSGMPVEKMSDSEAPLLVSYEGVEFSAEDKPCNLLNGCASFKLKLSQLSSKSDKRLFCVKFEIPEVKAYYPFLETVTNQIRCISRNHDSLTPKRSNRIDYPLDGGEPELASRSNGTSDILHSSSSMKRIRLGEEKVSESETENGNGTSMEWRPQNHEEEDEEDNSSTDSENTEIRDSTAFRRYTISDSIIFKYCLGNLTERALLLKEITNNSSDEEVSEFVDQVSLYSGCFHHSYQIKMARQLIAEGTNAWNLISRNYQHVHWDNVVIEIEEHFMRIAKCSSRSLTHQDFDLLRRICGCYEYITQENFEKMWCWLFPVASAISRGLINGMWRSASPKWIEGFVTKEEAERSLQNQVAGTFILRFPTSRSWPHPDIVGAENPVLISAAEQIFSAGGKRMRPGLVFLVSRATAELAGLKELTVEHRRLGEIIEMIHTASLIHDDVLDESDMRRGKETVHELFGTRVAVLAGDFMFAQASWYLANLENLQVIKLISQVIKDFASGEIKQASSLFDCDVELDDYLLKSYYKTASLVAASTKGAAIFSKVESEVAEQMYQFGKNLGLSFQVVDDILDFTQSTEQLGKPAANDLAKGNITAPVIFALENEPRLREIIESEFCEPGSLEEAIEIVRNRGGIKKAQELAKEKGELALKNLNCLPRSGFRSALEDMVMFNLERID >scaffold_101897.1 pep chromosome:v.1.0:1:7145493:7146357:-1 gene:scaffold_101897.1 transcript:scaffold_101897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFQEVIFCISLFAINLVFCCFTCFSILQIFMKDENQDFSDLRKNLVEPEEAKPDEKAILATIAVILGVSKGADEW >scaffold_101898.1 pep chromosome:v.1.0:1:7148976:7150045:-1 gene:scaffold_101898.1 transcript:scaffold_101898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRGEMEKIGIDQLKALKEQADLEVNLLQNSLNNIRTATVRLDAAAAALNDLSPLIGTYDAKKKTGGPNGSIKFKEELNRPHNKGLEKAVAFLW >scaffold_101900.1 pep chromosome:v.1.0:1:7152126:7156208:-1 gene:scaffold_101900.1 transcript:scaffold_101900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15 [Source:UniProtKB/TrEMBL;Acc:D7KEL9] MLEPRGADIPILFLVLVVLPVVAYILLGKWSDISEKRGRANLLAQMAAEEALRAETLVNANRGVRFESVATENRAQRTKTKNVSAASAAVRAEFDAGVSENVAEQRSDSVTATCGVPVVAPVNNNELHVCARCFGPAKTRCSRCKSVRYCSGKCQIIHWRIAHKDECVPLETCSSSSERVSFEKDSMLYDHGMDSTVYSNNTTQAAKGKTSISSVDFASLGISQNDITPQINTQGRKSVGKQNSSKSNRESSRRDSAVFDSSEEVFRGEAACAGGDNKKGHTKHKSRSNSYAAETNSRRHSVDSSCVQMNGQPFVSGMQESHKHENNLGIRSSFGCPNTQLPVNGTRTATLPKTGVNKSGEQSCTETSKKGQVAAVSKTVRSKDTGIAEESNGTSSTMGIMKMMGLRNSTKHDDRHKNLKMLFPYEEFLKFFQCEVFDLSPRGLVNCGNSCYANAVLQSLTCTKPLVAYLLRRSHSRSCSGKDWCLMCELEQHVMMLRESGGPLSASRILSHMRSINCQIGDGSQEDAHEFLRLLVASMQSICLERLGGETKVDPRLQETTLVQHMFGGRLRSKVKCLRCDHESERYENIMDLTLEIYGWVESLQDALTQFTRPEDLDGENMYRCSRCAGYVRARKELSIHEAPNILTIVLKRFQEGRYGKINKCISFPEMLDMIPFMTRTGDVPPLYMLYAVIVHLDTLNASFSGHYISYVKDLRGNWYRIDDSEIHRVPMTQVMSEGAYMLFYMRSYPRPQRGEHNGKAPVQHSQPRNEMKEQRKPVNRFKPRAEHKNTESSSSEWSLFTSSDEASFTTESTRDSFSTIDYTDVCHVVDSSSPFAIFNNVEPSPHNTVACRMFSGTKPETRYFVEQETNHNNTVVLDSSTSPYPSPSPAPYPSHDYYDQSMYVNYETNPEFNNGQDQDRTYSYW >scaffold_101915.1 pep chromosome:v.1.0:1:7208053:7211573:1 gene:scaffold_101915.1 transcript:scaffold_101915.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KFD0] MRGRICFSAIVILCSFSFILVRSLNEEGRVLLEFKAFLNDSNGYLASWNQLDSNPCNWTGIECTRIRTVTSVDLNGMNLSGTLSPLICKLYGLRKLNVSTNFISGPIPRDLSLCRSLEVLDLCTNRFHGVIPIQLTMIITLKKLYLCENYLFGTIPRQIGSLSSLQELVIYSNNLTGVIPPSTGKLRLLRIIRAGRNAFSGVIPSEISGCESLKVLGLAENLLEGSLPMQLEKLQNLTDLILWQNRLSGEIPPSVGNITKLEVLALHENYFTGSIPREIGKLTKMKRLYLYTNQLTGEIPREIGNLTDAAEIDFSENQLTGFIPKEFGQILNLKLLHLFENILLGPIPRELGELTLLEKLDLSINRLNGTIPRELQFLTYLVDLQLFDNQLEGTIPPLIGFYSNFSVLDMSANYLSGPIPAHFCRFQTLILLSVGSNKLTGNIPRDLKTCKSLTKLMLGDNWLTGSLPAELFNLQNLTALELHQNWLSGNISADLGKLKNLERLRLANNNFTGEIPPEIGYLTKIVGLNISSNQLTGHIPKELGSCVTIQRLDLSGNRFSGYIPQDLGQLVNLEILRLSDNRLTGEIPHSFGDLTRLMELQLGGNLLSENIPVELGKLTSLQISLNISHNNLSGTIPDSLGNLQMLEILYLNDNKLSGEIPASIGNLMSLLICNVSNNNLVGTVPDTAVFQRMDSSNFAGNHRLCNSQSSHCQPLVPHSDSKLSWLVNGSQRQKILTITCMVIGSVFLITFLAICWAIKRREPAFVALEDQTKPDVMDSYYFPKKGFTYQGLVDATRNFSEDVLLGRGACGTVYKAEMSDGEVIAVKKLNSRGEGASSDNSFRAEISTLGKIRHRNIVKLYGFCYHQNSNLLLYEYMSKGSLGEQLQRGEKNCLLDWNARYKIALGAAEGLCYLHHDCRPQIVHRDIKSNNILLDELFQAHVGDFGLAKLIDLSYSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSFGVVLLELITGKPPVQPLEQGGDLVNWVRRSIRNMVPTIEMFDARLDTNDKRTIHEMSLVLKIALFCTSNSPASRPTMREVVAMITEARGSSSLSTSSITSETPLEEANSSKEIDFVASP >scaffold_101917.1 pep chromosome:v.1.0:1:7213399:7215645:-1 gene:scaffold_101917.1 transcript:scaffold_101917.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KFD2] MRPKAKGLVRPLITKPVQPLSSHMHLFLLCLLFLSALFLTLSEAVCNLQDRESLMSFSGNVSSSVSPLNWNLSIDCCSWEGITCDDSSDSHVTMISLPFRGLSGTLASSVQNIHRLSHLDLSHNRLSGPLPPGFFSTLDQLMVLNLSYNSFNGELPLEQAFGDGSNRFFPVHTIDLSSNLLQGEILSNSIAIQGAINLISFNVSNNSFTGPIPSFMCRSSPQLSKLDFSYNDFSGHISQELGRCLRLSVLQAGFNNLSGNIPSEIYNLSELEKLFLPANQLTGKIDNNITQLRKLTSLELYSNHLEGEIPMDIGNLSSLRSLQLHINKINGTVPHSLANCTNLVKLNLRVNRLGGSLTELDFSQLQSLRVLDLGNNSFTGELPDKIFSCKSLIAIRFAGNKLTGQMSPQVLELESLSYMSFSDNKLTNITGALSILQGCRELSTLIMAKNFYDETVPSKEDFLAPDGFPKLRIFGVGACRLKGEIPAWLINLKKVEAMDLSMNRFVGSIPGWLGTLPDLFYLDLSDNLLTGELPKEIFQLRALMSQKTYDATEENYLELPVFLNPTNVTTNQQYNQLYSLPPTIYIRRNYLTGSIPVEVGQLKVLHILDLHSNNLSGSIPYELSNLTNIERLDLSNNYLSGRIPWSLTSLSFMSYFNVANNSLEGPIPRGGQFDTFPKAYFEGNPLLCGGVLLTSCTPATTTGNDELKRTFLVGIAIGYFISFISILVVRPRWV >scaffold_101918.1 pep chromosome:v.1.0:1:7216180:7216561:1 gene:scaffold_101918.1 transcript:scaffold_101918.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFD3] MGRVREGVTVRWRSELNNTSLTASSSSFASTVPLMAVPIATCRRIYSSTGQPWNGGFNFIIRGPFLKIPVFASVPGLFFSSQPTRLAASFVPSYRILVSPSITMMDHWSQPSG >scaffold_101920.1 pep chromosome:v.1.0:1:7221695:7222428:-1 gene:scaffold_101920.1 transcript:scaffold_101920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKKACIIFFDEVDAIGGARVGVGPYEDSGIHRTMLEIVNQLDGFDQRGNIKVLMATNRPDSLDPALLRPGRLDRKVEFGLPDLQGRIHIFKIHTRSMNCDKHIRYELLARLCPNTSGADIRSVCTEAGMFAIRARRKTVTEKDFLDAVTKVMKSYHKFSATPTYMVYN >scaffold_101921.1 pep chromosome:v.1.0:1:7222465:7223057:-1 gene:scaffold_101921.1 transcript:scaffold_101921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRELHPTTLGPPNHPPTIIVCPKFKIMMPLPPRFDYTTKMFIVEDTPDVTYNDIGGCTEQIQQIREVSKFSVFFILLKQLSSASYRCCFQVVEWPMLHPEKFVKLGLDPPRGVLCYGPPGTGKTLLAGAVANRTAACFIWVIGTELVHKCSGMGAAKFFRYFI >scaffold_101924.1 pep chromosome:v.1.0:1:7231724:7233969:-1 gene:scaffold_101924.1 transcript:scaffold_101924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNSSDDEEDHRNLIPQNDTRDNDLDLRREDELHSVTTARAINRANGGGRSPRSAFQIDEIVSRARNRWKISVNKRYVVAVVSLTLFVGFLFLFTDTRRFFSVDLSSFKLDPMSSRVKESELQALNLLRQQQLALVSLLNRAIFNSSNAIGSSVLIDNVKAALLKQISVNKEIEEVLLSPHKTGNYSVTGSGSDSITGSYYDDRCKKVDQKLLERKTIEWKPRPEKFLFAICLSGQMSNHLICLEKHMFFAALLDRVLVIPSSKFDYQYDRVIDIERINTCLGRTVVISFDQFKEIDKKNNAHIDRFICYFSSPQPCYVDEDHIKKLKGLGVSIGGKLEAPWIEDIKKPTKRTSKEVVEKFKSDDGVIAIGDVFYADMEQDLVMQPGGPINHKCKTLIEPSRLILVTAQRFIQTFLGKNFISLHLRRHGFLKFCNAKSPSCFYPIPQAADCISRMVERANAPVIYLSTDAAESETGLLQSLVVVNGKVVPLVKRPPRNSAEKWDSLLYRHGIEDDSQVDAMLDKTICAMSSVFIGASGSTFTEDILRLRKDWGTSSMCDEYLCRGEEPNFIAENEKKSQHIR >scaffold_101927.1 pep chromosome:v.1.0:1:7240931:7244377:1 gene:scaffold_101927.1 transcript:scaffold_101927.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine aminotransferase [Source:UniProtKB/TrEMBL;Acc:D7KFD9] MRRFVIGQAKNLIDQSRRRQHIHHKNLSFLSLLPPFSAPSDSSSRHLSSSSSEMSASDSSSSLPVTLDSINPKVIKCEYAVRGEIVNIAQRLQEDLKTNKDAYPFDEIIYCNIGNPQSLGQQPITFFREVLALCSHTALLDESATHGLFSSDSIERAWKILDQIPGKATGAYSHSQGIKGLRDAIAAGIEARDGFPADPNDIFMTDGASPGVHMMMQLLITSEKDGILCPIPQYPLYSASIALHGGTLVPYYLDEASGWGLEISELKKQLEDARSKGITVRALAVINPGNPTGQVLAEENQRDIVDFCKQEGLVLLADEVYQENVYVPDKKFHSFKKVARSMGYGEKDLALVSFQSVSKGYYGECGKRGGYMEVTGFTSDVREQIYKMASVNLCSNISGQILASLIMSPPKPGDDSYESYIAEKDGILSSLARRAKTLEEALNKLEGVTCNRAEGAMYLFPCLHLPQKAIAAAEAEKTAPDNFYCKRLLKATGIVVVPGSGFRQVPGTWHFRCTILPQEDKIPAIVDRLTAFHKSFMDEFRD >scaffold_101928.1 pep chromosome:v.1.0:1:7245157:7245645:1 gene:scaffold_101928.1 transcript:scaffold_101928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYCSAALVLLLVAFFSSKHSVEGRSLLTQSGQAVRDLHISKEMKKEPLRGEKDSFRRIPSTGSNPVPNSSDMSASQSSSSLTVTLDSINTKYAVRGEIVNIGQ >scaffold_101931.1 pep chromosome:v.1.0:1:7252709:7252979:-1 gene:scaffold_101931.1 transcript:scaffold_101931.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFE3] MSVIKRRDCWSKQQRSSSSSPAKKKWRTHVMGAPSSDDFRIYLDNPSSEYSITGTVWQTPSRNISVNRRSRSTSF >scaffold_101935.1 pep chromosome:v.1.0:1:7266747:7267852:1 gene:scaffold_101935.1 transcript:scaffold_101935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNYDGILLGMGNPLLDISAVVDDEFLTKYDIKLNNAILAEDKHLPMYDEMSSKFNVEYIAGGATQNSIKVAQWMLQIPGATSYMGSIGKDKYGEAMKKDATAAGVNVHYYEDESAPTGTCGVCVVGGERSLIANLSAANCYKVDHLKKPENWALVEKAKFYYIAGFFLTVSPESIQLVSEHAAANNKVFTMNLSAPFICEFFKDVQEKFLPYMDFVFGNETEARTFSRVHGWETEDVEQIAIKISQLPKATGTYKRTTVITQGADPVVVAEDGKVKKYPVIPLPKEKLVDTNGAGDAFVGGFMSQLVKEKSIEECVKAGCYASNVVIQRSGCTYPEKPDFN >scaffold_101937.1 pep chromosome:v.1.0:1:7274164:7277215:-1 gene:scaffold_101937.1 transcript:scaffold_101937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLKQQQQQQQVMMQQALMQQQSLYHPGLLAPPQIEPIPSGNLPPGFDPSTCRSVYVGNIHIQVTEPLLQEVFASTGPVESCKLIRKEKSSYGFVHYFDRRSAGLAILSLNGRHLFGQPIKVNWAYASGQREDTSSHFNIFVGDLSPEVTDAMLFNCFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQTAIDEITGKWLGSRQIRCNWATKGATSGEDKQSSDSKSVVELTSGVSEDGKDTTNGEAPENNAQYTTVYVGNLAPEVSQVDLHRHFHSLGAGVIEEVRVQRDKGFGFVRYSTHVEAALAISMGNTHSYLSGRQMKCSWGSKPTPPGTASNPLPPPAPAPIPGFSASDLLAYERQLAMSKMAGMNPLMHHPQGQHALKQAAMGATGSNQAIYDGGFQNAQQLMYYQ >scaffold_101944.1 pep chromosome:v.1.0:1:7318488:7326773:-1 gene:scaffold_101944.1 transcript:scaffold_101944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIVCTTLEEICCQGNTGIPLVSLWSRLSPPPLSPSVKAHVWRNLLAVPQLQFKAKNTVYEPSDASIQQLEEALRLDLRIVANEKLRGNFVGLYDAQSNNTTISAIQRRVLERLAVARANGVAQNLLAKEFGIEGRNFFYIVKHLESRGLVVKQPAIVRTKEVDGEGDSKTTSCISTNMIYLSRYAKPLGSQQRFEICKEDSLSETPMMEHEVTPAGDSLLSESTKEDTLIKDFLPAMKAICDKLEEANEKVLVVSDIKQDLGYLGSHSRHRAWRSVCRRLTDSHVVEEFDAVVNNKVERCLRLLKRFSAKDFNNYSGKKHLLKFGRSIQRTEQTLELPIDNQIYDMVDAEGSKGLAVMEVCERLGIDKKKSYSRLYSICLRVGMHIQAESHKKTRVFRVWTSGNAGSECSDLFPEKVENRSWENNVSTNDFGTPHDTGGLAQTSIEHSLAISDTDFATPARLTDSENNSGVLHFATPGRLTDSESNSGVPDCSPSNAKRRNVLTRRNLQESFHEICDKVVNTAMGSPDLALSETNYLALPKPAKPKVHQPQPITVENSRRERRILERLNEEKFVVRAELHKWLLSLEKDRSSKVDRKTIDRILNRLQEEGLCNCMNISVPNVTNCGRNRSSVVVFHPSVQSLTRDIVGEIHDRIRSFELGLRGQNLSKRKSNELIPILNDVQRGQTNVDLDARASKSGAMRANGFVLAKMVRVKLLHCFLWDYFSSLPSWDNAFSSIHDQKFDNLFALEDAFKAMPLELFLQVVGSTQKADDMMKKCKQVMRLSELPGEEYKLLMDTLATGRLSMLIDILRRLKLIQMVSSRLRRDEIEEKHANLTHAMELKPYIEEPVFVAATSNVMYLDFRPRIRHDFILSNRDAVDEYWLTLEYCYAAADHRAAKLAFPGSVVQEVFRFRSWASDRVMTTEQRAKLLQRIAIDEKEKLSFKECEKIAKDLNLTLEQVMHVYHAKHGRRVKSKSKDKNFAIDNSPSSSSGKRKRETIVKTTGEGVRSIIVDEEMVLNSDAINASNSENFQNSLEEDQTPIPMHQEHNLQENAEIRDLAEDEGQCSSIINQYASSKTTATPSQRFSWTDEADRKLLSQYVRHRAALGAKFHGVIWASVPELPAPHLACKRRIQILMKNDKFRKAIMKLCNLLSERYARHLETKQKCLPESNRSHVLVRYLSPAIDGTDPGSVEHGKDICFDEEKWDDFNEKSISQAFNDVLDLKKMAKLVAPKRTKPGSREWSNRDIVDEGSDMVPPAIYSEDIQNVTVDQVKDTSRRSGHYRLHQTIKPLDEKDNGSIQVRKSLAVSTAAELLKLVFLSMPTAPGMPNLLEDTLRRYSERDLFTAYSYLRDKKFLVGGSGGQPFVLSQNFLHSISKSPFPVNTGTRAAKFSSWLLDHERDLMAGGVALTSDLQCGDILNFFSLVSSGELSISVSLPEEGVGEPGDRRGLKRRADDIEESEADSAKKLKLLGEGEINFRKEKGFPGIAVSVCRATLPTANAIELFKDDDSRTGELHFKWRETNSGSDSDDIKELFNSTGSTVIPSSLGDSPWQAMANFTSSIMSESADEEVSLFRVFETVSNALQKAGDQGLSIEEVHRLIDIPSQETCDCIVDVLQTFGVALKVNGYNNFRVVHSFYRSKYFLTLEEDGTSQKGQQSLPVNYLERAVGEHRSKDVISQDEREHVTGNSVHKVTILNLPEMAQTSCLHEASIKAPSVTFGTGIEGETKESTSEKSPVPIFPWVNADGSINKVVFDGLVRRVLGTVMQNPGIPEDEIINLMDILNPQSCRKLLELMTLDGYMKVREMVQTKFNGPPSLLAGLVSTGPSKPELIRRKHLFANSKGLFAL >scaffold_101949.1 pep chromosome:v.1.0:1:7338912:7339453:1 gene:scaffold_101949.1 transcript:scaffold_101949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKQSSLSESEVKTNVIGSRKEDKESMFHGKETHGRSEDIDEKTRVDDVKGPGVLGRMKEEMEAIVDAVTPTKSSDK >scaffold_101950.1 pep chromosome:v.1.0:1:7343266:7347769:1 gene:scaffold_101950.1 transcript:scaffold_101950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7KFG1] MARGRIRSKLRLSHIYTFGCLKPSADEGQDPHPIQGPGFSRTVYCNQPHMHKKKPFRYRSNYVSTTRYNMITFFPKCLYEQFHRAANFYFLVAAILSVFPLSPFNKWSMIAPLVFVVGLSMLKEALEDWSRFMQDVKINARKVYVHKSDGEFRRRKWKKINVGDVVKVEKDGFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLSLDDYESFKDFTGTIRCEDPNPSLYTFVGNLEYERQIFPLDPSQILLRDSKLRNTPYVYGVVVFTGHDTKVMQNSTKSPSKRSRIEKTMDYIIYTLLVLLILISCISSSGFAWETKFHMPKWWYLRPEEPENLTNPSNPVYAGVVHLITALLLYGYLIPISLYVSIEVVKVLQASFINKDLHMYDSESGVPAHARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTSYGVRSSEVEVAAAQQMAVDLDEHGEVFSRTSTPRAQAQEIEVESSINPRIPIKGFGFEDIRLMDGNWLREPHTNDILLFFRILAICHTAIPELNEETGKYTYEAESPDEASFLTAASEFGFVFFKRTQSSVYVHERLSSSGQMIEREYKVLNLLDFTSKRKRMSVVIRDEEGQILLLCKGADSIIFERLAKNGKAYLGPTTKHLNEYGEAGLRTLALSYRKLDEEEYSAWNAEFHKAKTSIGSDRDELLERISDMIEKDLILVGATAVEDKLQKGVPQCIDKLAQAGLKLWVLTGDKMETAINIGYSCSLLRQGMKQICITVVNSEGGSQDAKAVKDNILNQITKAVQMVKLEKDPHAAFALIIDGKTLTYALEDEMKYQFLALAVDCASVICCRVSPKQKALVTRLVKEGTGKITLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTGFSGQSVYNDYYLLLFNVVLTSLPVIALGVFEQDVSSEICLQFPALYQQGTKNLFFDWYRILGWMGNGVYSSLVIFFLNIGIIYEQSFRVSGQTADMDAVGTTMFTCIIWAVNVQIALTVSHFTWIQHVLIWGSIGLWYLFVALYGMMPPSLSGNIYKILVEILAPAPIYWMATFLVTVTTVLPYFAHISFQRCLNPLDHHIIQEIKYYKRDVEDRRMWTRERTKAREKTKIGFTARVDAKIRHLRSKLNKKQSNMSQLSAQDTMSPRSV >scaffold_101952.1 pep chromosome:v.1.0:1:7353629:7354422:1 gene:scaffold_101952.1 transcript:scaffold_101952.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFG3] MQVALGRAASDEFRSGIYKSPSQVPIIDGIYLEAYLPPKKLLQRERYSQSTRNKPSHDHSETELSNAHGEVIEQGFKLEWLKLKLDEVSLRRKKLDVDVLQLDERVKNIELMRLYFKLDCLKTNLEEVSLERKKSDDA >scaffold_101953.1 pep chromosome:v.1.0:1:7355454:7357834:-1 gene:scaffold_101953.1 transcript:scaffold_101953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQKLKWTAEEEEALLAGVGKHGPGKWKNILRDPEFAEQLSSRSNIDLKDKWRNLSVAPGIQGSKDKIRTPKIKAAAFHLASAAAAAILTPPHSAHSSPVAVLPRSGSSDLSIDDSFNIVVDPKNAPRYDGMIFEALSALTDANGSDVSAIFNFIEQRHEVPPNFRRILSSRLRRLAAQGKLEKVSHLKSTQNFYTMNDNSLVTRTTHVARPKESNTKARQQTNSQGPSISQQMVAEASITAAYKLVEVENKLDVSKGASEEIYRLIKLAEVADDMLVIAREMHEECSQGKIMYLN >scaffold_101957.1 pep chromosome:v.1.0:1:7367955:7370093:1 gene:scaffold_101957.1 transcript:scaffold_101957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEISPAVALTLSLANTMCDSGISSTLDISELENVTDAADMLSNQKRQRYSNGVVDCMMGDVSEEPEEKTLSQVRSLSSDFSVTVQESEEDEPLVSDATIISEGLIVVDARSEISLPDTVETDNGRVLATAIILNETTIEQVPTAEVLITSLNHDVNMEVATSEVVIRLPEENPNVARGSRSVYELECIPLWGTISICGGRSEMEDAVRALPHFLKIPIKMLMGDHEGMSPSLPYLTSHFFGVYDGHGGAQVADYCHDRIHSALAEEIERIKEELCRRNTGEGRHVQWEKVFVDCYLKVDDEVKGKINRPVVGSSDRMVLEAVSPETVGSTAVVALVCSSHIIVSNCGDSRAVLLRGKDSMPLSVDHKPDREDEYARIEKAGGKVIQWQGARVSGVLAMSRSIGDEYLEPYVIPDPEVTFMPRAREDECLILASDGLWDVMSNQEACDFARRRILAWHKKNGALPLAERGVGEDHACQAAAEYLSKLALQKGSKDNISIIVVDLKAQRKFKTRS >scaffold_101959.1 pep chromosome:v.1.0:1:7372915:7382850:1 gene:scaffold_101959.1 transcript:scaffold_101959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVIIVGSHVWVEDPHLAWIDGQVTRIDGENIHVKTKKGKTVVTNVYFPKDTEAPSGGVDDMTKLSYLHEPGVLRNLETRYELNEIYTYTGNILIAVNPFQRLPHIYETDMMEQYKGAALGELSPHVFAIGDAAYRAMINEGKNNSILVSGESGAGKTETTKMLMRYLAFLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTLRNNNSSRFGKFVEIQFDKNGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPPEDIKKYKLENPHKFHYLNQSSCYKLDGVDDAKEYLETRRAMDVVGISNEEQEAIFRVVAAILHLGNIDFGKGEEIDSSVIKDKDSRSHLNMAAKLLMCNAQSLEDALIRRVMVTPEEIITRTLDPDNAIASRDTLAKTIYSHLFDWIVNKINTSIGQDPRSKSIIGVLDIYGFESFKCNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFIDNQDVLDLIEKKPGGVISLLDEACMFPKSTHETFSQKLFQTFKNHKRFAKPKLSRTDFTISHYAGEVTYQSNHFIDKNKDYIVAEHQALFTASNCKFVAGLFHALHEDSSRSSKFSSIGSRFKQQLHSLMESLNGTEPHYIRCIKPNNVLKPGIFENFNVIHQLRCGGVLEAIRISCAGYPTRLAFYDFLDRFGLLAPEVLEGNYDDKVACQMILDKKGLRDYQVGKTKIFLRAGQMAELDARRAEVLGNAARVIQRQFRTCMARKNYRSIRNAAIVLQSFLRGEIARMVHKKLRIEAAALRFQKNFRRYVHRKSFVTTRSSTIVLQAGLRAMIARSEFRLKRQTKAAIVLQAHWRGRQAYSYYTRLQKAAIVTQCAWRCRLARRELRMLKMAARETGALTDAKNKLEKRVEELTWRLQLEKRLRTDLEEAKVQEVAKLQEALHTMRLQLKETTAMVVKEQEAARVAIEEACSVNKEPVVVEDTEKIDSLSNEIDRLKGLLSSETQKADEAKQAYLSALVQNDELSKKLEEAGRKIDQLQDSVQRFQEKVFNLESENKVLRQQTLTISPTTRALALRPKTTIIQRTPEKDTFSNGETTQLQEPETEDRPQKSLNQKQQENQELLLKSISEDIGFSDGKPVAACLIYKCLIHWRSFEVERTSIFNRIIETIASAIEMQENSDVLCYWLSNSATLLMFLQRTLKAGATGSITTPRRRGMPTSLFGRVSQSFRGSPQSAGFPFMSGRAIGGGVDELRQVEAKYPALLFKQQLTAFLEKIYGMIRDKMKKEISPLLASCIQVPRTPRSGLVKGRSQNTQNNVVAPKPIIAHWQNIVTCLNGHLKTMRANYVPSLLISKVFGQIFSFINVQLFNSLLLRRECCSFSNGEYVKTGLAELEKWCHDATEEFVGSAWDELKHIRQAVGFLVIHQKPKKSLKEITTELCPVLSIQQLYRISTMYWDDKYGTHSVSSQVIATMRAEVSDVSNSAISNSFLLDDDSSIPFSLDDISKSMQNVEVAEVDPPPLIRQNSNFMFLLERSD >scaffold_101960.1 pep chromosome:v.1.0:1:7383315:7383936:1 gene:scaffold_101960.1 transcript:scaffold_101960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLQRVWTLVDVVSQRLMVQGSRGLVNASRCKYINAFDAFSKIISADGPKGLYRGFGISILTYAQSNALVLCLQANNENGNNRVIKPDSKVIMAFQGVSAAIAGSVSTLITIKTRLQVLDGEDSNSSSNNRKCSPTIRQTVRSLVREGGWMACYRGLGPRCASMSMSATTTITT >scaffold_101969.1 pep chromosome:v.1.0:1:7408818:7409008:1 gene:scaffold_101969.1 transcript:scaffold_101969.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFI1] MVKTSRPGADRRAESRQNLTSTQTSLNKRLRDYQRKNDETRDGTSKTKA >scaffold_101974.1 pep chromosome:v.1.0:1:7433999:7434581:1 gene:scaffold_101974.1 transcript:scaffold_101974.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7KFI5] MTTYGTNPKSSNDLAPKLEYITRGINQQKRSGLATRRPWKQMLDLGSFNFPRKLATVISRIRANTVYFQTNYTIVVLFSVSLSLIWNPFSLLILIALLGAWLFLYFLRDEPLAVFDREIDHRIVLIVMSVLTLSILFLTDAKLNIAVAIVAGAAAVLSHAAVRKTEDLYQTDEETSLLNP >scaffold_101975.1 pep chromosome:v.1.0:1:7435402:7436741:-1 gene:scaffold_101975.1 transcript:scaffold_101975.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoric monoester hydrolase [Source:UniProtKB/TrEMBL;Acc:D7KFI6] MANRNNIVIVFDFDKTIIDVDSDNWVVDELGFTELFNQLLPTMPWNSLMNRMMKELHDQGKTIEEIKQVLRRIPIHPRVIPAIKSAHALGCELRIVSDANTLFIETIIEHLGIREFFSEINTNPGLVDEQGRLIVSPYHDFTKSSHGCSRCPPNMCKGLIIERIQASLTKEGRKTKMIYLGDGAGDYCPSLGLKAEDYMMPRKNFPVWDLISQNPMLVKATVRDWTDGEDMERILMEIINEIMSLEEEEDQDKMLSSENCKISVGIVHEPMVPLALQVPLNLVK >scaffold_101977.1 pep chromosome:v.1.0:1:7449333:7450461:1 gene:scaffold_101977.1 transcript:scaffold_101977.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:UniProtKB/TrEMBL;Acc:D7KFI8] MGNTDKLMNQIFDLKFTSKSLQRQARKCEREEKSEKLKVKKAIEKGNMDGARIYAENAIRKRSEQMNYLRLSSRLDAVVARLDTQAKMATITKSMTNIVKSLESTLATGNLQKMSETMDSFEKQFVNMEVQAEFMENAMAGSSSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPAGHAIPTNTEEKVEEDDLSRRLAELKARG >scaffold_101985.1 pep chromosome:v.1.0:1:7484134:7485440:1 gene:scaffold_101985.1 transcript:scaffold_101985.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-tonoplast intrinsic protein [Source:UniProtKB/TrEMBL;Acc:D7KFJ5] MATSTRRAYGFGRADEATHPDSIRATLAEFLSTFVFVFAGEGSILALDKLYWDTAAHTGTNTPGGLVLVALAHALALFAAVSAAINVSGGHVNPAVTFAALIGGRISVIRAIYYWIAQLLGAIIACLLLRLATNGLRPIGFHVASGVSELHGLVMEIILTFALVYVVYSTAIDPKRGSIGIIAPLAIGLIVGANTLVGGPFDGASMNPARAFGPALVGWRWNNHWIYWVGPFIGGALAALIYEYMIIPSVNEPPHHSTHQPLAPEDY >scaffold_101986.1 pep chromosome:v.1.0:1:7485696:7489039:-1 gene:scaffold_101986.1 transcript:scaffold_101986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFVGFVVFLSGFFLGILAVLAAEAAGLMYLLKRFNRKRDRIESKPVSDPSTKDFDPRESIDFCINKQGVVWILELDEGIKNWMKEKLPKEQKRKRHLLEIHPLRKFARIKDHKLILSDADGTQSQTTVSLKGCSIEAVSGSDLPTRKWAKRFPIKVEGKISPALYKGNQVFYIYLETSWEKESWCKALRLAACENQERFIWYSTKLKEDFRNYVTSLNVAYPSFMKPSLGFSFETLDKGNRTDGSSSKVRLFLKKFSRKRSNREDRKTYSQHGSSSGKCFPGKNNITDDTDVPIFSRSVSHSSHISGVSDGDSEDKVDMDEGTLALNLLISRLFFDLKRKTGMKNSVQARIQRLLSNMRTPSYIGELICSDVDTGNLPPHIHATRVLPMEMSGVWAFELDIEYSGDVVIDVETRVDIREVDLQNGITDTRLQPSSSGEVPSNFAEVVEDFEKQLVIPVETVDAGEVKNGGANKGDESKSSKGTKAAPNGVSRWKSILKTIAEQVSQVPISLSIRVSSLRGTLRVHMKPPPSDQLWFGFTSMPDIAFDLASSVGEHKITNSHVAMFLINRFKTAIREAVVLPNCESLTIPWMIAEKDDWVQRKAAPFMWLNQENDHNSSHATEARSKSDKPPTSSSCVQAEQMQRTANATQKIISEIGTLASSSCAQSEQVQKAATAFQKPNTEAEAIMSTPLSNTTTVTIESDKSMEELKTPLLLPSSSEKQETNSRGSSREVSAVQSPSRSVFSSEEDDSRGKKQGRRARMLDLGKKMGEKLEEKRRHMEEKSRQIVEKMRGPS >scaffold_101992.1 pep chromosome:v.1.0:1:7510873:7511503:1 gene:scaffold_101992.1 transcript:scaffold_101992.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin and protease inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7KG87] MSSLLYIFLLFAVFTSHRGATTEAAVEPVKDINGKPLLTKYNYYILPVVRGRGGGLTMSNFKNETCPRSVIQDQFEVSQGLPVKFSPYDKSRIVRVSTDQNIKFSPTSIWELANFDERTSQWFISTCGVEGNPGQKTVGNWFKIDEFEKDYKIRFCPTVCNFCKVICRDVGVFVQDGKRRLALSEVPLKVMFKKAY >scaffold_101993.1 pep chromosome:v.1.0:1:7511559:7513768:-1 gene:scaffold_101993.1 transcript:scaffold_101993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFVSTPSPSLALKSCHNLRLRRFDSPIFQKFGKAYVNQTTRSRNLLRCSAEDDRVREPVKEASSPVAIAEEQKEDHASNNAPPSPESSEEEEEKKSKQQEMDWKTDEEFKKFMGNPSIEAAIKLEKTRTDRKLKELNKESNSQNPIIGILNSLARDTLTREKERLEKAEETFKALDLNKLKSCFGFDTFFATDVRRFGDGGIFIGNLRKPIDEVTPKLEAKLSEAAGRDVVVWFMEERSNEITKQVCMVQPKAEIDLQFESTRLSTPWGYISAIALCVTTFGTIALMSGFFLKPDATFDDYIANVVPLFGGFLSILGVSEIATRVTAARHGVRLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYLTSLLLAAAAFISDGSFNGGDNALYIRPQFFDKNPLLSFVQFVVGPYADDLGNVLPNAVEGVGVPVDPLAFAGLLGMVVTSLNLLPCGRLEGGRIAQAMFGRSTAAILSFTTSLLLGIGGLSGSVLCLAWGLFATFFRGGEETPAKDEITPLGDDRFAWGIVLGLICFLTLFPNSGGTFSTSFFNGPFFRGDDF >scaffold_101999.1 pep chromosome:v.1.0:1:7528708:7531173:-1 gene:scaffold_101999.1 transcript:scaffold_101999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFASTPKDSGGNRRKPTSIGEVSVYVPGLRIPRAVDFLQSLGDQLPKTLVERLTALRTRIVVMANQEGPTITRTRRKTQHGGSTLLDLHQALLDYLPVVLGLTKDGSHLQFKVQFNWVNQEDEEEETEMSNVWYEVLSVLHLMAMLQMSQANLLLLPRGSSDGHNHPKVSEENRRTSIDIFLKAAGYLDCAVKHVLPQFSAEQRRSLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSSKATLAVKRRLSCEMVKYWQQAQDNLMNLPLANGWGEKHGLFVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADECFKESKKASEAFNASSPTSRTPPLFGTMKYLTEKIPKDTSSKVRINRDLYSYEKIMETAPTLPDFALALKPDEYQLPLVEA >scaffold_102001.1 pep chromosome:v.1.0:1:7539898:7541231:1 gene:scaffold_102001.1 transcript:scaffold_102001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSRGHWRPAEDEKLRELVEQFGPHNWNAIAQKLSGRSGKSCRLRWFNQLDPRINRNPFTEEEEERLLASHRIHGNRWSVIARFFPGRTDNAVKNHWHVIMARRGRERSKLRPRGHGHDGTVSATGMMGNYNDCDKERRLATTTAINFPYQFSHISHFQVLKEFLTGKIGFRNSTTPIQEGAIDQTKRPMEFYNFLQLNTDSKKPVVIDNSRKDEEEEDVAQNNRNENCVPFFDFLSVGNSASQGLC >scaffold_102011.1 pep chromosome:v.1.0:1:7574935:7576515:-1 gene:scaffold_102011.1 transcript:scaffold_102011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDLQSGQNNNPNLSPADVPPWVRYLADSSARLVFKYGVEMESSMMTSYARNPDYSFFRSSDRYHAYYQKKLAEYRAQKHEGPEIKYSDYGIADTPLRVKVREPFRKPPELQAYLPESFSDKMKRPPPPPRKYAAILPKWITGKELETIKATAKSVARHGQVLNLVKEGNMDEPQYQFMIPGDWRYLYFNNLVDAYRHDNLRTAELVASESEVAAYEESFQRFFEEKKKLHEGAELAVIDSHEFVWMLLLEPPDESEGSEPKRKRCFEESKGAEPKRQRCFDESALSPEDQFLAQHLARALTFEGRFSWKNRILAFVFKQFRIKTPSNKDPFFIWFNIDEEIAVAGVATLLTHDSF >scaffold_102012.1 pep chromosome:v.1.0:1:7577182:7577891:-1 gene:scaffold_102012.1 transcript:scaffold_102012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSVVDSDPINVVKLVCLGARSISDKRRKLDTSFWEHAYYLQFKKLAQAYEVLSDLEKWEIYDQYGEDALKEGNCGGGGRHDPFDIFSSFFGGSPFGVAMLIAICILGS >scaffold_102013.1 pep chromosome:v.1.0:1:7578899:7580316:-1 gene:scaffold_102013.1 transcript:scaffold_102013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSLASWVSSPSSSLFLSRRERLHLVKATVDGRNRIVPPAKDQIPNKEVTESVNLLKTAAKTRKVAADEILAAFSAIEKAKIDPSTFLETLGGTESPGRTWMLIFTAEKKLNKGRYFPLTAVQRFDAAGKRIENGVYLGPLGALTFEGRFSWKNRILAFVFEQIRIKIGPLDPLEISLGKKDAEEEPSNKDPFFIWFYIDEEIAVARGRSGGTAFWCRCRRIASS >scaffold_102015.1 pep chromosome:v.1.0:1:7595932:7597517:1 gene:scaffold_102015.1 transcript:scaffold_102015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLVLKGTMRAHTDMVTAIATPIDNADIIVSASRDKSIILWKLTKDDKAYGVAQRRLTGHSHFVEDVVLSSDGQFALSGSWDGELRLWDLAAGVSTRRFVGHTKDVLSVAFSLDNRQIVSASRDRTIKLWNTLGECKYTISEGGEGHRDWVSCVRFSPNTLQPTIVSASWDKTVKVWNLSNCKLRSTLAGHTGYVSTVAVSPDGSLCASGGKDGVVLLWDLAEGKKLYSLEANSVIHALCFSPNRYWLCAATEQGIKIWDLESKSIVEDLKVDLKAEAEKSDNSGPAATKRKVIYCTSLNWSADGSTLFSGYTDGVIRVWGIGRY >scaffold_102016.1 pep chromosome:v.1.0:1:7598101:7601392:1 gene:scaffold_102016.1 transcript:scaffold_102016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKDLLRFMKPYILPIHIQKYAGKRVGIDAYSWLHKGAYSCSMELCLDTDGKKKLRYIDYFMHRVNLLQHYEIIPVVVLDGGNMPCKAATGDERHRKRKANFDAAMVKLKEGNVGAATELFQRAVSVTSSMAHQLIQVLKSENVEFIVAPYEADAQLAYLSSLELEHGGIAAVITEDSDLLAYGCKAVIFKMDRYGKGEELILDNVFQAVDQKPSFQNFDQELFTAMCVLAGCDFLPSVPGVGISRAHAFISKYQSVERVLSFLKTKKGKLVPDDYSSSFTEAVSVFQHARIYDFDAKKLKHLKPLSQNLLNLPVGQLEFLGPDLSPSVAAAVAEGNIDPITMEAFNRFSVPRRQLQKPVRSFKEQEKESSFLVCSSSKSEERIELKRTADEATIYPEATLKKAMYSKQDSDLHKLLSQPNRDQVVIQPSNPSLIPDNNPFKIRKTDEINLEFAEYGLQELAVSFVTKSKAMDVASSSPNSHGHEDREEGVTIDLPKLEDSGIKQDSAKNTVKETFETTEVDIAEVQDHVNMTTKRVRGAKPRTESFKVKTNCKSAENKKTTIKKKHSILDFFHRL >scaffold_102017.1 pep chromosome:v.1.0:1:7601672:7603727:-1 gene:scaffold_102017.1 transcript:scaffold_102017.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E12A11 [Source:UniProtKB/TrEMBL;Acc:D7KGA8] MAASVDPLVVGRVIGDVLDMFIPTANMSVYFGPKHITNGCEIKPSTAINPPKVNISGHSDELYTLVMTDPDAPSPSEPNMREWVHWIVVDIPGGTSPSRGKEILPYMEPRPPVGIHRYILVLFRQNSPVGLMVQQPPSRANFSTRMFAGHFDLGLPVATVYFNAQKEPASRRR >scaffold_102018.1 pep chromosome:v.1.0:1:7605816:7606959:1 gene:scaffold_102018.1 transcript:scaffold_102018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPKEIPPVLKTGVDVSRGASFAVADTSILGNSETSMTLNQQVNIFRSIKSNWTDDFIGRSLFMIYIGTEDYLNFTKNKFNADARHPCSALQAFVISAINQLKIDINLLHSLKASKFAIQLLAPLGCLPISRQEYKTGNECYEPLNDLVKQHNEKIGPMLHDLAKKKLPVFSSPSLISTTLLFVGQIVFYVSNTSCCGVGTHDAYGCGMVNVHSKLCEYQRAYFFFDGRHNTEKAQEEFAHLISGADTNCWNCILD >scaffold_102019.1 pep chromosome:v.1.0:1:7608435:7612224:1 gene:scaffold_102019.1 transcript:scaffold_102019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPLEGDCSVEIFGFDSVQGRDTFWHSSAHILGQALEQEYGCKLCIGPCKTIDEGFYYDAFYHGDLGLNDDHFPHIEEGAAKSALVWLLLVTVICLLNVVCHPIFSVPILFISTSQEGQPFERILVTKDQALEMFSDNLFKVEIINDNLAEDETTTVYRCGPLVDLCRGPHIPNTSFVKAFKCLKASSAHWKGDKDRESLQRVYGISYPDDKQLKDYLKSIEEAKIYDHRLSGQQQELFFSHPLSPGNCIYLPHGTRVYNKLMEFFKKEYWKMGYTEVITPVIYTMDFWETSRHAEYYQKNMFKLDFQTPGLTVIHNYGIKHMNCQGHCLMFRHSVQLPIKLADFGPLYQNEASEDLSGLTRVRQFQQDDAHIFCSETQVKEEVRGILDFVDYAYTKFGFTYELKLSTRPKKYLGDLEKWDKAEKDLEEALNDFGKTWVKNKGDGEFYGPKIDITVYDAMKRKFQCATIQLDFHLPDRFKLEYSAEDETEIESSVLIHRAVLGSVERLFAILLEQYKGKLPFWLSPRQAIVCSVSEDSRSYANKVRDQIHEAGYYVDVDTTDRTVSEKVQEAQVAQYNYILAVGDEEARTGQVTVWLRDEMMSFETLLDEFKLKTANFL >scaffold_102020.1 pep chromosome:v.1.0:1:7612359:7614793:-1 gene:scaffold_102020.1 transcript:scaffold_102020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:D7KGB1] MENLGFLIISTFLLIFATLLPYPSASTTRRFHFNVEWKKVTRLCHTKQLLTVNGQYPGPTVAVHEGDTVEIKVTNRIAQNTTIHWHGLRQYRTGWADGPAYITQCPIRSKQSYTYRFKVEDQRGTLLWHAHHSWQRASVYGAFIIYPRQPYPFSGSNIQSEIPIILGEWWNDDVDMVEKEMMKTGAGAKVSDAYTLNGLPGPLYPCSTKDTFTATVDAGKTYILRVINAALNNELFLAVANHTLTVVEVDAVYTKPVHTKAIMIAPGQTTTFLLRADQLSGGEFIIAATPYVTSVFPFNNSTAFGFLRYSGRSKPENSENTRRRRRLTAMSTVAALPNMLDTKFATKFSDSIKSLGSAEYPCKVPTKIDKRVITTISLNLQDCPPNQTCEGYAGKRFFASMNNISFIRPPMSILESYYKKQSKGVFSLDFPEKPPNRFDFTGVDPVSENMNTEFGTKLFEVEFGSRLEIVFQGTSFLNIENHPLHVHGHNFFVVGRGFGNFDPEKDPKRYNLVDPPERNTFAVPTGGWAAIRINADNPGVWFIHCHLEQHTSWGLAMGFIVKDGPLPSQTLLRPPHDLPQC >scaffold_102024.1 pep chromosome:v.1.0:1:7633268:7634569:1 gene:scaffold_102024.1 transcript:scaffold_102024.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7KGB4] MANLFTATAPFLSLSKPFTKTAPYHQCCASSSNPPEPESSSSSSSSPSPPPPPQPQQKRKKTVETTDWVASSLTRRFGIGAGLAWAGFLAFGVISEQIKTRIEVSQEVANTRDVEEEKEIVLPNGIRYYDIRVGGGATPRAGDLVVIDLKGQVQGTGQVFVDTFGSKGKKKPLALVVGSKLYSKGLCEGIDYVLRSMKAGGKRRVIVPPSLGFGEDGAELESGLQIPPNASLEYIVEIDRVSIAPA >scaffold_102025.1 pep chromosome:v.1.0:1:7634877:7636744:1 gene:scaffold_102025.1 transcript:scaffold_102025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVIDSHYLAITAIVTVGYQLIFFVITALFKFDQVTDFAGSTNFVILAVLTLVLKGTWHYRQIVLTVLVVVWGLRLGLFLLMRILQWGEDRRFDEMRGNIGKLVVFWIFQAVWVWTVSLPVTFVNASNGGRLFQPADVIGWTMWVAGFLIEATADQQKLSFNKCPENKGRWCDVGVWKYSRHPNYFGEMLLWWGIYVASLPVLKGVEYLVIIGPVFLTLLLFFVSGIPLLEESADKKYGNLGAYRHYKKTTSPLILLPRGVYGYLPKWCKTVFLFEFPLYSRNLPQETTAWDRRNSKKSQRSID >scaffold_102028.1 pep chromosome:v.1.0:1:7644369:7645074:-1 gene:scaffold_102028.1 transcript:scaffold_102028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANPETAKPTPASVDMANPDELKKVFDQFDSNGDGKISVLELGGVFKAMGTSYTETELNRVLEEVDTDRDGYINLDEFSTLCRSSSSAAEIRDAFDLYDQDKNGLISAAELHQVLNRLGMSCSVEDCTRMIGPVDADGDGNVNFEEFQKMMTSSSLLNSNGSAAPST >scaffold_102029.1 pep chromosome:v.1.0:1:7649139:7649684:-1 gene:scaffold_102029.1 transcript:scaffold_102029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITLSTILKTGGSKSNSGYYQVPAEKQRVHNEALAEATVEMVARELYALFPPTVGDTSYDQPKEGETERDEGIELRVSEFMIKGACKESFTALKDCVDEAKSHPKKCDEFFPRLNKCMHAHSDYYQPILALVKASEEMMKKELVAFVLSEMEAAERKRARKIRGY >scaffold_102030.1 pep chromosome:v.1.0:1:7652783:7653327:-1 gene:scaffold_102030.1 transcript:scaffold_102030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTSSSSKGIADELNMRSDQFPWEKIVYTNLEDRPEKQRVYFRATVEAAVDGLLYAVLPPKVGESSTDQPKEGDTEKDDELLVERFCEFMKGGDCKESFKTLEDCVKESGSVLKCTKHLPMLIKCVDAHSDYYKPIIALGESTVEQLAKDVEAFVLSQKVPAARDD >scaffold_102031.1 pep chromosome:v.1.0:1:7654814:7655833:-1 gene:scaffold_102031.1 transcript:scaffold_102031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINLFLFALLLLLSHASASTVIFYNKCKHPVWPGIQPSAGQNLLAGGGFKLSANKAHSLQLPPLWSGRFWGRHGCTFDRSGRGHCATGDCGGSLSCNGAGGEPPATLAEITLGPELDFYDVSLVDGYNLAMSIMPVKGSGQCSYAGCVSDLNRMCPVGLQVRSRNGKHVVACKSACSAFNSPQYCCTGLFGNPLSCKPTAYSKIFKVACPKAYSYAYDDPTSIATCSKANYIVTFCPHHRH >scaffold_102032.1 pep chromosome:v.1.0:1:7657006:7660018:-1 gene:scaffold_102032.1 transcript:scaffold_102032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISGYGIVILSLLVFSFVELSVHARPVVLVLSNDDLNSGGDDNGVGESSDFDEFGESEPKSEEELDPGSWRSIFEPDDSTVQAASPQYYSGLKRILSAASEGNFRLMEEAVDEIEAASSAGDPHAQSIMGFVYGIGMMREKSKSKSFLHHSFAAAGGNMQSKMALAFTYLRQDMHDKAVQLYAELAETAVNSFLISKDSPVVEPTRIHSGTEENKGALRKSRGEEDEDFQILEYQAQKGNANAMYKIGLFYYFGLRGLRRDHTKALHWFLKAVDKGEPRSMELLGEIYARGAGVERNYTKALEWLTLAAKEGLYSAFNGIGYLYVKGYGVDKKNYTKAREYFEKAVDNEDPSGHYNLGVLYLKGIGVKRDVRQATKYFFVAANAGQPKAFYQLAKMFHTGVGLKKNLEMATSFYKLVAERGPWSSLSRWALEAYLKGDVGKALILYSRMAEMGYEVAQSNAAWILDKYGERSMCMGVSGFCTDKERHERAHSLWWRASEQGNEHAALLIGDAYYYGRGTERDFVRAAEAYMHAKSQSNAQAMFNLGYMHEHGQGLPFDLHLAKRYYDQALQSDAAARLPVTLALASLWLRRNYADTVLVRVVDSLPEVYPKVETWIENVVFEEGNATILTLFVCLITILYLRERQRRQVVVVADPVAADVAQPLDADVAQHLAAFPR >scaffold_102046.1 pep chromosome:v.1.0:1:7709030:7711285:-1 gene:scaffold_102046.1 transcript:scaffold_102046.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7KGD5] MICSSKGTVVFATGNSFPRLPIKKSSSGFYVNRIQIRNDLPISIVATRRSSMSVEALPTRLKSSLKKTRKRNIGCGVSPSSPTTTVVDDEVAVRRNLAMRRVLEDNGGDGSSVRDFSLFTTKRGDTLFTQSWTPVDSAKNRGLVVLLHGLNEHSGRYSDFAKQLNVNGFKVYGIDWIGHGGSDGLHAYVASLDYAVADLKTFLEKVIAENPGLPCFCIGHSTGGAIILKAMLDAKIEARVSGIVLTSPAVGVQPTYPIFGVIAPVLSFLIPRYQLSAAKKKIMPVSRDPEALLAKYSDPLVYTGFIRARTGHEILRLGAHLLQNLSRIKVPFLVMHGTADTVTDPKGTQKLYNEASSSDKSIKLYDGLLHDLLFEPERETIAGVILDWLNRRV >scaffold_102066.1 pep chromosome:v.1.0:1:7775935:7777081:1 gene:scaffold_102066.1 transcript:scaffold_102066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVSNFVVGLANTLVMLVGASAIGYSIYMFVHQGVTDCESAIRIPLLTTGLILFLVSLLGVIGSCFKENVAMVSYLIILFSGIVALMVFSIFLFFVTNKGAGRVVSGRGYKEYRTVDFSTWLNGFVGGKRWVGIRSCLAEANVCDDLSDGRVSQIADAFYHKNLSPIQSGCCKPPSDCNFEFRNATFWIPPTKNETAVAADNGDCGSWSNVQTELCFNCNACKAGVLANIREKWRNLLVFNICLLILLITVYSCGCCARRNNRTARKSDSV >scaffold_102067.1 pep chromosome:v.1.0:1:7777568:7778084:1 gene:scaffold_102067.1 transcript:scaffold_102067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQIRQLKDIFDRFDMDADGSLTILELAALLRSLGLKPSGDQIHVLLASMDANGNGFVEFDELVGTILPDLNEEILINSEQLLEIFKSFDRDGNGFISAAELAGAMAKMGQPLTYKELTEMIKEADTNGDGVISFGEFASIMAKSAVDYFGLKINS >scaffold_102068.1 pep chromosome:v.1.0:1:7778138:7779394:-1 gene:scaffold_102068.1 transcript:scaffold_102068.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L6 [Source:UniProtKB/TrEMBL;Acc:D7KGF2] MPAAKQRTPKVNRNPDLIRGVGKYSRSQMYHKRGLWAIKAKNGGVFPRHDAKSKVDAPVEKPAKFYPAEDVKKPLVNRRKPKPTKLKSSITPGTVLIILAGRFKGKRVVFLKQLSSGLLLVTGPFKINGVPLRRVNQAYVIGTSTKIDISGVNTEKFDDKYFGKVAEKKKKKGEGEFFESEKEEKKEIPQEKKEDQKTVDAALIKSIEAVPELKVYLGARFSLSQGMKPHELVF >scaffold_102079.1 pep chromosome:v.1.0:1:7825495:7826986:-1 gene:scaffold_102079.1 transcript:scaffold_102079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVLAVILLAMVGHSSGTWCVCKEGLSEAMLQKTLDYACGAGADCGPIHQTGPCFNPNTVKSHCSYAVNSFFQKKGQSPGTCDFAGTATVSASDPSYTTCPFPASASGSGTTTPVTTTPSTRVPTTTNTRPYTITPSTGGGLGIPSGTVGINPDYTDPSFGFKLQNPRFGVIVFFTLFLPFYLFS >scaffold_102080.1 pep chromosome:v.1.0:1:7827877:7830479:-1 gene:scaffold_102080.1 transcript:scaffold_102080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRASYHDGLKTYGRDLIKQAEKLENNPILIGEAGVGKTAVVEGLAQRIFNGDVPNFLTDVTLVALDMCALAAGASYPGQFEERLKDVLEEVKEAQGKIVLFIDEIHMVLGAGGAIEAANFFKPMLARGQLRCIGATTRDEYSTYLEKDAAFERRFQGLKEKYELHHGVSIQDTALVVSAQLSARYITGRKLPDKAIDLVDEACAYVRVQLDSQPEEIDDFERKRMQLKIELHALEKDKDKASVARLVKVQKELDDLRDKLQPLTLRYQNEKKIIDEIRKLKQKREELMSALKEAERQHKLPRAADLRYGEIQQVESGLAKLEESSKENLMLTETVGPDNIAEIVSQWTGIPVTKLDQNDKEKLNSLADRLHQRVVGQDQAVDAVADAILRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRLDMSEYMEKYSITRLIGASPGYIGYEEGGQLTEAVRNRPYCVVLFDEVEKAHVSIFNILLQVLDEGRLTDSQGRTVDFKNSMIIMTANIGADHLISGLTGEVTMQVAQDRVMKAVRKHFRPELLNRLDEIVVFDPLSHENLRKVAQLQMKNVVIRLAEKGIALDVTNDALDYILEASYNPVYGARPIRRWLEKNVVTVLSKMIVREEIAENSIVYIDVSAVKAGLVYRIGKTGGFVNKKSKNGAQNKRKRSQRMMKVTS >scaffold_102087.1 pep chromosome:v.1.0:1:7882659:7882852:-1 gene:scaffold_102087.1 transcript:scaffold_102087.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KH60] MRTWRSGSSVPSVPDGADQAYQADRLEQMRIKRIWRKKCGSSRSGSRKNV >scaffold_102089.1 pep chromosome:v.1.0:1:7894319:7895886:-1 gene:scaffold_102089.1 transcript:scaffold_102089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMMGLFDLEKHFAFYGAYHSNPINILIHIIFVWPIVFSALLLLHSSTPIFDPSQLGFSQSLTLDGVLRFNVGFIFTLIYALFYIGLDKKSGFVAALMCFSCWVGSSFLAARLGSSLAFKVGLASQLLCWTGQFVGHGVFEKRAPALLDNLLQAFLMAPFFVLLEVLQSVFGYEPYPGFQARVNAKVESDIKEYRAKKQKKNKIT >scaffold_102099.1 pep chromosome:v.1.0:1:7932231:7933537:-1 gene:scaffold_102099.1 transcript:scaffold_102099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFTHEIKHTPIPEDVGKIKISVDTTKIYQSISNWDLYQEQKEFSCIPVSLRECIDVEVTEFLTRSGEITPIDAYNVLLDLFRFIDEVTSSDEYTPGCALRVLMTLTIGIPYEKIEDVLGSEDEHYVIFEFDHQTEEAARPQVEDVVQDSFNETVEEEVLGLENEGDVIFDSDHLTEDAARSQFVEVFRISLNEISEEEDLGLEDEHDVNLSYEEAALSYLEEVVQVSHNETVGENVLGLEDELYHQIEEEARSLSEISRFSLSETIEEETIGYEDDYDANFSHEEATPSQLGEFVPFYGLSRLLVHHDASFDFDHQTEEAFRSRIAEVSRVSFNETNTVRLKPASKFVVGSLNRKIYKKARDVVVENAMCTICLEEFDDGRSIVTLPCGHEFDEECIEEWFVRNHICPLCRFELPHER >scaffold_102100.1 pep chromosome:v.1.0:1:7934575:7935649:-1 gene:scaffold_102100.1 transcript:scaffold_102100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKILRGEFDHKIKHDPEPEDAGTIKFRVKVRFLGKDDDNLTFTTLSFVDEFINEDRSECQSKVNLNRFLKEAGISDYDIAYAMSHFIPFVAKITSSTSNEYSPECALEVSFDILLLGEPRIGEAVKVLLDYEIKHDPEPEKDGTIRVYARIFFGGEDYLAFTTLSFAGEFINDDRNECRSKVDLNNFFKEAGISDHDIAHAMRQLIPYIAQITSSTSNGYSPGCALEVWFALFTHDEPHIEEEVQVEEAVQIEEAVQISFDETTNICLRPASEVVVKSLTRKIYEKISCTGQKCTICLEEFNDGRRVVTLPCGHDFDDECVLKWFETNHDCPLCRFKLPCEDQ >scaffold_102102.1 pep chromosome:v.1.0:1:7939096:7941137:-1 gene:scaffold_102102.1 transcript:scaffold_102102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADKSKKAKTEEEDVEQIDRELVLSIEKLQEIQDDLEKINEKASDEVLEVEQKYNVIRKPVYDKRNEIIKSIPDFWLTAFLSHPALGELLTEEDQKIFKFLSSLDVEDAKDVKSGYSITFYFNPNPFFEDGKLTKTFTFLEEGTTKITATPIKWKEGKGLSNGVNHEKNGNKRALPEESFFNWFRDAQHKEDVEDEMQDEVADIIKEDLWPNPLNYFNNEADEEDFDDGDEEGKEGDSDEDDDEEDGDGEE >scaffold_102103.1 pep chromosome:v.1.0:1:7943348:7944503:-1 gene:scaffold_102103.1 transcript:scaffold_102103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKKSAHFRQISTYKPQLLVLSSIQEQPSSKISDKPNIKADNDAEIGVFGAEKYFSMKLDPVDSSADITKQHEKENTQDHPHPQLTKTTSSRSRTSRHGTPSVRSESSYNSQTFLMRINNNENKQRKTNETSVSFGRFRCYGPCSGVKTVHTDPKISCKSRNSDRDFVAYDARKHNDKPRLHFEAKKADYNEPEKIPLPIQRSDIAMNLERKLSLLTWDAIPNQLSTKNNNHNNGNNSSMSSNTQEEETASVASSDLFEIENITSSVYEPSEASIGWSVVTGSMADQSVISDFHMMKRVTRSGPAVRTKPVIGEKVRSAGFLSGCKSHKAVSVVDSSRKVKETAKVDHHEMSHQKKFKTEIRIQDLSFL >scaffold_102106.1 pep chromosome:v.1.0:1:7963392:7963746:-1 gene:scaffold_102106.1 transcript:scaffold_102106.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KH75] MGVSIRNRGTVLLLLIAFSVLQTNKVTGLRWDRDMRLQLLFVHPLRVLVESESESESSSSSKEGNLDTNGDLAPSPFVMSDPNQSVKRMIGRGSDPIHNKYNKC >scaffold_102112.1 pep chromosome:v.1.0:1:7986573:7994944:1 gene:scaffold_102112.1 transcript:scaffold_102112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCEADHKAPLGTVETRTLSTVSSPAAATERLITAVSDLKSQPPPISSGIVRLQVPIEQQIGAIDWLHAQNEVLPRSFFSRRSDTVRPDLLQDFSNDNGSSDRNPVSVAGIGSAVFFRDLDPFSHDDLRSIRRFLSSKSPLIRAYGGLRFDPNGKIAVEWEHFGSFYFTVPQVEFDEFEGSSMQAVTVAWDNELSWTLENAIEALQETMLQVSSVIMRLRRESLGVSILSKNHVPSEGAYYPAVNSALEIIKDKKSPLSKVVLARSSRIITDTDIDPIAWLARLQCEGQDAYQFCLQPPSAPAFIGNTPERLFHRKHLGVCSEALAATRPRGDSRVRELEIERDLLTSPKDDLEFSIVRENIREKLKTICDRVVVEPQKSVRKLARVQHLYSQLAGQLRREDDEFDILTALHPTPAVCGCPVEEARLLIKQIESFDRGMYAGPIGFFGGGESEFSVGIRSALVEKGLGALIYAGTGIVSGSNPSSEWNELELKISQFTKSLEHESALQPIN >scaffold_102114.1 pep chromosome:v.1.0:1:7999113:8001416:-1 gene:scaffold_102114.1 transcript:scaffold_102114.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCDPK1 [Source:UniProtKB/TrEMBL;Acc:D7KH81] MGNCNACVRPDSKPSPKPKKPNRDRKLNPFAGDFTRSPAPIRVLKDVIPMSHQTQISDKYILGRELGRGEFGITYLCTDRETHQALACKSISKRKLRTAVDIEDVRREVAIMSTLPEHPNVVKLKASYEDNENVHLVMELCEGGELFDRIVARGHYTERAAAAVARTIAEVVMMCHSNGVMHRDLKPENFLFANKKENSPLKAIDFGLSVFFKPGDKFTEIVGSPYYMAPEVLKRDYGPEVDVWSAGVIIYILLCGVPPFWAETEQGVALAILRGVLDFKRDPWPQISESAKSLVKQMLDPDPSKRLTAQQVLAHPWIQNAKKAPNVPLGDIVRSRLKQFSMMNRFKKKVLRVIAEHLSIQEVEVIKNMFSLMDDDKDGKITYPELKAGLQKVGSQLGEPEIKMLMEVADVDGNGFLDYGEFVAVIIHLQKIENDELFKLAFMFFDKDGSTYIELDELREALADELGEPEASVLSDIMREVDTDKDGRINYDEFVAMMKAGTDWRKASRQYSRERFKSLSLNLMKDGSLHLHDALTGQTVPV >scaffold_102115.1 pep chromosome:v.1.0:1:8006365:8008989:1 gene:scaffold_102115.1 transcript:scaffold_102115.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KH82] MIRPKHISNLSSTARSFFLNGSRTSVADGNSCAYTDDETCVSRRQQLRKEAAQTKKRPSSILPKPSVVGCILPGEVTKPVVPKKVDDFGRPSLLPQHVSSSPALPLKSHSVNYASTIVREEVEGKASSEPIGDQIFKAGIVAVNFLSDLANCKIPSYDGGSEAFGLPKSCMVDPTRPISSVKSSSVKAIRREQFSKVYPRSAAKESSIGKTRNPSSNFRGAKEAERTGFVKGFRQVSNSMVGKSLPTTNNTYGKRTSVLQRPHIDSNRFVPSGFSNSSMEMVKGPPGTALTSRQYCNSGYIVENVSSVLRRFRWGPAAEEALQNLGLRIDAYQANQVLKQMNDYGNALGFFYWLKRQPGFKHDGHTYTTMVGNLGRAKQFGAINKLLDEMVRDGCQPNTVTYNRLIHSYGRANYLNEAMNVFNQMQEAGCKPDRVTYCTLIDIHAKAGFLDIAMDMYQRMQAGGLSPDTFTYSVIINCLGKAGHLPAAHKLFCEMVDQGCTPNLVTYNIMMDLHAKARNYQSALKLYRDMQNAGFEPDKVTYSIVMEVLGHCGYLEEAEAVFTEMQQKNWIPDEPVYGLLVDLWGKAGNVEKAWQWYQAMLHAGLLPNVPTCNSLLSTFLRVNKIAEAYELLQNMLALGLRPSLQTYTLLLSCCTDGRSKLDMGYCGQLMASTGHPAHMFLLKMPAAGPNGENVRNHANNFLDLMHSEDRESKRGLVDAVVDFLHKSGQKEEAGSVWEVAAQKNVFPDALREKSCSYWLINLHVMSEGTAVTALSRTLAWFRRQMLVSGTCPSRIDIVTGWGRRSRVTGTSMVRQAVEELLNIFGSPFFTESGNSGCFVGCGESLNKWLLQSHVERMHLL >scaffold_102120.1 pep chromosome:v.1.0:1:8026847:8027737:-1 gene:scaffold_102120.1 transcript:scaffold_102120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQISSSLFRSFFLTLCLFVIPSLSSDSDPLQDFCIGDLKASPSINGFPCKSAVSASDFFYSGLGGPLDTSNPNGVTVAPANVLTFPGLNTLGISMNNVELAPGGVNPPHLHPRATEVGTIIEGSVFVGFLTTNNTLFSKVLNAGEVFVIPRGLVHFQWNVGQVKARMITAFNSQLPGAVVLPSTLFGSNPEIPNAVLTRAFRTDVTTVQNLKSKFMRYE >scaffold_102125.1 pep chromosome:v.1.0:1:8041040:8041337:1 gene:scaffold_102125.1 transcript:scaffold_102125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTSWADQWDNSGGSARGGQVGSGAVVRSSGSGTTSNTAKYKEKMGQGLDKTKAVASSGFKKLKTGSAIGFRWVKDKYHKTTHK >scaffold_102126.1 pep chromosome:v.1.0:1:8041631:8042113:1 gene:scaffold_102126.1 transcript:scaffold_102126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEIFCSLFYGRRGNALFSRSWLPISGELRGILIIIHGLNEHSGRYSQFAKQLNSSNLGVYAMDWIGMKTKYCFFHYSYWIDC >scaffold_102128.1 pep chromosome:v.1.0:1:8044638:8045030:1 gene:scaffold_102128.1 transcript:scaffold_102128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEVLSSREDLNDLLMEAGFPLEANLFALTEIANDRIIQVTSSRDYSTDCALFLILIFRDRLPLFFDEIIEDGENNNYIRFRPASKLAVRCLTRKIYYKTSSGGGEKVHNMFGRV >scaffold_102129.1 pep chromosome:v.1.0:1:8045339:8046546:-1 gene:scaffold_102129.1 transcript:scaffold_102129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEVMRMEIPAGGDLTVTTPELHVLAVDDSIVDRKVIERLLRISSCKVTTVESGTRALQYLGLDGGKGASNLKDLKVNLIVTDYSMPGLTGYDLLKKIKESSAFREVPVVIMSSENILPRIEECLKEGAEEFLLKPVKLADVKRIKQLILKNEAEECKTLSHSNKRKLQEDCDTSSSSSSSHDDSSVKDTPSSKRMKSESENLSSLF >scaffold_102139.1 pep chromosome:v.1.0:1:8088787:8089894:-1 gene:scaffold_102139.1 transcript:scaffold_102139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPEDLLDEILLRLPVKTLARCLCVSKHCYSIIRSRRFINLYQSRSSTRESRVMFAFRDVYTFLRWYFFSLPQPPSLVTNSTCCIDSTSFCMPVCVHGLICVEHMFRLWICNPVTGKVALLPQPGPREQFTTWYMGYDPINYHYKVLFLSKKCLLDAYKVEVFTFGEESTWKTIEDGNVHFPETRGICIHGVLYYGAHTGNGPKIVRFDVRTEKFGKFIEFPAEACGIYGVCLGFYTLVAYQGKLALLASKTISIYDLWVLEDAEKHAWSKVSIVITPEMCSYELIWPGVTGFVAGSDELIVTARDQFHEFHLVYVDLKTQRSREVRFEGIRSSFGSSLVLAFTDYVESIVLL >scaffold_102142.1 pep chromosome:v.1.0:1:8102471:8103455:1 gene:scaffold_102142.1 transcript:scaffold_102142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVAFDYSPRFRIFKNGRIERLVPETFIPPSLKPESGVVSKDAVYSPEKNLSLRIYLPQKSVDDTGARKIPLLVYFHGGAFIMETAFSTIYHTFLTSAVSAADCIAVSVDHRRAPEHPIPTAYEDSWHAIQWIFTHIAGSGSEDRLNKHADFSKVYLAGDSAGANIAHHMAIRAEKEKLSPENLKISGMILFHPYFLSKALIEEMEVGAMRYYERLCRIATPDSENGVEDPWINVVGSDLSALGCGRVLVMVAGNDVLARGGWSYAVDLKKCGWVGKVEVVETKTISNAHLYIFFYFRGDFAPMFVSMFHQP >scaffold_102152.1 pep chromosome:v.1.0:1:8160066:8160934:-1 gene:scaffold_102152.1 transcript:scaffold_102152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFYTGKDDLAVANLLSQAKDHYVLEQVAKLNCSGFTDDSALPSNHETRFRRLKSLPVSRPDSVSSSSKKLLSQSKSMASYPKKKSRGNVSSVSSFSSFSGNLTGNSCPLDSSVGIKRDTSVNSRFENNSRGEFGDFSDSGRIGYSSTIRINPMFLTPTTQTLKLRPKQNSRTSSTSLTSIDSASPSSYLDQKEKSKSRFLRSWFDKLPLAQAMGCLQGKSSSKSKKTKESCVEGLFWEEEFKKAKKRVEEESKQMKIMLKTRQNVKEGQV >scaffold_102154.1 pep chromosome:v.1.0:1:8166405:8169156:1 gene:scaffold_102154.1 transcript:scaffold_102154.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KHC1] MLRWSPARVVSYQLLPLLYTRCFSEASRTLRRELRGGNGRIRPELLERVSRLLVLGRYEALHDLSLDFSDELLNSILRRLRLNPEACVEIFNLASKQQKFRPDYKAYCKMVHILSRARNYGQTKSYLCELVALNHSGFVVWGELVRVFKEFSFSPTVFDMILKVYAEKGMVKNALHVFDNMGKYGRIPSLLSCNSLLSNLVKKGENFVALHVYDQMISFEVSPDVFTCSIVVNAYCRCGKVDKAMAFAKEMDNSLGLELNVVTCNSLINGYAMIGDVEGMTRVLRLMSERGVSRNVVTFTSLIKSYCKKGLMEEAEQVFELVTEKKLVPDQHMHGVLIDGYCRNGRICDAVRVHDYMIEMGVRTNTTICNSLINGYCKSGQLVEAEQILTRMNDWSLKPDHHTYNTLVDGYCRAGHVDEALKLCGRMCQKEVVPTVMTYNILLKGYSRIGAFHDVLSLWKMMLKRGVTANEISCSTLLEALFKLGDFDEAMKLWENVLARGLLTDTITLNVMISGLCKMEKVNEAKEILDNVNIFRCKPDVQTYQALSHGYYNVGNLKEAFAVKDFMEKKGIFPTIEMYNTLISGAFKYKHLNKVADLVIELRARGLTPTVATYGALITGWCNIGMIDKAYATCFEMIEKGITLNVNICSKIANSLFRLDKFDEACLLLQKIVDFDLLLPGYQSLKEFLEPSATTCLKTQKIAESVENSTPKKLLVPNNIVYNVAIAGLCKAGKLTDAQKLFSDLLSSDRFIPDEYTYTILIHGCAIDGDINKAFNLRDEMALKGIIPNIVTYNALIKGLCKLGNVDRAQRLLRKLPQKGTTPNAITYNTLIDGLIKSGDVAEAMRLKEKMIEKGLVRGSYKQGDVDKPKEVLDPGVKLGITGVTEMNSNEHYDDRIVSEACV >scaffold_102156.1 pep chromosome:v.1.0:1:8176112:8176834:-1 gene:scaffold_102156.1 transcript:scaffold_102156.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KHC3] MSDVPSCSSGNDTNSNDSSNFECNICLDLAQDPIVTLCGHLFCWPCLYKWLHLHSQSKECPVCKAVIEEDRLVPLYGRGKSSADPRSKSIPGLEVPNRPSGQRPETAQPPDPNHGFAHHHGFGGFMGGFAAPMASARFGNVTLSAAFGGLIPSLFNLHFHGFPDAAMYGAAASGGFPHGFSNPFHGGHSHMHSYQRHGGRQGQQDNHLKLLSLVVFVVVVLSLFLS >scaffold_102162.1 pep chromosome:v.1.0:1:8195155:8197023:-1 gene:scaffold_102162.1 transcript:scaffold_102162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLNGKSFFFCFSLITSFTLLFISPSYANESPVIEDVSTDVAVSVSEPDREAVLLHNLEDLVKNLTDLVANLDAKLSETLSKEKNEITISVDDDIGEEKERGGGGRAKAFSVTKYSPFWSERFQFTSAVKLNSDATCINVLPFKDFEGSSKYFAIGDSRGRVYVFLRNGDVLIEFFTTVDSPVTAMVSYLSVFKNSSFVVTGHQNGAVLLHRIHEGSNGEDWNSNSVSMEHVGKFDVDDSGDPVTLLEVHHVGRVRYILMTDLSGKLTVLTENRTVYGSVIPSSRPLVFLKQRLLFLTESGAGSLDLRSMKIRETECEGLNHSLARTYVFDAAERSKAYGFTSEGEIIHVLLHGDIMNFKCRVRSKKKFQMEEPVALQSIKGYLLVINEEKVFAFNVSTQHYVRTAGPRLLFSAGLEEIRSAFLSHRESSSRTAVVKTRPLIASDRENLLVIGLENGYFAVYKSKLPTLKGDFNTMLWSSPVFFFILFLFGAWHFFAKKKESLTAWGPDDPFTPTASQNSSATAPTFSEPSRRNDDLMDLRRRYAGGTYRSVGANDPSSRAPVDGNYRTTAQDHNNYRGGSGLDSNGFGNRRDHLFGNNKVLDNES >scaffold_102165.1 pep chromosome:v.1.0:1:8204722:8207347:-1 gene:scaffold_102165.1 transcript:scaffold_102165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYENISFSIRRLSLLLIIMSIFDSKVFTLSTSCQTECGNIKIPYPFGIGKGCYLNKWYKIECKNASFPFLFKMGMEVVNISLPGDEYGYYNSGSFGSIRVKSRITSVGCSEDGKESGSVLNLTDSPFFFGFRNSLVAIGCNSKASLTNIEPNKVGCELNCTTSKEKFPSKSIPFFDKTGCTSNALPYTYTPVCTKNKGEEERSCDGNGCCRSSLPGDEAQQVIGVKIESFDHGNTTSRECRVAFLTDEVYTLSNATEPERFFAKGYAIVRIGWVLQTKNLSFLNSLSCKNTEEYDKLTYNMQLRTSCRCNNITTNGTNYANCGCARGYTGNPYRIGGCEDINDCLIRNPDGSRWHCRESDTCVNVPGSFYCVGDKTGAVMIGVGAGLGILVLAGGIWWLRKFLEKRKMSKRKRKFFERNGGLLLQQQLHTREGNVEKTRIFTSTELEKATESFSENRILGQGGQGTVYKGMLVDGRTVAVKKSTVVDEDKLEEFINEVVILSQINHRHVVKLLGCCLETEVPILVYEFIVNGNLFQHIHEESDDYTVSWGVRLRIAVDIAGALSYLHSAACSPIYHRDIKSTNILLDEKYRAKVSDFGTSRSVTVDHTHWTTIISGTVGYVDPEYYGSSQYTDKSDVYSFGVILVELITGEKPVITLPNSREIRGLAEHFRVAMKENKFFDIMDARITDGCKPEQVMAVANLANRCLNSKGKKRPNMRRVFTELEKICSSPEDSLVHLENDNDVDEEEEGINTADIADMWTIGATAPASSIVASSFSLEVEPLLPRPTW >scaffold_102167.1 pep chromosome:v.1.0:1:8215954:8217331:1 gene:scaffold_102167.1 transcript:scaffold_102167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGEGTNDRAYGRKGFIIFPDPPYPYEPKRIGALYYEDEGDPDVYFVPPVEEYEPSLPSSQSPTDSCHEQPDSPTYEPMAPGELPECDVYKDICSDPQKVDWAVPPMEFLAEVGLGCYNLQKGTNFQFSDDPHDFQYLYHTGFEDLTDFEDHTDAKASHSLIMRNSTCEFETRAAVQKDEEEDCSLSLITTLHRPQTPEVEGDTLAPDDFFKGPMPDRLPHDRWRLGNMLNNPKFYLLRKSEMEEHKELFLLYAEITLFSHWESIRLMEWAKPLELLYVIVQTREPNLKLKAKAENANFYITFSTCHGFPFHAVIRRTTTGKPNQMSLEFKMLMC >scaffold_102168.1 pep chromosome:v.1.0:1:8217828:8220209:-1 gene:scaffold_102168.1 transcript:scaffold_102168.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KHD6] MEDQDLLVMGVRGFQDRLSKIERSLITATKSLHNLSGDFEYIQSSTRGFRTLRIALEEQLWKLSRSNPEILRYDLCSNELERSTINIGESLAGLSSSEKELMVLLETISKETSAVYSDLEDNDELIRGKNLDQRLKAHLNGVNKTMNRVSASLEDHKKKFDMLCKDIQTSSDAFSPYQDALSTSLVFTISKQIHFPLLKRFLGSDEEKAMGSLTDAELRIVDGILKYIPVLQLRRLMNTNPHSDITIIKCWDRVLFSYWKENKDHVTVDEFGNRWSSKMGDIINQLDHEVCWAIVIVDLVSAVRHILRRDTQKVKYSAQYLVDFTRANSRSRSSSKKNKPGHSCYACPVLEGLKYVQENGIETEAARPFDNAFCKKGFERRSSLNLAYIKDVVKLSSIEETIKALDRHPVAATIPIFEPEYSMIGENIYRGRTSTISRYSTTHAINITGVGKDKKTGEKFVWAKSSHGLKFGVAGYMKVSIEMMMMCLTTGKYGEFVDNPFRSLFEFVYPTLLSEEDEEKRKRQEDVTSNA >scaffold_102175.1 pep chromosome:v.1.0:1:8257277:8258637:-1 gene:scaffold_102175.1 transcript:scaffold_102175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVLTSDDGFYAINNQFLADGPKGFTEFKMVENEEMFIRIDLPGVPDDGVRVTIDPTRKTVSISAKAPKEHKHDSSPRIYLTATGLVCKCCSISNFTSHMCDGVLRLLLFKRQSTSNRSSSIYLRSYGPHKFPHASDPFDPTLTGRVLKPHPCVLQGSEMAYESKKLQNGSLYVRVDMPGVPKDRFTISVTNGRVMVTGEAPAVSHDSGGRFYSGDVAMLDTLISIPSRRIKTIAKNGVIRLIIPPV >scaffold_102177.1 pep chromosome:v.1.0:1:8270344:8271723:1 gene:scaffold_102177.1 transcript:scaffold_102177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGEGTNDRVYGRKGFIIFPDPPYPYEPKRIGALYYEGGYPDVNFVPPVEEYEPSLPSSQSPTDSCHEQPDSPTYEPMAPGELPECDVYKDICSDPQKVDWAVPPMEFLAEVGLGCYNLQKGTNFQFSDDPHDFQYLYHTGFEDLTDFEDLTDAKASHSMIMRNSTCEFETRAAVQKDEEEDCCLSLITTLHRPQTPEVEGDTLAPDDFFKGPMPDCLPHDRWRLGNMLNKPKFYLLRKSEMEEHKELFLLYAEITLFTHWESIRLMDWAKPLELLYVIVQTWEPKLKLKAKAENANFYITFSTYHGFPFHAVIRRTTTGKPNQMSLEFKMLMCPK >scaffold_102178.1 pep chromosome:v.1.0:1:8271964:8274862:-1 gene:scaffold_102178.1 transcript:scaffold_102178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERKREMGIAHFARRIKQPRGIWVKMTFIVVLGICFVFFWSFLSSSASSFNVQRESFDDIAEPVSSRTKSAHEVSESSKLHERGEVESGSKSKEGKKVGGGFIHKHETKKKKEHIVSHPHKKKDVPKPVVEEVVVKEDQEHEEAESDNSDQFNKEDGEEGTESDGNEGESDGNGDDSSVSVDEEVEEKNEEVTVTEISKKRKRKGPVFDPKAEYSWRLCNTRSKHNYMPCIDNDGLIGRLQSYRHRERSCPKKPVMCLVPLPHDGYDPPISWPESKSKILYKNVAHPKLAAYIKKHNWVNETGEYLTFPQNQTAFNGNVLQYLEFIQEMVPDIEWGKNVRIVLDIGCSDSSFVAALLDKDVLTVSLGLKDDLVDLAQVTLERGFPTLVSSLASRRLPFPSGVFDTIHCAACRIHWHSHGGKHLLEMNRILRPNGYFILSSNNDKIEDDEAMTALIASICWNILAHKTEEASEMGVRIYQKPESNDIYELRRKINPPLCEDNENPDAAWYVPMKTCIHEIPSAIEQHGAEWPEEWPKRLETYPEWLTSKEKAIEDTNHWNAMVNKSYLTGLGIDWLQIRNVMDMTAIYGGFAASLVKQNVWVMNVVPVHSPDTLPFIYERGLLGIYHDWCESFGTYPRSYDLLHADHLFSRLKNRCKQPASIVVEMDRLTRPGGWVVVRDKVEILEPLEEILRSLHWEIRMTYAQDKEGMLCAQKTLWRP >scaffold_102187.1 pep chromosome:v.1.0:1:8308573:8309299:1 gene:scaffold_102187.1 transcript:scaffold_102187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVSGWDSRVSDPNSVRRCKSLTREEIDTFWKTKKKNEEEEHVQAVSKLVTQEGAQSQAQEEKRVEDLFENQSKRSGWWRKTNWAFLNEPREEEGRQNNYVPQFKVAHIAKIAGS >scaffold_102191.1 pep chromosome:v.1.0:1:8319151:8321206:1 gene:scaffold_102191.1 transcript:scaffold_102191.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-type carbohydrate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KI39] MVAEALPKCPEAPLVLGLQPAALIDNVAPVDWSLLDQIPGDRGGSIAVQKDELEHILNELDTHISVAPLKKMAGGSVTNTVRGLSVGFGVATGIIGAYGDDEQGQLFVTNMGFSGVSISRLRKKKGSTAQCVCLVDDSGNRTMRPCLSSAVKIQADELSKEDFTGSKWLVLRYAVLNLEVIQAAIRFAKQEGLSVSMDLASFEMVRNSRSELRQLLESGNIDLCFANEDEAAELLRGEQEAGPEAALEFLGRHCRWAVVTLGPKGCIAKHDKEVVQIPAIGETVATDATGAGDLFASGFLYGLIKGLSLEECCTVGSCSGGSVIRALGGEVTPENWQWMHKQLQLKDLPVPDIHN >scaffold_102202.1 pep chromosome:v.1.0:1:8373154:8374992:1 gene:scaffold_102202.1 transcript:scaffold_102202.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7KI50] MGKPSTSVWATLQKKRWPLMILLVLSVSTLGMILVRSTFDSCSVSGKRCGREKEDNNSDVKIQSVSGSLNPLGFMKSKLVLLVSHELSLSGGPLLLMELAFLLRGVESEVVWITNQKPVEEDEVIKVLEHKMLDRGVQVISAKSQKAIDTALKSDLVVLNTAVAGKWLDAVLKDNVPKVLPKVLWWIHEMRGHYFKPDLVKHLPFVAGAMIDSHATAEYWKNRTHDRLGIEMPKTYVVHLGNSKELMEVAEDSFAKNVLREQVRESLGVRNEDILFGIINSVSRGKGQDLFLRSFHESLKVLKETKKLEVPTMHAVVVGSDMSAQTKFETELRNFVQEKKLQKIVHFVNKTMKVAPYLAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTMEIVVNRTTGLLHNTGKDGVLPLAKNIVKLATNVKMRRTMGKKGYERVKEMFLEHHMSHRIASVFREVLQHAKIHSRTTNSDH >scaffold_102205.1 pep chromosome:v.1.0:1:8381320:8382186:-1 gene:scaffold_102205.1 transcript:scaffold_102205.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:D7KI53] MAAEEGQVIGCHANDVWTVQLDKAKESNKLIVIDFTASWCPPCRMIAPIFTDLAKKFMSSAIFFKVDVDELQSVAQEFGVEAMPTFVFIKGGEVVDKLVGANKEDLQAIIVKHTDVATA >scaffold_102210.1 pep chromosome:v.1.0:1:8396304:8397123:-1 gene:scaffold_102210.1 transcript:scaffold_102210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KI58] MGDTFFHRYFFRSMVFLRMVTLSVFVLTPATNIHHFHVKWISDPKAEANPSHGTIIPLVDEKGTVLWESQVYIPCLIRSSVVKVFSGEVAEAYPVCITEFLSKLTYFVSFMVVSEDHCFVAFRWLIQISLNLLMRQRPPRKPPWNQPTTEDGDATMMRIRRSDQQQVWVYATITKLHISFFRRVPKSRVLRCNGWIADFDFRKRKRWCIDKKKMFPKLESHTRLTDTTKATKHIIRIATLELFCFFVTNLFDCVHTFMF >scaffold_102212.1 pep chromosome:v.1.0:1:8404799:8405078:-1 gene:scaffold_102212.1 transcript:scaffold_102212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKDQRSKRGSEGAWVVERTLIQLLNEMMSGGKKRNGVFVIGATNRPDTMYPAITRPGRFGKHLYVPLPNSVQRGLIL >scaffold_102214.1 pep chromosome:v.1.0:1:8411576:8411912:-1 gene:scaffold_102214.1 transcript:scaffold_102214.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KI62] MKQEEARKKMKEEEGDLNHVQPTQTESNTIRRFDLNKPYDEEEDQSKNVYRIYDINSNSTREFDLNKTFYYDVGELHPDKGMEDTILTMLRIYFPDSY >scaffold_102218.1 pep chromosome:v.1.0:1:8451241:8451746:-1 gene:scaffold_102218.1 transcript:scaffold_102218.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7KI64] MAGSLVKCSKIRHIVRLRQMLRRWRNKARLSSVSRCVPSDVPSGHVAVCVGSGCRRFVVRASYLNHPIISNLLVQAEEEFGFANQGPLVIPCEESVFEEAIRFISRSDSSRSSRFTCPDDLQKCNGGIKIKSKLDLLIESRPLLHGVAEKAIW >scaffold_102219.1 pep chromosome:v.1.0:1:8458100:8458366:-1 gene:scaffold_102219.1 transcript:scaffold_102219.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KI65] MTLTFPGSGGTAKRSVSLCSNRRTESEGPFTIDYSLTRGLTQHFLALGFLVAVCHLQRLTQHLLRVSVIVYNI >scaffold_102220.1 pep chromosome:v.1.0:1:8465191:8466160:-1 gene:scaffold_102220.1 transcript:scaffold_102220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KI66] MGIGVAEVDSNPNSGVEDSAEGYFALLQMILPSLLLVQLVNPFRRGQISLGLKSPTSDRKKEKKQRSSVKKRATTWKEEEVVDVDNKKCEQELQVVPFIKAISRSRSKNADNLSFDLICFQTFDTYFKREHQP >scaffold_102221.1 pep chromosome:v.1.0:1:8466235:8466437:-1 gene:scaffold_102221.1 transcript:scaffold_102221.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KI67] MTWQLLSLSLSFMKPETTSTAQSHGAFSVHIYRLIVKTSSSRLRRRIRFDLLV >scaffold_102224.1 pep chromosome:v.1.0:1:8487651:8490860:-1 gene:scaffold_102224.1 transcript:scaffold_102224.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein [Source:UniProtKB/TrEMBL;Acc:D7KI71] MAAAMNSSEKKDESSEEKGGELLFCGATAWDIIGKRKGAMEGNLVSPTRLRPLVGVNIRFVASGCASFHCVALDVEGRCYTWGRNEKGQLGHGDMIQRDRPTVVSGLSKHKIVKAAAGRSHTVVVSDDGLSLAFGWNKYGQLGSGSAKNGFVSVEVESTPLPCVVSDEVTNVACGADFTVWLSSTEGASILTAGLPQYGQLGHGTDNEFNMKDSSVKLAYEAQPRPKAIASLAGETIVKVACGTNHTVAVDKNGFVYTWGYGGYGRLGHREQKDEWAPRRIDVFQRNNVLPPNAIVSAGSANSACTAGGGQLYMWGKIKNNGDDWMYPKPMMDLSGWNLRWMDSGSMHHFVGADSSCISWGHAQYGELGYGPNGQKSSAAPKKVDMLEGMHVMGVACGFCHSMVIVDRTDIADRLEQLEIYDGKGSLEESVEEVKEETLAPKQQAAKRGASKKRKTSKASDSEQDSDEDNSDKEKEVQGSDADSDYSEDGEEANGKKQSARGRGRGRGARGRGGRTSNGKAPPVKTGGRRGRPRKF >scaffold_102238.1 pep chromosome:v.1.0:1:8529079:8530413:-1 gene:scaffold_102238.1 transcript:scaffold_102238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLCFSSTVHLTRGASLVKHMPRPLVSHKADILDGKIYVVEGEGRVLVFDTEKQTWEEPETRPDMGKHCLCCVAMSSKIYIRTDKNSFVYEPKEGKWETDVRVLETCVCHRQVRRQGEEIWDWFKTKTKVDLRKKKVYHQRGVIGTSIGHKRPQTTVVRILDQISSLEFNFFT >scaffold_102241.1 pep chromosome:v.1.0:1:8554046:8555582:1 gene:scaffold_102241.1 transcript:scaffold_102241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSFTFSNKCEYTVWPGILSNAGVPPLPTTGFVLQKGETRTIDAPSSWGGRFWGRTLCSTNSDGKFSCATGDCGSGKLECSGAGAAPPATLAEFTLDGSGGLDFYDVSLVDGYNVQMLVVPQGGSGQNCSSTGCVVDLNGSCPSELRVNSVGGDGGGGKGVVTMACKSACEAFRQPEYCCSGAFGSPDTCKPSSYSRIFKSACPRAYSYAYDDKSSTFTCAKSPNYVITFCPSPNTSLKSAEEQSTETMTTTSPSSGRTTSSQMVYEGALDERSGSPSTCHGVSRAITVVLSLAFCRMWWFF >scaffold_102245.1 pep chromosome:v.1.0:1:8570468:8571089:-1 gene:scaffold_102245.1 transcript:scaffold_102245.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIAVQRPPGKQVSGLRPFKNPKRRSSRGSLSRSGGSLALPNKPTSSWGSSPAYPPPPSYSQPPLLPLPRVNSSSLPLQRVNSSRPRVNNNSSLNCVAQTKVNSDTHKKSDFVESVPILTRTGSVPVRSNNLHDFPKGFDGYPGPAIMLLSPPPSSLPMPRFSIKPKLHCNVEANGKNDVPADNIRRVLQLR >scaffold_102256.1 pep chromosome:v.1.0:1:8605616:8606308:1 gene:scaffold_102256.1 transcript:scaffold_102256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKIILKSSDGESFEIDEAVAVESQTIKHMIEDDCADNGIPLPNVTGAILAKVIEYCKKHVEAAAESGGDEDFCGSTENDELKAWDNDFVKVDQPTLFDLILAANYLNISGLLDLTCKAVADMMRGKTPEQMREHFNIKNDYTPEEEEEVRNENKWAFE >scaffold_102264.1 pep chromosome:v.1.0:1:8630638:8632961:1 gene:scaffold_102264.1 transcript:scaffold_102264.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KIA6] MTKQVLPLIEKIPQTILGILESSSSLWSSSLSKTTQAHARILKSGAQNDGYISAKLIASYSNYNCFNDADLILQSIPDPTVYSFSSLIYALTKAKLFSQSIGVFSRMFSHGLIPDTHVLPNLFKVCAELSAFKAGKQIHCVACVSGLDMDAFVQGSLFHMYMRCGRMGDARKVFDRMSEKDVVTCSALLCGYARKGCLEEVVRILSEMEKSGIEPNIVSWNGILSGFNRSGYHKEAVIMFQKMHHLGFCPDQVTVSSVLPSVGDSENLNMGRQIHGYVIKQGLLKDKCVISAMLDMYGKSGHVYGIIKLFDEFEMMETGVCNAYITGLSRNGLVDKALEMFGLFKEQKMELNVVSWTSIIAGCAQNGKDIEALELFREMQVAGVKPNRVTIPSMLPACGNIAALGHGRSTHGFAVRVHLLDDVHVGSALIDMYAKCGRIKMSQIVFNMMPTKNLVCWNSLMNGYSMHGKAKEVMSIFESLMRTRLKPDFISFTSLLSACGQVGLTDEGWKYFNMMSEEYGIKPRLEHYSCMVNLLGRAGKLQEAYDLIKEIPFEPDSCVWGALLNSCRLQNNVDLAEIAAQKLFHLEPENPGTYVLMSNIYAAKGMWTEVDSIRNKMESLGLKKNPGCSWIQVKNKVYTLLACDKSHPQIDQITEKMDEISEEMRKSGHRPNLDFALQDVEEQEQEQMLWGHSEKLAVVFGLLNTPDGTPLQVIKNLRICGDCHAVIKFISSYAGREIFIRDTNRFHHFKDGICSCGDFW >scaffold_102271.1 pep chromosome:v.1.0:1:8658829:8659088:1 gene:scaffold_102271.1 transcript:scaffold_102271.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIB4] MDREKSEVPRVRVRNAKFLSKAVFEGITCGGSLVLKIGRLGADREETAAILSKSVKKIGDPIFVRLARLDGA >scaffold_102279.1 pep chromosome:v.1.0:1:8684121:8684601:1 gene:scaffold_102279.1 transcript:scaffold_102279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLETPLTQPSLKEILSHLVKMLYTFPLYLRHFSISHRCHTSFKVHIFLVHGPCLALPGLCYFQCSNKKLDTAVLKKEHDSLTDRMSKIKSDLLHQASIDSSGVFASRLRLLFGEDKK >scaffold_102280.1 pep chromosome:v.1.0:1:8685273:8689073:1 gene:scaffold_102280.1 transcript:scaffold_102280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIILHSISSEKEPVAGESAGLPNGLVSHGDSKTRRVYETARSLHSGAVKDLLSLGVCERCIFRLVAVEAFDSDISSVSTSTLHSWLKSGDGETGSSESSCSRICIVCLGILQFVFSDAKKELVKSDSSSDYVSRITDLVKQDRHEFDSFGLEVSVPSTIMENERALLSYLKGKYSTEVWLQRDKFSVKDALKVLLLDQLKASLGAESDSSSFHIRLTYTKASDEAQGASETTHESKRRKTDAENGSNCISENSFEKVYEPCIFSVHCNRMPIFFSGRYFKYSRNVSQSRWIIDDERMGEASVEEIIGGNILPACLGDSYKFHAAGREDIDVRMLGSGRPFLIEVQNSRQLPSEQSLKEVEEKINKSEKKLSVLGNDALGRSGEKQYVALVWISRPLEEKDFNSIPSLKELKILQKTPVRVLHRRSPLDREKIIHWMKVEKIKGHSNYFLLHLCTQAGTYIKEFVHGDLGRTTPSMGSILGCRAEIIQLDVTDVKMGDP >scaffold_102281.1 pep chromosome:v.1.0:1:8692136:8693985:-1 gene:scaffold_102281.1 transcript:scaffold_102281.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA pseudouridine synthase family protein [Source:UniProtKB/TrEMBL;Acc:D7KJ10] MENQEPEIEKLPQDDVPDREPDQKKLKISTTGQETDSSAVTAGGSNVAERRPPKYRRRKVAIVFAFCGVGYQGMQKNPGAKTIEGELEEALFHAGAVPEADRNKPRNYEWARSARTDKGVSAVGQVVSGRFYVDPPGFVERLNSKLPDQIRVFGYKRVAPSFSSKKLCDRRRYVYLIPVFALDPCVHSEAEMVRTDLGYEYIKCVECSEKGYKIPVGVMGKDTDCDTKSLEIQSDISSSNFDALGTDVKCETLSSSVPNVESNLNSEVLDGADVSATTEKTPDSSSKAHDMEESNLDSSKESNTLAKVEMNNGGGGDMTESKLCYGEKEMERFNRILSYYVGSHNFHNFTTRTKAADPAANRYILSFNANTVINLDGKDFIKCEVVGQSFMLHQIRKMIGLAVAVMRNYAPESLIETAFKKDVRINVPMAPEVGLYLDECFFTSYNKRFKGSHEEVSMEEYKEVAEEFKWKHVYSHIGSAEEKDGAVAIWLHSLNQRNYPDLRCNEYKPDEVIVYKKIGEASEENIHEEKITVKENTNGTAELFVVDKVNDETSEGTIMEERTTLDEKATE >scaffold_102284.1 pep chromosome:v.1.0:1:8726852:8730424:-1 gene:scaffold_102284.1 transcript:scaffold_102284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADTGPASGDSAGLPNGLVSHGDSKTRRVYETARSLHSGAVKDLLSLGVCERCIFRLVAVEAFDSDISSVSTSTLHSWLKSGDGETGSSESSCSRICIVCLGILQFVFSDAKKELVKSDSSSDYVSRITDLVKQDRHEFDSFGLEVSVPSTIMENERALLSYLKGKYSTEVWLQRDKFSVKDALKVLLLDQLKASLGAESDSSSFHIRLTYTKASDEAQGASETTHESKRRKTDAENGSNCISENSFEKVYEPCIFSVHCNRMPIFFSGRYFKYSRNVSQSRWIIDDERMGEASVEEILGGNILPACLGDSYKFHAAGREDIDVRMLGSGRPFLIEVQNSRQCPSEQSLKEVEEKINNSEKKLVGVKDLKCIGSQCWAMMREGEAEKQKQYVALVWISRPLEEKDFNSVSSLKELKILQKTPVRVLHRRSPLDREKIIHWMKIEKIKGHAHYFLLHLCTQAGTYIKEFVHGDLGRTTPSMGSILGCRAEIIQLDVTDVKMGDS >scaffold_102287.1 pep chromosome:v.1.0:1:8735153:8735379:1 gene:scaffold_102287.1 transcript:scaffold_102287.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ17] MSGAPTMSSTVLARRCLCYLMTEMFSEALSDAMQAQVASLEWPIIPLLFTCGLSLEARDGS >scaffold_102289.1 pep chromosome:v.1.0:1:8737907:8738896:-1 gene:scaffold_102289.1 transcript:scaffold_102289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYKNNVPEHETPKVATEESPATTTEVTDRGLFDFLGKKEEEVKPQETTTLESEFDHKAQISEPELAAEHEEVKENKITLLEELQEKTEEDEENKPSVIEKLHRSNSSASSSSDEEGEEKKEKKKIVEGEEEKQGLAEKIKEKLPGHHDKKPEDDVPVSTTIPVPVSESVVEHDQTEEEKKGLGEKIKEKLPGHHDKTPEDSPAVTSTPLVVTEHPVEPTTEHPVEHPEEKKGILEKIKEKLPGYHAKTSEEEEKKVKETDD >scaffold_102291.1 pep chromosome:v.1.0:1:8745155:8746647:1 gene:scaffold_102291.1 transcript:scaffold_102291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSNLAEQLREYQIRSKHDWASVSFFSSTSSFSSSSRVDVVVFVIWELVILAFFVFSAVSLYFKRLQLAFILICVTLMLLICMKVTKQVRLARKKKRRMLLPLSM >scaffold_102303.1 pep chromosome:v.1.0:1:8789591:8791347:-1 gene:scaffold_102303.1 transcript:scaffold_102303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQMEPKGEKKYKYSIIIPTYNERLNIAIIVYLIFKHLRDVDFEIIVVDDGSPDGTQEIVKQLQQLYGEDRILLRARAKKLGLGTAYIHGLKHATGDFVVIMDADLSHHPKYLPSFIKKQLETNASIVTGTRYVKGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYKKSVLEDVISSCVSKGYVFQMEMIVRATRKGYHIEEVPITFVDRVFGTSKLGGSEIVEYLKGLVYLLLTT >scaffold_102307.1 pep chromosome:v.1.0:1:8801211:8801436:-1 gene:scaffold_102307.1 transcript:scaffold_102307.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ35] MPISYTQSSESEPSEDMSWARADGNWGIPRYCFCGTYVKLVVCTTGNNQGRKEYKCPNFEV >scaffold_102308.1 pep chromosome:v.1.0:1:8807147:8807786:-1 gene:scaffold_102308.1 transcript:scaffold_102308.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ36] MSADLSPISLSHQLLRLSDYDSSESQEEADYQFTVTAYNWAIAYLVSMSIDLVYMTTSSTWLRKSG >scaffold_102313.1 pep chromosome:v.1.0:1:8836520:8836745:1 gene:scaffold_102313.1 transcript:scaffold_102313.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ41] MFPPKLNSFIIIPLLSSSLAFKPVWSPLRALNYDYTLSDNHAWSNYYSSLDEQYSVLREHP >scaffold_102320.1 pep chromosome:v.1.0:1:8868981:8876272:-1 gene:scaffold_102320.1 transcript:scaffold_102320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSKSDSKEEVKQTLNSKNPKNVYQIGGLQVEFPYQPYGTQLAFMSRVISTLDRAQRDGHCHALLESPTGTGKSLSLLCSVLAWQQNYKSRLLKGNLSHSKAAPEAATDPLNHGGGFIPETQPSDTPASTNVEKAETATKKRTKIPTIYYASRTHSQITQVIREYRKTGYRVPMAVLASRKHYCTNRHVLGKDNVDDEWNVNKITSHPSLQPRGHNEVHDIEDLVKVGKNVRGCPYFASWSMAENAQLVFCPYSYIVNPVIRAGVEVDLKGAIIIFDEAQFLSMLVMLMIRPSTKSFTVHSNMEDIAREAGKLQNELEQMSLAQPMIYQPLCEVVEGLISWIGRKKDSLAKRDFQHYFSSWTGDKALRELEESNITRECFPILLECFTKAIRTSKEAEMESDMLYLSGISVLTLEELFSSLTYFFSRNGSHILDYQLGLQRSTKRGDPSRTWTHTFSLWCMNPAVVFKDLADISLSIILTSGTLSPMNSFSSELGMQFGTSLEAPHVIDPNMQVWAGAISNGPSNYPLNASYKTADTYSFQDALGKSLEEICTIVPGGSLIFFPSYKLMEKLCTRWRETGQWSRLCLKKDLFVEPRGGAQDEFDSVLKGYYDSIRGKNKLVGRNRRAKKAGPIKTETQDDSKKGAAFLAVCRGKVSEGIDFADDNARAVIIVGIPFPNLHDIQVGLKKKYNDTYKSSKSLLGGSEWYCQQAYRALNQAAGRCIRHRFDYGAIIFLDERYKEQRNRASISKWLRQSIKVYDNFEASMEGLRYFFNSVKEQVDSKMLGSQDDTVEKNFSLENQSKEYRRKENQIQNKSSQVEPKVEDVENYTNSNPKYPFIDESKAFGDHRDVEPKIAEDLRYMGHSAQTFVQVKEEAECCREVIDLECGVQPEPGYCEVSSVTNYDEDLETSFVKESSGMINGISVASPCSCSVNESSSPTTIGRRSPRSPDQLLKQHISTPNFRKSPLGAESSVVATSERYSFGDTRSLTLEAESSFNMSVNSQALKRRKFTSSPVIDLEDENSDAPNCSMVNQRLCRKFEGSKGQGIWCEQDGCVFNTISCPFCSIPNTCLGVQVMATDSSNVQFLSKILFFADHLEVTNEAASKDSTLKHKEPLAETNAAVDKSDVFKSIERFAYSPNQQQDSGGWRTTKSKLRLPKRNLPTSQKT >scaffold_102324.1 pep chromosome:v.1.0:1:8897008:8897726:-1 gene:scaffold_102324.1 transcript:scaffold_102324.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ57] MTATFVEASSGRLLLLTHATLREETIPFSITITFSVSLGGSSVSSPSLPSVASMDASPEPFKPPTPYVGSVSRSASRANSVLSIVVSFGVSFSLSESSSMSAVPFRLSIFDAYVGGSIRSTSISAVPFGVSNSETFVGGSSPTVSSLRRLSVDSYTKPSPSLTRAITTGDMILKGLLSGDEHSVNFTPTAMNSTKQHSHGDELDEISLRFHEFEKIFVDFRFGKK >scaffold_102325.1 pep chromosome:v.1.0:1:8902906:8905770:1 gene:scaffold_102325.1 transcript:scaffold_102325.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7KJ58] MVGSSDGNQSDDSSHFERGVDHIYEAFICPLTKEVMHDPVTLENGRTFEREAIEKWFKECRDSGRPPSCPLTSRELSSTDVSPSIALRNTIEEWRSRNDAAKLDIARQSLFLGNAETDILQALMHVRQICRTIRSNRHGVRNSQLIHMIIDMLKSSSHRVRYKALQTLQVVVEGDDESKAIVAEGDTVRTLVKFLSHEPSKGREAAVSLLFELSKSEALCEKIGSIHGALILLIGLTSSNSENVSIVEKADRTLENMERSEEIVRQMASYGRLQPLLGKLLEGSPETKLSMASFLGELPLNNDVKVLVAQTVGSSLVDLMRSGDMPQREAALKALNKISSFEGSAKVLISKGILPPLIKDLFYVGPNNLPIRLKEVSATILANIVNIGYDFDKVTLVSDNRVENLLHLISNTGPAIQCKLLEVLVGLTSCPKTVPKVVYAIKTSGAIISLVQFIEVRENDDLRLASIKLLHNLSPFMSEELAKALCGTAGQLGSLVAIISEKTPITEEQAAAAGLLAELPDRDLGLTQEMLEVGTFEKIISKVIGIRQGDIKGMRFVSPFLEGLVCILARITFVVNKEARAITFCREYNVASLFLHLLQSNGQDNIQMVSAMALENLSLESIKLTRMPDPPPLNYCGSIFSCMRKPHVVNGLCKIHQGICSLRETFCLVEGEAVEKLVALLDHENEKVVEAALAALSSLLEDGLDVEKGVKILDEAEGIPHILNVLRENRTERLTRRAVWMVERILRIEVIAREVAEEQTLSAALVDAFQNADYRTRQIAENALKHIDKIPNFSGIFPNMVPNMA >scaffold_102329.1 pep chromosome:v.1.0:1:8912463:8913630:1 gene:scaffold_102329.1 transcript:scaffold_102329.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRYATNSRSLKIHAKEKFPVNSKTRLQLHGELDTGAGVPSYFCAMIRHFFHEASTSLGLGLHYVKREKLRCLVRGKKKFPVITDEVVTFNIKGRCDFDQDFVQRNAKGAAEFDWNIWKFQKDQDLRLRIGYEMFERVPYMQIRENNWTFNTNLKGKWNVRFDL >scaffold_102346.1 pep chromosome:v.1.0:1:9014905:9015103:1 gene:scaffold_102346.1 transcript:scaffold_102346.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KJX0] MSERENENVVFRVEQKAHIRFRNSGTHPSSRVTLSDPIQPDPDITNYGKSSN >scaffold_102350.1 pep chromosome:v.1.0:1:9041177:9041396:-1 gene:scaffold_102350.1 transcript:scaffold_102350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJX4] MKSQDRSTGMSRDRRETSLVKSEPFDGKRAFSYNPFLWDVSDAFALLRFTSVANPEPSR >scaffold_102351.1 pep chromosome:v.1.0:1:9042192:9043419:1 gene:scaffold_102351.1 transcript:scaffold_102351.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7KJX5] MGPMIRTEEEEDYTSPPWLMPMLRGSYFVPCSIHVDSNKNECNLFCLDCAGNAFCSYCLVKHKDHRVVQIRRSSYHNVVRVNEIQKFIDISCVQTYIINSAKIVFLNERPQPRIGKGVTNTCEICCRSLLDSFRFCSLGCKLGGMKRGDSSLTFSLKGKHGREYQGGSESDEATTPTKMRKTNAFNRLMSGLSISTVRFDDYGPGGDQRSSSSGDEGGFSFSPGTPPIYNHRNSSRRKGVPHRAPF >scaffold_102352.1 pep chromosome:v.1.0:1:9051560:9052223:1 gene:scaffold_102352.1 transcript:scaffold_102352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICVSFHRKDSNSSPTVKIVTVNGDLREYNVPVIASQVLEAESAAASSSSRSSSYFICDSDSLYYDDFIPAIKSEEPLQADQIYFVLPISKRQNRLTASDMAALAVKASVAIQNSVKKESRRRKKVRISPVMMLTGSNDSLNGNGSETTVKKGRPLVSKTAPFKASSGYNRSGSVRNLRRYTSKRAKLAVRSFRLKLSTIYEGSVV >scaffold_102353.1 pep chromosome:v.1.0:1:9067228:9068003:1 gene:scaffold_102353.1 transcript:scaffold_102353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMVDLGKQRDHHYNHMQFITTPTTVDCSREFRHRRTLRSLIECMIPYCCTYQQQPYQNDTVSVSSSSSSSSDHSSSSSQSNSIVSGTFFGHRRGRVSFCLQDAAVGSSPLLLLELAVPTAALAKEMDEAGVLRIALECDRRRSSNSRSSSIFDVPVWSMYCNGRKMGFAVRRKVTENDAVFLRMMQSVSVGAGVVPSEEEEQTLYLRARFERVTGSSDSESFHMMNPGGSYGQELSIFLLRS >scaffold_102356.1 pep chromosome:v.1.0:1:9078473:9080170:-1 gene:scaffold_102356.1 transcript:scaffold_102356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTENEKKPSAVSDVGAWAMNVTSSVGIIMANKQLMSSSGFGFGFATTLTGFHFALTALVGMVSNATGLSASKHVPLWELLWFSLVANISIAAMNFSLMLNSVGFYQISKLSMIPVVCVMEWVLHSKHYSREVKASVMVVVVGVGICTVTDVKVNAKGFICACTAVFSTSLQQISIGSLQKKYSIGSFELLSKTAPIQAISLLIFGPFVDYFLSGRFISTYKMTYGAMLCILLSCALAVFCNISQYLCIGRFSATSFQVLGHMKTVCVLTLGWLIFDSEMTFKNIAGMVLAVVGMVIYSWAVELEKQRKSKVTPHGKHSMTEDEIKLLKEGIEHMDLKDMELGNNKA >scaffold_102363.1 pep chromosome:v.1.0:1:9104342:9104637:-1 gene:scaffold_102363.1 transcript:scaffold_102363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLNMDGKKKKSKVKRSSFVEEEDDNKYHHWLDRKEKREIGGYGKGKGCGGGVKADSSTRIGVGATAAGTTKFPWSNMRFVGL >scaffold_102364.1 pep chromosome:v.1.0:1:9112416:9113060:1 gene:scaffold_102364.1 transcript:scaffold_102364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHNVNNSSNLDMEMDQEKAFDYSKRAQWLRAAVLGANDGLVSTASLMMGVGAVKQDVKVMILSGFAGLVAGACSMAIGEFVSVYSQYDIEVAQMKRENGGQVEKEKLPSPMQAAAASALAFSLGAIVPLMAAAFVKDYHVRIGAIVAAVTLALVMFGWLGAVLGKAPVFKSSARVLIGGWLAMAVTFGLTKLIGTHSL >scaffold_102367.1 pep chromosome:v.1.0:1:9122085:9128571:1 gene:scaffold_102367.1 transcript:scaffold_102367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSDEDELLQMALKEQSQRDLTYQKPPSANSRKPVTNLVQQPRRQKTATAPPPKGGSKASRKPSMDEDDESEVELLSISSGDEDEGNDRARDGHGGGGRGRGGSGKDRGRARKEDDRAWDGVEPDCWKRVNEAELARRVRDMRESRTAPSVTQNLDRKVSGADKKVVLTSLQSFPRGMECIDPLKLGIIDNKTLRLITESSESLSKAEKVDNALREKLVYISDHFDPKLFISRIHQETSAADLESGALALKSDLKGRNLQRKQLVKDNFDCFVSCKTTIDDIESKLKRIEDDPDGSGTTHLFNCMKSVTSRANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSIIRSSISKGEYDLAVREYKKAKSIALPSHVNLLKRVLEEVEKVMQEFKGTLYRSMEDPKIDFTSLENTVRLLLELEPESDPVWHYLNVQNHRIHGLLEKCTFDHEARMESLRNQVHERALSDAKWRQIQQNGVQLSDDMSSMEDNQVQVDQPSEESAGREKDALRGRYIKILTAVIVYHLPTFWKTALSVFTGKFAKSSQVNDTSASKAEEKAEEARYSSHSLEEIAGMIRNTISVYEAKVQSTFHDFDESYILHPYMSDTIKEVSKACQAFEAKESAPHSAVMALRKVKVEITKIYIQRLCSWMRASTEEISKEETWIPVSILERNRSPYSISYLPLAFRSIIVSGMEQINMMILSLKGEAARSEDMFAHIEEILISVRLAFLNCFLDFAAHLEQIGADLSQRTSKRESWQNGYSNDHQEEQSINAPESVVDPHRQLLMILSNIGYCKDELASELYNKYKYTWLQSRRNDEDISDLQDLIMSFSGLGEKVLEHYTFAKANLIRTAATNYLLDSGIQWGAAPPVKGIRDAAVELLHTLVAVHAEVFAGAKPLLDKILGTLVEGLIDTFLSLLEENRSDDLSSIDANGFCQLMLELEYFETILNPYLTVDATESLKSLQGAVLEKATESISETVENNLGGHQRKPTRSSEDAISDDKQSSVSPDDLLALAQQCTSGMLQLELEKTRLNSACFVETIPLDPMSVAKAAYSRTSTDSPSRNYRESQSVGSPVQARPRRR >scaffold_102374.1 pep chromosome:v.1.0:1:9152896:9155326:1 gene:scaffold_102374.1 transcript:scaffold_102374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPSLFLVAIFFYLAYTQLVNGQPQPRHDCQSRCGNVTIDYPFGISTGCYYPGDDSFNITCEEGKPNVLGNIEVINFNYSGQLRGLLPRSTVCYDQQTTTEFESLWFRLDNLSFSPNNKFTLVGCNAWALLSTFGIQNYSTGCMSLCDSPPPPNSKCNGVGCCRTEVSIPLDSHRIETQPARFENMTSVKHFNPCSYAFFVEDGMFNFSSLEDLKNLRNVTRFPVLLDWSIGNETCEKVLGRNICGGNSTCFDSSRGKGYNCKCLDGFDGNPYLSDGCQDINECTTRRHNCSDTSTCENTLGSFHCKCPSGYDLNTTTMSCSDTPKEEPKYLGWTTVLLGTTIGFLIILLIISYIQQKMKHRKNTELRQQFFEQNGGGMLIQRLSGAGPSNIDVKIFTEEGMKEATNGYDESRILGQGGQGTVYKGILPDNSTVAIKKARLGDRSQVEQFINEVLVLSQINHRNVVKLLGCCLETEVPLLVYEFISSGTLFDHLHGSMFDSSLTWEHRLRIAIEIAGTLAYLHSSASIPIIHRDVKTANILLDENLTAKVADFGASRLIPMDQEQLTTTVQGTLGYLDPEYYNTGLLNEKSDVYSFGVILMELLSGEKALCFERPQTSKHLVSYFVSAMKENRLHEIIDGQVMNEYNQREIRESARIALECTRITGEERPSMKEVATELEALRVKTTKHQWSDQYPKEVEHLVGVQILSAQGDTSSIGYDSIMNVTRLDIETGR >scaffold_102375.1 pep chromosome:v.1.0:1:9156706:9159157:1 gene:scaffold_102375.1 transcript:scaffold_102375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQEGVSLVAIFFSLAYTQLVKGQHQPRDDCQTKCGNIKIEYPFGIASGCYYPGDDSFNLTCDEKEKLFIGINVEVVNFNDSAQLSVLFYRFSECIDEQSNETNGTALEYQLGGSFSFSSKNKFTLVGCNALALLNTFGKQNYSTGCLSLCDSQPEANEIRNGVGFCQTDVFDGYKVQFGSARLANQINHSLVYTSVYQFNPCTYAFLVEDGKFDFSATEDLRDLRNVTDFPVALDWSIGNQTCEQAGSTSICGGNSTCFDSTTRPGYVCKCKGGYHGNPYHPDGCQDIDECIIDTHNCSDPKTCKNKDGGFDCKCPSGYNLITTIHSTMKCTRPEYIRRTQSFLVTTIGFLVLLLAVISTQHATKHRKDAKLRRQFFEQNGGGMLIQQISRVGSSNIDFKIFTEESMKEATNGYDESRILGQGGQGTVYKGILPDNSTVAIKKARLGDRSQVDQFVHEMIVLSQINHRNVVKLLGCCLETEFPLLVYEFITSGTLFDHLHGSMFDSSLTWEHRLRIAIEVAGTLAYLHSANLEENEHLLGGHIVSAQGHTSSRGYDSIKNVASFDIEAGR >scaffold_102385.1 pep chromosome:v.1.0:1:9202862:9203167:-1 gene:scaffold_102385.1 transcript:scaffold_102385.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KK00] MSQPKTNGRTFGFLKPFMRLCMCFDVAVEGDQRGRSKKVTRKSSSIHSIKSSPAYSSGSSSMVDEDRDEKIKDVILYCKMNSPINFR >scaffold_102386.1 pep chromosome:v.1.0:1:9207686:9208512:1 gene:scaffold_102386.1 transcript:scaffold_102386.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KK01] MLPYIGHNSYLQQHQFPSPEMEIPEKWKLSYGQEATTAPACPRCASSNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNIPVGGGCRKRSRSRQNSHKRFGRNENRPDGLNNQDDGLQSSPPGPDIDLAAVFAQYVTDGSPSSTDNTTGSEQDSPITTTTHTLDSLSWDICQETDVDLGFYEEFNNLTKKTEEDQRGFGQLLEDDREEIFEFQGLLDDKEIQEILECSFSEEPDQLISQGSFMVNGDNWSSTDLTRFGI >scaffold_102399.1 pep chromosome:v.1.0:1:9249452:9250732:-1 gene:scaffold_102399.1 transcript:scaffold_102399.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:D7KK13] MNIAHTIFGVFGNATALFLFLAPSITFKRIIKNKSTEQFSGIPYPMTLLNCLLSAWYGLPFVSKDNTLVSTINGTGAVIETVYVLIFLFYAPKKEKVKIFGIFSCVLAVFATVALVSLFALHGNGRKLFCGLAATVFSIIMYASPLSIMRLVIKTKSVEFMPFFLSLFVFLCGTSWFVYGLIGRDPFVAIPNGFGCALGTLQLILYFIYCGNKGEKSADAEKDEKSVEMKGDEKKQHVVNGKQDLQV >scaffold_102402.1 pep chromosome:v.1.0:1:9284142:9284782:-1 gene:scaffold_102402.1 transcript:scaffold_102402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKIFVGNLIWRTEADRLRSFFRQFGEILDAYVVYDKDLKRSKCYGFVKFKDAESAAKACEDPSPIIDGRKANCNLAYIGPKKNNNQTDQEEGPSQLQPIVTQYYISPYAPLYW >scaffold_102403.1 pep chromosome:v.1.0:1:9284985:9285567:-1 gene:scaffold_102403.1 transcript:scaffold_102403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPSPISAALNSRSDAAKNLNELIARQGPDFGFAKSRMMNGMNNEIKSALGDEYVSKKLHDLKTGYMMNVRDLFLQAHHVARIIKTSWNDDGKAKIYDLVEVVHQPTNERFIAEIDMHDELQKVINVPEDIKKDLPSTFVGNADDLRRLVSAVSGEQVEEIEESVLAKWLARNNGI >scaffold_102407.1 pep chromosome:v.1.0:1:9297951:9298191:-1 gene:scaffold_102407.1 transcript:scaffold_102407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGELKPFKKKEGSVIPKERELVKTKAIKAICSLFRPSCDGKQSSQPSRDGDCDCDGKRVYPTRP >scaffold_102409.1 pep chromosome:v.1.0:1:9307038:9307287:-1 gene:scaffold_102409.1 transcript:scaffold_102409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGEKSSSTAEREAKKEPASVIPVKRKLVKTMAVKAIFSAISSSGCSRNPADSSGNGNGGSVYPTRH >scaffold_102410.1 pep chromosome:v.1.0:1:9315475:9317253:-1 gene:scaffold_102410.1 transcript:scaffold_102410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLPHPSNSTPLTVLGFLDRAASVYGDCPSILHATNTVHTWSETHNRCLRIASALTSSSLGINQGQVISVVGPNVPSVYELQFAVPMSGAILNNINPRLDAHALSVLLRHSESKLVFVDPNSISLVLEAVSFLGQHEKPHLVLLEDDQEDGSSSASAASDFLDTYQGIMERGDSRFKWIRPQTEWQPMILNYTSGTTSSPKGVVLSHRAIFMLTVSSLLDWHFPNRPVYLWTLPMFHANGWGYTWGTAAVGATNVCTRRVDAPTIYDLIDKHHVTHMCAAPMVLNMLTNYPSRKPLKNPVQVMTAGAPPPAAIISRAESLGFNVGHGYGLTETGGPVVSCAWKAEWDHLDPLERARLKSRQGVRTIGFADVDVRDPRTGKSVEHDGVSVGEIVLKGGSVMLGYYKDPEGTAACMREDGWFYSGDVGVIHEDGYLEVKDRSKDVIICGGENISSAEVETVLYTNPVVKEAAVVAKPDKMWGETPCAFVSLKYDNNGNGSVTEREIREFCKTKLPKYMVPRKVIFQEELPKTSTGKIQKFLLRQMAKSLP >scaffold_102413.1 pep chromosome:v.1.0:1:9327015:9328709:1 gene:scaffold_102413.1 transcript:scaffold_102413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMMNSAAAMRAVKEEFIVMDFDDNDEDVRCGKVKEEYMMDAEQINSSTDSGKTNPSNMQQIVSAVASDDDDDDDDDWGTKIDNQYMKLLDSFREDGSSNLSDNPLRSIRYEVDNGGYDKREFKANKRSREDRNTIRVTKKNVEPKPPHVQAGFRLRRRESLVSEKSVEAMLITSHVKRNSKHSFDASEKENLEDLMVLDEGYRSYLTWLVENSKSSRTNPEKERQVKCEEDYTVSLSDSDSDIIVVGDRPFLGEEDSPFVPSKSYKVVDLDEESSDQRNSWFRKEIMNVLKQPYTVTELKELHNEASVHRVSSRHVELRDGTEFSFPTKKKTPSYLDGYPDFKKQYLESLREDDEHKALNLLRGFIFYLTKVVRDDAFKPWLDQECLKIRCF >scaffold_102423.1 pep chromosome:v.1.0:1:9367279:9371389:1 gene:scaffold_102423.1 transcript:scaffold_102423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCFYPCHVPIMSRLSPATGISSRLHCSVDLSSDGRLLPFGFRFRRNDVPFKRRLRFVIRAQLSEAFSPDLGLDSQAVKSRDTSNLPWIGPVPGDIAEVEAYCRIFRSAERLHGALMETLCNPLTGECRVPYDFSPEEKPLLEDKIVSVLGCILSLLNKGRKEILSGRSSSMSSFNLDDVGVAEESLPPLAVFRGEMKRCCESLHIALENYLTPDDERSGIVWRKLQKLKNVCYDAGFPRSDNYPCQTLFANWDPIYSSNTKEDIDSYESEIAFWRGGQVTQEGLKWLIENGFKTIVDLRAEHVKDTFYQAALDDAISLGKITVVQIPIEVRMAPKAEQVELFASIVSDSSKRPIYVHSKEGVWRTSAMVSRWKQYMTRPITKEIPVSEESKLREVSETKLGLNSVVSGKGIPDEHTDKVSEINEVDSRSATNQSKESRSIEGDTSASEFNMVSDPLKSQVPPGNIFSRKEMSKFLRSKSIAPAGYLSNPSKKLGTVPTPQFSYSGVTNGNQIFDKDSIRGLAETGNSNGTVLPTSSQSLDFGNGKFSNGNVHASDNTNKSISDNRGNGFSVEPIAVPPSDNLNRVVGSHLVRESQRNNSASSSDSSDDEAGAIEGNMCASATGVVRVQSRKKAEMFLVRTDGVSCTREKVTESSLAFTHPSTQQQMLLWKTTPKTVLLLKKLGQELMEEAKEAASFLYHQENMNVLVEPEVHDVFARIPGFGFVQTFYIQDTSDLHERVDFVACLGGDGVILHASNLFKGAVPPVVSFNLGSLGFLTSHPFEDFRQDLKRVIHGNNTLDGVYITLRMRLRCEIYRKGKAMPGKVFDVLNEIVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSAKLELKIPDDARSNAWVSFDGKRRQQLSRGDSVRIYMSQHPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKAL >scaffold_102426.1 pep chromosome:v.1.0:1:9389094:9389820:-1 gene:scaffold_102426.1 transcript:scaffold_102426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFADKVSLDHEIDYNPKPEDTGKIKVYASILMSEDPIRDCRTVLEFSLPAKEFWDSFTRYQWEQLDCLEDDEHLNLFQVDSARMELTTRVIDVMFFSVSNSPDCALLFYITFKFSPPPTIEEYFRNRRSLEETTIFEEDMQVPFDESTIRFRPESKFAIESLSRKVYEKATTSCSDICTICFTEFKMGERIVTLPCGHEFDNSCILEWFATNHVCPLCRFELPCEN >scaffold_102434.1 pep chromosome:v.1.0:1:9410250:9411735:1 gene:scaffold_102434.1 transcript:scaffold_102434.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:8-oxoguanine-DNA glycosylase 1 [Source:UniProtKB/TrEMBL;Acc:D7KKT4] MKRPRATSPPSISTTVKPPLSPPVTPILKQKLHRTGTTPKWFSLKLTHTELTLPLTFPTGQTFRWKQTGAIQYSGAIGPHLVSLRQRPGDDTVSYCVHCSTSPKLAELALLDFLNAEISLAELWSDFSKKDPRFGELAKHLRGARVLRQDPLECLIQFLCSSNNNIGRITKMVDFVSSLGLHLGDIDGFEFHQFPSLDRLSRVSEAEFRKAGFGYRAKYITGTVNALQSKPGGGDEWLLSLRKLDLQEAVSALCTLPGVGPKVAACIALFSLDQHSAIPVDTHVWQIATNYLLPDLAGAKLTPKLHCRVAEAFVSKYGEYAGWAQTLLFIAELPAQKTLLQSFSQPISKLDNSTEDNETSCDTTKP >scaffold_102441.1 pep chromosome:v.1.0:1:9442088:9444974:1 gene:scaffold_102441.1 transcript:scaffold_102441.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:D7KKU1] MAMRRFALFSILVLSLFASSIRSEETETKEFVLTLDHTNFTDTINKHDFIVVEFYAPWCGHCKQLAPEYEKAASELSSNVPPVVLAKIDASEETNREFATQYEVQGFPTIKIFRNGGKAVQEYNGPREADGIVTYLKKQNGPASAEIKSADDAADVVGDKKVVVVGIFPKLSGSEFDSFMAIAEKLRSELDFAHTSDAKLLPRGESSVTGPVVRLFKPFDEQFVDTKDFDGEALEKFVKESSIPLITVFDKDPNNHPYVIKFFESTNIKAMLFMNFTGEGAESLKSKYREVATSNKGQGLSFLLGDAENSQGAFQYFGLEESQVPLIIIQTADDKKYLKTNVEVDQIESWVKDFKDGKIAPHKKSQPIPAENNEPVKVVVSDSLDDIVLNSGKNVLLEFYAPWCGHCQKLAPILDEVAVSYQSDPSVVIAKLDATANDFPRDTFDVKGFPTIYFKAASGNIVVYEGDRTKEDFISFIDKNKDTVGEAKKEEETTEEVKDEL >scaffold_102442.1 pep chromosome:v.1.0:1:9445780:9448340:1 gene:scaffold_102442.1 transcript:scaffold_102442.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KKU2] MTSDALTIPSELESALRLRTVQYFITKRPWLDLYGVHVRPVPPFGSTSRKPHYDPALIHRCLPDELLFEVFARMMPYDLGRAACVCRKWRYTVRNPVFWRNACLKAWQTAGVIENYKILQSKYDGSWRKMWLLRSRVRTDGLYVSRNTYIRAGITEWKITNPVHIVCYFRYIRFYPSGRFLYKNSSQKLKDVAKYMNFKASKSDSLYRGTYTLSMSDDKIEAAVLYPGTRPTVLRIRLRLRGTAIGANNRMDLLSLVTSGVNDEEISSTEEDILGVVEGWEDDETHNPDIPAVSHKRGMTPFVFVPFEEVEQSVLNLPPEKMDYYVTG >scaffold_102444.1 pep chromosome:v.1.0:1:9449156:9450663:1 gene:scaffold_102444.1 transcript:scaffold_102444.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KKU4] MGDTKVETISRLAQWRIENFGPCSFKKSDPFKVGIWNWHLSIERNRYLSVRLFPELSRVSKEQPPVAKFVLRVSNVGPNRRFYISPVYEKLLRTTDDCVWHVDSSFHGRFTIDVEFLDLKICPLNGGEASPVWPTDSTMQSISTQTTLKCLSRMLEESILTDVIIHTADGALSAHKAILSASSTVFKSMFHHDLKEKESSTIHIDDMSRESCMALLSYLYGNITQEEFWKHRLALLGAANKYDITDLKAACEESLMEDINSSNVLERLQEAWLYQLEKLKKGCLMYLFDFGKIYDVREEISNFFRQADRELMLEMFQEVLSVWKPV >scaffold_102446.1 pep chromosome:v.1.0:1:9453071:9453509:-1 gene:scaffold_102446.1 transcript:scaffold_102446.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KKU6] MSSLPMPYSIESLNFAKQQKATKICTGKELLIKPSTHKTRNCLKTGGSKHLYGRENHTPSLENTPEPKAKPQRQSRSRQRRLDLAKRKLSRSINHTTPKIFNIESLKQQKNMAMEGHVDDPTTATKSRADG >scaffold_102449.1 pep chromosome:v.1.0:1:9464136:9464450:1 gene:scaffold_102449.1 transcript:scaffold_102449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTCSVFLMVAILTMMFSAHIAHSNSIEMCVKHCAQNQCLKAAKNPTPAICDEACKKICNNQLFGGEKFIVPPVKGSSRFCRWMPQYC >scaffold_102452.1 pep chromosome:v.1.0:1:9470433:9470759:1 gene:scaffold_102452.1 transcript:scaffold_102452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMSKKICSVLIIAILFATMFSAHSNSIDVCVKDCVVNVCMKASKKATPAICDNPCKIMCDPMNGEQYIVPRGNGGPIKRFCRRFSWICNP >scaffold_102456.1 pep chromosome:v.1.0:1:9484231:9485586:-1 gene:scaffold_102456.1 transcript:scaffold_102456.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family protein [Source:UniProtKB/TrEMBL;Acc:D7KKV6] MAINRISHGSRVLAVVLFLLTVNYGEAIWLTIPTTGGTKCVSEEIQSNVVVLADYYVVDEHNPENTPAVSSKVTSPYGNNLHHQENVTHGQFAFTTQEAGNYLACFSIDSTHPLANPITLGVDWKMGIAAKDWDSVAKKEKIEGVELQLRRLEGLVQSIRENINYIKDREAEMREVSETTNSRVAWFSIMSLGVCVVVAGTQILYLKRYFHKKKLI >scaffold_102459.1 pep chromosome:v.1.0:1:9504800:9505129:-1 gene:scaffold_102459.1 transcript:scaffold_102459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQSLRKICGVLTIIALFTMMFSAQFSHSDTMDKCVKKCVPNQCMKVVKNGTPVICEEMCKKYCLEGPVKVDYIVPPGDNTYLRRFCRYFGLIC >scaffold_102460.1 pep chromosome:v.1.0:1:9506670:9506997:-1 gene:scaffold_102460.1 transcript:scaffold_102460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSLKKTCNLLIVTALITMMFSAQISHSNNVDMCIKHCVSNQCLKEVKNATSTICEDACKKLCNDLQNGKEKYIVPTKSRFCRWFPNLCGAIN >scaffold_102463.1 pep chromosome:v.1.0:1:9523700:9524694:1 gene:scaffold_102463.1 transcript:scaffold_102463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFSAVAPGTRTLGRGIFSTPSYPDKTGTPCVLEPITIFREIDLKPKSKDAGSFKICVSALRSDTSISLIPNFVLSYEGVISSYPNDLKKLTDWLYLAGISDQSVDIANSQLTERILYMYCKVIVPSPPWIDSFDETTNNIRLIRPASKLAVGRLARKIYKNNKKKTSKFDASMCTICLDGFEKGEIVVTLPCGHEFDDGCIVKWFLKDHVCPLYRFELPC >scaffold_102464.1 pep chromosome:v.1.0:1:9525311:9525745:-1 gene:scaffold_102464.1 transcript:scaffold_102464.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKW4] MDGVVHQAQARDQDSRRRTNQNGRLIEATILVSLITSLMIRRSSYLSDLNPETNTTSVARYVAVLGLVLTHVGKIAYGFALMAMTSIGLVQLDLPDSLERIQRAQVIAGKFLIVTLISQSCLLCFILFLR >scaffold_102466.1 pep chromosome:v.1.0:1:9548487:9548718:1 gene:scaffold_102466.1 transcript:scaffold_102466.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKW6] MERGASFSHYQLPKSISVNLSGYLYTCLHVYLYAHEKLETCFLIFMSLFNKEVEAFFLEI >scaffold_102467.1 pep chromosome:v.1.0:1:9549778:9550456:1 gene:scaffold_102467.1 transcript:scaffold_102467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAVEIREEQRRLIVAQQQPPCMAREQDQYMPIANVIRIMRKILPSHAKISDDAKETIQECVSEYISFVTGEANERCQREQRKTITAEDILWAMSKLGFDNYVDPLTVFINRYREIETDRGSALRGEPPSLRQAYGGNGIGFHGPPHGPSHGLPPPGPYGYGMLDQSMVMGDGRFYQNGSSGQDESSAGGGYSSSINGMPAYDQYGQYK >scaffold_102468.1 pep chromosome:v.1.0:1:9554320:9554550:1 gene:scaffold_102468.1 transcript:scaffold_102468.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKW8] MERGASFSHYQLPKSISVNLSGYLYTCLHVYLYAHEKLETCFLIFMSLFNKEVEAFFLEN >scaffold_102469.1 pep chromosome:v.1.0:1:9555609:9556287:1 gene:scaffold_102469.1 transcript:scaffold_102469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAVEIREEQRRLIVAQQQPPCMAREQDQYMPIANVIRIMRKILPSHAKISDDAKETIQECVSEYISFVTGEANERCQREQRKTITAEDILWAMSKLGFDNYVDPLTVFINRYREIETDRGSALRGEPPSLRQAYGGNGIGFHGPPHGPSHGLPPPGPYGYGMLDQSMVMGDGRFYQNGSSGQDESSAGGGYSSSINGMPAYDQYGQYK >scaffold_102472.1 pep chromosome:v.1.0:1:9578897:9579224:-1 gene:scaffold_102472.1 transcript:scaffold_102472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSLKKTCNLLIVTALITMMFSAQISHSNNVDMCIKHCVSNQCLKEVKNATPTMCEDACKKLCNDLQNGKEKYIVPTKSRFCRWFPNLCGAIN >scaffold_102473.1 pep chromosome:v.1.0:1:9580595:9580916:-1 gene:scaffold_102473.1 transcript:scaffold_102473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSLKKTCNLLIVTALITMMFSAQISHSNNVDMCINLKCVPNQCMKVVKNGTPVICEEMCKKYCLEGPVKVDYIVPPGDHTYLRRLCRYLV >scaffold_102474.1 pep chromosome:v.1.0:1:9591307:9591634:-1 gene:scaffold_102474.1 transcript:scaffold_102474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSLKKTCNLLIVTALITMMFSAQISHSNNVDMCIKHCVSNQCLKEVKNATPTMCEDACKKLCNDLQNGKEKYIVPTKSRFCKWFPNWCGAVN >scaffold_102478.1 pep chromosome:v.1.0:1:9597701:9598136:-1 gene:scaffold_102478.1 transcript:scaffold_102478.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T26F17.18 [Source:UniProtKB/TrEMBL;Acc:D7KKX6] MDDGAVEIREEQRDRREEKRRLIVRFVAAVALDLFTGVYKRAAGFDGSDPNSGTETTENEHSAFFWAAIFNKVAHLSCMLVIFQILSSVVVLGRRMRSHREDCDMKLLGGFISVTISLCLMAYHRWWVSC >scaffold_102479.1 pep chromosome:v.1.0:1:9598937:9599352:-1 gene:scaffold_102479.1 transcript:scaffold_102479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDGAVERRKVQRRLIVRFGVAVTLVLLTGVYKRAACFNGSDPNSGTETTENGDAAFYWASMFNKAAQISSLTATIHTLPLTLMLALGMRRRIYCNCDTQILLSFVCLTISLSLMAYLRWWMN >scaffold_102480.1 pep chromosome:v.1.0:1:9599861:9600307:-1 gene:scaffold_102480.1 transcript:scaffold_102480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKX8] MDGVRHRPRPLLIMMIAFNIMFNTLRPIIIPPTALNASCPKPEMMTIAEFRVRQVTIKSFWVFSNSAFAFALAAALVQVWTQRGERVSFTLVMVALLCMSISSKCSMVVNFWPSWFIYASSFTEGLLLLLTICL >scaffold_102482.1 pep chromosome:v.1.0:1:9603430:9603864:-1 gene:scaffold_102482.1 transcript:scaffold_102482.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKY1] MDGVVHQAQARDQDSRRRTNQNGRLIEATILVSLITSLMIRRSSYLSDLNPETNTTSVARYVAVLGLVLTHVGKIAYGFALMVMTSIGLVQLDLPDSLERIQRAQVITGKFLIVTLISQSCLLCFILFFR >scaffold_102483.1 pep chromosome:v.1.0:1:9604540:9605030:-1 gene:scaffold_102483.1 transcript:scaffold_102483.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKY2] MDAHHRQAAAHENRFRLMNPALFIVLLTVVPFLSSPVGLNPTNPPPGMTPATFQAIKITSQCFYPLSYLGLVSALAAIIVLAVDGPPVRAERVAVKLIKIALLFEMLSFGCLSFIRLSPNSLLSGLCLLQGFVMLVVLCFFIHFFNDHY >scaffold_102488.1 pep chromosome:v.1.0:1:9629965:9631364:1 gene:scaffold_102488.1 transcript:scaffold_102488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNLVMEDLEEIGTEGMYIEEVLYDYATEGVYMEQVSYNYEIDHNPKPEDEGRIKVYASIQLPETLEFTILKFSLSAKEFSDSLGSYSWEQLNCLEDDERLNFLQVQEARMEIPRLVSNVMLYSVKYPTDCALIYITFLFNPPEEYRRTPQVASATTSEEEEDMMQVSFDESTIDIQFRPASKFAVESLSRRVYEKTKYSSCDDMCPICLDEFKMGERVVTLPCGHEFDDGCVLKWFATNHVCPLCRFELSMRPPLISRLARPASKLAVGSLPRNIYKNNKKKRSNSDASRCSICMEEFKKGEIVVTLLCGHEFDDCCIMDWFATRHDCPLCRFKLPCEN >scaffold_102497.1 pep chromosome:v.1.0:1:9667671:9668168:-1 gene:scaffold_102497.1 transcript:scaffold_102497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFADKVSLKHEIDYNPKPEDAGRIKTTIFEEDMQVPFDESTIDIRFRPACKVAVESLSRKVYEKTMSCSEMCSICFYEFKMGERVVTLSCGHEFDDSCIHEWFAKNHVCLLCRFKLPCEI >scaffold_102498.1 pep chromosome:v.1.0:1:9669388:9669587:1 gene:scaffold_102498.1 transcript:scaffold_102498.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKZ5] MWSSPTPRSNGAINMNNDPAGFLYNIRHVAGAVRRDMSLSVLSDGWHVKLFL >scaffold_102499.1 pep chromosome:v.1.0:1:9670059:9678187:-1 gene:scaffold_102499.1 transcript:scaffold_102499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAKWKLEKAKVKVVFRLQFHATHVPQAGWDKLFISFIPADSVKATAKTTKALVRNGTCKWGDPIYETTRLLQDTRTKQYDEKLYKIVVAMGTSRSSILGEAMINLAEYADALKPFAVVLPLQGCDSGAILHVTIQLLTSKTGFREFEQQRELSERGPSTTSDHSSPDESSRCRISPSDETLSHVDKTTMRGSFKEKFRDNSLVEETVGPNDLDSGLGFDVSSNTSGSLNAEKHDISSTNEIDSLKSVVSGDLSGLAQSLQKDKDGHEWHHSWGSDYLGKNSELGNAIEDNNKLKGFLEDMESSINEIKIEVSSLQCHADDIGSKAQDFSQILISEIGSGDHLVREVSVLKSECSKLKEEMERLRNVKSHVLYNSKDQDNVPHSLQLRWRQGLLVVEDNIREIQNKVCYGYHDRDLRLFLSDFESLLGVLQDIKRQIGQPISHFSTVSSEKITMTDNKERGMSKAEHFVSASEVDTDIYQPELDPLQYLGMPDLTSREPNSADSVSAMRDKILELVRGLDEAKAERDSLTKKMDQMECYYESLVQELEETQRQLLVELQNLRTEHSTCLYSISGAKAEMETLRHDMNEQTLRFSEEKKTLDSLNEELDKRAMAAEAALKRARLNYSIAVNHLQKDLELLSSQVVSMFETNENLIKQAFPEPPQSFHECIQSTDDSNPEKQDTRDVKLIQFQNEKKGMKERPLKGDIILLEDLKRSLHVQESLYQKVEEELYEMHSRNLYLEVFSNILRETVLEAGVDIRIMKAKIDELGWQLELSTEAKEILKQRLDITLDEVCSLNEEKTTCIAKWNAVALQNQNLEANLQNITHENLILLQKIDELESVVLESKNWKTNYETCICEKNELAELMEKEAVEKAHFRTRLATVQAEFDAVRGKFDDLATANGNLHQNLSSLKEKLINTLCCYNEKLISLPLWEEGVDLDLGSHDLTEQLDKFLCKICEKCFVLISEYDDLIEEKSKTESYLRAAESDVMELKQKHENDVQCLVTKLEASTALLQRLQLETESIIGKMKAITEAEQNYESRNLDFLSRLDYFENEMHLIVSKNEELGQEISELSSVAVEHGRTKLLVEELAEEKKRVLVSLQDKSQETLGHVRELEDLKKTFDHELRLERSARQELEIKMQDLTSELVAKSSKLMSFDEQSSELVRLKQMVSDLELEKATHTHRLTRYETSLRSFTRDSSYISDLESQLLEMMEFSVAADIQIVYTRTEWETYADKLHKEHFEVLTAFNDSRNVGAQHMDANIKLLADLDSVKTELKTERSLRNNLDRRVEELASELDEKHLLLENFDLQKCQVELLEKMAAELESAKSSQRLEYVRNAHRESSFIEELFQCLMAADVQLIFTKIQSEICINDLGEQLSCCSKSQLEFQKKYTDVESALNHCLVNETRYMDENNQLLISLEVLKSELESSMAKSRALANRNDEMSVELEEHATRDENAERSYSERSLCAPEVEQLKSLLFGYEEEIENLTVLKAEAEITVEILKDNLTGLCGKGAGELETLKNRCSDLTQKLSEQILKTEEFKSLSNHLKELKDNAEAECNRAREKADYKAPLTPQQESLRIIFIKEQYETKLQELQYQLTMSKKHGEEILMKLQDAIDENEARKKAESSQLKRTKELEDKILELEADRQSVIYDKREKTTAYDMMKAELDCSLLSLECCKEEKQKLEAILQQCKEESLKMSKELESRRELVQRCSSHKNIEMEENDRLNIVVSELADKNTIAVSSGDLVNNEQREVACLDPTVRIISPRSKIQGAIQLSNVNGNRDQLPSGEAMALDKSEESLALINDNFRAETLRSSMDHLNNELERMKNENLLQPQDDNDSDTRFPGLEQELIQLRQAKEELQSIFPLSHENFSCGNALERVLALEIELAEALRGKKKSTIHFQSSFLKQHTDDEAIFQSFRDINNLIEEMLETKGRYSSMETELREMHDRYSQLSLKFAEVEGERQKLMMTLKNVRASKKAMLLNRSSSATLGEH >scaffold_102500.1 pep chromosome:v.1.0:1:9684574:9684835:1 gene:scaffold_102500.1 transcript:scaffold_102500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGSGLGGMAMAEAYTVRKFHRENMKSMMATAATSTAGGIEENGGGSGRWFFGKLSTKKNSAKVFDLMITE >scaffold_102502.1 pep chromosome:v.1.0:1:9692717:9694521:1 gene:scaffold_102502.1 transcript:scaffold_102502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFFSPYMRFEVEKGFDYPNWNTLQRFRHFECEGKYPKYPYGSLVKFYAMVGLHRYNLLEGKNLQLDTLKSFNMRINCGASSYYITLAARVPDSGLQQIFQVLVHEERLGSLDVTCTVARPRVTTSEPFLGRHSELAYDYMDNDELPNWPSEIAFNDRKRFHLVKESELRDNDWIRLYLELTLVAHDRSLTVHYLSKLEIVQVVIEDVEPPNASLNTKTTFVYITYKDLAKARIGEPVDRKAIVRRIINMSTGILRLRGDYWSGEKAMNTEEEESMHLPGGGKALNNEQRSKMLKRRLGVHRLWRLSNPRWYQVYKSRGLRSSPA >scaffold_102513.1 pep chromosome:v.1.0:1:9737185:9738515:-1 gene:scaffold_102513.1 transcript:scaffold_102513.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein [Source:UniProtKB/TrEMBL;Acc:D7KLN6] MNSDYDKSSSNGIEKSLPPDEYLNKINEVRTLLGPLTEKSSEFCSDAAITRYLAARNGHVKKATKMLKETLKWRAQYKPEEIRWEDIAREAETGKIYRANCTDKYGRTVLVMRPSSQNTKSYKGQIRFLVYCMENAIMNLPDNQEQMVWLIDFHGFNMSHISVKVSRETVHVLQEHYPERLGLAIVYNPPKIFESFYKMVKPFLEPKTCNKVKFVYSDDNISKKLLEDLFDMEQLEVAFGGKNSDAGFNFEKYAERMREDDLKFYGNTTVSSTSAHLTNSDSEVSDSEMKQLEDKEDEKIKNATLQSPLDTTKTYT >scaffold_102521.1 pep chromosome:v.1.0:1:9769892:9771212:1 gene:scaffold_102521.1 transcript:scaffold_102521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMCDLPPELVGMIFTKIPITSIRTVRSTCKLWKALTKDWVLGKGAAREQFLGFMTMDSKVCSLRFHLCRNEDEELVDLSIKQIDLLNQVDISKVFHCDGLLLCVGKDNSRLIVWNPYLGQTKWIVPKKIHEHDTYALGYDINRNHKILRFLDNNSFQKKECFGYEIYDFNSNSWRILDVTSRWRIKFHQRSVSLKGNSYFFADEKLGHCFSPGLTIEPNLSSKVERVPGGVQTIYLEDFLLCFDFTREKFGPRLPLPFHSKFNETVTLSRVKEEQLAVLYQQKNKSSDILHIWVTTSIEPNTLSWSKFLRVEMRPFKLTGVRFNHEAGSFFIDEEEKVVVVFDVDGYIYIYIRTKATRYNTAFIIGEDGYSKSVSLGEAPYIEDTCPMSTYPSQMYCPPLVCSSSYFPSLVQLNQSRKRKERDD >scaffold_102525.1 pep chromosome:v.1.0:1:9786597:9791542:1 gene:scaffold_102525.1 transcript:scaffold_102525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGFPAMKSLDQLRSFSGSAKTYSFSTRPPQDSVSSGSFSNLKLTAEKLVKDQAAMRTDLELANCKLKKSLEHVYALEDKLQNAFNENAKLRVRQKEDEKLWRGLESKFSSTKTLGDQLTETLQHLASQVQDAEKDKVFFETKFSTSSEAIDSLNQQMRDMSLRLVTAKEEITSRDKELEELKLEKQQKEMFYQTERCGTASLIEKKDAVITKLETTAAERKLNIENLNSQLEKLHLELTTKEDEVKDLVSIQEKLEKEKTSVQLSADELFEKLVSSEQEVKKLDEFVHYLVAELTELDKKNLTLKGKFDKLSGLYDTHFMLSRKDRDLASDRAQRSFDQLQGEFSSITAQKEALESTSNELSEKIVVLQNDKESLISQLSGVRCSASQTIDKLESEAKGLVLKNAETESVISKLKEEIETLLESVRTSADKKQELSLKLSSLEMESKEKYETLQADAHRHVGELETLQKESESHQLQADLLAKEVNQLQTVIEEKGNLILQCNENEKNLNQQIIKDKELLATAETKLVEAKKQYDLMLESKQLELSRHLKELSQRNDQAINDIRRKYDVEKQEIINSEKDKVERIIKELSTKYDKELSDCKEESKRQLLTSQEEHSSLILSIREEHESKELNLKAKYDQELRQNQIQAENELKEVITDITSYFRQMQEYRASGIEMVCIFIQRITALKSEHDVQLKAFKCQYEDDCKKLQEELDLQRKKEERQRALVQLQWKVMSDNPPEEQEVNSNKDSPFVKTKVTSVSNILKKARNVNTGSVMSIPNPKHHSKEPHRDYDIETNNGRIPKRRKTRNTTMFQEPQRRSTRLTPKLMTPKSIAKETSMAGHPRSANIGDLFSEGSLNPYADDPYAFD >scaffold_102539.1 pep chromosome:v.1.0:1:9838232:9839637:-1 gene:scaffold_102539.1 transcript:scaffold_102539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYPNKTNNVSYERSKWKGKETLIMTIHKRHFKETVHRLTGSTQGHRFDMSPPPPLVVNNSRPSVSRITTQPYRFPTVSSTTNAVIPSTVESLNTQPPSPPYLPLSPPSHREQESVEARVDTISQDFENNIIEDDMNHEQLHGSTLPPLFANGDENIPPSPVQPHSPNNQGSENITPSAVPTSAPFRSFEWLMSPNNLQTENILQPPVPTTLPFESLDQANSMNDLLSEDIPPPVMVPSPYGSLYWQVPDPPNGPLSPSLIQRSLTQVPETSQLWSVDWPNPLNDIRPENVPLLVPAASPLWSLDWSNFPNYLQPENVLPFVPATSPLDCPNIQNNLQENVPPLVPATSPSMSLDWPNFQNTPAPVPAGSPLWSLDGLNYPNYLQPENLPPLVPGISPVEWSNSENNLPLENVPPLVPATSPLIIRENTPPPVPVTSPLGNLEWPFDRSIYLT >scaffold_102540.1 pep chromosome:v.1.0:1:9847776:9847989:-1 gene:scaffold_102540.1 transcript:scaffold_102540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPQMEVTFEVDANGILQVNTEDKVPKMSQSITITNDKGRLTGEEIDEMIILISYV >scaffold_102541.1 pep chromosome:v.1.0:1:9848068:9848529:-1 gene:scaffold_102541.1 transcript:scaffold_102541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDFFDGKEPNKGTNPDEVLAYTTAVQGGVLSGEGGEETQSVMTNIIPRIPTKKSQVFTTYQDQQTTVTINVYEEISMTKDNP >scaffold_102542.1 pep chromosome:v.1.0:1:9852110:9852396:1 gene:scaffold_102542.1 transcript:scaffold_102542.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KLR3] MVSMDETNNDLASKRVSFVGLVEFSERPSLGARKRSSLRIASAFANSNNPSISVQNPMMISLWKELNTIKNHAEKSSWSCQ >scaffold_102543.1 pep chromosome:v.1.0:1:9854541:9854828:1 gene:scaffold_102543.1 transcript:scaffold_102543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRWTLMDLPSYLSSSWAFRWPEVNLSYLSSGWNMRLLSLSGNLSIIDDLLWSFVSIVESLAIVTTICCFFLFCGCTL >scaffold_102544.1 pep chromosome:v.1.0:1:9860662:9861061:-1 gene:scaffold_102544.1 transcript:scaffold_102544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRGHIGMLTSPILDHGATTASSSSFSIPQPRYLLTQHHQPYNMYNNNSLNMINRSSSDGTFVNPEPSSSFPGFGYDMSQASTSTSSSIRDHGLLQDILPSQIRSDTINTQTNEENKK >scaffold_102547.1 pep chromosome:v.1.0:1:9870817:9872252:1 gene:scaffold_102547.1 transcript:scaffold_102547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVTAQTPSLSEQYHLEKEVKQDTSAKPVEVKEVVPEVTTQAEEVTTDQTKEESPVEEAVSAVEEKSESAPESTEVTSEAPAAAAEDNAEETPPAIEENNDENTSEEVAEETPDEIKLETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGDDAPECDKFAKFYRSLCPSEWVDRWNEQRENGTFPGPLP >scaffold_102553.1 pep chromosome:v.1.0:1:9892044:9892311:1 gene:scaffold_102553.1 transcript:scaffold_102553.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLS3] MTSGRRSSVTPSSPPTPVVHGVFGSSVAVADLFDLQPSICAIVSSINRYSSLLFGYSVMCLNFESAFDLCHCIEY >scaffold_102556.1 pep chromosome:v.1.0:1:9917432:9919079:-1 gene:scaffold_102556.1 transcript:scaffold_102556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPPENEVCSICHGHFNTPCQSNCSHWFCGNCIMLVWRHGSTLRPCKCPLCRRPISLLVPSEETVRSRNDATVSEVLHDVETYNRVFGGQSSGLIQDARSSLLTPKLLREMMDPRRTLPLVIRARVYIALILSAIYIISPIDIIPEGVLGVIGLLDDLLIALICFLHVAALYRSVLYFRHGGS >scaffold_102561.1 pep chromosome:v.1.0:1:9938663:9938853:-1 gene:scaffold_102561.1 transcript:scaffold_102561.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCU5] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_102565.1 pep chromosome:v.1.0:1:9964710:9967827:1 gene:scaffold_102565.1 transcript:scaffold_102565.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KLT5] MSKLVVEIVDASDLMPKDGQGSASPFVEVEFDQQRQRTQTRFKDLNPQWNEKLVFNVGDFKRLNNKTIDVTVYDDRRDNQPGKFLGRVKIAGAVVPLSESESDVQRYPLDKRGLFSNIKGDIALRIYAAPIDGGDFVSPPADFAEKVTKEEKRFESQEFQFQNQNHFQQFEDEIDNNMETMKPTKKKEKEARTFHSIGAHAGGGGGAPPMSQAKQAYPPPPNQPEFRSDSMRAPGPPTGAVMQMQPPRQNPEFQLIETSPPLAARMRQSYYYRNSGDKTSSTYDLVEQMHYLYVSVVKARDLPVMDVSGSLDPYVEVKLGNYKGLTKHLEKNSNPIWKQIFAFSKERLQSNLLEVTVKDKDLLTKDDFVGRVHIDLTEVPLRVPPDSPLAPQWYRLEDKKGMKTNRGEIMLAVWMGTQADESFPDAWHSDAHRVSHSNLSNTRSKVYFSPKLYYLRIHVMEAQDLVPSDKGRVPDVVVKIQAGFQMRATRTPQMRTMNPQWHEELMFVVSEPFEDMVIVSVDDRIGPGKDEILGRVFIPVRDVPVRQEVGKMPDPRWFNLQRHSMSMEEETEKRKEKFSSKILLRVCIEAGYHVLDESTHFSSDLQPSSKHLRKPSIGILELGILSARNLMPMKGKDGRMTDPYCVAKYGNKWVRTRTLLDALAPKWNEQYTWEVHDPCTVITIGVFDNSHVNDGGDSRDQRIGKVRVRLSTLETDRVYTHYYPLLVLTPGGLKKNGELQLALRYTCTGFVNMMAQYGRPLLPKMHYIQPIPVRHIDLLRHQAMQIVATRLSRSEPPLRREVVEYMLDVDYHMFSLRRSKANFSRIMSLLSSVTLVCKWFNDICTWRNPITTCLVHVLFLILVCYPELILPTVFLYLFVIGMWNYRYRPRHPPHMDARVSQADNAHPDELDEEFDTFPTSRPADIVRMRYDRLRSVGGRVQTVVGDLATQGERIQALLSWRDPRATALFIVFALIWAVFIYVTPFQVIAIIIGLFMLRHPRFRSRMPSVPANFFKRLPAKSDMLL >scaffold_102570.1 pep chromosome:v.1.0:1:9989402:9989644:1 gene:scaffold_102570.1 transcript:scaffold_102570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KLU0] MVEKRAREMKPSGFGRREKMIGAWFSREENDIVFESDMLIWLNLYRLGHWTFRPFDRLFLEFCFG >scaffold_102573.1 pep chromosome:v.1.0:1:9999661:10000256:-1 gene:scaffold_102573.1 transcript:scaffold_102573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSPRTRIVPRRSDSIKFSSPVNISTRRSLSSSSSNYLLFSSNSPATPLHPLGVPFSWEKLPGKPKEFPYHRKNESSSNLLPLPPHRSNHNPNTRRKKNTSPAAMRDPFTAALVECSKGTNVGEHTGGESRKVLRKSNMGLLNLYASCRRACAVSESIVYLPKSIDRVASYDHLLLSTRRRSR >scaffold_102577.1 pep chromosome:v.1.0:1:10028414:10028622:1 gene:scaffold_102577.1 transcript:scaffold_102577.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLU8] MPSRLGVPLIGDRQLSAAILTNSDYLIANPILRPLNVLNVENRSSQRFLPKQLN >scaffold_102578.1 pep chromosome:v.1.0:1:10031229:10033519:1 gene:scaffold_102578.1 transcript:scaffold_102578.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MA3 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KLU9] MEHQEVADSKTDPLCISQLKISSSLDPLPQANMTADLLKSHRHSPIKVQGSEETWGVEDDDDLTDPNFDTVEGNGHSDPTSCFDADLSEYKKKATVIVEEYFGTNDVVSVANELKELGMPEYRYYFVKKLVSMAMDRHDKEKEMAAFLLSTLYADVIDPPEVYRGFNKLVASADDLSVDIPDAVDVLAVFVARAIVDDILPPAFLKKQMKLLPDNSKGVEVLRKAEKSYLATPLHAEVVEKRWGGTDNWTAEDVKARINDLLKEYVMSGDKEEAFRCIKGLKVPFFHHEIVKRALIMAMERRKAEVRLLDLLKETIEVGLINSTQVTKGFSRIIDSIEDLSLDIPDARRILQSFISKAASEGWLCASSLKSLSADAGEKLLENSSANVFKDKAKSIIREYFLSGDTSEVVHCLDTELNASSSQLRAIFVKYLITLAMDRKKREKEMACVLVSTLGFPPKDVRNAFLMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPRDLEEVLNQTPEAGSSVGEKVIQMAKTLLKARLSGERILRCWGGGGIETNSPGSTVKEVKEKIQILLEEYVSGGDLREASRCVKELGMPFFHHEVVKKSVVRIIEEKENEERLWKLLKVCFDSGLVTIYQMTKGFKRVDESLEDLSLDVPDAAKKFSSCVERGKLEGFLDESFASEESQSKKQNGSSSSSG >scaffold_102582.1 pep chromosome:v.1.0:1:10046949:10047140:-1 gene:scaffold_102582.1 transcript:scaffold_102582.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KMJ3] MSTDILREAKFRYYELLRTPSNSPPLIQSHSTISFIRFRAACPEQFGAV >scaffold_102583.1 pep chromosome:v.1.0:1:10048687:10054208:1 gene:scaffold_102583.1 transcript:scaffold_102583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSERWIDGLQFSSLLWPPPRDPQQHKDQVVAYVEYFGQFTSEQFPDDIAELVRHQYPSTEKRLLDDVLAMFVLHHPEHGHAVILPIISCLIDGSLVYSKEAHPFASFISLVCPSSENDYSEQWALACGEILRILTHYNRPIYKTEQQNGETERNCFSKATTSGSPTSEPKALSPTQHERKPLRPLSPWISDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPTIASRGSGKHPQLMPSTPRWAVANGAGVILSVCDDEVARYETATLTAVAVPALLLPPPTTSLDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGVRLPRNWMHLHFLRAIGIAMSMRAGVAADAAAALLFRILSQPALLFPPLSQVEGVEIQHAPIGGYSSNYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLNSSAVDLPEIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVETILSRTFPPESSREHTRKARSSFTTRSATKNLVMAELRAMVHALFLESCAGVELASRLLFVVLTVCVSHEAQSSGSKRPRSEYAITTENVEANQPVSDNQTANRKSRNVKGQGPVAAFDSYVLAAVCALACEVQLYPMISGGGSFSNSAVARTITKPVKINGSSNEYGAGVDSAINHTRRILAILEALFSLKPSSVGTPWSYSSSEIVAAAMVAAHISELFRRSKALTHALSGLMRCKWDKEIHKRASSLYNLIDVHSKVVASIVDKAEPLEAYLKNTPVQKDSLTCLNWKQQNTCASTTRFDTAVTSASRTEMNPRGNHKYARHSGEGSGRPSEKGIKDFLLDASDLANFLTADRLAGFYCGTQKLLRSVLAEKPELSFSVVSLLWHKLIAAPEIQPTAESTSAQQGWRQVVDALCNVVSATPAKAAAAVVLQAERELQPWIAKDDEEGQKMWKINQRIVKVLVELMRNHDRPESLVILASASDLLLRATDGMLVDGEACTLPQLELLEATARAIQPVLAWGPSGLAVVDGLSNLLKCRLPATVRCLSHPSAHVRALSISVLRDIMNQSSIPIKVTPKLPTTEKNGMNSPSYRFFNAATIDWKADIQKCLNWEAHSLLSTTMPTQFLDTAARELGCTISLSQ >scaffold_102585.1 pep chromosome:v.1.0:1:10056901:10057944:1 gene:scaffold_102585.1 transcript:scaffold_102585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGLFSASASGYSKGLTLLFSGDKDGDRPMRVVPWNHYQVVDQEADPVLQLDSIKNRVSRGCAASFSCFGGASAGLETPSPLKVEPVQQHREISSPESAVVVVSEKGKDQITEADNGSSKEAFKLSLRSSLKRPSVAESRSLEDIKEYETLTVDGSDLTGDMARRKVQWPDACGSELTQVREFEPSEMGLSDEEWEVGRQRTCSCVIM >scaffold_102586.1 pep chromosome:v.1.0:1:10058577:10060679:1 gene:scaffold_102586.1 transcript:scaffold_102586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRINSLYGRIGMLRRVPEESTKKSHTLLASLSFSTEGSYGGDGELQQNSSKVKIFDRDLKRIHRDRAAWLSRQKNDSFVDAVAENLLDRLEDCKKSFPTAFCLGGSLGAVKRLLRGRGGIEKLIMMDTSYDMIKSCRDAQEDSLDNSIETSYFVGDEEFLPVKESSVDLIISSLGLHWTNDLPGSMIQCKLALKPDGLFLAAILGGETLKELRIACTLAHMEREGGISPRLSPLAQVRDAGNLLTRAGFSLPGVDVDEYVVKYKSAMDLIEHLRAMGETNALLERNKILNRETALATAAIYDSMFATEDGTIPATFQVIYMTGWREHSSHPQAKRRGSATVSFTDLQKQFGGQS >scaffold_102587.1 pep chromosome:v.1.0:1:10061053:10061536:-1 gene:scaffold_102587.1 transcript:scaffold_102587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRDAGETQSKYKGIRRRKWGKWVSEIRVPGTRDRLWLGSFSTAEGAAVAHDVAFFCLHQPDSLESLNFPHLLNPSIVSKTSPRSIQQAASNAGMAIDAGIVHSNGGFVSGNSGCGDTTTYCVNGAVEMEPLNISVYDYLDGHDHV >scaffold_102589.1 pep chromosome:v.1.0:1:10066673:10067485:1 gene:scaffold_102589.1 transcript:scaffold_102589.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome C-1 [Source:UniProtKB/TrEMBL;Acc:D7KMK0] MASFDEAPPGNAKAGEKIFRTKCAQCHTVEQGAGHKQGPNLNGLFGRQSGTTAGYSYSAANKNKAVDWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKESTGPK >scaffold_102598.1 pep chromosome:v.1.0:1:10097696:10099663:1 gene:scaffold_102598.1 transcript:scaffold_102598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIGLGDTTYTKVFVGGLAWETHKETLKKHFEQFGEILEAVVITDKASGRSKGYGFVTFREAEAARRACVEATPVIDGRRANCNLASLGLQRSKPSTPNHGGGGRINNMRVMMSTMQTGFGPPPPPPQPPTFPHYPHLPLNLFGYSPYSPDYSSFPTNLYGMYGCTSGGQYGVYGNRNGGSGGLTAAAASAAPFYPCGGGHAHAGVQFSQPQPFYHHLSSYNPHHYSPLTISMQQGVTGFPLQPPLIPYR >scaffold_102600.1 pep chromosome:v.1.0:1:10112394:10116817:1 gene:scaffold_102600.1 transcript:scaffold_102600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 11 [Source:UniProtKB/TrEMBL;Acc:D7KML1] MEEDRGEAIVLDISSEEINTPAVMRVPRRIRERLLSDCSKKTVSSVQDIEDKLLHAHLRRQQFYHNVSRKARAKPRSPSRSSDEELGQRIEARLLAAEQKRLEILAKAQMRLAKLDELRQAAKTSVEIRSERERVKLGTQVESRVQKAEANRMKILKASHQKRACAKERTSQSMMRRMARESKYKERVRASINQKRVAAEKKRLGLLEAEKKKARARVQQVRHVANSVSNQREIERSKMRDKLEDKLQRAKRYRSEFLRQRRRQRDSISLYCDMMQEDADLLSRKLSRCWRCFVRQKRTTLDLAKAYDGLKISESLPFEQLAVLIESPNTLKTVKSLLDRLEVRLEASKNVTIASQSSILDNIDHLLRRVATPRRKVTPSTLRSRKGKKVSSVRNVAGTSAKMSRYPVRVVLSAFMILGHPDAVFNGQGDQEAALNNAAKGFVRELKLLINVIQEGPVQVSGGESKLRTLRSQLDLFDKAWCSFLNSFVIWKVKDARLLEDDLVRAACQLELSMIQKCKLTPEGEETMLTHDKKAIQMQVTQDQELLTEKVRHLSGVAGVERMENALSETRTKYFQAKENGSPMANQLACFFSPSPASSPVQSVSSSSSRSNDSIGVEGSKRVSRSLFKDDTPPSSGPSRASNDTVDEVSKQNELMVNEFLHDWNFKFPGGSTVKDEEDNLKRRIKETMERAFWDSVMESMKLEKPDYSCISNLMKEVSDELCQMVPDSWKVEISETIDLDILSQLLNSGTLDIDYLGKMLEFALATLRKLSAPANDRENESTHQNLLMELHRLCEAKDESGNLHAVAIVKGIRFILEQIQDLKREIGIGRITIMKPFLQGPAGFDYLTQAFEKRYGPPAQAYESLPVTRRWISALLSSQDEWEEHSNTISALNVVERSSMGISLKTGGSFLSSVNTTSKSTVTVTAGGQLSECKGERVDLAVRLGLLKLVSQVSGLTPEGLPETFLLNFSRVRDIQAEIQKIVVVTTSLLIWRQMLVKSETETECMAKKLLELLDGKEEAGLMEIIETTMSEEDVEKKKMMRGLLGKSLGESNTVYQRVTGCIYKAARGALLAGNGENGKRMVETEMKKVGGGGGLKERVIETARALGVVACVSVRVHGPWLTHLMQQH >scaffold_102608.1 pep chromosome:v.1.0:1:10140898:10142189:1 gene:scaffold_102608.1 transcript:scaffold_102608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEDFKLLKILTFSLRVNIHCEGCNKKVKKLLQRIEGVCHVKIEAEHQKVTVTGSVDSATLINKLVKAGKHAELWSPNPNQNQPQKPKTNDVIKNVNQKGQKQGSAKSGIEACKPKNGPKGAAFVTDVEGDGGEEEDGEVQFPKPANQQQQTVVNSKKNNGGVSMNNGNNGVNAATKKVNQKQSNQIQNTQQVMAAMRMRTAGKMTSGVETNEIGALMGLAGFNGATNAVNHPPNGIQQQLQAPPLNNVTNHNLTNGNGGLMMNMNGYNNHHPMNMQSRQMMHQPQQMMYQRSSFVPASSNGYYYNYTPNPYSYYPYYPYPSEQQQQQSSHSYATNMSSDEDSTSNNNSCNIM >scaffold_102612.1 pep chromosome:v.1.0:1:10162811:10163053:1 gene:scaffold_102612.1 transcript:scaffold_102612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCISNRFVNTNRFEIRLPAKSLMRFKCVSKLWLSLILSRYLTNRFLKPSPRSRYLAYFVDSEKHS >scaffold_102616.1 pep chromosome:v.1.0:1:10172648:10174408:-1 gene:scaffold_102616.1 transcript:scaffold_102616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTETSTYRDLHLPSLIIGGSFAAVAICLSLFSILQHLRFYTNPAEQKWIVSVLFMVPVYATESIISLSNSKFSLPCDILRNCYEAFALYSFGSYLVACLGGERRVVEYLEHESKKPLLEEGANESKKKKKKSSFWKFLCDPYVLGRELFVIEKFGLVQYMILKTFCAFLTFLLELLGVYGDGEFKWYYGYPYIVVVLNFSQMWALFCLVQFYNVTHERLKEIKPLAKFISFKAIVFATWWQGFGIALLCYYGILPKEGRFQNGLQDFLICIEMAIAAVAHLFVFPAEPYHYIPISVCGKITAETSKTEVKLEEGGLVETTETQVEASGTSIKESVQDIVIDGGQHVVKDVVLTINQAMGPVEKGVTKIQDTIHQKLLDSNGKEETEVTEEVTVETSVPPKE >scaffold_102622.1 pep chromosome:v.1.0:1:10202679:10202881:1 gene:scaffold_102622.1 transcript:scaffold_102622.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KMN2] MFMTSGRRSAVISSSPPTSVVLGDFGTSNRRVNAFEIGEFFHQIFEFRSTNRS >scaffold_102624.1 pep chromosome:v.1.0:1:10205175:10206213:-1 gene:scaffold_102624.1 transcript:scaffold_102624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGASAQKPTTNDALAYLKAVKKKKFRDGQPPLKKCNEFMDAIGFVNKINTRFQGGRSYKSFLDILNLYRKERKSIKEVYDEVAILFRDHSDLLAEFTHFLPATPATASFHSLKPQVRDTEICVPMMHQMHYKSIHCFAAAMMFLVSFLIWYFCVQPQFGIDHPEELNSQGIAMLFQALHI >scaffold_102625.1 pep chromosome:v.1.0:1:10208104:10209161:-1 gene:scaffold_102625.1 transcript:scaffold_102625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLLFIYIVLTASYFLPAFHTYLPHQPGRDGVIAKVKELLKDHHDLLLGFNVFLSAEAKIVIPSEAKKAEYLAKSADTEKKHADAEDFMNKLKTRSRTLDTHVVGSFKAIMRMFKEGKMSVKEVHKEVTDILYYHEDLINDFLRFFEKNDPIASASLLLEL >scaffold_102626.1 pep chromosome:v.1.0:1:10210393:10210760:1 gene:scaffold_102626.1 transcript:scaffold_102626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRIFGSLITSDKVVKSISLLEVEFIKKGSLTSEEVEAFVSALQIAGTKAGQNKGGRARETSTDKTVSQLESMGVRINGVNKPWG >scaffold_102629.1 pep chromosome:v.1.0:1:10223372:10223664:1 gene:scaffold_102629.1 transcript:scaffold_102629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRRAVKNSTVVPRGGAIDMEISKYLRQHSRTIAWKSQLFINSYAKALETGGTLHG >scaffold_102634.1 pep chromosome:v.1.0:1:10250791:10250987:1 gene:scaffold_102634.1 transcript:scaffold_102634.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KMP6] MVETNPIGDNLKLTLSTKRASFYIIPLSSDSVFAFGSCKEGWYFRCIGLDP >scaffold_102635.1 pep chromosome:v.1.0:1:10251016:10251562:1 gene:scaffold_102635.1 transcript:scaffold_102635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYKKKNNQIVKGNRILISVTFLGSAGPIRFVANESDLVAAVIDTALKCYAREGRLPILGSDFNDFVFYCPMVGPAALSPWEAIGSVGVRNFMLCKKPEEKKVEGDNGRSNFPNNGARKGSGGSFKAWINKSFSLKVTTH >scaffold_102640.1 pep chromosome:v.1.0:1:10275796:10276865:1 gene:scaffold_102640.1 transcript:scaffold_102640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLACPPDRPWLGLVLVGKATTDGTFNVRAKATVTKKVKLKANATLSTALVSLEYMGLSSRTQLQFGTNDLGGATYIQVLFLHAFNSSYIHHSEIVYFVPPRASPHLSLGCEFVCASLSQKSAVGYAVRYEDEKMLLYKLIHGFSSHGVSLQLLCDYNFEQITLPFFLLMELKQFFEIYLFLILLRLLFQYRVRENVDSDGVACGLVESGMRTGKIILSAKMADLKKRDVVFGLGDL >scaffold_102641.1 pep chromosome:v.1.0:1:10279001:10279622:1 gene:scaffold_102641.1 transcript:scaffold_102641.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F26F24.3 [Source:UniProtKB/TrEMBL;Acc:D7KMQ3] MGIMSSTDLKPESKSENNQVSSKSKAVKGPLHVFMKKGGCEESYTACVGCDAQKDECREAFSMLEKCMKARSDYFEPYLAWQNARAEVMLREIDAFLHAKPKDRDEMFTKFMIRGDCKEAFMAWNDFCKEAKKNNKSCLHTPTMDTLFKCMKAHSDYYHPLLTVFKNAEEHFKKEIKALDTREGAEADADANR >scaffold_102643.1 pep chromosome:v.1.0:1:10284056:10284454:1 gene:scaffold_102643.1 transcript:scaffold_102643.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KMQ5] MRPSPAPPPDPPSPPTPPEPPDPPDPQIRPSSGEDFTQPPLLPHFTGLPRVHRESELPSPPPSRHVPPIITALVPPRSSRCVPITTRSPKVESRVSFFGPKLCDLLSGPRMEGLFIGP >scaffold_102644.1 pep chromosome:v.1.0:1:10284638:10285310:1 gene:scaffold_102644.1 transcript:scaffold_102644.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KMQ6] MVVESVVLALWNSGIVLIPTMFLDPPGSTFVLSRGTLIALVRSFTAICRSYFELALLEAAFGQIGQRSLISFTVPVLLVHRGFHSPHPSFMELFILPNTSLVFSGIVTGSIIVKTVLLRAEARVVVQDSSRSAFVDCLTLEALFPPPCGFGKDFRFKDDYFIDCPCLDSALVELMSSPLSLDLYLSFVASFSFLSFTIPLLVVALLAESV >scaffold_102647.1 pep chromosome:v.1.0:1:10292941:10293553:1 gene:scaffold_102647.1 transcript:scaffold_102647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMSSTDLKQESKSENNQVPTKEVGDRLQEFMKKGGCENSYIACVGCDSREDECTEAYSMLEKCMKARSDYFETYLALKNASAEVMAREIEVFLHAKPNDRDELLGKFITRGGCKEAFMAWRDSYEEAKKNKGSLYTPALNTLSKCMEAHSDYYQPLLAVVKNYEEHYSKERIAFLNAWEQAEDEAKG >scaffold_102659.1 pep chromosome:v.1.0:1:10329242:10330651:-1 gene:scaffold_102659.1 transcript:scaffold_102659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEAKVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMQSWTGTILGPPNTAYEGKIFQLKLFCGKEYPESPPSVRFQTRINMACVNPESGVVEPSLFPMLTNWRREYTMEDILVKLKKEMMTSHNRKLAQPPESSEEARADPKGPAKCCVM >scaffold_102660.1 pep chromosome:v.1.0:1:10331805:10332104:-1 gene:scaffold_102660.1 transcript:scaffold_102660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMR4] MVVNVKKIIGKEVAVCKSSHGKHERTFGYILVSLNRMVISVPIEQSPQRPSHLLSGFISIYPPDHQHSDSSDGYVSDVSDIFSEE >scaffold_102662.1 pep chromosome:v.1.0:1:10336784:10338612:-1 gene:scaffold_102662.1 transcript:scaffold_102662.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAK16 homolog [Source:UniProtKB/TrEMBL;Acc:D7KNE2] MQHDKVIWQVIGHNHCSYMAKFETGIFCRNQYNVTGICNESSCPLANSRYATIRDHDGVFYLYMKTIERAHMPKNLWEIVKLPVNYEMALEMIDKHLLYWPKLLQHKVKRRLTKMTHMRIRMRKLALKTREVIIPRPRRQIKRELRREDKAKIAAQLDKAIENELMERLKTGIYPTDIYNISDRAFNKILNKEIELNQEVEEEEEEEGVVEYVEGDDELEAEEEEEDMEDFSGLPSKESYLEGDDHDSDDEENDDAEEQVVIHKRRALKKSDDNRKAKKKSRVVVEVEQEDGDTRRSLKSLKL >scaffold_102666.1 pep chromosome:v.1.0:1:10349342:10349685:-1 gene:scaffold_102666.1 transcript:scaffold_102666.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNE5] MDFVGKEVQSNKKGKEENREVAELEKKPIVNGDQLIKILSPVNCPVTKPEKEEEEECTTPKAEEFRIPQPLECPPAPKPKRRRGGDHSSLVAPTISFQTL >scaffold_102679.1 pep chromosome:v.1.0:1:10403471:10404050:1 gene:scaffold_102679.1 transcript:scaffold_102679.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNF7] MRDQARRREATPQQRREKPAPPPETPGRRRSRSRSTSENLPRRQRGESLGKKPSLRLDLSPSTGNRGREHEIPTTENGRHARRTLNSHREKQDRNKTSESSSAQMKSDRKNLEPSHGTGRNRSPERQAIDGDCVVLGEKNGGKSPTRATHAPDFLVFLNPTCYFCYIRYSKFLHRHTYM >scaffold_102683.1 pep chromosome:v.1.0:1:10414141:10416635:1 gene:scaffold_102683.1 transcript:scaffold_102683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLMKFFLFLYDYLSPSSWFLVQRHNLAALSDTTDDVVRSGIIGEIIYIWKQTRIFVFIPILKCLVTICLVMSLLLFIERVYMSIVVVFVKLLRRTPEKVHKWEAINDDDLELANTNYPMVLIQIPMYNEKEVCQLSIGAACRLSWPLDRMIIQVLDDSTDPASKELVNAECDKWARKGINIMSEIRDNRIGYKAGALKAGMMHNYVKQCEFVAIFDADFQPDPDFLERTIPFLIHNHEISLVQCRWKFVNANECLMTRMQEMSLNYHFVAEQESGSSIHAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRACLHGWKFVYVHDVEVKNELPSTFKAYRFQQHRWSCGPANLWRKMTMEILQNKKVSAWKKLYLIYNFFFIRKIVVHIFTFVFYCLILPTTVLFPELQVPKWATVYFPTTITILNAIATPRSLHLLVFWILFENVMSMHRTKATFIGLLEAGRVNEWVVTEKLGDTLKSKLIATTKLYTRFGQRINWRELVVGLYIFFCGCYDFAYGGSYFYVYLFLQSCAFFVAGVGYIGTFVPSV >scaffold_102692.1 pep chromosome:v.1.0:1:10435796:10437074:-1 gene:scaffold_102692.1 transcript:scaffold_102692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTGFGGWVNQNNEQPRKAKPKITENVESKSESEMDTNDDYDKMKKYYDEEEMKKQDQLWIDAEKKHPWNDAPPKVKVTTKNGLCHMNIELTLGFPPDKVFGFFTDPSNGPFFLSPPLENKSRKVLMEDGPRQIAKVKKTVDWKFLGSSFAVPISVIVDENRKDLTAKYKKKKMILMKVFEGSYKVEPVYVDSERLCKNMEPKSPEDYKRCSGGQGRIASKVTMNQYFKPYPPFNLPPLSWYIREVTIKNTKTALKTLQTWGITLRNRGEVTSTDKDGNVTKSRRKKLTNKN >scaffold_102694.1 pep chromosome:v.1.0:1:10439988:10441022:-1 gene:scaffold_102694.1 transcript:scaffold_102694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNVDDEEMEEGKLWRDAEKKHPWFDAPPKVKVTTKKGLCHMYVKMTFGLPPRSVYELFTNPNNLPLFSDKSWRQLLVNKRRKVLKRDGPRQIVEVEKVVAWDFLWWSGGMPINLIADENEKDLTGKYKKQKMKFMKVFEGSYKVEPIYVDSESLCKNKEPKSPEEYKKCSGGQGKIASKVTMDQYFQPYPLFNLPPTFVGPPSRPPRLSSKCFKILVPRCE >scaffold_102695.1 pep chromosome:v.1.0:1:10441818:10442118:-1 gene:scaffold_102695.1 transcript:scaffold_102695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCMKVFEGSWKVEPLYVDQERFCKSRSVNSQEEYKKCSGGRGRIASKVTMELIFQPSSLLNLPPVSWIIRGIYHHQNHQNVARRS >scaffold_102696.1 pep chromosome:v.1.0:1:10442210:10445694:-1 gene:scaffold_102696.1 transcript:scaffold_102696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFPQFGGWFSQNIQHPPKRQAGLWLGTEKKNPWYDAPAKVKVETKNGICHMNIKFTLGEPPQGVYEMLTNPRNITYFDFDKRWRQRLENKSTKVLKKDGPRQITDVRKALRWKFLLWSGTIPIHLIIDENHQNLTGSWKIDPLYVDSERLCKHIEPKNPEEYKRCSGGQGRIASKVAMEIIFQPSSLLNVPPVSFVTRWITIKATKILLEDIRQFIIAWHNDLAYTSRTHGNGADTLLCCTTESMEPGHPRSKALYVVDPKYYDLEEVKRQVELWIVAKNKLPWYDAPAKVKENKSTKVLKKDGPRQITDVEKALRWKLLCWSGAIPIHLIID >scaffold_102697.1 pep chromosome:v.1.0:1:10446109:10446433:-1 gene:scaffold_102697.1 transcript:scaffold_102697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCMKVFEGSWKVEPLYVDSERLCNQREPKCREKYKRCSRGKGRIASKVTMEHIFQPSSLLNLPPFSWIIRGYTIKTTKILLEDLRKFNINMYK >scaffold_102698.1 pep chromosome:v.1.0:1:10446529:10447514:-1 gene:scaffold_102698.1 transcript:scaffold_102698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFPGFGGWINQNFQERPEADTGRSDDVKSNSVSEKDTNLKPWYDEPGKTAAMEPGDVRSKPLHAVDTKYFDVNDMKRQSELWHGEKKKHPWYPAPAKVEVKTKNGLCHMNIEFTIGVIPPAVYEMLTNPRNISFFIKDERWRQRLENKSTKVLKKDGPRQITDVRKALRWKFIMWSGAIPIHLIID >scaffold_102699.1 pep chromosome:v.1.0:1:10449879:10451518:-1 gene:scaffold_102699.1 transcript:scaffold_102699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFSGFGGWINQNIQEPPKAESKRSVDVNTNSVSEKDSNDQELHYDKEEMKKQGQLWIDAEKKQPWYDAPPKVKLTTKKGLCHMNIELTLGWYPDGVFELFTNPNDGPLFFDMNKHGRQLLIVKVEQPVAWDFLWWSGAIPIELIVEENQTDLTAKYKKEKMMFMKVFDGSYKVEPLYVDSVRLCRHNEPKSPQEYKICSGGQGRIASKVTMDQYFQPYPPFNLPPFSWFIRDITIRTTITLLKMLQHASVVVRE >scaffold_102703.1 pep chromosome:v.1.0:1:10462684:10463880:-1 gene:scaffold_102703.1 transcript:scaffold_102703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFPGFGGWINQNSQQPPKAESKRSENVESNSEPPLEFKYYDKDEVDKQVQLWIEEDKKYPWKDAPPKVKVTRKKGLYHMHIELTKGRVPESVYLLFANPLNNQYFQDFDGHNFLHLLESVNFLEGKQIKKTFAEGWTEADCGGRESCILEIPLVLWSSPNKSNCRRQPKISYLFEGSWKVEPLFIDSERLCKQIKPKSREEYKKCSGGRGRIASKVTMEQIFQPSFPLNLPPFSWIIRGITIKTTKNLLDVAP >scaffold_102705.1 pep chromosome:v.1.0:1:10471147:10473256:-1 gene:scaffold_102705.1 transcript:scaffold_102705.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KNI1] MTSSSGTKFPLDAKDYELLERIGDGVYRARCILLDEIVAIKIWNLEKCTKDLETIKKEVHRLSSIDHPNLLRSHCSFIDGTSLWIVMPYMSCGSCLNIMKSVYPNGLEEPVVAIFLREILKALVYLHGLGYIHGNVKAGNILVDSEGTVKLGVERMPTSSGNTFVGTPCWIAPEEDTQQVDGYGFKLDIWSFGMTALELAHGHSPFSKYPHTVAPPLTLQNSPCPVYEEDNKFSKSFRELVAACLIKDLEKRPTASKLLEYPFLQHTLSTEYLASTILDSLYPLGERFRKLKEEEAKLVKRIDGNSEKLSQVTIEGLLTSGKPASPVNPVSCNAAQILPMLQNLLIQNDIQRERVISLMQLYDPTAGKFAVLSADFASSLCYKFRDLILQVS >scaffold_102706.1 pep chromosome:v.1.0:1:10474793:10474995:1 gene:scaffold_102706.1 transcript:scaffold_102706.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNI2] MSGEKTMPNASDESLTKKTKIFGVNVASTRSTMLKFAIISIYGVSRGWDSVIA >scaffold_102715.1 pep chromosome:v.1.0:1:10502241:10505288:-1 gene:scaffold_102715.1 transcript:scaffold_102715.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KNJ0] MDNGTVILKMKREKGDLIRAIGVVIISHQSSVETIQFLIGFDGVLPTKSPCKIRSYLWAQRWPIIGNRLSLSLYARYFMSHDCTFRVEEDHKSVTVPRMKLRLRHHETRETLKLELADTDTLHDLRRRINPTAPSSVHLSLNRKDELITSSPEDTLRSLGLISGDLIYYSLEAGESSGWELRDYQTLAPQSESNQAIVHESMGIGFAEVDSNPNSGVEDPAEGSKGSNSGMDDPEPMDVEQLDMELAAAGSKRLSEPFFLKNVLLEKCGDTSELTTLALSVHAVMLESGFVLFDSGSDRFNFSKELLTVSLRYTLPELIKSEDTNTIESVTVKFQNLGPVVVVYGTVGGSSGRVHMNLDKRRFVPVIDLVMDTSKSDEEGSSSIYREVFMFWRMVKDCLVIPLLIGICDKAGLESPPCLMRLPSELKLKILELLPGVSIGNMACVCTEMRYLASDNDLWKQKCLEEVDNFVGTEAGDSVNWKARFATFWRQKQLAAASATFWRQNQLGRRNISMGRSTIRFPRIIGDPPFTWFNGDRMHGSIGIHPGQPARGLGGRTWGQQFTPRCNLGGLN >scaffold_102716.1 pep chromosome:v.1.0:1:10506120:10506872:1 gene:scaffold_102716.1 transcript:scaffold_102716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDFFDGKEPNKGTNPDEVLAYTTAVQGGVLSGEGGEETQKNLNLLKTNILLLDVAPLHLGIDPVGGVMTNIIPRIPTKKSQVFTTYQDQQTTVTINVYEEISMTKDNPELGNFQLTGLLHAPRRKEQFFMGVPQMEVTFEVDANGILQVNTEDKVPKMSQSITITNDKGHLTGEEIDEMIILISYI >scaffold_102719.1 pep chromosome:v.1.0:1:10519427:10521984:1 gene:scaffold_102719.1 transcript:scaffold_102719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSVLRSSLPYIFSFTSHQNHHLSSKTLIPLSHFSEIFTRALTMDAKESSATDLKRPREEDDNGAAATMETENGDQKKEPACFSTVIPGWFSEMSPMWPGEAHSLKVEKVLFQGKSDYQDVIVFQSATYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVARHASVEQIDMCEIDKMVVDVSKQFFPDVAIGYEDPRVNLVIGDGVAFLKNAAEGSYDAVIVDSSDPIGPAKELFEKPFFQSVARALRPGGVVCTQAESLWLHMDIIEDIVANCREIFKGSVNYAWTSVPTYPSGVIGFMLCSTEGPDVDFKHPLNPIDESSSKSNGPLKFYNAEIHSAAFCLPSFAKKVIESKAN >scaffold_102721.1 pep chromosome:v.1.0:1:10523580:10524310:-1 gene:scaffold_102721.1 transcript:scaffold_102721.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKSDEKLSIIELLKRAAQLLFGNINLALFLFFCSLPLFCFLIFFELSLQTTVSLASTYLSKLVNSEEDLSENDLIPWLVQTSLLYFFPYTIIDLLTTTTIVAASSISYISMVVSVLEEEEDGKGIYGSSALSLSAWYLRGQEKRDLWMMLMFLVGALVTRMPCLYYKCSESLSGNGVLYTGLYVGLICVGNVVKWVSCVVCYHDCNTRVLRKKGDVEIGSKAKAFAT >scaffold_102722.1 pep chromosome:v.1.0:1:10524952:10526059:-1 gene:scaffold_102722.1 transcript:scaffold_102722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEEDLGYINVLKRATKLLWGNINLVLFLCLCSLPLFCFLIFFELSLQTTVSFASQYLVRQLNNWNYYYVPRDAALSENLLPLLIQTFLLYLFPYSLLDLLTTTIIVSASWIVHTSEEEPLRFGQLVRRTVEICQNRLEGCLITSLYILLMSTPVLFGFFFVATNYFYIISLIGLGDYSHYYYIDLDEDSGGYYRSSRFDDPVRMLFDAVMAMFHGAIYLVLLAMFSKWSAGWNMGLVISVLEEDQEEGQNIYGTDALTISAKYGKGHEKRGLQVMLVFLVFAIAMRMPCFCFKCTESSNGHRVLYTSFYVGLICVGNMIKWVACVVFYEDCRAKVLEKKGDVEIGSKAKTFVA >scaffold_102724.1 pep chromosome:v.1.0:1:10534841:10537675:1 gene:scaffold_102724.1 transcript:scaffold_102724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSCANFIDLASWDLLDFPQTQRALPRVMTVPGIISELDGGYSDGSSDVNSSSSSRERKIIVANMLPLQAKRDTESGQWCFSWDEDSLLLQLRDGFSSDTEFVYIGSLNADIGTSEQEEVSHKLLLDFNCVPTFLPKEMQEKFYLGFCKHHLWPLFHYMLPMFPDHGDRFDRRLWQAYVSANKIFSDRVMEVINPEEDYVWIHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLPVRDDLLRGLLNCDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVFIKILPVGIHMGRLESVLNLPSTAAKMKEIQEQFKGKKLILGVDDMDIFKGISLKLIAMERLFETYWHMRGKLVLIQIVNPARATGKDVEEAKRETYSTVKRINERYGSAGYQPVILIDRLVPRYEKTAYYAMADCCLVNAVRDGMNLVPYKYIICRQGTPGMDKAMGISHDSPRTSMLVVSEFIGCSPSLSGAIRVNPWDVDAVSEAVNLALTMGETEKRLRHEKHYHYVSTHDVGYWAKSFMQDLERACREHYNKRCWGIGFGLSFRVLSLSPSFRKLSIDHIVSTYRTTQRRAIFLDYDGTLVPESSIIKTPNAEVLSVLKSLCGDPKNTVFVVSGRGWESLSDWLSPCENLGIAAEHGYFIRWSSKREWETCYSSAEAEWKTMVEPVMRSYMDATDGSTIEFKESALVWHHQEADPDFGACQAKELLDHLESVLANEPVVVKRGQHIVEVKPQGVSKGLAVEKVIHRMVEDGNPPDMVMCIGDDRSDEDMFESILSTVTNPDLPMPPEIFACTVGRKPSKAKYFLDDVSDVLKLLGGLAAASSSRKPEDQQQSSSLHTQVAFESII >scaffold_102740.1 pep chromosome:v.1.0:1:10631535:10632632:-1 gene:scaffold_102740.1 transcript:scaffold_102740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSISLAFSVDFLKPSQSTKFGFSSSSPRYPLLYSCRSHRSNLRFAFPPSSVSTATETGEEAAKSTGSYAFLEETFRTGRFLSNDELEKLKTLEGFAYFQELESGSMWVRVMRHEEMDSTVHLLAESFGESMLLPSGYQSVLRFLVKQYLIERREVLPHAVTLVGFFRKKVDGFSDDGEEEAEMAGTVEVCLDKRGTNASPPSPTPPKESPYICNMTVKEDLRRRGIGWHLLKASEELISQISPSKDVYLHCRMVDEAPFNMYKKAGYEVVKTDTVLVLLMLQRRKHLMRKKLPLCTTPTVEMVGSDNELTSSANV >scaffold_102743.1 pep chromosome:v.1.0:1:10639806:10640776:-1 gene:scaffold_102743.1 transcript:scaffold_102743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKQANPRRSGPYGNGTVEVLEAPRTQIAVEPDLKFQSHAILALQEAAEAFIVGFFEDTNLSAIHARRVTIMRRDMELARRIRGKRH >scaffold_102744.1 pep chromosome:v.1.0:1:10643424:10644648:-1 gene:scaffold_102744.1 transcript:scaffold_102744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRANRVVLHNPASRSSNWKRKVKQSRNQSSVMISSTVGGHQHKFGGPNQCVRQIVRRFHAPIVFRENLLSKDFVNIHTLKLLAGSSEGGSVVFRLEYQGQPACLIMDLVDELFVFIFTSLNNKCKKELEAIGKQYPFKPLKFLEKTLRLTFEEGIQILKEAGVEIDPLGDLNTESQRKLGQLVLEK >scaffold_102749.1 pep chromosome:v.1.0:1:10660181:10661690:-1 gene:scaffold_102749.1 transcript:scaffold_102749.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KNM0] MAETTPKLKGHIVILPYPVQGHLNPMVQFAKRLVSKNVKVTIATTTYTASSITTPSVSVEPISDGFDFIPIGIPGFSVDTYSESFKLHGSETLTLLIEKFKSTGSPIDCLVYDSFLPWGLEVARSMDVSAASFFTNNLTVCSVLRKFSNGEFPLPADPNSARFRVRGLPSLSYDELPSFVGRHWLTHPEHGRVLLNQFPNHEKADWLFVNGFEGLEETQDCENGESEAMRATLIGPMIPSAYLDDRIKDDKDYGASLLKPISKECMEWLGTKPARSVAFVSFGSFGILFEKQLAEVAIALQESDLNFLWVIKEAHIAKLPEGFVESTKDRALLVSWCNQLEVLAHESIGCFLTHCGWNSTLEGLSLGVPMVGVPQWSDQMNDAKFVEEVWKVGYRAKEEAGEVIVKSEELVRCLKGVMEGESSVKIRESSKKWKDLAVKAMSEGGSSDRSINEFIESLGKKN >scaffold_102750.1 pep chromosome:v.1.0:1:10662730:10663751:1 gene:scaffold_102750.1 transcript:scaffold_102750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7KNM1] MKSFGLCLFILLSSPYILQANLSSDYYTKTCPEFEQTLVQIVTDKQIAAPTTAAGTLRLFFHDCMVDGCDASILVASTPRKTSERDADINHSLPGDAFDVITRIKTAVELKCPNVVSCSDILVGATRSLVTMVGGPRINVKFGRKDSLVSDMNRVEGKLARPNMTMDHIISIFESSGLTVQEMVALVGAHTIGFSHCKEFASRIFNKSDQNGPVEMNPKYAAELRKLCANYTKDEEMSAFNDVFTPGKFDNMYYKNLKHGYGLLQSDHAIAFDNRTRSLVDLYAENETAFFDAFAKAMEKVSEKNVKTGKLGEVRRRCDQYNDYKG >scaffold_102753.1 pep chromosome:v.1.0:1:10671146:10672350:-1 gene:scaffold_102753.1 transcript:scaffold_102753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIYVSVVFLVFFVPSPVSAGFYPNSSAIPPELLSNATGNPWNSFLNFTGCHAGKKYDGLYKLKQYFQHFGYIPETDLSGNFTDDFDDILKNAVEMYQRNFQLNVTGVLDELTLKHVVIPRCGNPDVVNGTSTMHSGRRTFEVSFAGRGQRFHTVKHYSFFPGEPRWPRNRRDLTYAFDPRNSLTEEVKSVFSRAFARWAEVTPLTFTRVERFSTSDISIGFYSGEHGDGEPFDGPMRTLAHAFSPPTGHFHLDGEENWIVSGEGGDGFISVDEAVDLESVAVHEIGHLLGLGHSSVEGSIMYPTIRTGRRKVDLTTDDVEGVQYLYGGNPNFNSSRSPPPSTQQRDTGDSGAAGRSDGSRSVLTNLLLYYFWIIFVFSVDDWISS >scaffold_102755.1 pep chromosome:v.1.0:1:10687530:10688338:1 gene:scaffold_102755.1 transcript:scaffold_102755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTFTSMLFLLLLLFSFHMGEALGAQTEIRKIKETIRMRRNLEGNDYKNSKMWMRRSYSPQCQQYERFKNMVTTNGDDDHGLDDPCFPFTCFNGWGPGSQSCTYTKYCHAVYGAPPRCSISENCSSD >scaffold_102756.1 pep chromosome:v.1.0:1:10694501:10695342:1 gene:scaffold_102756.1 transcript:scaffold_102756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSLHVDEALGAQTKISKLKEMITMRRNLEGNIDYKSSNMRTGGSVSNQCHSKSYIEIVTTYLPDDSSSPCIQCNHDSCYSCTKTRKCRWSTGSPRRCTVNEVCCLIVYS >scaffold_102757.1 pep chromosome:v.1.0:1:10697329:10698296:1 gene:scaffold_102757.1 transcript:scaffold_102757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSSTVSFMLFFLLLVLSIHMNEALGAQIEIRKLGYKLQRSSNAEETMVMRWNLEGNGFVTSKIDAPPSASRHCGGGKRFENMATIDRHDDQFSPLPGGDSSSLCIRCVTTQRCVGAVGAPPSTYTCTIISKQCENIPGH >scaffold_102761.1 pep chromosome:v.1.0:1:10715406:10717815:-1 gene:scaffold_102761.1 transcript:scaffold_102761.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:UniProtKB/TrEMBL;Acc:D7KPH3] MALSRLSSRSNTFLKPAITALPSSIRRHVSTDSSPITIETAVPFTSHLCESPSRSVETSSEEILAFFRDMARMRRMEIAADSLYKAKLIRGFCHLYDGQEALAVGMEAAITRKDAIITSYRDHCTFIGRGGKLVDAFSELMGRKTGCSHGKGGSMHFYKKDASFYGGHGIVGAQIPLGCGLAFAQKYNKDEAVTFALYGDGAANQGQLFEALNISALWDLPAILVCENNHYGMGTATWRSAKSPAYFKRGDYVPGLKVDGMDALAVKQACKFAKEHALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQVRDPIERVRKLLLSHDIATEKELKDMEKEIRKEVDDAVAQAKESPVPDASELFTNMYVKDCGVESFGADRKELKVTLP >scaffold_102773.1 pep chromosome:v.1.0:1:10751677:10752714:-1 gene:scaffold_102773.1 transcript:scaffold_102773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAMSYIEAVRDALEDAEPEKYEEFLRTFLDFGADRIGIAAFSASIQELLKDHVNLLLGFNVFLPLEFQITIPLEASTEFHKVVGRSVPPKPAMEDATSYLIAVKKAFHFKSLCRIDAAIVIARVEELMKDHLNLLLCFCVFLSATRSFITKLKVIDLLYYHEDLIDKFFRYFNMRK >scaffold_102775.1 pep chromosome:v.1.0:1:10773818:10775100:-1 gene:scaffold_102775.1 transcript:scaffold_102775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNATTPPKLAKKRKTTATTKKKKPPSPAKKRKTTATTTPQQSSPILSLPYDLLFNCLARVSRLYYPTLSLVSKSFRSLLASPELYRARSLLGRTESCLYVCIQGFPDCRWFTLCRKPHGLLTSGYTCTRATSSGYVLAAVPSPQDPDKRDPVFPGLVVIGSNIYNIAPRSPLTHNFSHTISILDCCSHTWHDAPCLQMKMTTFSASVLDQKIYVAGCCKDGYSNSYKNLMEVFDTKTQTWDPKPIPCSETFGGLFCNTACLDGKFHVMRDDDVVAYNSKEGRWDRVEKQIGRYIYSKSYCEIHNVLYSCDHGNIVWYDTEARDWRELKGLVGLPEFPSDKKGIRLADYGGKMAVLWLEEWPFNFYGLTKMIWCAEITLEKRKSGEIWGKVEWFDHLLTIPTCEILKVLAATV >scaffold_102776.1 pep chromosome:v.1.0:1:10775903:10776181:1 gene:scaffold_102776.1 transcript:scaffold_102776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSDLSGGDEVAEDGWFGGGNRAIPFPVGSLPGTAPCGFVVSDALEPDNPIIYVNTVFEIVTGYRAEEVIGRNW >scaffold_102781.1 pep chromosome:v.1.0:1:10804562:10804771:-1 gene:scaffold_102781.1 transcript:scaffold_102781.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPJ2] MEKKKFILLSLPLSDSLIFSGGDISKDFTSTTRHCSQSRRRREIDLPVRLKHKD >scaffold_102784.1 pep chromosome:v.1.0:1:10815991:10818301:1 gene:scaffold_102784.1 transcript:scaffold_102784.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7KPJ4] MSVHGRFPASPPISLSPSSSSTSPSSQSPSTPPDLKQRVIACLNKLADRDTLALASAELDSIARNLTHDSFSSFLNCIHNTDSSVKSPVRKQCVALLSVLSRYHGDSLTPHLAKMVSTVIRRLRDPDSSVRSACAVATADMSAHVTRQPFASVAKPLIETLIQEGDSNLQIGAALCLAASVDAATDPESEQLRKSLPKIGKLLKSDGFKAKAALLSAVGSIINAGGAGTKPVLDWLVPVLIEFLSSEDWAARKSAAEALSKVATAEDLASQYKKTCTTALESRRFDKVKSVRETMNRALNLWKEVSNDEEASLSPSRSSTDDGSIGCFSSVTRSSTIDVGLKSARPKKVTPIMKRSPSLPVNRSYAATRQKENLPKRNQGNMTMLAEASSVDNKGPQFTPVKKSSEESEEKDNSGGPDIIKHTISEKSREDSKVSSFGGLRSGSRVAPCNDDGDSCDSVVKNCKDDVEESKKDSEELSLIREQLALIENQQSSLLDLLQKFMGTSQSGIQSLESRVSGLEMALDEISSDLGVSNGRVPRNSSSCAGDSCSKLPGTEFLSPKFWRKTEERPRIRNTASEMAAYDQGMRESTDANNGQRGGSVFQKRSPRDQFQDSMHTTLQKPTTRLST >scaffold_102785.1 pep chromosome:v.1.0:1:10828128:10829897:1 gene:scaffold_102785.1 transcript:scaffold_102785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYENGKKRKVRNKQQVKGQFLSQRYLILCCCCFFVLLFFLSSDRISTLSVRSDSLRPSLRVPALSVLSSSMDSFHGGRFPALSVEDRVQFPDHLLLILSHGIGRGEKNLACVYRGVKEETLVLPSISSDEFDEFRSIVRCPNAPLNYSSSVELQFRGDLVKKMKKEKQSRCRVHNWEKVGYEAVIDGDTVVVFVKGLTRRPHKESDPSYYKCQFEIGDSEEKEVTQAVAAAQEVVRCVLPESLKLNPEMMFRVSVIHIDPRGRTTPALPSVARIYGSDSIEKKKSGVKHELCVCTMLWNQAPFLREWIMYHSWLGVERWFIYDNNSDDGIQEEIELLSSENYNVSRHVWPWIKTQEAGFSHCAVRAKEECNWVGFFDVDEFYSFPTHRSQGLPSKNALKSLVSNYTSWDLVGEIRTDCHSYGPSGLTSVPSQGVTVGYTCRQANPERHKSIVRPELLTSSLLNEVHHFQLKEGVGHMSLMESVAVVNHYKYQVWDTFKAKFYRRVATYVVDWQENQNQGSKDRAPGLGTEAIEPPDWKRRFCEVWDTGLKDLVMSNFADQVTGYLPWQRQQQE >scaffold_102786.1 pep chromosome:v.1.0:1:10831685:10833536:1 gene:scaffold_102786.1 transcript:scaffold_102786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFITLLWLLFISSFLCSSSSAEDDVLCLQGLKNSLIDPSSRLSSWSFPNSSASSICKLTGVSCWNEKENRIISLQLQSMQLAGEIPESLKLCRSLQSLDLSGNDLSGSIPSQICSWLPYLVTLDLSGNKLGGSIPTQIVECKFLNALILSDNKLSGSIPSQLSRLDRLRRLSLAGNDLSGTIPSELARFGGDDFSGNDGLCGKPLSRCGALNGRNLSIIIVAGVIGAVGSLCVGLVIFWWFFIREGSRKKKGYGAGKSKDDSDWIGLLRSHKLVQVTLFQKPIVKIKLGDLMAATNNFSSGNMDVSSRTGVSYKADLPDGSALAVKRLSACGFGEKQFRSEMNRLGELRHPNLVPLLGYCVVEDERLLVYKHMPNGTLFSQLHNGGLCDAVLDWPTRLAIGVGAAKGLAWLHHGCQPPYLHQFISSNVILLDDDFDARITDYGLARLVGSRDSNDSSFNNGDLGELGYVAPEYSSTMVASLKGDVYGFGIVLLELVTGQKPLSVINGVEGFKGSLVDWVSQYLGTGRSKDAIDRSICDKGHDEEILQFLKIACSCVVSRPKERPTMIQVYESLKSMADKHGVSEHYDEFPLVFNKQEL >scaffold_102789.1 pep chromosome:v.1.0:1:10842854:10847412:-1 gene:scaffold_102789.1 transcript:scaffold_102789.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGVAPHRLKFSVFLSFRGFDTRANFCERLYVALNEKQNVRVFRDNEGMEKGDKIDPSLFEAIEDSAASVIVLSKNYANSAWCLNELALICELRSSLKRPMIPIFYGVNPSDVRKQSGHFEKDFEENAKTFDEETIQRWKRAMNLVGNIPGFVCTEETVKDDNDGINRDKVDDMIELVVKKVLAEVRNRPEKVADYTVGLESCVEDLMKLLDFESTSGVQTLGLYGMGGIGKTTLAKSFYNKIIVNFKHRVFIESVREKSSDQDGLVNLQKTLIKELFGLVPEIEDVSRGLEKIEENVHEKKTIVVLDDVDHIDQVNALVGETKWYGEGSLIVITTRDSEILSKLSVNQQYEVKCLTEPQALKLFSYHSLRKEKPPKNLLELSTKIVRILGLLPLAVEVFGSHLYDKDENEWPVELEKLTNTQPDKLHCVLALSFESLDDEEKKIFLDIACLFLKMEITKDELVDILKGCGFNAEAALRVLIQKSLVTIMKDDTLWMHDQIRDMGRQMVLRECSDDPEMQSRLWDRGEIMNVLDYMKGTSSIRGIVFDFKKKFVRDPTADEIVSRNLRNNPGLNFVCNYLRNIFIRFRAEEKPKRSEITIPVEPFVPMKKLRLLQINNVELEGNLKLLPSELKWIQWKGCPLENLPPDILARQLGVLDLSESGIRRVQTLPSKKVDENLKVINLRGCHSLKAIPDLSNHKALEKLVFERCNLLVKVPRSVGNLRKLLQLDLRRCSKLSEFLVDVSGLKCLEKLFLSGCSNLSVLPENIGSMPCLKELLLDGTAISNLPDSIFRLQKLEKLSLMGCRSIQELPSCLGKLTSLEDLYLDDTALRNLPISIGDLKNLQKLHLMRCTSLSKIPDTINKLISLKELFINGSAVEELPLVTGSLLCLKDLSAGDCKSLKQVPSSIGGLNFLLQLQLNSTPIESLPEEIGDLHFIRQLELRNCKSLKALPESIGKMDTLHNLYLEGSNIEKLPKDFGKLEKLVVLRMNNCEKLKRLPESFGDLKSLRHLYMKETLVSELPESFGNLSKLMVLEMLKKPLFRISESNAPGTSEEPRFVEVPNSFSNLTSLEELDACSWRISGKIPDDLEKLSSLMKLNLGNNYFHSLPSSLVGLSNLQELSLRDCRELKRLPPLPCKLEHLNMANCFSLESVSDLSELTILEDLNLTNCGKVVDIPGLEHLMALKRLYMTGCNSNYSLAVKKRLSKVIPRTSQNLRASLKMLRNLSLPGNRVPDWFSQGPVTFSAQPNKELRGVIIAVVVALNHEIGDDYQKPNVVGVQAQILKLDLPLFTHTLHLSGVPRTSDDQLHICRYSAFHPMVTMLKDGYTIQVIKRNPPMEKDVELKMHGIHLVYEGDDDFPDKEDTIIETYLTVSQKLANFFSSFEEEGETSSESESTVT >scaffold_102793.1 pep chromosome:v.1.0:1:10867673:10867942:-1 gene:scaffold_102793.1 transcript:scaffold_102793.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPK1] MSEAEEKKIAMEMERKLRNEEKAKEKEMKKQRALEKAKLGDVFKSSKPKTIAEKKIDKEEEEEFVDPETPLGEKR >scaffold_102798.1 pep chromosome:v.1.0:1:10887147:10890052:1 gene:scaffold_102798.1 transcript:scaffold_102798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREKEANLFTGVMRSTPLHMIALLSITLILTRERKVILEAPLYDKDCVLGNILAAHYLSSFDFARANSYARAAESHLGKATPYEKAVFEAVNYLISENMDTDVALDLHSKRVEILCFYMGRPDLSLPLFEKLCHVLQTEFRFKEAVEFMEGCSASWDSCSSLRYSHNWWHVAVCYLEGGSPISKVQDIYDHQMCKRLEKDDVVARDAMWYQKWLFDIMTIWALSKVGNTLLAHVLLEGLKSRSVFLIFFSNQLAEAVYEYGKGNYKDALELLGPDFDAADYKVIGASGLQIDVFNEIWYKLLLLTGQSSTAIEVLERRIKQTYGALFLWRLLEKSYSMEGKEEALSAGEKAKALESSYFKFA >scaffold_102802.1 pep chromosome:v.1.0:1:10905564:10907939:1 gene:scaffold_102802.1 transcript:scaffold_102802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSINAGPISSFVSRYSMIDSDTLLPLSSSFGSTFNPNYKAKACIRFARKGCGSTVLGFLEVKPRKKSCCSRCNGVLRMCNKRNLGWDSEGSKDLESEILEFMKNSEKPGMFPSKKDLIRSGRFDLVERIVNQGGWLSMGWDLDEQEEKVQVNENVMPHELHMEKQLRDCNSQEMDKTLSHGDLDFSSNLSSSTEAVDGENESGIEGILTRLEKERNLGLGISLRENGKSNGAVNDISPNGSVPWSSRIVTASEIQEVDGSRGSGEYAQTRYQGAKSVSGKPGLSDSPTSETWRTWSMRRAGFTDEDFEAAEISSSGLTGVKKDDDTKKDSGDRMNGKDRTTSSPEDVNKTHIKSRLQHLQSELSSVLHSLRSPPDTVVTSKDSEITSGNLENLSDDWEFKENEIIHAQNKLRSTRAKLAVLEGKMAMAIIDAQRIVREKQRRIDHANRALRLLRTASIVWPNSASEVLLTGSFDGWSTQRKMKKAENGVFSLSLKLYPGKYEIKFIVDGQWKVDPLRPIVSCGGYENNLLIIS >scaffold_102803.1 pep chromosome:v.1.0:1:10908162:10909862:-1 gene:scaffold_102803.1 transcript:scaffold_102803.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein [Source:UniProtKB/TrEMBL;Acc:D7KPL1] MAEHGETPADEEEEQQVWSWGAGTDGQLGTAKLQDELLPQLLSLTSLPSISMLACGGAHVIALTSDGKVFTWGRGSSGQLGHGDILNITLPKLVSFFDGYVITQAAAGWSHSGFVSDSGCLFTCGNGSFGQLGHGDNMSLRTPAKVSYFSNESVKMVACGMRHSLVLLAGNQVCGFGSGKRGQLGFTSDGTKSVNLPCVVSGLKDVEVTRISANGDHSAAISADGQFFSWGRGFCAGPDVQTPQCLPSSLSFRKVAVGWNHALLLTVDGEVFKLGNTLNKQPEKQQLQIDSSEALLAKVSDFDGVKVLQIAAGAEHSAAVTENGKVKTWGWGEHGQLGLGNTNDQTSPQLVSVGSIDLRTKEIKVYCGSGFTYAVRRKQGLSSSPTSS >scaffold_102807.1 pep chromosome:v.1.0:1:10923912:10926054:-1 gene:scaffold_102807.1 transcript:scaffold_102807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLDMAHTPSFKGGSETFLRNVFENILKTYLRKNPITERIWELIQSLDNEKICYDYFTFMTLKVEGYGIDSLSSFFMNYGYKIGGGLDFPKKKLRGLWFSPPDVIVPGDGHGLGNGPLPRLVMGEILVDELSPESQEIIRKYLKPEGGKQALLSSILGSLIWEKPTWSEFKQIAEENELAAWAFINGYTMNHLAFAVHRLKHRFSDIKCIIQYLEEHGFDLNHDGGVLKVSTDGLLLQVSSISEKLPVEFADGVTKSVPASYIEFTDRLVLPQFKELPYDQVR >scaffold_102808.1 pep chromosome:v.1.0:1:10934509:10936487:-1 gene:scaffold_102808.1 transcript:scaffold_102808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFDLPHSSSFKGGSETFLRNVFENILKTYLRKNPTAKTIWELVQSLDNEKICYDHFTFRTLKVDGYGIDSLSSFFMDYGYKIGGGLDFPKKKLRVLWFSPPDVHVPKDGHGLGNGPLPRIVIAEVLVDELSPESQGIIRKYLKPEGGKQAVLSSTLESLIWEKPTWIDFKQLAKESEIAAWTLIHGYTLNHLAFAVHRFKHRFSDIKFVKQHLEEKGFKLNSDGEILKVSQDGLLLQVSSISERLPVTFADGVTETIPASYIEFTQRQVLPEFKDVPHDEIKEFHRREAFELDNASNIMESTRFTTKF >scaffold_102809.1 pep chromosome:v.1.0:1:10941981:10943650:-1 gene:scaffold_102809.1 transcript:scaffold_102809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFDLPHSSSFKGGSETFLRNVFENILKTYLRKNPTAKTIWELVQSLDNEKICYDHFTFRTLKVDGYGIDSLSSFFMDYGYKIGGGLDFPKKKLRVLWFSPPDVHVPNDGHGLGNGPFPRLVIAEVLVDELTPESQGIIRKYLKPGGGKQAVLSSTLGSLIWEKPTWTDFKQLAKESEIAAWTLIHGYTMNHLAFAVHRFKHRFSDIKFVKQHLEEKGYKLNSDGEILKVSQDGLLFQVSSISERLPVTFADGVTETIPASYIEFTQRQVLPEFKDVPHDEIKEFHRREAFELDNANHVMESTRFTTKF >scaffold_102811.1 pep chromosome:v.1.0:1:10947919:10948388:1 gene:scaffold_102811.1 transcript:scaffold_102811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPSLFAVSPAAAAANLSDDPDLTIVGFLKSPDSLPLYLLIAMIVYIIQLRYCNCRFKKKTKPLKKEILKSLPKLTFSPECGVRLCSSRCVICLEDYVVGDIVRVLPHCGHEFHAFCIDKWFQLGSLVLAVASRLFITHF >scaffold_102812.1 pep chromosome:v.1.0:1:10948697:10951040:-1 gene:scaffold_102812.1 transcript:scaffold_102812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHAGVGLSRIFLLAGAGYTGTIMMKNGKLSDLLGELQGLVKGMEKSGEGSEGDSDVSDAIAAQVRRLAMEVRQLASQQHITVMNGVSGANLQALAVPAAALGALGYSYMWWKGLSFTDLMYVTKANMAAAVANLTKNLEQVSETLAAAKRHLTQRIQNVDDKVEKQIDLSKEINSQVIAARENINSLEMDLESLHNLITGLDGKLDTLEYKQDVTNVFMLHLYNYFGGKSTKLPEMEQLQLPVNQRARNLLADVETKGLKNLTDELFESNGTQVTTTVKQISLSKVNVKSRPLLSRAASARC >scaffold_102816.1 pep chromosome:v.1.0:1:10973527:10977492:-1 gene:scaffold_102816.1 transcript:scaffold_102816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYRDPMTDEDEDYPLKLTKKQINTTRRKAKALAFKQKFLLMLVILALETRLLNFKLDKFYRSLRDVCLTAIYSYPRIAEETIAKKCPFCCNTCNCSRCLGMNTTLDGINDEQTAEKEIEEAKILGMEFEEVKPQATNCLPDERLHCNICKTPIFDIHRSCSSCSSDISLTCCLEIRNGKLQACQEDVSWNYYINRGLEYAHGEKGKVIEMTNDKPSNEDRVKLPSMWKLLDLPETVMERCPCFNSHGHIDKANYKRLKAACREGSEDNYLYCPSVRDVQKDDLKHFQHHWVKGEPVVVRNALEVTPGLKLVVGWKETAENLTRIQNGTSNDIYLVQGTIHPREFFTSYTEGRYDCKDWPQVLTLKDQLLSKSFKDNSPRHWEEFLCSLPLKQYTHPGYGPLNLAVKFPESCLEPDMGPNTHPGYGPYGFAEEFGRGDSVTKLHCDFSVVPTTMKLNSFCRCWELFCSEANNEVLEQTSEEVEYIETDEGALWDIFRREDVPKLEKYLEKHHKEFRHMYCCPVTQSCIKVGHDFVSPENVSECFRLSNEYRLLPPNHDSKNDKFEIKKMIVFAMDHALKYLNQS >scaffold_102824.1 pep chromosome:v.1.0:1:11024829:11025963:-1 gene:scaffold_102824.1 transcript:scaffold_102824.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L10 [Source:UniProtKB/TrEMBL;Acc:D7KPN0] MGRRPARCYRQIKGKPYPKSRYCRGVPDPKIRIYDVGMKRKGVDEFPYCVHLVSWEKENVSSEALEAARIACNKYMVKSAGKDAFHLRIRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKALGTCARVAIGQVLLSVRCKDAHGHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRAEYTKLRQEKRIVPDGVNAKFLSCHGPLANRQPGSAFLSAGAQ >scaffold_102825.1 pep chromosome:v.1.0:1:11026158:11027905:1 gene:scaffold_102825.1 transcript:scaffold_102825.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KPN1] MTSSLSRNFVFRRKNLDALLSPQCQKLVEDLRSCRDIFEVSRIHGYMVKTGIDKDDFSVSKLLAFSSVLDIRYASSIFEHVSNTNLYMFNTMIRGYSISDEPERAFRVFNQLRAKGITLDRFSFITTLKSCSRDLCSSIGEGLHGIALRSGFMVFTDLRNALLQFYCVCGRINDARKVFDEMPHSVDSVSFSTLMNGYLQVSKKALALDLFRIMRNSEVPVNVSTLLSFLSATGDLGNLSGAESAHGLCIKIGFNLDLHLITALIGMYGKIGDIGSARRIFDCAIRKDVVTWNCMIDQYAKMGILEECVWLLRQMKYERMKPNSSTFVGLLSSCANSEAAFVGRSVADLVEEERIALDAKLGTALVDMYAKLGMLDKAVEIFNRMKDKDVKSWTAMISGYGAHGLAREAVTLFNKMEEENCKVRPNEITFLVVLNACSHGGLIMEGIRCFKRMVEAYSFTPKVEHYGCVVDLLGRAGQLEEAYELIRNLPITSDSTAWRALLAACRVYGNADLGESVMMRLAEMDEIHPADAILIAGTHAVAGNPQKSLDDELNKARKEAGYSAIEIE >scaffold_102826.1 pep chromosome:v.1.0:1:11031480:11032800:1 gene:scaffold_102826.1 transcript:scaffold_102826.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPN3] MENLEPGLQHLATAERAPEEHAAPAAPVPPPSAPIQPPAAPEAPAAPFLPPIAPVPPPAAPAAPVPPPAAPVLPRATPVQPLAAHEAPASPVPPPAAPVPPPAAPVQHLAVPEAPPHNPLEVARANLRMRIAAKAAARKKKLSAPFETPEARAARFKYHGSTSPVFIVPK >scaffold_102829.1 pep chromosome:v.1.0:1:11040136:11041644:1 gene:scaffold_102829.1 transcript:scaffold_102829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLSDELLGAFAPILVYWVYSGIYVGLSSLESYRLHSKVEEEEKNLVSKSNVVKGVLLQQFIQAIVAILLFTLFEYVVVRLQVTGSDAESSTQQSSILVLAGQCVIAMLVLDTWQYFMHRYMHHNKFLYKHIHSQHHRLVVPYAYGALYNHPIEGLILDTIGGALSFLISGMSPRTSIFFFSFATIKTVDDHCGLCLPGNVFHILFKNNTAYHDVHHQLYGNKFNFSQPFFVMWDRILGTYMPYSLEKRKDGGFEARPTKQVRD >scaffold_102834.1 pep chromosome:v.1.0:1:11068958:11071887:1 gene:scaffold_102834.1 transcript:scaffold_102834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGVAGKPKIDVIKAMPQVDENEVAKEVEDNASKSHDSVPGADRPTVKPGVAGKPKTNVIKAMPRVDENEVAKEVEDNASKSHDSVPGADRPTVKPGVVGKPKTDVIKAMPQVDENIVAKEVEENLRSPICCIMGHVDSGKTKLLDCIRGTNVQEGEAGVITQQISATYFPAENIRERTKELRAGAKLKLSGLLVIDTPGHESFTNLWSRGSNLCDFSIPVVDIMCGLEPQTLESLNLLRRRNVKFVVALNKVRLLVILLACVWYSLLSLMYGIKPPNFRWIRYVGGKKSKNAPLLKTMKQQSRDVVNEFNMRVDHVKTQFQEQGINCMLYYKNKEMEETICIVPTSAIRSQIFFGEGIPDPLMLLVLWAQKTMVEKLTYVDKVQCTVLEVKVIESHGITVDVVLLNGVLREADQIVVCGSQGPIVTTIRSLLTPYPMNETRVKGTYMAHREVKAAQGIKIAAPGLEHAIAGTTLHVIGPNEDMEEAKKNAMEDIESVMNRIDKSGEGVNIPNLYQFLTSSPNVESEDDVLENISEEDLLDGVPEDEDMLGEISEDEAGDALEEIVEDIVHEEAVEGPVGVHNIGDVLRAAWEKKERKNASKREKSPATKARIKAAKKKKAALKKAENRQAHKTNKGNYQGMKNAHATIFPKKLSHMPSRKQTLDSVRINLL >scaffold_102836.1 pep chromosome:v.1.0:1:11086962:11088399:1 gene:scaffold_102836.1 transcript:scaffold_102836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLEFKDEGSESVGWFIEKSLQLHKAPKLDGLIVEIGPHCPFDVDVGKWVENAVNRDVEDLDFKLLWTAEPTRFPKCLYTCDTLVYLTLSNQILVDVSSPASLPSLLYLSLHYVVYKDDGSLVRLLSSSPILKWLSVHRHENDNLKTFTVKVSSLESFYYDDNWLKNEVEDNEIDEVEVDAVEDNEVDEVVDNEVEVDEDDDLNGSLVIDSPALKNLQLYEVWDYCLIENMSFLDEAFINNVPNPDDKFLRSLSSVIHLYLYLTKSMVAITYPRSSSLFHVVACCNAIKFSRLIELHFHPDDLVDWLMPLIFLLQNSPQLKTLTIDNNFEGLPLSWNQPVSIPGCLLSHLEIFRWGDYGGREDEKQLMTYILANSKCLKTVEISLLATCNLEDIQEELESMPRVSTSSQLLISTKMDWRFND >scaffold_102837.1 pep chromosome:v.1.0:1:11099018:11099409:-1 gene:scaffold_102837.1 transcript:scaffold_102837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLNVTCFSYVHNFGDKFLRCLAFVIHLHLHLTKSVVACCNTIKFSRLIEFYFLPDDLVDWLEPLMILLRNSPKLKSLIIDTVNTLRL >scaffold_102838.1 pep chromosome:v.1.0:1:11104775:11105344:-1 gene:scaffold_102838.1 transcript:scaffold_102838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGYFSVEFEIRSPVEKFCQGYMEVAKPRNDKVSSDVREVLPSGKRKTQLRMEGFQISEWFRSLAKPLAYSTSDMAKSRNPDYYTKLEGTITVIHTEGIEGGRAIWTLQYEKISSDIKDTLFIIDITTIYFKQIDERIFSNL >scaffold_102841.1 pep chromosome:v.1.0:1:11127659:11130853:1 gene:scaffold_102841.1 transcript:scaffold_102841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLEFKDEGSESVGWFIEKSLQLHKAPKLDGLIVEIGPHCPFDVDVGKWVENAVNRDVEDLDFKLLWTAEPTRFPKCLYTCDTLVYLTLSNQILVDVSSPASLPSLLYLSLHYVVYKDDGSLVRLLSSSPILKWLSVHRHENDNLKTFTVKVSSLESFYYDDNWLKNEVEDNEIDEVEVDAVEDNEVDEVVDNEVEVDEDDDLNGSLVIDSPALKNLQLYEVWDYCLIENMSFLDEAFINNVPNPDDKFLRSLSSVIHLYLYLTKSMVAITYPRSSSLFHVVACCNAIKFSRLIELHFHPDDLVDWLMPLIFLLQNSPQLKTLTIDNWVENAVNRGVKYLDFKLLWTADPTSYPKSLYTCDTLVSLTLSNQILVDVSSPASLPSLLYLGLHYVVYKDEDSLVRLLSSSPILKWLCVHRHEDDNLKTFTVKVSSLESLYYDENNEVDEVVDNEVEFDEDDDLNGSLVIDSPALKILNLYEVWDYCLIENMSFLDVAFISNVPYPDDKFLRSLSSVIHLYLLFTKSMVACCSAIEFSRLIEFYFLPDSLVDWLMPLMFLLQNSPKLKTLTIDNTVEISLLAT >scaffold_102851.1 pep chromosome:v.1.0:1:11166448:11166985:-1 gene:scaffold_102851.1 transcript:scaffold_102851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFLKKPQITEVDRVILSLKTQRRKLGQYQQKLEKVIEAEKQAARDLIREKRKDRLLLALRKKRTQEELLKTTSFGLFPNTQLLRFIN >scaffold_102858.1 pep chromosome:v.1.0:1:11196204:11196759:1 gene:scaffold_102858.1 transcript:scaffold_102858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTYTLLCIRSFMFLLCFSIAFGRKNLEDKLGDTLFAPKPVEATELRAALVTNSEATDISSSIGLGEVGSQEMHHIYIETSKDSSLGNKQDNINSVPRKIIRGTVSISFSFPIIKIQVPIPKPNITVADINQFNEEEMSKGIVITPTKTNEFGISLGNDGAELGYSYQ >scaffold_102861.1 pep chromosome:v.1.0:1:11206536:11206807:1 gene:scaffold_102861.1 transcript:scaffold_102861.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQM6] MTRSRYLFAFSSFKLDLLGEAEAALLTSEDYVEESADPPTCHADYRWYNA >scaffold_102863.1 pep chromosome:v.1.0:1:11209013:11209241:-1 gene:scaffold_102863.1 transcript:scaffold_102863.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQM8] MRVSSQPDRGQTTRYKLGIAERRADNAHLQSDFSDSIREASHGRQPLAMYNLLPAISCNAS >scaffold_102864.1 pep chromosome:v.1.0:1:11209780:11213827:-1 gene:scaffold_102864.1 transcript:scaffold_102864.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T24P13.6 [Source:UniProtKB/TrEMBL;Acc:D7KQM9] MADQSLLHSPIKPHFFKPLLQGFRTHLNIPVAFFSKHVEGKNDQNKIAKLRSDASEKTWLVKMDGLNLTDGWEDFAFAHDLRIGDIVIFRHEGEMVFHVTALGPSCCEIQYYTSSHNSNDDDRNDQTNIASRNSERVKKNPRKKVESSLDHSRFVAKVSAWGLRNDRLYIPLSFARSNGLNKINSKKIYLYNEAGRSWKLDLKHDKSGMHTYIQSGWRSFCAANGIRQGQYTFKLVRKSAPSLIRLCREKAKPKQRSVAESSSDQSCFEGSVSPSSLRNNQLFLPRSFVSSNSLEKRCSEIVLKNEQGIKWPSVLKHYKTVTYLTKGWTSFCQVNRIKAGDSYKFKLVGTWTKPVLSLCPTNSNHDKTPLECPNDVKTLSSNPRSGDDSSKSKEIEEENIEDKNISKTCLEIKKRKYWSRCRASVENMDDDQTNIGNSSRKNVESSSYHSSFEGSVNPSSLYKDQLYLPRNFVSSNGLDKRCSEIVLKNERGEKRTLVLKHFKQNLTFLKKGWTSFCQVNRIKAGDSFKFKLVGTWNKPVLTLCPTVSNHHKTPLECSEGNKSEENEEEDIKDKNTSQDCLEVKKRKYWSKCRASAENMDDDQTNIGSSSRKKRVKKNPIKKADSSSDHSSFVANVTASSLNCDRLYLPLGFARSNGLDKMSGKKVVLLNEEGRTWKLNLKYNKAGNQTYVRPGWKRFCDANGMSQDQQFTFKLVQKHGPPVMRLYLAEHRPKSESSSHGSYLVGSVTASSINKDKLYLRKSFVSSNGLDKGCKKIVLKNEWGREWNLVFRHYKSHCFTIIKRGWASFCQGNGLKAGDSFKFKLVGTGEKPVLSLCPAESSCECPDGSDDVKSLSSNSSSGDDSSRSEEVEEESMEDKNISQDCLEIKKRKYCCSSSYSQNRFVTLTLTLSAFQTYKLYLPRGFTQVNGINKPRKITLLGQDGVKRVVDLYQDKISGTMRFGKGWRESCEAQGVKIDESFVLELIWEKEASPVLKFCTKLNSA >scaffold_102865.1 pep chromosome:v.1.0:1:11215370:11215937:1 gene:scaffold_102865.1 transcript:scaffold_102865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACCVFLGSILLAIILLIFFYPPDLPKVSLSSLTVTKFNSTGDHISGYLNMQFQVLNPNLVTDFFYHDVNCSVYHDKDHLASTMSPGFVHPARETRQISVTVHLTPGTTARGIGDDLATYDFTEFDVKLTILVKSLFRSSEFVEVSCDDVTVGLLPTIGGHGKMIGPARICQVS >scaffold_102879.1 pep chromosome:v.1.0:1:11255919:11256210:-1 gene:scaffold_102879.1 transcript:scaffold_102879.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQP3] MITNNLILLHHMLLDYGLLYHNDRTYAFLIIVTVVMLLSCLQLIHIFVFFVYQVVFLFHVVKLLQIQQPLGRAIHLQEHLLD >scaffold_102887.1 pep chromosome:v.1.0:1:11280848:11282391:-1 gene:scaffold_102887.1 transcript:scaffold_102887.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KQP9] MAIAVVSSRRMINIGNPIWRFFMLKHRFFSTELTPTTITPINQDHLLRVCTILYQQQNSPDSRLVSKLSSTKFQLTHEFFLQVCNNFPLSWRPVHRFFLYSQIHHPDFVHTSTTSNKMLAIIGNSRNMDLFWELAQEIGKHGLVNDKTFRIVLKTLASARELKKCVNFFHLMNDFGYLYNVQTMNRGVETLCKEKLVEEAKFVVIKLREFIKPDEITYRTMIKGFCDVGDLIKAAKLWNLMMDDGFEVDIEAGKKIMETFLKKNQFDEASKVFHVMVSKRGCDLDGCFYRVMIDWLCKNGRIDMARKVFDEMRERGVQVDNLTWASIIYGLLVKRRVAEAYKTVEAVENPDISIYHALIKGLVKIKRASEATEVFRKMIQRGCEPIMHTYLMLLQGHLGRRGRKGPDPLVNFDTIFVGGMIKAGKRLETTKYVERTLKRGLEVSRFDYSKFLHCYSNEEGVFMFEEMAKKLREVSLFDLADIFQRYGEKMTTRERRRDRE >scaffold_102891.1 pep chromosome:v.1.0:1:11291192:11291522:-1 gene:scaffold_102891.1 transcript:scaffold_102891.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQQ3] MFLSLFSLFYFSERKKLFRSNFAGGCSPVNFVSFDRRVSRLAAPARSAVWAGFASGVDGFVSIFVRLCFRHLWSACFRHGAACIAAVVGFFVIPTA >scaffold_102892.1 pep chromosome:v.1.0:1:11292416:11293547:1 gene:scaffold_102892.1 transcript:scaffold_102892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPLFLLSFMVTYSNAAVCVCKDASELDLQKVIDFACGGGADCTQIQTTGACYQPNTVKNHCDVAVNSYYQKKASTGATCDFNGAAVISTSPPSTTSNCLSSSSSNGTPTAGYPPTGNSTTATPGTTNPTTGNSTSSTLPTDDKPTSSTIAFPNTTMGPSSSTSGFGVGDPNGGEELSVRTTMIVLLTTIAAVALRV >scaffold_102901.1 pep chromosome:v.1.0:1:11336749:11336986:1 gene:scaffold_102901.1 transcript:scaffold_102901.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQR1] MASVTGFSGLVRLRRHPMKILTISSSLILTTPSLVVWKKANAGPTRSRSRSLNQRIDFSAEPLYF >scaffold_102909.1 pep chromosome:v.1.0:1:11380400:11383847:1 gene:scaffold_102909.1 transcript:scaffold_102909.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CAL description:Transcription factor CAULIFLOWER [Source:UniProtKB/Swiss-Prot;Acc:D7KQR8] MGRGRVQLKRIENKINRQVTFSKRRAGLLKKAQEISVLCDAEVSLIVFSHKGKLFEYTSESCMEKVLERYERYSYAERQLIAPDSHINAQPNWSMEYSRLKAKIELLERNQRHYLGEDLEPMSLKDLQNLEQQLETALKHIRSRKNQLMYESLNHLQRKENEIQEENSMLTKQIKERENILRTQQTQCEQLNRNHDVPPPQPQPFQHPHPYMISHQTSPFLNLGGMYQGEDQTAMRRNNLDLTLEPIYNYLGCYAA >scaffold_102912.1 pep chromosome:v.1.0:1:11396968:11397731:-1 gene:scaffold_102912.1 transcript:scaffold_102912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDVVPIPTNANYVAFDDLRLGRSTQQVVGRLLRFWDARNIKKDGQFMGIVLLLLDEKCSVIHAFIPAALVSQFRQVLREGSIYNISGFEVGRCTKLYKITDHPFLLRFLPATTTVELSDVGPTIEREQFMLRNFDNLQALANTNIELPDVVGQISFIQGSNLNDPTSTQRLVLRYRIDTLTERRFVAK >scaffold_102915.1 pep chromosome:v.1.0:1:11412690:11413617:-1 gene:scaffold_102915.1 transcript:scaffold_102915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLLLHHLTSTVLHHRLHMSTNLLHLLLMSIALHHLLHMFTSLLHHLHTSTILHHHLHMSTNLHHRLLMCTAHHHPLLMFTNLLHHLPTSIARHHHLHMSTAHHRLLHMYTSLHHHLLMSTARHHLLHMFTSLHHHLHMSTARHRLLHMYTSLHHHLLMSTARHHLLHMFTSLLHHLLTSIAILHPRLHMFTSLLRMSIATLHRRLHMFTSLLRMSITTLHRRPHMFTSLLHMYITTLHRRLHMFTSLLHMSMVTLPRRLHMFTSLLHMSIVLHHHRLTIALPLHQYTNHIYT >scaffold_102916.1 pep chromosome:v.1.0:1:11415789:11416458:-1 gene:scaffold_102916.1 transcript:scaffold_102916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATCRHAEVVVESCRCKEEVVATCRHTEVVVESCRRKEEVVATCTHTEVVVEICRRMEEEGETCKHMEEAVESCRHMEEEGDTCKHMEEAVESYKCKEEVVATCKHMEEAVESYRHKEVVVETCKHMVEVEENYKHTEEVVKTCKHMEEAVENYKHKEEEVATCKHMEEAVESYRHKEVVVETCKHMVEVEENYKHTEEVVKTCKHISX >scaffold_102917.1 pep chromosome:v.1.0:1:11419420:11422350:1 gene:scaffold_102917.1 transcript:scaffold_102917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSALPFSDRTFRKKPSSSNFFLRARAAAKEVHFNRDGAVTKKLQAGADMVAKLLGVTLGPKGRNIVLQNKYGPPKIVNDGETVLKEIELEDPLENVGVKLVRQAGAKTNDLAGDGSTTSIILAHGLITEGIKVVSAGTNPIQVARGIERTAKALVLELRSMSREIEDHELAHVAAVSAGNDYEVGNMISNAFQQVGRTGVVTIEKGKYLVNNLEIVEGMQFNRGYLSPYFVTDRRKREAEFHDCKLLLVDKKITNPKDMFQILDSAVKEAFPVLIVGEDIEQDAPAPVIRNQLKGNLSVAKAVEERVSQIKNLIQNTEENFQRKILNERVARLSGGIAIIQVGAQTQVELKDKQLKVEDALNATKSAIEEGIVVGGGCALLRLATKVDRIKDTLDNTEQKIGAEIFKKALSYPIRLIAKNAGTNGNIVIEKVLSNKNPMYGYNAAKNQYEDLMLAGIIDPTKVVRCCLEHAASVAQTFLTSDCVIVEIKEIKPRPIINPPLPTSSPATSSMFPDRKLPSFPQIMPRTRSHFPRK >scaffold_102921.1 pep chromosome:v.1.0:1:11431917:11433275:1 gene:scaffold_102921.1 transcript:scaffold_102921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKLLSRDWDHESYPAFSDLWVLIFFAPFFLFLRLILDRFIFERVARRLVVPRGHYGDSNERRKKIVKFKESAWKCLCSFSVEALALYVTYKEPWFKDTRCFWLGPGDQIWLKMKGMYMFVGGLNVYAFFALFFWETRRSDFKVMLVHHIVTSFLIILSYVFRFARIGSVILALHEISDVFLEIGKMCKYSGAEAMTSVSFVLFFLSWTALRLIYYPFWILWSTSYESIKVKMEYWDKKNLMETGPNLMVFYYVFNTLLYCLQILHIYWWILIYRVLISQIRAKGKVAKDIRSG >scaffold_102923.1 pep chromosome:v.1.0:1:11441065:11442768:-1 gene:scaffold_102923.1 transcript:scaffold_102923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLKTIHHQSTITQFYRFAPTRSFPSSSYSSVSLSPQRPIISLVSISNHRRCSAFSSVSGASIYGNQEDGKKEESERNYASAEEGDEVVYQKTLRLVECAMFAAVTGLVYFLSNSLAIENYFGCFFSLPIVISSIRWNIAGGRKTMVATVMLLFILSGPVKALTYFLTHGLVGLALGSLWRMGASWRLSIFVCTMVRALGLIGYVLTSSFLIRENILAVITINIHASLSYVFTAMGLNIMPSMSLIYMIFGTVLLLNSGFFVLLLHLLYSIFLTRLGMKSSLRLPAWLDKAI >scaffold_102929.1 pep chromosome:v.1.0:1:11464582:11469255:-1 gene:scaffold_102929.1 transcript:scaffold_102929.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7KQT3] MATVSGRRRKRKIQFSKLFTLTGAKACFKPDHSKIGRSGFSRVVFCNQPDSPEAESKNYCDNYVRTTKYTLTTFLPKSLFEQFRRVANFYFLVVGILSFTPLAPYTAVSAIVPLTFVILATMFKEGVEDWRRKQQDIEVNSRKVRVHRGNGNFDLREWKTLRVGDILKVEKNEFFPADLVLLSSSYEDAVCYVETMNLDGETNLKLKQGLEVTLSLREELNFRDFDAFIKCEDPNANLYSFVGTMDLKGAKYPLSPQQLLLRGSKLRNTDYIYGVVIFTGPDTKVVQNSTEPPSKRSMIERKMDKIIYLMFLMVFSLAFFGSVLFGISTRDDFQNGVMKRWYLKPDDSSIFFDPKRAPMAAIYHFLTALMLNSYFIPISLYVSIEIVKVLQSIFINQDIHMYYEEADKPAHARTSNLNEELGQVGTILSDKTGTLTCNSMEFIKCSIAGTAYGRGVTEVEMAMDRRKGSALVNQSNGNSTDDAVAAEPAVKGFNFRDERIMDGNWVTETRAGVIQKFFQLLAVCHTVIPEVDEDTGKISYEAESPDEAAFVIAARELGFEFFTRTQTTISVRELDLVTGERVERLYKVLNVLEFSSSRKRMSVIVQDQDGKLLLLCKGADSVMFERLSESGRKYEKETRDHVNEYADAGLRTLILAYRELDENEYEVFTERISEAKNSVSADREALIDEVTEKIEKNLVLLGATAVEDKLQNGVPDCIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRRDMKQIIINLETPEIQQLEKSGEKDAIAAALKENVLHQITSGKAQLKASGGNAKAFALIIDGKSLAYALDEDMKGIFLELAIGCASVICCRSSPKQKTLVTRLVKTGSGQTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRIAKMICYFFYKNITFGFTLFLYEAYTSFSATPAYNDWYLSLYSVLFTSLPVICLGIFDQDVSAPFCLKFPVLYQEGVQNLLFSWRRILSWMFHGFCSAIIIFFLCKTSLESQAFNHEGKTAGRDILGGTMYTCVVWVVSLQMVLTISYFTLIQHVVIWGSIVIWYLFLMVYGSLPIRVSTDAYMVFLEALAPAPSYWITTLFVVLSTMMPYFIFCAIQMRFFPMSHGTIQLLRYEDQCSNSGNFEMGRQRSVRPTLVMRSHQPES >scaffold_102930.1 pep chromosome:v.1.0:1:11470039:11471281:-1 gene:scaffold_102930.1 transcript:scaffold_102930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMICDLPPELVGEIFTKIPITSLRTVRSTCKLWNALTKEWVLGKAVARQPCAGFMTRYSRVLSVRFDLQGIRKTDGELVDPSRKQLDVFNQVEIIKVFHCHGLLLCILKDKSRLVVWNPYLGQTRRIRPRTDFHRHDRYALGYDINHNHKILRFLDDDHKNLLEYEIYDLSSKSWRVLKITPDWYVNFNHRGVSVNGNTYFFGHEKEGPSWKDFLLCFDFTKERFGPRLPLPSGEPYNEETVTLSCVREEQLAVLYEMDCHDVILEIWVTTRIESNALSWSKFLKVDMTPHLVSDSNDRFTARFNEEIGSFFIDEEEKVAVVFGVDGYVKTKTTGCPTAFISGDDGYFKTVSLRGAPNVKEPSCKKSRTECFPPLVCSSFYLPSLVQINKPRKRKKRDN >scaffold_102931.1 pep chromosome:v.1.0:1:11471570:11473077:1 gene:scaffold_102931.1 transcript:scaffold_102931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKKTAPATSKATSSDEDSDEDSEDDKPPQKKAKADTRQSSSDDSSDNESDGEANKKAMPPSSTGGTKTLFMGNLASNVEKSDIEKFFETAGRVVDVRLVTSKKDGSFMNYGYAEFSSSEEAQKALVEFQRKELLGRKIRLDVSVDMGPDGRVLRTPDR >scaffold_102938.1 pep chromosome:v.1.0:1:11506310:11507264:1 gene:scaffold_102938.1 transcript:scaffold_102938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKDVQNLTPPDMRMRNLVEMAARFVSRHGSEYEKSIMNIKPHDERINFNFLKSSEDPLHGYYKQKLTEYQDDTNTEFIESPATSSRRYLIKTVAHLISRKGLEDEREMMDSFINKPGSFGFLKSSHRHHAFFRKMLTECRSRNQQNGANQGYDAAATDEKVLVKPQDQFIRLPGLMAICLPKGMKIKEFNTMKLTAQFAAWYGNRFWLKLRKIPGFEFTNETDSNFDCFFRFLLEYSKVFTPPKDLGEKMRKSDAYAAAIQDGFFRLLQWDVFQPLEWREGGVMSMVNWHASLEKDFANE >scaffold_102939.1 pep chromosome:v.1.0:1:11510706:11513379:1 gene:scaffold_102939.1 transcript:scaffold_102939.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC008 [Source:UniProtKB/TrEMBL;Acc:D7KQU3] MAGRSWLIDSNRIATKIMCASASSDPRQVVWKSNPTRHCPNCQHVIDNSDVVDDWPGLPRGVKFDPSDPEIIWHLLAKSGLSGLSSHPFIDEFIPTVNQDDGICYTHPKNLPGVKNDGTVSHFFHKAIKAYSTGTRKRRKIHDDDFGDVRWHKTGRTKPVVLDGVQRGCKKIMVLYGGKAVKTNWVMHQYHLGIEEDEKEGDYVVSKIFYQQPQQLVVKQGDKVDQDVSEDIFAAMTPKADPVTPKLATPEPRHAVRICSDSHIASDYVTPHDYVTAHEVSLAETSEVMYMEDEVQSIQANHERLSSEYEPEPELEPEPETGLENGAKEMIDDKEEQEKDRDDENQAEEEPTWFDNESQFILNSQQLVEALSLCDDFLQVGSQDREENPNSGSLKNKQPCFADYADLGTEDFKRDLEECQKIVLDPSNIELDTPPEFRLSQLEFGSQDSFLAWGTGKTD >scaffold_102941.1 pep chromosome:v.1.0:1:11527741:11528860:1 gene:scaffold_102941.1 transcript:scaffold_102941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSCVDDSSTTSESLSISTPKPTTTTEKLSSPPATMRLYRMGSGGSSVVLDSENGVETESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEEEAATSYDIAARRFRGRDAVTNFKSQVDGNEAESAFLDAHSKAEIVDMLRKHTYADEFEQSKRKFVNGKGRHCGSETAMQGNDAVLRAREVLFEKTVTPSDVGKLNRLVIPKQHAEKHFPLPATTTAMGMSPSPTKGVLINLEDRTGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLRAGDVVCFERSTGPDRQLYIDWKVRSSPVQTVVRLFGVNIFNVSNEKPNDVAVECGGKRSREVDLFSLGCSKKQAIINVL >scaffold_102946.1 pep chromosome:v.1.0:1:11550654:11552154:1 gene:scaffold_102946.1 transcript:scaffold_102946.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7KAH8] MSPPHCSFLFFFVFFLTSHSFVFSRILPKTSVTTTSILNVADSIHRTKYTSSFRLNQQEEQTHSRSSSFSLQLHSRVSVRGTEHSDYKSLTLARLNRDTARVKSLITRLDLAINNISKADLKPVTTMYTTTEEEDIEAPLISGTTQGSGEYFTRVGIGNPAREVYMVLDTGSDVNWLQCTPCADCYHQTEPIFEPSSSSSYEPLSCDTPQCNALEVSECRNATCLYEVSYGDGSYTVGDFATETLTIGSTLVQNVAVGCGHSNEGLFVGAAGLLGLGGGLLALPSQLNTTSFSYCLVDRDSDSASTVEFGTSLPPDAVVAPLLRNHQLDTFYYLGLTGISVGGELLQIPQSSFEMDESGSGGIIIDSGTAVTRLQTGIYNSLRDSFLKGTSDLEKAAGVAMFDTCYNLSAKTTIEVPTVAFHFPGGKMLALPAKNYMIPVDSVGTFCLAFAPTASSLAIIGNVQQQGTRVTFDLANSLIGFSSNKC >scaffold_102955.1 pep chromosome:v.1.0:1:11592336:11592549:-1 gene:scaffold_102955.1 transcript:scaffold_102955.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KAI6] MRKNPSQIHRKSPPDQRRPQATDPSRPQQPHNGSSTLHAPPLLLEPPTRAQICHGG >scaffold_102957.1 pep chromosome:v.1.0:1:11605565:11605939:-1 gene:scaffold_102957.1 transcript:scaffold_102957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKERKRQVPEEKEEEREMEVEVVVVDALAAAATVAAAAAVEEEEELWGMRLKAGDDVVDELMTWSTVWLPSCWDVEFVEKNYGVLYNDVVWDDDLWNLNPSTQDNIRQS >scaffold_102959.1 pep chromosome:v.1.0:1:11612887:11614868:-1 gene:scaffold_102959.1 transcript:scaffold_102959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNQLFNRGIFGAKCKTSLNLAIARMKLLQNKRDMQLKHMKKEIAQFLQAGQEPIARIRVEHVIREMNLWAAYEILELFCEFVLARVPILESEKECPRELREAIASIIFAAPRCSEVPDLLQIKNLFGTKYGKEFIMVASELRPDSGVNRTIIEKLSPTSPSGEARLKMLKDIAQEYSLKWDSSATEAEFMKSHEDLLGGAKQIHRQDGISESRPSQQGYSQSSVSREAESLPAEATQRFQKLQAQNPVSKNMPSSKLTSASQAPPDTRQDQTDVMERARAALASADRAAAAARAAAQLVNVSYGAPTPTVAAEGKSLNLT >scaffold_102960.1 pep chromosome:v.1.0:1:11616010:11616926:1 gene:scaffold_102960.1 transcript:scaffold_102960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPIINRISDFDVGINSINDPSYLSRALAVSGVGKLHQAYSFWKWGALLLLAFFASFTSLTTRIKALVFRLRNVNVSLPSPTLLCNYDSDSDWSFASDSSDEDDDDDKEDESVNGDLRVKRFSYFHDDDDKAINGNVPWLRRCSGSFGDLLDLGSSGVVKLWDNLDFNGEGSHHNAVASFLSKCGSYSLLSSTVLLAAEKKGSDGLEVSAWDARVGFGVPALLAEWKQPGRLLGKIIRVDVGDVDKIYVGDDVGGEITVGDMRMVNGALTELTESEVEGMVRRRRRRRRH >scaffold_102961.1 pep chromosome:v.1.0:1:11620748:11622957:1 gene:scaffold_102961.1 transcript:scaffold_102961.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KAJ2] METVSVLLFFFLFLLVAEARSTYRRGCDDFTCGEFDFKFPFFSTTMPSRCGLFKLNCSANVSEIQLVEDGRWYKVKSVSQANTITITDPRLNQSLTTGSCSDLSSFSIPDSPWLNLTTLYKCNNSSRKNGFSYANCRGEGSSLYYNLTDGHDASGCSPIKTPESWVTPRNGNQSDVNATFSLHIELPGGCFRCHNNGGECKMIKDKFHCDGGTKEQKDYHQEMRLGLAIGGPVILIIILVALFAIIHRNYRRKDGSELSRDNSKSDVEFSHVFFKIPIFSYKELQAATDNFSKDRLLGDGGFGTVYYGKVRDGREVAVKRLYEHNYRRLEQFMNEIEILTRLHHKNLVSLYGCTSRRSRELLLVYEFIPNGTVADHLYGENTPHQGYLTWSMRLNIAIETASALAYLHASDIIHRDVKTTNILLDGNFGVKVADFGLSRLLPSDVTHVSTAPQGTPGYVDPEYHRCYHLTDKSDVYSFGVVLVELISSKAAVDISRCKSEINLSSLATNKIQNHATHELIDQNLGYATNEGVRKMTTMVAELAFQCLQQDSTMRPTMEQVVQELKGIQNEEQKCHTNDHREETITLHPSPPDWGEAALLKNMKFPRSPISVTDQWTSKSTTPNTSAYEF >scaffold_102981.1 pep chromosome:v.1.0:1:11694962:11696133:-1 gene:scaffold_102981.1 transcript:scaffold_102981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWKRASGALKDRKTLFSIGFSRKTSFRNPDLDSAIIHATSHDDSSVDYHNAHRVYKWIRSSPANLKPLVHALSSRVNRTRSWIVALKALMLVHGVLCCKVTSLQEIRRLPFDLSDFSDGHSRPSKTWGFNAFIRAYFSFLDQYSFFLSDQIRRRHKKPQLDSVNQELERIQKLQSLLHMLLQIRPMADNMKKTLILEAMDCVVIEIFDIYGRICSAIAKLLIKIHPAAGKAEAVIALKVVKKATSQGEDLALYFEFCKEFGVSNAHEIPKFVRIPEEDIKAIEKVINGVEEEEETKKEEQVEEEKSIILVERPELQTIITDKWEIFEDDFCFTCKDIKETDQHRKCNVDPSPLPLIVMDEPVYFTHTLPDLITF >scaffold_102992.1 pep chromosome:v.1.0:1:11743001:11746357:1 gene:scaffold_102992.1 transcript:scaffold_102992.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g68060/T23K23_9 [Source:UniProtKB/TrEMBL;Acc:D7KAK3] MSDVSGDGDVSATVTEHEVTPQPPVSSATYPSLTVSASYKESGGGKSSSKRRPIRPSFDAAADNEFITLLHGSDPVKVELNRLENEVRDKDRELGEAHAEIKALRLSERQREKAVEELTEELAKLDEKLKLTESILESKNLEIKKINEEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARSEIGKLQEDNRALDRLTKSKEAALLEAERTVEAAMAKAAMVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKFQEMNEERKTLDRELARAKVTANRVATVVANEWKDGNDKVMPVKQWLEERRFLQGEMQQLRDKLAITDRAAKSEAQLKEKFQLRLKVLEETLRGTSSSSTRNTPEARSMSNGPSRRQSLGGAENLQKFPSNGALSKKAPASQMRHSLSINSTSVLKNAKGTSKSFDGGTRSLDRGKALLNGPGNYSFNKATDDSKEAESGNGWKENSEEKPQSEDPEATTEDSVPGVLYDLLQKEVVSLRKASNEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVAAMRVEKDQDARAKRFSNSKSPSNTAQILAGRAAGRSGGLTKSTQ >scaffold_10300001.1 pep scaffold:JGI8X:scaffold_103:5486:7217:1 gene:scaffold_10300001.1 transcript:scaffold_10300001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP70T-2 [Source:UniProtKB/TrEMBL;Acc:D7MWD6] MAEQEYTVASDSENTGEEKSSSSPSLPEIAVGIDIGTSQCSIAVWNGSQVHILRNTRNQKLIKSFVTFKDEVPAGGVSNQLAHEQEMLTGAAIFNMKRLIGRADTDPVVHASKNLPFLVQTLDIGVRPFIAALVNNAWRSTTPEEVLAIFLVELRLMAEAQLKRPVRNVVLTVPVSFSRFQLTRIERACAMAGLHVLRLMPEPTAIALLYAQQQQMTTHDNMGSGSERLAVIFNMGAGYCDVAVTATAGGVSQIKALAGSPIGGEDVLQNTMRHIAPPTEEASGLLRVATQDAIHRLSDQENVQIEVDLGNGNKISKVLDRLEFEKVNQKVFEECERLVVQCLRDARVEVGDIDDVIMVGGCSYIPKVRTIIKNVCKKDEIYKGVNPLEAAVRGAALEGAVTSGIHDPFGSLDLLTIQATPLAVGVRANGNKFIPVIPRNTMVPARKDLFFTTVQDNQKEALIIIYEGEGETVEENHLLGYFKIVGIPPAPKGVPEINVCMDIDASNALRVFAAVLMPGSSTPVVPVIEVRMPTVDDGHGWCAQALNVKYGSTLDLITLQRKM >scaffold_10300004.1 pep scaffold:JGI8X:scaffold_103:12958:14213:-1 gene:scaffold_10300004.1 transcript:scaffold_10300004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGHIARESSDIKRLAEFYKEVFGFEEIESPDFGDLKVIWLNLPGAFAMHIIQRNPSTNLPEGPDSATSAVRDPSHLPMGHHICFSVPNFDSFLHSLKEKRIETFQKSLPDGKVKQVFFFDPDGNGLEVASRS >scaffold_10300006.1 pep scaffold:JGI8X:scaffold_103:18885:19683:1 gene:scaffold_10300006.1 transcript:scaffold_10300006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIREVVDDFPFVAMDTEFPGIVCRPVGTFKTNTEYHYETLKTNVNILKMIQLGLTFSDEKGNLPTCGTDNKYCIWQFNFREFDLESDIYATDSIELLRQSGIDFAKNNQFGIDSKRFAELLMSSGIVLNENVHWVTFHSGYDFGYLLKLLTCQNLPETQTGFFEMISVYFPRVYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTSCTFRKLQENFFIGSMEKYSGVLYGLGVENGQIVH >scaffold_103003.1 pep chromosome:v.1.0:1:11786256:11786521:-1 gene:scaffold_103003.1 transcript:scaffold_103003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKANHGDDGHESVPPIHSQVVKIKREFEKIQHPSLKQPEMPLVLREIMGPRRSRSPLGLGERERSIPVGN >scaffold_103004.1 pep chromosome:v.1.0:1:11800629:11801586:1 gene:scaffold_103004.1 transcript:scaffold_103004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAIMRLEGAEHRETNNHSLKRKPSRPSLTAPGSPGGVTTAKAASGAGASGGSTIRYRGVRRRPWGRYAAEIRDPLSKERRWLGTFDTAEEAACAYDCAARAMRGLKARTNFVYPMPSLDSYHHRIFSSPPMNMFLLRDVLNSQSLSPLTTFAYPPCNLPNVNDVVHESFTNVNDVSEDLSPKAKRSSTIENESLISNIFEPEPAGSGLLQEIVQGFLPKPISQHDSIAPKSNQPSVGVFPTMPETGFQTNVRLPDFNVEGNGFGQVKYHGELGWADHEREFDSAKMQQNGNGGMFYQYCFHDY >scaffold_103005.1 pep chromosome:v.1.0:1:11803265:11803560:1 gene:scaffold_103005.1 transcript:scaffold_103005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKGIMETEASIAAMGLGGLPRQTSITKNNCICSPTTHPGSFRCKLHRTPSLQRTKSVETNILQNLVSKPDDSSSTGDEAAK >scaffold_103007.1 pep chromosome:v.1.0:1:11805975:11806428:-1 gene:scaffold_103007.1 transcript:scaffold_103007.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F21J9.24 [Source:UniProtKB/TrEMBL;Acc:D7KAL6] MGLSHFPTASEGVLPLLVMNTVVSVTLLKNMVRSVFQIVASETESSMEIEHEPEEDFVRRRISITHFKSLYENRGEEEEEEEEEERGVECCVCLCGFKEEEEVSELVSCKHFFHRTCLDNWFGNNHTTCPLCRSIL >scaffold_103010.1 pep chromosome:v.1.0:1:11814976:11815351:-1 gene:scaffold_103010.1 transcript:scaffold_103010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KAL9] MVMKKMRSGDNDDDNDGLSVGERQNSDPRTALPPPPSSASSPPTQIHAPPGQLPHPHGRKRAVIYGIVSLTTSSKAASTTPSACVTFSSTNSSSPQIQFSSIQPISLSLSP >scaffold_103011.1 pep chromosome:v.1.0:1:11815377:11815857:1 gene:scaffold_103011.1 transcript:scaffold_103011.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAM0] MSFPHGHRISSSSPPTRLQCLHLSLISSSLADFDLKIAKKIDFGQRRERKSILMPKNTMRGRCTEIDNFSSKKAQPDNLFFHLYNLQVEIRGFPSIGSTYNPCSKTRPRRPITRRLVARRQGTDRIRVKSDQKSEILKKLDFLFF >scaffold_103012.1 pep chromosome:v.1.0:1:11817038:11819019:1 gene:scaffold_103012.1 transcript:scaffold_103012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSKRSSRRNSQRYSQSASSSYGHSPHQNIHHYTVPAPVSSYSSGFQAPFLPQKNQGKKKFSQIGDYYRSIDEVTGALSHAGLESSNLIVGIDVTKSNEWTGASSFNRQSLHYIGTTPNPYQQAISIIGKTLSAFDEDNLIPCYGFGDATTHDQNVFSFYPDDTFCNGFEDVLSRYREIVPQLHLAGPTSFAPIIERAMTIVEESGGQYHVLLIIADGQVTRSVDTYQGGLSSQEQKTIDAIVRASAYPLSIVLVGVGDGPWDTMRQFDDNIPARAFDNFQFVNFTEIMAKNIDPGRKEAEFALSALMEVPSQYKATLELGLLGRRTGKSPNKIALPPPISATNPFLNNSSKTSWSSTVATAPPLSAASKERENCPICLVNTKNTAFNCGHQTCHECGQDIDKCPICRTTIAVRIKLY >scaffold_103014.1 pep chromosome:v.1.0:1:11828544:11829840:-1 gene:scaffold_103014.1 transcript:scaffold_103014.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7KAM3] MRVISKLFDSDRRRTPKSPKHLDSDESFTLSSSLSDVTTGSSSLHSSLSLQTLPSVPSLQKIPSTTVTVSHCVTSSFKLRERSLPVTCLAVNGGYLFAVSGHEVSIYDRDMCAHLDTFNGQDPFSGTVKSIGFSGEKIFTAHQDGKIGVWKLTAKSGYKQLTTLPTLNDRLRRFALPKNYVQVRRHKKRLWIEHADAVTALAVNNGFIYSVSWDKTLKIWRASDLRCKESIKAHDDAVNAVAVSTNGTVYTGSADRRIRVWAKPTGEKRHRLVATLEKHKSAVNALALNDDGSVLFSGSCDRSILVWEREDTSNYMAVRGALRGHDKAILSLFNVSDLLLSGSADRTVRIWRRGPDSSYSCLEVLSGHTKPVKSLAAVREKELDDVVSIVSGSLDGEVKCWKVSVTKPDNSFYTNLVQ >scaffold_103015.1 pep chromosome:v.1.0:1:11834751:11835151:-1 gene:scaffold_103015.1 transcript:scaffold_103015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQNVVVVVALVFMAILGLAAAASSPSPSASPSKAPAASKTDQVEAPVTDDQIGTTDDDAAPTPGDGDVAVAGPLGSDSSYANAPSGSADSADSGAAALGVSAVVVGVTSIAGSFLLF >scaffold_103016.1 pep chromosome:v.1.0:1:11836643:11836862:-1 gene:scaffold_103016.1 transcript:scaffold_103016.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAM5] MSLPGGSAARSTLTGGSGCFLTDSSFEDGGGPEVSCLRPRRVGRLRQLELVASGVVFGG >scaffold_103024.1 pep chromosome:v.1.0:1:11862510:11863983:-1 gene:scaffold_103024.1 transcript:scaffold_103024.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7KAN1] MYYQLTKSSYRDSLKILEADIEHANGLAAEIPMGKSGVRLQMKLVCSNLAPFFIFLLQWMDFSCLLPRYFDFFHILIYKVRADGRWNLSRYGRKSTIREFYGVILPSLERLHINFADLPDESLWYPNPKAITKKQYDIEGSRFMNSIDLEREDECGICLEPCTKMVLPNCCHAMCIKCYRNWNTKSESCPFCRGSIKRVNSEDLWVLTCDEDVVDPETVTKEDLLRFYLHINSLPKDYPEAAFLVYNEYLI >scaffold_103028.1 pep chromosome:v.1.0:1:11881149:11883157:1 gene:scaffold_103028.1 transcript:scaffold_103028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEMSASEVAAARQKNVDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSNLGWGPGVTIMVMSWLITMYTLWQMVEMHEIVPGKRLDRYHELGQHAFGEKLGLWIVVPQQLIVEVGVDIVYMVTGGASLKKVHQLLCSDCKEIRTTFWIMIFASIHFVISHLPNFNSISIISLAAAVMSLTYSTIAWTASVHKGVHPDVDYTPRASTDAGKVFNFLNALGDVAFAYAGHNVVLEIQATIPSTPEMPSKIPMWRGVVVAYIVVAICYFPVAFLGYYIFGNSVDDNILITLEKPVWLIAMANMFVVVHVIGSYQIFAMPVFDMMETVLVKKMNFDPSFKLRFITRSLYVAFTMIVAICVPFFGGLLGFFGGFAFAPTTYYLPCIIWLVLKKPKRFGLSWTINWFCIIVGVLLTILAPIGGLRTIIINAKTYKFFS >scaffold_103031.1 pep chromosome:v.1.0:1:11893216:11893462:-1 gene:scaffold_103031.1 transcript:scaffold_103031.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAN8] MAANNGITNLSNLLLLLFKNWLQRTNLATSFLISNNGRNLGITNLANLKPFKTCCKIQVKIVHSCKGD >scaffold_103032.1 pep chromosome:v.1.0:1:11896329:11897745:1 gene:scaffold_103032.1 transcript:scaffold_103032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSTATATSSSSSSTHYFSIFTNYPLISAVTSFTIAQFIKLFTSWYRERRWDLKQLIGSGGMPSSHSATVTALAVAIGLQEGFGGSHFAIALVLASVVMYDATGVRLHAGRQAEVLNQIVYELPAEHPLAESRPLRELLGHTPPQVVAGGMLGSATAVTGYLFFRIATS >scaffold_103033.1 pep chromosome:v.1.0:1:11897938:11901393:-1 gene:scaffold_103033.1 transcript:scaffold_103033.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2421 [Source:UniProtKB/TrEMBL;Acc:D7KAP0] MAILGLIKRVTRISINNSRVRVYPVRHFQSKDLSSTNSFNGQDAAKLPVLIVGAGPVGLVLSILLTKLGVKCAVVDKATSFSKHPQAHFINNRSMEIFRALDGLAEEIERSQPPVDLWRNFIYCTSLSGSTLGTVDHMQPQDFEKVVSPASVAHFSQYKLTNLLLKRLEDLGFHVRGSKDSDGIELDSVVARQILMGHECVAIDANKDSITATVSYLKGGKHMKRNIQCSLLVGADGAGSAVRKLTEIEMRGEKDLQKLVSVHFMSRELGEYLISSRPGMLFFIFNTDGIGVLVAHDLLQGEFVLQIPYYPPQQSLSDFSLEMCKMLIFNLVGHQLSDLDVADIKPWVMHAEVAEKFMCFENRVILAGDAAHRFPPAGGFGMNTGIQDAHNLAWKIAALVQGSAKSSILNTYETERRPIALSNTSLSVQNFKAAMSVPSALGLDPTVANSVHRFINKTVGSILPTGLQKAILDNVFALGRAQLSESILNESNPLGNQRLSRLKSIFEGGKSLQLQFPAEDLGFRYLEGAIVPDSKSEAGDPEVPSGRRRDYVPCAEPGSRLPHMYMKILSDSKRDVIVSTLDLVSTEKVEFLLIISPLQESYELARATFKVGKELMANVKVCVVWPSSDEGLERESNSAVAPWENYVDVMEVKRQNGEGTSWWSICKMSDRGSILVRPDQHIAWRAKSGITLDPTLHMRDVFSIILGKQ >scaffold_103035.1 pep chromosome:v.1.0:1:11909210:11914002:1 gene:scaffold_103035.1 transcript:scaffold_103035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLIPSGDINPLLDDESQRPRVITPFPSPKLTDLSMFQGDHKESMYWGTYRPQVYFGVRARTPKSLVAGLMWLVMKDGKQVMRHFCENSADLKSFGWREHNGRDFGRQELFEQDMVLETSFVKSKEGSLGYGGDWSIRINVKNMLNDDEVKRSAHLFFYLADEGGNGVNLGKDVLGLKESSVLASGSRQDVGNWQMHLKSQNHLETHYCGFKTPDIVNLSNLVQQNLTAQFQEGKSGLLQLSDTSEDSSNIYVFQISTTTQSTIDIAFVSGKREEASNMEKRIMSLTEFDAKFKECFNLSEKLDPETFVVGKAAIGNMLGGIGYFYGQSKIHVPKSTLAKSEDDFLLYWPAELYTAVPSRPVFPRGFLWDEGFHQLLIWRWDVHITLEIVGNWLDLMNIDGWIPREQILGAEALSKIPKQYVAQFPSNGNPPTLLLVIRDLINGIRTEKFSKAERDEVLSFLDRAFVRLDAWFKWFNTSQIGKEKGSYYWHGRDNITNKELNPQSLSSGFDDYPRASHPSEDERHVDLRCWMYLAADCMNSIQEFMGKKDRLVTEDYSSIAKLLSDFNLLNQMHYDQDHGAYLDFGNHTEEVRLIWKEVITKDGRLSRELVRETFGKPELRLVPHIGYVSFFPFMFRIIPADSSILNKQLDLISNRNIVWSDYGLLSLAKTSSLYMKYNTEHEAPYWRGAIWMNMNYMILSSLHHYSTVDGPYRSKARTIYEELRSNLIRNVIRNYDQTGYIWEHYDQTKGTGEGARVFTGWSALILLIMSEEYLLFKKPF >scaffold_103039.1 pep chromosome:v.1.0:1:11925696:11928627:-1 gene:scaffold_103039.1 transcript:scaffold_103039.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7KAP6] MSSFSSSLSCPTYRSRTWSSPFLSNHYHSSLINVVDPRRSLSFHYASPQGLNLDELCVRSQRKSVQSSVVAQDGSVATKSSPAEEPKDGGLLTIPSLEADKVVAESDGGEHQSTVSITVVGASGDLAKKKIFPALFALYYEGCLPEHFTIFGYARSKMTDAELRDMVSKTLTCRIDKRANCGKKMEEFLKRCFYHSGQYDSQEHFVALDEKLKEHEGGRLSNRLFYLSIPPNIFVDAVKCASSSASSVNGWTRVIVEKPFGRDAKTSAALTKSLKQYLEEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQFIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPIQLEDVVIGQYKSHSIGGVTYPGYTDDKTVPKGSLTPTFAAAALFIDNARWDGVPFLMKAGKALNTRSAEIRVQFRHVPGNLYNRNSGTDLDQTTNELVIRVQPDEAIYLKINNKVPGLGMRLDRSNLNLLYSARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPLLKEIEEKKKIPEFYPYGSRGPVGAHYLAAKHKVQWGDLSLDQ >scaffold_103041.1 pep chromosome:v.1.0:1:11934170:11936985:1 gene:scaffold_103041.1 transcript:scaffold_103041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLGKLTILIGAGPFFSGSLAFTLGLVGSVLAKEGSLPDVSSFVSGAIKMVFRQLKQDEPTKSASKPRNDTLMAQVNSLRHELSLLSSNRPITIVTSAGSGGKKYGYIIIIGVIGYGYVWWKGWKLPDFMFATRRSLSDACNSVGSQIDGFYTSLSGTKQELSSKIDGMGRSLDANTEIIQETGREVMELQRGTENIKDDVKFVFDAVETLASKVYRIEGNQDITLKGVGALHAQCRENKRIQESNQALPSTSSVPALEAAPMTPSSRTLSLPPASPRESQSPSTSNGAQQSRGPLQHTQSMSGLKEISENGTHSGETTGNTSSGLFSMFSMPRIGRTRSVVNTVPTNSIGPQ >scaffold_103046.1 pep chromosome:v.1.0:1:11954882:11956163:-1 gene:scaffold_103046.1 transcript:scaffold_103046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVAKAFVEHYYSTFDANRPGLVSLYQEGSMLTFEGQKIQGSQNIVAKLTSLPFQQCKHNITTVDCQPSGPAGGMLVFVSGNLQLAGEQHALKFSQMFHLISNQGNYYVFNDIFRLNYA >scaffold_103049.1 pep chromosome:v.1.0:1:11966201:11968519:1 gene:scaffold_103049.1 transcript:scaffold_103049.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KBP1] MEEELAMLRQLIGQLQELLHNGSPPLPSSSYSSPSFLVLNHPQYQNGWCLPCIEETSADDCCDIVMAAGKRPGIFKMLETVKPPVKRTRKDRNQGKSCTEGDDISGNMDQEIWQEFPQDLFEAVVSRLPIATFFQFRSVCRKWNALIESDSFSRCFTEFPQTIPWFYTITHENVNSGQVYDPSLKKWHHPIIPALPKKSIVLPMASAGGLVCFLDIGHRNFYVSNPLTKSFRELPARSFKVWSRVAVGMTLNGNSTSHGYKVLWVGCEGEYEVYDSLSHVWTKRGTIPSNIKLPVLLNFKSQPVAIHSTLYFMLTDPEGILSYDMVSGKWKQFIIPGPPDLSDHTLAACGERLMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHIRMNCLGNKGCLILLSLRSRQMNRLITYNAVTREWAKVPGCTVPRGRKRLWIACGTAFHPSPTARA >scaffold_103051.1 pep chromosome:v.1.0:1:11972957:11974586:1 gene:scaffold_103051.1 transcript:scaffold_103051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNMVSSFPWDWESLIMSNPSRAVNDSKQLSTEWEIEKGDGIESIVPHFSGLERVSSGSATSFWRTAVSKSSQSTSIHSSSPEVKRCKLASESSPGDSCSNVDFVQFKASTAPEVSVASAESDLCLKLGKRKYSEDYWGRNNNDISAVSMKLLTPSVVTRKNSKCGQSMLVPRCQIDGCELDLSSAKGYHRKHRVCEKHSKCPKVSVSGLERRFCQQCSRFHDVSEFDDKKRSCRKRLSHHNARRRKPQGVFPMNPERLYDRRQHTNMLWNELSLNTGSEELYEWGTTYDTKPTQTEKGFTLSFQRGNGSEDQLVASNSRMFSTVQTSGGFPAGKSKFQLHGEGVGEYSGVLHESQDFHRALSLLSTSSDRLAQPHAQSFSPLCSYDVVPK >scaffold_103056.1 pep chromosome:v.1.0:1:11989829:11991319:-1 gene:scaffold_103056.1 transcript:scaffold_103056.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F17L21.18 [Source:UniProtKB/TrEMBL;Acc:D7KBP7] MEFSTADFERLLMFDHARKASEAQYLNDPLDSENLVKWGGALLELSQFQNIPDAKVMLNDAISKLEEALTLNPGKHQALWCLGNAYTSHAFLVPDVDEARGHFDKAAEYFQRAENEDPGNEVYLKSLEVTTKAPELHMEIHKHGMGQQILGGGGGPSASANVSSGKKKRKNNDFTYDVCGWIILACGIVAWIGMAKSLGPPPPAR >scaffold_103059.1 pep chromosome:v.1.0:1:11995544:11996975:1 gene:scaffold_103059.1 transcript:scaffold_103059.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KBQ0] MVVEESLYPIIPGLTDDVAELCVSKIPRSSFQITSQVCRRWRSFLRSQHFAAVRKLTGTVEEFLCVLMESECGRDVYWEVFDASGNKLGQIPPVPGPLKRGFGVAVLDGGKIVFFGGYTEVEGSGINSTTVSASADVYEFDPASNSWRKLAAMNIPRYNFAFTEVNGLLYVIRGYSTDTYSLSNAEVYNPHTNRWSLMDCPNRPVWRGFAFAFNYKLYAVGNGSRFIDIYDPKTQTWEALNSEQSVSVYSYTVVRNKVFFMDRNMPGRLGVFDPEENSWSSVFVPPREGGFWVRLGVWNNKVLLFSRVCGHEALMYDLDKEKGSKWRVCDQIKPSASQLASVLINF >scaffold_103061.1 pep chromosome:v.1.0:1:12006538:12007506:-1 gene:scaffold_103061.1 transcript:scaffold_103061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMGAFWGTRVMELVKKHDSGGLLWKRIKLTPTRKANAKTRLRRVWQNEAVLRACGESDAPTSPGASNTKSCSSAVKNE >scaffold_103064.1 pep chromosome:v.1.0:1:12015223:12017739:1 gene:scaffold_103064.1 transcript:scaffold_103064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSGEQFRFEDQPGSPESLATRDFSASGLSSRNGGGDWDSKLEDIQVDEAESTLKEALSLNYEEARALLGRLEYQRRNFDAALQVFKGIDIKVLTPRIIKAIVERTRPCKPRSKVVSVPPCTMSMHSVSLLLEAILLKARSLEELGSYQEAAEECKIILDVVESALPSGMPDGISGFSKLQEIFQKALELLPLLWTKAGNHHETIASYRRALSRPWNLDPQRLAVTQKSLTLVLLYGSVEACPKDNIEEAIVLLMLLVKKMVVGDIQWDAELMDHLTYALSMTGQFEVLANYLEETLPGVYTRGERWYLLSLCYSAAGIDTAAINLLKMALGPSESRQIPHIPWLLFGAKLCSKDPKHSRDGINFSHRLLDLANSQSEHLLSQAHKFLGVCYGNAARSSKLDSERVFLQKKSLFSLNEAAKRGKADPELDVIFNLSVENAVQRNVQAALDGAVEYSSMVGGVSTKGWKHLAIVLSAEKRLKDAESILDFTMEEAGDLEKLELLKSKAMLQMAQEQPKQAMKTCSNLLGLIRAQEKSEKSEALLQKFETEAWQDLASVYGKLGSWSDAEACLEKARSMSYYSPRGWNETGLCLEAKSLHEEALTSFFLSLSIEPDHVPSIVSIAEVMMKSGGESLPTAKSFLMNALKLDPRNHDAWMKLGHVAKKQGMSQQAAEFYQAAYELELSAPVQSFI >scaffold_103067.1 pep chromosome:v.1.0:1:12025719:12027302:1 gene:scaffold_103067.1 transcript:scaffold_103067.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol acyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KBQ7] MNEPSSRYLVVTGMLVMATMISMCQAMGNNVYPLILVPGNGGNQLEARLDREYKPSSVWCSSWLYPIHKKSGGWFRLWFDAAVLLSPFTRCFNDRMMLYYDADLDDYQNAPGVQIRVPHFGSTKSLLYLDPRLRDATSYMEHLVKALEKDCGYVNDQTILGAPYDFRYGLAASGHPSRVASQFLQDLKQLVEKTSSENEGKPVILLSHSLGGLFVLHFLNRTTPSWRRKYIKHFVALAAPWGGTISQMKTFASGNTLGVPLVNPLLVRPQQRTSESNQWLLPSTKVFHDRTKPLVITPRLKYTAYEMDQFLADIGFSQGVVPYKTRVLPLTEELVTPGVPITCIYGRGVDTPEVLVYGKGGFDEQPEIKYGDGDGTVNLASLAALKVDRLKTVEIGGVSHTSILEDEIALKEIMKQISIINSELTNVNVMNE >scaffold_103068.1 pep chromosome:v.1.0:1:12027439:12028378:-1 gene:scaffold_103068.1 transcript:scaffold_103068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGSFAKKHSANAPKESLIIMLIDSRVYLLRINLHGIHNNVAPSVKIPFSKSSSQVEVDIRDIFHCDGLLLCTTKDNRLVVWNPCSGETKWIKLERATRNPTSMSNGISFLADKQHKVVVFCERYCNLNNTIYFVGENKHVQVDCHGGDSTLRLSYSLLMNYVPSLAQIQQGTVLPPRT >scaffold_103073.1 pep chromosome:v.1.0:1:12045827:12046803:1 gene:scaffold_103073.1 transcript:scaffold_103073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVFPNLSQVPEEIRTHLEKMIDWLRQAIVGRNELDRFRGAEHILKVCDSSGNLVCLFKAFNAGDENLAKNEACVYLLDHPENDHRSVSPKIYGFSRVRPTVFLRFRLGNEMKMGILIEYAESKGCARRSGLGIPVNEVHKILITDIRFGNSDRNVENVLVQESENGAIQLVPVDHEMCFGNEVQPYNICSPCWLGWLKEEMNLNQVFSSESVSYVTGLDVEKDIEFVRRCGWEPGIEFSEKFKVFGTFLKKAVFQGLTGFHIGLIAAFKCENMNFNLQSIIDDVARDDDFYDNVGIRLEEALKQYQEEV >scaffold_103077.1 pep chromosome:v.1.0:1:12060643:12061840:-1 gene:scaffold_103077.1 transcript:scaffold_103077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSTTLKRRLSCTDLESAKAMRSTLIQWPRAGSPLLMLSAEKDGCRSNSVYNPADDRVDETKSDLSGYRFLGSSGKWFLVVDSQLKLYIIDVFSEGRIDLPPLESLKGSLYKIERVGENKVRNILFSDLSPGDPHTAEDLRGRLWVGHNKEDYVVVWHFEMNDFLGFCKKGDDHYREILTRTDVRRELRGVKDMVLKGYSLYVLAIRDFVRHLDLSGHGEDGIKDVSENHKLPMWMPSLSMDEQVRVNIDKITSSSESIAVTRSGQVLVVYSYELGNSQRDRMFHLYKRDPKDLDPNTYLTRLLEVHSLGDEALFLDLGTTVPADHTRGIEPNSIYFTRGDRIRHRNPSRPDICVFNLTTKTIKHRHTLSNFNVKDAQWFLPS >scaffold_103081.1 pep chromosome:v.1.0:1:12075717:12077573:-1 gene:scaffold_103081.1 transcript:scaffold_103081.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:D7KBR8] MASIRRTLSPMYHDRSHENGGSHKGFTIGGSSSKHNSSQFLSYLTKLLGVTSDPKSSRRGPWRRPFYQFLVFFLLGFVLGLTPFGKMEDVNGSDRFSFEIKQPYVEERLDNNKKREEVAVDAVSFVAETENGKEEVNFVPKKLIIVVTPTYNRAMQAYYLNRIAQTLRLVESPVLWIVVEGNVASFETSEILRKTGVMYRHLVCKRNMTSIKDRGVHQRNTALEHIELHKLDGIVYFADDDNIYSLELFQSLRQISRFGTWPVAMLAPSKNKAILEGPVCNGSQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWRRPFSHPTRQLDTVKEGFQETSFIEQVVADESEMEGVPPACSRILNWHLHLDALDVPYPQGWAIQKNLQALITMK >scaffold_103088.1 pep chromosome:v.1.0:1:12111789:12112026:1 gene:scaffold_103088.1 transcript:scaffold_103088.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBS5] MAKSFRISAPDPRHRHTEPVTDLPVCSTPQTTGSTNVCPKPSNHTHWELTPPRQIRTSLRTPNK >scaffold_103089.1 pep chromosome:v.1.0:1:12114745:12116402:-1 gene:scaffold_103089.1 transcript:scaffold_103089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGESAKVNITCNDSVVEILDPCSLAKVSRKISEIVKKSSDSKIFCTLDVSSEIMRKLVTYCVKRNYQPESDPDKPFSTEDEKWIREEFSKEEEDPKKLFDLYKKAKAKEENLAKKARNDAKVARNEKRAEVKLRNKKHLKQIKPFLERLIPKLDDQSYMGFALASKACSPLASDIQARRCLPLPETGSRGIEFTTSDKKTCSPNKEVIALSGYLRATLLLKSEQVEAGSPVKFKVPFTMAIFRRITRFCTLHAKATNPSEKWFAEVFLKKEDDSKSLLDLGEAALYLNIRILVDLIASTVVKNINSKKVSEMRATLHIENDFTDSTFEELERRRTHGDWFFAADPLPFTP >scaffold_103090.1 pep chromosome:v.1.0:1:12118606:12120384:-1 gene:scaffold_103090.1 transcript:scaffold_103090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMRILIVGLACLAFVSIVKALSHEPELGSARVVFQTSYGDIGFYPTAAPKTVDHIFKLVRLGGYNTNHFFRKTSNKTGGIQRYAAFGKVTKGDETSRKLEEVPTRREGIFIMSCEEERSVLKRRLQASVVEVERQVTEMSIDNVSMIKLHILY >scaffold_103091.1 pep chromosome:v.1.0:1:12120787:12121200:1 gene:scaffold_103091.1 transcript:scaffold_103091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVNGSDRFSFEIKQPYVEERLDNNKKREEVAVDAVSFVAETENGKEEVNFVPKKLIIVVTPTYNRAMQAYYLNRIAQTLRLVESPVLKNIITKKGQLFPKGYPYPYYP >scaffold_103092.1 pep chromosome:v.1.0:1:12123456:12123717:1 gene:scaffold_103092.1 transcript:scaffold_103092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIPCIDSCLRIIDTARCPSARLIACSLKTDKLPLGAAVSLSGGPVVKRSLQKRQVPLIRKPMWSFRVCLFL >scaffold_103093.1 pep chromosome:v.1.0:1:12125487:12127522:1 gene:scaffold_103093.1 transcript:scaffold_103093.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KBT0] MEELPDCLYEGNQPTLITPSSPTPNHSLYLSNLDDHHFLRFSIKYLYLFENSISPLTLKDSLSRVLVDYYPFAGRIRVSDEGSKLEVDCNGEGAVFAEAFMDITCQEFVQLSPKPNKSWRKLLFKVQAQSFLDIPPLIIQVTYLRCGGMILCTAINHCLCDGIGTSQFLHAWAHATTSQAHLPTRPFHSRHVLDPRNPPRVTHSHPGFTRTTTVDKGSTFDICKHLQSQPLAPATLTFTQSHLVRLKKTCVPSLKCTTFEALAAHTWRSWAQSLELPLTMLVKLLFSVNMRKRLTPELPQGYYGNGFVLACAESKVQDLVNGNIYHAVKSIQEAKSRITDEYIRSTIDLLEDKTVKTDVSCSLVISQWAKLGLEELDLGGGKPMYMGPLTSDIYCLFLPVARDSDAIRVQISLPEDVVKRLEYCMVKFLDEKDNEDENSRAK >scaffold_103097.1 pep chromosome:v.1.0:1:12149556:12150367:-1 gene:scaffold_103097.1 transcript:scaffold_103097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFVSENVAAEKVDAMRRFEGRRNFLKFLPAVEALVAVLLVLYWLTSTFFAGEYLRSIISSGLTGAGIYIFGVVNVLIALIFSLSNHQKLTEHDLYFQYVSSSAASPANGLSSSAASTVGGFSSPTVVSKSLASEKTSEGMQGTDFTSVSLTAGEARPMKREMVRAVSSVGETAHAIRRKREMTFLRPATTESTATDHHVYRRSRSERIDVRGDLHRSMRRLCDIDDLSSDEFRSTVETFIAGKKKMLSKEWVKP >scaffold_103100001.1 pep scaffold:JGI8X:scaffold_1031:2995:3698:-1 gene:scaffold_103100001.1 transcript:scaffold_103100001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWWNDNNGNYNNQVEPILDDISRTNTMGDPKMEKILHEDVNTTIKEKTSQKRIIMKRRYREDGDINSLSREMMKQYFYMPITKAAKELNIGVTLLKKRCRELGIPRWPHRKLTSLNALITNLKDLLGNTEGKTPKSKLRNALELLEMEKKMIEEVPDLEFGDKTKRLRQACFKAKYKQRRLFSSSS >scaffold_103116.1 pep chromosome:v.1.0:1:12235084:12236093:-1 gene:scaffold_103116.1 transcript:scaffold_103116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSSSCEVWRWNKEAEMNAMSDCLKHCSFIAIDTEFPGCLKETPMEASEETRYRNMKYNVENTNLIQLGLTIFAGEFSKTWEINFSDFNEWKDLKNEKSIAFLKSNGLDLAKIREEGIGIEEFFKEFTQMILKEKEKKMTWVTFQGSYDKAYLVKGLTGGKPLPETLEEFDETVERLLGERVYDVKKMAGLCRGLSSRFGLQRIADAFQMSRVGMAHHAGSDSELTARVFTKLTFDLCKRNQSLRPDDLLYQQDLMMTLDLHNRKLRLRPDDLLYQQDLLMTTCYLPQPPPIPRPVMFAAHPNPYFGGGYYGMPVRGMNYV >scaffold_103118.1 pep chromosome:v.1.0:1:12241830:12244892:-1 gene:scaffold_103118.1 transcript:scaffold_103118.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7KBV1] MDVNEVEENFFAPGDAKLHGDMCNALSVIYCKIMSIFPSLEAARPRSKSGIQALCSLHVVLEKVKNILRHCTESSKLYLAITGDSVVLKFEKAKSSLIDSLRRVEDIVQQSIGSQLLEILMELENTEFSLDPSEKEIGDQIIGLLQQGGNFESSTDNNELEVFHQAATRLGITSSRAALTERRCLKKLIERARMEDDKRKESIVAYLLHLMRKYSKLFRSEIWDDNDSQGSSSLPCSPTIQGSLDDAHGRAFDRQLSKLSSFNFRSCNNNRKSLQISVPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFSDGHNTCPKTHQQLSHLCLTPNYCVKALISSWCEQNGVQAPEGPPESLDLNYWRLALSVSESTDTRSVKRVGSCKLKDVKVVPLEESGTIKEEACESEYQEDQVTLVERCTELLTTLSEVDTLRRKCRVVEQIRVLLKDDEEARILMGENGCVEALLQFLGSALTENNASAQKVGAMALFNLAVDSNRNKELMLASGIIPLLEEMLCNPHSHGSVTALYLNLSCLEEAKPVIGSSLAVPFMVNLLWTETEVQCKVDALHSLFHLSTYPPNIPCLLSSDIVNALQSLTISDDQRWTEKSLAVLLNLVLNEAGKDEMVSAPGLVSNLCTILDTGEPNEQEQAVSLLLILCNHSEICSQMVLQEGVIPSLVSISVNGTQRGRERAQKLLTLFRELRQRDQTHLTEPQHTEVTSPEDGFSVAAAAVTESKPQCKSASRKKMGRAFSFLWKSKSFSVYQC >scaffold_103120.1 pep chromosome:v.1.0:1:12258352:12259262:-1 gene:scaffold_103120.1 transcript:scaffold_103120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLIPSEKRWIITGVLLAGLVGGALLFTSFIRAADETLFLCSTANAKSRAVAAAADYAATPIQLQAIVHYATSTVVPQQNLAEISISFNILKKLAPANFLVFGLGRDSLMWASLNPRGKTLFLEEDLEWFQKVTKDSPFLRAHHVRYRTQLQQADSLLRSYKTEPNCFPAKSYLRGNEKCKLALTGLPDEFYDTEWDLLMLDAPKGYFPEAPGRMAAIFSAAVMARNRKKPGVTHVFLHDINRRVEKTFAEEFLCRKYRVNAAGRLWHFAIPPAAANATIDSGDYRFC >scaffold_103122.1 pep chromosome:v.1.0:1:12267412:12268840:1 gene:scaffold_103122.1 transcript:scaffold_103122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLHLHLFLVTMTIVASIAAAAPAAPAGGGALADECSQDFQKVTLCLDFATGKATTPSKKCCDAVEDIKERDPKCLCFVIQQAKTGGQALKDLGVQEDKLIQLPTACQLHNASITNCPKLLGLSPSSPDAAVFTSNATTSTTPVAPAGKSPATPATSTEKGGSASANDGHAVVALAIALVTVSFVSTLPRHVTLGM >scaffold_103128.1 pep chromosome:v.1.0:1:12289465:12290151:-1 gene:scaffold_103128.1 transcript:scaffold_103128.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCR7] MPLFLMKLELKIRSLRGLNKPKYIQCGNVALGRKMKTIEYLACKSVDKSQKSKGFHEVIMKSVSSFPN >scaffold_103146.1 pep chromosome:v.1.0:1:12390906:12391920:1 gene:scaffold_103146.1 transcript:scaffold_103146.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:D7KCT3] MDEKEIPKNLERDDEEYVSLISSLPSDIDFVGRELFKYQESWYGNKMLQAIINFQRGFEPQDTDIIIASFPKAGTTWLKALTVALLERSKHSSDAHPLRLDNPHNLVPFFELDLYAKSSKPDMTNFSSTPRVFATHVPLHTLQEALKNSSCKIVYVWRNVKDVLVSYWYFKSALMKVEAERSLLESMFESFCRGVSYGGPFWEHVLSYWRGSLEESQNVLFLKYEDLKTEPRVQLKRLAEFLDCPFTVEEEENGSVEEILELCSLRNLKNLEINKIGITSRGVDHKNFFRKGEVGDSKNYLTPEMEKRIDMITEDKFGGSGLKL >scaffold_103149.1 pep chromosome:v.1.0:1:12405688:12406519:-1 gene:scaffold_103149.1 transcript:scaffold_103149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDNITKFASLLVKLHRAPSSWLCLHPRPDRTSNGGRIKLVRFDGSLEVYDRPVVVSELTRDFPKHKICRSDLLYIGQKTPVLSETETLKLGLNYFLLPSDFFKNDLSFLTIATLKTPQNGGVLVKKTQQQPQPFLIQKGEKGERLRIRVSEDFVSELMMEGKKNRANEEEEEGGEGEGRVCTTVKLKKDYVQLVGLRKWKPKLETITETKAMKAATVEKTKKKRKRFTVMKKKSQTDSSSKRKLQSKFKSKTKKTVFRKID >scaffold_103150.1 pep chromosome:v.1.0:1:12409988:12411701:-1 gene:scaffold_103150.1 transcript:scaffold_103150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQENHDDGRISSSTPAAASEPSKAPSHSSDYAPYPKLDPTDVTPPPPQPIPTGAAATTMPSESNPYVSPSPAPRNTMDSVKDTLGKWGKMAADATKKAEDLAGNVWQHLKTGPSVADAAVSRIAQGTKILAEGGYEKVFKQTFDCLPDEKLLKTYACYLSTSAGPVMGVMYLSTHKLAFCSDNPLSYKEGEQTQWSYYKVVLPVNQLKAVNPSTSRVNTSEKYIQVISIDNHEFWFMGFVTYESAVKSLQEAVQSHGP >scaffold_103151.1 pep chromosome:v.1.0:1:12412132:12412774:-1 gene:scaffold_103151.1 transcript:scaffold_103151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEVDSRLGRVVIPALDKVIKNASWRKSPENSSPVADSDSGSSIPGPLHDGGAAEYSLAEPEIILSPLINASSTGVLKIVDPAVDCIHKLIAHGYVRSEDPTGGPEALLLSKLIETICKCHELDDEGLELLVLKTLLTAVTSISLRIHGDSLLQIVRTCYGIYLGSRNVVNQATAKASTLRF >scaffold_103154.1 pep chromosome:v.1.0:1:12422011:12423778:-1 gene:scaffold_103154.1 transcript:scaffold_103154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGLIIINCIILTIGTCGGPLLTRLYFTNGGKRIWFMSFLQTAGCPIILLPLVASFLRRRRSNRNSNNAENTPKTKIFLMETPLFIASIVIGLLTGLDNYLYSYGLAYLPVSTSSLIIGTQLAFNALFAFLLVKQKFTPFSINAVVLLTVGTGILALHSDGDKPANESHKQYVIGFLMTVVAAVLYAFILPLVELTYKKARQEITFPLVFEIQMVMCVAATLFCLVGMFIVGDFKVIAREAREFKIGGSVFYYALIVITGIVWQGFFLGAIGIVFCASSLASGVLISVLLPVTEVLAVVCFREKFQAEKGVSLLLSLWGFVSYFYGEIKSGKKVLDKPQPPETELPILPVSDSVA >scaffold_103156.1 pep chromosome:v.1.0:1:12433963:12434669:1 gene:scaffold_103156.1 transcript:scaffold_103156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEKKCQISSTEAVFLGALAPGVNGPTWNTLRIAFLLLGLCLAFMLSVAFTSGQSMLLVHVGFLIVIASTLFILLNWFLAQTGLVQVETQMQELNLSPSDKTK >scaffold_103158.1 pep chromosome:v.1.0:1:12446171:12446361:-1 gene:scaffold_103158.1 transcript:scaffold_103158.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCU5] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_103160.1 pep chromosome:v.1.0:1:12456757:12457537:-1 gene:scaffold_103160.1 transcript:scaffold_103160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KCU7] MENSPRYREATNLIPSPRCHNTNSCSMSSSSETNKPPTTPTRHITTRSESGNPYPTTFVQADTSSFKQVVQMLTGSAERPKHGSSLKPNPTHQPDPRSTPSSFSIPPIKAVPNKKQSSSSSSSGFRLYERRNSMKNLKINPLNPVFNPVNSAFSPRKPEILSPSILDFPSLVLSPVTPLIPDPFDRSGSSNQSPNELAAEEKAMKERGFYLHPSPATTPMDPEPRLLPLFPVTSPRVSGSSSASTS >scaffold_103163.1 pep chromosome:v.1.0:1:12491631:12492089:-1 gene:scaffold_103163.1 transcript:scaffold_103163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLSVFLFFYGLCMIGNVYGGRANKLHIWNELDPNQKHSHLFVQCKSGKVDMGKHYVPYGKIYQFDIRDNFWKTTLFWCTFRHGPGYRIGQQFDVYEYKPGVAQGGTYEWTAREDGIYFRLNQGTIHKVHNWKPMPP >scaffold_103169.1 pep chromosome:v.1.0:1:12534945:12540155:1 gene:scaffold_103169.1 transcript:scaffold_103169.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7KCV7] METLSINSPTQDSTLPSGLQISEEVEKRYNVVRSVGEQCIHDDELKDLLAKKAAPVCYDGFEPSGRMHIAQEKLLLARLTLLLPWQGLMKILNVNKLTSAGCRVKIWIADWFAYMNNKLGGDLKKIRVVGEYYKEIFQAAGMNSENVEFLWSSDEINARGDEYWPLVMDIACRNSLAQIKRCMPIMGLSETEELSAAHILYVCMQCADTFFLEADICQLGMDQQTVNLLARDYCDAVKRGNKPVILSHHMLLGLQQGQKKMSKSDPSSAIFMEDEEAEVNVKIKKAYCPPDIVEGNPCLEYVKYIILPWFSEFTVERDDKYGGNRTFKSFEDIATDYESGELHPKDLKDALSKALNKILQPVRDHFKTNSRAKNLLKQVKGYKITRVMPTASSTDKEDLSVNTSSAASSSDTGLQVSEETEMKYKTVRSIGEECIQEDELKNLLAKKPAPICYDGFEPSGRMHIAQGVMKVTNVNKLTSAGCQVKIWIADWFAQLNNKLGGDLERIRVVGEYFKEIWQAGGMNNDKVAFLWASDEINDKGNKYWPLVMDIARRNNLRRILRCGQIMGRSETEVLSAAQILYPCMQCADIFLLEADICQLGMDQRKVNMLAREYCADIKRKNKPIILSHHMLPGLQQGQEKMSKSDPSSAIFMEDEEADVNEKINKAYCPPKTVEGNPCLEYVKYIVLPRFNEFKVESENNGGNKTFKSFEDIVADYESGEMHPEDLKKALMKALNITLQPVRDHFKTNERAKNLLEQVKSHQVKLQKQRRLFVFVVTKLS >scaffold_103170.1 pep chromosome:v.1.0:1:12542788:12543015:1 gene:scaffold_103170.1 transcript:scaffold_103170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCV8] MPADNRNVSRVISPKEGSKAPKYRETDYNQGEKLKPAEQRDIPRDENPNKGSIALINREAT >scaffold_103175.1 pep chromosome:v.1.0:1:12563305:12564745:-1 gene:scaffold_103175.1 transcript:scaffold_103175.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KCW3] MGYLSCNGESAVAICDPYNWNPRRKSKPEKRRPPKLRIFNYDELAVATNGFSAGNFLGKGSHGRVYKAVLDDGKLLAAVKRTTITPAGNYNNNVSQVDNEIEILTRVRHRWMVNLIGYCVDHRRKTKLLVVEYMPNGTLHDQLHSRSSLPVSWNRRIKHALQIAIAVHALHTAETPVIHRDIKSCNILIDGNGNARLADFGLALIGNVDDERLKCTPPAGTLGYLDPSYLAPADLTAKSDVFSFGILLLEIISGREAIDLNYSPSCIVDWAVPLIKRGEFAAICDLKIKNRPYSAVIRKLVMMAARCVRSTAKKRPDMLEVVECLKTVRKLSQASPAWNRLRRRSEERSENVFGVEEEKEEIHVRIVRGGSRKNRKVTNVTCVDDVYERIVPEETPPFRRRNFVLRSRSVGAKVGPDPNDGFGDQVVVTMRIFIEKERPVTTAAMRLSKSRSVGIVRSYKTASRK >scaffold_103177.1 pep chromosome:v.1.0:1:12577173:12577429:-1 gene:scaffold_103177.1 transcript:scaffold_103177.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCW5] MWVGLVVLEGVIPIWCPQFDFRWPPRFSLGPLGPPGNTLSVGLGRSDREPASSLGVSRVSVRISGLSKKKK >scaffold_103183.1 pep chromosome:v.1.0:1:12611808:12613428:1 gene:scaffold_103183.1 transcript:scaffold_103183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCDGSDDNYDLNLKRVSNIDHPSVQLKDQSQSCVTSGPDSKFNVETPIMSSCPSCGHKIHHQDDQVGGIKDLPSLPAGVKFDPSDKEILMHLEAKVSSDKRKLHPLIDEFIPTLEGENGICYTHPEKLPGVSKDGQVRHFFHRPSKAYTTGTRKRRKVSTDEEGHETRWHKTGKTRPVLSQSGEAGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGSSEDEKDGEPVLSKVFYQTQPRQCGGSMEPKPKNLVNLNRFSYDNIQTGFGYEHGGKSEEPTTQVIRELVVREGDGSCSFLSFTCDASKGKESFMKNQ >scaffold_103186.1 pep chromosome:v.1.0:1:12625028:12626656:1 gene:scaffold_103186.1 transcript:scaffold_103186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEDCEYFTAEEYSRHVREYWRAVAESDGFDIEEIDSPVVLTGLLSYDCQSGHRYPEPLLVNRYALLGLHLYNILQGTSFELATLQKFNKTMNLTSSYYITLLAQDPPLQKTFQVRVDERAYHSLDLTVAIARPKKDQNEAAVSTKEPFIPHFHGGAVADGVFQGPLPDWPSDGAFHDDRSRFYALEKSEWQATGWISLYLELLILANDKGMFGIVQTGLPQVQILKVVIQTQEEDEKPPDERLNSRRAHVYITFTGLAKSPRLVEIGEHVARKAIIRRVIDDSGYLTLQGKFWSLQSGEKAESSQKRPRSKGPRIF >scaffold_103187.1 pep chromosome:v.1.0:1:12631616:12633424:1 gene:scaffold_103187.1 transcript:scaffold_103187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLMKLGTLAVKTISKPLASQLKHQAKVHPKFRQSIINFAQRNHRITTQMQRRIYGHATDVEIRPLDEEKAVQAAVDLIGEIFLFGVGGAVVVFEVQRSSRSEARKEEARKQELEDLRIKDEELEKQVADLKSKLEELEQLAKARGLSGIFQLKPQPGTTASGKPDKSS >scaffold_103200001.1 pep scaffold:JGI8X:scaffold_1032:205:731:-1 gene:scaffold_103200001.1 transcript:scaffold_103200001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLSDVFRSHPIHIPLSNLPDFKALPDSYTWTPKDDLLFSASASDETLPLIDLSDPHVTTLVGHACTTWGALQISNHGVPSRLLDDIEFLTGSLFRLNVQRKLKAARSENGVSGYGVARIASFFNKKMWSEGFTVIGSPLQDFRKLWPSHHLKYWYLSL >scaffold_103205.1 pep chromosome:v.1.0:1:12693916:12695521:-1 gene:scaffold_103205.1 transcript:scaffold_103205.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KCY7] MKIFRFTSISPRIFPLNHYSTFPLKQNVSSLSPAKYIAGALQEHINSSAPKAGQKIHADIIKTGFIPDLNISIKLLILHLKCGCLSYARQVFDELPKPTLSAYNYMISGYLKHGFLKELLLLVQWISYSGEKADGYTLSMVLKASNSLGSNMLMPRSLCRLVHARIIKCDVELDDVLITALVDAYVKSGKLESARTVFETMKDENVVCCTSMISGYMNQGFVEDAEEIFNTTKVKDIVVYNAMVEGFSRSAETAKRSVDMYISMQRASFHPNISTFASVIGACSVLTCHEVGQQVHAQIMKSGVYTHIKMGSSLLDMYAKCGGIDDARRVFDQMQEKNVFSWTSMIDGYGKNGNPEEALELFTRMKEFHIEPNYVTFLGVLSACSHSGLVDKGYEIFESMQRDYSMKPKMEHYACMVDLMGRAGELNKAFEFVRAMPERHNSDVWAALLSSCNLHGNVDLASIAASELFKLNADKRPGAYLALSNVYASNDKWDNVSKIREVMKVRRISKNIGRSWTSED >scaffold_103220.1 pep chromosome:v.1.0:1:12776244:12777912:1 gene:scaffold_103220.1 transcript:scaffold_103220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPHRGGAGGSLYGGADPYRSREGLSTRNASGSEEIQLRIDPMHSDLDDEIIGLHGQVRQLKNIAQEIGSEAKSQRDFLDELQMTLIRAQAGVKNNIRKLNMSIIRSGNNHIMHVVLFALLLFFILYMWSKMFKR >scaffold_103223.1 pep chromosome:v.1.0:1:12785422:12786715:-1 gene:scaffold_103223.1 transcript:scaffold_103223.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C1A papain family protein [Source:UniProtKB/TrEMBL;Acc:D7KDQ2] MTSILFMFVSLTILSMSLKVSQATSRVTFHEPIVAEHHQQWMTRFSRVYSDELEKQMRFDVFKKNLKFIEKFNKKGDRTYKLGVNEFADWTKEEFIATHTGLKGFNGIPSSEFVDEMIPSWNWNVSDVAGPEIKDWRYEGAVTPVKYQGQCGCCWAFSSVAAVEGLTKIVGGNLVSLSEQQLLDCDRERDNGCNGGIMSDAFSYIIKNRGIASEASYPYQETEGTCRYNAKPSAWIRGFQTVPSNNERALLEAVSRQPVSVSIDADGPGFMHYSGGVYDEPYCGTDVNHAVTFVGYGTSPEGIKYWLAKNSWGETWGENGYIRIRRDVAWPQGMCGVAQYAFYPVA >scaffold_103229.1 pep chromosome:v.1.0:1:12812765:12813337:-1 gene:scaffold_103229.1 transcript:scaffold_103229.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KDQ7] MATQDSQGIKLFGKTITFNANITQTVKKEEQQQQQPELQATTPVRSSSSDLTAEKRPDKIIPCPRCKSMETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPVGAGRRKSKPPGRVGGFAELLGAATGAVDQVELDALLVEEWRAATSHGGFRHDFPVKRLRCYTDGQSC >scaffold_103230.1 pep chromosome:v.1.0:1:12819602:12824265:-1 gene:scaffold_103230.1 transcript:scaffold_103230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNVYGMNQTEVYRNVDREDPKAILNGVAVTGLVGILRQLGDLAEFAAEIFHGIQEEVMATASRSNQLKIRLQHIEATVPPLEKAMLAQTTHIHFAYTGGLEWHLRIPITQNYLIYDDLPHIIMDPYEECRDPPRLHLLDKFDINGPGSCLKRYSDPTYFRRASSNLIQGNKKIQKDKNLCKMKKKKTSSRSRDMSRLASMANQNARKTFTSFSFSGRTSSTKTTSTSDMEKRFDFQDLHSRSFESRSGSGYNECLSTATSSLKTGERPKGVFVSSSLTPGSCTIASVLSECETEDAHDNFQFSPSQGQAARGSSCVSWDEKVEIVESLGLQTEEASEMMETNPVVDKPDEKPSYGGTGGVDFHSKDIENDKSESGLQKCTGIDEVRGIKTVREIVGEPRDSEHETESEGDCFVDALNTIESESENKQGHQTSQVSSSCGVADEMLEKSVCEQKTEQNCYSVEDSYRSMDGLIANGFKNEENASSANVADEMHQKNPQVGSDINRLQKNDLCANKDMRNDSGGKDIITFTFVPGLENSLADSSNPLIHHGLQENQEPEAESSGDLEAIKIWTNGGLLGLKPSKPPVLAVQSSLSPDCKTGERTVGFAEAGKDKSDDLIENASHRRVLNNASLATPGTQNPISSSGMALGIVDQRESHETSSGVFGLSHRLLTNGFRRKDSFAHDRKTVPATIPENDEVTTERRRFCDQDIDDKTFVDPFRNEAPIDWITSSPPLQHMKISLNPADTLQASRLKLKFSDGNNTYNTFSSFQLLPEAATFLPDSYSDDDDTFCRSSPYMSDTDYLSDNHSLSYSEQWEESSDSHGRKEQELYDSFHESRHIDNNAEASPLGIKSESGCVAVNFSYLQNPAEPLPPPFPPVQWMVSKTPSEKIEDKTQSLQLQDAIRFAFEKHISLPTMKKELPSMVTSAPKPEIKVHLKNNVREEKQSANAKETETGDFLQQIRTQQFNLRPVVMTTTSSAAATTDPIINTKISAILEKANSIRQAVASKEGDESDTWSDT >scaffold_103232.1 pep chromosome:v.1.0:1:12834827:12835144:-1 gene:scaffold_103232.1 transcript:scaffold_103232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFREVETVEGRRNMCYTEDTGDICIFISKSEAFCVEASSCPVLKPNSIYYIGHGFGIYDLTTGTTRYFLPPAGAPNQLTAPYWLSPFYI >scaffold_103233.1 pep chromosome:v.1.0:1:12847191:12847814:-1 gene:scaffold_103233.1 transcript:scaffold_103233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETIRCCIACILPCGALDVIRIVHSNGHVEEISGTITAREIMKAHPKHVLKKPSSPPSDHDERDVISATKIVIVPPEAELQRGKIYFLMPANKSDKCAGGGKIRREKSNAINAAVKKRSQNRRQHRDGDEKCNGENNDVKDKNYENDLLISSDRYLTEILSEKVATQKDRRKGRVGVWRPHLESISEIISED >scaffold_103237.1 pep chromosome:v.1.0:1:12867604:12869551:1 gene:scaffold_103237.1 transcript:scaffold_103237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKLNFNVPLLSTRRMQKTAAVSVRRNKSNNFIDYDSKTSECPSVPVLVPEMSLDDEVTEPVSVPFTWEQAPGRLKGNGFRPQVCVLTQEKEQVFIPCLPPGKAVDGNLTRLQSSKGKQVEESEDGEDDVFSDALDTLSPKDSFSFNNSISGVSEYGGVETKKPLDAQSRDFMMSRFLPAAKAMTVEQPHYASNRKPSTFMAEPIIQMRELVPGEKQQTPNRYDESYYYHQNIDDEEGGADDKDDEVSEYAYLSKKGCGMLPQLCFKDSLGMLNTVSGFKAKHNSPITSPSHDQVKSSKVAQLKYRFQSVKKLALDSVSKHKLSGKVQSPVHPSFGNKFNSESNLISAANRSSSPYRHTHCMSPFRNTGNSSPFHPAGFPETHKETENLRANRLSKHTRNISRTSQELLYPKSNGSTSARFEKTVYVDTENFPMSNDQHNSNVMVYPEEADMARKPDANPDLEAFENISIRSGEMVKGNELVEISSGLDQSLLAPPSPKRPSESWLCHNLPSVTSQIPSRRYHPFNLQKQDLAENYRNVTKWETIVKTSYMHRDHIRYSEELVAHTSHQSKT >scaffold_103243.1 pep chromosome:v.1.0:1:12910212:12910557:1 gene:scaffold_103243.1 transcript:scaffold_103243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPRRGGYQSFSNLFYPTASSVEHFLKSSFALFARAVYDHPLVEDFAKPVFMVESAMSSKDSSNLFKMSIILENSWSLYLYSYCISLATACMNFPLLRF >scaffold_103249.1 pep chromosome:v.1.0:1:12942001:12944962:1 gene:scaffold_103249.1 transcript:scaffold_103249.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7KDS7] MPRVIPPENLGCPPIRALTFDSLGLIKVTEARGKERGTPTVVNTWGEMNASRTVLAASIDDRLRNPLLAVARKDGNVEVLNPCNGDLHFSYSVFGDDGCSPEDNEISALHLFRKKIDDQAERSCTLLTCTKKGDVSLRSVKFPDSHGDSTDDASPKTWKACGSGEILVGKVDGSENFSLFGGKRVEANIWDLEQCTKIWSAKSPPKDNLGIFTPTWFTSATFLSNDDHRKFVTGTKSHQVRLYDISTQRRPVLSFDFRETAITAIAEDPDGHTIYVGNASADLASFDIRTGKLLGSFLGKCSGSIRSVVRHPQHQVIASCGLDRYLRVFDVKTRHLISAVFLKQHLTGLVFDSGFSGEETAEANTVVEAATEEKMTIMDQEDDETEEAPVKRKKSKKEKRSREIVFEGEDDEENEDEIEKAPVKTKKSKKEKRSREKVVSEGEEKDELRSKKTREHKKKTKKVKHNQEDLV >scaffold_103258.1 pep chromosome:v.1.0:1:12984349:12984619:-1 gene:scaffold_103258.1 transcript:scaffold_103258.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDT5] MANQSLCRSRSDWVSQNINTKISQSPCYIHRNGCRLSLGRQFHIITFPQNINELRR >scaffold_103259.1 pep chromosome:v.1.0:1:12985724:12986061:-1 gene:scaffold_103259.1 transcript:scaffold_103259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTKKLIKMFREWQQRAALHRKRISFQKSSATSSLTAVEKGCFVVYTADNTRFAFPISYLSNSVFQELYLKKSLASQPVDQLHCRSNQLSWSISSN >scaffold_103265.1 pep chromosome:v.1.0:1:12994768:12994981:-1 gene:scaffold_103265.1 transcript:scaffold_103265.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDU1] MANQSLCRDRSDWVSQNINAISSLPLQRFENIKNIERIRQKLVNHHVTSIVMDAD >scaffold_103271.1 pep chromosome:v.1.0:1:13014050:13015307:1 gene:scaffold_103271.1 transcript:scaffold_103271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISTTRSALHLFPKDQRYKTKGVRYNSFDFSDVSLLSINPKIDLDDLQIVSRTRSDGREKYDDVVAASKKRQHFGEEKPLTKRQTEIIEEVVSITKAKSSRTLTPSLHKSSVSESKQETKRKVTKRVDTRAITTTKVTKPEKSITASSKECLHETETKKPNGIDLRNLIAKAQDKIQNKRQIDHRRDDITRQRIDARLALNQMVATVSFDDHLNYHRELEQLGCTFIQEEVDHLSMFNLWSRSDYNGITNSVLEKNKTPWPDEDSTRKKHRQSSTKGNSMEQHSLQITKNGQSAESGNQSHSSTSVIIQ >scaffold_103272.1 pep chromosome:v.1.0:1:13016794:13017084:1 gene:scaffold_103272.1 transcript:scaffold_103272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTKKLLKMAKKWQQRAALSRKRISFERSVATTSSSTPAEKGCFVVYTSDKIRFAFPISYLSNSVFQELLKISEEEFGLST >scaffold_103277.1 pep chromosome:v.1.0:1:13025784:13028791:1 gene:scaffold_103277.1 transcript:scaffold_103277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGDNQRRSAEKESKSIEETKENEDLGSGINDTGHHVYYYNQGDEEVREYLKQERLKDVEMRRRRHETESRSIEKTKGTHPDERTDRVQKTDSRSLPEKKGKEDSVLRKNEAGQIHDYKHSTVDTRECLPQQRQLRDVVGMHRRRRETESRFWHQGRDRSPIWRENEYRMRFDERTQDWLDSDYESRWRVHERTPDWDWRDSESRMRFDERTQDRRGSESQWVFDERTQRRHEPVRPGEECWCLRCRNGRSCRYNHPTQLPQYFRRGYCKLGSFCKFQHIRDRDVAETMYQDWRFDERTHRRHEIEYSGFRPEKREIREHENPQKQIQRNTERQGTVAQDNVQFHQQQEEEMQRPQSHTTQQQQSVQQHQLLASHFNLYPLAEKLTDVIEAGTRDQNSDALIQRNTERQRMVNRAIERQDMEPLIDAIVRGIMQERREKEANLQQQRLQEIRENHSVDAHDQQKKQELGFK >scaffold_103278.1 pep chromosome:v.1.0:1:13029260:13030710:1 gene:scaffold_103278.1 transcript:scaffold_103278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADARENQQESERRSDETESISIEEPKEKEDEDENLQEQRQSSIGSSIPSSAKRKERMTQSSPYPVRPGVENCQCYIKTGLCRFGSSCRYNHPNQRPQVRIDAPICKYFLKGSCKFGSACIFQHIMDRNVAEPMYQSKIVPDSQMPDPRGHEAQENLQEQRQRDSIERQGREAQENLHQQRFQDMPENQAVNAQQNLQEQRRISIENERTEARLRIEQIRPTVAFPLNEYIRARVVLHELGFVTDSQGSFLGPNRCLGLGKHRSVSHCG >scaffold_103279.1 pep chromosome:v.1.0:1:13041815:13043829:1 gene:scaffold_103279.1 transcript:scaffold_103279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADARENQQEAERRSDEIESRSIEEPKEKKDEDENLQEQKPSSIGSSIPSSDKSKEDDAVKSISSVKDCQFYVETGLCRFCRYNHPIQLPKAKTILDSGEPNSRERLEDLEDGEIRGHDNPQEQIQINTERQGTVAQDNLQFHQQQEMQRPQSHTTQQQQSVQTPQQHQLLASHFNIYPSVESLTDAIEAGTRDHNSDSLVTEVSSHFDKRQQILNSISRSLGSKTNKVEHNNKVWIFGLEQSLFGFCLLVFLFYKYVDGQKQNLEESEQLLQQRKELMEEYKKAVEEIVKKEP >scaffold_103284.1 pep chromosome:v.1.0:1:13068774:13069235:-1 gene:scaffold_103284.1 transcript:scaffold_103284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEFDESEIIFSDSYYPVRRREDGNEKENRPVGFRENSERLRNKSSKRTKTTSLPTAGTAFSSSLPVNIPMRRYSTEEEYSDDDGGRRMIPPHLIVGRRIEGGQMAFSVCTGNGRTLKGRDLSRVRNSVLRLTGFLEA >scaffold_103287.1 pep chromosome:v.1.0:1:13086741:13087813:-1 gene:scaffold_103287.1 transcript:scaffold_103287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSEDQVPPGGPVTVSTRLIDAGSTIMQRRLPLKQMNTHVSTFAIYGCDMSRQIETHHYVHRINDEFLQCAVYASDRSDAPLIGIEYVISHRLYETLSQDEQKLWHSHAYEVKSGSWAYPRLPEVMAAPELKNIAKTYGKFWCTWQIDRGDKLPMGAPELMMSPQGVGQGVLRPEVVKRRDEKYNISTDELKHKRAEIAEPEWINPMADYWKQHGKCFVLDVVTVEMNRNAQYP >scaffold_103291.1 pep chromosome:v.1.0:1:13097478:13098933:1 gene:scaffold_103291.1 transcript:scaffold_103291.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KDW6] MVRLWCGKLKLSKPFLALATQSRSRWFSSRGAPSHQHDSLTYYGRTEIINRLERYITERTWTQNPIVGQYKTTVSPSVAQNVTIETFDSLCNQGNWREAVEVLDYLENKGFAMDLIRLLRLAKICGEPEALEAARVVHECIIALVSPCDVGSRNAIIKMYSCCGSVDHALKVFDEMPKRNSETWCVMMTCFVNNGFGEEAINLFTRFKEEGNKPDGEIFNQVLSTCTLTGDLKEGSLQFEAMNREYGIIPSMEHYHSVTKMFATSGHLDEALNFVERMPMEPSVDVWETLMNLSRVHGDVELGDRCAELVEKLDATRLDKVSSAGLVVTKASDNVKKEPSNRSEPYWCYNFRPVDTSHPQMNIIYETLMSLRSQMKEMGYVPDTRFYRTLIMVMGNKEQVFGYREEIAVVESLLKSKPRSSITLITNVRIVGDCHNMMKLMSVITGRDLFKRDAQRYHLFKNGVCRCNDRW >scaffold_103297.1 pep chromosome:v.1.0:1:13124268:13126047:1 gene:scaffold_103297.1 transcript:scaffold_103297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSESDSSSSPSTTEEFDRFLDAPDEFYYDCLPIRSNSHRQHSSLLRRRKPAHRRDLIETEPSSSSDGSEVGEKSSYVEKNDELKGDSETSDVIESTKDPIDLSSEKENDLDVIDSSGEDKGRDMDVIVSVQDRVDPFQEESTVTTVSSDERGDDAGSVPQLWEPPNSTEWSLFGFLVGLVIKAIEFQVSLMTSLLTFPPWLLRYCFLFFFDPFSTIRFGRRFLMARLAGISDMIFGTMNPFRLNDTKQMLSIVCKFGWGMFWAVYVGIVLFGLLVSSLMIGGYVINRIADKPFEVKETLNFDYTKNSPEAYVPLTSCAGVDCEGSCKESIEMSKIRGLRAIPRDQKLDIILSMTLPESAYNKNLGMFQVRVDFLSVDGQTIASIRRPCMLRFRSEPIRLVQTFFKVVPLVTGYVSEIQTLSLKLKGFVEKDIPTACLKIIIEQRAEFRPGAGIPELYDASLSVESGLPFFRKVIWKWRKTLFIWISMSLFITELLFTLVCCSPLIIPRRRPRDRSPSNPTGGRL >scaffold_103300002.1 pep scaffold:JGI8X:scaffold_1033:2344:3563:1 gene:scaffold_103300002.1 transcript:scaffold_103300002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGKGNGEDPHLFSSNNFVGRQTWEFDHQAGSPEERASVEEARRSFLINRSRVKGCSDLLWRMQFLREKKFEQGIPQPTKIKEEITYETTTNALRRGVRYFSALQASDGHWPGEITGPLFFLPPLIFCLYITGHLEEVFDAEHRKEMLRHIYCHQNEDGGWGSHIESKSVMFCTVLNYICFRMLGENPEQDACKRARQWILDRGGVIFIPSWGKFWLSVKSFEPYIFFC >scaffold_103306.1 pep chromosome:v.1.0:1:13143282:13145023:-1 gene:scaffold_103306.1 transcript:scaffold_103306.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7KEM2] MDSSQGISAGTQQKIVIPNSNNEKLVGLLHETGSTEIVVLCHGFRSTKNDLVMKNVAAAIEKEGISAFRFDFSGNGESEGNFYFGNYNYEADDLHSVIRYFTNMNRVVPIIIGHSKGGDVVLVYASKYHDIRNVINLSGRYDLKKGIGERLGEDFLERIKKQGFIDIKEGNSGFRVTEESLMERLNTDMHEACLKIDKECRVLTVHGSADEVIPLEDAKEFAKIIPNHKLEIVEGADHCYTKHQSQLVATVMEFIKTVIVKNN >scaffold_103308.1 pep chromosome:v.1.0:1:13151547:13152491:-1 gene:scaffold_103308.1 transcript:scaffold_103308.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEM4] MVKRLEGAKTVMTSEEASDGRCIKDCRQSKKLLVRIKQTQVIDSSKIYLLIIDGVENDDESNGQKEFYHDQRHLVLGVISQIIKNVAYAHVCVELALALGGYVSTKAAGHALVF >scaffold_103310.1 pep chromosome:v.1.0:1:13157896:13160672:-1 gene:scaffold_103310.1 transcript:scaffold_103310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATEQPLHQSFPEKSSVVDVQGNTVETLKVENSSAVESALSSGNVSHNPEAFRQALNKVLYGRSFYIPSFKIHDGGPAHSSHFVREEKMLEIECTCVTPEVVLKASGHVDKFTDVMVKDVTTGSYYRADHLVKDYCNEKLEKDVTISAEKAAELKDLLVVLENLSIEDLGKKIKEYGITAPDTKNPLSDPYPFNLMFKTSIGPSGQHTGYMRPETAQGIFCNFNELYRYNGKKLPFAAVQVGQAFRNEISPRQGPLRVREFTLAEIEHFIHPGNKSHPKFSRVAKLEFLMFPREEQVSGQSATKLCLGEAVAKGTVNNETLGYFIGRVYLFLTRLGIDKDRLRFRQHLANEMAHYAVDCWDAEIETSYGWIECVGIADRAAYDLRAHSKNVTIQKNMVSISKEKKKVYQGSFTSSVIEPSFGIGRIVYCLCEHCFSTMPSKAGDEQLNVFRFPPLVAPKMCMVFPLVKNQQFEETTRLISEELTSCRIDHDIDMKGISIGKRYVKSDELGVPFAITVDSETPVTIRERDSKDQVRVSLKEKMTWQDVWASFPHHSSHSCASSFNHHGLNDEGLSC >scaffold_103311.1 pep chromosome:v.1.0:1:13166286:13166665:-1 gene:scaffold_103311.1 transcript:scaffold_103311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREELQLLQEPGFYVGEVVKVMGKKKVLVKVYPEGKYVVDIDKSIYITKITSSTRVALRNDSYVLHLVLLVCGLFGVFSL >scaffold_103321.1 pep chromosome:v.1.0:1:13203445:13203686:-1 gene:scaffold_103321.1 transcript:scaffold_103321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPLSQGFLTDHEQALETLYADDAENSRHFNICLNMMATRIATVFASLKVYTFYTHSPKGDSLRL >scaffold_103322.1 pep chromosome:v.1.0:1:13203737:13205375:1 gene:scaffold_103322.1 transcript:scaffold_103322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDAGRALSHRRREFSFVHLAPFHSRSIISSLLLNFCFPFLNPFQTLLNLWLLVRSSTTKLQERRWCPAISISRPQLVSPLPFLPSFPRTAMFLCFSSFLSNLDQNHQLPTLASPKMIAPPQNLSSSPYGGHHAQVRLLPAFVKLGLQQLVGFIIFGPLWSPQPKPSRCFQTHYLLKPRSVPFLFLFISSQSLCLLSKLFVQGLQIERYASLLLWVSKIIVRILPLDSPRVIGHEIHCLIIEVFRLKKIGIMIPSPWSGDYRCFFTPSSLFPLNTETKQVLITNLMAIIHETRSLRKNGIMIPSLRSGGYHSFLNFLSPYAPLTEPIHVQTIKCRDNFLTPKSLKLASGYIFHVSNQRQTLCEILNLHGHVREDPPVLLRFLCSLVRILALASFCYALSKLNYQSTKTLASNLKHQITTTFARTRAKALRVLLLMPVRFTTLASSSTPLGLLTVAICSSIDSFLEELSITFDLTCTKKLLSFWLKALKELLLFNLIYPFFYLMLALGNALYGCIVNFGISDSFYLCIWFLS >scaffold_103324.1 pep chromosome:v.1.0:1:13209656:13209897:-1 gene:scaffold_103324.1 transcript:scaffold_103324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPLSQGFLTDHEQALETLYADDAENSRHFNICLNMMATRIATVFASLKVYTFYTHSPKGDSLRL >scaffold_103325.1 pep chromosome:v.1.0:1:13209948:13211657:1 gene:scaffold_103325.1 transcript:scaffold_103325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDAGRALSHRRREFSFVHLAPFHSRSIISSLLLNFCFPFLNPFQTLLNLWLLVRSSTTKLQERRWCLAISISRPQLVSPLPFLPSFPGTAMFLCFSSFLSNLDQNHQLPTLASPKMIAPPQNLSSSPYGGHHAQVRLLPAFVKLGLQQLVGFIIFGPLWSPQPKPSRCFQTHYLLKPRSVPFLFLFISSQSLCLLSKLFVQGLQIERYASLLLWVSKIIVRILPLDSPRVIGHEIHCLIIEVFRLKKIGIMIPSPWSGDYRCFFTPSSLFPLNTETKQVLITNLMAIIHETRSLRKNGIMIPSLRSGGYHSFLNFLSPYAPLTEPIHVQTIKCRDNFLTPKSLKLASGYIFHVSNRRQTLCEILNLHGHVREDPPVLLRFLCSLVRILALASFCYALSKLNYLSSSFLFNKKERKIFTNRSKAVPGFTCFVADKSISKKSYNRGFIKGRNSQISRTHLKS >scaffold_103330.1 pep chromosome:v.1.0:1:13227147:13228519:1 gene:scaffold_103330.1 transcript:scaffold_103330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAVRDLQKDLENKANDLGKIQKDIGKNHQLRKKYTIQLGENELVLKELDLLEEDANVYKLIGPVLVKQDLAEANANVRKRIEYISAELKRLDAILQDMEEKQNNKRETIMKLQQRLQSIQAGKAKA >scaffold_103331.1 pep chromosome:v.1.0:1:13229209:13231890:-1 gene:scaffold_103331.1 transcript:scaffold_103331.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:D7KEP5] MSNSLPYSVKDIHYDNAKFRHRSPLKVFSQSLLTLSTKRNYASCSTGKFLILILFFGVACLMLMSKSPNESVLNEKGKVTFVGGLRLGRLLRKPPRLPPRLSPDEGQVRGSSTNGSTVSNYDPKWAARQQSVKEAFDHAWSGYRKYAMGYDELMPISQKGVDGLGGLGATVVDALDTAMIMGLDNIVSEAGSWVETHLLERIIQKGQVNLFETTIRVLGGLLSAYHLSGGEQGTMNMTHNGPKPVIYLNIAKDLADRLLSAFTSSPTPVPFCDVILHESTAHPAPGGASSTAEVASVQLEFNYLSAISGDPKYSTEAMKVLAHIKNLPKTEGLVPIYISPQTGDFVGENIRLGSRGDSYYEYLIKVWLQQGAKLNSNFTYLHDMYIEAMKGVRHLLVRNSIPKGLVFVGELPYGSKGEFSPKMDHLVCFLPGTLALGATKGLTKEQALKENLLSFEDLENLKLAEDLAKTCFEMYEVTATGLAPEIAYFHTKDYSEDGLDGGNKSSMYANDIIIKPADRHNLLRPETVESLFVLYRITKDTKYRDQGWQIFEAFEKYTKVKSGGYTSLDDVTEVPPHRRDKMETFFLGETLKYLYLLFGDDSVIPLDKFVFNTEAHPLPIRNT >scaffold_103335.1 pep chromosome:v.1.0:1:13260069:13261080:1 gene:scaffold_103335.1 transcript:scaffold_103335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRDKDQEEEAKARKKHRVITASGVVSVIVFGDREKRPSITYPDLALNQFLQLGAAPIFPNDSAPCAENLADQILEFSTFSNET >scaffold_103337.1 pep chromosome:v.1.0:1:13282147:13283472:-1 gene:scaffold_103337.1 transcript:scaffold_103337.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KEQ1] MAEEVALDLDELRQLQNIAKRPRVLNLINSEISNLEKLRDSAVSSSAKPEVPVTVPAPVSSSVKPVSSAVNYVTLGTFSWDQDSEKVKMYISLEGIDEDKVQAEFKPMSLDIKIHDVQGKNYRCAIPKLHKEIVPEKCKVLVKPKRIVITMFKSFRGNWLDIHHKEDKIKPSLEKEKDPMAGIMGMMKNLYEDGDEEMKKTIAKAWTDARSGKAADPLKGL >scaffold_103342.1 pep chromosome:v.1.0:1:13339388:13340401:-1 gene:scaffold_103342.1 transcript:scaffold_103342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQLVVQGRSQLIGNEEETLLKSISEAPRFPSPAFRATFQRPTSGTLQVSLTGALRVKVDGNGMDIIVAIYDIVLE >scaffold_103349.1 pep chromosome:v.1.0:1:13372736:13374185:-1 gene:scaffold_103349.1 transcript:scaffold_103349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLSKLVPLIHKSSRSVRSFSSSTTGPCVSIGSIMEPSPDGGNLGQVLLFNIPDFKLVRADKTYPNELYDAQLVGASHGWGFFSNRTDRSLLISDYLNPHASKSQPKMIPLYSEYNPKGYEASTVIVMVFREEDTQAGRKNMRHTDDIGDLCIFISKGEDFCVKASSFPGLHPNSVFLNGRLFATLNMSNGTFGCYEYPQGTPEKVPYSPFWLPPFSP >scaffold_103350.1 pep chromosome:v.1.0:1:13375041:13375634:1 gene:scaffold_103350.1 transcript:scaffold_103350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KER7] MISCSGVCERSLAVADIYAHRRNGKVLRGEQTAKVSNKRRAPSDYLFETVELLCSSCVKCGFRDISCGCNCLLVIVEAKYLALVAALPPCKSTFSVQSKLFLSARLYTRVQIYRCWRYVLRCFRCISSLMGRRLGKVVVMSTVNQCYTSFRCSSSRSYPLIREIFPNHVKVYTFIFAQNDAIS >scaffold_103352.1 pep chromosome:v.1.0:1:13389250:13390118:1 gene:scaffold_103352.1 transcript:scaffold_103352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMPSYMIENPKFEPYKPKKRRYYTSSMLTIFLSIFTYIMIFYVFEVSPSSVFKDTKVLFFISNTLILIIAADYGAFSDKESHDFYSEYKAATATMRSRADYYSPIPVSRQRENLRDAKIKNPKEEEEGVPMVKEIVYVYPPEKIVTVVNEEKPRDVLAIENFKQVTDQTVASEEACDARNHVNPNKPYGRSRSDKPRRKRLSEGTETTKRKSYGRRKSDCSTRMVIPEKWENVKEESEEFSKLSNEELNKRVEEFIQRFNRQIRSQSSRVSST >scaffold_103360.1 pep chromosome:v.1.0:1:13428400:13428691:-1 gene:scaffold_103360.1 transcript:scaffold_103360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTQNTLFVFRQDQQVLAPQPRTPFSAVTAHRATAIRRCRLMISVTTLEMILEEEITPEEGHPNITPAIDSLAVVFPVTSCF >scaffold_103361.1 pep chromosome:v.1.0:1:13432840:13433164:1 gene:scaffold_103361.1 transcript:scaffold_103361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARLARFITEVAPPQFVTVMRRRTAKVLDTIKEEEREVGTDSIFSSSLTSKNISSPFTSTYPSSVSSSASCSSGLKKFPVIENRGSFPVFKN >scaffold_103362.1 pep chromosome:v.1.0:1:13444014:13445182:1 gene:scaffold_103362.1 transcript:scaffold_103362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLFNINTLLMVSFHALMRFRVCQWKLASLFTPSTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_103371.1 pep chromosome:v.1.0:1:13526682:13528303:-1 gene:scaffold_103371.1 transcript:scaffold_103371.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7KET5] MENALVKTPLRKLRRRRIKRVWRLKLAWKSIKIRVKSHLPGFLSTKKHLFHVKSRKEEQDLSQVARRICKISNDSTKSLAFLLQLPKYSADDFLDRGDLMTPATSPRENISKMWRELHGSNNWENLLDPLHPWLRREVTKYGEFVESVYDSLDFDPLSEFCGSSRYNRNKLFEELGLTKHGYKVTKYIYAMSHVDVPQWFLSSAMGETWSKDSNWMGFVAVSGDRESLRIGRRDIVVAWRGTVTPTEWFMDLRTSKEPFDCKGEHGKNVVKVQSGFFSIYKSKSKLTRYNKESASEQTMEEVKRLVNFFKDRGEEVSLTITGHSLGGALALMNAYEAARDVPALSGNVSVISFGAPRVGNLAFKERLNSLGVKVLRVVNKQDIVPKLPGIVFNKVLNKLNPITSRLNWVYRHVGTQLKLDVFSSPYVKRDSDLGRAHNLEVYLHVLDGFHCKKSGFRVNARRDVASVNKSTDMLLDHLRIPEFWYQVAHKGLILNKQTGRWVKPVRAPEDIPSPLSTGPKPIYNL >scaffold_103372.1 pep chromosome:v.1.0:1:13529919:13530301:-1 gene:scaffold_103372.1 transcript:scaffold_103372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAKVFSIITTSASGVHSSTNSFRKASSSNKYAVLDLASDVVLPDDSEEDVSSGSDDSDEDLILNLKSPFSEKHLQDRPLQLPIKAINIGRGGRRGGRRGRGNRGRRGGFG >scaffold_103373.1 pep chromosome:v.1.0:1:13531373:13531562:-1 gene:scaffold_103373.1 transcript:scaffold_103373.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KET7] MTAAQTAYGTGYSIGAVVDWCCSPERSSNENAKAFSFAAAPRRIDAPIS >scaffold_103374.1 pep chromosome:v.1.0:1:13532308:13533038:1 gene:scaffold_103374.1 transcript:scaffold_103374.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit X psaK [Source:UniProtKB/TrEMBL;Acc:D7KET8] MASTVMTTLPQFNGLRATKISAAPVQGLASVQPMRRKGNGALGAKCGDFIGSSTNLIMVTSTTLMLFAGRFGLAPSANRKATAGLRLEARDSGLQTGDPAGFTLADTLACGTVGHIIGVGVVLGLKKIGAI >scaffold_103377.1 pep chromosome:v.1.0:1:13556383:13556766:-1 gene:scaffold_103377.1 transcript:scaffold_103377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGFSIGSCFKAENLPVLISVIDCLYNKASDFIFLIIKLFQEKYSTMTGLDEKTELQMLAFKSSKIRLLRSMAIENETMRLLIFCIVGFLVVFFFCVCIMCRSLTFQVAWLA >scaffold_103378.1 pep chromosome:v.1.0:1:13562072:13569676:1 gene:scaffold_103378.1 transcript:scaffold_103378.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMRP12 [Source:UniProtKB/TrEMBL;Acc:D7KEU2] MGFEALNWYCKPIAEGFWEKTPDGAFGAYTPCAIDSLVMIVSNSLLLSLCFYRIWITLDNAKAQIYVLRKKYYNCVLWILASYCVVEPVLRLFMGISLFDQGEETDLPPFEVASLTVEAFAWLSMLVLIGLETKQYVKEFRWYVRFGVVYVLVADAVLLDLVLPLKNSINRTALYLCISSRCCQALFGILLLVYIPALDPYPGYHILNNESLDSVEYDALRGGENICPERYASIFSGIYFSWMTPLMQLGYRKPITEKDVWRLDQWDQTETLIKRFQSCWTEESRRPKPWLLRALNNSLGQRFWLGGIFKVGHDLSQFVGPVILSQILLSMLEGDPAWVGYVYAFLIFFGVTFGVLCQSQYFQHVGRVGFRLRSTLVAAIFHKSLRLTNEARKNFASGKVTNMITTDANALQLIAEQLHGLWSAPFRIIVSMVLLYQQLGVASIFGSLILFLLIPLQTLIVRKMRKLTKEGLQWTDKRVGIIYEILASMDIVKCYAWEKSFESRIQGIRNEELSWFRKAQLLSAFNSFILNSTPVVVTLVSFGVFVLLGGDLTPARAFTSLSLFAVLRSPLSTLPNLISQAVNANVSLQRIEELLLSEERVLAQNLPLQPGAPAISIKNGYFSWDSKTSKPTLSRINLEIPVGSLVAIVGGTGEGKTSLVSAMLGELSHAETSSVVIRGSVAYVPQVSWIFNATLRENILFGSDFESERYWRVIDVTALQHDLDLLPGRDLTEIGERGVNISGGQKQRVSMARAAYSNSDIYIFDDPFSALDAHVAHQVFDSCMKDELKGKTRVLVTNQLHFLPLMDRIILVSEGMIKEEGTFAELSKSGSLFQKLMENAGKMDSTQEVNKNEEKSLKLDPTITIDLDSTTQGKRGRSVLVKQEERETGIISWDIVMRYNKAVGGLWVVMILLVCYLTTEILRVLSSTWLSIWTDQSTPKSYSPGFYIVLYALLGFGQVAVTFTNSFWLISLSLHAAKKLHDAMLNSILRAPMLFFETNPTGRVINRFSKDIGDIDRNVANLMNMFMNQLWQLLSTFALIGIVSTISLWAIMPLLILFYATYIYYQSTSREVRRLDSVTRSPIYAQFGEALNGLSSIRAYKAYDRMAKINGKSMDNNIRFTLANTSSNRWLTIRSESLGGVMIWLTATFAVLRYGNAENQALFASTMGLLLSYTLSITTLLSGVLRQASKAENSLNSVERVGNYIDLPSEATYIIENNRPVSGWPSRGSIKFEDVHLRYRPGLPPVLHGLSFFVYPSEKVGVVGRTGAGKSSMLNALYRIVELEKGRIMIDDYDVAKFGLTDLRSALSIIPQSPVLFSGTVRFNIDPFSEHNDADLWEALQRAHIKDVIDRSPFGLDAEVSEGGENFSVGQRQLLSLARALLRRSKILVLDEATASVDVRTDSLIQRTIREEFKSCTMLVIAHRLNTIIDCDKILVLSSGQVLEYDSPQELLSRDTSAFFRMVHSTGPENAQYLTNLVFERRGNGMSLGG >scaffold_103382.1 pep chromosome:v.1.0:1:13587603:13591603:-1 gene:scaffold_103382.1 transcript:scaffold_103382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDADGLSFDFEGGLDSGPAQPSASVPVAPPDNSSSAAVNVAPTYDHSSATVAGAGRGRSFRQTVCRHWLRGLCMKGDACGFLHQYDKARMPICRFFRLYGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKLPGPPPPVEEVLQKIQQLTSYNYGPNRFYQPRNVAPQLQDKPQGQVLTQGQPQEAGNLQQQQQQQPQQSQHQVSQTQIPNPADQTNRTSHPLPQGVNRYFVVKSCNRENFELSVQQGVWATQRSNESKLNEAFDSVENVILIFSVNRTRHFQGCAKMTSRIGSYIGGGNWKHEHGTAQYGRNFSVKWLKLCELSFHKTRNLRNPYNENLPVKISRDCQELEPSVGEQLASLLYLEPDSDLMAISIAAEAKREEEKAKGVNPESRAENPDIVPFEDNEEEEEEEDESEEEEESMAGGPQGRGRGRGMMWPPQMPLGRGIRPMPGMGGFPLGVMGPGDAFPYGPGGYNGMPDPFGMGPRPFGPYGPRFGGDFRGPVPGMMFPGRPPQQFPHGGYGMMGGGRGPHMGGMGNAPRGGRPMYYPPATSSARPGPTNRKTPERSDERGVGADQQNQDTSHDMEQFEVGNSLRNEESESEDEDEAPRRSRHGEGKKRR >scaffold_103386.1 pep chromosome:v.1.0:1:13622064:13623159:-1 gene:scaffold_103386.1 transcript:scaffold_103386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFTSSSIERYNDFSRLNPAIVGWHVHVKVLRRFHTDDYISKGGLGLLLVDDKGNQIEALICSLLTSHYSTFIEEDEFYTIMNFRVVENSGFTKLTRSDFKIMFYDGTIVKEASSFSQDDYIVATPFGAIFNGYHDTSYLITLIGRVVESSDLTNVTDEPSVIGGYRYSFTIEDQENKTLKCCAYGGVAIECHDRFRNVIGTDVTCVLRWWKVYQLLDGWRHVRIYSHPPYSNILVQSDADNVEHS >scaffold_103394.1 pep chromosome:v.1.0:1:13702741:13704301:-1 gene:scaffold_103394.1 transcript:scaffold_103394.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase [Source:UniProtKB/TrEMBL;Acc:D7KFK6] MGFEFSIQAGAVSVSIGNERSLRRSVFKNNSISFKGKSWSSSLALNQKTTGIRDAKRYTNTTICMSVQQTSSSKVTVSPIDLEDAKDPPLNLYKPKDSYTAKIVSVERVVGPKAPGETCHIVIDHDGNLPYWEGQSYGVIPPGENPKKPGAPHNVRLYSIASTRYGDFFDGKTASLCVRRAVYYDPETGKEDPSKNGVCSNFLCDSKPGDKIQITGPSGKVMLLPESDPNATHIMIATGTGVAPYRGYLRRMFMENVPNKTFSGLAWLFLGVANTDSLLYDDEFTKYLKDHPENFRFDKALSREEKNKKGGKMYVQDKIEEYSDEIFKLLDNGAHIYFCGLKGMMPGIQDTLKRVAEERGESWDLKLSQLRKNKQWHVEVY >scaffold_103396.1 pep chromosome:v.1.0:1:13709877:13710654:-1 gene:scaffold_103396.1 transcript:scaffold_103396.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFK8] MTMIISTPFSAFFTLTRQNRAIQTYHHLSSFLSHIFSLSKPFSQSRLPLIENLFLLQRST >scaffold_103397.1 pep chromosome:v.1.0:1:13711987:13712349:1 gene:scaffold_103397.1 transcript:scaffold_103397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREVPNMKLKRINAFACLIDDKIYVMGGCTTCYGNSSWFEMFDITSQTWRNLPMIPDINISLAYDWRIDAVEGKIYVPVDCLLETLLVDCFQFAFCTYRLEKNR >scaffold_103402.1 pep chromosome:v.1.0:1:13728883:13730455:1 gene:scaffold_103402.1 transcript:scaffold_103402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWTSSEFLYEEVKPPGIHFLERFKRSGKLSFKQYQAMVFVLTFIAYIAFHATRKPNSIVKGTLSEQPTGHFKGAGKGGWAPFDGPDGTALLGQIDLAFLSVYAVGMFVAGHLGDRLDLRTFLTIGMIGTGVCTALFGVAFWANIHAFYYFLAIQTLAGWFQSIGWPCVVAVLGNWFDKKRRGVIMGIWSAHTSIGNIIGTLIATGLLKFGWGWSFVGPALLITFLGIVIYLFLPVNPVAVESERDGTEVDSTMRLGDTITESFLSSRTSTGFDRRAVGFLAAWKIPGVAPFAFCLFFTKLVSYTFLYWLPFYVSQTEIGGEHLSQETSGNLSTLFDVGGVVGGILAGYFSDQLDGRAITAGGFIYLTIPALFLYRIYGHVSMTINIILMFIAGLFVNGPYALITTAVAADLGTHKSLKGNARALATVTAIIDGTGSVGAAIGPVLTGYIAAISWDAVFYMLMTAALISGLLLTTLIIEEVKTLLYGSSEEDEVAAASTSRPPIDVLI >scaffold_103404.1 pep chromosome:v.1.0:1:13738127:13741707:-1 gene:scaffold_103404.1 transcript:scaffold_103404.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Obg-like ATPase 1 [Source:UniProtKB/TrEMBL;Acc:D7KFL6] MPPKAKAKDAGPVERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVNIPDERFDWLCQTYKPKSEIPAFLEIHDIAGLVRGAHEGQGLGNNFLSHIRAVDGIFHVLRAFEDADIIHVDDVVDPVRDLETITEELRLKDIEFVGKKIDDVEKSMKRSNDKQLKIELELLQKVKAWLEDGKDVRFGDWKTADIEILNTFQLLSAKPVVYLINMNERDYQRKKNKFLPKIHAWVQEHGGDTMIPFSGVFERSLADMAPDEAAKYCEENKLQSALPRIIKTGFSAINLIYFFTAGPDEVKCWQIRRQSKAPQAAGAIHTDFERGFICAEVMKFEDLKELGNEPAVKAAGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >scaffold_103407.1 pep chromosome:v.1.0:1:13751535:13751793:1 gene:scaffold_103407.1 transcript:scaffold_103407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARAVYLGEAEQVPTKDDKELELEIVRNLRKRCVESQRQISVALEAFPLDFQDQLNQYMDKRFVYTFLLQI >scaffold_103408.1 pep chromosome:v.1.0:1:13755255:13758901:1 gene:scaffold_103408.1 transcript:scaffold_103408.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2279 [Source:UniProtKB/TrEMBL;Acc:D7KFM0] MAVTISTNTFVNASFLDESRNFFWKPLFPQPSYNCRRVVRLNSRKSKTKVMFCLNLNTKEVGMQTSGDKGFEFKPSFDQYLQIMESVKTARKKKKFDRFKVEDDDGGVGNSDSVDSEVKDGVSSRRLGLDKDVKIKSGEAKDESFRRRYTRQEIVSDKRNVRGVNRSAEIGIQRINKDVKWSKSGESSVTVQEDESFRRSYSKQEHHRSSETSRGSKVDELDLVVEERRFPRIAKDARWSKSGESLVTLSEDESFRRRNPKQEMVRYQRVSDTPRGIERGSKGYGLDLLAEERRIERLANERHEIRSSKLSGTRRIGAKRNDDDDDSLFAMETPAFRFSDESSDIVDKPATSRVEMEDRIEKLAKVLNGADINMPEWQFSKAIRSAKIRYTDYTVMRLIHFLGKLGNWRRVLQVIEWLQRQDRYKSNKLRIIYTTALNVLGKSRRPVEALNVFHAMLLQISSYPDMVAYRSIAVTLGQAGHIKELFHVIDTMRSPPKKKFKPTTLEKWDPRLEPDVVVYNAVLNACVQRKQWEGAFWVLQQLKQRGQKPSPVTYGLVMEVMLACEKYNLVHEFFRKMQKSSIPNALAYRVLVNTLWKEGKSDEAIHTVEDMESRGIVGSAALYYDLARCLCSAGRCNEALNMADLDHTIQCQLNKICRVANKPLVVTYTGLIQACLDSGNIKNAAYIFDHMKKVCSPNLVTCNIMIKAYLQGGFFEEARELFQKMSEDGNHIKISSDFESRVLPDMYTFNTMLDVCAEQKKWDDFGYAYREMLHHGYHFNAKRHLRMVLEASRAGKEEVMEATWEHMRRSNRIPPSPLIKERFFRKLEKGDHISAISSLADLDGKIEETELRAFSTSAWSRVLSQFEQDSVLRLMDDVKRRVGSRNESSDSVLGNLLSSCKEFLKNRTQGVVS >scaffold_103409.1 pep chromosome:v.1.0:1:13769663:13770106:1 gene:scaffold_103409.1 transcript:scaffold_103409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGGCALVLQTLAAGFKLDPLSNRVKESELRAFYLLRQQHLSLLSLWNGTLVNPSLNQSENVLGSFVLFEDVKSAVSKQISLNKEIQEVSDDAKERDL >scaffold_103410.1 pep chromosome:v.1.0:1:13774727:13777273:1 gene:scaffold_103410.1 transcript:scaffold_103410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFGRARSQGRQNRSMSLGGLDYADPKKKNNYLGKILLTASLTALCIFMLKQSPTFNTPSVFSRHEPGVTHVLVTGGAGYIGSHAALRLLKESYRVTIVDNLSRGNLGAVKILQELFPEPGRLQFIYADLGDAKAVNKIFTENAFDAVMHFAAVAYVGESTQFPLKYYHNITSNTLVVLETMAAHGVKTLIYSSTCATYGEPDTMPITEETPQVPINPYGKAKKMAEDIILDFSKNSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIMPGLQIKGTDYKTADGTCVRDYIDVTDLVDAHVKALQKAKPRKVGIYNVGTGKGSSVKEFVEACKKATGVEIKIEYLPRRAGDYAEVYSDPSKIRKELNWTAKHTNLKESLETAWRWQKLHRNGYGLTSSVSVY >scaffold_103413.1 pep chromosome:v.1.0:1:13788182:13790713:1 gene:scaffold_103413.1 transcript:scaffold_103413.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 14 [Source:UniProtKB/TrEMBL;Acc:D7KFM6] MCSVSELLDMENFQGDLTDVVRGIGGHVLSPETPPPNIWPLPLPHPPPSPSDLHINPFGDPFVSMNDPLLQELNSVTNSSYFSNAGDNNNNNNGFLVPKVFEEDHIKSQCSIFPRIRISHSNIIHDSSPCNSPAMSAHVVAAAAAASPRGIINVDTNSPRNCLLVDGNTFSSQIQISSPRNLGLKRRKSQAKKVVCIPAPAAMNSRSSGEVVPSDLWAWRKYGQKPIKGSPFPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPIQRNALAGSTRSSSSSSNPNPSKPSTANITSSSIGSQNTIYLSSSTIPPPSLSSSAVKDEREDDMQLENIEDDEDNQIAPYIPELHDHQHQPDDFFADLEELEGDSLSMLLSQGCTGDGKDKTTASDGISNFFGWSGDNNDNYHDQDSRSL >scaffold_103417.1 pep chromosome:v.1.0:1:13820286:13820523:-1 gene:scaffold_103417.1 transcript:scaffold_103417.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFN0] MELYAEKKNPSLERWSTPTLSNGHMMDPTSKHKETNHSRWMIQPGPYLPAWQILKIAKQNNYYN >scaffold_103419.1 pep chromosome:v.1.0:1:13826313:13828205:1 gene:scaffold_103419.1 transcript:scaffold_103419.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KFN2] MKYTLILFLFFVVFIWQSSSSSANSETFTQCLTSNSDPKHPISPAIFFAGNRSYSSVLQANIRNLRFNISSTPKPFLIIAATHESHVQAAVTCGKRHNLQMKIRSGGHDYDGLSYVTYSRKPFFVLDMFNLRSVDVDVASKTAWVQTGAILGEVYYYIWEKSKTLAYPAGICPTVGVGGHISGGGYGNMMRKYGLTVDNTIDARMVDVNGKILDRKLMGEDLYWAINGGGGGSYGVVLAYKINLVEVPENVTVFRISRTLEQNATEIVNRWQRVAPELPDELFIRTVIDVVNSTVSSQKTVRATFIAMFLGDTTTLLSILNRRFPELGLVRSDCTETSWIQSVLFWTNIQVGSSEKLLLQRNQPVNYLKRKSDYVREPISRIGLESIWKKMIELEIPTMAFNPYGGAMGRISSTVTPFPYRAGNLWKIQYAANWREDRLTDRYMELTRKLYQFMTPFVSKNPRQSFFNYRDVDLGINSHNGKMSSYVEGKRYGKKYFAGNFERLVKIKTRVDRGNFFRNEQSIPVLP >scaffold_103420.1 pep chromosome:v.1.0:1:13829019:13830656:1 gene:scaffold_103420.1 transcript:scaffold_103420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KFN3] MASLTTQTLIITIFLLAIPRSFASPPSLEDVFAQCLADFKPSNPKSPIQNFIYTQQSPNFLTILNNYVRNLRYFNNTTRKPVAIVAAADVTHIQATITCAKNLGLQLRIRSGGHDYDGMSYLSTIDFVVLDMFNLRSINIDPKLDTAWVQSGATLGEIYYGVANKSNDLRGFPAGICPGLGAGGHFSGGGYGNMMRKYGLSIDNIIDAKIVDAKGRVLDRSSMGEDLFWALRGGGAASFCVVLAWKIKLVPVPTKVTVFNVETVGNRGSVNITELVTKWQEIADKIDNDLFIRLTLGSSNKTVKASFMGMYLGNSSKLLEIMNAKFPELGLNKTECIEMKWIESVLFWLGIPPGTAPTTSMLNRIPQKQIYLKRKSDYVQKPISRTGLESIFKVLTENENVTMAFNPYGGRMSEIPSTETAFPHRAGNMFKIQYAANWFVPGEAVAKDCLSQTERVFEAMSPYVSKNPREAFLNYRDVDIGKSLNSTYEEGKVYGVKYFKDNFEKLVNIKSRVDPDNFFRYEQSIPVLSSH >scaffold_103421.1 pep chromosome:v.1.0:1:13832114:13833735:1 gene:scaffold_103421.1 transcript:scaffold_103421.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KFN4] MEKWLVISLLLLISTSITTSQSVTDPIAFLRCLDRQPTDPTSPNSAVAYIPTNSTFTAVLRRRIPNLRFDKPTTPKPISVVAATTWTHIQAAIGCARELSLQVRIRSGGHDFEGLSYTSTVPFFVLDMFGFKSVDVNLTERTAWVDSGATVGELYYRIAEKSNVLGFPAGLSTTLGVGGHFSGGGYGNLMRKYGLSVDNVVGSGIVDSNGNIFTDRVSMGEDRFWAIRGGGAASYGVVLGYKIQLVPVPEKVTVFKIGKTVREGAVDLIMKWQSFAHSTDRNLFVRLTLTLVNGTKPGEKMVLASFIGMYLGRSDKLLTVMNRDFPELKLKKTDCTEMRWIDSVLFWDDYPVGTQTSVLLNPVAKKLFMKRKSDYVKRPILRAGIDLILKKLVEVEKVKMNWNPYGGRMGEIPSSRTPFPHRAGNLFNIEYIIDWSEAGDNVERKYLALANELYGFMTPYVSSSPREAFLNYRDLDIGSSVKSTYQEGKIYGVKYFKDNFERLVDIKSTIDADNFWKNEQSIPVRS >scaffold_103423.1 pep chromosome:v.1.0:1:13837379:13839032:1 gene:scaffold_103423.1 transcript:scaffold_103423.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KFN6] MDKIYLFFLLFFAASSSISLSSADSVTIYEDFVRCFKNVTTISDDDLSAVVLPRTSVSFTPTLRAYIRNARFNTSSTPKPSIIIVPRVDSHVQAAVICAKTLNLQLKIRSGGHDYDGLSYVSAVTFLVLDLSNFRNITVDITDDGAGSAWVQTGATLGELYYRIWEKSEVHAFPAGVCPTVGVGGHVSGGGYGHMIRKFGLTIDHVVDSTIVDANGQIHDRKSMGEDLFWAIRGGGGGSFGVILAFKVKLVTVPKTVTVFRVDKSVDENALDMVHKWQFVAPRTDPGLFMRVLLSSPTQNKTRTVNAKLRALYLGRADDVVLKMTEEFPELGLKKEDCKEMTWIQSLLWWMNHVDVDKVKPEILLEREPDSAKFLKRKSDYVEKEMTKPELNRLFQKLATLDRTGLVLNPYGGNLNVTAVNETAFPHRHKLYKIQHSATWPDAGPEAERLYIGNLRTTYKFMTPFVSKNPRSSYLNYRDIDIGVNDHGEDSYRKGEIYGRKYFGENFDRLVRVKTAVDPENFFRNEQSIPTLPSNRR >scaffold_103424.1 pep chromosome:v.1.0:1:13839488:13840178:-1 gene:scaffold_103424.1 transcript:scaffold_103424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKFAKTGRCMLTIILLCLLVSSFSEAAQVTQITTPLEPTGVINSPTKPQVNQNQPILGQPSSSSPVNQPLTGLSQPLTGFNQPSSTGLSQPILGQPSTSSSVNQPLTGLNQPLTGFNQPSSTGLNQPILGQPSSSLNQPLTGFNQPSSTGFNQPISSSSSSSAQNQPFTNRLNQNNLSGVPFLNGNSKLKISGTKIVFIWIGLFLALHGTDRN >scaffold_103433.1 pep chromosome:v.1.0:1:13891680:13892552:1 gene:scaffold_103433.1 transcript:scaffold_103433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSGSFSSGSYCASVHGLIGCISTGPVTVCNPSTGKVTTFPVRTSLGYDPIDDKIKALTVVSTPYRNHDFLMHEVVTLGRRRIFMDTYERLSFIKAPMDVISWEGESILIEYKGKLAFIVRHPYADFESFDLWILEDVKTHDLSNQTFELPFSLGLGTTMTSPGTNKAGEIIFAPKALSPDVQPFYIFYYNVERKDMRRVRLLGIADYEEFRSRYGFANDCYVSISPEHIESIASF >scaffold_103436.1 pep chromosome:v.1.0:1:13898327:13898630:-1 gene:scaffold_103436.1 transcript:scaffold_103436.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFP7] MQGGLLDGTLIAIKKLSSKSCQGTKICKRDQYDHLPLQHPNLMLEVVFDVMIISNAGADLRFKQSSLSSDYVVSIVTSSSESAFDL >scaffold_103440.1 pep chromosome:v.1.0:1:13907231:13908614:-1 gene:scaffold_103440.1 transcript:scaffold_103440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHYKAILEERLNYLLTKRTVCYAELDTGGKRIECPNCHVQIIPTLGNWISDKFPCKSCKANLCFRNSTAKTARCPCRNISRPVPNNLIMLECGGCQARVVHQKSDKTVKCSECKHINIPTVGRTYGVRPENQAPPQVYQIVPPQVNQDLNQVPPQVNQGYRVVPIPQVNKDLPQTYKLVPCNRNGVVSPQVNQDPSHLYQVVPREVNQVPPQVNRVIPPRVYQRRNHGATPETESTASSSFNPRPEIIVVEYPDDTVAETVRNVAIKREVEEDKTEEAGSKKIRL >scaffold_103442.1 pep chromosome:v.1.0:1:13923055:13923275:1 gene:scaffold_103442.1 transcript:scaffold_103442.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFQ3] MVTSHHSPFPPIFSFIAPNYLTALHLSPFELHNPSQFCQFQTRLYHFATIDDDADNFKW >scaffold_103451.1 pep chromosome:v.1.0:1:13991896:13992850:1 gene:scaffold_103451.1 transcript:scaffold_103451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAMLTFDDGLARKSNDNEWQGFRVFPGENKNPNPYPDFNFLVKKAILHSEKSTNPLFSRSSTRDDSFKIVLPPAMPPPRDSAVPLPMLPEPMRVRKKLSHQESVIFMTKSRYSEKILYKKEDFKCNAFCLSLPSFGKNKPVRSSSKRQDSMGKKMIRASSFTGSTVSVRASLEKFECGSWASTTALIQDNGRLFFDLPMEMTKCNSRGGNGGRDVQEPVTSGFLFDRETETLALRSVLKTRSTRDHRRSAETSPQRRVRFSTSSASASVSCPTSPRTCITPRLRKARDDFNTFLTAQNS >scaffold_103460.1 pep chromosome:v.1.0:1:14020946:14024748:-1 gene:scaffold_103460.1 transcript:scaffold_103460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREKTFDSIPKDLFPDIFSKLSLSEVISREICSYASGLAYLSDMRISVKDEDVVRVICNPITGKYTVLPKLRRYVKSYSYLVFDPIDKQFKVLFMAYPSGPDDHKILTLGTRKMRWRKIHCPLTHDPFCGGICINGVFYYLAIKSDETLVNRRSYVIVCFDVRFEKFKFIDVECFYHLINYKGKLGGINWNYASADGSRTFELRMWVLDDAEKHEWSKYDYTFPEHEVFFYNIVLAVGMAATCEIVLSEKFTSKPFYVFYFNPERDTLQRVEIQGLENHCRVYTIADHVEDLNVKDAKQLKSGPYIITKKPKAQQRRSCLSISEYDCSYASGLIYFHNMCIPREDEDTKRVICNPTTGQYVILPELRKHFMSYSYLGFDPIDKEFKVLLMNTSGYIAYNDTDHHILTLGTGKMRWRKIQCPFNHEPLWERICINGVLYYKAHQFDGRSYVIVCFDVRSEKFKFIEATFCNMFTQLINYKGKLGVVNLKNVDDGTSFRHTLEFRMWVLEHVEKMEWSEYVYTLLDSNEAADDLVVDLVVVGMTATGDIILSKIDTCEPIYVFFFNPERKTFQSVEIQGFGANRDCRVYPFVDYVDDLSLNHAKQLKSSPVKKGLNVITETRKPKQHRHRSREVCNSTPSVKDKQQNKISYIF >scaffold_103462.1 pep chromosome:v.1.0:1:14046115:14051770:-1 gene:scaffold_103462.1 transcript:scaffold_103462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPKEDEDEQRVLYDPLTGQYVILPQLRGESDSYLGFDPIDKEFKVLVMNTSYYTAYNDVDHHILTVGTGKLRWRKIQCPFTHEPFWERICINGILYYLALDSDGRYFMVVCFDVRSEKFKLVDIECRFDQLVNYKGKLCGIDLKNAYYGGFPLELRMWVLEDVQKREWSKYVYTLRDDNKVVKVNYNLSVSGMTATGDIVLLLNNASNPYYVSYFNPERNTLQIQSVEIQGLRANCDRMAYYYAFVDYVEDLSVNDAMQLKSSPLQQCQNIVTEKPRQRCYTSRDLSNSAPSVMNDVEDLSVNDAVLQLKSNPLQQCQNIVTKRPKPRHRRHTSRDLSKSSPFVKNKQQNNYASGLIYFHNMRIPREDEDEKRVLCDPLTGQYVILPELRVGHSCSYLGFDPIDKEFKVLFMNTSDYIASNDVDHYILTLGTGKLKWRKIRCPFTHEPVWNRICINGVLYYLAISSNGLPYVLVCFDVRSEKFKLLDIEYRYGFDGLINYKGKLCGINLKYAYHGGFPVKLTMRVLEDVEKPEWSKHDYSLWVESKVVKVNNNLSVSGMTATGDIVLSMKYVSNPCYIFYFNPERNTLQVQSVEIQGLGANRDCISYYAFVDYVEDLSVNDAMLQLKSSPLLQGRNIVTKRPKPKHRRHTSRDLSKSAPSVKNKHQNKKYHFIIPIDTAWNMPLDDDIFDLQTHLLHKRLIHSNGFGHFDLH >scaffold_103464.1 pep chromosome:v.1.0:1:14057652:14058780:-1 gene:scaffold_103464.1 transcript:scaffold_103464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPKENEDAKRVLCDPLTGQYVILPELRGHSYSYNYLGFDPIDKEFKVLFMNTSDFIASKDVDHHILTLGAGELKWRKIRCPFTHEPGWNRICINGVLYYLALHSDGGPYVIVCFDVSSEKFKFIGAKDFHDQLINYKGKLCGVNVEHDNGGGFPVKLCMWVLEDVQKPEWSKYVYTLRADNKVVNVNSNISVSGMTATGDIVLSLNMASNLYYVFYFNPEWNTLQVQSVEIQGLGANRDRIAYYAFVDYVEDLSVNDALLPFKSSPLQQGKDIVTKRLKPRQLRYTSRDLSKSAPSVKNDVEDLSVNDEVLQLKSSPLQQCRNIVTKIPRQLCYTSRDLSKSALSVKNDVEDLSVNDAML >scaffold_103467.1 pep chromosome:v.1.0:1:14076288:14077136:-1 gene:scaffold_103467.1 transcript:scaffold_103467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYAGIDHQYLCSYASGLIYIPVRYLRISKDLECMMCNPTTGRYVSLLELDSYKRSRNFLGFDPIRKQHKVLFMNNIVNDEWVHHILTFGTEKLMWWKIQCTLNHEPFGKEICINGVLYYSARTDHANLLIVCFDVRSEKFNFIDASYFFYTYTKLINYKGKLGVTNLEYGGLFSIELCVWVLEDVEKQEWSKHVYSLTETVVLQCDYNICVAGMTATGEIVLSQYNTSTSKPFYVFYFNPDRNTIQSVLKSKVLEITLIVEFMPL >scaffold_103468.1 pep chromosome:v.1.0:1:14082522:14083319:-1 gene:scaffold_103468.1 transcript:scaffold_103468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKPTKEEAAAVTSVFWDIKRCPVPTGCDARLVGPCIKRALKNNGYFGPLTISVVGILSEVPDDVLRLVSSTGIVLNHVATDYLHVADAICEWAERYPPPANLMVISDNKDPPSLLRILEKDGYNILEPFQFSELEGALEEDKCSETGDSASWVCSICEYLPGQGFEKFTNHLSSQKHAQKVIKRTDLLYQYIFVLV >scaffold_103469.1 pep chromosome:v.1.0:1:14084638:14084893:-1 gene:scaffold_103469.1 transcript:scaffold_103469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNINGQKHVYSLPETIVLQCHYNICVVGMTATSEIVLSEYNTSISKPFYVFYFNPERNTIQSVKIQGF >scaffold_103471.1 pep chromosome:v.1.0:1:14087445:14088486:-1 gene:scaffold_103471.1 transcript:scaffold_103471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPMKEEAAAVTSVFWDIKRCPVPLAAEPAQRIKATLVLSPSPSLVYYQKSLMTPCERSPPLESFLITSPRSFIVCETNYLHVANAICEWAERYPPPANLMVISDNKNPPAPLVFFSEDGYNIIEPFPFAELEGVLEEDKCSATGESASWVCSICEYLPGQGFEKFINHLSSQKHARNVIKRTDLLY >scaffold_103473.1 pep chromosome:v.1.0:1:14091077:14092249:-1 gene:scaffold_103473.1 transcript:scaffold_103473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSLEAKVIVYIYIYASGLFCFPAVWISKDKGEDASPVICNPSTGHCAILPKLKTDMQTRSYLGFDPIDKQFKVLIMMGIFNSERVHRILTLGTGKMTWRNIQCPFTEYPLLKGICINGVLYYLAQYIDERNHICYMIVCLDVRSEKFKFVDAICLFHQLINYKGKLGGINLNYNNGFPLNMWVLEDVEKQEWSKYVYSLCAESKVVKVNPKLSVVGMTATGDIVLSGKFISNPFYVFYFNPERNTLLSVEIKGLDYLGRVSVFVDHVEDLNVYDTKQLNSSIYAHLQQDRGMFESSNKFDALCLSDDD >scaffold_103474.1 pep chromosome:v.1.0:1:14096580:14097954:1 gene:scaffold_103474.1 transcript:scaffold_103474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNVFINNPSLTLPFSYTFTSSSNSSTTTSTTTDSSSGQWMDGRIWSKLPPPLLDRIIAFLPPPAFFRTRCVCKRFYSLLFSNAFLETYLQLLPLGHNCFLFFKHKTLKSYIYKRGGGTNDDDSSKAEGFLFDPNEIRWYRLSFAYIPSGYYPSGSSGGLVSWVSEEAGLKTILLCNPLLGSVSQLPPISRPRLFPSIGLSVTPTSIDVTVAGDDLISPYAVKNLSSESFHVDAGGFFSLWAMTSSLPRLCSLESGKMVYVQGKFYCMNYSPFSVLSYEVTGNRWIKIQAPMRRFLRSPSLLESKGRLILVAAVEKSKLNVPKSLRLWSLQQDNATWVEIERMPQPLYTQFAAEEGGKGFECVGNQEFVMIVLRGTSLQLLFDMVRKSWLWVPPCPYSGCGGSGSGGSDGEVLQGFAYDPVLTTPVVSLLDQLTLPFPGVSF >scaffold_103475.1 pep chromosome:v.1.0:1:14098142:14100407:-1 gene:scaffold_103475.1 transcript:scaffold_103475.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein [Source:UniProtKB/TrEMBL;Acc:D7KGH6] MKAFRSLRILISISRTTTPHKSHQAQNFLRRFYSAQPNLDEPTSVNEDGTSSDSVFDSSQYPIEDSHGDSVKKPKEATWDKGYRERVNKAFFGNLTEKGKLKVAEEVSSEDDDEDNIDRSRILAKALLEAALESPDEELGEGEVREEDQKSLNVGIIGPPNAGKSSLTNFMVGTKVAAASRKTNTTTHEVLGVLTKGDTQVCFFDTPGLMLKKSGYGYKDIKSRVQNAWTSVDLFDVLIVMFDVHRHLMSPDSRVVRLIKYMGEEENPKQKRILCMNKVDLVEKKKDLLKVAEEFQDLPAYERYFMISGLKGSGVKDLSQYLMDQAVKKPWEEDAFTMSEEVLKNISLEVVRERLLDHVHQEIPYGVEHRLVDWKELRDGSLRIEQHLITPKPSQRKILVGKGGSKIGRIGIEANEELRRIMNRKVHLILQVKLK >scaffold_103488.1 pep chromosome:v.1.0:1:14188586:14189441:-1 gene:scaffold_103488.1 transcript:scaffold_103488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFFSATRIVLSRHLGTVFCFANIHGGGEYGEEWHKSGALANKQNCFDDFISAAEYLVSAGYTQPRKICIEGVGILVGKFTIRHAWTSEFGCSDKEEEFHWLIKYSPLHNVKRPWEQKTDRFVQYPSTMLLTADHDDRVVPLHTYKLLANSPQTNPIIARIEVKAGHGAGRPTQNMVTI >scaffold_103489.1 pep chromosome:v.1.0:1:14191436:14192601:1 gene:scaffold_103489.1 transcript:scaffold_103489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFSVDNNNCGYNCEYTSGLIYFPRKYKDAMPVICNPVTGQYATLPVGKEYIRCKSFLGFDPIDNQFKVLRMHNNLRKDWDNYILTLGTGNMWRKIQCPLTHYPVREGICINGFLYYLAELADELSDKKSYAIVCFDVRTEKFKFIDAECYYHKLINYKGKVCGIKLEYAYDGGFPLKLSLWVLEDVEKQEWSNYSYCLEVKNVKANCNLSVVGMTARGEIVLVKEDAFKPFYVFYFNPERNTLQSVEIQGVGEVQKWSNIDIVFGFVDHVEDLNFDIM >scaffold_103490.1 pep chromosome:v.1.0:1:14195164:14196143:1 gene:scaffold_103490.1 transcript:scaffold_103490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRSPYDCIYSSGLLCFPAVWISKNKGDDAAPVICNPSTGHYSILPKLRMDKKTRSFLGFDPIEKQFKVLFMMGIVGSERVNLIMTLGTGKLRWRNIQCPFTLNPFRLCEGICINGVLYYLAKHIDERNQKCYVIVCFDVRYEKSKFLDSVCLFDQLINYKGKLGGFYLNYASSDGFPLKLTMWILEDVEKQEWSKYVYTVWDESKTVKVDHKLSVVGMTARGDIVLAEKDTSNLLYVFYFNPERNTLQSVKITGFEYHGKVSVFLDHVEDLNVNDTKILKSSVYAPLQQDRPTFESSNKFDALCLSDDDD >scaffold_103491.1 pep chromosome:v.1.0:1:14199321:14200083:1 gene:scaffold_103491.1 transcript:scaffold_103491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRKFFSFLGFDPIDKQFKALFIAYPYCSDDQEILTVGTEKMEWRKIHCPLTHHPISKGICINGVLYYFACGIVRTSDDENYEDVIVCFDVRYEKFKFIDANFFRDRDQVLDITELIWINYKGKLCAISWGILCGNTGGRMLRMWVLEDVEKHEWSKYVYTLTENEVIKDLYDLIVGVTATGEIVFSKKNDTSRPVYAIVDLVEDLNINDAKELKSSSVNQGLSIIRKRPTTTTSSDL >scaffold_103494.1 pep chromosome:v.1.0:1:14213182:14214148:1 gene:scaffold_103494.1 transcript:scaffold_103494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSEDTTIYYRSYASGLIYFPNMRISNENDDVVRMICNPSTGQYAILPLDLRTGYQDVGGFLGFDPIGKQFKVLVFKRRVDDKLVYHIMILGTENMRWRENICPLTYGPYGYRWEHICINGVLYYIAADPDKEHDMIGCFDVRSEKFKFVHLAPYCFRYWSPKLINYKGKLGVINLEDDYYGGFSLKLRMWVLENVEKHEWSTYAYTLRAENKVVKVSQNLSVIGVTGSGDIVLANHNLYKPIYVFYFNPERNTLLCVEIQGVREEEEWFKNHKVYCFVNHVEDLRFDVMKTTSISPPEQSTSTSSI >scaffold_103495.1 pep chromosome:v.1.0:1:14216372:14217340:1 gene:scaffold_103495.1 transcript:scaffold_103495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISKDDENEVRAICNPSTGQYAILPPDLKSTLRSCNGFLGFDPIGMQFKVLVLNRRVDGELFYQILTLGTENMRWREIEFPSFSGLVSEMICINGVLYYINHKTIGCFDVRSEKFKFLNQNPDCLTSWSTKMINYKGKFGVINLEDNYARGFPLKLRIWVLDNVEKQEWTTYAYTLRAENIVKDKSYIYVVGVTASGEIVLAKMNAYKPFYVFYFNPEKNTLQSVEIQGVREEDEWFYDHRVYYFVDHVEDLRFDVMKTTYAATSISPPEHNTSTSSREDHQVRTVAHRHRFESVNKFDALCLLEDD >scaffold_103496.1 pep chromosome:v.1.0:1:14219397:14220352:1 gene:scaffold_103496.1 transcript:scaffold_103496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSKDISPYNCTYASGLIYFHNMDNDAVRVICNPSTGQYAILPLPERRTHRHSYSYLGFDPIDKEFKVLFMNTSKFIASSDIDHYILTLGTGKLRWRKIQCPFTHNPFWNRICINGVLYYLAHSERKYYAVVCFDVRSEKFKLVELHRLDCCLYGLINYKGKLCGVNLKYASDGGFPLELRMWVLEDVEKEEWTTYAYTLRDENKLVKVNYNLSVAGVTASGEIVLVDHNTCKPFYVFYFNPKRNTLQSVEIKFFGAKGEAFKTSFLVDAFIDHAEDLKFHIKTTTYAAYLEEDHRTLEMC >scaffold_103498.1 pep chromosome:v.1.0:1:14227461:14228429:1 gene:scaffold_103498.1 transcript:scaffold_103498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISKDDENEVRAICNPSTGQYAILPPDLKSTLRSCNGFLGFDPIGMQFKVLVLNRRVDGELFYQILTLGTENMRWREIEFPSFSGLVSEMICINGVLYYINHKTIGCFDVRSEKFKFLNQNPDCLTSWSTKMINYKGKFGVINLEDNYARGFPLKLRIWVLDNVEKQEWTTYAYTLRAENIVKDKSYIYVVGVTASGEIVLAKMNAYKPFYVFYFNPEKNTLQSVEIQGVREEDEWFYDHRVYYFVDHVEDLRFDVMKTTYAATSISPPEHNTSTSSREDHQVRTVAHRHRFESVNKFDALCLLEDD >scaffold_103499.1 pep chromosome:v.1.0:1:14232685:14233643:1 gene:scaffold_103499.1 transcript:scaffold_103499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSKDISPYNCTYASGLIYFHNMDNDAVRVICNPSTGQYAILPLPERRTYRNSVSYLGFDPIDNEFKVLFLNTSKSIASSDIDHYILTLGTGKLRWRKIQCPFTHEPLWERICINGVLYYLAHSERKYYALVCFDVRSEKFKLIELEYRLDCRLYELINYKGKLCGVNLKYAYGGGFPLELRMWVLEDVEKEEWTSYAYTLRDENKVFKVNYNLSVVGVTASGEIVLVNHNTSKPLYVFYFNPKRNTFQNVEIQSFGAKGEAFKTSFLVDAFVDHVEDLKFDIKTTTYAAYLEEDHRTLEMC >scaffold_103500.1 pep chromosome:v.1.0:1:14235012:14236184:1 gene:scaffold_103500.1 transcript:scaffold_103500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISPHWCLQNCCAVSGLIYCPNSPKSVDRLPVICKPSTGQQVSLPKAKKTKEFVHNFFGYDPILKQLKVMVMSRDKDVVSEWRPNVDGRLNLELTLWVLEDVKKAEFSKRVYNLPELWENRNVNASVSVAGVTSTGEIVLSMEYTSNPFYVFYYNLDSYTLHRVEIQGIEAFGSYRVRAFVDHVEDLKFLTSS >scaffold_103501.1 pep chromosome:v.1.0:1:14237669:14238141:-1 gene:scaffold_103501.1 transcript:scaffold_103501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLATLIKCFVRALYGLPMVHPDSTLVVTISGRGIVIEIVFLIIFFLFCSRQKRLVISAVLAVEVVFVAILAVLVLTLEQSTERRTVSVGIVCCIFNSMMYASPLSVMKMVIKTKSLEFMPLLLSVAGFLNAGVWTIYGLVP >scaffold_103502.1 pep chromosome:v.1.0:1:14256645:14257576:-1 gene:scaffold_103502.1 transcript:scaffold_103502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRLEGAKTVMTSEEASDGRCIKDCRQSKKLLVRIKQTQVIDSSKIYLLIIDGVENDDESNGQKEFYHDQNVAYAHVCVELALALGGYVSTKAAGHALVF >scaffold_103505.1 pep chromosome:v.1.0:1:14271564:14271837:-1 gene:scaffold_103505.1 transcript:scaffold_103505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKAILQFSFLLPLLRAVLISGNVGIVIHPHPLEVIPITRPTSRCDVDLLAIPPIAESYTIVSFILISSPISIWG >scaffold_103506.1 pep chromosome:v.1.0:1:14273742:14273985:-1 gene:scaffold_103506.1 transcript:scaffold_103506.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGK4] MAPKGKSSRGRGGGQNTRATAAGGGHNSRAVAADPVESTRPAAAGGGETSRRGGGETSNRGGHQNQ >scaffold_103507.1 pep chromosome:v.1.0:1:14278680:14279343:1 gene:scaffold_103507.1 transcript:scaffold_103507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERIKAGESMWSRLFSKLRITKESVALVDDVDYFLSFPWGRKSFLSTFTMFGPHSGSNNSSYEVESLIVKFTVVNNPCYGFPLPLQLLALENIPLLRTKFPAPDDMSTFLENPSGCTSSISLLSQEDIARAESDPEVHAISNTTYSRTILMPYYSILAESLYP >scaffold_103508.1 pep chromosome:v.1.0:1:14293030:14293621:1 gene:scaffold_103508.1 transcript:scaffold_103508.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGK8] MHTGVRDKKRKARGCSSGKDEITMNPVSPTPKKANKTAVPQTPWISRRVTRSSSKTGGIHLGKQPVQTAEMAEKPSGVACEPPTMVAIIYYLKHWMLERKAKFKKELIRELLEEMGKIFEPINPHTPRARSPTSPTAECNKPPTPIHISDTDSLHHSDSKTIPPDEVHLSVICAVLSSCVDSQ >scaffold_103509.1 pep chromosome:v.1.0:1:14294384:14298083:-1 gene:scaffold_103509.1 transcript:scaffold_103509.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGK9] MSSSEDSGDLGDGPPTLPPRLFAADSYPSGARLNIYSKANLIGTLYSILENTEMPTDEEIKRRTEGSEAYWNELFPPGSPVPTLVVHPILEAPDELDEDEFLCDDEVYDSKVEMLETLIKVGHEFSSQEFIGGVPAGDRLEPRRFAKQEGAVSNKERTVRTPAVKQKARSTGPSVNPSPQKKPRTEGIQIQSRVTRSQSKKDTEKRTKTVHFKQQQPGFEGESSTAGPSGACSKCSSDFAAMIADLKIWTVERENILKGEIVQELKEEMGKMFAPRIRARVESPNPSSFPLTKLARRSIHQPDEDERDKAASTPAPKPQQGNGKEQAGTGEVDMGVSSSDFDNAAYRDCWWEEYGVVKNVITDLSSPSCGINEDASLNQSPSNISDKHGAGDGKHDDATPIPPISVIEEHGASDDKKDDAATNPPIPVIQEVQLGGPSTLDVGDQSHARSPSPCTPTHEDAFLSPPHSDFSVECESGSYSEAHEPSPPEYPSPPPPHAPIDPHSALVVSPVPVPVVPLRTVTPPPPERQKRTRHRSKNLSSAFVVDARLKALFGSRAKPAQYSPMVVGLDDEFKIFKETLSASPQE >scaffold_103510.1 pep chromosome:v.1.0:1:14299875:14300930:1 gene:scaffold_103510.1 transcript:scaffold_103510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:D7KGL0] MSQTQESAIRGAESDVMGLLLRERIVFLGSSIDDFVADAIMSQLLLLDAKDPKKDIKLFINSPGGSLSATMAIYDVVQLVRADVSTIALGIVASTASIILGAGTKGKRFAMPNTRIMIHQPLGGASDQAIDVEIQAKEVMHNKNNVTSIIAGCTSRSFEQVLKDIDRNRYMSPIEAVEYGLIDGVIDGDSIIPLEPVPDRVKPRVNYEEISKDPMKFLTPEIPDDEIY >scaffold_103513.1 pep chromosome:v.1.0:1:14307753:14308571:1 gene:scaffold_103513.1 transcript:scaffold_103513.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGL3] MVSYGSFSDRVLVMGLSDSVGHDDLDMRMLLLTNPWLSLSQVWVSTSIANATSILDVRVFVPLQRGVIVRAMMMFIHGVFLGMSSLFGMAAIIDRVLMQTYSMGRIWDPEITFEAFIVKIANELFDVRCITRWDYTSMKSNFLMRIMTPKRTNWYQIVDFSKLIPGATGSFYVMWSFKQRRPIRHTYHIRARWYMKKVQNMFNNMIVSKAYRMKASSSSFLHFCHLQYMAHNFVYVKNCFMKFCNGFVIDIVIPID >scaffold_103515.1 pep chromosome:v.1.0:1:14347474:14347847:1 gene:scaffold_103515.1 transcript:scaffold_103515.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:D7KGL5] MVQTIIFVRTELVTKKAPKSVNQPRKKLLDNEAKDCENRGRGSSETRAKSGRVNPARKTKHGNEVRYRLFPGLASGTLLTQDDYPQIRAAFTNYNVWVTPYNILAVWSQR >scaffold_103516.1 pep chromosome:v.1.0:1:14351764:14356553:1 gene:scaffold_103516.1 transcript:scaffold_103516.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:D7KGL6] MVPLHFTILILFSFIIAISSSSFTPPRHPFDPLTETELKLVRTIINKSYPVGPNHKFTFQYVGLNEPDKSLVLSWYYSSRNHTIKPPPRQAFVIARDNGKTREIVVDFSSRAIVSDKIHIGNGYPMLSNDELVVKFKPFRDSVAKRGLNVSEIVFTTSTIGWYGETKAETERVIRLIPIEGMTIIVNLDEMKVTEFKDRSMVTMPKANGTEYRISKMNPPFGPTLHNAVLLQPDGPGFKIDGHIVSMWANWEFHISFDVRAGIVISLASLFDTDVNKYRQVLYKGHLSEMFIPYMDPSDDWYFITYLDCGDFGCGQCAVSPQPYTDCPAGAVFMDGIFAGQDGTPAKIPKVMCIFEKYAGDIMWRHTEAEIPNLEITEVRPDVSLVARIVTTVGNYDYIVDYEFKPSGSIKMGVGLTGVLEVKPAEYIHTSEIKVGEDIHGTIVADNTVGVNHDRFVTFRLDLDIDGTENSFVRNERVTTRTPKSVNTPRKTYWTTKPKTAKTEAEARVKIGLKAEELVVVNPNRKTKHGNEVGYRLLHGSAAGPLLAPDDYPQIRAAFTNYNVWITPYNRSEVWAGGLYADRSQGDDTLAVWSQRNRKIEKKDIVMWYTVGFHHVPSQEDYPTMPTISGGFELHPTNFFERNPVLKTKPVKVTTARKCTPTND >scaffold_103518.1 pep chromosome:v.1.0:1:14361770:14365923:1 gene:scaffold_103518.1 transcript:scaffold_103518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKTIGRELAMGSQGGFGQSKEFLDLVKSIGEARSKAEEDRIVLSEIDILKRRLLEPDIPKRKMKEYIIRLVYIEMLGHDASFGYIHAVKMTHDDNLLLKRTGYLAVTLFLNEDHDLIILIVNTIQKDLRSDNYLVVCAALNAICRLINEETIPAVLPQVVELLNHQKEAVRKKAIMALHRFHRKSPSSVSHLITNFRKRLCDNDPGVMGATLCPLFDLISEDVNSYKDLVSSFVSILKQVTERRLPKSYDYHQMPAPFIQIKLLKIMALLGSGDKNASEIMSMVLGDLFRKCDSSTNIGNAILYECIRCISCILPNPKLLEAAADAISKFLKSDSHNLKYMGIDGLGRLIKISPDIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSSNVEVIVDRMIDYMISINDNHYKTEIASRCVELAEQFAPSNQWFIQIMNKVFEHAGDLVNIKVAHNLMRLIAEGFGEDDDDADSKLRLSAVESYLQLISEPKLPSLFLQVISWVLGEYGTADGKYSASYISGKLCDVADAYSSDETVKGYAVSALMKIYAFEIASGRKVDVLPECQSLIEELLASHSTDLQQRAYELQALLALDARAVESILPLDASCEDIEVDKDLSFLNGYIQQAIESGAQPYISERERSGMFETTDYHPQDHHEVPSHALRFEAYELPKPSGPPQASNELVPVPEPSYYSESHQPISTSLVSERESSEIKLRLDGVKQKWGRPSYQSTTSASSTTQQAGTPTHSDAGVGSSSSKPRSSYEPKKPEIDPEKQRLAASLFGGSSSRTDKKSSSGGHKPAKGTVNKPAAVPKENQTPVQPPPDLLDLGEPTDTTVTAMDPFKELEGLMDSSSQDGGSSDVMGLYSDAAPVTTTTSVDSLLSELSDSSKGNPRTYQSQTSKGPNTKEALEKDALVRQMGVNPTSQNPTLFKDLLG >scaffold_103525.1 pep chromosome:v.1.0:1:14408188:14411553:1 gene:scaffold_103525.1 transcript:scaffold_103525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVGLAPGLSRKLKKVLECRTDSPDLVASLNALSSFYDENSAHARRNLRSTIEKRALQINSEFLSAADSTQIALDRVEEEVNALADCCDKIAAALSSSAATTSDIISTTERLKQELEVTTQRQEIVNCFLRDYQLSNEEIKALREDELNENFFQALSHVQEIHSNCKLLLRTHHQRAGLELMDMMAVYQEGAYERLCRWVQAECRKLGDTDNPEVSELLRTAVRCLKERPVLFKYCAEEVGNLRHNALFRRFISALTRGGPGGMPRPIEVHAHDPLRYVGDMLGWLHQALASERELVHALFDIDTADHKSNAKNTSENIARKAAESDFTFVLDRIFEGVCRPFKVRVEQVLQSQPSLIISYKLTNTLEFYSYTISDLLGRETALCNTIGMVKDAAQKTFFDILKTRGEKLLRYPPPVAVDLSPPPAVREGVSLTLEIIENYNSMMVSASGEKPAFDPVLSALLDPIIKMCEQAAEAHKSKKSGQLPRRSRTSTDSGQLTSVDALLSSSPSPPQNNETPSKIFLINCLCAIQQPLLRHDVAAQYVTNIGSMIENHINLLVQNEVDTLLQKCGLSDKMQIFRSSTSEPPLSERQDTSPTMLSECLKAFFGLVLGSEGSLPEFEQIQVPKLRSEACVKVAKTIAEAYEVIYQAVTDQQNGYPDPKSLARHPPDQIRTILGI >scaffold_103526.1 pep chromosome:v.1.0:1:14411666:14412941:-1 gene:scaffold_103526.1 transcript:scaffold_103526.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KGM4] MKTLELALPPSPPSLVPSFNYNSAARSVGNDVRTNFDVQLFLRKPKHQKSEPVVVIQQPQIQPQKPSPRCSTSDILRLMDSLSLPGNEDLYSCLAKESARENDRRGAYELQVHIMKSSIRRPTTTFVNRLLLMHVSCGRLDITRHMFDKMPHRDFHSWAIVFLGCIEMGDYEDAALLFVSMLKHSQNGAFKIPSWIMGCVLKACAMIRDFELGKQVHALCHKLGCIDEEDSYLSGSLIRFYGEFRCLEDANLVLHQLSNANTVAWAAKVTNDYREGEFQEVIRDFIEMGNHRIRKNVSVFSNVLKACTWVSDGGRSGKQVHAVAIKLGFESDCLIRCRLIEMYGKYGKVKDAEKVFKSSKDETNVNCWNAMVAGYMQNGIYVEAIKLLCQMKATGIKAQDTLLNEAHFQL >scaffold_103530.1 pep chromosome:v.1.0:1:14426778:14427417:-1 gene:scaffold_103530.1 transcript:scaffold_103530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:D7KGM8] MTGEFEPVSILKTSLKNSREAAKRVCAEGNYSLKAQNEATDKELSALRAVIKVVKEKNIESEFSEEKLEECVKELEDQKAQRKRATKLNSPANPQQPQQQKVDNKRPRVANGSSMEYSLTVPPLSQQQPLLPNPSQSLQVNPYGLLSSILPGVAVPYGNPLALYGSVPAPAPRPVFYEQQTGYGGYGMPPQYQLPYYPQ >scaffold_103531.1 pep chromosome:v.1.0:1:14427866:14429386:-1 gene:scaffold_103531.1 transcript:scaffold_103531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVSRYLRASSLPSLIRSYGGINSVCRFSSQSDGFSGGRFREQGPVPGESANNSGLPNTGRFGSPSEPNPPTLRTFGDMKAGLLNRGVNGFSAPNAPPTFKNSLRSRLPNSLPDQFSQTNPGLPNTGGSGFSAPSVSSYENFTQSSLLNENPRSGGKSSDLDFVREVIEDEGRRTSGIFSHFHRPNLETNADIIHIKMLRNNTFVTVTDSKGNVKCKATSGSLPDLKGGRKMTNYTADATAENIGRRAKAMGLKSVVVKVNGFTHFGKKKKAIIAFRDGFTNSRSDQNPIVYIEDTTRKAHNGCRLPKKRRV >scaffold_103532.1 pep chromosome:v.1.0:1:14429647:14431133:-1 gene:scaffold_103532.1 transcript:scaffold_103532.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease family protein [Source:UniProtKB/TrEMBL;Acc:D7KGN0] MRDYNMNDFAYGALHDDDDDGVPSSKAATNSIQKVSMLPLVFLIFYEVSGGPFGAEGSVNAAGPLLALLGFVIFPFVWCIPEALITAEMSTMFPINGGFVVWVSSALGPFWGFQVGWMKWLCGVIDNALYPVLFLDYLKSAIPALATGLPRVASILILTLLLTYLNYRGLTIVGWTAVFMGVFSMLPFAVMSLVSIPQLEPSRWLVMDLGNVNWNLYLNTLFWNLNYWDSVSTLAGEVANPKQTLPKALSYGVIFVALANFLPLLSGTGAIPLNRELWTDGYLAEVALAIGGGWLRLWVQAAAATSNMGMFLAEMSSDSFQLLGMAELGMLPEMFAKRSRYGTPLLGILFSASGVLLLSGLSFQEIVAAENLLYCGGMILELIAFVRMRIKHPAALRPYKIPVGTVGSILICVPPIVLICFVVVLSTLKVALVSFVMVIFGFLMKPCLNHIDRKRWVKFSVSSDLAEFQNENLDCEESLLR >scaffold_103533.1 pep chromosome:v.1.0:1:14431854:14433379:-1 gene:scaffold_103533.1 transcript:scaffold_103533.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease family protein [Source:UniProtKB/TrEMBL;Acc:D7KGN1] MQKRRIITVDPSTSIEMRQYSNNDVPYSSVGDDEVPSSPKATDKIRKVSMLPLVFLIFYEVSGGPFGVEDSVNAAGPLLALLGFVIFPFIWSIPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGIPALGSGLPRVAAILVLTILLTYLNYRGLTIVGWVAVLMGVFSILPFAVMGLISIPQLEPSRWLVMDLGNVNWNLYLNTLFWNLNYWDSISTLAGEVENPNHTLPKALYYGVILVACSYIFPLLAGIGAIPLEREKWTDGYFSDVAKALGGAWLRWWVQAAAATSNMGMFLAEMSSDSFQLLGMAERGMLPEFFAKRSRYGTPLLGILFSASGVVLLSWLSFQEIVAAENLLYCVGMILEFIAFVRMRMKHPAASRPYKIPIGTTGSILMCVPPTILIFAVVALSSLKVAAVSIVMMIIGFVMHPCLNHMDRKRWLKFSISSDLPDLQQETREYEETLIR >scaffold_103537.1 pep chromosome:v.1.0:1:14465882:14468292:-1 gene:scaffold_103537.1 transcript:scaffold_103537.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-IE [Source:UniProtKB/TrEMBL;Acc:D7KGN4] MAVSYNALAQSLTRSSCFIPKSYCVRDTKQRSRSNVVFASNDNKNIALQAKVDNLLDRIKWDDKGLAVAIAQNVDTGAVLMQGFVNREALSTTISSRKATFFSRSRSTLWTKGETSNNFINILDVYIDCDRDSIIYLGTPDGPTCHTGEETCYYTSVFDQLNNDEPSGNKLALTTLYSLESIISKRKEESTVPQEGKPSWTRRLLTDDALLCSKIREEADELCRTLEDNEEVSRTPSEMADVLYHAMVLLAKRGVKMEDVLEVLRKRFSQSGIEEKQNRTK >scaffold_103550.1 pep chromosome:v.1.0:1:14528310:14528829:1 gene:scaffold_103550.1 transcript:scaffold_103550.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVSAKNLTLPISVDETANFTIYSSAVHKVVVMVNAGILGLLQLVSQHSSVLETHKASFLCFCVFVLFYAVLRVREAIDVRLRPGLVPRLVGHASHLFGGLAALVLISVVYAAFAIVLLILWFIWLSAVVYSTFSETMIMIYLETNKPSACSPQLPPV >scaffold_103554.1 pep chromosome:v.1.0:1:14535541:14536067:1 gene:scaffold_103554.1 transcript:scaffold_103554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLETDSGNNQKFPISGDETAKFTIYSSAVHKVVAMVNAGILGLLHFCVLVLFYAVLRVCEAIYVRLRPGLVMRLVGHASHLFGGLAALVLIYVVSAAFAMVLLVLSWFLWLFTVVYKNFSEVMTIYSEKYKSGTGLPQLPPV >scaffold_103556.1 pep chromosome:v.1.0:1:14542536:14543040:1 gene:scaffold_103556.1 transcript:scaffold_103556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSDQITQRMSSGNEIMEKEEEEEHGAYSSTVHRLIIFTNGGAMGLLQVVTKQSSTNEADRRAIILCFLVITLIYTILRVCEVKLRNKPNISNFVGHVSHLFGALAALTLIYLISPTFALVAVSLWLVWFVAVMYVSFSELVFPEDDAADSPV >scaffold_103557.1 pep chromosome:v.1.0:1:14546451:14547270:1 gene:scaffold_103557.1 transcript:scaffold_103557.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHF2] MGDTFFHRYLFRSMVFLRMVTLSVFVLTPATNIHHFHVKWISDPKAEANPSHGTVIPLVDEKGTVLWESQVYIPCLIRSSVVKVFSGEVAEAYPVCITEFLSKLTYFVSFMVVSEDHCFVAFRWLIQISLDLLMRQRPPRKPPWNQPTTEDGDAIMMRIRRSDQQQVWVYATITKLHISFFRRVPKSRVLRCNGWIADFDFRKRKRWCIDKKKMFPKLESHTRLTDTTKATKHIIRIAALELFCFFVTNLFDCVYILMF >scaffold_103559.1 pep chromosome:v.1.0:1:14554993:14557056:1 gene:scaffold_103559.1 transcript:scaffold_103559.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase [Source:UniProtKB/TrEMBL;Acc:D7KHF4] MAVSTIYSTQALNSTHFLTSSSSSKQVFLYRRQPQTNRRFNTLITCAQETIVIGLAADSGCGKSTFMRRLTSVFGGAAKPPKGGNPDSNTLISDTTTVICLDDYHSLDRYGRKEQKVTALDPRANDFDLMYEQVKALKSGVAVEKPIYNHVTGLLDPPELIQPPKILVIEGLHPMFDERVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAFIDPQKQYADAVIEVLPTTLIPDDNEGKVLRVRLIMKEGVKYFSPVYLFDEGSTISWIPCGRKLTCSYPGIKFNYEPDSYFDHEVSVLEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQLIANKATARAEAKA >scaffold_103567.1 pep chromosome:v.1.0:1:14594041:14594448:-1 gene:scaffold_103567.1 transcript:scaffold_103567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPLLSKNDGDSSSNEEHIVDITTDEQTPNEAEGVQRCGPVTYEYVWNFFDLALTLVQIVAAIVVMVQAKDKHPQVWIIGYTCGCIGILPCLCWRCWHYSPCFSSDSYTTRYKTCYFSFY >scaffold_103575.1 pep chromosome:v.1.0:1:14628776:14629713:-1 gene:scaffold_103575.1 transcript:scaffold_103575.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFMDRKRMICNPITGKYATLPKLMVNRINLFGFDPIDKQFKVLVMNNKVYNGTGINILTLGTGKMRWRKIRCPLSHKVCGEGICVNGVWYYLACKIDEEYYYVIDEESYVIVCFDVGSEKFKFIDAKCIGRDTTLINYKGKLGGIDWVDRGNRELCMWVLEDVEKQKWSKYVYTLPEDEVLIELDNYYVVGVTARGEVVLSRKRTREPFYVFFFNPERNTLQRVEIQGLGDDRRRFDIYTFVDHVEDLTFNAMKTEYGATSIERERKPTSTGAFASVNKFDALCLLDYDEFTGAEI >scaffold_103576.1 pep chromosome:v.1.0:1:14631615:14632544:-1 gene:scaffold_103576.1 transcript:scaffold_103576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYRDEVRVICNPITGKYARLPKLMVKYENTINLFGFDPIDKQFKVLVLSNIVCNGTLIDILTLESGKMRWRKICCPLTHEVCFERICINGVLYYLGYKTDEESYVVACFDVRYEEFKFIDAKCIGRETRLINYKGKLGGIDWVKGGNRELCMWVLEDVEKQEWSKYVYTLPENEVLIDLYKYNVAGVTARGEVVLSRKFADMWSSQFYVFFFNPEKNTLQRVEIQGFEDEGREIYVYTFVDYVEDLTFNIMKTSYGAKSIEQERKPTSTGVASVNKFDALCLLDCDEFTGAET >scaffold_103577.1 pep chromosome:v.1.0:1:14638156:14639317:-1 gene:scaffold_103577.1 transcript:scaffold_103577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLGNINSYVCSGGLIYISNMWISEKVVQVICNPITGKYAILPNLIRNSTMSFFGFDPIDSQYKVLIRKHIAYNDHYILTLGTGKMRWRKIQCPLIHGFSCEGICINGVLYYLAYKFDITSDDKTHLLVCFDVRSEKVKFVDANCVFDDWSTTLINYKGKFGVINWKYDDAYAIELSMWVLEDEKHEWSKYVYTFPEINKAHDLDLRLDGVTAAGEIVFSRWKSTCKPFYVLYYNPGRNTLQNVEIQGFGDIHEASRPHCSVYTTVDYVEDLSVNDAKQLNSSIYAPSLKNKRSLNIIMKRPKPQHWEEVRERDKDKRYDDGVSREIHKERRNERDNREDEERRIRRRRRRYERRDRDDHWSDKQYKKRMER >scaffold_103581.1 pep chromosome:v.1.0:1:14674510:14676422:-1 gene:scaffold_103581.1 transcript:scaffold_103581.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit DAD1 [Source:UniProtKB/TrEMBL;Acc:D7KHH4] MVKSTSKDAQDLFRSLRSAYSATPTNLKIIDLYVVFAVFTALIQVAYMALVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKENKEFKDLAPERAFADFVLCNLVLHLVIINFLG >scaffold_103583.1 pep chromosome:v.1.0:1:14679613:14679892:1 gene:scaffold_103583.1 transcript:scaffold_103583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSYMRLVVNQVALASLKITRSNSSKLASADNVSSSASRKGERGGLAALMNLGNTCYMNNIIQCLVHTPPIVEFFHR >scaffold_103587.1 pep chromosome:v.1.0:1:14723679:14724220:1 gene:scaffold_103587.1 transcript:scaffold_103587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKVSKKLDEEQINELREIFRSFDRNKDGSLTQLELGSLLRALGVKPSPDQFEMLIDKADTKSNGLVEFPEFVALVSPELLSAAKRTTPYTEEQLLRLFRIFDTDGNGFLTAAELAHSMAKLGHALTVAELTGMIKEADSDGDGRINFQEFAKAINSAAFDDLWG >scaffold_103594.1 pep chromosome:v.1.0:1:14739843:14740814:1 gene:scaffold_103594.1 transcript:scaffold_103594.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMKK10 [Source:UniProtKB/TrEMBL;Acc:D7KHI7] MTLVRERRHQEPLTLSIPPLLYHRTAFSVASSSSSSPDSSPVETINDLEKLAVLGQGSGGTVYKTRHRRTKTLYALKVLRSNLNTTTTTTTAVEVDILKRIKSSFIVKCYAVFLNSSDLCFVMELMEKGSLHDALLAQQVFTEPMISTLANRILQGLRYLQEMRIVHGDIKPSNLLINNKGEVKIADFGASRIVAGGDYGSNGTCAYMSPERVDPEKWGFGGEVGFAGDVWSLGVVVLECYIGRYPLTKVGDKPDWAALICAICCNEKVEIPVSGSPEFRDFVGRCLEKDWRKRGTVEELLRHSFVKNR >scaffold_103599.1 pep chromosome:v.1.0:1:14792748:14792945:1 gene:scaffold_103599.1 transcript:scaffold_103599.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHJ3] MKLFPSPSPPLPVAKRPRSFFTRLFFNNLRISDDVSRFFYPIEFVLDCFTK >scaffold_103602.1 pep chromosome:v.1.0:1:14804400:14806272:1 gene:scaffold_103602.1 transcript:scaffold_103602.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7KHJ6] MSHHHRRDSGGDVVHVIPTNNPPPDNWFPNLGDSAVWATEDDYNRAWAVNPDNTSGDNNGPPNKKTRGSPSSSSATTTSAASNRTKAIGKMFFKTKLCCKFRAGTCPYITNCNFAHTVEELRRPPPNWQEIVAAHEEERSGGMGTPTVAVVELPREEFQIPSLVSSTAESGRSFKGRHCKKFYTEEGCPYGESCTFLHDEASRNRESVAISLGPGGYGSGGGGGGSGGGSGGGGGGSSSNVVVLGGGGGSGSGINILKPSNWKTRICNKWEITGYCPFGAKCHFAHGAAELHRFGGGLVEEEGKDGGSPNPDTKQTVQNPKGHADTTTLLSPGVPHHADAGYHSGVALQRASSAVTQKPGVRTHQKWKGPAKISRIYGDWIDDIE >scaffold_103609.1 pep chromosome:v.1.0:1:14829659:14830540:-1 gene:scaffold_103609.1 transcript:scaffold_103609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKEKLPWDLVEEILSRVSPTSLVRYRLSKIYSVSVNPKVEVRELTLNTPGLKPQIPISLVDTSGFLLCGMGEGAVVWNPWLRQTRCIEPEVNQPSLDFIGIGYDNNKRVEEIVYKTLSVYMKDLGSTDTWKIHDFASDTWTDEDLDEAKYSELVNVTVNHYLTSVVSLNGTLYWVAYNDDKTHDTDPFSFYVLSFNFSSEEFLKFCDLPSGKNNACDALVLRVFREDRFSLLKQCHVTKKIKIWVDQEQG >scaffold_103616.1 pep chromosome:v.1.0:1:14872514:14872742:-1 gene:scaffold_103616.1 transcript:scaffold_103616.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHK7] MKNLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCSVSRSSRRVF >scaffold_103618.1 pep chromosome:v.1.0:1:14888139:14888946:-1 gene:scaffold_103618.1 transcript:scaffold_103618.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system H protein [Source:UniProtKB/TrEMBL;Acc:D7KHK9] MALRMWASSTANALKLSSSASKSHLLPAFSISRCFSSVLEGLKYANSHEWVKHDGSVATIGITDHAQDHLGEVVFVELPEANSSVSKEKSFGAVESVKATSEILSPISGEVIEVNTKLTESPGLINSSPYEEGWMIKVKPSSPAELEALMGPKEYTKFCEDEDAAH >scaffold_103619.1 pep chromosome:v.1.0:1:14888985:14890679:1 gene:scaffold_103619.1 transcript:scaffold_103619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRKQRPHLNVVVEKSVTQTFSTTQKNLSSITTGSGIQTRSATYLARPVTVIHGVLTNAVEQVMDAMHAPVYFETYYIKGKNMNHLPREVVDSIRKNKVCLNGREHEVVPGVIQSFQVTMTKFWSDRIAKYAFEYAKLSKRKKVTAVHNKGKHEKLADSFFLESCQEVAKMYPSITYNEIGIDKCCLQLVEKPERFDVIVTPNLYGNIIANIAVGIAGGGNNGEIIPGGSFGSEYAIFDQVGSVENHKNPVALLFSSVMMLRHLLLPLFADRLETAMKRVVSEGKCGNSNTTTQEVVDAVIANLD >scaffold_103626.1 pep chromosome:v.1.0:1:14925668:14927861:-1 gene:scaffold_103626.1 transcript:scaffold_103626.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:D7KHL5] MALILPCTFCTSLQQKNFPINRRYFPNLRRGATTTTCEFRIPVEVSTPSDRGSLVVPSHKVTVHDRQRGVVHEFEVPEDQYILHSAESQNITLPFACRHGCCTSCAVRVKSGELRQPQALGISAELKSQGYALLCVGFPTSDLEVETQDEDEVYWLQFGRYFARGPIERDDYALELAMGDE >scaffold_103630.1 pep chromosome:v.1.0:1:14936670:14937371:-1 gene:scaffold_103630.1 transcript:scaffold_103630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRPQNDYLRVKRRSNFDQLNADYNTVPQPAQPQPRVQVYIIDKNDFKSLVQQLTSSQSCDRLPQNIPNHQDIRPEPINRTSSIPPSAMAGQEDPDVSLYMRYLQSLLEESSGSNGDQFEQSLDEYHSYMMAQSHSQPQDPTQSMPQSNGFEPFPSSWFNGSPQQMQDASSLQSTRVEYPQPLTPNFTFSSMTQPGGFGPDLDCIGPDEIFEFSYEIN >scaffold_103634.1 pep chromosome:v.1.0:1:14942680:14944795:-1 gene:scaffold_103634.1 transcript:scaffold_103634.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMYC2 [Source:UniProtKB/TrEMBL;Acc:D7KHM3] MTDYRLQPTMNLWTTDDNASMMEAFMSSSDISTLWPPATTTTTTTTTTTTSTPATAMDIPAPAGFNQETLQQRLQALIEGTHEGWTYAIFWQPSYDFSGASVLGWGDGYYKGEEDKAKLRQRSSSPPFSTPADQEYRKKVLRELNSLISGGVAPSDDAVDEEVTDTEWFFLVSMTQSFACGAGLAGKAFATGNAVWVSGSDQLSGSGCERAKQGGVFGMQTIACIPSANGVVEVGSTEPIRQSSDLINKVRILFNFDGGAGDLSGLNWNLDPDQGENDPSMWINDPIGAPESNEPVNGAPSSSSQLFSKSIQFENGSSSTITENPNPDPTPSPVHSQTQNPKFNNTFSRKLNFSTSSSTLVKPRSGEILNFGDDGKRSSVNPDPSSYSGQTQFENKRKKSMVLNEDKVLSFGDKTAGESDHSDLEASVVKEVAVEKRPKKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELKSKVVKTESEKIQIKNQLEEVKLELAGRKASASGGDMSSSCSSIKPVGMEIEVKIIGWDAMIRVESSKRNHPAARLMSALMDLELEVNHASMSVVNDLMIQQATVKMGFRIYTQEQLRASLISKIG >scaffold_103636.1 pep chromosome:v.1.0:1:14971417:14972057:-1 gene:scaffold_103636.1 transcript:scaffold_103636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDGFSQCSKHFSKRGYFSGGGVCPFCLYERLSSLCPDCAHDLPCSCSSRAAVSFSPSSSSSSSSFSIFAGDVSFTFSGVGSVGRVASLIECEPAFRRSKSMAVPIKPDSVIDSGRSKKTSSFWRMFMGNRGDTKPAIMRKSRSIAVAGESGFSPVPVPVAATGKGKGWNFPSPIKVFRQSRVSKMIFQQRSPLYRG >scaffold_103641.1 pep chromosome:v.1.0:1:15015898:15016201:1 gene:scaffold_103641.1 transcript:scaffold_103641.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHM9] MCRWKKEESLDFQATAAATHSNLMAIVFNSGYDSFVRLRLCPSLIFFVAVAHSAIHKRTGPKLKAIGWNIYNIVLWHDFVVISYTKR >scaffold_103650.1 pep chromosome:v.1.0:1:15105395:15105972:1 gene:scaffold_103650.1 transcript:scaffold_103650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGGKSKRGRGGGGRAPKVSPNRPVANRPTASGTSNRRPNTLPSQYTFTPANPEAPETQQSPVNHVALESQPLTHRDYPPPTQLFQSGDDSPRGSGSYPFRASGSTQVRGSGSVQGRGSVGSIHRLASRVESTSCAGSTSYAGSTSSVDSACSVKSTNTISSTNTFSSTSSLCIAS >scaffold_103660.1 pep chromosome:v.1.0:1:15169536:15171286:-1 gene:scaffold_103660.1 transcript:scaffold_103660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7KID3] MKLTTIHRSSLLFLLSVTVILPATVTSIGVNYGQIGDNLPSPTDVIPLIKSIGATKVKLYDANPQILKAFSNTGIEFIIGLGNEYLSKMKDPSKALTWIKQNVTPFLPATNITCITIGNEILALNDSSLTSNLLPAMQGVHSALITAGLSDQITVTTAHSLSILKSSFPPSAGEFQPDLLDSLTPILEFHRKTDSPFLINAYPFFAYKGNPKEVPLDFVLFQPNQGIVDPATGFHYDNMLFAQIDAVYSALAAAGYKSLRVEISETGWPSKGDDDEVGATPENAKRYNGNLIKLMMSGKKTKTPLKPNNDLSIYVFALFNENLKPGPMSERNYGLFKPDGTQAYSLGFALNDVVRGASGGGGGGGGNSSSGGGREKTPVFPVSPVAPDSASTGYLAISASPVTGRRKGKGAILSMVVVSMLLARHLL >scaffold_103666.1 pep chromosome:v.1.0:1:15194247:15194555:1 gene:scaffold_103666.1 transcript:scaffold_103666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMFSAFDALFAELMGKNLMASPFNATTATAKPAATPQTQTQTQKKENASIKKVGLVQKTPRFALELDGLHCFETIVRS >scaffold_103670.1 pep chromosome:v.1.0:1:15219784:15219975:1 gene:scaffold_103670.1 transcript:scaffold_103670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIE2] MISQKLSKALQLKKETSLRQETFVTVGDVDLCIRDWHGRNTLSKSLQKL >scaffold_103673.1 pep chromosome:v.1.0:1:15227796:15230943:1 gene:scaffold_103673.1 transcript:scaffold_103673.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7KIE5] MRSCRSSILLVLSLITVLNAARAGSESKVHIVYLGEKQHHDPEFVTKSHHQMLVSLLGSKKDADDSMVYSYRHGFSGFAAKLTKSQAKKIADLPEVVHVIPDGFHELATTRTWDYLGLSAANPKNLLNDTNMGDQVIIGVIDTGVWPESESFNDNGVGPIPRKWKGGCESGENFRSTNCNRKLIGAKYFINGFLAKNKGFNSTKSPDYISARDFDGHGTHVASIAGGSFVPNVSYKGLAGGTLRGGAPRARVAMYKACWFQEELEGVTCSNSDIMKAIDEAMHDGVDVLSISLVGRVPLNSETDLRDEFATGLFHAVAKGIVVVCAGGNAGPAAQTVVNIAPWIITVAATTLDRSFPTPITLGNNKVILGQATYTGPELGLTSLFYPEDERNSNETFSGVCESLNLNPNRTMAGKVVLCFTTSRTNAAIYRASSFVKAAGGLGLIISRNPAFTLASCNDDFPCVAIDYELGTDILSYIRSTRSPVVKIQPSTTLSGQPVGTKVVNFSSRGPNSMSPAILKPDIAAPGVRILAATSPNDTLNVGGFAMLSGTSMATPVISGVIALLKALHPDWSPAAFRSAIVTTAWRTDPFGEQIFAEGSSRKVADPFDYGGGLVNPEKAAEPGLIYDMGPQDYILYLCSADYNESSISQLVGQVTVCSNPKPSVLDVNLPSITIPNLKDEVTDARTVTNVGPSNSVYKVAVEPPLGVRVVVTPETLVFNSKTKSVSFTVLVSTTHKINTGFYFGSLTWTDSVHNVVIPLSVRTQILQNYYDEN >scaffold_103684.1 pep chromosome:v.1.0:1:15261787:15262033:-1 gene:scaffold_103684.1 transcript:scaffold_103684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVTLIAEMMKEYTVVLAGLLEHLFSQAPFPRRIRLQILYSLPFHSSNSSLPLLLPSPPLYSRSS >scaffold_103688.1 pep chromosome:v.1.0:1:15291987:15292326:1 gene:scaffold_103688.1 transcript:scaffold_103688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAFVPVQVRKKSYARLGVKRISNVPENDDAGDCAIYSIKYIECLALRQSFDGLCDKNMQALRTKLAAKMFDELGEYAGTLNSDIRRKDFPIPQLDDS >scaffold_103689.1 pep chromosome:v.1.0:1:15296759:15302855:1 gene:scaffold_103689.1 transcript:scaffold_103689.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7KIF9] MAGGGGELTAALLKKTAANGGEEEDELGLKQRVWIESKKLWVVAAPAIFTRFSTFGVSIISQSFIGHLGPIELAAYSITFTVLLRFSNGILLGMASALETLCGQAYGAKQNHMLGIYLQRSWIVLTGCTICLTPVYIFSGPILLALGQEERIVRVARIIALWVIGINFSFVPSFTCQMFLQAQSKNKIIAYVAAVSLAVHVFLSWLLMVHFNFGITGAMTSTLVAFWLPNIAQLLFVTCGGCKDTWRGFTMLAFKDLWPVFKLSMSSGGMLCLELWYNSILVLLTGNLKNAEVALDALAICLNINGLEMMIALGFLAAASVRVSNELGSGNPKGAKFATLTAVFTSLSIGIVLFFVFLFLRGRVSYIFTTSEAVAAEVADLSPLLAFSILMNSVQPVLSGVAVGAGWQGYVTFVNLACYYLVGIPIGIILGYVVGLQVKGVWIGMLFGIFVQTCVLTVMTLRTDWDQQVSTSLRRLNRWVVPESRDVNQVSSEE >scaffold_103701.1 pep chromosome:v.1.0:1:15395433:15397291:-1 gene:scaffold_103701.1 transcript:scaffold_103701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILTVMFSFIPYLYSSSPHRPCSSPISRPPRIRRCRLSRFATALVATSALLLASVAWLSLVFSPTTSRCWHLLKDWEDNHLWNKRYHHPIETPPPPPPSSPSLPALPLFDHEFRNRSLSEIDRLDLLSLNHLMFGIAGSSQLWERRKELVRLWWKPSQMRGHVWLEEQVSPEEGDDSLPPIIVSEDSSRFRYTNPTGHPSGLRISRIAMESFRLSLPNVRWFVLGDDDTIFNVHNLLAVLSKYDPLEMVYVGNPSESHSANSYFSHNMAFGGGGIAISYPLAEALSRIHDDCLDRYPKLYGSDDRLHACITELGVPLSREPGFHQWDIKGNAHGLLSSHPIAPFVSIHHVEAVNPLYPGLSTLDSLKLLTRAMSLDPRSVLQRSICYDHTHRLTFAISLGYVVQVFPSILLPRDLERAELSFSAWNGISHPAEFDLDIKLPISSLCKKPIFFFLKEVGQEGNATLGTYSRSLVKDDLKTKLLCFPRSLPLHKVEKIQVSGFPLSKNWHLAPRRLCCRATPTTTNEPLRLTVGQCGKIILGSTISSQ >scaffold_103702.1 pep chromosome:v.1.0:1:15401960:15402304:1 gene:scaffold_103702.1 transcript:scaffold_103702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCCWSKGFKRMYFEGDNKEVADILNGKKANFAAFNYIREIRAWKNRFEVCCFVWTNRECNKAADTLAKERVPLDSYYHFYSFVPFVIATLLQNDSVHLI >scaffold_103712.1 pep chromosome:v.1.0:1:15440675:15440998:-1 gene:scaffold_103712.1 transcript:scaffold_103712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSKAWLVAASIGAVEASKDQLGMCRWNYLIRSVNQRIRNNVRSASQANRFSSSTVVASVKDDNKAKQAEESLRTVMYLSCWGPNKMDNRP >scaffold_103716.1 pep chromosome:v.1.0:1:15471814:15472495:1 gene:scaffold_103716.1 transcript:scaffold_103716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDTCSNKLQKFFVTMETALENELPKINSFKSPRDKLLCISSCCTLISNLMLDTSKSNAIILAGAD >scaffold_103717.1 pep chromosome:v.1.0:1:15473402:15475767:-1 gene:scaffold_103717.1 transcript:scaffold_103717.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KII6] MAVMNGGRATKRARRSNRISADLYDFSTFPAEEINGNSTTLPPFRDGVRTFLATHARVTFPPSTLFSSLMTWQIMLRPGDSTDGSDLSSKLVSLDVVEEDVTRSSRSVYCDHCRVVGWSSHPVCRKRYHFIIRSGGDTKACTRCGNTQNLSEGSNCKWCSLALDIEDWVYSQLEDNTHLLHGVIHSNGYAHLLSLNGREGGSGFLTGRAIMDFWDRLCSSLAVRKASVMDVSRKYGMDYRLLHGITRGCSWYSEWGYGFKSGSYALTREAYQSAVDTLSGIPLSEFLFQGRKPRTQLHSIIGFYQSLSCSELVTVKDLFSFLLQLIRENRSKPTSKSSVLCAWSKSDVERVQQAMVKILKAAGRPRANWVTRWALKRSICKTASPQLIDYCLKHFGGVLVDDGSLVVCSRCNPSSNDFEYRLESVDNVHRLSNQDVNNASVEHVKRDLIYLYETLLHPQTMAEFRYRATRDKMIDAATKILDCKHFIKDYLSRTANPFAISLWCHVELSDESKECPAPPPELLVLPLNATVSDLKIEAAKAFQEVYAMFKRFEVEELLGYGSIDDFITLKFLVGTNGVIRIKGRCSKHGLLRYRMERGVDNWKVDCKCGTKDDDGERMLACDGCGVWHHTRCAGINNSDALPSKFHCFRCIELYSKRPKQSDNERGSSQVPKAGFICRGESAAMGSGSNLSVTLSVG >scaffold_103719.1 pep chromosome:v.1.0:1:15480419:15483556:-1 gene:scaffold_103719.1 transcript:scaffold_103719.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7KII8] MDVHDLSEEAKRGLHMSEESSDDICVDFRGRPSRPSKHGGTRAALFVLGFQAFEMMAIAAVGNNLITYVFNEMHFPLSKSANLVTNFIGTVFLLSLLGGFLSDSYLGSFRTMLFFGVIEISGFILLSVQAHLPELRPPECNMKSTIHCVEANGYKAVTLYAALCLVALGSGCLKPNIISHGANQFQRKDLRKLSSFFNAAYFAFSMGQLIALTLLVWVQTHSGMDVGFGVSAAVMAAGMISLVAGTNFYRNKPPRGSIFTPIAQVFVAAITKRKQICPSNPNMLHQPSTDLVRVKPLLHSNKFKFLDKACIKTQGKAMESPWRLCTIEQVHQVKILLSVIPIFACTIIFNTILAQLQTFSVQQGSSMNTHITKTFQIPPASLQAIPYIILIFFVPLYETFFVPLARKFAGNDSGISPLQRIGTGLFLATFSMVAAALVEKKRRESFLEQNATLSIFWIAPQFLIFGLSEMFTAVGLVEFFYKQSSQSMQSFLTAMTYCSYSFGFYLSSVLVSTVNRVTSSNGSGTKEGWLGDNDLNKDRLDHFYWLLASLSFINFFNYLFWSRWYSCDPSATHHSAEVNSLEDLENGENKDSTTEKPRI >scaffold_103723.1 pep chromosome:v.1.0:1:15503607:15505050:-1 gene:scaffold_103723.1 transcript:scaffold_103723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKGRLKAWFDKKISEPLVQILRRGAEPKQLAFSAALGITMGVFPICGVTVLLCGVAIASLGSLCHAPTVMLANFIATPIELSLVVPFLRLGEKITGGPHFPLTSDALKKVFTGQASRDVFISIGNALLGWLIAAPFVIVALYILFLPCFKILVRKFSSADPTAKTPTKERIA >scaffold_103725.1 pep chromosome:v.1.0:1:15515803:15517228:-1 gene:scaffold_103725.1 transcript:scaffold_103725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSLPSKSKPKVTATTDANNAVDDGTSKEFVTEFDPSKTLSNSIPKYVIPPIENTWRPHKKMKNLDLPLQSGNTGSGLEFEPEVPLPGHERPDNITYGLNLRQKVKEDSIGGDAIEDRKVSMGEQLMLQSLRKDLQSLADDPTLEDFESVPVEGFGAALMAGYGWKPGKGIGKNAKEDVEIKEYKKWTAKEGLGFDPDRSKVVDVKVRGKESVKLDKMGVGVNGGDVFFVGKEVRIIAGRDVGLKGKIVEKLGSDFFVMKISGSEEEVKVGVNEVADLGSKEEEKCLKKLKDLQLNDKEKDKKASRGGRGTERGSRSEVRVSEKQDRGQTRERKVKPSWLRSQIKVRIVSKELKGGRLYLKKGKVVDVVGPTTCDITMDETQELVQGVDQELLETALPRRGGPVLVLSGKHKGVYGNLVEKDLDKETGVVRDLDNHKMLDVRLEQVAEYMGDMDDIEY >scaffold_103727.1 pep chromosome:v.1.0:1:15522202:15523842:1 gene:scaffold_103727.1 transcript:scaffold_103727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRVAKPSEYLAITGGGIKDIKLAKKSWVFPWQSCTVFDVSPVNYTFDVKAMSSEKLPFVIPAVFTIGPRVDDPHALLLYAMLMSQHDKHSNHVNELVQGVIEGETRVLAASMTMEEVFKGTKEFKKEVFDKVQLELNQFGLVIYNANVKQLVDVPGYEYFSYLGQRTQMEAANQAKIDVAEAQMKGEIGAKERTGLTVQNAANIDADSKIISTQRLGQGTKEEIKVKTEVKVFENEKEGLVAEADAALAIQKAALSKNSLLAEVEAAKAVALREAELQTKVEKMNALTQTEKLKAEFLSKASVEYETKVQEANWELYNKQKQAEAVLYEKEKQAEAMKAAADAIFYSKQRDAEGLVAMANALGTYLRTLLDAVDNDYTAMRDFLMINNGVYQDIAKNNAVAIRDLQPKISVWNHGGANQGMNGGGNGNAMNDIAGLYKMLPPILETVYHQTGMQPPAWIGTLGGAEPKQSLLALQQRG >scaffold_103728.1 pep chromosome:v.1.0:1:15524052:15531279:-1 gene:scaffold_103728.1 transcript:scaffold_103728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAWKLKLLLLLQLLLLSQHDVDSASVISYLPGFEGLLPFHLETGYIGVGEGEKVQLFYYFIKSENNPEEDPLILWLTGGPACTALSALALEIGPLTFKTEGYNGGSPSLVSTSYSWTKVASIIFLDQPVGTGFSYSTTPLSDKPSDTGETKQTYEFLQKWLVENPDRNKQTLQHVSNPFYVGGDSYAGIVVRAIVQQISIGNEHGDNPKMNLKGYILGNPSTDLDSDHNSKIPYAHRMGLISDELYESLKRTCQGNYVKVDPTNIQCLILVDNYQKCVSRINEGLILIALCDLASPNPYSGEHGERSYLTTLVQPNLSLPTPDCYMYRYLLASHWANDEDVRRALHVVKGSIGKWMRLYRTTETIASKVIIGHWLIYSGDHDMMVPYTGTEAWIKSLNYSITDDWRPWFVNNQGGGHTAEYKPEESFIMFQRWISGRTL >scaffold_103729.1 pep chromosome:v.1.0:1:15537442:15537652:-1 gene:scaffold_103729.1 transcript:scaffold_103729.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ83] MNHMIALLLLISFCFGLSEACKENRLIFRNELVNGRTLKVTCVSSKNRRVFKDVN >scaffold_103731.1 pep chromosome:v.1.0:1:15551860:15552208:1 gene:scaffold_103731.1 transcript:scaffold_103731.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ85] MVINLQHPLPIATKPILVKSPEFLIDNDCNPPHRATPSNLLYSIVEQTSWNCPSFNVLSFLDLEFYVSKATPLCFTSHPSLRQEPAPLDSVNQRKPQLASPP >scaffold_103732.1 pep chromosome:v.1.0:1:15561588:15563066:1 gene:scaffold_103732.1 transcript:scaffold_103732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSFTLTIFTFVIFLRCLNPTGAATCHPDDEAGLLAFKAGITRDPSGILSSWKKGTACCSWNGVTCLTTDRVSALSVAGQADVAGSFLSGTLSPSLAKLQHLDGIYFTDLKNITGSFPQFLFQLPNLKYVYIENNRLSGPLPVNIGSLSQLEAFSLQGNRFTGPIPSSISNLTRLTQLKLGSNLLTGTIPLGIANLKLMSYLNLGGNRLSGTIPDIFKSMPELRSLTLSHNGFSGNLPPSIASLAPILRFLELGHNNLSGTIPNFLSNFKALDTLDLSKNRFSGVLPKSFANLTKIFNLNLAHNLLTDPFPVLNVKGIESLDLSYNQFHLNTIPKWVTSSPIIYSLKLAKCGIKMSLDDWKPAQTYYYDFIDLSENEISGSPARFLNQTEFLVEFKASGNKLRFDMGKLTFAKTLKTLDLSRNLVFGKVPATVAGLKTLNVSQNHLCGKLPVTKFPGSAFAGNDCLCGSPLPPCKA >scaffold_103741.1 pep chromosome:v.1.0:1:15595078:15596480:1 gene:scaffold_103741.1 transcript:scaffold_103741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYSFTLFIFAAIIFLRCSSSTKAATCHPDDEAGLLAFKSGITQDPLGHLNSWKKGTDCCSWVAVTCTRGNRVTELNLDGSSVLGGIFLSGTISPLLTKLQHLEVISLISFRKMTGSFPLFLFRLPKLRYLNIMNNHLPGPLPANIGTLHQLEDLILEGNQFTGQIPSSISNLTRLFRLNLGGNRLSGTISDIFKPMTNLQHLDLSRNGFSGKLPPSFSSLAPTLKYLDLSQNNLSGTIPDYLSRFETLSTLVLSKNQYSGVVPTSFANLTSIYYLDLSHNLLTGPFHALKSSIGYLDLSYNQFNLKTIPEWVTSSTSLYSLKLAKCSIKMNLSDWRPVKTKLFNNIDLSENEFSGSPTWFLNKTEDLLEFQASGNKLQFDMGKLSFAKSLRILDLSRNLVFGKVPWTVAKLKKLNLSQNHLCGKLPVTKFPASAFAGNDCLCGSPLSPCKA >scaffold_103742.1 pep chromosome:v.1.0:1:15597459:15598871:1 gene:scaffold_103742.1 transcript:scaffold_103742.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KJ89] MNSCSFPLFIFIAVFIFFRCLSSTGAATCHPDDEAGLLAFKSGITQDPLGILSSWKKSTDCCSWYGVFCLPVNPGNRVTILALDGNTDSGKTFLSGTISPLLAKLQHLNEIRLTDLRKITGSFPQFLFKLPKLKIVYLENNRLSGPLPDNIGALSKLETFSLEGNRFTGSIPSSISNFTRLLQLKLNGNRFSGIIPDIFKSMRQLHYLDLSQNRISGKLPSSIASLAPTLWALEVGQNNLSGTIPDYLSRFELLSLNLSRNCYTGVVPMSFTNLTRLYNLDLSHNHLTGPLPVMNSSDIEYLHLSYNRFHLKAIPKWVTSSRLMYSLKLAKCGIKMSLDHWTPYSFSYNHMDLSENKISGSPARFLNKMAFLTEFHAAGNKLRFDLGKQNFGIFLKTLDLSRNLVFGNVPATLIRLQTLNLSQNHLCGKLPVTKFPASAFADNNCLCGFPLYPCKA >scaffold_103746.1 pep chromosome:v.1.0:1:15630198:15631457:-1 gene:scaffold_103746.1 transcript:scaffold_103746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKNEKDTTNPSKLDLLDSLPLDLKMAILTRLSAKSLKNFRCVSKMWSSIIRSRGFIDSFFSMSAKQSRFIVSLCNIVFGEPEEKLILFFSFSHDEGDESSSSSSLVPNFEMALPAVSFSFYSGSCASLHGILTVETEGQLMMCNPSTEQVVKLTSDCIFVGYDPIDDQYKVLSWDNIIWEDPNAHLKHKVLTLGDGQGWRHIKNTTLPYMAISPNVCINGFLYYGAYCLTQTRDPVMVCFDVRSEKLSFIKAPPVVLQWGKEAVFIEYKGKLASIVGNTYGAVSSFDLWILEDVEKHEWSKQKCVFPISVWDFFDLGGMSFPGTNRAGEIIMAPSLLSVNLQPFYIFYYNVETKNIRRVRLLGIGDSEEFRRSYGFGEHAKAFVNIAHQHVESIAFFKDPFI >scaffold_103747.1 pep chromosome:v.1.0:1:15636689:15637371:1 gene:scaffold_103747.1 transcript:scaffold_103747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARMGFGAKTLPHPLATASSKTSGDVESSRPLPHVAPNLWGDLILSVRPPITRNLTHQRQIESIIKTKVRNMLMSSHDTDEENMSHPLAYLSW >scaffold_103749.1 pep chromosome:v.1.0:1:15640780:15641855:1 gene:scaffold_103749.1 transcript:scaffold_103749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDTFASVIALFFEPRYSLGKIITTKACQVTIVMDDACGAYGTFSEIKRFIDSLQSLRIISRSIMETVEDIEREMKPQRISCSVQYLIEEEFLTIKCVSRPVLVRCLNMLRLIKLYYAEGEGFTNPHGKLKNLISSLFFHPLPL >scaffold_103750.1 pep chromosome:v.1.0:1:15671142:15673651:1 gene:scaffold_103750.1 transcript:scaffold_103750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATMGFVAKTLPHLGNGTRLPLKTKLSLFPMHLLHNHITLSRRSTKQNLCVKATSESSGDVESSRPLPHVAPTLWGDHILSVPTENSEFDSLETEIESIIKPKVKNMLMSSHNTDKERILLIHLLMCLGTSHYFEKEIEEILDQAFEKLDSLIRDEDDLETIAIMFEVFRLYGHQISCDVFDRFKGVDGKFKHLVSDVRGILQLYEGAHLTTPSEDVMDEALSFTRYHLESLAGQEATAPHMAQHILNALYKPRFFKLQIEAAREYLSFYEKESHDETLLKFAKLNFNFCQLHYVRELKTLTKWWKDIGLVYKLPFIRDRLLETFAGVIALFFEPHYSLGRIITTKASQVTIVMDDACDAYGTCSEVRSFIDSLQSFRIIIRSMMETMEDIEREMKPRGRSSSVQYLIEELKIYAESYVELSKWSRSGHVPTFDKYIEVGIVSSGMRFLGMLSFITLEDCDENQTTEWFESKPNILQDDMRRGEVANGVNCYMKQHGVTKEVAVRKIKKMIRDSYKIVMEEFLTTKGVPRPVLVRCLNMIRLIKLFYYKEGDGYTNPQGELKDLITSLFFHPLPF >scaffold_103751.1 pep chromosome:v.1.0:1:15680330:15680648:1 gene:scaffold_103751.1 transcript:scaffold_103751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTLCCTLLWIFQENGYQLLFLSARAIVQAYLTRSFLNNLKQDGKALPNGHVVISPDGLFLALYREG >scaffold_103752.1 pep chromosome:v.1.0:1:15685335:15686338:-1 gene:scaffold_103752.1 transcript:scaffold_103752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKLKSISSKSEEQPTLFTSGDRSCYRLSSPPTLVVEGVNIILSRIMYLVAPSTRSSHSRPRLSIRLTSRTRKMAKAYNGQDVNGTA >scaffold_103753.1 pep chromosome:v.1.0:1:15687536:15687866:1 gene:scaffold_103753.1 transcript:scaffold_103753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGEVANGVKCYIKKQRVTKEVAVSQMKKMIRDNYKIVMEEFLTIKGVPRPILVRVLNILRMINVYNYEEGDGFTKPHEKLKDLITALFFYPLPL >scaffold_103754.1 pep chromosome:v.1.0:1:15689734:15690300:1 gene:scaffold_103754.1 transcript:scaffold_103754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNYVGQREQRFSVDQLSYKGVRRRKWGKWVSEIREPGKKTRIWLGSYETAAMAAAAYDAAALYLRGHGTNLNFPELADSFPRPESSSSEHVQAAAQEAALKFKPGGSTEPALESGQGLSRVGLSPDQIQAINEFPLDSPRLGWMQDLEVTDYEELYGRYFGQYDECLEMQQL >scaffold_103760.1 pep chromosome:v.1.0:1:15745268:15746107:1 gene:scaffold_103760.1 transcript:scaffold_103760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISSLSFRLLFYDHPTSSSVSAVATSEDCSHFYCNDCVSKYIAAKLQDNILSIECLVSGCESSGRLEPDKCRQILPREVFDQWGDALSEAVLMRSKRLYCPYKDCSALLFIDESEVKMKDSECSHCHRMVCVECGTKWHPEITCEEFQKLAENERGRDDILLATMAKKKKWKRCYSCKLYIEKSQGCLYMKCRSLKVKPKAKD >scaffold_103763.1 pep chromosome:v.1.0:1:15756284:15756905:1 gene:scaffold_103763.1 transcript:scaffold_103763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRCAVCKILNETCAQGCISAPHFPSNDTSFEDVSRVFGAMNVRKILVDLNTPEQRQIAANCLRYEAEARRRDPISGCHGMILHHKSILNNIEQEIESTKNELEPYVGSDRMPKFFDLPMPEDFLTTSASLDFFVEKIKSLNAVQKNQLMQLPTADAQMIMGKIFWNGEDHKKDEDGHGPEADGASTSACQ >scaffold_103765.1 pep chromosome:v.1.0:1:15765768:15766388:-1 gene:scaffold_103765.1 transcript:scaffold_103765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHCAVCKILKETCAQGCISAPYFPSNDTSFEDVSKVFGAVNIRKILADLNTPEQRQIAANCLRYAAEARRRDPISSCHGIILHHKSILNNIEQDIESTKNELESYVGPDRVPKFFDLPMPEDFLTTSASLDFFVEKIKSLNAVQKNQLMQLPAADAQMIMGKIFWKGEDQKMDDGHGPGADGASTSAGQ >scaffold_103766.1 pep chromosome:v.1.0:1:15769057:15769287:-1 gene:scaffold_103766.1 transcript:scaffold_103766.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJB4] MSTTQVLTLIKHNLNKLYLQFCFWSRFSSNLKVFNIVHHHHHPHVNGPSIVRLIIICPQ >scaffold_103781.1 pep chromosome:v.1.0:1:15854299:15856222:1 gene:scaffold_103781.1 transcript:scaffold_103781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIISMMKKDSKDGGGGSKSKRMNRSQRKLLADEEMLHRRALSMAIHQAQLSQRFDGSMSRRVGSTSTRKRTLSDPFSNGKQVPDFSESLIVKKFVLVHGEGFGAWCWYKMVASLEESGLSPTTVDLTGCGFNMTDTNSVSTLEEYSRPLIELLENLPEEEKVILVGHSTGGASISYALERFPEKISKAIFVCATMVSDGQRPFDVFSEELGSAERFMKESQFLIYGNGKNKPPTGFMFEKPHMKGLYFNQSPNKDIALAMISMRPVPLGPMMEKLSLTAERYGKGRRFYVQTLDDHALSPDVQEKVVRENSPEGVFKIKGSDHCPFFSKPQSLHKILLEIAQIP >scaffold_103782.1 pep chromosome:v.1.0:1:15856451:15857473:-1 gene:scaffold_103782.1 transcript:scaffold_103782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASPIQCIRILNPSSSSSSSSSTASSSFRFSSTTKPCVFIIRCSQTEGPLRRPSAPPTLREPQKPVPPSQPSSAPPPPPPPQKAVAVDGKSVTTVEFQRQKAKELQEYFKQKKLEAAGQGPFFGFQPKNEISNGRWAMFGFAVGMLTEYATGSDLVDQVKILLSNFGIVDLE >scaffold_103789.1 pep chromosome:v.1.0:1:15884207:15884579:-1 gene:scaffold_103789.1 transcript:scaffold_103789.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJD5] MAKNLNTVSVTALLLVLLMASTGILETEAACFKFLGECGAVPFTGSNADCKSCCEGKFGSAAVCAGRVEAEGGVNHCHCYGTS >scaffold_103790.1 pep chromosome:v.1.0:1:15887148:15887526:-1 gene:scaffold_103790.1 transcript:scaffold_103790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJD6] MAPPPQKRLTTTTTSPIRATGCSTISAHLVLSLLSHGSLSHTRQSIRFSLSPSGQSTARLSSLFLSPPPNSLFLSPPNSLFLSPPPLPFNITTNSLFPNDLHVVVLMIFLPP >scaffold_103797.1 pep chromosome:v.1.0:1:15943558:15943928:1 gene:scaffold_103797.1 transcript:scaffold_103797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLKTLVRIFLTILFIIFSIHCRPTTADSPGYGIGRLTCFDPVLCIYRGETACDHYCKLQKYRGGLCTDDKCCCVG >scaffold_103801.1 pep chromosome:v.1.0:1:15976667:15979668:1 gene:scaffold_103801.1 transcript:scaffold_103801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSEPTKSESMATKTTNPDLLNSSFFPFKSLKLKTKQQELLLRISILGLVYILAFIARLFSVLRYESMIHEFDPYFNYRTTLFLTEKGFYEFWNWFDSESWYPLGRIIGGTLYPGLMVTAALIYWTLRFLRFFVHIREVCVLTAPFFASNTTLVAYFFGKEIWDTGAGLVAAVLIAICPGYISRSVAGSYDNEAVAIFALLLTFYLFVKAVNTGSLAWALGSAFGYFYMVSAWGGYVFIINLVPLYVLVLLITGRYSMRLYIAYNCMYILGMLLAMQIRFVGFQHVQSGEHMGAMGVFLLMQVFYFLDWVKYQLNDTKLFQTFLRITVTSAILVGGIALGVGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDYHILLFLFPAGLYFCFKRLTDATIFIVMYGLTSLYFAGVMVRLILVATPAVCLISAIAVSATVKNLTSLLRTKQKVSQTGSTKGAGSSKASSKVTLDQSQPFQKNGAIALLLGVFYLLSRYAIHCTWVTSEAYSSPSIVLAARGAHGNRIIFDDYREAYYWLRQNTPTDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYDIMRSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEFRVDKGASPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRARGVEIGNKDIKLEHLEEAYTTSNWIVRIYRVKPPTNRL >scaffold_103816.1 pep chromosome:v.1.0:1:16060504:16061250:1 gene:scaffold_103816.1 transcript:scaffold_103816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFVRKYMFCIVLVFAACSLVVNSIRTPPLKITVNGGEKKNSDMEQAQRHHEKEIGKKGGVEMEMYPTGSSLPDCSYACGACSPCKRVMISFQCSVAESCSVIYRCTCRGRYYHVPSRA >scaffold_103822.1 pep chromosome:v.1.0:1:16079383:16079922:-1 gene:scaffold_103822.1 transcript:scaffold_103822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMHPLPMSEMPPPPPPGEAPPPQPEEPEPKRQKLDESALVPEDQFLAQHPVTKPNVDDGHDIEIIVQPLSENVGSLKEKIVGEMQIPANKQKLSGKAGFLKDNMSLTHYNVGAREILTLSLRERGE >scaffold_103824.1 pep chromosome:v.1.0:1:16084593:16084902:1 gene:scaffold_103824.1 transcript:scaffold_103824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFVRKFMFCLVLVFAACSLVVNSIRTPPLKITVNGGQKKNADVEQAQTDHKVG >scaffold_103825.1 pep chromosome:v.1.0:1:16085074:16085284:1 gene:scaffold_103825.1 transcript:scaffold_103825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYPIGSSLPDCSYACGAYSPCKRMMISFQCSVAESCSGHLQMHVQRKILSRAI >scaffold_103831.1 pep chromosome:v.1.0:1:16140540:16141406:1 gene:scaffold_103831.1 transcript:scaffold_103831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVSLPSDVVMEIMSRVPGKSLARFRLVSKQFRSLLSDPCFLRLHHSRSRDSLFTLRALRKELSNFYKLRYKFSVTNKANCVIHEFKEDFSTHKRPKANGLLPIGKFTEDYRTHKSSIRMLSSHHQLLCFVCEGGIHLCDPLNKELRNLPNPTFSRCCNFNKPGECLVSFGFVDATMQYKVIKWPHDLDENRTRRLPASGLITVWKKVNELKFEVLNIDIVEDGRLKVSPWRLRRRLCPYLLQLSSQVHVNGYIYWTTSDFQIVSFSLQDEHP >scaffold_103840.1 pep chromosome:v.1.0:1:16292958:16293902:-1 gene:scaffold_103840.1 transcript:scaffold_103840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KK64] METCLNLLVVYLSDLLSEDFHVSPLKDFLPWQCFISTSSLVLSTYNALLKRLPEINPPKGNLSKGKNFQKIWYDMFLEEDEEDVNDSTIAASFTYSFRITNSGSFSNPQKFCYLIVGSDELDSPALVIESGLWDAHSREVDEDVMEGRRVTKGLAQASLLVPTRSRVVQDILFHGEKLLINNQSLFCISELHLFRSWDHRIYSHFDRDTRDLFQLLSSCHRDISHRSTDALRFINDATPTSPLNDPAWKTLILSWAWAILFFVVVGPFFKFNLRSISVHFPGPFASYFSWSGPNSRVTHL >scaffold_103845.1 pep chromosome:v.1.0:1:16312132:16313050:-1 gene:scaffold_103845.1 transcript:scaffold_103845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSLIKSSSFRKSNASKIDNLYEVEYVSDESKVPITQLSLLNPYKAFTKSNSTFPKVIKYVFGPHKHAARELVLASQLEQHLVPTTETEQMIPLRLNEGMIHQWRAYGYTHLHYGAIRVALTLYGRKGLPIVVRVALLDTRYREYQHTYIATIQTTLNASTIFVTLFPNFNVVLEDPQIYQNMQIQLQITGAPQVRNTYAATLHHQMAYRVQNHSMDLSLPSDTEDALLIQLESQYSPSCIHIPRQIPREELVKLLPESWVTTMRNSMIEVFQYNRWTLLFTEEKTEK >scaffold_103846.1 pep chromosome:v.1.0:1:16322007:16322235:1 gene:scaffold_103846.1 transcript:scaffold_103846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNANTICYCCYRRKELNFYCSVCNFCICADCEAKRPLLTIKKNKKSMNICCLTFLEKLP >scaffold_103847.1 pep chromosome:v.1.0:1:16327579:16328539:1 gene:scaffold_103847.1 transcript:scaffold_103847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKTCGETTCEFYSAITISEDNEDRSMSRKKLATVIIICLNQNVACFAISMFALYASGWKPTPRRTFGYFRIEILLNLVSIQIIWLLTGILVYEAIKRLGHQNKEIKGPLMFGVACFGVIVNLVMVFVLGTISTTWKLLNILMETAPKDFDCTRLVEGLCDMDEVIAVHELHVWAITVGKVCLTCHVRLKHEANTDAMLDKIIEHIKREHKISHVTVQVEREQNP >scaffold_103849.1 pep chromosome:v.1.0:1:16341430:16345305:-1 gene:scaffold_103849.1 transcript:scaffold_103849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRQSERFSVRGGGAASSDHVTIGIRNGVGGAKGNNNNRWRRSVRADKIRRLGIGSVVFVLCFVLVVTLLAYYYISGFTYNSYDDKGFVDSYEGDFLTNVTRIDPSKVLEFGQGSVIHGRDSRYWDKDDRRRDDDYNEDEVKKDVQVHNPVKGIGLYNEAGRNELKMYEAEYQATLGKGGHERNGVQRHEGVDMDREDDDGIDSHDGDEYVDSGHEDENEEAHKEKVGEVVHLVTKHENMEKDDGGISKRSLGDSYLVSKGGKSGKTSRSDTKRRGRRRSSGSCEMKLLNSSQQIVEPLNTRKSARFSLQYIEKEDKPDGEEQWEPRFAGHQSLQEREDSFVAEDKKIHCGFVKGPKGSPSTGFDLTEDDTNYISRCHIAVISCIFGNSDRLRPPANKMISRLSRKNVCFIVFVDEITMQTLSAEGHAPDRAGFIGLWKLVVVKNLPYADMRRVGKIPKMLPHRLFPSARYSIWLDSKLRLQLDPLLILEYFLWRKGHEYAISNHYDRHCLWEEVAQNKKLNKYNHTVINQQFQFYKADGLTRFNASDPFKLLPSNVPEGSFIVRAHTPMSNLFSCLWFNEVERFTPRDQLSFAYTYQKLRRMNPDKPFNLHMFKDCERRKIAKLFRHRPEEKRNLIQAAIQ >scaffold_103854.1 pep chromosome:v.1.0:1:16395456:16397701:1 gene:scaffold_103854.1 transcript:scaffold_103854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGESTNGSRCSSCISGDIYGDDFRKSDEFTCKQCKNSDSKSKSKSVNDSEKLRRIIVASVKGFTIGTGLKGGLAIFSIVARFARRRRSSLQSRKTGEFSNSEAIAMGIKETLRYGLFLGTFAGTFVSVDEAIAALAGDKRTAKWRALFAGLVAGPSMLLTGPNTQHTSLAVYILMRAAVLASRCGIKSKRFGSICKPLTWKHGDLFLMCLSSSQILSAYILKQESLPSSYKSFLNKQGGKDLSILQGVKDIASAQPFTNLRAIEKYYKSVGVDIKLDPNMKVPCSIIHGNESCVKHGITFFLQAYKRALPVYVPVYLIPALIVHRQDLLKKQYSILGKGLLGTARSSLFLATYCSSAWAWTCLLFRTFETCNIPLVAIATFPTGLALAIEKKSRRIEISLYCLARAIESFFTCMTDAGYIRPPKSLRRADVVVFSVSTAIIMHCYAQEREVFRSKYLNVLDWVFGVPPPPLPPPCETT >scaffold_103858.1 pep chromosome:v.1.0:1:16431635:16432068:1 gene:scaffold_103858.1 transcript:scaffold_103858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAKTLGPSVAPRLVKLSTAHDTAFLWLRISHNGTAQNKFRVWISACNPQKLPTNALQTLGRFRQVFVPCVPPQIQFLSDLEGLRSAPFQFIETLWLQLSSFMERTICQSTMTIHQLPDLFVDVVST >scaffold_103859.1 pep chromosome:v.1.0:1:16488673:16489165:1 gene:scaffold_103859.1 transcript:scaffold_103859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLGFIFLIAMYFGLREASNCWDQKVEIQNRLGPGRILKVGCSDSPNTTHWLKFNENYLLSSGQRRQNIFANKKRRQDIWVCHIVHWPTKQPYAYDLMAELGSRLPPCIHGFRSWIAKVDGIYYEHNKIKPGYRLLGWKKVDTNTIEN >scaffold_103866.1 pep chromosome:v.1.0:1:16537190:16537879:1 gene:scaffold_103866.1 transcript:scaffold_103866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIERNKWIRYWSLEYWCTRIGLSVGATNNTCTIKGLIAALCFHQMFEGMGLGGCILQAKYGQVKKAVMAFFFAVTMPSGVVLGMALSKTYKENSPNSLITVGLLNASSGGLLIYMALVDLLAADFMGQKMQQSIKLQMKSYAAVLLGARGMDVLAKWT >scaffold_103867.1 pep chromosome:v.1.0:1:16538609:16539082:1 gene:scaffold_103867.1 transcript:scaffold_103867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSINTYGKSLWCEGFSILGAALAWRVVKESTYRMVNETPMTQDNQPALSSFMSKENAERLALGLCEMRGTALKVVKMLSIHDESYSCSDKYQSKPLLFCGS >scaffold_103868.1 pep chromosome:v.1.0:1:16540493:16540742:1 gene:scaffold_103868.1 transcript:scaffold_103868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHNVPVLDETSDLFRRFMQKKRDALFDSKKIEIYEEFDTVAYWKQKALNLEKMLEASTERERRLIENS >scaffold_103870.1 pep chromosome:v.1.0:1:16547013:16547883:1 gene:scaffold_103870.1 transcript:scaffold_103870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRRNSKLKLEESSDQSPATTAEFSFSLTKIAVSQICRSVGYIATDTSALNTLTLTTTKFLQSLAELASSFSNTANRTEVNLFDIVNGLQDIALSTSDCFPGGSTVHDIESHCLIKSAVLRNLSDFVAYAPEIPFAKPLPRRERDGSLGGDLDQAPVTRSVEVKSVPAWLPPFPDASLCSERCIKDRPDHLWENSDSVIGREILPESLQSKIGGRLPVRRERVRFKMDQRDWSNSGDTRLERWRDNRDGESDGGVEVKKKIREGYNYCTGEWPV >scaffold_103875.1 pep chromosome:v.1.0:1:16560845:16562797:-1 gene:scaffold_103875.1 transcript:scaffold_103875.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-1-monophosphatase [Source:UniProtKB/TrEMBL;Acc:D7KK98] MGSMSMRISHLPRSSLPLQNPFSCRTSNRNFRHGCSTILSNSFKSTSRLQTKALVSEISDQTHYPRIGAKSTGTISPALLLEVVELAAKTGAEVVMEAVNKPRNITYKGLSDLVTDTDKASEAAILEVVKKNFSDHLILGEEGGIIGDSSSDYLWCIDPLDGTTNFAHGYPSFAVSVGVLYRGNPAAASVVEFVGGPMCWNTRTFSATAGGGALCNGQKIHVSETDAVERALLITGFGYEHDDAWSTNMDLFKEFTDVSRGVRRLGAAAVDMCHVALGIAESYWEYRLKPWDMAAGVLIVEEAGGAVTRMDGGKFSVFDRSVLVSNGVLHSKLLERIAPATENLKSKGIDFSLWFKPEEYHTEL >scaffold_103876.1 pep chromosome:v.1.0:1:16563075:16563543:-1 gene:scaffold_103876.1 transcript:scaffold_103876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKREDDVYNFGFILLESLIGPVPTTKGEAYLLNEMVSNRKKKKAIFIIVICFKSWWLIAVAAMLHLQTSFGSQDGRQKTVSPTVLTTSSQESLSIAISIANKCVLLEPSARPSFEDVLWNLQYATQMQSAADAERKSDTSS >scaffold_103878.1 pep chromosome:v.1.0:1:16569187:16569391:1 gene:scaffold_103878.1 transcript:scaffold_103878.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKA1] MAETNEKDTTKSEIFIAFARVFCLIASPLSFCPQPSLSNMFTHTCIHKNTYTYL >scaffold_103883.1 pep chromosome:v.1.0:1:16597992:16598663:1 gene:scaffold_103883.1 transcript:scaffold_103883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTMEILSRPDALNQLPDVNLQQIQAEAYCEIDEQYVESLIGKWGFTQATSKPRKSPYYLX >scaffold_103886.1 pep chromosome:v.1.0:1:16610381:16611431:-1 gene:scaffold_103886.1 transcript:scaffold_103886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEWILKQNEDLRNKIREDVKEIVGPKSPAGGASNLRRSSRLNTNHKGKTDASPSKKTGNKETRKRKRKQVEVHSLSTGSESAGDIEGEADVQSTWKEDGNAPSSGTFDKCDDYGDALKITQEGDLMQEESDFDHCSSNCTKTKESMMREIENLELKLQLYGGHGLNNLTYDELLHFEHQLESSLHIARAHKREQQQQQTDKLKENLMLCQRQEDDDIMHRQVKKENPPVFFSSSRRHNQPQD >scaffold_103894.1 pep chromosome:v.1.0:1:16640031:16640340:1 gene:scaffold_103894.1 transcript:scaffold_103894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSQNQQEPVQYQALVDFNNQIQHGISDMNLNQNMTLDTNQYPFQHEPCMNMLMEYPHQDVGYVGFTVTGHMPSTTTNVYVPYINNHL >scaffold_103896.1 pep chromosome:v.1.0:1:16643370:16643617:-1 gene:scaffold_103896.1 transcript:scaffold_103896.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKB3] MARQSLERGGKDKPSKAAEAGLLIVEQKRHRSGTKGGKIKPNFDQIWLKFLVEETNKSRANGNTKTKP >scaffold_103897.1 pep chromosome:v.1.0:1:16644067:16645296:1 gene:scaffold_103897.1 transcript:scaffold_103897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRANRVVLHNPASRSSNWKRKVKQSRNQSSVMISSTVGGHQHKFGGPNQCVRENLLSKDFVNIHTPKLLAGSSEGGSAVFRLEYQGQPACLAQSPQLHKQMTICDLLYWKQYPFKPLKFLEKTLRLTFEEGVQILKEAGVEIDPLGDLNTESQRKLGQLVLEK >scaffold_103905.1 pep chromosome:v.1.0:1:16669543:16672216:-1 gene:scaffold_103905.1 transcript:scaffold_103905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGAFLGAPVSEILKLVIEEAKKVKDFKPLSQDLASTMERLVPIFKEIDSMQQRCNGELIVLIKTLERAEKMVSKCSGVKWYSISKKALYTREIKEINQEFLKFCQIELQLIQHRNQLQSMQSMASVTMNIDLLKEFATNFPPCICHGCNSVTEHGRLEPSSSIDEVENQARPTHKAGKAFIISTAQRIEYNEHPFWLEKYCACHDFDGTPKCCSCERLEPKETNYVIIGDGRWICLECNESSIRDTYECQPLHFEIREFFKGLNMEIEKQFPLVLVEKQALNTAEEEDKIGHHHEVSTRGCCFSEEVIITSVSRIPKMQSNNMLIEEIETVRPVGESKIISVMILYGLPRDLEPDVEEGLCQVVAHMWLESQTYASTNGAAASSSASSSSHMRVNTTNEPMFEEKLVEFCKKHIEKDDSPLYGLGFNRVHEMVTNSSLHQTLRGFPSRKLKTERQVQILESNRRTFSNFKGS >scaffold_103906.1 pep chromosome:v.1.0:1:16675020:16675256:1 gene:scaffold_103906.1 transcript:scaffold_103906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGMAFDEHDQVMFIGGYVNHLANRSISDVVGTVDWPKLKRSRSLAMVRAQTEGEERIQRFC >scaffold_103907.1 pep chromosome:v.1.0:1:16679949:16681137:-1 gene:scaffold_103907.1 transcript:scaffold_103907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGETPKWETLDRNILSVIFNKLDAMDLIMGASRVCISWFVASHDKSLWQTVDLAKVQQVVVSYSPESRDKVRPVVFYNHRVDDDEIERGLSFRNVLVNFYQCLHGEVEKGRSLREVLIEITKLSDTAPKNLFFNSHSYIKEKDLMFAAQRMPNIEKLVLPRWCYHNKKSFRFAFSRWKNLKTLIIAHDHNLNGGFEFQAVGENCINLTNLKYLGYLHEYNAEQIVRCFQKLKRLSLRCSFLCVRGVLSLITGLQNHVILNLTHCVFHDVALLRQNVPAIGPVPSDDLVQAATQKLDKLIKCSHDCSFCKAWWERLTLTSLDKSRWHPYDKDWRNDEIEEFVF >scaffold_103909.1 pep chromosome:v.1.0:1:16687200:16688574:1 gene:scaffold_103909.1 transcript:scaffold_103909.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KL08] MKIFDVSFTGEFIVKASGDQLEKVSSLTLSNLDLLSGRFPVTYFYFYPKQPQLSFESIVKSLKSSLSETLTYFYPFAGQIVTNETSQEEPMIICNNNGALLVEARAHIDFKSLDFYNLDVFLQSKLVPVNPDFALQIQVTEFECGGLAITFTFDHALGDASSFGKFLTLWSEISRNQQVSCVPDHRRNLLRARSPPRYDPHLDKKFVKCSKEDIKNIPMSKTLIKRLYHIDASSIDALQALATVNGESRTKIEAFSAYVWKKMVDSIESGHKTCKMGWLVDGRGRLETVTSNYIGNVLSVAVGEASIENLKQNHVSEIANIVHKSITDVTNNTHFTDLIDWIESHRPGLMLARVVLGQEGPALVLSSGRRFPVAELDFGFGAPFLGTVCSTVEKIGVGYLNQRPSACNDGSWSVSAIVWPELATALESDSVFQPMSAKHLQLQT >scaffold_103912.1 pep chromosome:v.1.0:1:16697355:16698304:1 gene:scaffold_103912.1 transcript:scaffold_103912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFGYFSDTDDSAVEELISQAKELSALEQVAAINCSGFTDSTLPDDLESRFRRLKSLPAAPRHEPVSSSSSMNRKNHLTHSKSVATNHPKEDVKFSGNPGKKPGSVSLSDEDSRNKRDLEMKSSSQAELVSNGSGDFSDSGNISESKIFSPVKQQMKKKLPKEKRRIVSPSSSSSIDLATTPPSTDSEPEKKSKSKSKSSWFDKLSPSKLIGTIWRSSPRKSTTNKKNLKSIKSFNTAAYTSGRERKVDFDEFLSDLNAFPVEDQRKMLKKALKEQQKMRKEAAEIIKMAKQASARFDFDD >scaffold_103914.1 pep chromosome:v.1.0:1:16700998:16703379:1 gene:scaffold_103914.1 transcript:scaffold_103914.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 1 [Source:UniProtKB/TrEMBL;Acc:D7KL13] MEAIRKQAAKLREQVARQQQAVLKHLGHVNADAVVVDEEELHCHQKLQDLYSSTKAAKRLQRNIVRGLEGFIAIGTKVVEIGLKFAEDFKKYGDENPDANTPLSRVSHHFGTSYKSVEGERETLLGVLSEQVCEPIRTMIYSAPLEDARHLVNHYDRLRQEVEAQATDVLRRRSKLKESDISEEAYIKLKNSESRLAELKSSMKTLGKEATKAMLEVNDQQQNVTSQRLRALVEAERSYHRNALEILDKLHSEMIAEEEAIGSSPKSPTLHLEDSASLPQEEPNSNPSGEIKSNPSGKIKSSRREEIKSNPQEVTKPTPKDEMKSSPQEETKSNHQKEIKPSPQEEIKKSNGSDDHHNHHLLSQNDSYFLAKVVHPFDAQAPGELSLAVDDYVIVRQVAGTGWSEGEYKGKAGWFPSAYVEKQEKAPASKIVESNPKQQ >scaffold_103916.1 pep chromosome:v.1.0:1:16706043:16709299:-1 gene:scaffold_103916.1 transcript:scaffold_103916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGICEMKSCSSWLLLISLLFALSNESQAISPDGEALLSFRNAVSRSDSFIHQWRPEDPDPCNWNGVTCDAKTKRVITLNLTYHKIMGPLPPEIGKLDHLRLLMLHNNALYGAIPTALGNCTALEEIHLQSNYFTGPIPAEMGNLHGLQKLDMSSNTLSGAIPASLGQLKKLTNFNVSNNFLVGQIPSDGVLSGFSKNSFIGNLNLCGKHIDVVCQDDSGNPSSNSQSGQNQKKNSGKLLISASATVGALLLVALMCFWGCFLYKKLGKVEIKSLAKDVGGGASIVMFHGDLPYSSKDIIKKLEMLNEEHIIGCGGFGTVYKLAMDDGKVFALKRILKLNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLLYDYLPGGSLDEALHVERGEQLDWDSRVNIIIGAAKGLSYLHHDCSPRIIHRDIKSSNILLDGNLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDASFIEKGLNVVGWLKLLISEKRPREIVDRNCEGMQIESLDALLSIATQCVSSSPEERPTMHRVVQLLESEVMTPCPSEFYDSSSD >scaffold_103920.1 pep chromosome:v.1.0:1:16717971:16722999:-1 gene:scaffold_103920.1 transcript:scaffold_103920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQL2 [Source:UniProtKB/TrEMBL;Acc:D7KL19] MESEAIQEELQNLDVELKDVQGQISALIEHQDRLYERKSELKTLLKALATSGSPVASSGSNAIENWSEAFEWDSRADDVRFNVFGISKYRANQKEIINAIMAGRDVLVIMAAGGGKSLCYQLPAILRGGTTLVVSPLLSLIQDQVMGLAALGISAYMLTSTSGKENEKFVYKALEKGEHDLKILYVTPEKVSKSKRFMSKLEKCHNAGRLSLISIDEAHCCSQWGHDFRPDYKNLSILKTQFPKVPMVALTATATQKVQNDLIEMLHIPKCVKFVSSVNRPNLFYSVREKSAVGKVVVDEIAEFIRESYSNNESGIVYCFSRKECEQIAGDLRERGISADYYHADMDANMREKVHMRWSKNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECILFFRSADVPRQSSMVFYEYSGLQNLYDIVRYCQSKTKCRRSAFFRHFGEPSQDCNGMCDNCALSSEVKEVDVSDLSKLVVSMVQETQSKDQRVTMLQLGDKLRNKHKDLSAELKRDEIEHLVIKLIVDSVLKEEFQHTPYSTNAYVTMGPLANQLLQGRKTIKMETSSRQTKKPKRSLSFSGLELNLDELRKEISAADGSILPHTVLSTQQISLISSQKPVSLQELESIIGKLKTEKYGDRILEEVMRHEAVSEQLVEDPTKEETCNSRSRKRAKTQKDAILVESSGEEEA >scaffold_103921.1 pep chromosome:v.1.0:1:16740507:16741704:1 gene:scaffold_103921.1 transcript:scaffold_103921.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KL20] MSTAAAESPPAKRRRIVTGNENSALIEGLPDHISEICLSKVNRPSLLSAVCTRWRRLLYSPEFPSFPSLYALFVDSTSDPGRVNPSVRFMCFNPVSPKWYPLPPPPPDLPLHRILYRHPSFISFNLPIQCVSAAGKLILIAGSNQQLSPAISHPLIFDPISSSWSSGPQIGSPRRWCATGACDGAIYIASGISSQFSSTVAKSIEKLDLTDQNRNNRRFNWEKLRDMRDLRFSREAIDAVGYRRKLLMVNVKGDAIKEGAIYDVVKDDWEAMPEEMLVGWRGPVAAMEEEILYSVDERRGTVRKYDDENREWREVAVVDGGEELLKGATQVTADSGKLCVVTVDGKIVVADVVAEPAKIWNVEIPDGLEPVSVHVLPRMSQPDFC >scaffold_103933.1 pep chromosome:v.1.0:1:16826890:16827207:-1 gene:scaffold_103933.1 transcript:scaffold_103933.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL32] MGKHGSETVGMQTDSTEEAAVDASESQPGNESVELERDDAEGQVAVDDSDIQLGKESLIEAVEAAEEELVGASEEEEETVTAQVYISLRMD >scaffold_103936.1 pep chromosome:v.1.0:1:16850803:16852812:1 gene:scaffold_103936.1 transcript:scaffold_103936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRLADFRYSTSIFEKYTRSSEFEIVSTPVICGHGVKESGAMVISDSDITVIVKLIKIRSNVPD >scaffold_103938.1 pep chromosome:v.1.0:1:16875642:16876122:-1 gene:scaffold_103938.1 transcript:scaffold_103938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLSCVMVVIALCIGFSNAKMKNSVHFKNSLLPKNILKIHCLSDEDDLGIHFLNPGQTYNIRFNDSIFKTKIDCQLWQGINYNFFAKFRAYKSGGLIVHHGKMNFWDAREDGIYFTHGKQIPKLEYKWKDISLRKKIKVRPPSLF >scaffold_103940.1 pep chromosome:v.1.0:1:16913714:16914222:-1 gene:scaffold_103940.1 transcript:scaffold_103940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKANKIGELVDSMLQSPYHGGFSVFAGVAERTREGNDLYREMIESSVIKLGEKQVFNCASDVKTDLM >scaffold_103942.1 pep chromosome:v.1.0:1:16921614:16922949:1 gene:scaffold_103942.1 transcript:scaffold_103942.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g35160/T32G9_30 [Source:UniProtKB/TrEMBL;Acc:D7KL41] MAAPPASSSAREEFVYLAKLAEQAERYEEMVEFMEKVAEAVDKDELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVTTIRDYRSKIESELSKICDGILKLLDTRLVPASANGDSKVFYLKMKGDYHRYLAEFKTGQERKDAAEHTLTAYKAAQDIANSELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDESPEEIKEAAAPKPAEEQKET >scaffold_103944.1 pep chromosome:v.1.0:1:16941434:16942664:1 gene:scaffold_103944.1 transcript:scaffold_103944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEMRKLYSCAICYNLLDQATALKRCNHIFCLRCIYGKITQHDWKCCPVCYVDFGPDPLRILRHDDPLLLSVERNNLFPERYDEETRSEQGESETESESSDDEETESDNLEDMETESGNDEPEP >scaffold_103947.1 pep chromosome:v.1.0:1:17017463:17017992:-1 gene:scaffold_103947.1 transcript:scaffold_103947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSTSLLGIRRGSSNKNKAEDKTKNAVFCKKHPKHRQSPGVCSLCLNERLSLFIKAASSRRPRSRQILCSSSSTTSSLSSYGSSSVSSCPSPLVERRRYLVVSGGSGRGEKVISWMTKSRSVAYKVDDEKRKKKKKKTKTKSGFFFGFVMGSRRDDKRVL >scaffold_103953.1 pep chromosome:v.1.0:1:17047732:17047954:1 gene:scaffold_103953.1 transcript:scaffold_103953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIFWDPSHHPSTPMPSDVLSNENVFKVGDSNGWTTKADPMWYENKHFRVGDCLLFQYN >scaffold_103954.1 pep chromosome:v.1.0:1:17049014:17050116:-1 gene:scaffold_103954.1 transcript:scaffold_103954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQAAATAAQAMPVQHVGFPRFLSRQLVLPLRSAKTFKPLACLKLRVKGVNGLHEIELKVRDYELDQFGVENNAVYANYCQHGQHEFMKSIGINCDEVSRSGEALAVSELTIKFLAPLRSGCKFVVKTRISGRSVARIYYEQFIFKLPNQEPILEAKGTVVWLFVSRLTYALSSVTSTANMFLIDHALLGSTKHFLSIAKKSRHM >scaffold_103957.1 pep chromosome:v.1.0:1:17065013:17065394:1 gene:scaffold_103957.1 transcript:scaffold_103957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVLSDLNCDVVDAKLWTHNGRVAYVIYLRDGSSGAPILDSQRISKIEGWLKNVLNVDNDVNSAAKTCVSMDSMMHIEHRLHQLMFEVRDYERRSDKPERSAMVVVIGSELG >scaffold_103958.1 pep chromosome:v.1.0:1:17065432:17066450:1 gene:scaffold_103958.1 transcript:scaffold_103958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAVFHATITTAEDQVHLEVERQRVILCLEAAVERRALDLEKLLERTRRDCLIADIFFTWATEAAEKFFHGTGYFSLCSENCIRVTDKTK >scaffold_103964.1 pep chromosome:v.1.0:1:17116927:17119111:1 gene:scaffold_103964.1 transcript:scaffold_103964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTLFSSNLSSTFFPTKNLHRIRIPATSIPGGFNIRARRSKIVAKSLNLPLLPFSMSEVLVPTESKTLHLYEARYLALLEESMKRKKNMFVHFILDPISISETATEASFAARYGCLVFIENVERLDVGALVSIRGAGRVKISRFLGADPYLSGEVRPIQDRVNYESSNELTSKISQLKESIKNLNSLEIKLKAPADSPLQTRLINSLNWAEDEPAVEFDESFLPSLQERLSFSALQPISGSTKSELSRLQQEKLKAMDMKDTIERLELSMGLIKENISSIAAKLAIQSLDIR >scaffold_103965.1 pep chromosome:v.1.0:1:17119252:17120059:-1 gene:scaffold_103965.1 transcript:scaffold_103965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSSSMNPSTISTISKKMESMSMTHRVVRNKKKLSQSRYSPYTAKIKLTIEKQKDESLRLGVELSLFVAEAMFLLSDDIHSMLVFCYQIVYECTGNKEKKNPTQVVKGLMYVMLYVFETYIKPKNGVYQADGKSTQLELIKSSTEHFAYGVRNLERIILVLNNGGLMPQSDFEHFNKELKKLEEKFRSSKDVSEANGFARDAIKSNILHLWARKSPVPLKIINAPIRIAEMFRPLLNQARVRICSCLIASLHI >scaffold_103970.1 pep chromosome:v.1.0:1:17166124:17166892:1 gene:scaffold_103970.1 transcript:scaffold_103970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMSLSHRVARHNKKLQKSRYSPYSKETNIIIEKEMQKDEAIRLGVEFSLFVAEAMVLLSDDIRSMLLFCLWLLKDAGKGITAPVVGRLIFVMIYVFETYIKPKNGVYQAGGKSKQWELIVNSSQNFVFGIGELDRIVLILRKRESSFGELVKTSDFEHYNQELKKLEETLRSAKNVSEAYGFSREAIKSNILYLWKPLFETSPPKVKVINPKNRILEMFKPLLIQAREDACSRLIASLHI >scaffold_103971.1 pep chromosome:v.1.0:1:17172574:17173151:1 gene:scaffold_103971.1 transcript:scaffold_103971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTYTFGSDRYRVNYPFIFEFKEGTELGYGHVLLLSFGLGTLALCAVLVNLDMEMDPNTNDYKTITELVPLFGIALPGFSINIGNVWKIAAWVFSALATFYGTYWDIVYNCRLMEAFASSSYVDRSLVKHQVGETGGSFSITFF >scaffold_103973.1 pep chromosome:v.1.0:1:17181470:17182173:1 gene:scaffold_103973.1 transcript:scaffold_103973.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL70] MEPLLFLIHDQLICTQTGRVIGRTRLKVVADSSLRLKVVAESPESSSQRLKVVAESSSPESSSMRLRVVAESNSLVATEISLRLMVGSETSLKLMVGSETSLKLMVGSETSLKLLMTTEISLRLMVSSESTLKMLVISYTEISMSLLGIENSRRRKSILTDLCTRRRKSLLVNECYRRRRMDVIGLQHLLRSIKEKMTDGLGLKFRGWEYMCIRSGTIHS >scaffold_103974.1 pep chromosome:v.1.0:1:17182612:17182804:-1 gene:scaffold_103974.1 transcript:scaffold_103974.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL71] MEKFSFLSVLFMIATALSNTSSTSINSFYIDFYNSKKQKSNDKYLDGYHE >scaffold_103975.1 pep chromosome:v.1.0:1:17185968:17186808:1 gene:scaffold_103975.1 transcript:scaffold_103975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSMNSSDISTISEKMESMSMTHHVVRNNKRLPQSRYSPYILGSVIATIPNTKETNLTNEKQKDEAERLGVELSVFVAEAMFLLSDDLRSMLHFCVQIILKYIITRNKEKKNPTQVVKILIYVMLYVFETYIKPKNGVYQADGKSTKLDLIKSSTQHFAYGVRSLEHIVLVLENGGSMPPIYFEHYNQELKKLEEKLRSSKDVLEANGFVGEAMKSNILHLWKSLFVTLPLIFPRVRIHDMFRPLLNQARQDVCSRLIASLHI >scaffold_103976.1 pep chromosome:v.1.0:1:17189918:17190141:1 gene:scaffold_103976.1 transcript:scaffold_103976.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL73] MSQRTSRPAHYHLLLDEIGFSSTGEKFSSILSLISLTKTKLALPQIRSNCLESSLLKQLT >scaffold_103977.1 pep chromosome:v.1.0:1:17190980:17191360:1 gene:scaffold_103977.1 transcript:scaffold_103977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESISMTDHVRVVRHNIRLPQSRYIFSLVISFIISFESLLSFISEYSILIYYFICRTDLNKEKEKPKEEAIQLGVELSLFVAEAMFLLSDDLRSTLHFCFNLPLEYCREERL >scaffold_103978.1 pep chromosome:v.1.0:1:17200662:17200943:-1 gene:scaffold_103978.1 transcript:scaffold_103978.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KL75] MRMAMCQACEHMIPVCRPCERMIAVCWPCGCMFAVCRNEYMVAKCRPCGRMFAVCRDVSAKYRRTFATCSACVRGPHL >scaffold_103992.1 pep chromosome:v.1.0:1:17311228:17313137:1 gene:scaffold_103992.1 transcript:scaffold_103992.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7KL86] MQSTHISGGSSGGGGGGGGGEVSRSGLSRIRSAPATWIETLLEEDEEEGLKPNLCLTELLTGNNSGGVITSHEFPSSVEQGLYNYNHQGGGFHRQNSSPADFLSGSGVGTDGYFSSFGIPANYDYLSTNVDISPTKRSRDMETQFSSQLKEEQMSGGVSGMMDMNMDKLIEGSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRRLQELVPNMDKQTNTADMLEEAVEYVKALQGQIQELTEQQKRCKCKPKEEQ >scaffold_103994.1 pep chromosome:v.1.0:1:17327188:17327998:-1 gene:scaffold_103994.1 transcript:scaffold_103994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMCDPYTPAGVPIPTNKRHNAAKIFRHQSLPPRSLVLWISNVSSHINYCVVAVRKIHINWTIATKKNRITGLILSKEIKPLISTVFEGKDANVIAHGARNCGKTYLLHVLKAIPLRKQTPLTVSATSRKQTVLRGNVTERKD >scaffold_104000002.1 pep scaffold:JGI8X:scaffold_1040:2231:2420:-1 gene:scaffold_104000002.1 transcript:scaffold_104000002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLARPKQRLHSNPSLIHLFSTSSSSPQDGNESSEQPSQSPSSXXXXX >scaffold_104004.1 pep chromosome:v.1.0:1:17411759:17414169:-1 gene:scaffold_104004.1 transcript:scaffold_104004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCGLRAVGSHCSLSEMDDLDLTRALDKPRLKIERKRSFDERSMSELSTGYSRHDGIHDSPRGRSVLDTPLSSARNSFEPHPMMAEAWEALRRSMVFFRGQPVGTLAAVDNTTDEVLNYDQVFVRDFVPSALAFLMNGEPDIVKHFLLKTLQLQGWEKRVDRFKLGEGVMPASFKVLHDPIRETDNIVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLSETPECQKGMKLILSLCLAEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRSALSMLKPDGDGRECIERIVKRLHALSFHMRNYFWLDHQNLNDIYRFKTEEYSHTAVNKFNVMPDSIPEWVFDFMPLRGGYFVGNVGPAHMDFRWFALGNCVSILSSLATPDQSMAIMDLLEHRWEELVGEMPLKICYPCLEGHEWRIVTGCDPKNTRWSYHNGGSWPVLLWQLTAACIKTGRPQIARRAVDLIESRLHRDCWPEYYDGKLGRYVGKQARKYQTWSIAGYLVAKMLLEDPSHIGMISLEEDKLMKPVIKRSASWPQL >scaffold_104006.1 pep chromosome:v.1.0:1:17430514:17432234:1 gene:scaffold_104006.1 transcript:scaffold_104006.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLW0] MFSSHRRSALPLRCPALSGAARGLPYEKDRCCYVLGFCLVGGLAADQAFLLIACGRRSWCVVAMRGRCGNSSAGYPLLGDGKSLRLGYRQFSTMSRRSRFGGSCDLPRLCQQRILVSLGGVATFVSSSDLASGSKIFRRVRGCLWCVTARETHGGLPSHFDRICSRTTEDLVDGCHCLFALGLRVSSEFCYSFCSQSGDLLDLSRFAFGSRHGCDCGGCVLLDFERLVSASLAA >scaffold_104020.1 pep chromosome:v.1.0:1:17551751:17551946:1 gene:scaffold_104020.1 transcript:scaffold_104020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLX4] MSSPPSAEGLDSIGGCPELFSSFDSDVRLHQIVSPSGTSSITASATATRSI >scaffold_104021.1 pep chromosome:v.1.0:1:17554479:17557630:1 gene:scaffold_104021.1 transcript:scaffold_104021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAENNPNDLLFLLFISIILSCSISASATIAEANALLKWKSTFTNQKRSSKLSSWVNDANTNTSFSCTSWYGVSCNSRGSIKKLNLTGNAIEGTFQDFPFSSLPNLAYIDFSMNRFSGTIPPQFGNLFKLIYFDLSTNHLTREIPPELGNLQNLKGLSLSNNKLAGSIPSSIGKLKNLTVLYLYKNYLTGVIPPDLGNMEYMIDLELSHNKLTGSIPSSLGNLKNLTVLYLHHNYLTGVIPPELGNMESMISLALSENKLTGSIPSSLGNLKNLTVLYLHQNYITGVIPPELGNMESMIDLELSQNNLTGSIPSSFGNFTKLKSLYLSYNHLSGAIPPGVANSSELTELQLAINNFSGFLPKNICKGGKLQFIALYDNHLKGPIPKSLRDCKSLIRAKFVGNKFVGNISEAFGVYPDLNFIDLSHNKFNGEISSNWQKSPKLGALIMSNNNITGAIPPEIWNMKQLGELDLSANNLSGELPEAIGNLTNLSRLRLNGNQLSGRVPAGISFLTNLESLDLSSNRFSSQIPQTFDSFLKLHEMNLSRNNFDGRIPGLTKLTQLTHLDLSHNQLDGEIPSQLSSLQSLDKLNLSHNNLSGFIPTTFESMKALTFIDISNNKLEGPLPDNPAFQNATSDALEGNRGLCSNIPKQRLKSCPITSGGFQKPKKNGNLLVWILVPILGALVILSICAGAFTYYIRKRKPHNGRNTDSETGENMSIFSVDGKFKYQDIIESTNEFDQRYLIGSGGYSKVYKANLPDAIVAVKRLHDTIDEEISKPVVKQEFLNEVRALTEIRHRNVVKLFGFCSHRRHTFLIYEYMEKGSLNKLLANEEEAKRLTWTKRINIVKGVAHALSYMHHDRSTPIVHRDISSGNILLDNDYTAKISDFGTAKLLKTDSSNWSAVAGTYGYVAPEFAYTMKVTEKCDVYSFGVLILEVIMGKHPGDLVASLSSSPGETLSLRSISDERILEPRGQNREKLIKMVEVALSCLQADPQSRPTMLSISTAFS >scaffold_104022.1 pep chromosome:v.1.0:1:17557804:17560273:1 gene:scaffold_104022.1 transcript:scaffold_104022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHAVRVLSCVLSLNLHLIWLNLCLDFFLLPLRRRLEPHSPSLLNLKNLTVLYLHQNYITGVIPPELGNMESMIDLELSQNNLTGSIPSSFGNFTKLESLYLRDNHLSGTIPRGVANSSELTELLLDINNFTGFLPENICKGGKLQNFSLDYNHLEGHIPKSLRDCKSLIRAKFVGNKFIGNISEAFGVYPDLDFIDLSHNKFNGEISSNWQKSPKLGALIMSNNNITGAIPPEIWNMKQLGELDLSTNNLTGELPEAIGNLTGLSKLLLNGNKLSGRVPTGLSFLTNLESLDLSSNRFSSQIPQTFDSFLKLHEMNLSKNNFDGRIPGLTKLTQLTHLDLSHNQLDGEIPSQLSSLQSLDKLNLSHNNLSGFIPTTFESMKALTFIDISNNKLEGPLPDNPAFQNATSDALEGNRGLCSNIPKQRLKSCPITSGGFQKPKKNGNLLVWILVPILGALVILSICAGAFTYYIRKRKPHNGRNTDSETGENMSIFSVDGKFKYQDIIESTNEFDQRYLIGSGGYSKVYKANLPDAIVAVKRLHDTIDEEISKPVVKQEFLNEVRALTEIRHRNVVKLFGFCSHRRHTFLIYEYMEKGSLNKLLANEEEAKRLTWTKRINIVKGVAHALSYMHHDRSTPIVHRDISSGNILLDNDYTAKISDFGTAKLLKTDSSNWSAVAGTYGYVAPEFAYTMKVTEKCDVYSFGVLILEVIMGKHPGDLVASLSSSPGETLSLRSISDERILEPRGQNREKLIKMVEVALSCLQADPQSRPTMLSISTAFS >scaffold_104026.1 pep chromosome:v.1.0:1:17582082:17584615:-1 gene:scaffold_104026.1 transcript:scaffold_104026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMVKIGDYIEDKDSLIKKLKQSSLAVHGFPLVIQLLEFRAIPRLLEFLPHGDDRSTFLDQSHMVLRRCRSYHTSNILCVEHDLSLQVIFPLQLDSAFCSTEQSDPKVQRLETLISSGYRFTKTFSFSGDASLPSLWSSSKRKLQCCNSTSSGSEVHSPRLSRKFYKNSKVFNSVEDVDGMVTSKIKDFKQALMADLGELLCHTNKGNSSHESTAFSTSLHASGCTCPPFRVTHSECVGFHGHFDATKSFYVPKYSSQSAANVQESGCRTRASNLLLRRRSVRDKTVTKEMPAKVSLLHEAMSNSHKCNKFASNSKAHTSLEEEDITSRNCSYSFESSTTQGGHIFFYITRQSNTKSSASMEKLDIDVALDIVERVGFDSFTDIAGMLLTSKFYRSLAYHPTILQSISLQFLFSNADLINLHSPYRPVFTRCLHACNPTACYLQSLKLAGQDGHAEIALQLLLTITNGPPHVAFATALLQLVLGFYEEAIHNIDTFVESVGSFETADAIGSEVFRQMMQIGT >scaffold_104027.1 pep chromosome:v.1.0:1:17590830:17593000:-1 gene:scaffold_104027.1 transcript:scaffold_104027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGFHGDLNFRRASNHRPLPDSGSGGFLQNLDTNPFLKNQYYNKSVEALDLCRKLSKMGISCDMSIWTKPEELFRVDPDDFGSRARTTLHGSSGFDQNLNEAPSVRLQNNNFHGVSSSPGEIRLLGHQDSFNPNGFEEMMAIKNHRDFLLDHMNVPIKRISLPCHRSVSFSNDALIFEGNRGSYPEQSTLMRRYLKEDEPKINGGLPLNLVSMVEIYGSVNLMAKDQIGCRVLQKLVKEGTILEAKVIFHEIIDHVVELSMDPFGNYIVQKLLDVSDEEQRTMIVSVLTLTPRELIKICLNTYGTRVVQKMIKTVKTKQQIALVKSGLKPGFLALVNDLNGSHVLQSCLEFLGPNDTKFVLEAATKYCAEIATHRHGCRVLQCCLINTVGPQNDRLVAEILRNSHHLSQDPFGNYVVQCLIEQQVSAVNLLVQFRTHYAELATQKFSSHVIEKCLRKYPESRAEIVRELLSIPNFEQLLQDPYGNYVIQTALSVTKVSPKICIISTTKSFQKQRAVREQGAVRARLVEKVYRFGNLQTSPYCKKIFSKTILKK >scaffold_104028.1 pep chromosome:v.1.0:1:17600530:17601625:-1 gene:scaffold_104028.1 transcript:scaffold_104028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFTSSSIERYNDFSRLNPAIVGWHVHVKVLRRFHTDDYISKGGLGLLLVDDKGNQIEALICSPLTSHYSTFIEEDEFYTIMNFRVVENSGFTKLTRSDFKIMFYDGTIVKEASSFSQDNYIVATPFGAIFNGYHDTSYLITLIGRVVESSDLTNVTDEPSVIGGYRYSFTIEDQEKKTLKCCAYGGVAIECHDRFRNVIGTDVTCVLRWWKVYQLLDGWRHVRIYSHPPYSNILVKSDADNVEHS >scaffold_104032.1 pep chromosome:v.1.0:1:17620227:17621357:-1 gene:scaffold_104032.1 transcript:scaffold_104032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRSSICVSDLIPSMNERMMGRFIIIRRFRCSSRLDTLELILADEKGDRIQASIGLDCLAYDSSRLVEGTWIFIKDFGLVDAVGSVRPTRHAYKILWIPSTFFKRTVVTASVDYFKFVRFEDVLAGLVDPSVCVDLIGVLMCVGNYDEDEGMNSTWEQIYLELENVRGIRIRCRLPKGYATKFFSGLKTCADNIILCVMRFARLELSRGDMRATTLCTCTELLFNPSCDEASRMRLAFASVERKLF >scaffold_104034.1 pep chromosome:v.1.0:1:17627746:17628643:-1 gene:scaffold_104034.1 transcript:scaffold_104034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFHDVSILRPCITGWHIRVKVLRMINVCINPRNALRFVLVDDKGFKIDCWINSEYAKHYAEFLKEDRWFSFTEFRVLENSDRVRLTNHHFRMSVFGTTVVLPADPPSTEPRDSFTPFSSIIDGTVDESVLIDLIGVLSDVGELVNVGTNPSDLTGFRLSFRIRGPWLRECYRWFGVGTLSDGVPKAL >scaffold_104035.1 pep chromosome:v.1.0:1:17629552:17630767:-1 gene:scaffold_104035.1 transcript:scaffold_104035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQIYLSNLARGRTVKYVRVKILSLWRVRIYGFRCKTEMLLADEQGTKIEGTIGCGPFHNVDMRELREDAWYTISDFVVSVPIRRTPNTLHPFHIKFHSDTKMTLIYNLYSLNFFVFAKYSVIKRRLLEANRPFVICVLFVSLLDLCGVVVYVSEIKRMTYVPGEYDASTACNNLYFRLMDQKGRELPCFALGHYAADFMNVWTSRGYQASFRYQPVFCVLRFWKVDEFMGMHLVY >scaffold_104040.1 pep chromosome:v.1.0:1:17706128:17707978:-1 gene:scaffold_104040.1 transcript:scaffold_104040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:D7KM00] MTNHNALISDAKGSIGVAVRVPNQSLFSPGGGRYISIPRKKLVQKLETDQSQTRTHSWIEAMRASSPTRIRPGNISSLPESDEEDEYSSWLAQHPSALTMFEEIAEASKGKQIVMFLDYDGTLSPIVENPDRAYMSDEMREAVKGVARYFPTAIVTGRCRDKVRRFVKLPGLYYAGSHGMDIKGPSKKTKHNKNNKGVLFQAANEFLPMIDQVSKCLVEKMRDIEGANVENNKFCVSVHYRCVDQKDWALVAEHVTSILSEYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGFANSNDVLPIYIGDDRTDEDAFKVLKRKGQGFGILVSKVPKETSATYSLQQPSEVGEFLQRLVEWKQMSLRGR >scaffold_104041.1 pep chromosome:v.1.0:1:17726545:17729798:1 gene:scaffold_104041.1 transcript:scaffold_104041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILNKLRNLDAYPKINEDFYSRTLSGGVITLLSSVVMFLLFFSELRLYLHTVTETKLIVDTSRGETLRINFDITFPALACSILSVDAMDISGELHLDVKHDIIKRRLDSNGNTIEARQDGIGATKIEKPLQKHGGRLEHNETYCGSCYGAEAEEHDCCNSCEDVREAYRKKGWGVTNPDLIDQCKREGFLQRVKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFHQSGVHVHDLLAFQKDSFNISHKINRLTYGDYFPGVVNPLDKVEWSQDTPNAMYQYFIKVVPTVYTDIRGHTIQSNQFSVTEHVKSSEAGQLQSLPGVFFFYDLSPIKVTFTEEHISFLHFLTNVCAIVGGVFTVSGIIDAFIYHGQKAIKKKMEIGKFS >scaffold_104046.1 pep chromosome:v.1.0:1:17773424:17773733:1 gene:scaffold_104046.1 transcript:scaffold_104046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPFVLLSITLLVSSSLSDAADFGSPSQPPLMSPTPKPSNSIDCSSIIYNMMDCLSFLTVESTDPSPTKTCCVGIKTVLEYNPKCLCS >scaffold_104048.1 pep chromosome:v.1.0:1:17791044:17800605:1 gene:scaffold_104048.1 transcript:scaffold_104048.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F5J5.19 [Source:UniProtKB/TrEMBL;Acc:D7KM08] MAGSVNGYQSAIGPGINYETVSQVDEFCKALGGKRPIHSILIANNGMAAVKFIRSVRTWAYETFGTEKAILLVGMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEVTRVDAVWPGWGHASENPELPDALDAKGIIFLGPPAASMAALGDKIGSSLIAQAADVPTLPWSGSHVKMPPNSNLVTIPEEIYRQACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKYRNVSALHSRDCSVQRRHQKIIEEGPITVAPPETVKKLEQAARRLAKSVNYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGVPLWQIPEIRRFYGIEHGGGYDSWRKTSVVAFPFDFDKAESIRPKGHCVAVRVTSEDPDDGFKPTSGRVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTIDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASATSAAVVSDYVGYLEKGQIPPKHISLVHSQVSLNIEGSKYTIDVVRGGSGTYRLRMNNSEVVAEIHTLRDGGLLMQLDGKSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLMAETPCKLLRYLVADNNKIDADTPYAEVEVMKMCMPLLSPASGVIHFKMSEGQAMQAGELIAKLDLDDPSAVRKAEPFHGGFPRLGLPTAISGKVHQRCAATLNAARMILAGYEHKVDEVVQDLLNCLDSPELPFLQWQECFAVLATRLPKNLRNMLESKYREFENISRNSLTTDFPAKLLRGILEAHVSSCDEKERGALERLIEPLMSLAKSYEGGRESHARVIVHSLFEEYLSVEELFNDNMLADVIERMRQQYKKDLLKIVDIVLSHQGIKNKNKLVLRLMEQLVYPNPAAYRDKLIRFSTLNHTNYSELALKASQLLEQTKLSELRSNIARSLSELEMFTEDGENMDTPKRKSAINERIEDLVSASLAVEDALVGLFDHSDHTLQRRVVETYIRRLYQPYVVKESVRMQWHRSGLIASWEFLEEHMENIGLDYHDTSEKGSVEKRSKRKWGAMVIIKSLQFLPSIISAALRETNHNDYETAGALLSGNMMHIAIVGINNQMSLLQDSGDEDQAQERVNKLAKILKEEEVSSSLCAAGVGVISCIIQRDEGRTPMRHSFHWSMEKQYYVEEPLLRHLEPPLSIYLELDKLKGYSNIQYTPSRDRQWHLYTVTDKPVPIKRMFLRSLVRQATMNDGFMLQQGQDKQLSQTLFSMPFTSKCVLRSLMDAMEELELNAHNAAMKPDHAHMFLCILREQQIDDLVPYPRRVEVNAEDEETSVEMILEEAAREIHRSVGVRMHRLGVCEWEVRLWLVSSGLACGAWRVVVANVTGRTCTVHIYREVETPGRSTLIYHSITKKGPLHGTPISDQYKPLGYLDRQRLAARRSNTTYCYDFPLAFETALELLWASQHPGVKKPYKNTMINVKELVFSKAEGSPGTSLNLVERPLGLNEFGMVAWCLDMSTPEFPMGRKLLVVANDVTFKAGSFGPREDAFFLAVTELACAKKLPLIYLAANSGARLGVAEEVKACFKVGWSDEVSPENGFQYIYLSPEDHEKIGSSVIAHEVKLPSGETRWVIDTIVGKEDGIGVENLTGSGAIAGAYSRAYNETFTLTFVSGRTVGIGAYLARLGMRCIQRLDQPIILTGFSTLNKLLGREVYSSHMQLGGPKIMGTNGVVHLTVSDDLDGVSAILNWLSYIPAYVGGPLPVLAPLDPPERTVEYVPENSCDPRAAIAGVNDNTGKWLGGIFDKNSFIETLEGWARTVVTGRAKLGGIPVGVVAVETQTVMQIIPADPGQLDSHERVVPQAGQVWFPDSAAKTAQALMDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYRQPVFVYIPMMGELRGGAWVVVDSQINSDYVEMYADETARGNVLEPEGTIEIKFRTKELLECMGRLDQKLIGLKAKLQDAKQSEAYANIELLQQQIKAREKQLLPVYIQIATKFAELHDTSMRMAAKGVIKSVVEWSGSRSFFYKKLNRRIAESSLVKNVREASGDNLSYKSAMGLIQDWFCNSDIAKGKEEAWTDDQVFFTWKDNVSNYELKLSELRAQKLLNQLAEIGNSSDLQALPQGLANLLNKVEPSKREELVNAIRKVLG >scaffold_104052.1 pep chromosome:v.1.0:1:17816180:17817517:1 gene:scaffold_104052.1 transcript:scaffold_104052.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L30 [Source:UniProtKB/TrEMBL;Acc:D7KM11] MVAAKKTKKSHEGINSRLALVMKSGKYTLGYKSVLKSLRSSKGKLILISSNCPPLRRSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIVDPGDSDIIKALPGDQ >scaffold_104053.1 pep chromosome:v.1.0:1:17827005:17827630:-1 gene:scaffold_104053.1 transcript:scaffold_104053.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KM12] MESKSIVEALKNKGITGIGAARFCWGGEFGFLVPISLFPHSFYLHCPNKGYAISGTSNLMNHTLRTLVVYPKGNKEDNGRGIRLNVYGT >scaffold_104054.1 pep chromosome:v.1.0:1:17846502:17847806:1 gene:scaffold_104054.1 transcript:scaffold_104054.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KM13] MVVNSNHRLLSFSSVLVGFAVKRHGFSLKPMTNFFGFSYLLQHLQQVKAHMDSHFAVETHAEKKVEDVMPIATGHEKEELEVELEFKSEEVRVEESSNTATKRKEGGGDVVVAESEELRVEESSNTVEESETEAENEEKTELTIEEDDDDWEGIERGTAHGRHGHCSCQMFPYFSMFLYSLLCNSAFDLLVSWQLILLM >scaffold_104059.1 pep chromosome:v.1.0:1:17897454:17897775:1 gene:scaffold_104059.1 transcript:scaffold_104059.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KM19] MTPSQEERRHHDEAKGNTLDQRKSPGRRRSRADLQTKACSAEERQDTWTGDESLYQSPAPSTGSGGRRQEKPVTGKPAVAHGEPSTHIFLKR >scaffold_104060.1 pep chromosome:v.1.0:1:17905408:17907944:-1 gene:scaffold_104060.1 transcript:scaffold_104060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KM20] MDLSRSQSNFQLGFGCSHASMTPTPTPRAPIADDSINLQVDQSFRSLPTTFPPIPLQLLEQKVEKITVEEPKKDGGDQKEDEHFRILGHHMCLKRQRDCPLLLTQAKHPKRSSIGDTDLESRRAAVRAWGDQPIHLADPDIHELMEKEKQRQVRGIELIASENFVCRAVMEALGSHLTNKYSEGMPGARYYTGNQYIDQIENLCIERALTAFGLESDKWGVNVQPYSCTSANFAVYTGLLLPGERIMGLDSPSGGHMSHGYCTPGGKKISAASIFFESFPYKVNPQTGYIDYDKLEDKALDYRPKILICGGSSYPRDWDFARVRQIADKCGAVLMCDMAHISGLVATKECSNPFDHCDIVTSTTHKGLRGPRGGIIFYRRGPKIRKQGHHSSHSDTSTHYDLEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYIQQMKKNAQALAAALLRRKCRLVTGGTDNHLLLWDLTPMGLTGKVYEKVCEMCHITLNKTAIFGDNGTISPGGVRIGTPAMTTRGCIESDFETMADFLIKAAQITSALQREHGKSHKEFVKSLCTNKDIAELRNRVEAFALQYEMPASLIRIE >scaffold_104065.1 pep chromosome:v.1.0:1:17967110:17968472:-1 gene:scaffold_104065.1 transcript:scaffold_104065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMMTKIEGRGNGIKTNVVNMVEIAKALGRPAAYTTKYFGCELGAQSKFDEKTGTSLVNGSHDTSKLAGLLENFIKKYVQCYGCGNPETEILITKTQMLQLKCAACGFISDVDMRDKLTSFILKNPPEQKKSSKDKKSMRRAEKERLKEGEAADEEMRKLKKEAASKKKAATTGTSKDKVSKKKDHSPPRSQSDENEQADSEEDDDDVQWQTDTSREAAEKRMKEQLSAVTADMVMLSTIEEKKPAVQVKKAPEAVHENGNSKIPENAHEKLVNEIKELLSNGSSPTQLKTALASNSATPQEKMEALFSALFGGAGKGFAKEVIKKKKYLVALMMMHEEAGSPQQMVLLNGIESFCTKASAEAAKEVALVIKGLYDEDLLEEDVIVEWYNKGIKSSPVLKNVTPFIEWLQNAESESEEE >scaffold_104066.1 pep chromosome:v.1.0:1:17968474:17968706:-1 gene:scaffold_104066.1 transcript:scaffold_104066.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KM26] MSEQASLFQSSIRLLGEYCPISRKKSTHKVGGLRLGSFEAFNRNSTSFSGFQFMQPSDYHCLF >scaffold_104069.1 pep chromosome:v.1.0:1:18004499:18005930:1 gene:scaffold_104069.1 transcript:scaffold_104069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYQEPDNIWFQYTTADRSRQEMLSVMSPMPINRWPFHSRRQGNPTMVSSPHTHKAPKHAPRPPFRTKLAEMKQITAVLFQDQTPFPS >scaffold_104074.1 pep chromosome:v.1.0:1:18104519:18104941:-1 gene:scaffold_104074.1 transcript:scaffold_104074.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMS0] MSTINCKRCGEREDELCIFFNCASARRMWNEAPISPQISTGLYKFSIASCPRLCWFRDCLPVGWYIPQMHPASYGTYGRPETASFLMIVTSRSRTSSAKLPVKRETGNQPKLTRQKNKLNQEGLW >scaffold_104075.1 pep chromosome:v.1.0:1:18148540:18151560:1 gene:scaffold_104075.1 transcript:scaffold_104075.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate reductase [Source:UniProtKB/TrEMBL;Acc:D7KMS1] MAASVDNRQYARLEPGLNGVVRSYKPPVPGRTDSSKANQNQTVFLKPAKVHDDDEDVSSEDENETHNSNAVYYKEMIRKSNAELEPSVLDPRDEYTADSWIERNPSMVRLTGKHPFNSEAPLNRLMHHGFITPVPLHYVRNHGHVPKAQWAEWTVEVTGFVKRPMKFTMDQLVSEFAYREFAATLVCAGNRRKEQNMVKKSKGFNWGSAGVSTSVWRGVPLCDVLRRCGIFSRKGGALNVCFEGSEDLPGGAGTSGSKYGTSIKKEYAMDPSRDIILAYMQNGEYLTPDHGFPVRIIIPGFIGGRMVKWLKRIIVTTKESDNFYHFKDNRVLPSQVDAELADEEGWWYKPEYIINELNINSVITTPCHEEILPINAFTTQRPYTLKGYAYSGGGKKVTRVEVTVDGGETWNVCELDHQEKPNKYGKFWCWCFWSLEVEVLDLLSAKEIAVRAWDETLNTQPEKMIWNLMGMMNNCWFRVKTNVCKPHKGEIGIVFEHPTLPGNESGGWMAKERHLEKSADAPPTLKKSVSTPFMNTTAKMYSMSEVKKHNSADSCWIIVHGHIYDCTRFLMDHPGGSDSILINAGTDCTEEFEAIHSDKAKKMLEDYRIGELITTGYSSDSSSPNNSVHGSSAVFSLLAPIGEATPVRNLALVNPRAKVPVQLVEKTSISHDVRKFRFALPVEDMVLGLPVGKHIFLCATINDKLCLRAYTPSSTVDVVGYFELVVKIYFGGVHPRFPNGGLMSQYLDSLPIGSTLEIKGPLGHVEYLGKGSFTVHGKPKFADKLAMLAGGTGITPVYQIIQAILKDPEDETEMYVIYANRTEEDILLREELDGWAVQYPDRLKVWYVVESAKEGWAYSTGFISEAIMREHIPDGLDGSALAMACGPPPMVQFAVQPNLEKMQYNIKEDFLIF >scaffold_104082.1 pep chromosome:v.1.0:1:18432584:18434341:1 gene:scaffold_104082.1 transcript:scaffold_104082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKGPAKLTRTKSSLLRSLPSVRSSIHSLSSISEDEESLSEEKPRRKPLRKTRSGLIRFSSIMLLLSLLFFFFYLGREEIPTSENLLIALIFIVVTLFLASKNKTLINQIITTVKQPSNRNHSSKPVQWFIGESRTETHIKKLPRETVEYYNNSDFYEGEFHKGKCNGSGIYYYFMKGKYEGDWIDGRYDGFGIESWARGSKYKGQYKQGSRHGHGVYRFYTGDSYSGEWCNGQSHGVGVQTCADGSCYVGEFKFGVKHGLGRYHFRNGDTYSGEYFGDKMHGFGVYHFANGHCYEGAWHEGRKQGYGMYTFRNSVTKCGEWDSGHLKTPVAPPLTGSILKAVQAARERAEKAIKQRRVDERVNKAEMAANRAATAARVAAIKAVQYQIDGTLCDLEARELAV >scaffold_104083.1 pep chromosome:v.1.0:1:18443237:18444258:1 gene:scaffold_104083.1 transcript:scaffold_104083.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KMS9] MSLSRPITRTDGASNGAFRTFGLYWCYHCNRMVRIASSNPSEIACPRCLRQFVVEIETRRPRFTLNHAAPPFDASPEARLLEALSLMFEPAIIGGFGADPFLRARSRNILEPESRPRPQHRRRHSLDNVNNGGLPLPRRTYVIFRPNNRTRELGNIIPPPNQAPPWHVNSNDFFTGASGLEQLIEQLTQDDRPGPLPASEPTIEALPSVKITPQHLTNDLTQCTVCMEEFIVGGDATELPCKHIYHKDCIIPWLRLHNSCPICRSDLPPVNTVADSRERSNPTRQDIPERRRPRWIQLGNIWPFRARYQRVSPEETTNQNPRSTRS >scaffold_104084.1 pep chromosome:v.1.0:1:21443693:21444506:1 gene:scaffold_104084.1 transcript:scaffold_104084.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S7, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KMT0] MSRRGTAEEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQIIYRALKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPIEIGSTQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >scaffold_104087.1 pep chromosome:v.1.0:1:21448447:21451056:-1 gene:scaffold_104087.1 transcript:scaffold_104087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGGDPAYGVKSIRSKKKDLKINFIDIIALISIIPNPINRITFSRNTRHLSHTSKEIYSLIRKRKNVSGDWIDDKIESWVANSDSIDDKEREFLVQFSTLRAEKRIDQILLSLTHSDHLSKNDSGYQMIEQPGTIYLRYLVDIHKKYLMNYEFNTSCLAERRIFLAHYQTITYSQTSCGANSFHFPSHGKPFSLRLALSPSRSILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFFIDDIDIDDSDDIDASNDIDRELDTELELLTMMNALTMDMMSEIDRFYITLQFELAKAMSPCIIWIPNIHDLDVNESSYLALGLLVNSLSRDYERCSTRNILVIASTHIPQKVDPALIAPNKLNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMFHTNGFESITMGSSARDLVALTNEALSISITQKKSIIDTNTIRSALHRQTWDLRSQVRSVQDHGILFYQIGRAVAQNVLISNCPIDPISIYMKKKSCNEGDSYLYKWYFELGTSMKKFTILLYLLSCSAGSVAQDLWSLPVPDEKNRITSYGFVENDSDLVHGLLEVQGALVGSSRTEKDCSQFDNDRVTLLFRSEPRDPLYMMQDGSCSIVDQRFLYEKYESEFEEGEGEGVLDPQQIEEDLFNHIVWAPRIWRPRGFLFDCIERPNELGFPYSAGSFRGKRIIYDEKYELQENDSEFLQSGTMQYQRRDRSSKEQGFFRISQFIWDPADPLFFLFKDQPFVSVFSHREFFADEEMSKGLLTSQTDPPTSIYKRWFIKNTQEKHFELLIQRQRWLRTNSSLSNGFFRSNTRSESYQYLSNLFLSNGTLLDRMTKTLLKKRWLFSDEMKIGFM >scaffold_104088.1 pep chromosome:v.1.0:1:21455226:21455737:-1 gene:scaffold_104088.1 transcript:scaffold_104088.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMT4] MVKIKHDAIYCTDDPAFLSFGGVITTILEAARVKLTDRAFTTEEHYMDIERLGMMKILQSACINPDRFGYWYHVPSHIIHTILLPCPTIPRLRDGATRWDPDGSEFLSLQTRERLPFTLAGLVKKKPFDSIAFRRATQASRSHETESSSREREVLR >scaffold_104090.1 pep chromosome:v.1.0:1:21566185:21566474:1 gene:scaffold_104090.1 transcript:scaffold_104090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPHFMAEGLAVRAAITAALAADVSYILIESDCQELVKAINARVLLSEIHDIISNILISSKSFELFVCRFISRKANVVCML >scaffold_104093.1 pep chromosome:v.1.0:1:21604155:21605308:1 gene:scaffold_104093.1 transcript:scaffold_104093.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMT9] MARRRRTLSSLCCFAPLPKNPNEFRLLFIKLLKFLAHPLPLIPSSIPSLCLVECRYGSISSLKIFGPPVRCAPPPTKRTSSYFAVGSFLLSYPSCVNRLSRVKPIVSPTSLSGDLCPLLVSVVDDPVASVSRRRLFSTLLGLSQIPTSQFQKKALIWAWPILGPFGFCKGPLKCLGCKQILANLGPNVVQRSSSAPNTSSGYLRLSLCHPLAFSCFFTHRFTGYFSGFPLLIPDTSSVGLICFRSRTTFVGSDPAHLRQSLLTGYFSGVPMPASKANPGCFQPRSTFDGSNFVSTSHCTVTISLPVEVSVLFAISLMGMLVLVSFDTSPGPLSSFFQLVSLCLSYVWSRFLFFKLATRVCPMKPNRHVSF >scaffold_104098.1 pep chromosome:v.1.0:1:21646808:21649437:1 gene:scaffold_104098.1 transcript:scaffold_104098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEYSGSRSSNTQLLAELEALSENLYQKPQVSVGNRRTNSLALPRSSVPSLVTSADEVSTARAEDLTVSKPRARRLSLSPWRSRPKLEVEEEENVTQNNRIVKKPEESSSGSVAKDEKKGIWNWKPIRGLVRIGMHKLSCLLSVEVVAAQNLPASMNGLRLGVCVRKKETKDGAVQTMPCRVSQGSADFEETLFIKCHVYYTPANGKGSPAKFEARPFLFYLFAVDAKELEFGRHVVDLSELIQESVGKMSYEGARVRQWDMSWGLSGKAKGGELALKLGFQIMEKDGGAGIYSKQGEFGMKPSSKPKNFANSFGRKQSKTSFSVPSPKMTSRSEAWTPASGAESVSDLQGMEHLNLDEPEEKPEVKPVKKTEEPEQRAEDDQEEPDFEVVDKGVEFDDDLETEKSDGTIGERSVEMEEQRVNVDDARHIMRLTELDSIAKQIKALESMMKDESDGGDGETESQRLDEEEQTVTKEFLQLLEDEETEKLKFYQHKMDISELRSGESVDDESENYLSDLGKGIGCVVQTRDGGYLVSMNPFDTVVMRKDTPKLVMQISKQIVVLPEAGPAAGFELFHRMAALGEELESKISSLMAIDELMGKTGEQVAFEGIASAIIQGRNKERANTSAARTVAAVKTMANAMSSGRRERIMTGIWNVEENPLTSAEEVLAVSLQKLEEMVVEGLKIQADMVDDDAPFEVSAAKGQRNPLESTIPLDEWLKENRTQKTLTLLATVQLRDPTRRYEAVGGTVVVAVQAEEEEEKGLKVGSLHIGGVKKDAAEKRRLTAAQWLVEHGMGKKGKKKSNIKKKEKEEEQEMLWSLSSRVMADMWLKSIRNPDVRLHS >scaffold_104106.1 pep chromosome:v.1.0:1:21737808:21738001:1 gene:scaffold_104106.1 transcript:scaffold_104106.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMV5] MFSVGVSTTSNKGQRWCPVISIFHSLFVVPLLDPTLKNLQMTKISYDMQI >scaffold_104108.1 pep chromosome:v.1.0:1:21760320:21760650:1 gene:scaffold_104108.1 transcript:scaffold_104108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKQHVSGDNSLFDQISVDLVINILSKLPVKSIAQCRCVSKHWSSIIRRPNYNMLFPTKSPATPRFLFIVRNGLFRPNFFISSSPEVWRPKPH >scaffold_104111.1 pep chromosome:v.1.0:1:21797253:21797605:1 gene:scaffold_104111.1 transcript:scaffold_104111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKLLCCGAKSFSQRARLPEEGRVRVYVGNDRDTQCKLEMDADFLTHPLFEDLLRLSEEEFGHSYDGALRIACEIQVFMNLIHYLKSTSHSPHYIDASHYLH >scaffold_104118.1 pep chromosome:v.1.0:1:21856772:21856979:1 gene:scaffold_104118.1 transcript:scaffold_104118.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KMW5] MDDRTILPSTTTRLSTIFFLMSLLPLNVKPMKSRQTIKTNTLKRKKESTRVLS >scaffold_104121.1 pep chromosome:v.1.0:1:21896615:21898054:-1 gene:scaffold_104121.1 transcript:scaffold_104121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLSTSYTYPRFSPESPNSVSPSLTLSSLSSVRLPAQIRRFGIRHESVQSPSSSTNRFAPLTVRAKKQTFNSFDDLLQNSDKPLLVDFYATWCGPCQLMVPILNEVSETLKDKIAVVKIDTEKYPSLANKYQIEALPTFILFKDGKLWDRFEGALPANQLVERIENSLQVKQ >scaffold_104126.1 pep chromosome:v.1.0:1:21944007:21944276:-1 gene:scaffold_104126.1 transcript:scaffold_104126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTQYISQTLLVRTTIDCNLVKGSTFVHHSYFRAYEGGGFISHYGKQVFWDAREDGVYLSHGKDTPKYMYKWI >scaffold_104129.1 pep chromosome:v.1.0:1:21966366:21967831:-1 gene:scaffold_104129.1 transcript:scaffold_104129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRSIVRDVRDSIGSFSRRSVEVRVSNETSKSHGALVETHDQEDLVVIKNTRWANLPVEVLRDVMKKLDESESTWPDRKQVVACAGVCKTWSSQANSHVSDNSLIVQPGPRDGTIQCFIKRDKSNMTYHLYLSLSPSLLVESGKFLLSAKRTRRATYIEYVISMDADNISQSSSSYIGKMRSNFLGTKFVIYDTAPAHNGCQIMSSPSRSRRFSSKKVSPKVPSGSYNIAQVTYELNLLGTRGPRKMHCIMHSIRALALGQPEILQHSFDESFGSIGSSKIIDHSGDFSSARFSDIVGSQEEEGKERTLVLKNKPPRWHGKLRCWCLHFRGRVTVASVKNFQLMSAATVQPGPDRQIVVLEH >scaffold_104132.1 pep chromosome:v.1.0:1:22055277:22057457:-1 gene:scaffold_104132.1 transcript:scaffold_104132.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7KMY1] MKRLETVTTLKKSHGVAKVIGSMVGMLVFAFVKGPSLINHYNSKTIPNGTVPSTKDFVKGSITMLAANTCWCCGLSCSKVMKEYPAKLRLVTLQCLFSCIQTAVWAVAVNRNPSVWKIEFGLPLLSMAYCGIMVTGLTYWLQVWAIEKKGPVFTALYTPLALIITCIVSSFLFKETLYLGRFVLLKQINNFLTIYMFMSFEMFVFGLGDIYNSCSVGGAVLLVCGLYLGLWGKTKEEEIEGYGEKQSQKEIKEEVIIV >scaffold_104133.1 pep chromosome:v.1.0:1:22058429:22058913:1 gene:scaffold_104133.1 transcript:scaffold_104133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLEGYRTSESESILGLLCYYEGRNVLLCNPVLQKFITLPEFPEVPLGCTECRKYLCFGDLGDKKKMKLLLVRRSLHSKFQDYHILLVGEESWRAIGCKHRFLPATKTLCNRGRLYFGAKSFPSMDCILMSFDLRSEEFHRIDILS >scaffold_104134.1 pep chromosome:v.1.0:1:22066693:22069104:1 gene:scaffold_104134.1 transcript:scaffold_104134.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMY3] MMVVINYTYGALFFCVKLLGGIKVLHILLLGHKVRSESKAHCQVIVVKDITVAEYYFLVELVSINANVVIRYKRLLKLGGKRSTTFGGNVVKWKWNKGSFVLELQPKSMVAVIRNGQFSNSLPKCATATTTTQRNLKNLCMLFIELVNCYNEGKIGKLEELVLTMFEERFIYGSPLRPPNTHSEVGLYYDLGKFSTEIQSEKMQIFEVILHLLGFDLPISGFSVNLWIGFSLPYFTLFSVTGLSCYRRLHERWHCGIGSFHAVKNGVTVVCSAGNSDPKSGTVSKVAPWIITVGASSMDREQRKASRICKGSLDPEKVKGKILVCLRGDNARVDKGQQAVVAGMELRE >scaffold_104135.1 pep chromosome:v.1.0:1:22069146:22069383:1 gene:scaffold_104135.1 transcript:scaffold_104135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLFSYLSSTKDPKGYIKAPTATLNTKPAPFMASFSSRGPNSVTPGILKPALQPSRKPRVPRT >scaffold_104137.1 pep chromosome:v.1.0:1:22115997:22116205:1 gene:scaffold_104137.1 transcript:scaffold_104137.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMZ0] MLHRIGKERRERAVARRNKEPPMSHRLSEANHRKEQSITVAPLHDLRTKMATRAH >scaffold_104138.1 pep chromosome:v.1.0:1:22119674:22122896:-1 gene:scaffold_104138.1 transcript:scaffold_104138.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7KNM3] MMIEHKANMAMVFVQIVYAGMPLLSKVAISQGTNPFVFVFYRQAFAALALSPFAFFLESSKSSPLSFVLLLKIFFISLCGLTLSLNLYYVAIENTTATFAAATTNAIPSITFVLALLFRLETVTLKKSHGVAKVIGSMVGMLGALVFAFVKGPSLINHNNSSTIPNGTVPSTKNSVKGSITMLAANTCWCLWIIMQSKVMKEYPAKLRLVTVQCFFSCIQTAVWAVAVNRSPSVWKIEFGLPLLSMAYCGIMVTGLTYWLQVWAIEKKGPVFTALYTPLALILTCIVSSFLFKETLYLGSVGGAVLLVCGLYLGLWGKTKEEEVQRYGEKKSQKEIIEEVIIV >scaffold_104139.1 pep chromosome:v.1.0:1:22127219:22129333:-1 gene:scaffold_104139.1 transcript:scaffold_104139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTKGRGATDRLKSLSSTTNNSVIVADSIPLNHKEAFFKGHGTSLINGELLTTVCGVVINVDKLVYVRTLRARYKPEVGDIVVGRVIKVAQSHWKVELNSSQDGVLKLSSINMHDSVQAEVGETQRDGSLQLLLAGSHKYGKLDKGQLLKVDPYLVKRSNLHFHFIETLGIDLILGRNGFIWVGEHAQVQYPMVLDDEIISLKTRQSILRIGNAIRVLSNLGFTVTLEVIMETFNLSNMENIDIHDMLGSEFHVLVTENQAERRRRCV >scaffold_104141.1 pep chromosome:v.1.0:1:22146254:22148506:1 gene:scaffold_104141.1 transcript:scaffold_104141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAADAHRTDLMTITRFVLNEQSKYPESRGDFTILLSHIVLGCKFVCSAVNKAGLAKLIGLAGETNIQGEEQKKLDVLSNDVFVKALVSSGRTSVLVSEEDEEATFVEPSKRGKYCVVFDPLDGSSNIDCGVSIGTIFGIYTLDHTDEPTTEDVLKPGNEMVAAGYCMYGSSCMLVLSTGTGVHGFTLDPSLGEFILTHPDIKIPNKGNIYSVNEGNAQNWDGPTTKYVEKCKFPKDGSPAKSLRYVGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKKRALDLVPEKIHERSPIFLGSYDDVEEIKALYAEEEKKN >scaffold_104142.1 pep chromosome:v.1.0:1:22168333:22169178:-1 gene:scaffold_104142.1 transcript:scaffold_104142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFTPTMLTKGLELYEDMILGRSVEDMCAQIYYREKMFGFVHLYNGHEGDMCLVLVEDMCAHLYIRDHVHSHPRCLCSCCYESIRL >scaffold_104145.1 pep chromosome:v.1.0:1:22181079:22182923:-1 gene:scaffold_104145.1 transcript:scaffold_104145.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1075 [Source:UniProtKB/TrEMBL;Acc:D7KNM9] MVGAFESDQSLTMASLIEKLDILSDDFDPTAVVTEPLPSPTELNGGGGEREMVLGRNIHTTSLAVTEPEVNDEFTGDKEAYMASVLARYRKTLVERTKFHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRPFEVGVLDWFARLWEIERDDYWGYITNCGTEGNLHGILVGREMFPDGILYASRESHYSVDTLISGEIDCDDFRKKLLANKDKPAILNVNIGTTVKGAVDDLDLVIKTLEECGFSHDRFYIHCDGALFGLMMPFVKRAPKVTFNKPIGSVSVSGHKFVGCPMPCGVQITRMEHIKVLSNNVEYLASRDATIMGSRNGHAPLFLWYTLNRKGYKGFQKEVQKCLRNAHYLKDRLCEAGISAMLNELSSTVVFERPKDEEFVRRWQLACQGDIAHVVVMPSVTIEKLDNFLKDLVKHRSVWYEDGSQPPCLASEVGTNNCICPTHK >scaffold_104149.1 pep chromosome:v.1.0:1:22262752:22263540:1 gene:scaffold_104149.1 transcript:scaffold_104149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYNPVTGESLPLPKVELKSVNTVTRPYLGYDPIDKQLKVLCIKFGGIPDIFVDHQVLTLNNGKHLWRTIQCKPHYPKSNGICIDGVLYYTSEKFSFIDIDGCMLMTHSCTLINYKGKLGALQFTFLCQRQLEFWILEDAEKYIWSKDIYILPSLWDNIVQRAELFIVGMTGRGEVVLSQYCLVEPCYIYYFNLESWSFTRVQIQGLEVFKRTRVYTSLDYAENLKLM >scaffold_104153.1 pep chromosome:v.1.0:1:22303800:22305299:-1 gene:scaffold_104153.1 transcript:scaffold_104153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILNLSYLTPKELYLSLKSRIPPGKHYERNGGAESNQRVPPADVQSCGDPDSVQTIAGSTMLQFAKTNKLSIQLEQMLDDFVDVTKDEKQMMHMWNSFVRKQRCWRVFMVKL >scaffold_104157.1 pep chromosome:v.1.0:1:22320666:22321026:1 gene:scaffold_104157.1 transcript:scaffold_104157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVERSPGDNAGHFIVRMASLEMLRLRIDMENDGGFLIDAPSLELLEIREYLGGFCGIEHSMPKIEAANVYVTCSHTEQILSSLTSIKQLGLCLATSKVIIITSSL >scaffold_104159.1 pep chromosome:v.1.0:1:22336432:22340324:-1 gene:scaffold_104159.1 transcript:scaffold_104159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSEPPNPVGSGENVQPSILGGQGGAPLPSQPAFPSLVSPRTQFGNNMSMSMLGNAPNISSLLNNQSFVNGIPGSMISMDTSGAESDPLSNVGFSGLSSFNASSMVSPRSSGQLQGQQFSNVSSNQLLAEQQRNKKLEPQNFQHGQQQSMQQQQFSTVRGGGLAGVGSVKMEPGQVSNDQQHGQVQQQQQKMLRNLGSVKLEPQQLQAMRNLSQVKMEPQHSEQSLFLQQQQRQQQQQQQQFLQMPGQSPQAQMNIFHQQRLMQLQQQQLLKSMPQQRPQLPQQFQQQSLPLRPPLKPVYEPGMGAQRLTQYMYRQQHRPEDNNIEFWRKFVGEYFAPNAKKRWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATAEVLPRLFKIKYESGTLEELLYVDMPRESQNSSGQIVLEYAKATQESVFEHLRVVRDGQLRIVFSPDLKIFSWEFCARRHEELIPRRLLIPQVSQLGSAAQKYQQAAQNATTDSALPELQNNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETRTGPIESLAKFPRRTGPSSALPGPSPQQASDQLRQQQQQQQQQQQQQQQQQQQTVSQNTNNDQSSRQVALMQGNPSNGVNYAFNAASASTSTSSIAGLIHQNSMKARHQNAAYNPPNSPYGGNSVQMQSPSSSGTMVPSSQQQHNLPTFQSPTSSSNNNNPSQNGIQSVNHMGSTNSPAMQQAGEVDGNESSSVQKILNEILMNNQAHNSSGGSMVGHGSFGNDGKGQANVNSSGVLLMNGQVNNNNPSIGGAGGFGGGMGQSMAANGINNLNGNNGLMNGRVGMMVRDPNSQQDLGNQLLGAVNGFNNFQDWNA >scaffold_104162.1 pep chromosome:v.1.0:1:22366842:22368801:-1 gene:scaffold_104162.1 transcript:scaffold_104162.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] desaturase [Source:UniProtKB/TrEMBL;Acc:D7KNP9] MLTHKSLFSHTTQWPTLMSSPSTFLASRPHRPAKISAVAAPVRPALKHQNKIHTMPPEKMEIFKSLDGWAKDQILPLLKPVDQCWQPASFLPDPALPFSEFTDQVRELRERTASLPDEYFVVLVGDMITEDALPTYQTMINTLDGVRDETGASESAWAMWTRAWTAEENRHGDLLRTYLYLSGRVDMLMVERTVQYLIGSGMDPGTENNPYLGFVYTSFQERATFVSHGNTARLAKSAGDPVLARICGTIAADEKRHENAYVRIVEKLLEIDPNGAVSAVADMMRKKITMPAHLMTDGRDPMLFEHFSAVAQRLGVYTADDYADILEFLVGRWRLEKLEGLTGEGQRAQEFVCGLAQRIRRLQERADERAKKLKKPHEVCFSWIFDKQISV >scaffold_104167.1 pep chromosome:v.1.0:1:22433018:22434306:-1 gene:scaffold_104167.1 transcript:scaffold_104167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYIVPYYHNPVLSHPNREIISHRHHHRFSNKLLKTRIRVPRSSAISDGGVSYNTLVSEAVRLLVPQANFDSSKLKVEFLGELLENKSSGGIITPRTYILSHCDFTANLTLTISNVINLDQLEGWYKKDDVVAEWKKVNDELRLHIHCCVSGMSLLQDVAAELRYHIFSKELPLVLKAVVHGDSVMFRENPELMDAYVWVYFHSSTPKYNRIECWGPIKDAAKGKQKGNHQGFLSSTTSKKLIQHKSIFHTLLTFLL >scaffold_104173.1 pep chromosome:v.1.0:1:22525782:22525980:-1 gene:scaffold_104173.1 transcript:scaffold_104173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQTGSTHLIEISTPPTETTTQIQDDYDEFKKAEEIFIALDLPKHTRFY >scaffold_104174.1 pep chromosome:v.1.0:1:22533195:22533890:1 gene:scaffold_104174.1 transcript:scaffold_104174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVDGARRELLKEDERADFSGGFDEDGYANNEIPQTHAENTDEDAAPETHVDIPVTSAEEIYNRSGRNTARAAPYSAERGESSQRRSNSRVHFSGNKDRSNRRKHQFESSVTTAFQGIADAQRATVSAMRRDRYNPEEYAKFKEAFAILNSLPIEKCKPFWKASAKLLKEDAWWCNAFLDTSFKSDEDRIRFLESITEVGRLETVTPSNLANFGSF >scaffold_104182.1 pep chromosome:v.1.0:1:22599855:22602694:1 gene:scaffold_104182.1 transcript:scaffold_104182.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7KNS2] MAITKAHYSLAVLVVLFVVSSSQKVCNPECKAKEPFHCDNTHAFNRTGFPKNFTFGAATSAYQIEGAAHRALNGWDYFTHRYPEKVPDRSSGDLACDSYDLYKDDVKLLKRMNVQAYRLSIAWSRVLPKGRLIGGVDENGITYYNNLINELKANSIEPYVTIFHWDVPQTLEDEYGGFLSPRIVEDYTNYAELLFQRFGDRVKFWITLNQPFSLATKGYGDGSYPPGRCTGCEFGGDSGVEPYTVAHYQLLAHAKAVSLYRKRYQKFQGGKIGTTLIGRWFAPLNEFSELDKAAAKRAFDFFVGWFLDPLVYGKYPKIMREMVGDRLPEFTPEESALVKGSLDFLGLNYYVTQYATDAPAPTQPSAITDPRVTLGFYRNGVPIGVVAPSFVYYPPGFRQILNYIKDNYKNPLTYITENGVADLDLGNVTLATALADNGRIQNHCSHLSCLKCAMKDGCNVAGYFAWSLMDNYEFGNGYTLRFGMNWVNFTNPSDRKEKASGKWFSRFLAK >scaffold_104183.1 pep chromosome:v.1.0:1:22614727:22615448:-1 gene:scaffold_104183.1 transcript:scaffold_104183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFILTSTLFVALLCFVSLPISTVGFRKIPWPKPSELVASHGKVSGRLRDSKIGSATSSVSDSNAPLSPPRLLPGFPNIPWLPNIPGIPIIPIPEIPNIPRIPNIPEIPNIPGLPNIPGLPNLPGFPNLPRLPGLPPLPRVQSEVVSKSAKVEKCLTKDGSKTSEKCFSQILSSSAKKDIALDKECCEIVVNMDKKCNRHVHMLFKSPFIVPLLRYSCHIKHTKV >scaffold_104185.1 pep chromosome:v.1.0:1:22625762:22628714:-1 gene:scaffold_104185.1 transcript:scaffold_104185.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gr1-protein [Source:UniProtKB/TrEMBL;Acc:D7KNS5] MDNLRKLNLLSVSLTIIFVSLTIATNLPFFELKYPNNNPFGMLLRPAPIKNQSLGLPAQVGSDECQVWTKACSDEILRLTYQPDNVAWLKRVRRTIHENPELAFEEYETSRLVRSELDRMGIMYKYPLAKTGIRAWIGSGGPPFVAVRADMDALPIQEAVEWEHKSKVAGKMHACGHDAHVTMLLGAAHILKSREHLLKGTVVLLFQPAEEAGNGAKNMIEDGALDDVEAIFAVHVSHIHPTGVIGSRSGPLLAGCGIFRAVITAEDSGGAANLLLAASSAVISLQGIVSREASPLDSQVVSVTSFDGGHSLDVMPDTVVLGGTFRAFSNSSFYHLKKRIQEVLMDQVGVFGCQATVNFFEKQNAIYPPTTNNDATYNHLKKVTIDLLGDSHFTLAPQMMGAEDFAFYSEIIPAAFYFIGIRNEELGSVHIGHSPHFMIDEDSLSVGAAVHAAVAERYLNDKYS >scaffold_104187.1 pep chromosome:v.1.0:1:22639364:22639711:1 gene:scaffold_104187.1 transcript:scaffold_104187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFVGGVGQQVRQVLKSGAGTCIRCGSEADLVDYDKVLKLFFIPVWRWPGKDPLLHCRDCDLFFPQSLSPPPVSLATCRFCDRVVEPEFRFCPFCGSSL >scaffold_104189.1 pep chromosome:v.1.0:1:22650155:22650724:1 gene:scaffold_104189.1 transcript:scaffold_104189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGPREHFSSLAKKQPIRSLAASFDDYNMEETSDDQSLLSTEEVLLLPTLPPLPEEPNRANFSANCGVGIDLPNGERIMRYFLKTDTIQRGRTPLKLTRVIPGQSKTITLEYESNLTFEQSGVANSLVFATWE >scaffold_104190.1 pep chromosome:v.1.0:1:22665538:22666201:1 gene:scaffold_104190.1 transcript:scaffold_104190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSFVENPEPSSHALESKRWKKNGIMIPSPRSGGYRRFFHLFPSFPLFTEIRQVQFNIFKVSIHESESLRKNGIMIPFSLSGGYRSFLNFLSPIPPDYRTKVNHLLADEQLQLTFLVPARTSVMEPSSTSSRLLTMTTLSSIDSLVEDHSTSRDLTCARMLICFCLKALMESLSFHLIYHAMALGNASFIYVLNFVISESLLLYLV >scaffold_104192.1 pep chromosome:v.1.0:1:22700784:22702115:1 gene:scaffold_104192.1 transcript:scaffold_104192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTMLLTSGVSAGQFLRNKSPLAQPKVHHLFLSGNSPVVLPSRRQSFVPLALFKPKTKAAPKKVEKPKKKVEDGIFGTSGGIGFTKANELFVGRVAMIGFAASLLGEALTGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGQFVDDPPTGLEKAVIPPGKNVRSALGLKEQGPLFGFTKANELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGIPIQDIEPLVLLNVAFFFFAAINPGNGKFITDDGEES >scaffold_104194.1 pep chromosome:v.1.0:1:22724353:22724586:1 gene:scaffold_104194.1 transcript:scaffold_104194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGRLLLSTYKSMWELKKVDMAEKEKLQKLDILDTLLAKPEPLSAVDQVIKDKIVAQYFLD >scaffold_104199.1 pep chromosome:v.1.0:1:22769774:22770986:-1 gene:scaffold_104199.1 transcript:scaffold_104199.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase [Source:UniProtKB/TrEMBL;Acc:D7KNT9] MAMWVFGYGSLIWKTGFPFDESLPGFIKGYRRVFHQGSTDHRGTLDFPGRTVTLEAAHGEVCCGVAYKITKEEDKRDALMHLEVREKQYDQKEYVDFFTGSNASEPAVTGVMVYIASPDKKSNNNYLGPAPLEDIAKQIVLAKGPSGPNRDYIFNLEEALAQLGFKDKHVTDLANQVRRILSETQELDIDATANNV >scaffold_104202.1 pep chromosome:v.1.0:1:22837757:22838131:1 gene:scaffold_104202.1 transcript:scaffold_104202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVILLLVCSSGTSGGKQKIFPINNKFFEDMTFIFALRSHIIFRHIKDVQEGKAITFFFVRPQPTTPSGLPVSNMLTSPDEVIINE >scaffold_104204.1 pep chromosome:v.1.0:1:22864824:22866622:1 gene:scaffold_104204.1 transcript:scaffold_104204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKDQLLDRLQELEIDYSKYEHPPVLTVEEQDKKHRYYIVSAMVDTKVDMKVLSQRLGLGKGGIRMAPEEALGELLQVSLGCVTPFAVVNESSRDVSLLLDQKFKNQTRCIFHPLSNDVSVSLNTLGLDKFLKSIGRDPVYVDLEANTLNL >scaffold_104207.1 pep chromosome:v.1.0:1:22871676:22872078:1 gene:scaffold_104207.1 transcript:scaffold_104207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDFENNQPSVINIDDEEEGEDLFMNNFMEDYRIDGHDQYDDLDQIIHYRFVADAVLGAQETRNRNLLHDNDNFIVVDAAGEVEDLQIIRDEPEEEEEGEVLFNENFLEDYRHMDEHE >scaffold_104208.1 pep chromosome:v.1.0:1:22895267:22895523:1 gene:scaffold_104208.1 transcript:scaffold_104208.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPQ2] MSQKTPYRSLMSRFLIVLSCHDSLSFSQLETTNLRLVSLIKKKINRVDKTRFVISLVAAYSVCRNECLDYG >scaffold_104209.1 pep chromosome:v.1.0:1:22896986:22897836:-1 gene:scaffold_104209.1 transcript:scaffold_104209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDSKAFLCAVEEDVREIGEHVEQFLVCDDAASVSSIALNSGILEGISVPDVRREIISSLVLENHGVVLEDVKRLVKTISLSDLLEIEVLKRKISRFYRCNGRFDLRSLTKCSGFARKKRKFGNEANLGDVATTGSTTFSKVSKIENAKEEISNGKSLSSRKRNSKRGLNYNNDDGIGKREESKDSNHLEESEKKDDSCIEIGVDLGTPLASICKRLKVYVSSSVKRSNGNGETVKRERKKSKYLS >scaffold_104212.1 pep chromosome:v.1.0:1:22910121:22911676:-1 gene:scaffold_104212.1 transcript:scaffold_104212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQEGEASVTMEDVETEERGCKEGEDVRTASQQGDAEEHLDLHPDDTVKFVLDSLNRGRAEVSENLGPDFEQEDPAVLSGDGLKDQDDAAKSGDGLKDQDDAAKSGDGLEDQGVAAKSGDGLEDQDVAAKSVNDDAECQGKESAESGSLALFPTWASVGSEENVSGEGDASDKKWLEFEKYLADNGKLFFGGSLFLVTKDVEEIVGLQVVMGPWMMDAFIKYFRDKWANLEVGLNERRVVFQGTKFASLVLAHRLKFEKSVKKKYVFDQDLMSCFPLNFDSLYFPFNFDKQHWVGMCLDIRGKYLYVFDCNQKVRRDTSLRKEIEPLLEMLPFVVRQVSPQLMKAVSSDPFILSRDSLLPTCLNPSESGLMSVLFIQRHAVGWLQAAREVRTEDLAVQAKQLLIEMYDVYAEK >scaffold_104214.1 pep chromosome:v.1.0:1:22917672:22921865:1 gene:scaffold_104214.1 transcript:scaffold_104214.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase [Source:UniProtKB/TrEMBL;Acc:D7KPQ5] MAGENSDNEPSSPASPSSAGFNTDQLPISTSQNSENFSDEEAAVDTQIIRDEPEEAEEEEEEDGEDLFPENYMDDYRKMDENDQYESNGLDDSVDDERDLGQVMLDRRAAEAVLDARETRAANRKLPHLLHDNDSDDWNYRPSKRSRTTVPPRGNGGDPDGNPPSSPGGSQPDISMTDQTDDYQDEDDNEDEAEFEMYRIQGTLREWVMRDEVRRFIAKKFKDFLLTYVKPKNENGDIEYVRLINEMVSANKCSLEIDYKEFIHVHPNIAIWLADAPQPVLEVMEEVSEKVIFDLHPNYKNIHTKIYVRVTNLPVNDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCNKCGAILGPFFQNSYSEVKVGSCSECQSKGPFTVNVEQTIYRNYQKLTIQESPGTVPAGRLPRHKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVTKKQDLFSAYKLTQEDKTQIEELSKDPRIVERIIKSIAPSIYGHEDIKTALALAMFGGQEKNIKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPVGGRYDSSKSFAQNVELTDPILSRFDILCVVKDVVDPVTDEMLAEFVVNSHFKSQPKGGKMDDSEPEDGIQGSSGSTDPEVLPQNLLKKYLTYSKLYVFPKLGELDAKKLETVYANLRRESMNGQGVSIATRHLESMIRMSEAHARMHLRQYVTEEDVNMAIRVLLDSFISTQKFGVQRTLRESFKRYITYKKDFNSLLLVLLKELVKNALKFEEIISGSNSGLPTIEVKIEELQTKAKEYDIADLRPFFSSTDFSKAHFELDHVRGMIRCPKRLITW >scaffold_104215.1 pep chromosome:v.1.0:1:22922821:22929962:1 gene:scaffold_104215.1 transcript:scaffold_104215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNPPQSSGAQQFRPMAPGQQGQHFVPAASQPFHPYGHVPPNVQSQPPQYSQPIQQQQLFPVRPGQPVHITSSSQAVLVPYIQTNKILTSGSTQPQPNAPQMTGFATSGPPFSSPYTFVPSSYPQQQPTSLVQPNSQMHVAGVPPAANTWPVPVNQSTSLVSSVQQTGQQTPVAVSTDPGNLTPQSASDWQEHTSVDGRKYYYNKRTKQSNWEKPLELMTPLERADASTVWKEFTTPEGKKYYYNKVTKESKWTIPEDLKLAREQAQLASEKTSLSEAGSTPLSNHAASSSDLAVSTVTSVVPSTSSALPGHSSSPIQAGLAVPVTRPPSVAPVTPTSAATSDTEASAIKPDNLPSRGADDSNDGATAQNNEAENKEMSVNGKANLSPAGDKANVEEPMVYATKQEAKAAFKSLLESVNVHSDWTWEQTLKEIVHDKRYGALRTLGERKQAFNEYLGQRKKVEAEERRRRQKKAREEFVKMLEECEELSSSMKWSKAMSLFENDERFKAVDRPRDREDLFDNYVVELERKEREKAAEEHRQHMAEYRKFLETCDYIKAGTQWRKIQERLEDDERCSCLEKIDRLIGFEEYMLDLEKEEEEQKRVEKEHVRRAERKNRDALRTLLEEHVAAGILTAKTYWLDYCIELKDLPQYQAVASNTSGSTPKDLFEDITEELEKQYHEDKSYVKDAMKSRKISMVSSWLFEDFKSAISEDLSSQPISDINLKLIYDDLVGRAKEKEEKEARKLQRLAEEFTNLLHTFKEITAASNWEDSKQLLEESQEYRSIGDESVSRGLFEEYITSLQEKAKEKERKRDEEKVRKEKERDEKEKRKDKDKERREKEREREKEKGKERSKREESDGETAMDVSEGHKDEKRKGKDRDRKHRRRHHNSDEDVSSDRDDRDESKKSSRKHGNDRKKSRKHANSPESDSENRHKRQKKEQREGSRRSGHDELEDGEVGEDGEIRH >scaffold_104217.1 pep chromosome:v.1.0:1:22944909:22945605:-1 gene:scaffold_104217.1 transcript:scaffold_104217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKKQLDQVIFLFRRKSPKEEANDTASVVTDGKHSVSTERYKTRRIIDFGSAFDEYTMKHLYGSTGPSRYDMWGVGVVMLEMILGSPNVFEISSVTRALLDQHIRGVEKNLLHIQMCIWWKMQVSKCLGVKEDRVTVDEAMQLLWIM >scaffold_104218.1 pep chromosome:v.1.0:1:22945791:22946229:-1 gene:scaffold_104218.1 transcript:scaffold_104218.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPQ9] MAQEFSGSLASVNLHAQATSFARLSETDNGGSIFCNPMECLRKCFNCLRKCWNFWD >scaffold_104220.1 pep chromosome:v.1.0:1:22949348:22950711:1 gene:scaffold_104220.1 transcript:scaffold_104220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7KPR1] MAISKLIPTLVLFALFSFDVGVAHPGLGFGWGSNNPIGGSFSSNLYPQFYQFSCPQADEIVMTVLEKAIAKEPRMAASLLRLHFHDCFVQGCDASILLDDSATIRSEKNAGPNKNSIRGFQVIDEIKAKLEQACPQTVSCADILALAARGSTILSGGPSWELPLGRRDSRTASLNGANTNIPAPNSTIQNLLTLFQRQGLNEEDLVSLSGGHTIGVARCTTFKQRLYNQNGNNQPDETLERSYYYGLRSICPPTGGDNNISPLDLASPSRFDNTYFKLLLWGKGLLTSDEVLLTGNVGRTGALVKAYAEDERLFFHQFAKSMVNMGNIQPLTGFNGEIRKSCHVIN >scaffold_104221.1 pep chromosome:v.1.0:1:22958891:22959198:-1 gene:scaffold_104221.1 transcript:scaffold_104221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKFLIIVFFSFLSQSHNSSSQILEFIYNSFHPPLTNISIQGIVTVTSNGILKLSNTSMQRTGHAFYTKPIWLKDSPNGNVYTSFLD >scaffold_104222.1 pep chromosome:v.1.0:1:22960567:22963025:1 gene:scaffold_104222.1 transcript:scaffold_104222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGDDAARRRTAAVTDYRKKLLHHKELESRVRTARENLRAAKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNISYSAVGGLGDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFNYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHASGIAKHGEIDYEAIVKLGEGFNGADLRNICTEAGMFAIRAERDYVIHEDFMKAVRKLSEAKKLESSSHYNADFGKE >scaffold_104223.1 pep chromosome:v.1.0:1:22965126:22966228:1 gene:scaffold_104223.1 transcript:scaffold_104223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVKHNLMSPMRPITPSVVSPLLEPPDLLMLSLSRLPPATLIFRRDRLLPPPLLSQISWLRFTRVSSTSIFFSGSAFDSRRIISLPGNSYTVNFSSASPKIQTLWSIQAILVTPSTSASISVSMSDGFLITTISRLLDLVVGDLVSAEHGLACSLPCPSIGKKYFHRSPVNLITEVEPLFPPRLGFSSTNWNGSPTINGRRLLCTQNAEVVPRVSSGPSLGIAGNGLGYGFTTNGPYRTKAQNCFWKRTSSNSSLWERPLLYYLIFGLSETKDMLYLFPNFRACIAFVSLFVKDSLELELMGGYTLMFSNDYPVRLVSINACLWVLETSIRNQVITFLFIRLLKTETLIG >scaffold_104226.1 pep chromosome:v.1.0:1:23010319:23010554:-1 gene:scaffold_104226.1 transcript:scaffold_104226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLANLRVEMNNNSKVVCEFKKEMSAKDAFYMHRFEEITKIAKQKHKDIEKKIELLLASKK >scaffold_104227.1 pep chromosome:v.1.0:1:23014378:23014781:-1 gene:scaffold_104227.1 transcript:scaffold_104227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGLADLRVEMNNNSMVVCEFKKEMSAKDALYMHRFEEITTIAKQKHEYIEKKIELLLVSKKCKMIMRNDKCLDEQQEAMLEG >scaffold_104231.1 pep chromosome:v.1.0:1:23053828:23054819:-1 gene:scaffold_104231.1 transcript:scaffold_104231.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:D7KPS3] MAGEGEVIACHTVEVWNEKVKEANESKKLIVIDFTASWCPPCRFIAPVFADMAKKFTNVFFFKIDVDELQDVAKEFKVEAMPTFVFMKEGNIVDRVVGAAKDEIHEKLMKHGGLVASA >scaffold_104232.1 pep chromosome:v.1.0:1:23056746:23057870:1 gene:scaffold_104232.1 transcript:scaffold_104232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPESTPNPSLPDDLLITCLSRVSKLYYPTLSLVSKSFRSLLASPELYEARSLLRRTESCLYVCLRFDDNPRWFTLCRKPDRTLTKSSGNLLVPITSPQSHPAYLSGKVVGYNIYNIGRSIKTLASSSVSLLDCRSHTWREAPSLQVKMKYPCASVFDGKIYVVEGFVENVSEFSKSMEVFDTKTQIWDHVPIPYQDGDEYSGWLTKSTCVEGKVYLTIGRKVLAYDPKEGRWDLVEQEMGDGWRWYCNCAVENVLYCYNEGALKWYDNKVRLWKQIKVLGGLPEFASSSRVKLADYGGKMAVLWDKYELDSEDQMIWCAVIALERHNDGEIWGKVEWCDAVLTVPRSTIFEYALSVTL >scaffold_104233.1 pep chromosome:v.1.0:1:23058149:23058692:-1 gene:scaffold_104233.1 transcript:scaffold_104233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVIPYRCYLDELLYVAKEFKVEAMPTFVFMKEGEILDHIIGAEREKIQEKLLKHGGFVLSTEYVFSYCLTMNVIQSRD >scaffold_104235.1 pep chromosome:v.1.0:1:23076448:23076679:1 gene:scaffold_104235.1 transcript:scaffold_104235.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPS7] MVFSFVYSIHSSVIRISLSLRNLDSVGIGDPAESQSTTSQSQAVRRASLVTPLPPELYSATAY >scaffold_104236.1 pep chromosome:v.1.0:1:23087071:23088267:1 gene:scaffold_104236.1 transcript:scaffold_104236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRKSKTITTTKKPPLKKKKLSPESTPNPSLPDDVLITCLARVSKLYYPTLSLVSKSFRSLLASPELYKARSLLRRTESCLYVCLHFPTEANARWFTLCRKPDRTLVNHKKSSSGNILVPIPSSQSTSTPHWSGHAAVGSNIYHIGGGFMRSSNVSVLDCRSHMWREAPSLKVKRMLYPSASVIDGKIYVAGGLVQKKSESSESMEVFDTKTQIWNYVLIPYLEELRGLLTKSICIEGKLYLRIGTKVLAYDPEEGRWEQEVGKTCKWFSNCVIENVLYCYIQGVLKWYDIKVRLWKQVHGLRGLPHEFSTSLIVKLADYSGKMAVFWDKSEPLSGEKMVWCAVVALERHNNGDIWGMVEWCDAVLIVPKLTHFENALAVTV >scaffold_104239.1 pep chromosome:v.1.0:1:23115836:23117382:-1 gene:scaffold_104239.1 transcript:scaffold_104239.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F27F5.25 [Source:UniProtKB/TrEMBL;Acc:D7KPT1] MSMKASFKGKFDVDNSGGVASLSFNAGNAKLRATMTDTSLVAGPSLNGLSLAVEKPGFFIIDYNVPKKDVRFQFMNTVRIAEKPLNLTYIHMRGDNRTIVDGSLVIDPANKLSANYMVGTKNCKLKYTYVHGGIATFEPCYDLAKNVWDFAVSRKLYGGDNLKATYQTSSKMLGLEWSRNSQSTGSFKVCASMNLAEELKPPKLTAETTWNLEI >scaffold_104242.1 pep chromosome:v.1.0:1:23134011:23134359:-1 gene:scaffold_104242.1 transcript:scaffold_104242.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPT4] MENSMLRYVKKSETSKTDEPLEKEINMSSEEAGISETCEDEIGEHGDEHECGEKKNENANESDMEIETEIESDNGNDEKLDYKSLMNEFAGRNALRIVRFEE >scaffold_104245.1 pep chromosome:v.1.0:1:23183934:23184181:-1 gene:scaffold_104245.1 transcript:scaffold_104245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLSVGAVGKIFSGEVRSEVDIIPVFKVVRVMMTTNGTSGLLLSDGTQSIPTILTSSSREAINVHI >scaffold_104249.1 pep chromosome:v.1.0:1:23228991:23229559:-1 gene:scaffold_104249.1 transcript:scaffold_104249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKQMRNYWDLSWEIISKGSFSISLWKNTFKVALSLLCPMRGAGHECGCLTILAKTILANLQISQSALVMGKCIARIDVAMFNAILPESEHQIPTDPVSDPILDSKVLPPCWRFMH >scaffold_104254.1 pep chromosome:v.1.0:1:23254083:23254369:-1 gene:scaffold_104254.1 transcript:scaffold_104254.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPU6] MRPDDSSYFSFFFHFPARFSVNRIKPKIRTDYLLRCHSIIFYAAINELPQIAPEATVVAASSPPHLARIISSLTAFSIFL >scaffold_104257.1 pep chromosome:v.1.0:1:23260100:23260878:-1 gene:scaffold_104257.1 transcript:scaffold_104257.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPU9] MGYIVASYKMRGSLILLAEEGTGAPEHYTLYGVIVHVGELISSGHYYYYVRTSSAWYCLDDYRVFKVSEKAVLDAKAYIMLYALDRQETSNGAEMSQINTADDAEREKCEAGVGKATGKPLGERLQWIQGYHEEFES >scaffold_104258.1 pep chromosome:v.1.0:1:23266695:23267644:-1 gene:scaffold_104258.1 transcript:scaffold_104258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKYGLQLMKRQSSVRPPLRTAPLFGQDEDNDVDMEISRQASKTKGLKRIEEQHKKALEEDPCAYAYDEVYDQLKKEAYLPRMHDCEEPKSRYAQLLRKQADRRQKEREIVYERKLAKERAKDQHLFPDQVKIVTGAYKRKLEEREQWLSQERLLELLEEKDDVTKKTDLSDFYFNIGKNVTFGARDINAREAKRFKEQKRREELGKEDTREEKKTYSLLLPQYV >scaffold_104259.1 pep chromosome:v.1.0:1:23281095:23281768:1 gene:scaffold_104259.1 transcript:scaffold_104259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRKKKSILQQTDPPSIPVLELFPSGDFPEAEIQQYKDDNLWRTTSEEKREMERLQKPIYNSLRQAAEVHRQVRKYMRSKTTPYAIWTALVLMALKNLCDSGIIEPCPPVCDVKGSYISQFEHTILLRPTCKEIISKGDDY >scaffold_104264.1 pep chromosome:v.1.0:1:23334935:23335254:1 gene:scaffold_104264.1 transcript:scaffold_104264.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPV6] MDSIEDMGQNTVALLPEQHQEIASASSIEDIDNSIGQGTVSLLPEQKPHEQHQELAAANIDASGKFVSMFSPMFAHLDVLCFLCVICLTRK >scaffold_104265.1 pep chromosome:v.1.0:1:23338547:23342156:1 gene:scaffold_104265.1 transcript:scaffold_104265.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KPV7] MDSIEDMAQNTVALLPEQHQEIASASSIEDIDNSIGQGTISLLPEQKPHEQHQELAAANIDASDQQQENLPAPLPLILHGQYGGRILHGCSLFGCNHVVVDGEIYCSDTHKEMANSLASEGKAYVIVDGFFNADREKNFRIVLALLRKVEDSVAGGIPEIEDGEIFQKLPVNWNSINLHFLHGEMERSWLFYHKRNTLKLPTFEVGNYTIRWSRSHHAVYKYGEYDDIKSTVFYMRYDPRKGQKPVEGDPCGLRGWSLSENVHKSTSLFCLTYRIGKDYKAPIFNTSKEGGSGKRKRGYDQPSSSGSKGEAPVATLLPEQQQREQHKEEELAAPFRHGQYGGRTLHGCSLFGCNHVVVYGERCCSEFHDEMAAELASQGKAYVIVDGFFDSGKERNYRIVVALLRKVENNLAGGIPEVHDVEIFQKLPREWNFINLDFLHQELERGWLFFHKKSTPEFRIFKVGDKTIHWSRSNPVVHKYGEYDEIHSTVSQLQYYTQRGGKAVDGDPCGLRDWSLSEHVYKSTSLFCLSYHSSKDDKAGIFTMSKGDDCGKRKRGVDQPSSSGSKGKGKDKTVFKDAPLREKQQELPAASLPLHGCSLFGCNHVVVDGERYCCDTHKEMVSQGNAYVVVDGFFDERERNYRIVVALLRKVEDNVDGGIPEIQDVEIFQKLPSEWNFIDLDFLSQGRERSWLFYQQKSTPEFQRFRVGDKTIQWLRSNHAVHKFGEYDEIHSTVSQLRYQIKRGERAVDGDPCGLTGWSLSEHVYKSTSLFCLSYCSSKDDKARIFNTSKGGKRGSNQQSSSGSKGEGRD >scaffold_104268.1 pep chromosome:v.1.0:1:23363517:23365297:-1 gene:scaffold_104268.1 transcript:scaffold_104268.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KPV9] MTWVPILCISCFFLGAIFTSKLRSASSDSGSQLILQHRCDQEVKIVTQDYAHEKKKSEDKDVMEEVLKTHKAIESLDKSVSMLQKQLSTTHSSQQILDATSTNSSTEGNQRKKVFMVIGINTAFSSRKRRNSLRETWMPQGEKLEKLEKEKGIVIKFMIGHSSTPNSILDKEIDSEDAQYKDFFRLDHVEGYYNLSAKTKSFFSSAVAKWDAEFYVKIDDDVHVNLGTKICF >scaffold_104269.1 pep chromosome:v.1.0:1:23365569:23366029:1 gene:scaffold_104269.1 transcript:scaffold_104269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDMAQISGLVAAKESPNPFDYCDIVTSTTHKSLRGPRGGIIFYRRGLKPKKQSMNLNHCESNIQYDFEEKINFSVFPSLQGLH >scaffold_104273.1 pep chromosome:v.1.0:1:23410211:23411316:-1 gene:scaffold_104273.1 transcript:scaffold_104273.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7KPW4] MKVHEFSNGFSSSWDQHDSTSSLSLSCKRLRPLAPKLSGSPPSPPSSSSGVTSATFDLKSFIRPDLTGPTKFEHKRDPPHQLETHPGGTRWNPTQEQIGILEMLYKGGMRTPNAQQIEHITSQLGKYGKIEGKNVFYWFQNHKARERQKQKRNNLISLSCQSSFTTTSISNPSVATKTRTSSSLDTRRASMVEKEELVEENEYKRTCRSWGFENLEIENRRNKNSSTMATTINKIIDNVTLELFPLHPEGR >scaffold_104275.1 pep chromosome:v.1.0:1:23435995:23436505:-1 gene:scaffold_104275.1 transcript:scaffold_104275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREQEESTMRKRRQPPQEEMASHVATRKPYRGIRRRKWGKWVAEIREPNKRSRLWLGSYTTDVAAARAYDVAVFYLRGPSARLNFPDLLLQEEDHRLAAATADMPAALIREKAAEVGARVDALLASAAPSTADSSPPVIKPDLNQIPESGDI >scaffold_104276.1 pep chromosome:v.1.0:1:23443597:23445169:1 gene:scaffold_104276.1 transcript:scaffold_104276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRKIVRRNTQSSTSTNVGENENTNTFSIDLIIEILSRLSSKSIAICCCVSKQWSSLLRRQDFTELFLTSSSSRPRLLFAVEFDSKWHFFSSPQPRNLDEHVSVVVTDCHMGFSGDWYKEICLSANGLFYLNDKQMLKGKIDRIPVICNPSTGQHLLLPKVRAKNVDLRSFFGYDPIEKQFKVLCMTVTIYRRQTSSKEHQVLTLGKGKLSWRKIECLIPHASIFFSYLASDFTGPALVEAYLFLPNTTHEVIKSIWATDEGEIVWVLSRWTRPFYIFYYNVERKSLRRVEIKGIEEKLLIGVEGRPEEHFTFIDHVENVMFL >scaffold_104277.1 pep chromosome:v.1.0:1:23446622:23448224:-1 gene:scaffold_104277.1 transcript:scaffold_104277.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vier F-box protein 1 [Source:UniProtKB/TrEMBL;Acc:D7KPW8] MGQSTSAAGNSILNRRRSKSFSLKFPMESIIKPEISQPDYTSCLPDECLALVFQFLNSGNRKRCALVCRRWMIVEGQNRYRLSLHARSDLITSIPSIFSRFDSVTKLSLKCDRRSVSIGDEALVKISLRCRNLKRLKLRACRELTDVGMAAFAENCKDLKIFSCGSCDFGAKGVKAVLDHCSNLEELSIKRLRGFTDIAPELIGPGAAASSLKSICLKELYNGQCFGPVIVGAKNLRSLKLFRCSGDWDLLLQEMAVKDHGVVEIHLERMQVSDVALTAISNCSSLEILHLVKTPECTNFGLAAIAEKCKHLRKLHIDGWKANLIGDEGLVAVARFCSQLQELVLIGVNPTTLSLGMLAAKCLNLERLALCGCDTFGDPELSCIAAKCPALRKLCIKNCPISDVGIENLANGCPGLTKVKIKKCKGVLGGCADWLRTVRPMLSVNADTVEPEHQEEASNDAVGGLQENGIEFPQLNSQIMAPSIASSSRRSRSGYFKSGIGLFSGMSLVACTSRQRRASR >scaffold_104280.1 pep chromosome:v.1.0:1:23469362:23469655:1 gene:scaffold_104280.1 transcript:scaffold_104280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKIMILLCIIFLVETFSIIGGVDCRALRTEQTTGCDQTTATVENGGFGFFSGAANGSRDHLLMRSLAFRLASGPSRKGRGH >scaffold_104285.1 pep chromosome:v.1.0:1:23491826:23493193:1 gene:scaffold_104285.1 transcript:scaffold_104285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFHVFRLSLTSLSNSLINLRKLFSFISSPNLIIKSIFQSLTRRSPKPSSTTAALPPCSSSSSAADASTEVRRNRYKVAVDAEEGRRRREDNMVEKSKREESLLLELESLPSMVGGVWSDDRSLQLEATTQFRKLLSIERNPPIEEVIQAGVVPRFVEFLTREDYPQLQFEAVWALTNIASGTSENTKVVIEHGAVPIFVQLLASQSDDVREQLIYVSMVGDQSSQNRCTCFNDNNDSSFDNEVCLELIPATVDLESYVMWEMVMAIKSIFIEDISSLMIFL >scaffold_104289.1 pep chromosome:v.1.0:1:23503533:23505918:-1 gene:scaffold_104289.1 transcript:scaffold_104289.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NRAMP2 [Source:UniProtKB/TrEMBL;Acc:D7KAQ2] MENDVKEKLEEEEEEANRLLPPPHPQSLPSSDSESEAAFESNEKILIVDFESPDDPTTGDSPPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATAMGLLIQMLSARVGVATGRHLAELCRDEYPTWARYVLWSMAELALIGADIQEVIGSAIAIQILSRGVLPLWAGVVITASDCFLFLFLENYGVRKLEAVFAVLIATMGLSFAWMFGETKPSGKELMIGILLPRLSSKTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDPKRKSRVQEALNYYLIESSVALFISFMINLFVTTVFAKGFYGTEKANNIGLVNAGQYLQEKFGGGLLPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWMRAVITRSCAIVPTMIVAIVFNTSEASLDVLNEWLNVLQSVQIPFALLPLLTLVSKEEIMGDFKIGPILQRIAWTVAALVMIINGYLLLDFFVSEVDGFMFGVTVCVWTTAYIAFIVYLISHSNFFPSPWSSSSIELPKRVSVSDS >scaffold_104291.1 pep chromosome:v.1.0:1:23511089:23513048:-1 gene:scaffold_104291.1 transcript:scaffold_104291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYTVGNWIRGTGQALDRVGSLLQGSHRIEEHLSRHRTLMNVFDKSPLVDKDVFVAPSASVIGDVQIGKGSSIWYGCVLRGDVNNISVGSGTNIQDNTLVHVAKTNISGKVLPTLIGDNVTVGHSAVIHGCTVEDEAFVGMGATLLDGVVVEKHAMVAAGSLVKQNTRIPSGESAKNYINLAQIHASENSKSFDQIEVERALRKKYARKDEDYDSMLGITRETPPELILPDNVLPGGKPVAKVPSTQYF >scaffold_104296.1 pep chromosome:v.1.0:1:23532104:23532419:-1 gene:scaffold_104296.1 transcript:scaffold_104296.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAQ9] MGFAFVNDLGVQRIKSLKSKMGHFYFFSVHLFNITGVAITAPGDSLNTDEIKMGFSSSIHISSIHTDTGTRDDCVANLSRTTVAFSGSSSI >scaffold_104297.1 pep chromosome:v.1.0:1:23536813:23537007:1 gene:scaffold_104297.1 transcript:scaffold_104297.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAR0] MSTGEILPFFVPLPFSLYGSCSWSCSAAVCSFFAHACLRWSKKNNSEKQF >scaffold_104298.1 pep chromosome:v.1.0:1:23538915:23539883:1 gene:scaffold_104298.1 transcript:scaffold_104298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEENGYWTTLLQKERNHVEGLLELLRSTWKAKGVTIVSDGWSDPTRKPLINFIAITGNAPLFLKAVDCSGKVKDKFFISNLMKEVINEVGHQNVVQIITDNAANCKAAGEIVDLERV >scaffold_104301.1 pep chromosome:v.1.0:1:23579800:23580250:1 gene:scaffold_104301.1 transcript:scaffold_104301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKTTIFIIFGFYLSCTLLVGIFGVQAKLCKTDEECDRRCQDVGAKCILGICHCSRLKVETEPTKARRCKTDSDCPDSHQCLKDYYYACLNNGECTCISV >scaffold_104302.1 pep chromosome:v.1.0:1:23581187:23582406:-1 gene:scaffold_104302.1 transcript:scaffold_104302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDFIPDGLVLEILSRLPAKSIARFQCVSKLWASMLSLPYFTELFLTRTSAQPRLLFAIEKRGSWSFFSLPQQYEKSSSSLVLAAEYHMKFPPDNMQINIRSNHWFPCVYASGLIFFYGMLIKEKGHYGVPVICNPITRRYAVLPYLQRYQMPYTFFGFDPIDKQFKVLLMDYPFGLDHHKILTVGKGDMSWRRIKCSLKHSIMSKGICINGVLYYLGETSADNPLIVCFDISSEKFKFIHRESSCQLINYKGKLGVIYRDYFANDAIELRVWILEDVEKHEWSKYAYSSRDNKFLAHFDSIVGVTATGEIVLSMADYRFKQPFYFCYFNPERNTFQRVYIEGLGEYQRRNHSRVYVFANHVEDLNVNDSNLLKSSIYAPYVKIEEEEEE >scaffold_104304.1 pep chromosome:v.1.0:1:23588240:23589004:1 gene:scaffold_104304.1 transcript:scaffold_104304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDEEDIADKAVKNVVAFLHSNLLDDTTPLTKRVPKLANSQKLPYIGNSTVKRIIPGVITSLYDYDPMEMVEESKLRKLMEYINDDEEQPLGSVNTNVKFYKEIITPRDQWPKHDYGWLRDSVNA >scaffold_104305.1 pep chromosome:v.1.0:1:23596194:23597163:-1 gene:scaffold_104305.1 transcript:scaffold_104305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYPGNDRRFSCGYASGLMYFYGMWIKQEHYDGVPVLCNPITGRYASLPYLYRYRRAYSFLGFDPIDKQYKVLCMAYPCCPNHHKIMTLGTGEMSWRRIKCSLRHENVSEGICIDGVLYYLGDTSERLNKFVIVCFDVMSEKFKFIYPESFCELINYKGKLGVIYYDDYDDDAIELRLWVLEDAEKQEWLEYAYTLRDDKFLDHYVSVVGVTASGEIVLSMGDFTFKQPFYVFYFNPEKNTLQRVEIQGFGEYHEAVNNPSRVHFFVDDASSFYRFADHVEYLNVNDPKLLKSNIYITPFDEGYVHIE >scaffold_104312.1 pep chromosome:v.1.0:1:23627528:23627759:-1 gene:scaffold_104312.1 transcript:scaffold_104312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGSWMDLRFEIGCLCSYTSEMMSLWFLEESDLLFFFFLRREAHKRISDGFDLWTVRAK >scaffold_104313.1 pep chromosome:v.1.0:1:23627765:23629989:-1 gene:scaffold_104313.1 transcript:scaffold_104313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSKLRHYCVQHLCPRVFELYFILKPQLQRTLSGNEMKQITCSCESSHESVDEEDIHVSVAGDEHVETEKEGEIQTCKDLLEAFHVLGSEEESFLKKKLSHEDVQRLGDSKRVEEEKPEVVSKQEAVVIPKRKANFFSRKWKLEERRNRSQVAKTIVVLKPGSNTLDVDSSSGVHSTIKRRLQSAVGKKSCDVSVNKRSQNCYMQEEIQSKSEEKHDVSDKEEPFCNERTSEDGKEETIYSSEDSKKIMSGLYIAAKKHLSEMLAKGDIDVNLPDKEVPRILGKILYLHEFCSPADSPRLIPAHNLVSTLSQTTEKPEILQTPETSSATNDLIDEDSDKEDDTLSTIDVSVPRDYGNETENIDKEEESEIDPLSETCSSSVSREVENVDEDVEKEMLNHSGRSWQPVQFPHTY >scaffold_104315.1 pep chromosome:v.1.0:1:23637695:23639088:-1 gene:scaffold_104315.1 transcript:scaffold_104315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENHDEVMLHPVHNPAKAVMMGNTSNEIPTLESASMEIRVLPLPNMIDKQMSSLLEE >scaffold_104316.1 pep chromosome:v.1.0:1:23641874:23643031:1 gene:scaffold_104316.1 transcript:scaffold_104316.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KAS8] MVPEEKLPCDLNLIEETLFRVPPESLVRFRTVSKKWNALFDDKMFINNHKMTFRFILATNSKFYSVSITPKIEVRELTLDIPGLESHKLKVLIDCNGFLLCGMDKEAVVWNPWLRQARWMKPEVNDQPILRFNGIGYEYDNMKREGSGYKTLVSHPNELATKSVWKIHDFASNSWKDKELVISGSNGITLLATSVTLNGILYWVASYLQNNSSFVLVYFNFSNEKVHKFSDLPCGENHHSDVIVLRLFMEDRLSLLKQCHLTKKIEIWVTKNKIKNCRSGDVEWMNFMEVSTPNLPGLVKPSYFIDHKKLVVCSCDETGQAWIYVMGDNKLISKIQIDSVVDLWPLHCTCFPSLVPVPRSRSQTKEAALQV >scaffold_104317.1 pep chromosome:v.1.0:1:23643862:23645023:1 gene:scaffold_104317.1 transcript:scaffold_104317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEKLPSELEEEILFRVPPLPLTRLRTVCKRWNTLFNEKRFIRNHLARAYPQFILATKSKFCSVSVSLDDDPKIEVRELNLDIPGLESQRPKSIHHHDGLLHCSWEDNRVYVWNPLLRESRWIEPYSKQSMGIEGIAYDDKGLEHSSLKFFESQFIPKFKWSTFYNFAFNAWKEYDFKTADDRFMRVINLFGLSVFLNGTLYWVASSSEYLDKIFIISLDCSTKRSAVFCCLPCKRTSFADAPILAVFREDRFSLLEQCGETRKIEIKVTKNKINNGDGESVDWIIFMTVSISNVPDLVGVRSYYPPSYFIDDKTLVMCSCDEHGQAWIYVMRGNKLSKTRIEVDRWPLQITYIPSLVPVPQGQREEVGLQV >scaffold_104318.1 pep chromosome:v.1.0:1:23646793:23646985:1 gene:scaffold_104318.1 transcript:scaffold_104318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFVANLVIKSFYRASAMYVEDMVDSSRATCLENGGDDDDSGYDYAPAA >scaffold_104319.1 pep chromosome:v.1.0:1:23656301:23656493:1 gene:scaffold_104319.1 transcript:scaffold_104319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYFFANLVSKSFDRASAVYVEDVVDCSRATCVENGGDDDDSGYDYAPAA >scaffold_104320.1 pep chromosome:v.1.0:1:23659179:23659371:1 gene:scaffold_104320.1 transcript:scaffold_104320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFVANLAIKSLDRASAVYVEDVVDSSRVAYGENGGDDDDSGYDYAPAA >scaffold_104321.1 pep chromosome:v.1.0:1:23667427:23667637:1 gene:scaffold_104321.1 transcript:scaffold_104321.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAT3] MSSLSEFVLSIYDHVSESCVGSDTTSYDQEIKSRQAAYAENGDQDDDDIYDYAPAA >scaffold_104322.1 pep chromosome:v.1.0:1:23669301:23669511:1 gene:scaffold_104322.1 transcript:scaffold_104322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVTEFILCIDDNVGGTCIGGEVVISGQAFVYAQSVYVEDGDNDDDDIYDYAPAA >scaffold_104323.1 pep chromosome:v.1.0:1:23670810:23671020:-1 gene:scaffold_104323.1 transcript:scaffold_104323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIYKFVLCKCDQVRETCIRGDVTYNNGEFEYHQVAFIENGDDDDDIIYDYAPAA >scaffold_104324.1 pep chromosome:v.1.0:1:23672121:23672332:1 gene:scaffold_104324.1 transcript:scaffold_104324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVSEIVLYIHENVYETSIGVNIANNDKVFEYAQATFVENGDNDDDVIYDYAPAA >scaffold_104330.1 pep chromosome:v.1.0:1:23695857:23698107:-1 gene:scaffold_104330.1 transcript:scaffold_104330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7KAU2] MQTMGNTNSMCGLKRFSNYKKSPIGSFAKNSSSHDGIKTIEAIKSCSFSRKADLCIRIITWNMNGKVSYEDLVELVGKERKFDLLVVGLQEAPKTNVAQFLQTASSPTHELLGKAKLQSIQLYLFGPKNSHTLVKELKAERHSVGGCGGLIGRKKGAVAIRINYDDIKMVFISCHLSAHAKKVDQRNTELRHIANSLLHRDKKKRDLTVWLGDLNYRIQDVSNRPVRSLIHNHLQSVLVSKDQLLQEAERGEIFKGYSEGTLGFKPTYKYNVGSSDYDTSHKIRVPAWTDRILFKIQNTDNIQATLHSYDSIDQVYGSDHKPVKADLCLKWVNN >scaffold_104334.1 pep chromosome:v.1.0:1:23778361:23778919:1 gene:scaffold_104334.1 transcript:scaffold_104334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIPVPLMTDIVRRIGSQGFRNLGPFIVVEPFFKQIVFSREVLIDVDLDEFMFNTRLGREESIYRSFLLRCVAEGHEIARYIESLRRLTQDGPSVEALEMLGEVTYSSIYAIFAFAVMLLCWLEHLNGPLASWPFSSHCGMFFLLHENNLSRENNYRIREKRGRKSGGE >scaffold_104337.1 pep chromosome:v.1.0:1:23799433:23803876:1 gene:scaffold_104337.1 transcript:scaffold_104337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAETGQSSVPLDTKFSDIEIKCDDMVICPEIKPSITKNLGQGSATIHNKSSDAILVDEAVVLKDSAVDIMSGSEIVPVPGGEETRNKLEMQSVNNKALIEELDEVIESLCVPSEYAASLTGGSFDEADMLQNIDACEWLAKVKEKRAELDTLKATFVRRASEFLRNYFVSLVDFIVIDKSYFSQRGQLKRPDHADLRYKWRTYARLLQHLKGLDKNCLGPLRKAYCSSMNLLLRREAREFTNELRASTKVSQNSTVWLEESKGSNQNANTDTSAVADAYAKMLTTFIPLLVDESSFLAHFMCFEVPALTPPGGAASYNKNRSNNDDLGIMDKKTGQNSLDLKALNESIQDLLDSIQEEFFVVIDWAYKIDPLLCISMHGITERQKADTSGFVRLLLGDLESRVSMQFSHMGVLPYIPRFAAVATRMEQYIQGQSRDLVDQAYTKYVSIMFVTLEKIAQQDPKYADILLLENYAAFQNSFYELADVVPTLAKFYHQASEAYEQACTRRISMIIYYQFEKLFQFSKKIEDFMYTITPEEIPFQRGLSKKELRKMLKSTLSGADKSIAAMYKQLQKNLTLVELLPPLWDKCKKEFLDKYENFVELVAKVYPNENVPGVTEMRGLLASM >scaffold_104342.1 pep chromosome:v.1.0:1:23821645:23822575:-1 gene:scaffold_104342.1 transcript:scaffold_104342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLLSNQISRETLKPGDHIYSWRNAYTYSHHGIYVGDEKVIHFTRGGDLETRTGTFLDKFIASCVPNHGGDNNPCPKCGDQSKLHGVISSCLDCFLAGGNLYLFEYGVSPAFFVAKQRGGTCTTAPSDPPEEVIFRAKFLLLRNGFGAYHLFENNCEDFAIYCKTSLVVMSKIKLGRSGQANSASIARDAVSSTLGLLGVVNASGRAASVFSSTVRYVVPSFGAAFGGLVLVGQYVDCARARFHY >scaffold_104343.1 pep chromosome:v.1.0:1:23853783:23854131:-1 gene:scaffold_104343.1 transcript:scaffold_104343.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAV4] MPRENQIPHLGRVHRGAGGDHETPLQKCKDNAHQNEFVPKNRVFDEWTIIYMSHERKSVGSKKVAQSKSKATLSRRMPRENQIPHLGRAHRGAGGDPEILLQ >scaffold_104344.1 pep chromosome:v.1.0:1:23856734:23857978:-1 gene:scaffold_104344.1 transcript:scaffold_104344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMCFAKSVSQFSDGIEDRVVVGSLDLNQSITDMYVHVTVANEAAQRLYMKSGFEQETAEPAWQARYLNRPQRLLLWLRLSSSSLELILSFLLPMDALRFLPLLSFGSKIMRKKYPGLVLP >scaffold_104345.1 pep chromosome:v.1.0:1:23863476:23863771:-1 gene:scaffold_104345.1 transcript:scaffold_104345.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KAV6] MSTHAYPRATDHIVPLARVPGTNHSCYGSHHAYCTCSWHKSLVLRITSCLLHLFLALFARAMDHIVPLALVPGTSHSSARQPS >scaffold_104351.1 pep chromosome:v.1.0:1:23903814:23905420:-1 gene:scaffold_104351.1 transcript:scaffold_104351.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP96A8 [Source:UniProtKB/TrEMBL;Acc:D7KAW1] MTSIGLFEAFIALLCFLIFFYFLVKKPFSYLPIKKTLGSCPWNWPVLGMLPGGLLRLQRIYDYSVEVLENSNLTFQFKGPWFVGMDVLATVDPANIHHIMSSNFSNYIKGPIFHELFEAYGDWIINSDSELWRNWRNASQIILNHQRYQNFSASTTKSKLKDGLVPLFNHFADEEMVVDLQDVFQRFMFDITFVIITGSDPRNLSIEMPEVEFAKALDDVGDAIVHRHITPRFVWKLQKWIGVGTEKKMMEAHATLDRVCEKLIAAKKEELSSQVITHNTNGESEDLLTSFIKLDATKYELLNPSDDKFLRDFTIGFMAAGRDSTASALTWFFWNLSENPNVLTKILQEINTYLPRTGSDDQDKSSYLNKLLYLHGALSESMRLYPPIPFERKSPIKEDVLPSGHKVKSNINIMILIYAMGRMKTVWGEDAMEFKPERWISETGRLRHEPSYKFLSFNAGPRTCLGKNLAMNLMKTVVVEILQNYEIKVVSGQKIEPKVGHILHMKYGRKVTMTKKCSSFE >scaffold_104352.1 pep chromosome:v.1.0:1:23915439:23917045:-1 gene:scaffold_104352.1 transcript:scaffold_104352.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP96A8 [Source:UniProtKB/TrEMBL;Acc:D7KAW2] MASIGLFEAFIALLCFLIFYYFLVKKPFSYLPIKKTLESYPWNWPVLGMLPGVLLRLQRIYDCSVEILENSNLTFQFKGPWFVGMGILATVDPANIHHIMSSNFSNYIKGPIFHEIFEAFGDGIINSDSELWRDWRNASQIIFNHQRYQNFSASTTKSKLKDGLVPLFSHFADEEMVVDLQDVFQRFMFDITFIFITGSDPRSLSIEMSEVEFAKALDDVGDAIVHRHITPRFMWKLQKWIGVGTEKKMMEANATFDRVCEKLIAAKKEELSSQVITHNTNGESEDLLTSFIKLDATKYELLNPSDDKFLRDFTIGFMAAGRDSTASALTWFFWNLSENPNVLTKILQEINTYLPRTGSDDQDKSSYLNKLLYLHGALSESMRLYPPIPFERKSPIKEDVLPSGHKVKSNINIMILIYAMGRMKTVWGEDAMEFKPERWISETGGLRHEPSYKFLSFNAGPRTCLGKNLAMNLMKTVVVEILQIYEIKVVSGQKIEPKPGLILHMKYGLKVTMTKKCSSFE >scaffold_104353.1 pep chromosome:v.1.0:1:23926010:23927175:1 gene:scaffold_104353.1 transcript:scaffold_104353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTTPSLTGSDFFTGDSKTLPEIRSFVGFIRRYLIRRRLCRKIGFIRRLRRNLERLCWNPLYSGTSTLALVARASAFGLVLIYRNIKLKALKLQENGRFVSNRCQTANASVQYRTGAKFK >scaffold_104355.1 pep chromosome:v.1.0:1:23932031:23933501:1 gene:scaffold_104355.1 transcript:scaffold_104355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKVCVRRLRRTVTLRATTRLAWNTISKDPSFAKTHFGKAARQLIMLSEFRVWLMSVKFQGDCDEDELADPYMKGIGKLTSLNNLDQVEISKVFHCNGLLLCLTKDKTRLVVWNPYLGQTRSIEPRNAFHRLDVYALEKLGNPKNLESNSWRVLDATPDWEIDSYQRGVSLNGNTYFFAKEKIVVEGERWVVIDEEVKDFLICFDFTSERFEPRLPLPFYSYDGETVTLSSVREDQLAVLYQRDTCLMEIWVTNKIEPDVVSWSKVFLAVDMKPLTGSHHPFAFYAGSFFIDEEKKIAVVFDKDKEEINDRAYLIGENGYYKEVDLGYLNGYYQTSRSYEP >scaffold_104356.1 pep chromosome:v.1.0:1:23938528:23940331:-1 gene:scaffold_104356.1 transcript:scaffold_104356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFHFFNCAILTFGPHAVYYSATPLSEYDTLGTSVKAAVVYLATALVKLVCLATFLQVSETEVFDPYQEALKAMIGFIDVAGLYYALAQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWDYVLQGLEANANLVFTISLAALGSLMWLRKNKPKSLIPIIYTCAVIIATMPSITSYLKRVMGWHFPKIVGFELVTSLVMAFISCQLFILCQRPSL >scaffold_104360.1 pep chromosome:v.1.0:1:23992902:23994898:1 gene:scaffold_104360.1 transcript:scaffold_104360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRESISMQNQVSMNLAKHVITTVSKNSNVVFSPASINVILSIIAAGSTGSTKDQILSFLKFSSTDQLNSFSSDIVSAVLADGSANGGPKLSVANGVWIDKSLSFNLSFKKLLEDSYKAASNQADFQSKAVEVTAEVNSWAEKETNGLITEVLPEGAADSMTKLIFANALYFKGTWNEKFDESLTKEDDFHLLDGNKVTAPFMTSKKKQYVSAYDGFKVLGLPYLQGQDKRQFSMYIYLPDANTGLSDLLEKIVSTPGFIDSHIPRRQVKVGEFKIPKFKFSFGFDASNVLKGLGLTSPFSGEDGLTEMVESPEMGKHLCVSNIFHKACIEVNEEGTEAAAASAGVIKLRGLVMEEDEIDFVADHPFLLVITENITGVILFIGQVVDPLH >scaffold_104365.1 pep chromosome:v.1.0:1:24029049:24030228:-1 gene:scaffold_104365.1 transcript:scaffold_104365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPKEKKRKVNRKRRTQSKSTSSFPLDLTTEILLRLPARSVLRFRCVSKLWSSITTDSYFIKSFETRFSTLRPSLLVCFKEGDKLFVSSIPQHNHNSNESYSCSQPIYRYHMKFPKGLSTFPPTESVQGLICFQVSGTPIVSNPSKRELLPLPKPPKSLYANFLGYDPVEGKHKVMCMPHSISSDVRWVFTLGSTQDSWRTVNTNHRHPSDYNTFGRCIKGVIYYVEDIYNKGVLVIITFDVRFEKFGMIDLPSDIFYRDMLINYKGRLAFVDKNKTRKSTLWILEDAKKHKWSSSKDFLEPFSYYDKSLKSDFNLKGFTHAGELIYAPSTFHKSFYILFCDSVRESFRRFEFKGITDDESGKGVGKGCVLHTFPNHL >scaffold_104366.1 pep chromosome:v.1.0:1:24032529:24033290:-1 gene:scaffold_104366.1 transcript:scaffold_104366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGRGRGGRGRAPKVSPNRPVANRPTASGTSNRRPSTLPSQYTFTPANPEAPETQQSPVNPVALESQPPTHRDYPPPTQLFQSGDDSPRGSGSHPFRESGSTQVRGSGSVQGRGSVGSIHRLASRSNQPPAPVQPPAPVQPPASTQHAASNRQIPSRQQRPSPQPRASVSHHSSQAQNSHEESEDEEAEGESKEDVLRDSTLPEDVLADLHATLLIPGREKFTTVISPNLEPETTW >scaffold_104367.1 pep chromosome:v.1.0:1:24041295:24041953:1 gene:scaffold_104367.1 transcript:scaffold_104367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRKIEIKRIENSIERNATFLRLRDDIFKKADALAKLYHVEVAVLVISPTNVPYTYGNPCFNDVVEHIQNPSASSKIVSLMKELECIKELEEVWTKRQQRNHEKSNMKGIVDLKVEDLVAFKGKLEAFQVGLKRKIVEMEDLSSPSMVSKKTKKRRQGPSFIPDRAGKCMCFGP >scaffold_104371.1 pep chromosome:v.1.0:1:24080011:24080835:1 gene:scaffold_104371.1 transcript:scaffold_104371.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBW6] MASGKLNHMAKDEEFQKTLFCIRRNFVLNQIPKPKKNKALKADREAEVSISPSKKPHKETSETKPSQLMLEQRKKYTEVTGWTAPEPPDATVIEAVASLPEPKPQKFEVAVALSLAAQEEEHEQTIADEAKANGNIAFSSGDFHAAVSHFTNTINLPPTKQEAEKTLELKPGWRKGCNSLGEKAEEKPEIQIPHRLGSLKGESNRIGTMLAAMDHKDDMRCEIQLDMGRRHEEAARVGYHRRLNRTPDWLSSGVLATFR >scaffold_104372.1 pep chromosome:v.1.0:1:24083417:24084096:1 gene:scaffold_104372.1 transcript:scaffold_104372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKIEIKRIENSIERKATFLRLRDDIFKKADALEKLCHVEVVVLVISPTNVPYTYGNPCFSDVVEHIQNPSASSKLVSLMKELERIKELEEVLTKRQQRNREKSKRIVDLKLEELVAFKRNLEAFHAGLKRKHVEMEDLSSPSMFSKNTKKKKTRTEFLPDRAEKCMTFGPTIPQNYLE >scaffold_104379.1 pep chromosome:v.1.0:1:24116092:24117968:-1 gene:scaffold_104379.1 transcript:scaffold_104379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFILLQNRQGKTRLAKYYVPLEESEKHKVEYEVHRLVVNRDAKFTNFVEFRTHKVIYRRYAGLFFSVCVDITDNELAYLESIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKRAIIERMSELEKLQ >scaffold_104381.1 pep chromosome:v.1.0:1:24122748:24124039:-1 gene:scaffold_104381.1 transcript:scaffold_104381.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBX5] MFSDAGRAVSHRRRTVVPLTVHLGFLVLPLLSFQFFAFVPGHFMRLLRTAPDAIFALGWLRRARSDSNVSCASLPLIGTECLLSLAQILRCRSFTAGVSLLFSKADLSSMIQQWSSVCLVRLGVSAAFPCYSNLNLTQAFAVGPVSAASSLPKAHLSSMSSSAPTTTLVSASRRQAPVDALGLFSVRVLRLYPCWAWSILTSVWALFRLSSSMGSSFWSYYFGYFSWSGYTSILRSYLKHQDALPPQSQSSSCHGQERSLAPFSYYKESFITPSSLLPWLLYCCPYVVRPALVLEGCSSETSLSVGFNGSANWCFVTPVLLANFGIVLLALVADSITSSISLSMCCVVQGVFSLISTRIIKVQGLRDNVFCLSARIALIYPPIYYPCVSLCSCSSEFDGFEGSDPFFCNISLSEDD >scaffold_104382.1 pep chromosome:v.1.0:1:24143865:24144129:-1 gene:scaffold_104382.1 transcript:scaffold_104382.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBX6] MRNKWQTSDDTWRRRRDTRQTCARMYDTRPPCTRSDTRRTCARMADTRRSSARMADTRRSCIRMFDTSSRVLRC >scaffold_104385.1 pep chromosome:v.1.0:1:24188002:24188365:1 gene:scaffold_104385.1 transcript:scaffold_104385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSIDESFLPLLARNLRNLKELDMSSVNISSEIPHDFSNMCSLSLLNLANCNLFGEFPSSVFLIPSLQSIMLDGNSNLRGNLPLFRENNSMLELSIHNTSFSTSNI >scaffold_104386.1 pep chromosome:v.1.0:1:24198274:24199409:-1 gene:scaffold_104386.1 transcript:scaffold_104386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTYTTNAYFFIEMGMTILVVAVNLCQQIHHNRPRRRRRWQHWRGLQVLVVMEDFLEMEKLACLPNQSSSNGSMDSKDCSADQKSEMVILDLQSIHQDLKNAVSRIHDFLLLLRNEVSTGQDPAIEGNDFAESIEGFSVTFNHVLRGDKDLDDFVSNIANVFNEAMELKVYFWGLSSSEVEILSPDCIDKVALPESKVVDKDSSQEIYQNGCVYNEPGVPCDENRVLRL >scaffold_104387.1 pep chromosome:v.1.0:1:24208579:24208832:1 gene:scaffold_104387.1 transcript:scaffold_104387.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBY3] MGLERLNLSHSSFSGPAPTKLLQLTKLVSLDLSYSSFSIDESFLRLLAQNLRNLRELDMSWVNISSEIPR >scaffold_104389.1 pep chromosome:v.1.0:1:24223310:24223539:1 gene:scaffold_104389.1 transcript:scaffold_104389.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBY5] MRRPFFLLFDHAGNLSVLKFTNRKKIHVSKFADVTRLKGYEAECALELDFAGKGWSTLDKAE >scaffold_104390.1 pep chromosome:v.1.0:1:24224860:24225082:1 gene:scaffold_104390.1 transcript:scaffold_104390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBY6] MHTKFLAFCCAISLQVASGFNTMFYDFAFNAGMNPCVLVAEQMTVAALILTPLALFFER >scaffold_104395.1 pep chromosome:v.1.0:1:24261871:24262463:-1 gene:scaffold_104395.1 transcript:scaffold_104395.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7KBZ4] MEFFADTTPITAENFRALCTGERGIRESGKPLHYKGSNFHVVAHQYMWAGGDITLGNGTGGESIYSGTLMTRISSRSIHIQVLSPYQTVGQTSQFQILMTDMQQLDGSQVVVDQVVEGFHFMYVIDKRNSTPLLQWTLFFSDTIHGEGRREKNLLRRSRGEREREITLLVQLEKLAERILSCV >scaffold_104397.1 pep chromosome:v.1.0:1:24271593:24272794:-1 gene:scaffold_104397.1 transcript:scaffold_104397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVMVSEGSIIEQTCKETPDFNLCVSLLNSDPRGSSADTSGLALILIDKIKGLTTKTLNEINGLYKKRPELKRALDECSRRYKTILNADVPEAIEAISKGVPKFGEDGVIDAGVEASVCEGGFKGKSPLTSLTKSMQKISNVTRAIVRMLL >scaffold_104398.1 pep chromosome:v.1.0:1:24281113:24282439:-1 gene:scaffold_104398.1 transcript:scaffold_104398.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KBZ8] MLTINNWYWQKLRLKINIGDPEIGRVSCTRVTIFPKKAPPLQNLDLTCFSPLRSSALFAVAARGSPDGKSDVVRLLTVLFRRCLCASWLSHSCLVRTKRRSFFFFGVQLLLFNGGPAMLRAPSGAGMLLLSFPLRAGFSSYCRPPIDFISSAQLPSQRELWALLDQGFEAARRRGIQYLLHASHWHVALVFGLSAQVYCFYHHKCQKPLLQPLRISVSLVSSFENFQSAFCGWSCELVVLVWFFVDVKLLCSVLLTLGGT >scaffold_104400.1 pep chromosome:v.1.0:1:24298546:24300822:-1 gene:scaffold_104400.1 transcript:scaffold_104400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKERRSLLVSMVIVVFFFNFHHLQVMSCPDPATTNCTDQDRKLLEFPLNLEYLEAEFFLFGALGFGLDKVAPNLTMGGPSPIGAQKANLDPLTRDIILQFAWQEVGHLRAIKKTVKGFARPQLDLSKKAFAKVMDKAFGVKFVPPFNPYANSYNYLIASYLVPYVGLTGYVGANPKLQCPASRKLVAGLLGVESGQDAVIRGMLYARAAHIVYPYGVTVAAFTDKISDLRNKLGKAGVKDEGLVVPKFMGAEGQVIGNVLVGNEFSLSFDRTPEEILRIVYGSGNESVPGGFYPKGADGEIAKSYLVTVGSE >scaffold_104403.1 pep chromosome:v.1.0:1:24332684:24333257:-1 gene:scaffold_104403.1 transcript:scaffold_104403.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7KC05] MAANLRNNAFLSSLMFLLLIGSSYAITSSEMSTICDKTLNPSFCLKFLNTKFASPNLQALAKTTLDATQARATQTFKKLQSIIDGGVDPRSKLAYRSCLDEYESAIGNLEEAFEHLASGDGMGMNMKVSAALDGADTCLDDVKRLRSVDYSVVNNSKAIKNLCGIALVISNMLPRN >scaffold_104406.1 pep chromosome:v.1.0:1:24339362:24342851:1 gene:scaffold_104406.1 transcript:scaffold_104406.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase 2 subunit KU80 [Source:UniProtKB/TrEMBL;Acc:D7KC08] MARNREGLVLVLDVGPAMHSVLPDVEKACSMLLQKKLIYNKYDEVGIVVFGTEETGNELAREIGGYENVTVLRNIRVVDEVVAEHVKQLPRGTVAGDFLDALIVGMDMLIKMYGNAQKGKKRLCLITNAACPTKDPFEGTKDDQVSTIAMKMAAEGIKMESIVMRSNLSGDAHERVIEENDHLLNLFSSNAIAKTVNVESPLSLLGSLKTRRVAPVTLFRGDLEINPTMKIKVWVYKKVAEERLPTLKMYSDKAPPTDKFAKHEVKIDYDYKVTAESTEVIAPEERIKGFRYGRQVIPISPDQIETLKFKTDKGMKLLGFTEASNILRHYYMKDVNIVVPDPSKEKSVLAVSAIAREMKQTNMVAIVRCVWRNGQGNAVVGVLTPNVSERDDTPDSFYFNVLPFAEDVREFPFPSFNKLPSSWKPDEQQQAVADNLVKMLDLAPSAKEEVLKPDLTPNPVLQRFYEYLELKSKSTDSALPPMDETFKRLIEQDPELISNNKSIMDSFRGSFEVKENPKLKKASKRLLRDKPSGSDDEDNRMITYEPNEKKIDVVGDANPIQDFEAMISRRDKTDWTEKAITQMKNLIMKLVENCTDEGDKALECVLALRKGCVLEQEPKQFNEFLNHLFKVCQERKLSHLLEHFTSKKITLIPKSEAADSDVVDENSGDFTVKQEPMIES >scaffold_104409.1 pep chromosome:v.1.0:1:24361508:24362081:1 gene:scaffold_104409.1 transcript:scaffold_104409.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7KC11] MAANLRNNAFLSSLMFLLLIGSSYAITSSEMSTICDKTLNPSFCLKFLNTKFASPNLQALAKTTLDATQARATQTFKKLQSIIDGGVDPRSKLAYRSCLDEYESAIGNLEEAFEHLASGDGMGMNMKVSAALDGADTCLDDVKRLRSVDASVVNNSKAIKNLCGIALVISNMLPRN >scaffold_104415.1 pep chromosome:v.1.0:1:24420795:24423521:1 gene:scaffold_104415.1 transcript:scaffold_104415.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7KC16] MRSLSGILVIYIISTVLFGHFTYVAQGRYHYHKSRHGRTHPLPPPPPPPLETANPPDQVPSDPYPNPNPAPGDSDSCVFDVTSFGAVGDGSCNDTVAFQNAWKAACAVESGVVLAPEGGVFKITSTIFSGPCKPGLVFQLDGVLMPPDGPEEWPEKDDKNQWLVFYRLDGITFSGKGTVEGNGQKWWDLPCKPHRGPDGSSSSGPCASPTMIRFFMSNNIEVKGLRIQNSPQFHMKFDGCQGVLINEIQISSPKLSPNTDGIHLGNTRSVGIYNSVVSNGDDCISIGTGCSDVDIQGVTCGPSHGISIGSLGVHNSQACVSNITVRNTVIRDSDNGLRVKTWQGGTGSVSNLLFENIQMENVLNCIIVDQYYCQSKDCRNETSAVKVFDVEYRNIKGTYDVRSPPIHFACSDTVACTNITMSEVELLPEEGELVDDPFCWNAYGTQETLTIPPIDCLLGGSPVVEEAYDSNYSC >scaffold_104419.1 pep chromosome:v.1.0:1:24439342:24441351:1 gene:scaffold_104419.1 transcript:scaffold_104419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARVTSSPPRLADFRYSTGIFEKYTRSSEFEIVSTPVICGHGVKESGAMVISDSDITVIVKLIKIRSNVPD >scaffold_104426.1 pep chromosome:v.1.0:1:24461034:24461322:1 gene:scaffold_104426.1 transcript:scaffold_104426.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7KC26] MSDGNFPERPGEPECSYYLRTGNCYLKQNCKYHHPKNITPREPPCPLNDKGLPLRPDQAICPHYSRFGICKSGPTCKFDHST >scaffold_104428.1 pep chromosome:v.1.0:1:24462692:24462902:1 gene:scaffold_104428.1 transcript:scaffold_104428.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KC28] MKNCVDGDGGEVFDTTAPRESSRFRFSTTKGCFGDMNVIVIQLKGYFRYFRVLPSK >scaffold_104431.1 pep chromosome:v.1.0:1:24474053:24474242:1 gene:scaffold_104431.1 transcript:scaffold_104431.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KC30] MHTVASSLPVSDSLHLLHRFAIDAFIIFFIGKFLGVIGKSFVIYYGVRI >scaffold_104434.1 pep chromosome:v.1.0:1:24484620:24487512:-1 gene:scaffold_104434.1 transcript:scaffold_104434.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7KC33] MVIKGMLVGKYELGRTLGEGNSAKVKFAIDTISGEPFAIKIIDKSRITRINVSFQIKREIRTLKVLKHPNIVRLHEVLASKTKIYMVLECVTGGDLFDRIVSKGKLSETEGLKMFQQLIDGISYCHNKGVFHRDLKLENVLLDAKGHIKITDFGLSALPQHFREDGLLHTTCGSPNYVAPEVLANKGYDGAASDIWSCGVILYVILTGCLPFDDANLAVICRKIFKGDPPIPRWISPGAKTMIKRMLDPNPVTRMTIANIKANDWFKHDYTPSKYDDDDDAYLIQEDGSEEEKSPDSPTIINAFRLIGMSSFLDLSGFFEKEKVSERQIRFTSNSLAIDLLEKIETIFTEMGFCLQKKHARLKAIKEERTQKGQCGLSVTAEVFEISPSLNVVELRKSHGDLSLYKQLYERLLNELGSSSQVQELLT >scaffold_104436.1 pep chromosome:v.1.0:1:24494153:24496113:-1 gene:scaffold_104436.1 transcript:scaffold_104436.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein-coupled receptor 1 [Source:UniProtKB/TrEMBL;Acc:D7KC35] MSAVLTAGGGLTAGDRSIITAINTGASSLSFVGSAFIVLCYCLFKELRKFSFKLVFYLALSDMLCSFFLIVGDPSKGFICYAQGYTTHFFCVASFLWTTTIAFTLHRTVVKHKTDVEDLEAMFHLYVWGTSLVVTVIRSFGNNHSHLGPWCWTQSGLKGKAVHFLTFYAPLWGAILYNGFTYFQVIRMLRNARRMAVGMSDRVDQFDNRAELKVLNRWGYYPLILIGSWAFGTINRIHDFIEPGHKIFWLSVLDVGTAALMGLFNSIAYGFNSSVRRAIHERLELFLPERIYRWLPSNFRPKSHLILHQQQQQRSEMVSLKTEDQQ >scaffold_104438.1 pep chromosome:v.1.0:1:24505115:24505398:1 gene:scaffold_104438.1 transcript:scaffold_104438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVVGMCVFVVTVTRFQATSHNLEMFMHLEVNTEVYQFAVGDKFTLSCLICFGYIHTLLTSMAVLSCFVSVFDFILVHM >scaffold_104440.1 pep chromosome:v.1.0:1:24510258:24515423:-1 gene:scaffold_104440.1 transcript:scaffold_104440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KCZ6] MDDWDDLTVEEMDAIEKEALQRINQQRNSSSSSSLPIPNEVHASSQGARILPSTLAPKPNTDAGFKPQEQKVSVKIFLHHSGVLAAKFPYNQVVVDAVRKIPKAIWNAKERLWTFPHSSLSSAENILREISSVKVEIENLDPLVQRAIASASRGPDLRHLYEKIPSHIEPKLLPFQREGIEFILQHGGRVLLADEMGLGKTLQAIAVTTCVHESWPVLIIAPSSLRLHWATMIHQWLHVPPSDIVVVLPQPGGSNKCGYTIVSSNTKGTIHLDGVFNIVSYDVVTKLDKLLMALDFKVVIADESHYLKNAQAKRTSACLPVIKKAQYAILLSGTPALSRPIELFKQLEALYPDVYRNVHEYGSRYCKGGFFGAYQGASNHEELHNLMKATVMIRRLKKDVLTELPSKRRQQVFLDLAEKDMKQINALFHELRVVKSKIKDCVSEDDIKSLKFTEKNLINKIYTDSAGAKIPAVLDYLGTVLEAGCKFLVFAHHQSMLDAIHQFLKKKKVGCIRIDGSTPASSRQALVSDFQDKDEIKAAVLSIRAAGVGITLTAASTVIFAELAWTPGDLIQAEDRAHRIGQVSSVNIHYLLANDTVDDIIWDVVQSKLDNLGQMLDGQENALEVSSSHMMSSPTKPRNSPTKQQTLEPFLKRCKKLDDDTEEHQPRPKVPRH >scaffold_104449.1 pep chromosome:v.1.0:1:24561344:24562639:1 gene:scaffold_104449.1 transcript:scaffold_104449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSFLTADLISPSHHKGFQKIKGGVESISSLPDVILQHILSFISTKLATSLLSKRWRHVWCDIPSISFDEDNDNVTLKFASINKTLITLYKAPKIIKFTPQNHREAECSPHRQLDRVRHVPQRGEHIVAPHIHYLRLINSQLSSTFVAGSSLAEAKLDLFFVSTIPTFQDDFLQLQVMALTMLEKLQNILSLAEVRGVPFPMLKVKYLTLETVIFRYVIPGIERVLQNSPDLKQLTVHAKNCNNAIRVYIYH >scaffold_104459.1 pep chromosome:v.1.0:1:24613413:24613613:1 gene:scaffold_104459.1 transcript:scaffold_104459.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD14] MVMVFNFNEINKTKKTSLDLAWDRSSHFYVRISAFTSSKLARNLCYRQLETY >scaffold_104460.1 pep chromosome:v.1.0:1:24618678:24619396:1 gene:scaffold_104460.1 transcript:scaffold_104460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD16] MTATFVEASSGRLLLLTHATLREETIPFSITITFSVSLGGSSVSSPSLPSVSSMDASPEPFKPPTPYVGSVSRSASRANSVLSIVVSFGVSFSLSESSSMSAVPFRLSIFDAYVGGSIRSTSISAVPFGVSNSETFVGGSSPTVSSLRRLSVDSYTKPSPSLTRAITTVDMILKGLLSGDEHSVNFTPTAMNSTKQHSHGDELDEISLRFHEFEKIFVDFRFGKK >scaffold_104462.1 pep chromosome:v.1.0:1:24621998:24624239:1 gene:scaffold_104462.1 transcript:scaffold_104462.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:D7KD18] MTSPLSDLLNLDLSDTKKIIAEYIWIGGSGMDIRSKARTLPGPVSDPTKLPKWNYDGSSTDQAAGDDSEVILYPQAIFKDPFRKGNNILVMCDAYTPAGDPIPTNNRNKAVKIFDHPNVKAEEPWFGIEQEYTLLKKDVKWPLGWPLGGFPGPQGPYYCAVGADKAFGRDIVDAHYKACLYSGLSIGGANGEVMPGQWEFQISPTVGIGAGDQLWVARYILERITEICGVIVSFDPKPIQGDWNGAAAHTNFSTKSMRKDGGLDLIKEAIKKLEVKHKQHIAAYGEGNERRLTGKHETADINTFSWGVANRGASVRVGRDTEKEGKGYFEDRRPSSNMDPYLVTSMIAETTIL >scaffold_104463.1 pep chromosome:v.1.0:1:24627168:24629177:1 gene:scaffold_104463.1 transcript:scaffold_104463.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD19] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTIFKCLKLQLNQSAIRHVNDFERKAFVLFRLDLWKHVIVSSARKQMSYHCCCGSLVLSFLFMALLRLYEGTRNKETICMILYAKRSSKFEIVSTPVICGHGVKESGAMVISDSDITVIVKLIKIRSNVPD >scaffold_104464.1 pep chromosome:v.1.0:1:24633860:24636187:1 gene:scaffold_104464.1 transcript:scaffold_104464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFFPNSSMAILSVFLSLLLLSFPLPSSQDLNADRAALLSLRSAVGGRTFRWNIKQTSPCNWAGVKCESNRVTALRLPGVALSGDIPEGIFGNLTQLRTLSLRLNALSGSLPKDLSTSSSLRHLYLQGNRFSGEIPEVLFSLTHLVRLNLASNSFTGEISSGFTNLRKLKTLFLENNQLSGSIPDLDLPLVQFNVSNNSLNGSIPKSLQRFESDSFLQTSLCGKPLKLCPNEETVPSQPTSGGNRTPPSVEESKEKKKKNKLSGGAIAGIVIGCVVGFALIVLILMVLCRKKGKERSRAVDISTIKQQETEIPGDKEAVDNGNVYSVSAAAAAAMTGNGKASEGNGPATKKLVFFGNATKVFDLEDLLRASAEVLGKGTFGTAYKAVLDAVTVVAVKRLKDVMMADKEFKEKIELVGAMDHENLVPLRAYYFSRDEKLLVYDFMPMGSLSALLHGNRGAGRSPLNWDVRSRIAIGAGRGLAYLHSQGTSTSHGNIKSSNILLTKSHDAKVSDFGLAQLVGSSATNPNRATGYRAPEVTDPKRVSQKGDVYSFGVVLLELITGKAPSNSVMNEEGVDLPRWVKSVARDEWRREVFDSELLSLAREEEEMMAEMVQLGLECTSQHPDKRPEMSEVVRKMENLRPYSGSDQVDEAD >scaffold_104468.1 pep chromosome:v.1.0:1:24658606:24660619:1 gene:scaffold_104468.1 transcript:scaffold_104468.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:D7KD24] MASGKTPGLTQEANGVAINRQNDNDVFDDMKQRFLAFKKDNLEHYKNLADAQAPKCKQQFLVIACADSRVCPSTVLGFQPGDAFTVRNIANLVPSYESGPTETKAALEFSVNTLNSYQDTECGAVNASNGPINTHVYAVFSLVRLLEHRRRLPLFPMHGEDHLNGDSGAIWKYGQSNDRDCFSRDSCASLELRMN >scaffold_104469.1 pep chromosome:v.1.0:1:24678917:24681043:1 gene:scaffold_104469.1 transcript:scaffold_104469.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SURF1-like protein [Source:UniProtKB/TrEMBL;Acc:D7KD25] MSSSTTSNLPATSNLETQLLSSVPPPAKKKRGSALLWYLVGFTTYGLGETYKFLQTDLYKEHLDFRRQCLETKPMKLNTMKNVDELGFRRVVYKGVYDEQRSIYVGPKPRSMSKGSEDGFYVSTPLLPIPNEPNRHWSSLTCFIKASSILMRKLTKSDPIGIEPILITIRILVYICTKILWHLSSLTCFIKASSIFDTKLTKSVPIGIEPILIPICILVYICTKIYSLRNLFCKIDTIGVGCLTKLELGKDMPNEVHNEG >scaffold_104470.1 pep chromosome:v.1.0:1:24682216:24687163:1 gene:scaffold_104470.1 transcript:scaffold_104470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KD26] MAIVTGDRYVEKLEKFLEDEAESLLEETMILKLNPAGLHYLHLRLESLRELERMLSGAPVDYLRAYVSDLGDYRALEQLRRILRILTSLKVVSTLPSPARDPTPLSLIPFGRLKVLELRRCDLSTSPAKGLLELRHTLENIICHNSTDALRHVFASRIAEITNSPEWNKLAVISCACNRLVLMDESLQLLPAAESLDLSRNKFVKVDNLRRCTKLKHLDLGFNHLRTVSYLSQVSCHLVKLVLRNNALTTLRGIENLKSLEGLDVSYNIISNFSELEFLWSLSVLKELWLEGNPVCCARWYRAHVFSYIALPDELKLDGKQIGTREFWKRQIIVAHRQSEPASYGFYSPAREEANEEGSWNRKKKKICRLASIDSEAERTYVNSDYESASCDHENKENLKCDQEADIFGLISKVENLKKERSVLWLREFKEWMDHSTEDFADVSKDGQGINLEKKYYTKIKQISRHHGGTPRYASGSLRASRAKGYRKSLDCNGSCVDHKAGMDYIEYVEGNESQKITDDISSLSLQSTDLNQKHQECLHHEVESLSVEPNNLLPTTLAREKLAENGNMSTLDVTQHMTGSYPGSPPHYQKDVLYRRHNLVEEILQLSADSYSVASSDSTSSCSEDDNYDSESEYSNHKEGQLTDLLNVNKLGKEILECGSKGTSFLDLQPENGSTIKTLRTDESRKENTANFLSGLHNGEHVVNQTDRLVVKRKPIKRFVSFQKEESCITNGEISLRSDAEISDSGEDECISDNFWDNSLSTVCSSSSNRSIKFLGTDRTLEEKGDLVEEYFSAKLSDSSSQETCRTYMNCDLILQKGSTYKQREAVLLLTSQDKLYVLLVGVATDYEGSTLSVLCSHEIKDLQDVSVGLGLQFVRLRFLEDVEYIFVTKCIEKTTELLNITQVFDSQATEYKCYLQSLENIQVDLFEKEICGGLKQSIFQYNVLHFQSTTRGEVSWLLRSLFVAGRRLFICNEDFKQLSSRTAYSSSAPYFLLDSCCSISDISETIIDSQGSVVSLKIKEKRTMDLVTWKLKWCSIENALKFAALLKALHPNSPQWPLAVRHRR >scaffold_104474.1 pep chromosome:v.1.0:1:24694713:24696810:-1 gene:scaffold_104474.1 transcript:scaffold_104474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQAEVDQWEALDLGDSELPSFLRPCKRKSPSRSLQPPAQQQNPKAGFNSNANHLQTLRRCSSSDHFLEDSYSRSLIPGPAGVVQVAIRRKMNKDPNSFNEHGEPIPTQEFLRKAVEEPDWEDKDFSEDPWVSAVDYIRSEGLLSNGGNAIGTPVSEIKSRCDSWGKVDQVVAIVKTCTPNGLGDVMVTLKDPTGTIDASVHRKVISESEFGRDIRVGAVVILKQVAVCAPSRLSTYLNITLKNISKVVFVWLHLLLFIGIDENFLMLDSFHFQVITNDTPVLPKPNDSETSAKNPVPVNENEEYLRLQPKAFTVEQGTTQGIMNNLRQNVKGSSEALNDVEMVDLNPAEGSNVCPKKGVTKNQCEVRMEQTLLGKHDSISQPEQQLYEDVASETDTADGIRPAKQIRRSREPHIDEQENIMGNDEVTTRTTVHKSQSMASTISLPQWTDEQLEELFAFD >scaffold_104475.1 pep chromosome:v.1.0:1:24699354:24700620:1 gene:scaffold_104475.1 transcript:scaffold_104475.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KD31] MKPSLMDSLLGLLRIRIKRGVNLAVRDLNSSDPYVVVKMGKQKMKTRVIYKDVNPEWNEDLTLSVSDPNLTVLLTVYDYDTFTKDDKMGDAEFVIKPFVNALKMHLHDLPSGTIVTTVQPSRDNCLAEESRVIWSDGKLVQDIVLRLRHVECGEVEAQLQWIDLPGSKGL >scaffold_104485.1 pep chromosome:v.1.0:1:24726452:24732019:-1 gene:scaffold_104485.1 transcript:scaffold_104485.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KD38] MHFYSAIFRGHIRPNAVVGAASIFIQHNSVQLHRSPKLLLKPSSVVRSLHCRRSGGLVTHSQRSRVLSVKAGRGDASSSTLGLEWRAANLPYFQRQNSGYGRIAYNDYESSDESDRDVGSSQSQQMAGSTLDNIDQWRLKLTMLLRNKEDQEVVSRERKDRRDFDHISAMATRMGLHSRQYSKIVVISKAPLPNYRPDLDDKRPQREVVLPFGLQSEVDTHLHAFLDQKKTLLPEMSRPNSNGSLATDYGNYEKPETVMQNSLARERILRPRSLQLRSKQQQWVDSPEGQKMVEFRKTLPAYKEKDALLKAIAANQVVVVSGETGCGKTTQLPQYILESEIEAARGASCSIICTQPRRISAISVSERVAAERGEQIGDSVGYKVRLEGMTGRDTRLLFCTTGVLLRRLLVDRSLKGVTHVVVDEIHERGMNEDFLLIVLKDLLPRRPDLKLILMSATLNAELFSSYFGGAPAMHIPGFTYPVRAHFLEDYLETSGYRLTTYNQIDDYGEEKTWKMQKQAQFKKRKSPISSAVEDALEAADFKGYNFRTRDSLSCWSPDSIGFNLIENVLCHIVKGERPGAVLVFMTGWDDINSLKNQLEAHSLLGDPNKVLLLACHGSMASSEQRLIFDRPPEGIRKIVLATNMAETSITINDVVYVIDCGKAKETSYDALNNTPCLLPSWISKAAARQRRGRAGRVMPGECYHLYPRCVYDAFADYQQPELLRTPLQSLCLQIKSLGLGSISEFLSRALQPPEALSVQNAVEYLKIIGALDDDENLTALGKNLSMLPVEPKLGKMLILGAIFNCLDPVMTVVAGLSVRDPFLMPFDKKDLAETARSKFSGRDYSDHLTLVRAYSGWKDAERTHSGYEYCWKNFLSSQTLKAMDSMRKQFFNLLKEASLIDNIEGCSKLSHDEHLVRAIICAGLFPGICSVVNKEKSITLKTMEDGQVLLYSSSVNGNVPRIPFPWLVFNDKVKVNSVFLRDSTAVSDSVLLLFGDKISSGGFDGHLKMLGGYLEFFMKPTLAYTYLSLKRELDELIQNKLVNPKLDIQLYDKLMTAIRLLVSEDQCEGRFVYGRKALSPTPTKKLKEVGTQLQNSGGENNKNQLQTLLARAGHGSPVYKTRQLKNNQFRAMVTFNGLDFMGKPCGSKKNAEKDAAHEALLWLQGESKSSLNDLNHMSILLKKNKSKKTAQASTKWG >scaffold_104486.1 pep chromosome:v.1.0:1:24740529:24742509:1 gene:scaffold_104486.1 transcript:scaffold_104486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMCDPYTPAGVPIPTNKRHNAAKIFRHPSLPPRSLVRKIHINWTIATKKNRITGSILSKEIKPLISTVFEGKDANVIAHGARNCGKTHLIHVLKAIPLRELGLTVLTMSEMLSMAVSVSVSVYEVSQETVYNLLDQEKRVVAVLEGAQGKIQLKGLPQVPMKSLSEFHKGVMIHVTTGNANPGSLGRMNFLDMADSRKQNSALAPLEIARVNTLIYALQNVMYALNGNESHVPYRESKLTRMLKDCLKGCNRRSSQICLGSNRAMTNPTKKKINGLERSISLCSAAQRKQTPLTVSATSRKQTVLRENVTERKD >scaffold_104488.1 pep chromosome:v.1.0:1:24745185:24745611:-1 gene:scaffold_104488.1 transcript:scaffold_104488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVFVLLQQSLEITSCSSVSSSQTGFLGASVVFALLQRSLGITSCSSVSFFKVWNLVFSRIYPPQRLFCSWVELLSGTWRSSSVETLK >scaffold_104494.1 pep chromosome:v.1.0:1:24779637:24779997:-1 gene:scaffold_104494.1 transcript:scaffold_104494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDGDETVEGGDSQSSDLLQMNTEAATTEMAIPRLNLFPNNNHISDSYDDMELEFSSSILRSLEKYLPVDMLTANREDKGKFMSDILGKYISREECSQVMTLSF >scaffold_104497.1 pep chromosome:v.1.0:1:24808668:24808868:-1 gene:scaffold_104497.1 transcript:scaffold_104497.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KD48] MEYGPVRLRSATAISDSDQRPATAYIVRLSVAGFSDRNQRLLLTAVVVLFV >scaffold_104507.1 pep chromosome:v.1.0:1:24879191:24880370:1 gene:scaffold_104507.1 transcript:scaffold_104507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSNFLSHLNRLLSCRSEEIMIPSVIIFFGRPTHLIVINMCFKTGYNYGLVSPVLGLLAYNSNPYGVAVNPYEINIIGTEQNSILIKFLSSVASGSPKPNTKKNSSLLCACFTSNGNITFREQVSASVFLGTRQGHYVLVIRAHDSSGRGSTLVTPPSSPAVNDGGGGKLAVGSVIGSMIGAFLLRLLVVAMVVKGKKKTMREEMERRAYEEEALPVSMVGHVRANPNASRTRTVPRFDNTRYSKSVTSNNNRKLGRSSFLI >scaffold_104513.1 pep chromosome:v.1.0:1:24895724:24898558:-1 gene:scaffold_104513.1 transcript:scaffold_104513.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate synthase [Source:UniProtKB/TrEMBL;Acc:D7KD60] MASSLTSKSILGSTKPGSSSLPSELRRLSSPAVQISIRTQTRKNLQIQATGSSYGTHFRVSTFGESHGGGVGCIIDGCPPRIPLTESDLQFDLDRRRPGQSRITTPRKETDTCRISSGVSEGMTTGTPIHVFVPNTDQRGLDYSEMSVAYRPSHADATYDMKYGVRSVQGGGRSSARETIGRVAPGALAKKILKQFAGTEILAYVSQVHHVVLPEDLVDHDNLTLEQIENNIVRCPNPEYAEKMIAAIDAVRTKGNSVGGVVTCIVRNAPRGLGTPVFDKLEAELAKACMSLPATKGFEFGSGFAGTFLTGLEHNDEFYTDENGRIRTRTNRSGGIQGGISNGEIINMRVAFKPTSTIGRKQNTVTRDKVETEMIARGRHDPCVVPRAVPMVEAMVALVLVDQLMAQYAQCHLFPINPELQEPLQIEQPQNATAL >scaffold_104522.1 pep chromosome:v.1.0:1:24974822:24977622:-1 gene:scaffold_104522.1 transcript:scaffold_104522.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 54 kDa protein [Source:UniProtKB/TrEMBL;Acc:D7KD74] MVLAELGGRITRAIQQMSNVTIIDEKALNECLNEITRALLQSDVSFPLVKEMQSNIKKIVNLEDLAAGHNKRRIIEQAIFSELCKMLDPGKPAFAPKKAKASVVMFVGLQGAGKTTTCTKYAYYHQKKGYKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYTESDPVKIAVEGVDTFKKENCDLIIVDTSGRHKQEATLFEEMRQVAEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFVDKLQEVVPKDQQPELLEKLSQGNFTLRIMYDLFQNILNMGPLKEVFSMLPGISAEMMPKGHEKESQAKIKRYMTMMDSMTNDELDSSNPKVFNESRMMRIARGSGRQVREVMEMLEEYKRLLAKIWSKMKGLKIPKNGDMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQSLMKQMGSGKDMMGMFGGGDK >scaffold_104523.1 pep chromosome:v.1.0:1:24979028:24981827:-1 gene:scaffold_104523.1 transcript:scaffold_104523.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 54 kDa protein [Source:UniProtKB/TrEMBL;Acc:D7KD74] MVLAELGGRITRAIQQMSNVTIIDEKALNECLNEITRALLQSDVSFPLVKEMQSNIKKIVNLEDLAAGHNKRRIIEQAIFSELCKMLDPGKPAFAPKKAKASVVMFVGLQGAGKTTTCTKYAYYHQKKGYKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYTESDPVKIAVEGVDTFKKENCDLIIVDTSGRHKQEATLFEEMRQVAEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFVDKLQEVVPKDQQPELLEKLSQGNFTLRIMYDLFQNILNMGPLKEVFSMLPGISAEMMPKGHEKESQAKIKRYMTMMDSMTNDELDSSNPKVFNESRMMRIARGSGRQVREVMEMLEEYKRLLAKIWSKMKGLKIPKNGDMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQSLMKQMGSGKDMMGMFGGGDK >scaffold_104525.1 pep chromosome:v.1.0:1:24993892:24994176:1 gene:scaffold_104525.1 transcript:scaffold_104525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAWHDAGTYDAKKKTGGPNGSIRFKKELNRPHNKGLEKAVAFCGEFMIKP >scaffold_104526.1 pep chromosome:v.1.0:1:25001319:25002035:-1 gene:scaffold_104526.1 transcript:scaffold_104526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHESLVEALPSENVGFNVRNVDVEDLKRGYVASNSKDDHAKGAANFTSQVIIKNHPSQISNGYAQWSATGYAPVLDCHTSHIVVKFSEILTKINRRSSRIIENEPKVLKNGDAATVKMTPTKLMVVEAFSKYPPLRRFTVRDMR >scaffold_104532.1 pep chromosome:v.1.0:1:25019157:25023038:-1 gene:scaffold_104532.1 transcript:scaffold_104532.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding protein [Source:UniProtKB/TrEMBL;Acc:D7KDY6] MDTRRGALAVPKVRRVGFFTSIEPPPESSQRPNRSQSGPVEATTSSSPLSNSPSGNLISPVLIPPSRHHSDNLTTRVAAAAPVPVPGPAAFRRYLAQDRSLLHVGSYNPPDSLLGTSTPSSNGEFSEDSASLFGFQRSDSTKLSASFPNGGFDLTLAVRAPQESETKIATASASEGKKKIKEYLAGKSESVTTKPQKEKEQKALKDKTTKAERRAIQEAQRAAKAAAKGEGSRRADESGRANPGKATKKPQPKKERLPVTSSVSEKTAVAVEKEKRMDVPQTLMQYDDRSRVDKAKRRAVVEQTESKNKVELFLHLPQYERGNQLPNLSSNFFTLDSIHHAVYKVGLQHLAGDISGDNARCIAMLQAFQEAIEDYITPPMKDLTMDLTTKINGYVSFLIECRPLSMSMGNAIRFLKNQIRKLPVNLSESEAKISLCSDIGRFIDEKIILADKAIVQHAVTKIRDGEVLLTYGFSCVVEMILLYAHEIGKKFRVVIVDSRPNLEGQKLLRRLVTRGLDCTYTHINAISYIMREATRVFLGASSIFSNGTLYARVGTACIAMVANAFSVPVIVCCEAYKFHERVLLDSICSNELGDPDAVANIPSFRTNAKHSKTINNNKNLQFLNLMYDSTPSEYISMIVSDYGMIPPTSIPVIVREYRREHLLL >scaffold_104534.1 pep chromosome:v.1.0:1:25035076:25035331:1 gene:scaffold_104534.1 transcript:scaffold_104534.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KDY8] MAVASNGRDRNWRICLSPFTEQKFYDSIRDRCFKRITENIPITQTIRYKLFSQFRFLYFKTKSSELGKLAM >scaffold_104537.1 pep chromosome:v.1.0:1:25058388:25059134:1 gene:scaffold_104537.1 transcript:scaffold_104537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLQGYLMTELSHSAVFDPRLAAKILNVVRVSHGGERGFNEAIELSSEVLANVKFIQEKRLIGKYLKEIEQDTGKYVVGLDDTLNALDSGAAETLIVWENLDINRYVLIRNSTTGETVLKFLNKEEESNTESFKWLADEYMRFGCALEFVTNKSEEGSKFCKGYGGIGAILRYQLDMSAFDSDDGEVIDEDDDYSD >scaffold_104540.1 pep chromosome:v.1.0:1:25069930:25071043:1 gene:scaffold_104540.1 transcript:scaffold_104540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVATWSREEEKAFENAIALHCVEEEITEDQWNKMASLVPSKALEEVKKHYQILLEDVKAIENGQVPLPRYHHRKGLIVDEAATSPANRDSHSSGSSEKKPNPGTSGISSSNGGRSGGSRAEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITTVNNQAPTVTGGQQQQQVVKHRPAQPQPQPQPQPQPHHPPTMAGLGMYGGAPVGQPIIAPPDHMGSAVGTPVMLPPPMGTHHHHHHHLGVAPYAVPAYPVPPLPQQHPAPSTMH >scaffold_104544.1 pep chromosome:v.1.0:1:25094287:25094818:1 gene:scaffold_104544.1 transcript:scaffold_104544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGVSRSVVVFDPIDKHHKVFRMNSVCYNETVHYIMTLGTEKLTWRKIQCRPLSYRDGHEGICINGVYYYFAVRTDKEYYETHVIVCFDVRSEIFKFIEVESFYNSQLMNYKGKLVAINLTYNDSGGRLHFELHTWVLEDVDEKHESSKCVNSNRVAYVGS >scaffold_104545.1 pep chromosome:v.1.0:1:25096325:25098787:-1 gene:scaffold_104545.1 transcript:scaffold_104545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYFHGVLCVSIITFAFIHVVQAQDQKDSYRYPDDLNDRRWFPFSYEEWTLVTTTLNVNTSNGFDLPQGAMASAATRVNDNGTWEFPWSLKDSTTRFHIYLHFAELQTLLANETREFNVLLNGKNYYGPFSPKMLSIDTMSPQPDSKLTCKGGSCLLQNLSGNNFSGQLPEKFIQKKGLKLNVEGNPKLLCTKGPCGNKHGVGGHPKKSIIVPIVSSVALIAVLIAALVLFLVLRKKNPSRSKGTNLWQTHKL >scaffold_104546.1 pep chromosome:v.1.0:1:25103587:25104192:-1 gene:scaffold_104546.1 transcript:scaffold_104546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIEKKRERFNMNSSVPESPPMKKSRETERISDDVHELNQVNTLESSNSMNSVLDWHDLEHMADLLNMFDVPDSSDGEVPGLESVVKSLEEEIISAPATEDKTSSSEDSMVKLVYLLEASDDELGLPPRFMASPSNGEEVVLEPIEYEVGEVYDLFDFAISGCGGWMDGVELDVFCVDVVEDTKLT >scaffold_104548.1 pep chromosome:v.1.0:1:25129839:25130055:-1 gene:scaffold_104548.1 transcript:scaffold_104548.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KE04] MKWKLKLISSKSEEQPTLFTSGDRSCYRLSSPPTLRFEIGDKFNRSSSFDSKSVTIGL >scaffold_104551.1 pep chromosome:v.1.0:1:25134608:25135733:-1 gene:scaffold_104551.1 transcript:scaffold_104551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWENQTDVKQQRACELCKNKHAVWYCASDDAFLCHVCDESVHSANHVATKHERVCLRTNEISNYVLRGTTSNPVWHSGFRRKARTPRVRCEKKPQEKIDDERRIEDPRVPEIGGEVMFFIPEPNDDDMTSLVPEFEGFTEMGFFLNNHNGTEETTKQFNFEDEIDAKEDLCYNGEDEEEVKTDGAEACPEQYLMSCKKDYDNVITVSAKTEEIEDCYENKARQRNMLLKLNYENVIAAWDKQESPINQTEFNNTSNLQLVPPLQGIEEKRVSNRSEREARVWRYRDKRKNRLFEKKIRYEVRKVNADKRPRIKGRFVRRSLAMDS >scaffold_104562.1 pep chromosome:v.1.0:1:25196586:25197066:1 gene:scaffold_104562.1 transcript:scaffold_104562.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:TAIR;Acc:AT1G49230](projected from arabidopsis_thaliana,AT1G49230) MSTTTSTLTMKPTEFFQEIIGSSYSRKLLFHTHDQSPTPAPSPYVGDNNFDANVVMVLSVLLCALVCSLGLNSIIRCALRCSNLVPSEAGDNHPVRLTNTGVKRKALKSFQTVSYSTELNLPGLDTECAICLSEFVPEERVKLLPXX >scaffold_104563.1 pep chromosome:v.1.0:1:25199466:25200130:1 gene:scaffold_104563.1 transcript:scaffold_104563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFVEVCRYKPVPLSLSSLCTCPCRSTQRKSLLLPHFSEKYLNLRLVDPKPLSHSRCNWISVNRRVITAVARAESNQIGDDGNSKEEHGRDQELQNVEEDSSFDSQEQKSRSQFKKRVV >scaffold_104564.1 pep chromosome:v.1.0:1:25200134:25200571:1 gene:scaffold_104564.1 transcript:scaffold_104564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLKFQGGCDIWMVRCVCSARDDDGERMIACDVCEIWQHTRCCGIDDTNTLPPLFVCSNCCEEFAEQQKKVLQPKYEFPSAENVFLIESGDDYFGGDERSLGMIFLEENFLLQNPFLDQTLWINQFFCK >scaffold_104569.1 pep chromosome:v.1.0:1:25258360:25259403:-1 gene:scaffold_104569.1 transcript:scaffold_104569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLYPSNNPSSSIVVTRDEFNAFHTIDRTLFSRLVFHLNRDVDQSFLAMCFLLLLEQSGYARDVIAYLVSLPDAFVDAVANEIGVCINLLYNLDFASTFFAASNDDNSIIPLLLSMTGGKLTLRLINQDREIFRVGVSKNWTDVGTRAFTDLCERAHMINREKLLALEREKFIEDMKRLRLSLQQENPNRLSVQQVKIASPPPPRPVEDETNKFHKEKEIMEAKEKEAVVAADDRTVFLTFSKGYPISEAEVRVYFTRRFGEVIEAVEMQEVEANEQPLFAKMVLKLQCASMMDQIVSARSRNKFTIDGKHVWARKYVRKIPYPASSSTHI >scaffold_104571.1 pep chromosome:v.1.0:1:25263607:25265163:1 gene:scaffold_104571.1 transcript:scaffold_104571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRTLLKVIILGDSGYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSAKSFEDLNNWREEFLIQV >scaffold_104584.1 pep chromosome:v.1.0:1:25361531:25361798:-1 gene:scaffold_104584.1 transcript:scaffold_104584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGEEVQSQITLNLPTHNGSAVSPLTKYALILSPIMEAINTRLIQANYKRRSVRISTGTGLVLGTILIVLVVTSY >scaffold_104585.1 pep chromosome:v.1.0:1:25367406:25367839:-1 gene:scaffold_104585.1 transcript:scaffold_104585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIEYSGDVGISSVHACFSTLNSLSGVGILAIPYALSSSGWISILFFFLIGVTTWYTGLLLRRCLTLDPMVLRSYPDLANKALGRKIGY >scaffold_104590.1 pep chromosome:v.1.0:1:25381919:25384261:1 gene:scaffold_104590.1 transcript:scaffold_104590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTRDAYTFENSCVVCEVSIGAIEIDREDGRTPDQLRPLACSRNILHRPHGSASWSQGDTKVLAAVYGPKPGTRKNENPEKACFEVIWKPKSGQIVFPNTTLSVLPEGSSLVEGEPVEDGIIKLVTHGVMSVDDYFWCVENGRAATASLSAFFRKNFQ >scaffold_104591.1 pep chromosome:v.1.0:1:25384722:25386499:-1 gene:scaffold_104591.1 transcript:scaffold_104591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVSVDFSKSHDRIPHPDPSLRRIHCAGTNLSSLWESFLITSQDDSVRCRHTSSPLGNGAIIETSDQKLIVLRRSNNVGEFPGHYVFPGGHPEPMAIGIDSHQLEKDGEVLNKKVTQEMFDSITREVVEETGIPASSLEGVENARPAMFFFIRCSHHSDDIQKLYSSAEDGFESTQLHTVSMDELKTMTSRMPGCHHGGFGLYELMLQGLKNSNETHLTST >scaffold_104592.1 pep chromosome:v.1.0:1:25387013:25387891:-1 gene:scaffold_104592.1 transcript:scaffold_104592.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KE40] MVNCPLCGQQSQIHPQHGSVYYAPCVVCNETYCAMCGAFPDHFPAWCADNTQWTQHYINRTNEVNEVLNAITDLNQRRLDMLDYREIIGHFLYDHHPHILYAVDEMRRILRILAMTRVIAFINPGLALLNSVEYTNLVDHFNALHAQLDLNIAKNGAFNLASTRWLAAGSVIQLIGQLQLRPVAEFHHAVDVPQLPGGIVNFSRNLTRLVKMWTLLALRRM >scaffold_104594.1 pep chromosome:v.1.0:1:25404531:25404958:-1 gene:scaffold_104594.1 transcript:scaffold_104594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLKTSSLLIVVISFLVIATNAQQDYVTAHNTPPYNYANSRKADCNLTHSTGSPYGENLAKGSSSTFTGVSAVNLWVAEKKYYNYTSNSCIGIPYTYTNSIEDISGYRI >scaffold_104598.1 pep chromosome:v.1.0:1:25426836:25427118:1 gene:scaffold_104598.1 transcript:scaffold_104598.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KE45] MGDSGDGRVLRLDAEDATMMDIGDKNRPPGDPGNSNICLNTLYCIWKNHDIKFTLHIEGGEMFFNKVSLMMSLWEIQLSA >scaffold_104599.1 pep chromosome:v.1.0:1:25433677:25433974:1 gene:scaffold_104599.1 transcript:scaffold_104599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGLAVVGTDAGGAKEIVQHNVTGLLHSMGRSETRLRLGSEGRKMVEKMYMKQHMYNRFVDVLIKCMRP >scaffold_104610.1 pep chromosome:v.1.0:1:25480053:25480259:-1 gene:scaffold_104610.1 transcript:scaffold_104610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGMFMRKPDKAVALKQLRTHVALFGGWVVIVRAVPYVLSYFSDSKDELKIDF >scaffold_104617.1 pep chromosome:v.1.0:1:25538235:25538696:-1 gene:scaffold_104617.1 transcript:scaffold_104617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESGYDRRSFGDERWKKASYDEAVLVFPREAMVAETETRSDLRVCAVTPLNFTRSESLLGADIVIEFFSGEHGDGEPFDGAMGTLAHASSPPTGMLHLDGDEDWLISDGEISRRVYR >scaffold_104619.1 pep chromosome:v.1.0:1:25565448:25565804:-1 gene:scaffold_104619.1 transcript:scaffold_104619.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEW1] MRSSPPPINSSSISNPGLAISSHHPRLCLSPPSNPDLDAVFFTNPLRFHSSVLGYSIENFDFVLFRSNPGSFDHESKLLYANMLNAQIFCRFLCNVAAGNGKRL >scaffold_104631.1 pep chromosome:v.1.0:1:25605238:25606244:1 gene:scaffold_104631.1 transcript:scaffold_104631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCDWLLVFNKRKRKTLVFSCRNAVDANTPESYPILSFDPYQRVHARFWADFIDKKICTMQNIKIFQTLDQRSQELSSIWALMPSLSPKT >scaffold_104632.1 pep chromosome:v.1.0:1:25611368:25611662:1 gene:scaffold_104632.1 transcript:scaffold_104632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSGVLTEWPWSPLGGFKYLLVAPLKMASIHSYVTAEEEEKDLARLMIVALMLWIVYSQIWISVSRHTQDGQGKEEDRGQAY >scaffold_104635.1 pep chromosome:v.1.0:1:25636553:25637335:1 gene:scaffold_104635.1 transcript:scaffold_104635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSGVLTEWPWSPLGGFKYLLVAPLKMASIHSYVTAEEEEKDLARLMIVTAKGKKKIVDKPIEFEQVDRGPWDDQIIFNTLFMFLVNNKLSGCSRIPLWRLDEAILMSHDYTSCWSRLVLILVVPQSSPPALPDLLSLPFSPPLLHRHRAHHNLAAVVHPFAEHIAYSLLFAILIVTASLCGILSIVTFVAYVTYIQARLT >scaffold_104637.1 pep chromosome:v.1.0:1:25640878:25641703:1 gene:scaffold_104637.1 transcript:scaffold_104637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNMLKVPLAPPHKIIEVAILEADEKGVRVMSLGLMNNLKAKEGTIFIPFSQFPPNKLREDCFYHSTPAMLVPKSAQNIDSCENWLGRRVMSGWRIVGIVHALEGLGGA >scaffold_104641.1 pep chromosome:v.1.0:1:25661936:25662842:-1 gene:scaffold_104641.1 transcript:scaffold_104641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSAQNQFNKRARLFEDSQDKDAKVIYPSNPESTEPVNKGYGGSTAIQSFFKESKAEETPKVLKKRGRKKKNPNPEEVNSSTPGGDDSENRSKFYESASARKRTVTAEERERAVNAAKTFEPTNPYFRVVLRPSYLYRGCIMYLPSGFAEKYLSGISGFIKLQLGEKQWPVRCLYKAGRAKFSQGWYEFTLENNIGEGDVCVFELLRTRDFILKVTAFRVNEYV >scaffold_104642.1 pep chromosome:v.1.0:1:25669725:25669915:-1 gene:scaffold_104642.1 transcript:scaffold_104642.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCU5] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_104643.1 pep chromosome:v.1.0:1:25688275:25689078:-1 gene:scaffold_104643.1 transcript:scaffold_104643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMKVESPALMTSSEADVAVEESHDCFRFVAETDGGGGGGVGAYMVEIHPGVVKILVRTNGSSSLGLSLDELELDVWRFRLPESTRPDLVTVDCDGDGELIITVPKIEDISRDLIVLVH >scaffold_104646.1 pep chromosome:v.1.0:1:25700312:25701369:1 gene:scaffold_104646.1 transcript:scaffold_104646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRYTLVLSAMRLIRPSNRRHLTSIASPDSEFISYMNNKAKSINKALDDSIPLCNNSVPLWKPVLEVREAMRYTLLSGGKRVRPMLCLVACELVGGQESTAMPAACAVEMIHASSLILDDLPCMDDDSLRRGKPTNHKVFGEKTAILAANALMSLAVEQTLASTSLGVTSERVLRAVQEMARAVGIEGLVAGQAADLAGGRMSFETEDEGLRYLEFIHIHKTAVLVEAAAVVGAIMGGGSDEEIERLKSYARCVGLMFQVMDDVLDETKSSEELGKTAGKDLITGKLTYPKVMGVENAREYAKRLNREAQEHLQGFDSDKVVPLLSLADYIVKRQN >scaffold_104647.1 pep chromosome:v.1.0:1:25701574:25705275:-1 gene:scaffold_104647.1 transcript:scaffold_104647.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide binding protein [Source:UniProtKB/TrEMBL;Acc:D7KEY3] MSLENTKVEAKRVFIGAGCNRVVNNVSWGASGLVSFGAQNAVAVFCPKTAQILTTLPGHKASVNCTHWLPTSKFAFKAKNLDRHYLLSGDSDGIIILWELSALHNNWRHVLQLPLSHKKGVTCITAYMVSETDAMFASASSDGVVNVWDVSFPSQSSEECKVVCLDSICVDTKAIVTLSLAELPQNPGRFALALGGLDNKIKLYCGERTGKFTSVCELKGHTDWIRSLDFSLPLHSTEETANSIMLVSSSQDKVIRIWKLVLVGDVGSWRREITLASYIEGPVFVSGTFTYQISVESVLIGHEDWVYSVEWQPPVIDSIDGLLVNHQPLSILSASMDKTMMIWRPEKKTGVWVNVVCVGELSHCALGFYGGHWSHNGQSILAHGYGGSFHLWRNVSSSEESENWQMQKVPSGHFAAVTDVTWARTGEYLLSVSHDQTTRVFSSWKNDEGNEAEDEHWHELARPQVHGHDINCVAMVQGKGNHRFVSGAEEKVVRVFEAPLSFLKTLNHTCAGGEGSFPEDLQADVQVLGANMSALGLSQKPIYLHSSSEPLVRNGGGEGLDTFETVPEAAPAELKEPPIEDQLAFHTLWPESHKLYGHGNELFSLCCDHKGKLVASSCKAQSASMAEIWLWEVGTWKAVGRLQSHSLTVTHLEFSYDDTLLLSVSRDRHFSVFSIQRTDNGDVSHKLMAKVEAHKRIIWACSWNPFGHQFATSSRDKTVKIWSIENDARIKQILALPQFGSSVTAVAWTGLDHKEKSGCVAVGMESGLIELWNIKIIEKEEGTTATAALALRLEPFMCHVSAVNRLAWRPTEKCESNQRLLTLTSCGDDNCVRVFNFKF >scaffold_104649.1 pep chromosome:v.1.0:1:25716607:25717815:1 gene:scaffold_104649.1 transcript:scaffold_104649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLICAAISSVAYLVLTAFLLTALVTEELVFPACMIPLLLCAGFRIYSAIFLFSLSFFLYEFTTRVR >scaffold_104657.1 pep chromosome:v.1.0:1:25757270:25757465:-1 gene:scaffold_104657.1 transcript:scaffold_104657.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEZ3] MSIKCVRSHHIIVNSFLLSPHKCPFTCGALNAEASEVNFFAYARLMVFP >scaffold_104660.1 pep chromosome:v.1.0:1:25761719:25762202:1 gene:scaffold_104660.1 transcript:scaffold_104660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDQGFLCDCGKPATIRQAWTDANPGRRFYRCGAAWRSVCDFFQWRDLEKPHGWQKTALLEARDVIRAQKETIKLLQEAAKEEPKTEADIAKEEGESSIEKLEKENIILRSELQASHQAEQTLRHFVLISWVGFICVVATVFHGLR >scaffold_104664.1 pep chromosome:v.1.0:1:25771489:25771804:1 gene:scaffold_104664.1 transcript:scaffold_104664.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEZ8] MEDLEPLINSTVEILLLGLAYSGWPVCVPVLSGVLLLISPVHGEAGNGVVTERRRRRDSKRRVFFAVFGFLLKQRKNAVVSVTTFFHSG >scaffold_104665.1 pep chromosome:v.1.0:1:25773533:25780712:-1 gene:scaffold_104665.1 transcript:scaffold_104665.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPREP2 [Source:UniProtKB/TrEMBL;Acc:D7KEZ9] MLRSITCSSSLASTSLFFRFFRQSPRSYFSPSLSSTAVGRNIRRLSTPEAAGSRFFLPRDFKLRSGASRGLNGQFSRLSIRAVATQSAPSSIPGQDEAEKLGFEKVSEEFISECKSKAVLFRHKKTGCEVMSVSNDDENKVFGIVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVDDVHTFQQEGWHYELNNLSEDISYKGVVFNEMKGVYSQPDNILGRITQQALCPENTYGVDSGGDPKDIPNLTFEEFKEFHRQYYHPNNARIWFYGDDDPGHRLCVLSEYLNMFDASPARDTSKVEPQKLFSRPRRIVEKYPAGEDGDLKKKRMVCLNWLLSDKPLDLQTQLALGFLDHLMLGTPASPLRKILLGSGLGEALVNSGMEDELLQPQFSIGLKGVSDDNVQKVEELVMNTLRNLADEGFDTDAVEASMNSIEFSLRENNTGSFPRGLSLMLQSIAKWIYDMDPFEPLKYEEPLKSLKARIAEKGSKAVFSPLIEEFILNNPHCVTIEMQPDPEKASQEEAEEKIILEKVKASMTEEDLAELARATEELKLKQETPDPPEALKCVPSLNLSDIPKEPIYVPTEVGDINGVKVLRHDLFTNDILYTEVVFDMGSLKHELLQLIPLFCQSLLEMGTQDLTFVQLNQLIGRKTGGILVYPLTSSVYGRDDPCSKIIVRGKSMVGRAEDLFNLMNCVLQEVRFTDKQRFKQFVSQSRARMENRLRGSGQGIAAARMDAMLNVAGWMSEQMGGLSYLEFLHTLEQKVDQDWEGISSSLEEIRRSFLSRNCCIVNMTADGKSLTNTEKYVGKFLDLLPENPSGGLVTWDARLPLRNEGIVIPTQVNYVGKAGNIYSSGYELDGSSYVISKHISNTWLWDRVRVSGGAYGGFCDFDSHSGVFSFLSYRDPNLLKTLDIYDGTGDFLRGLDVDEDTLTKAIIGTIGDVDSYQLPDAKGYSSLLRHLLNVTDEERQIRREEILSTSLKDFKEFAEAIDSVRDKGVAVAVASQEDIDAANKERSNFFEVKKGL >scaffold_104666.1 pep chromosome:v.1.0:1:25781122:25782113:-1 gene:scaffold_104666.1 transcript:scaffold_104666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDRTTEHHLPFIRFLKNGRVERLSGNDIKPSSLNPQNDVVSKDVVYSPEHNLSVRMFLPNKSTKLATAGKKLPLLIYFHGGAYIIQSPFSPVYHNYITEVVKTANCLAVSVQYRLAPEHPVPAAYDDSWSAIQWIFSHSDDWINEYADFDRVFIAGDSAGANISHHMGIRAGEEKLKPGIKGIVMVHPGFWGKDPIDVHDVQDREIRSRITHIWEKIVSPSSVDGANDPWLNVVGSGSDVSEMGCEKVLVAVAGKDVFWRQGLAYAAKLEKSEWKGTVEVVEDEEEGHCFHLHNPISQNASKLMRKFVEFIILS >scaffold_104667.1 pep chromosome:v.1.0:1:25783057:25784242:-1 gene:scaffold_104667.1 transcript:scaffold_104667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRITCSSSLASPSLFLRFFRQSPRSYSSPTTITVSGRNIRRLPTTTTLRCICSHSSSEIISEHPPFIRVYKDGRIERLSGTETVPASLSPQNDVVSKDVVYSPEHNLSVRLFLPHKSTQLAAGDKLPLLIYFHGGAWIIESPFSPIYHNFLTEVVKSANCLAVSVQYRRAPEDPVPAAYEDTWSAIQWIFSHSDGSGPEDWINKYADFNRVFLAGDSAGGNISQHMAMRAGKEKLKPRIKGTVIVHPAIWGKDPVDEHDVQDKEIRSGVAQVWEKIVSPNSVDGADDPWFNVVGSGSDFSEMGCEKVLVAVARKDLFWRQGLAYAAKLKKSGWKGTVEVMEEEDEDHCFHLLSPSSENAPKFMKRFVEFITGQNCSLCF >scaffold_104669.1 pep chromosome:v.1.0:1:25793626:25794820:1 gene:scaffold_104669.1 transcript:scaffold_104669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRIPFSYKPVPLSLSSLCTCPCRSTQRKSLLLPHFSEKYLNLRLVDPKPLSHSRCNWISVNRRVITAVARAESNQIGDDGNSKEHTRCCGIDDTDTLPPLFVCSNCCEEFAEQQKKVLQPKYEFPSAENVFLIESGDDYFGGDERSLGMIFLEENFLLQNPFLDQTLWINQFFCK >scaffold_104673.1 pep chromosome:v.1.0:1:25807117:25808081:-1 gene:scaffold_104673.1 transcript:scaffold_104673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVSVILKLRLRLGISKPLSTLPWDSSSFRGYSSIYSSFLLAFWLLSTGSSPLKSNDATRVKIMKCKESLWKLLYYAGCEFFVLEFVDPEPWFGDIKLYFDGWPNQELKSSLEFFYMCQCGFYVYSVAALLEWETRRKDFAVMMSHHIVTIILISSSYLVEPFLGFLRP >scaffold_104675.1 pep chromosome:v.1.0:1:25813632:25815496:-1 gene:scaffold_104675.1 transcript:scaffold_104675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVSSRDGDVGNLEAPFAAWHFQTALYFALGFFFSRLFLDKFVFHRMAIWLLITGSSPLKLNDATRVKIVKCKESLWKLLYYAGCEIFVLEFVYPEPWFGDINSTLMDGQIKSSNPIGLFTDSCKEWLMREDSVTYSRDFTKDPIFISGGEKDFQWCSVDCTFGDSSGKKPDAAFGLGHQPGTLSIIRSMESAQYYPENDIAQARRWYDIMAPAQPKTEKAIAAAFISNCGARNFRLQALEALMKTNIKIDSYGGCHRNRDRKGNIRKLDKLFKLNIGKTMSCQFDVICCFNIHIVDKVEALKRYKFSLAFENTNEEDYVTEKFFQSLVAESVPVVVGPPNIEEFAPF >scaffold_104676.1 pep chromosome:v.1.0:1:25824758:25825313:-1 gene:scaffold_104676.1 transcript:scaffold_104676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVVTKLQKPNDHHDDQDQVHDHWFEYDDFADIEDAFPSLIDPVAAPVTNLLKPNDQDQICDQWINGSNDLASLIDPSLFAETLQSDPPTTGLRYDIDSYDSIFEQWARDLEDQQQRMKKKIQRSPTRCALSSAT >scaffold_104678.1 pep chromosome:v.1.0:1:25832389:25832901:-1 gene:scaffold_104678.1 transcript:scaffold_104678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNQNISRTIDDSPNFFNEYNKRCELARQIAKFNDLLAQQKDLIDLSTSTPGTQS >scaffold_104679.1 pep chromosome:v.1.0:1:25833539:25835746:-1 gene:scaffold_104679.1 transcript:scaffold_104679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSNLRGPRIGATHDELPAANGSPSSSSSPSTSIKRKLSNLLPLCVALVVIAEIGFLGRLDKVALVDTLTDFFTQSPSLSQSPPARSDRKKIGLFTDSCEEWLMREDSVTYSRDFTKDPIFISGGEKDFKWCPVDCTFGDSSGKKPDAAFGLGHQPGTLSIIRSMESAQYYPENDLAQARRRGYDIVMTTSLSSDVPVGYFSWAEYDIMAPVQPKTEKAIAAAFISNCGARNFRLQALEALMKTNVKIDSYGGCHRNRDGKVDKVEALKRYKFSLAFENTNEEDYVTEKFFQSLVAGSVPVVVGPPNIEEFAPASDSFLHIKNMEDVEPVAKRMKYLAANPAAYNQTLRWKYEGPSDSFKALVDMAAVHSSCRLCIFLATRVREQEEKSPNFKKRPCKCSRGGSDTLYHVFVRERGRFEMESIFLRGKNLTQEALESAVLTKFKSLKREPVWKKERPGSLKGDNELRLHRIYPLGLTQRQALYKFKFEGNSSLSSHIQDNPCAKFEVVFV >scaffold_104684.1 pep chromosome:v.1.0:1:25867189:25870240:1 gene:scaffold_104684.1 transcript:scaffold_104684.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:D7KF17] MAQIQHQGQNANGGVAVPGAAAAAGAAAAAAGAAQQGTTSLYVGDLDATVTDSQLFEAFSQAGQVVSVRVCRDMTTRRSLGYGYVNYATPQDASRALNELNFMALNGRAIRVMYSVRDPSLRKSGVGNIFIKNLDKSIDHKALHETFSAFGPILSCKVAVDPSGQSKGYGFVQYDTDEAAQRAIDKLNGMLLNDKQVYVGPFVHKLQRDPSGEKVKFTNVYVKNLSESLSDEELNKVFGEFGVTTSCVIMRDGEGKSKGFGFVNFENSDDAARAVDALNGKTFDDKEWFVGKAQKKSERETELKQKFEQSLKEAADKSQGSNLYVKNLDESVTDDKLREHFAPFGTITSCKVMRDPTGVSRGSGFVAFSTPEEASRAITEMNGKMIVTKPLYVALAQRKEDRKARLQAQFSQMRPVNMPPAVGPRMQMYPPGGPPMGQQLFYGQGPPAMIPPQPGFGYQQQLVPGMRPGGSPMPNFFMPMMQQGQQQQQQQQQQQQQRPGGGRRGGALPQPQQPSPMMQQQMHPRGRMYRYPQRDVNTMPGLTPNMLSVPYDVSSGGGVHHRDSPASQSVPIGALATQLANAAPEQQRTMLGENLYPLVEQLEPESAAKVTGMLLEMDQTEVLHLLESPEALKAKVTEAMDVLRSVAQQQAGGAADQLASLSLGDNIVP >scaffold_104686.1 pep chromosome:v.1.0:1:25879265:25879629:1 gene:scaffold_104686.1 transcript:scaffold_104686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVLLRKQLTRTGDDDDSAFIYPRIAESTRLTLKSVITSLQQESTKSIAKKVCDTISELASAILPENGSADVELRLCTCAAIDFIKALVSADNWRVKEGEDDLGRR >scaffold_104699.1 pep chromosome:v.1.0:1:25939537:25941471:1 gene:scaffold_104699.1 transcript:scaffold_104699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFSSLRDEVVRGLSPSRSRPRSRSASPSRSTNPHMKALLWGRKKLIASSGCSGGGGVSGGGGGGFYLPQPEPLIGRSSSLRPVMEGPDPDNGEISGGDSKRLGSGLSHWVKGQWSRAPSVTSTPVYRRSDLRLLLGVMGAPLAPINVSSSNHLLHLTIRDSPIETTSAQYILQQYTAACGGHKLHNIIKNAYAMGKLKMITSELETPTGTVRNRNSAKSETGGFVLWQMNPDMWYVELSVGGSKVRAGCNGKLVWRHTPWLGSHTAKGPVRPLRRALQGLDPRTTATMFAESKCVGERKVNGEDCFILKLCTDPETLRARSEGPAEIVRHILFGYFSQRTGLLAQIEDSQLTRIQSNDGDAVYWETTINSSLDDYKPVEGIMIAHSGRSVVTLFRFGEVAMSHTRTKMEERWTIEEVAFDVPGLSLDCFIPPADLRSSSLTEASEYSGQEEKGKSSIALAATTAHRAKVAALEKGSFDNDPVWHIDV >scaffold_104700.1 pep chromosome:v.1.0:1:25942522:25943589:-1 gene:scaffold_104700.1 transcript:scaffold_104700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring-H2 finger protein RHY1a [Source:UniProtKB/TrEMBL;Acc:D7KF29] MTSASELFSTRRSRPGRSDPDLESNTSSYRHHGTHHHRRHGTHHHNQRHDSDGCDPLRRPTPRLRRFCHHPERRPIRDVQGTGQYLNTDSTDTETQSSSFVNLNGSERLPGAVLLARARLFERLRGVSLSSNSRGNRVSVGDDQRESSFHSVDGDPIFQLEGLQVTYECNKKPQGLTQDAINCLHRQTFRSAEVKTEMRDCSICLESFTKGDMLISLPCTHSFHSSCLNPWLKACGDCPYCRRAISKE >scaffold_104703.1 pep chromosome:v.1.0:1:25953549:25955291:1 gene:scaffold_104703.1 transcript:scaffold_104703.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfhydryl oxidase [Source:UniProtKB/TrEMBL;Acc:D7KFT1] MGENPWQPLLQSFEKLSNCVQTHVSNFIGIKNTQSRIQNPVISLESSPPIATNSSSLQKLPLKDKSTGPVTKEDLGRATWTFLHTLAAQYPEKPTRQQKRDVKELMAILSRMYPCRECADHFKEILRSNPAQAGSQEEFSQWLCHVHNTVNRSLGKLVFPCERVDARWGKLECEQKSCDLHGSSIDF >scaffold_104704.1 pep chromosome:v.1.0:1:25962713:25965963:1 gene:scaffold_104704.1 transcript:scaffold_104704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAISTTDPRNPPRDRQDKPQSLTNNGGQRRPRGKQVPSRYLSPSPSHSVSSNTTTTTTTTTSSSSSSSSSAILRTSKRYPSPSPLLSRSTTNSASNSIKTPSLLPKRSQSVDRRRPSAVPVTVGSEMSAATKMLITSTRSLSVSFQGEAFSLPISKKKEATTTPVSHRKSTPERRRSTPVRDQRENSKPVDQQRWPGASRRGNSESVVPNSLSRSLDCGSDRGKLGSGFVGRSMLHNSMIDESPRVSINGRLSLDLGGRDEYLEIGDESQRRPNNGLTSSVSCDFTASDTDSVSSGSTNGVQECGSGVNGEISKSKSLPRNIMASARFWQETNSRLRRLQDPGSPLSSSPGLKTSSVSSKFGLSKRFSSDAVPLSSPRGMASPVRGSAIRSASPSKLWATTTSSPARALSSPSRVRNGVSDQMNAYNRNNTPSILSFSADIRRGKIGEDRVMDAHLLRLLYNRYLQWRFVNARADSTVMVQRLNAEKNLWNAWVSISELRHSVTLKRIKLLLLRQKLKLASILRGQMGFLEEWSLLDRDHSSSLSGATESLKASTLRLPIVGKTVVDIQDLKHAVSSAVDVMQAMSSSIFSLTLKVDEMNSVMVETVNVTAKEKVLLERCQGCLSRVAAMQVTDCSMKTHIIQLSRIPITSSLTSQL >scaffold_104707.1 pep chromosome:v.1.0:1:25971182:25971417:1 gene:scaffold_104707.1 transcript:scaffold_104707.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFT4] MANSRPFVRRYLLPIASSVPDTKKNGPDFTKPTCLCRRDVALIRLIRSHVIRSDQTHNPRYNTF >scaffold_104712.1 pep chromosome:v.1.0:1:25990472:25993020:-1 gene:scaffold_104712.1 transcript:scaffold_104712.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:D7KFT9] MATSLVSPLTSQLNHEAVCSKSVLPKSPFMSGSKLFSSNMPCSTVPRRTRISHCFASAKDMSFDHIPKQFRGDNLKDGVMQNFKTVPQYFYGLNPAQMDMFMTEDSPVRRQAEKVTEESISSRRNYLDNGGIWSMSGMNAADARRYSMSVQMYRGGGGGGGSERPRTAPPDLPSLLLDARICYLGMPIVPAVTELLVAQFMWLDYDNPTKPIYLYINSPGTQNEKMETVGSETEAYAIADTISYCKSDVYTINCGMAFGQAAMLLSLGKKGYRAVQPHSSTKLYLPKVNRSSGAAIDMWIKAKELDANTEYYIELLAKGTGKSKEQINEDIKRPKYLQAQAAIDYGIADKIADSQDSSFEKRDYDGTLAQRAMRPGGGSPTAPAGLR >scaffold_104714.1 pep chromosome:v.1.0:1:25995837:25996758:1 gene:scaffold_104714.1 transcript:scaffold_104714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNDFGYSCYICDVIKARDQCKHFSFFSYFLNLYFSDTNIVSGLLYLHGCKSIHEDIKPENVLISVHSDNLTAKISAMANINKFSNNYNLVSTFYSSVSCIDESDFRARPDRDLHIVQPSRGFDIVEARVRSTVPRNFSMTSSLLQFLKNSHVLIACSSNLSWQANGLIIKCDNPTFAMDMFSFGCVLFHSLTSGHHLFGLVTNIKKYN >scaffold_104718.1 pep chromosome:v.1.0:1:26004283:26005504:1 gene:scaffold_104718.1 transcript:scaffold_104718.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTLTTIPDAILVEILATFPLRSIAGFKLVCKSWKSVIESSYFRRVFASLHQNSSPSWSIMFPTVYHHTIKEVISFHGCETWNLPKPLASYIIPPNLPNAEYLYVASSNGLIWIDVTTCSYKSFVGNPVLQQWVEIPPPPCPCATTGLVTRVDEDGVVSGFKVVRTCQKIEARDRGMYVRRVYVYSSDTGLWTFKRLLNSRPVNHPPVNINGMLYLWERRVGSTKHGVIVAHDFYGPEADDNCQVIPLPDPSGYQDVKSCLTTSGGDVIYIARIYQILKLWKMNKNSENGWWQLSREINMPDVMYFCFCIPMAMNPFDNDIVYLWSQKHHCLVTGNLRTQEFTVHQESEKWTSSEGWCRINTCDSKGYIDHDKSLLILSQFVFQRLLPRPQN >scaffold_104728.1 pep chromosome:v.1.0:1:26088748:26090979:-1 gene:scaffold_104728.1 transcript:scaffold_104728.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:D7KFV4] MALSVLLSSSPLLTSKADEKYANVKWDELGFALVPTDYMYVAKCKQGESFSKGEIVPYGDFLISPCAGILNYGQGLFEGLKAYRTEDGRITLFRPDQNALRMQTGADRTCMTPPSLDQFVEAVKQTVLANNKWVPPPGKGALYIRPLLIGTGAVLGVASAPEYTFLIYTSPVGNYHKASSGLNLIVDHKHRRAHSGGTGGVKSCTNYSPVLKSLIEAKSSGFSDVLFLDAATGKNIEEVSTCNIFILKGNIVSTPPTSGTILPGITRKSISELARDIGYQVQERDVSVDELLEAEEVFCTGTAMVVKAVETVTLHDKKIKYKTGEKALSTKLHLILTNIQMGVVEDKKGWMVVVE >scaffold_104729.1 pep chromosome:v.1.0:1:26093849:26094302:1 gene:scaffold_104729.1 transcript:scaffold_104729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFIIVLLVTTMYFGLYEACKTNHVVIHNELGLGIVLNIACRKDSIERPPIWFHKLNFKDPFLIIKFVDKVPHRTKLYCMLSYGTKPTYWYDIEVYHQGSYPRCGQLRSWIAKEDGIWFTRRYHSPPGHVLNWKIK >scaffold_104731.1 pep chromosome:v.1.0:1:26111644:26112489:-1 gene:scaffold_104731.1 transcript:scaffold_104731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDFFDGKEPNKGTNPDEVLAYTTAVQGGVLSGEGGEETQMSRAWKKSQVFTTYQDQQTTVTINVYEEISMTKDNPELRNFQLTGLLPAPR >scaffold_104733.1 pep chromosome:v.1.0:1:26121402:26125460:1 gene:scaffold_104733.1 transcript:scaffold_104733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRFSFLGIGSDSVGVSGSKIKPSLTVQTDKDVYRPGDSIFVTIEVGNSHENESNPSILVEKLSFEVKGLEKLDIQWFSTQKPSPGSKGRRGEHIFLDSSTPSLISNQILSPGAKMTLMVRAILPQIIPPSYKGATLRYLYYIKSTLCGRWMALENSQFYKDSTKDFIEVETRIPLQVWVIQKNNGLLLEEDQTDGIVPTSTIQTDIYWKEMDGDSEWTRANDAYDSGEDGYDSSRDEISSVSSYPNKSNLNRTFGSSLSLNSGPRLSMKDTSYVEERVGSSPKMMLSQLSAAVVSYDSGTDVSSPHKSSNSVVPSQQPKQTNGAGASMSPEAGAKEPVPSEGFTRGRSYNIRMDDQVLLRFSPKNADSTYYFSDTIGGTLTFFHEEGTRRCLEVSVTLETLETINRRFVHPSRRNSPTLTKVQSDHHEVVADLIQTSFLFSIPTDGPMSFSTPRVSVQWILRFEFLTTPKSVDLSRYEHPLLVPEREKSEWVLPITVHAPPPRTSGAQTRGDKFFGLEPSWIRS >scaffold_104734.1 pep chromosome:v.1.0:1:26132132:26132824:1 gene:scaffold_104734.1 transcript:scaffold_104734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSVFTTSPSRNLSLIRLHQSLSPPLIRSSSVAFRPKRRSSSLVLCSTDESKSNTEKEIPIELRYEAFPTVMDINKIQEILPHSWCICGTVAIKNDTLMVDNFFPGHFPERPIMPGVLMVEVIAMPSSLFFLLQKVQSFCMYS >scaffold_104736.1 pep chromosome:v.1.0:1:26138236:26147133:-1 gene:scaffold_104736.1 transcript:scaffold_104736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRTIRRNQRWGFVLQQDKYLVRRPVIRDHTVSQSPRSTTYILTNHLTRNHHSPVASRDYLSYSWNSQLRRFSSEGDGSNASEDSRFPLNKEKTEKGKNVSGVEHFDSHAQLGEQDQIEWLNNEKLASESRKESPFLNKRERLKNEFLRRIQPWETIQLSWESFPYYVHEHTKDTLVECVSSHIKQKSMISKYGARLDSSSGRILLQSVPGTELYRERLVRALARDTQVPLLVLDSSVLAPYDCADDYNEESESDDDIAESDQCTSESEGEEETDANNDETSSGEAKIEGTDDEERYLEISKEVLKKLGADIEDIEKRMSEQLYGSSEVSEAAPVDHCDKAKRPLKKGDQVRYVGSPKNDEAKHRVVLGKISTSDGQKSAFTVIPGRPLSTGQRGEVYEVSGNRVAVIFDYGNDKTTEGSEKKPAEQPQMLPIHWVDVKDVKYDLDMQAVDGYIAMEALNEVLQSIQPLIVYFPDSSQWLSRAVPKTRRKEFVDKVQEMFNKLSGPIVMICGQNKIETGSKEREKFTMVLPNFSRLVKLPLPLKGLTEGFTGGKKSEENEIYKLFTNVMRLHPPKEEDTLRLFKKQLGEDRRIVISRSNINELLKALEEHELLCTDLYQVNTDGVILTKQKTEKAIGWAKNHYLASCPDPLVKGGRLSLPRESLEISIARLRKLEDNSLKPSQNLKNIAKDEYERNFVSAVVAPGEIGVKFEDIGALEDVKKALNELVILPMRRPELFSRGNLLRPCKGILLFGPPGTGKTLLTKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFATKLAPVIIFVDEIDSLLGARGGSSEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRIYVELPDAENRLKILKIFLTPENLESGFQFDKLAKETEGYSGSDLKNLCIAAAYRPVQELLQEEQKGTRAEASPGLRSLSLDDFIQSKAKVSPSVAYDATTMNELRKWNEQYGEGGSRTKSPFGF >scaffold_104739.1 pep chromosome:v.1.0:1:26157498:26157919:-1 gene:scaffold_104739.1 transcript:scaffold_104739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGRDELDGAMIISKTLSKSDIVGNVVLPKTQVMSVLTRMNGVTDEGLDNGFEVQVHDIIEDDLCTVTLKRIDDTKYYFGTGWSIMKHSLDLVEGDVLKLYWDQFENKFIVLNFQYKTMGIMINV >scaffold_104740.1 pep chromosome:v.1.0:1:26158647:26159233:-1 gene:scaffold_104740.1 transcript:scaffold_104740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKCCEKGCEFQIDARCASLTDPIIHDCDPHDHPLFINLTKGECMACKSSTCSSMYLECIECQLFLGLKCATLPTVAHYKHDKHPLTFCCGEEKTTDPQYWCEICETKLDATKWFYTCNSCSVTLHVTCLLGDRVYMKPNHMIRMHKGAESILIVSNSGNSRPVCNGCKRCCVDTMVVKRV >scaffold_104744.1 pep chromosome:v.1.0:1:26182712:26185355:-1 gene:scaffold_104744.1 transcript:scaffold_104744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASNSLLRSSHFLGSHIIISSPTPKTTRKPSFPFSFASRAKYQITRSSLDNNSPNGKPNSPFSSQVALAAILFSSISSSPPRALAVVDEPASPSVVVEAQAQAVKPSTSSPLFIQNEILKAPSPKSSDLPEGSQWRYSEFLNAVKKGKVERVRFSKDGSVLQLTAVDNRRASVIVPNDPDLIDILAMNGVDISVSEGESSGNDLFTVIGNLIFPLLAFGGLFLLFRRAQGGPGGGPGGLGGPMDFGRSKSKFQEVPETGVSFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGMGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALGKDVDFDKVARRTPGFTGADLQNLMNEAAILAARRELKEISKDEISDALERIIAGPEKKNAVVSDEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGDENVTTGASNDFMQVSRVARQMIERFGFSKKIGQVAVGGPGGNPFMGQQMSSQKDYSMATADIVDAEVRELVEKAYKRATEIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGQAELYIS >scaffold_104748.1 pep chromosome:v.1.0:1:26212235:26212634:1 gene:scaffold_104748.1 transcript:scaffold_104748.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFX4] MASSRAFFSYRRSLRALRNPNLEKLVVCYHTSGRLVEESLEGFFSQGTFFVENKFMDFLSSTGGRNLRRKMLLVGDKTEVAKAIACCFLP >scaffold_104749.1 pep chromosome:v.1.0:1:26228274:26228701:1 gene:scaffold_104749.1 transcript:scaffold_104749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQAQKLHLLKKATGKDSEFVKYTGLKKACRDFAETYTSFFIWLALGSFTLISAGWELHSRSQMEKGLASLRVEMNNNAVVISEFKKEMSSKDASYMHRFEEITRIANQKLEDLEKKIELLTSKK >scaffold_104750.1 pep chromosome:v.1.0:1:26230401:26232810:-1 gene:scaffold_104750.1 transcript:scaffold_104750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLSSSVKHGWKEQDGLVLAVAFLGGYFGHACRKAITKFRSEGIAEYAFEYAYLNNRKKIAAVHKANIMKPADCLFLLSCREVAKKYPCR >scaffold_104751.1 pep chromosome:v.1.0:1:26233742:26233945:-1 gene:scaffold_104751.1 transcript:scaffold_104751.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFX7] MAFTKKKKSPKSSAKEQEDDESDDFCNNVEERFQQYNGLFPIVLVRQGSVEGA >scaffold_104753.1 pep chromosome:v.1.0:1:26242800:26245290:-1 gene:scaffold_104753.1 transcript:scaffold_104753.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:UniProtKB/TrEMBL;Acc:D7KFX9] MSPLNDLKINLNGQYTFFLDQDVISKYSGSLRKMIKQSKRKRNKKKRIITIEIDDFPGGPDGFELVSRFCYNNGEISIDVSNVSTLYCCSVFLAMSEKFCFSNLFLQTEKFLEEVLYGSWSDIVLCLKNCEQVFFQADSYGLVDKLIFAALTKISRNSETFSSSSLSSFASSLSPEMTKNTPESDSRYISRSVSCGRSNEWWFDDMTTLSPSIILRLIMIIGAYKTNIKSLVQTRFLLHYLKTKLQTKSRTTTELMRNKLEYSDLADTAAKGVISAGKTAFSCRKLFWVLWVLSSFSLSRESRIGLETLIGEMLEQATLDDLLIPAGGSKESGFYNVDLVIRLLKVFVKNREEEISREKNMKEIGKLIDKYLREISPDQNLKVSKFLGVAESLPDSARDCFDGVYRGIDIYLQSHPNLTPQDRTEICRCLNYKKLTMETCKQLARNPKIPPEIAIEALKSRCDNQEHTTSDVKVANKSFSCRYSEEKKKPVLLHLEISEKLAERLKSRGGYNLSVMDSFREGL >scaffold_104754.1 pep chromosome:v.1.0:1:26246837:26249678:-1 gene:scaffold_104754.1 transcript:scaffold_104754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYSTKGAPTNGSVYVSNLPLGTDENMLAEYFGTIGLLKRDKRTGTPKVWLYRDKETDEPKGDATVTYEDPHAALAAVEWFNNKDFHGNTIGVFMAESRHKSAGDAVEFVEFDGGAQETNEGAGRGRGQADPSAKPWQQDGDWMCPNTSCTNVNFAFRGVCNRCGTARPAGASGGSMGAGGRGRGRGGGADGGAPGKQPSGAPTGLFGPNDWACPMCGNVNWAKRLKCNICNTNRPGQNEGGVRGGRGGGYKELDEQELEETKRRRREAEEDDGEMYDEFGNLKKKYRVKASQADTRPAVAAGRAGWEVEELG >scaffold_104756.1 pep chromosome:v.1.0:1:26254384:26255272:-1 gene:scaffold_104756.1 transcript:scaffold_104756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIVSSSTILIRSYLTPPVRSCSSATSVSVKSVSSVQVTSVAANRHLLSLSSGGRGSKKFSSSAIRCGGIKEIGESEFSSTVLESDRPVLVEFVATWCGPCKLIYPAMEALSQEYGDKLTIVKIDHDANPKLIADFKVYGLPHFILFKDGKEVPGSRREGAITKAKLKEYIDGLLNSISVA >scaffold_104757.1 pep chromosome:v.1.0:1:26255775:26256136:-1 gene:scaffold_104757.1 transcript:scaffold_104757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFQSLTNSTTDRSSKDSYKLCSELFSLGIHSLEIAFKALATNDYDTLNRTVGNMSAYAEECGSELSSVIKPIPQLLKGVSIVENVGHIVLVILECFLVKEKTFC >scaffold_104763.1 pep chromosome:v.1.0:1:26289966:26293749:1 gene:scaffold_104763.1 transcript:scaffold_104763.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein [Source:UniProtKB/TrEMBL;Acc:D7KFZ1] MAESKSPPVAKKVEHVMEMFGDVRVDNYYWLRDDSRSNPDMLSYLREENHYTDFVMSGTKQFENQLFAEIRGRIKEDDISAPLRKGPYYYYEKNLQGKEYVQHCRRLIPDNKAEPSVYDTMLTGPDAPPEHVLLDENIKAQEHDYYRIGAFKASPDHKLVAYAEDTKGDEIYTVNVIDSEALKPVGEQLKGLTSYLEWAGNDALVYITMDEILRPDKVWLHKLGTEQGSDVCLYHEKDDMFSLELHASESHKYLFVASESKTTRFVFSLDVSKPQDGLRVLTPRVDGIDSSVSHRGNHFFIQRRSTEFYNSELVACPVNDTSKTTVLLPHRESVKIQEIQLFRDHLAVFEREQGLQKITVHRLPAEGQPLERLQGGRSVIFVDPVYSVDSTESEFPSRVLRFKYSSMKTPPSVYDYDMDSGTSVVKKIDTVLGGFDVSNYVTERKWVTASDGTQIPMSIVYNKNLAKLDGSDPLLLYGYGSYEISVDPYFKTSRLSLLDRGFIFVIAHVRGGGEMGRQWYENGKLLKKKNTFTDFIACAERLIELKYCSKERLCMEGRSAGGLLMGAVVNMRPDLFKVVVAGVPFVDVLTTMLDPTIPLTTSEWEEWGDPRKEEFYFYMKSYSPVDNVTAQNYPNMLVTAGLNDPRVMYSEPGKWVAKLREMKTDNNVLLFKCELGAGHFSKSGRFEKLQEDAFMFAFMMKVLDMIPASG >scaffold_104764.1 pep chromosome:v.1.0:1:26294889:26295253:1 gene:scaffold_104764.1 transcript:scaffold_104764.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KFZ2] MSTPPADYDDMSEALPSFHGSSADDTDVFTVPGPRSCHRRRHLSEHSHSSLHSHGCVPSMGFTNLEPGESSKRKSSSVSPPVSDQRMKKKYPGIIITESQLPPKKH >scaffold_104773.1 pep chromosome:v.1.0:1:26350956:26351493:1 gene:scaffold_104773.1 transcript:scaffold_104773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSGSTSSFGSNSGVRKLCECGLPAKVFKSKTDKNPNRRFFGCQLYKEGGNAHCKFFRWLDEEVIGWPKRALAEAQSVIKEKTEKIEELNATILELRGDLERQNLEISSINTEDEKISIELGLQKRIDEMETIVYRQRIVIKGLTGLLVCVVSAIVFCIVSDV >scaffold_104775.1 pep chromosome:v.1.0:1:26364258:26365556:-1 gene:scaffold_104775.1 transcript:scaffold_104775.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 20 [Source:UniProtKB/TrEMBL;Acc:D7KG03] MAAVNGYQGNTPADPPASNGSKQPAAPTKTVDSQSVLKRLQSELMGLMMGGGPGISAFPEEDNIFCWKGTITGSKDTVFEGTEYRLSLSFSNDYPFKPPKVKFETCCFHPNVDVYGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNTQAAQLWSNQEEYRKMVEKLYKPPSA >scaffold_104776.1 pep chromosome:v.1.0:1:26378573:26379349:-1 gene:scaffold_104776.1 transcript:scaffold_104776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAILLTYLNVKNVTDKALSEEVMKLKDLFQEKHLCGRSQDSETPGSTLITTTRSKKRSRQSKDTVEMDMISPQVSHHNKCIFQAFGQRLLGMKLATSKQDEQVCIIAVIYQADTDVYDLLTMTGLSFSLTFVKKLVVEEESELLYKVVTLVIVESGTSMDERSCDVFFERVSRVIKLHCC >scaffold_104778.1 pep chromosome:v.1.0:1:26388283:26390719:1 gene:scaffold_104778.1 transcript:scaffold_104778.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indigoidine synthase A family protein [Source:UniProtKB/TrEMBL;Acc:D7KGP6] MASSSAHSRISNLQNHLSPLEANNKLRSLVKISPQVSEALSNGRAVVALESTIISHGMPYPQNLQTAKEVESIVRENGAVPATIAILNGVPCIGLSEEELERLASLGKSVQKTAGRDIAHVVATRGNGATTVSATLFFASMVGIQVFVTGGIGGVHRHANHTMDISSDLTALGRTPIAVISAGVKSILDIPKTLEYLETQEVYVAAYKSDEFPAFFTEKSGCKAPSRVNSPEDCARVIDANMKLNRQAGILFAVPIPKQHSAAGNLIESATQRALTEAREKNVTGNAETPFLLSRVNELTGGTSLAANIALVKNNALIGSQIAVALSQLM >scaffold_104780.1 pep chromosome:v.1.0:1:26393036:26393385:-1 gene:scaffold_104780.1 transcript:scaffold_104780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSEEAKKPTGIIKNGDLDKADDEAVVKPVLSVSIEPEQKEVQQDIVDMYTKSLQQYTESLFKMKLALDIDSPTPSENSSSSQQTPKSASSRVFYGSRAFI >scaffold_104787.1 pep chromosome:v.1.0:1:26410036:26410233:-1 gene:scaffold_104787.1 transcript:scaffold_104787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVETNKFFVRPRNGGKPVNGGKWFEDDQGIRNQ >scaffold_104794.1 pep chromosome:v.1.0:1:26452583:26452880:1 gene:scaffold_104794.1 transcript:scaffold_104794.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGR0] MDSMSESSISSIWAKYPSRLSLFFLFGHQMQTKEVWMLKKSQKRKKVQEEMAEEVSSIAVLQVAFLTLAKLAVQELLSRKQTQ >scaffold_104795.1 pep chromosome:v.1.0:1:26453018:26453389:-1 gene:scaffold_104795.1 transcript:scaffold_104795.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIHETGLASGVPTEKEMNKLAMSERLAVHILNTTNLVLFVSKVYASMESRSMVVIASTLGSLLDHLSGFILWFTANTMRY >scaffold_104797.1 pep chromosome:v.1.0:1:26471419:26474754:1 gene:scaffold_104797.1 transcript:scaffold_104797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTGKPYSTATLPAFESDKNLFSHGPDLRAIQRATTVTKRRARNPSLTRQRRSVASGGRRSRPETPLLKWKVEDRNKERSGVVEDDDYEDVGCSNNNQVARSETTRRKDRRKISRPVSVRKLAAGLWRLQVPDASSSGGERKGKDGLGFQGGAGYMGVPYLYHHSDKPSGGQSNKIRQNPSTIATTKNGFLCKLEPSMPFPHSAMEGATKWDPVCLDTMDEVHQIYSNMKRIDQQVNAVSLVSSLEAELEEAHARIEDLESEKRSHKKKLEQFLRKVSEERAAWRSREHEKVRAIIDDMKTDMNREKKTRQRLEIVNHKLVNELADSKLAVKRYMQDYEKERKARELIEEVCDELAKEIGEDKAEIEALKRESMSLREEVDDERRMLQMAEVWREERVQMKLIDAKVALEERYSQMNKLVGDLESFLRSRDIVTDVKEVREAELLRETAASVNIQEIKEFTYEPANPDDIYAVFEEMNIGEAHDREMEKSVAYSPTSHGSKIHTVSPDANLMNKKGRHSDAFTHQNGDIEEDDSGWETVSHLEEQGSSYSPDGSIPSVNNKNHHHRDSNASSGGTESLGKVWDETMTPTTEISEVCSIPRRSSKKVSSIAKLWRSSGASNGDRDSNYKVISMEGMNGGRVSNGRKSSAGMVSPDRVSSKGGFSPMMDLVGQWNSSPESANHPHVNRGGMKGCIEWPRGAQKNSLKSKLIEARIESQKVQLKHVLKQKI >scaffold_104799.1 pep chromosome:v.1.0:1:26478301:26478727:-1 gene:scaffold_104799.1 transcript:scaffold_104799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTISSASLSIRNSGKSMYAQVVRMLGNGRCEAACVDGSKRLCHIRGTLHKKVWISAGDIILVGLRDYQDDKADVIHKFMPDEARFLKVYGELPKDIRLNEGVVGDLDQDDNSDDYIEFKDGETVSI >scaffold_104804.1 pep chromosome:v.1.0:1:26499432:26501059:1 gene:scaffold_104804.1 transcript:scaffold_104804.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfhydryl oxidase [Source:UniProtKB/TrEMBL;Acc:D7KGS1] MLSQHCLRRTMSHVHMQARHAFGPAYLIQENLSTKDKTTGPVTKEDLGRATWRFLHTLAAKYPEKPTKQQNKDVKDLMAILSRMYPSRECAD >scaffold_104805.1 pep chromosome:v.1.0:1:26504476:26507213:-1 gene:scaffold_104805.1 transcript:scaffold_104805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYSFGDLLRSLWAPGASAVSPSILKAKLDRYAPQFSGFNQHDSQELLVFLLDGLHEDLNRIKTKPYVEAKDGDGLPEEEVADEYWRNHVALNDSIIVDLCLGQLKSTIVCPICKKGSVTFDPFMYLSLPLPCTSMRTMDLIVMSADGGSLPALLTVNVPEFGRFEDLQKALVTACSLQEDETLLVTEVYNNQIIRFIEEPTDSLTIIGYGAKLVVYRLKKDANNSRLIVFTHQKLEEQVILGKSNPTWKGFGIPLVSRLCDVENGSDVENLYLKLLSSFKMPTEFFTANLEDPIEEDATDKAVTDGSTSTDAKETTESLPDPVLRLYLTEDRGNSIQSEILKEKPLNSKSKVVNVLARWPVKELDAYDTCLLSYLPEVSKFGTKRPQEESVSLDKCLEAYLAEEPLGPDNMWDCPGCKKKRQAIKKLDLWRLPEILVIHLKRFSLNNKLEDDVDFPLDDLDLSSYISYKNGQTYRYMLYLAITVEA >scaffold_104807.1 pep chromosome:v.1.0:1:26528178:26535106:1 gene:scaffold_104807.1 transcript:scaffold_104807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEFRRRDYGATHESQFLPRSEALKHPLSSIFASRQQQAKTVRGSDLDFFDPLRGLDVNASAEEKVEDTSISIEAVTQDLIKEWKSLKRVLMQRFPVSKLILYFTQSTVEAPSALSHSEETGSEQTSLEEPAKIINQQEYIAKVHELREGIKCAWQAEDRVTSLKLSIKVTKLLMDTTVLQFYPTVFVIVTDMLDMLGDMVWERIKQKAELDVDGTVICSLLKRLAITGFAKLVLFENFSLACKTSINYYFLILPTFLCLSFGFSLYAHSYLELAIMPCWRFLINQPMEVLDRLVMMVRGLADPLASLYCRLYMVHRMQKFGFCNSGYLIKCIKDIEDVLAPVLVDKEGYSYITDDKKLLFSLMEPAIEYIVKCLFLTGRQENNVLSMLEELGFGRNKFQSSCNSSHVSILLHHLLKELPSELVISLTTEILDMIKCSNDCSFSQVLNYRLLGNKLSEGKSQEGFLSSLIDEVIQAASQYQSLYDYLRIMDAYVDLMLQNKMENHLDALLDDIVNLARDKFLCEEEQASLQSIILKLLAHFENLQEVLPLNHFIEILDLMSGTSKSSVNMHLLNMGTRNGCICDSTTVQFLFEVSQALYDATDFVHIKDDDNRQTSHLISRFVEMVDYGAEMERHLMFLAECREAFNGIHELKETLVRSSNTLAVKALKAGKKHTNFVKSCLAFSEVTIPSISSPTKHLNLYLETAEVALLGGLISHSDGLVMSAVEYLENVAVTDGLKLIDVDSMASVVCKLCSLLVMVPGNPEKGVMEILKSIFSATCSSSWATPRLKVKIFCAIMSLLSTLSQDNLPYHSANPEIIGNDLLFFGDSSYKQELVSCSQFVLSELLDAIEQESSQIARGNMAIEACNCISLALVVLFLPSFAYFLIPDKLKVVLTWVGDMFDDVQMNEKVTELCLRLLETAKGCLGANDRYIESTKKSLQL >scaffold_104808.1 pep chromosome:v.1.0:1:26535836:26536370:1 gene:scaffold_104808.1 transcript:scaffold_104808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCACYHQPLPASSIVLNRQAKQEESLRRKQVLFFLSSSPSSLSSKQQWKISNYPKNGFRLKPKASMVPPSESGDITTFLLVSGAMISMYLVTNFLVPSLLFKSLQGEEEEEDEDSG >scaffold_104809.1 pep chromosome:v.1.0:1:26539154:26540004:1 gene:scaffold_104809.1 transcript:scaffold_104809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGMLLIVGGFIGYLKKGSIASLAGGAGTGLLVVLAGFISLKAFEKKKTSSLATLLQTVIAAALTFVMGQRFLQTQKIIPAGLVAGISALMTCFYVFKIATGGNHIPPKAE >scaffold_104811.1 pep chromosome:v.1.0:1:26545448:26546643:-1 gene:scaffold_104811.1 transcript:scaffold_104811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFEWRPYTKTVKNWEFSQFYPEEDMCVPVCPSLDEEFISFARCIKVSELVGIKKVEHYFPNRVATQFGMLQDVPSPVDRNNLSREAAWNDYDKPIDDLTLHISSRSAIPRVTSTFGEWWRKSYIELIQYSSKEKDADESAETLNIIGDDTSSGSSNDEMTIAEYTNKRLKCIEESRDKRRKCMKQAREIGNNEPAGTLNLRNMVGDHSSFVLSGSNGTSKDEMKIAEDSNKRRKYVMQDRKNDEITMGLCQSLLPSENDVEVHSLTIDCLLLDTGLGSEETMKSSEGLEKRNDGSGEGNAGKDSYEERFQKLKVLASSLEERMNKTQKTVAWLIERKTIKERKPAAARLI >scaffold_104814.1 pep chromosome:v.1.0:1:26564830:26565692:-1 gene:scaffold_104814.1 transcript:scaffold_104814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAEDSRDTSERIGKRSRLEADSIDSGPCQKLDLIRDETVQSPEIEQRNKETDETGSMLRKNVVSAPETSLCDVELNVYGSNAEKKTMIDDDNEEPKCLPHEDGTITREMVRSDKKCCSEAEKEDADGRINEKVLALKADNKISKPHQKLASGCANGDKTSKAYQRKVLKPSDGDQGQACLRHGDGIGSEETMKSNEQLKVFEKRNNDLGEGDNDTTEKRFQKL >scaffold_104818.1 pep chromosome:v.1.0:1:26574064:26574302:-1 gene:scaffold_104818.1 transcript:scaffold_104818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNASSSENLLIEEREEVMVSKKGRCLKKTHFLKPFATSINGGSVSELPRRDYHNVPLISLWN >scaffold_104827.1 pep chromosome:v.1.0:1:26650957:26651563:1 gene:scaffold_104827.1 transcript:scaffold_104827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPKDCSFPFAESVHGLICFRTSVNRHITWNPSMRQFVKLTKPGKRWKDIKVFLGYDPIEGKHKVVCMRRGYKVSGEYCRVLTLGSAQQSWRTVKTNHKHFAYIGCYARCINGVLYYAALLDDDSDASIIMSFDVRTEKFDMIKLPLNDFWGMMISYEGRLSYLDYYNPMDNGGIKLWILEDKEKHI >scaffold_104828.1 pep chromosome:v.1.0:1:26656984:26657230:-1 gene:scaffold_104828.1 transcript:scaffold_104828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKWQQRAALSRKRISFQRSTTSSSSVVEKGCYVVYTADKVRFAFPISYLRNSVFQELLDQSHCHSI >scaffold_104829.1 pep chromosome:v.1.0:1:26657819:26658260:-1 gene:scaffold_104829.1 transcript:scaffold_104829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTKELLKMAKKWQQRAALKRKIISFQRPYTTARSSIAIENGCYVVYMVDKERFTCPIRYMSNSVFQEFLTSLKKKKAYRPVDQSPCHSILFSTYIRLIQRMETQKGSYNINQYLVLDALAMLFATTRTTQ >scaffold_104830.1 pep chromosome:v.1.0:1:26658887:26660135:-1 gene:scaffold_104830.1 transcript:scaffold_104830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATTTSALHLFPKDQRYKTKGVRYNNLIDFSDVSLLPVIPKIDLDDPQILSRTRRDGREKYDVVAASKKRQHSGEEKPLTKRQKKIIEEVESTTKAKSNRTLTPSLHKLVSESKEETKRKDTKSVDARAITTTKVTKPEKSITASSKECFHETETKKPNGIDLRNLIAKAQDKIQNKRQIDHRRDDITRQRIAARLALNQMVATVSFDDHLNYHRELEQLGYTFIQEEVDYLSMFNLWSRSDYNGITNSVLEKNKTPWSDEDSTRKKHRQSSTKGNSMEQHSLQITRMVNLLRAEIRVIAQQV >scaffold_104831.1 pep chromosome:v.1.0:1:26666985:26667472:1 gene:scaffold_104831.1 transcript:scaffold_104831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDVRSEKFDMIEMPWVNHYWGKLIPYAGKLACVKNTMNNKDITLWVLEDAKWLCKHFTAPSFDQPLKSLCGINGITDDGEFIYVPYVLNSFYILYYDPEKKGYRKVDFEGIADADFRLSNGLGNESLYPFHTCVSHTESLFLCNS >scaffold_104832.1 pep chromosome:v.1.0:1:26667593:26671225:-1 gene:scaffold_104832.1 transcript:scaffold_104832.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7KGU2] MRSQTASKSPMKPSSNASSFSVRSSVAVSSHLAMVELKQRILTSLSRLGDRDTYQIAVDDLEKIVLSVSDSPEILPVLLHCLFDSFSDPKPPVKRESIRLLSFLCLSYPDLSFSQLAKIISHIVKRLKDADNGVRDACRDAIGSLSAQFLKEKEVENGNSVGSSLVGLFAKPLFEAMAEQNKSLQSGAAICMGKMIDSATEPPVAAFQKLCPRISKLLNSPNYITKGSLLPVVGSLSQVGAIAPQSLESLLHSIHECLGCTNWVTRKAAADVLISLAVHSSSLVADKTDSTLTALEACRFDKIKPVRESLSEALNVWKNIAGKGESGTLDDQKDVSSEQCMLERNGEIDSFSCEEAGPLMQGSCDGLSSNSDSISKAVLILRKKAPRLTGKDLNPEFFQKLEKRGSGDMPVEVILPCRQKNSSNSNTEDESDANTSVSRSRSNGLCRTAAVHTKQRHFGDFAREKWVDERTNGGESRLRAFDGDQTEVTQADASENRGNWPPLQRQLLHLERQQTHIMNMLQDFMGGSHDGMISLENRVRGLERIVEEMSREMSIQSGARGKAAAAWRSDVDGWDSPNYGASSRNTQTSTRKIRGTGPTEQSGNSRRAWDKSSVAIRLGEGPSARSVWQASKDEATLEAIRVAGEDCGTSRNRRVSIPEAEAMMYEDDDDRGGQQRDPIWTCWSNAMHALRVGDTDSAFSEVLSTGDDHLLVKLMDKTGPVLDQLSSDIGNEAIHSIAQFLLDHTLFDICLSWIQQLLEVTVENGADFMGIPLELKKELLLNLHEASSTTDPPEDWEGLAPDHLLVRLASNWTIELQHFET >scaffold_104838.1 pep chromosome:v.1.0:1:26690768:26690959:-1 gene:scaffold_104838.1 transcript:scaffold_104838.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGU7] MKRRKKKHLSGNSSVFSQSSPPAIPLSSLNRRRRRFLCRLCVWRRLASS >scaffold_104843.1 pep chromosome:v.1.0:1:26724010:26729654:1 gene:scaffold_104843.1 transcript:scaffold_104843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPKIMELREKIKNIKQILKSHVFSDFSSLGTGTKTEEIFLLKNLSDSCLVIDAPGPSVREELINNFCSRELTSYEQIYVGAERIYNRLNCLIRTNQEKWTIFPASWHVPYRLCIQLCNKTRVQVESILVNLKEKPDVEKLLLELKRTLEFEMELEMKFVGGGSFGDDTKEIGGGGNNSQKVEEIWDIEEESQTNILSSSILLFFTIKKSLKRCSALTKNQTLFNLFKVFQRVLKAYATKLCFKLPKGGTSIIAAATGMEGRIKVSDKDERMICYIVNTAEYCHKTSGDLAEEVSTIIDPPYADGVDISEVQLALSLGPRFYANIFRCKQISETGAHQMLLDAHDMKMIVLKVPSLARQTPTASYVEFVNHQMKRAEAVLKVITSPIVSVVDTYCALFPEGTPMEFQRILELKLSRRVYLMISTILVAAAMPEAPAPPLAVANPGAAVGFIANSEEVLTTAAEAATTSFMKLYSVTETAKDRPFRKLFNA >scaffold_104844.1 pep chromosome:v.1.0:1:26730984:26733303:1 gene:scaffold_104844.1 transcript:scaffold_104844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQSSFLKPSSLHDKKIPSDDISGRRGKGAKRGNRHRHPNVNEGRGWLFSAVPDFSEFSASVLRDATNNFNKNAVVSVCSDQEPNVVYQGCIKSDKDKRLIAVKKFSKTTWPDPKQFAAEARAIGNLRHVRLVNLIGYCCDGDERLLVSEYMPNQSLTKHLFHWEKQTMEWAMRLRVALYVAEALEYCRQSGLKLYHDLNTCRVLFDENGSPRLSCFGWMKNSKDGKNFSTNLAYTPPEYLRSGTLIPESVVFSFGTFLLDLLSGKHIPPSHAVDTIQKQNLIVLMDSHLEGNYPEEDAAMVFDLASKCLHNNPNERPEIRDIISVIATLQQKLDVPSYTMLGISKLEKLEMERPKSLIYDACHQKDLEALHQILEAMEYKEDEVTCELSFQQWAQQIKDVCNTRQQGDSAFRNKNFESAIEKYTQFIETGIMISPTVYARRSMCYLFCDQPDAALRDAMQAQCVYSDWPTAFYLQAVALSKLNMVEDSANMLKEALILEDKRGS >scaffold_104848.1 pep chromosome:v.1.0:1:26748130:26748430:1 gene:scaffold_104848.1 transcript:scaffold_104848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVGNTSGSTRFEEVCREMTQLNDEIQKLNVDDFGANEEARKKITDMTTKLKELYEETVMKTKLKELYEETVMKETVKEMKETQQ >scaffold_104849.1 pep chromosome:v.1.0:1:26776987:26777261:1 gene:scaffold_104849.1 transcript:scaffold_104849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVGNTNLHDRFRTLVGDLNLAQNQFQFKCAELVRNYEESQPKKVLEEKKIDLEKLYEKLKEVMKKIVAFAAKIG >scaffold_104850.1 pep chromosome:v.1.0:1:26781324:26781582:1 gene:scaffold_104850.1 transcript:scaffold_104850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVGNSSGSTRYEELCREMTQLNDELKKLDVSDCVAIEEAIKKLTDMNTKLKELCEKMKETVKETKETPQ >scaffold_104851.1 pep chromosome:v.1.0:1:26784840:26785096:1 gene:scaffold_104851.1 transcript:scaffold_104851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVGNTSGSTRFEEVCREMTQLNDEIQKLNVDDFGANEEARKKITDMTTKLKELYEKMKETVKEMKETQQ >scaffold_104863.1 pep chromosome:v.1.0:1:26887795:26888702:-1 gene:scaffold_104863.1 transcript:scaffold_104863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVVLFHNPHSAITRTTIFTISSSSSSFRPRRNLSSSNRIFPTNQPKPLVKKLQIIETLAARDTIIDFGKHKGKMLGTLPSSYLKWVSKNLRAGDSEYWAKLADEVLEDDVYKDRTEWEVAEKILHGSDESMRALTSVKKSREEVNSVSMLLEISERFGWDNEDKIGWSKINFELLGTSKGGRIPRLRKMNEEEEEEEERGEIVRRREIKKKEEEEDENGWRRRQRRERMRQSLGRDDGKTVNRTEQKGVLGKLEEVEKKLEPKIQSPFPGRESLLKKVMNRRRSQ >scaffold_104864.1 pep chromosome:v.1.0:1:26890309:26891150:1 gene:scaffold_104864.1 transcript:scaffold_104864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVVVMMKLKVDLNCSKCYKKVKKALRKFPQITDELFDEKSNTIIIKVVCYDPERLMNKLCYKGDGSIKSIVILEPPKPPQPQPQPPQKLKEALAPAPAPAPAPAPAPVPAPVFVSAPAPQPMPMWQPYHCGPYYEAQQHQCYGRPVYESWGGGRHCCHEDMNSQGCSIM >scaffold_104869.1 pep chromosome:v.1.0:1:26902059:26902867:1 gene:scaffold_104869.1 transcript:scaffold_104869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLNDKEINQTYVKADRSDKKDTTAFNIIIPGNVDDALTKNGQADVQPLPLSKRKYLANYLGRAQGKAGQLISSRHFGCTVPVL >scaffold_104871.1 pep chromosome:v.1.0:1:26924675:26925220:-1 gene:scaffold_104871.1 transcript:scaffold_104871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTWVIRDFKSLQDRRVQSEEFNVDGCTWSVLVYPNGKEGDNYLSASLLVSNFQDLPPGWWITTNFSLCIETNSRYRRRVLAASEKCFDANNPSWGKIYWLHRRELNGFLVNGDLKIVAQVEVLNKSTHEEIDLDYEHC >scaffold_104872.1 pep chromosome:v.1.0:1:26925915:26927568:1 gene:scaffold_104872.1 transcript:scaffold_104872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGSEISPSPPVPTASGNNAHMMYVFNRNGVCLLYKEWNRPLHTLNPQQDHKLMFGLLFSLKSLTAKMDPVNADKGNLGVPQLPGQGCSFHSFRTNTYKLSFMETPSGIKIILVTHPKTGDLRESLKYIYSLYVEYVVKNPIYSPGSPIKSELFNTALDQYVRSIS >scaffold_104874.1 pep chromosome:v.1.0:1:26932234:26932555:-1 gene:scaffold_104874.1 transcript:scaffold_104874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSFQKLFPSLSRDSTTARFHTHEVDPNQCCSAVIQEISAPISTVWSVVHRFDNPQAYKHFLKSCSVIVIFLIFSHRVIYVFFRFMCEKKLH >scaffold_104875.1 pep chromosome:v.1.0:1:26955263:26958044:1 gene:scaffold_104875.1 transcript:scaffold_104875.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/EREBP transcription factor [Source:UniProtKB/TrEMBL;Acc:D7KHN8] MNSNNWLAFPLSPTHSSLPPHIHSSQTSHFNLGLVNDNIDNPFQNQEWNMINPHGGGGEGGEVPKVADFLGVSKSGDHHPDHNLVPYNDIHQTNDSDYYFQTNSLLPTVVTCASNAPNNYELQESAHNLQSLTLSMGSTGAAAAEADTVKASPAETSADNSSSTTNTSGGAIVEATPRRTLETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPSTTTNFPITNYEKEVEEMKNMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFEINRYDVKAILESNTLPIGGGAAKRLKEAQALESSRKREEMIALGSNFHQYGAASGSSSVASSSRLQLQPYPLSIQQPFEHLHHHQPLLTLQNNNDISQYHDSYSYIQTQLHLHQQQTNNYLQSSSHSTQLYNAYLQSNPGLLHGFISDNNNTSGFLGNNGIGIGSTSTVGSSAEEEFPAVKVDYDMPPSGGATGYGGWNTGESVQGMNPGGVFTMWNE >scaffold_104877.1 pep chromosome:v.1.0:1:26979070:26980480:1 gene:scaffold_104877.1 transcript:scaffold_104877.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KHP1] MPQPPLGTKGGGLKPHIMVFPYPAQGHLLPLLDLTHQLCLRGLTVSIIVTPKNLPYLSSLLSVHPSAVSVVTLPFPPNPMIPSGVENVKDLGGYGNPLMMASLRHLREPIVNWLSSHPNPPVALISDFFLGWTKDLGIPRFAFFSSGAFLASILHFVSDKPHLFESTEPVCLSDLPRSPVFRTEHLPSLIPQSPSSQDLESVKDSTMNFSSYGCIFNTCECLEEEYMEYVKQNVSENRVFGVGPLSSIGLGREDSESNVDAKALLSWLDGCPDDSVLYICFGSQKVLTKEQCDALALGLEKSMTRFVWVVKKDPIPDGFEDRIAGRGMIVRGWAPQVAMLSHVAVGGFLSHCGWNSVLEAMASGTMILAWPMEADQFVDARLLVEHTGVAVSICEGGKTVPAPHELSRVIGETMGEHGREARARAKEMGQKALAATEDGGSSTADLERLVKELSSL >scaffold_104878.1 pep chromosome:v.1.0:1:26981027:26983139:-1 gene:scaffold_104878.1 transcript:scaffold_104878.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein [Source:UniProtKB/TrEMBL;Acc:D7KHP2] MSNPACSNLFNNGCDHNNFNYSTSLSYIYNSHESFYYPNYINHTPTTFTSPNSPPLREALPLLSLSPIRHQEQQDQHYFMDTDQISSSNFLDDPHVTVDLHLGQPNYGVGENIRSYIAPDTTTDDQDHDRGVEVTVESYLHDDDDHGDLHRAHHYWIPTPSQILIGPTQFSCHLCLKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCFCCAPGCKNNIDHPRAKPLKDFRTLQTHYKRKHGSRPFACRRCGKAFAVKGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHVKAFGSGHVPCGIDSFGGDHEDYYEAASDIEQTMSVS >scaffold_104879.1 pep chromosome:v.1.0:1:26990842:26991171:1 gene:scaffold_104879.1 transcript:scaffold_104879.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHP4] MKGLQKLAGEELESEGNYTNSPEKNESDKVFESPVKKSDPVTDGVVGGDGVVEGDDKGRGRRRKSRSTAKVEVDGVVKFFEGEVCWKRNRRVWKK >scaffold_104880.1 pep chromosome:v.1.0:1:26994254:26994678:-1 gene:scaffold_104880.1 transcript:scaffold_104880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFGLNEACKKNHVVIHNELGPGIDLNIACRQFSIERTPSMFHTLKYKDPFYVIEFEDNNQLPHGEKWYCMLSHGTRPKYWYDIEVYRQGYYPRCGQLRSWIAKTDGIWFTRRYNSPPGHVLNWKIQ >scaffold_104882.1 pep chromosome:v.1.0:1:27004842:27005039:-1 gene:scaffold_104882.1 transcript:scaffold_104882.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHP7] MTGFETSNLIYDHRIMASAFTKSKLKPPLLTVFLCHKIKSIILTSTRNCH >scaffold_104885.1 pep chromosome:v.1.0:1:27014460:27015418:-1 gene:scaffold_104885.1 transcript:scaffold_104885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLKTIAFSSPPFLTHPATSFPADKDENCLKDDKDLNFMRDTLYCDDEILVSTIEEKEEVLQPHESPETVQHDGEQSQEWEKALPTILEDINRSTESISTFQSDCTVENSQEFVLFEDVRASIQRSAKTSDAATPGKNNEVRETEVAMTPMDELQAASGVQECYPLLHYL >scaffold_104888.1 pep chromosome:v.1.0:1:27036141:27039448:-1 gene:scaffold_104888.1 transcript:scaffold_104888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPAALVFIPVGILFLVSGLIVNSIQLVFFIIVRPFSRSLYRRINKNVAELLWLQLIWLSDWWACIKINLYADAETLELIGKEHALVLSNHRSDIDWLIGWVMAQRAGCLGSSLAIMAKEAKYLPIIGWSMWFSDYIFLERSWAKDENTLKAGFKRLEDFPMTFWLALFVEGTRFTQEKLEAAQDYASIRSLPSPRNVLIPRTKGFVSAVSQIRSFVPAIYDCTFTVHNNQPTPTLLRMFSRQSSEVNLQMRRHKMSELPETDDGIAQWCQDLFITKDAQLEKYFTKNVFSDLDVHQINRPIKPLIVVIIWLCLLIYGGFKLFQWLSLVASWKIILLFVFFLVIATITMQVLVQTSESQRFTPAKRPLEEQLISLPECFAEVSLTHHDLACDMLRFNFCHPMDLSPMFHTFSIFLATYVVRHGPSFYSCSFEMEADGDVPVAAGQFAAGTTCIGSGAILLRLIQENPVQKKSWLMHERPTARNGAVLCYHYEHQDLMGMLYYI >scaffold_104890.1 pep chromosome:v.1.0:1:27045096:27045442:1 gene:scaffold_104890.1 transcript:scaffold_104890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHQ4] MHRFRAWMDKERFASNSLLTTEYAAGLTEFMTLAGNQESCLTTETEYCLVTKSGIITGNVKHTTWVVVVEIFQIG >scaffold_104891.1 pep chromosome:v.1.0:1:27049159:27050039:1 gene:scaffold_104891.1 transcript:scaffold_104891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKSSDYIMQSNSIRGFICCFLNQRRFVVCNPTTRQVMVLPEDDNPTDYKLHKMYLGYTRTPEAIVCTLGGQQSSYSWRRVESDIHYYNYNNSGVYIDGIVYYDVCLNPWINKCKAVSSFDVGSEQLRLIKTPEKLAGVLTNYLGKLAAYYNIDDVKNQVWSHKTFVLPSFTRSFFLDLSLHFAGIIAAGEIVYVPLWFSDPFEIFCYDVEKMMERRVRVEGLVDNIFDDVGNCAFKNICYASGSCCYVSSILYL >scaffold_104893.1 pep chromosome:v.1.0:1:27057017:27057232:-1 gene:scaffold_104893.1 transcript:scaffold_104893.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHQ7] MWTSKQTLLHAIIRIVFVTTVFDGFTSHGYCDHKIFSAKAFNLDLQRSTSLISCQIL >scaffold_104896.1 pep chromosome:v.1.0:1:27071182:27074108:1 gene:scaffold_104896.1 transcript:scaffold_104896.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KHR1] MPTTTSSASSSSSSVSGNNRQADVFSRLASSDPEVKLKALREVKNQIIGNRTKKLSFLKLGAIPAIASVLADADDSDKCNNILVQSAAALGSFACGFEAGVQAVLDAGVFPHLLRLLTNPDEKVVDAGARSLRMIFQSNQAPKYDFLQEKNMEFLFSLLNSENENVSGLGASIIAHACGTSVEQRVLCEAGVLEKLVILLDGSFSQREACLESLATVLKNNPEAVSDFVGLESGKYFNSVTELTKDRYPRTRLLSCLCLVVIYNTSPSYFVNMGTKSSLITTLLELLNDPGQSGDDAALGLSCLIAEKEDLQQLAYEADAIKNIVDILKTGSELQPRRLQGLFLSLAELCSKLEDCRCSFLSLQVLDLLTNALRHKDADVRAAACICFRNAAQSVKSLSAGRFNSDHAMLPLVQLLHDPSSSVQVAVLGALSNIVLDFSSPKSSFIEYGGIKQLIELSKSMDPIARCSALRALRNLMFLADNKRKELFYSDVKAQGFACLISDPEPPVQEQALALLRNLVDGCISSIEFVFDEDGLILDTVGRQLRKAPQAQMAIQGMYVLTNVASGTELHKEAVMQQLFPQAKAESENFMLNFLQSDESQLRSATVWTIINLISPSSPGAFDRHVKLRNAGVIPQLKNMVNDACLDVKIRIRTVLGQSMSFGDNY >scaffold_104899.1 pep chromosome:v.1.0:1:27090560:27091714:1 gene:scaffold_104899.1 transcript:scaffold_104899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVEHVVLFKLNDVDSGKINSMVNGINELVNLNQVLHLSCGSIHRLSSTTASDFTHVLHSRYKSKEDLNAYAIHPDHVRVVKESESIREDIMAVDWIADQVPGTLAPPPGSIGKITLLKLKENVSDEAKLEIMEVIKEKFQGCDQITVGENFSPGRSKGFSIGSISYFRDFGEIEAVDDQMKLQKEKIREYIDDTIVVEFVRINVNEFIDVVIDAPLLQCVRAKMYSTKNFQIINSGFPAKLDIDFLSTSV >scaffold_104901.1 pep chromosome:v.1.0:1:27095546:27096894:1 gene:scaffold_104901.1 transcript:scaffold_104901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGITRLLNSLSRHTVSVTCSPSTSLLVSRRSLFISAATHLPANLWDSRTTNASRNSARSSSFPLNWIVQRRTMFIETQSTPNPSSLMFNPGKPVMDIGSADFPNSRSAMGSPLAKAIFAIDGVVRVFFGSDFVTVTKSDDVTWDILKPDIFAVVMDFYSSGQPLFLDSQATAAKDTAIHEDDSETVAMIKELLETRIRPSVQDDGGDIEYCGFDTETGIVKLRMQGACSGCPSSSVTLKSGIENMLMHYVSEVKGVEQEFDGEEEGTSSGPME >scaffold_104902.1 pep chromosome:v.1.0:1:27097289:27097660:-1 gene:scaffold_104902.1 transcript:scaffold_104902.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II 5 kD protein [Source:UniProtKB/TrEMBL;Acc:D7KHR8] MASMTMTSSFLPTVSKLPANISGNSRRSLTVVKASASENTTSLENKKQEQSMKMRRDLVFTAAAAAVCSLAKVAMADEEPKRGTEAAKKKYAPVCVTMPTARICRN >scaffold_104906.1 pep chromosome:v.1.0:1:27105710:27107328:-1 gene:scaffold_104906.1 transcript:scaffold_104906.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:A1BPP2] MARTKHFATRTGSGNRTDANASSSSQAAGPTKTPTTRGTEGGDNTQQTTSPATGGRRGPRRARQAMPRGSQKKPYRYKPGTVALREIRHFQKQTNLLIPAASFIRQVRSITHALAPPQINRWTAEALVALQEAAEDYLVGLFSDSMLCAIHARRVTLMRKDFELARRLGGKGRPW >scaffold_104910.1 pep chromosome:v.1.0:1:27121196:27122078:-1 gene:scaffold_104910.1 transcript:scaffold_104910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKAEKTYVRRKKMERKGNNQVDEKETQQKDVHNEEKEILGDDLVLNEDSTITRSYKLIERPLVSYEDHTGAASVLNSECVLAISSGSLYKRSNAYEDKLNECEDQRFIEMLYGAEGGEVIENIKSDPSLALACVMKRVKQRQEEWRTCLYEFKEPWGEVYARNFQKSLDHKTYDKKS >scaffold_104911.1 pep chromosome:v.1.0:1:27125813:27127687:1 gene:scaffold_104911.1 transcript:scaffold_104911.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPla/RYanodine receptor domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KHS5] MESLQSNSKIEEAEQNPKGEKVEVSISLPDESTGTTLDSAPPESSAAVEESIETDLEAEVSISLTEEPTGIALLPSEVDDTVPLEPSGVIEEPIDTDLEKVDVVAMDVDRPGSDLKIESDSFSEEAPTASSSDNPKSPKLDSVANQNGSAMEEDEGDEEEDDPPHKKQKQLDSITSVAVKEEEEPEQMQPSGAMVVEEAAATLVASAAKKSKSKKKNNNVWVTKSTRKGKKKSKANTPNSAAVEDKVLITPVPRVPDKGDDTPDLEICLSKVYKAEKVEVSEDRLTAGSSKGYRMVRATRGVVEGAWYFEIKVLNLGESGHTRLGWSTDKGDLQAPVGYDGNSFGFRDIDGCKIHKALREKYAEEGYKEGDVIGFYINLPDGESFAPKPPHYVFYKGQRYICAPDAKEEPPKVVPGSEISFFKNGVCQGVAFTDIFGGRYYPAASMYTLPDQSNCLVKFNFGPDFEFFPEDFGGRATPRPMWEVPYHGFNGRLESNGSDDMKS >scaffold_104914.1 pep chromosome:v.1.0:1:27146805:27148293:-1 gene:scaffold_104914.1 transcript:scaffold_104914.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KHS8] MTKKRSKLRNRNSTSDPENDSPRGSRSSSYREIPCRDSSSIIINRVSSGFDGYIFGSLSRPPENCSVLLQRCNFTGLVQFMKSLSKHIASRYISIDDVVSIWRFLECRCVLREMQISLRSLQQFTRFRFFVESSLWCGVVHALDIVSESGFRHHNPLRQGKNFKSVVVICGLDLYGNDCYSFLQLESLVYENNVKMLMSFGRLRMIAPPYDGSSTDFHVTKNGVMVQHLSLFVKQEACCFEVLMNFAVERFLQFHSYVSAHMRLMEQRSPSIDVMEVMLLSCLTKVNSFSSKWLDLHVIIGSQSCVSRHEKIWSRLATLIHEAVCIFAGKGFCFMQELFGWLKCFLFVVIGCSITEFHNDVVFALCATHELLMTQSLLQPLLNWFKSSKRLALGNVLEFGSCLQKLQQLMSCGCPRHVVTLTGLLFQLLASRVQILYISFGDPLILLMPRVIIVGCGRVQIFAAEVFALNATHEMKLTQSLP >scaffold_104916.1 pep chromosome:v.1.0:1:27159005:27162225:-1 gene:scaffold_104916.1 transcript:scaffold_104916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESTSNGRRPPPPAEIGRGAYLAWEDLTVVIPNFSGGPTRRLLDGLNGHAEPGRIMAIMGPSGSGKSTLLDSLAGRLARNVIMTGNLLLNGKKARLDYGLVAYVTQEDILMGTLTVRETITYSAHLRLSSDLTKEEVNDIVEGTIIELGLQDCADRVIGNWHSRGVSGGERKRVSVALEILTRPQILFLDEPTSGLDSASAFFVIQALRNIARDGRRTVVSSIHQPSSEVFALFDDLFLLSSGETVYFGESKFAVEFFAEAGFPCPKKRNPSDHFLRCINSDFDTVTATLKGSQRIRETPATSDPLMNLATSEIKARLVENYRRSIYAKSAKSRIRELASIEGHHGMEVRKGSEATWFKQLSTLTKRSFVNMTRDIGYYWSRIVIYIVVSFCVGTIFYDVGHSYTSILARVSCGGFITGFMTFMSIGGFPSFIEEMKVFYKERLSGYYGVSVYIISNYVSSFPFLVAIALITGSITYNMVKFRPGVSHWAFFCLNIFFSVSVIESLMMVVASLVPNFLMGLITGAGIIGIIMMTSGFFRLLPDLPKVFWRYPISFMSYGSWAIQGAYKNDFLGLEFDPMFAGEPKMTGEQVINKIFGVQVTHSKWWDLSAIVLILVCYRILFFIVLKLKERAEPALKAIQAKRTMKTLKKRPSFKKVPSLSSLSSRRHQPLHSLSSQEGLTSPIN >scaffold_104917.1 pep chromosome:v.1.0:1:27164170:27165894:-1 gene:scaffold_104917.1 transcript:scaffold_104917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIESEAVDFEPEEDDLMDEDGTAIDGADVSPRAGHPRLKSAIAGANGESAKKTKGRGFREEKDSDRQRRLSSRDFESLGSDGGRGPQRSIEGWIILVSGVHEEAQEDDLTNAFGDFGEIKNLHLNLDRRTGFVKGYALIEYEKYEEAQKAISAMNGAELLTQNVSVDWAFSRGPSAESYRRKNSRSGRSQRSRSPRRRY >scaffold_104923.1 pep chromosome:v.1.0:1:27185057:27187098:-1 gene:scaffold_104923.1 transcript:scaffold_104923.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN binding protein [Source:UniProtKB/TrEMBL;Acc:D7KHT5] MEAAIFTSLNVSNLPKTKAFFNTNPPALSSSSCWLCNSSAKRIIKLRLREGSNHGLLRVHALFHDEEASSENEDKNGFGLLPADIFSLSQEKFESNLSGEKDSDNIIDVETSLAVPHGGGTRAGLFRTPISGGVQSATSAHGLPRPALAVRNLMEQARFAHLCTVMSKMHHRREGYPFGSLVDFAPDPMGHPIFSFSPLAIHTRNILAEPRCTLVVQIPGWSCLSNARVTLFGDVYPLPEDQQEWAYKQYMLKHHQGPSQQWGNFHYFRMQNICDIYFIGGFGTVAWINVNEYEALQPDKIAVDGGEQNLKELNAIFSKPLRELLSSEAEVDDAAIISIDSKGIDIRVRQGAQFNIQRLAFEESHGVETLEEAKSALWKVIEKGKLHNLQK >scaffold_104929.1 pep chromosome:v.1.0:1:27210561:27212683:1 gene:scaffold_104929.1 transcript:scaffold_104929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLHGSNSRMQHIGEAQDPMHVQYEHHALHHIHNGSGMVDDHADDGNAGGMSEGVETDIPSHPGNITDNRGEVVDHGSEQGDQLTLSFQGQVYVFDSVLPEKVQAVLLLLGGRELPQAAPPGLGSPHQNNRISSLPGTPQRFSIPQRLASLVRFREKRKGRNFDKKIRYTVRKEVALRMQRNKGQFTSAKSNNDEAASAGSSWASNQTWAIEGSEAQHQEISCRHCGIGEKSTPMMRRGPAGPRTLCNACGLMWANKGALRDLSKASPQTAQNLPLNKNEDANLETDQMMITVANNISDSQ >scaffold_104930.1 pep chromosome:v.1.0:1:27214378:27217517:1 gene:scaffold_104930.1 transcript:scaffold_104930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTHRILSRLLHSPGKGYIRASVGGSVHFLALFDEKDKGFVDTHRSFSSLIHSNSHLRRFISTNCSNKGLGVRCSVSETPLIDTYSSHRNFFTRAKQVKRIEINDQHSQRAVTTALWCNFLVFSLKFGVWWTSSSHVIMAEVVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVNGVQNLWTSSPPPNMEYAALVIGGSFIIEGASLLVAIQSVKKGAAQEGMTIRDYIWRGHDPTSVAVMTEDGAAVAGLAIAAASLVAVRMTGNPIYDPIGSIVVGNLLGMVAIFLIQRNRHALIGRAMDDQDMSKVLKFLRNDTVVDALYDCKSEVIGPGSFRFKAEIDFNGQMVVQNYLKRTGREEWAKLFREAAQKGDDSAMLKIMSNYGEEVVTALGSEVDRLEKEIQELVPGIQHVDIEAHNPTDPSL >scaffold_104932.1 pep chromosome:v.1.0:1:27220098:27222116:-1 gene:scaffold_104932.1 transcript:scaffold_104932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLRQVVAGILTITMFVMLGQMLHRDYFDAVQEKVQGDAHDIEFQGSRVAVEDGLVRAFEGGNKGPWMEDSHGLKPCWSISQSDEAVSSKGYVTFSLTNGPEYHVSQITDAVMVAKHLGATLVLPDIRGSKPGDEMNFEDIYDVDKIVKSLESVVKVVRKLPSHVSLRDIAIVKVPTRVAEDYIKEHIDPIFKSKGNIRVTTYFPSVNLRKSSQGAETDPVSCLAMFGSLELQPGVNELVESMIQRLKTHSKKSGGRFIAIDLRVEILEKKNCHETGAVGSKTCYNAQEIALFLRKLGFDRDTTIYLTQPRWESSLNILKDIFPKTYTKEAIMPSDKKSKYLELENSEYENVIDFYISSRSDVFVPAIPGLFYANTVGKRIALGKPQVLVPAEISGTSGVPTNYISPYISKKNHLAYSCFC >scaffold_104938.1 pep chromosome:v.1.0:1:27250770:27253318:-1 gene:scaffold_104938.1 transcript:scaffold_104938.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-cumarate-COA-ligase [Source:UniProtKB/TrEMBL;Acc:D7KHU7] MAPQEEVMEKQSNNNNSDVIFRSKLPDIYIPNHLSLHDYIFQNISEFATKPCLINGPTGHVYTYSDVHVISRRIAAGFHKLGVNHNDVVMLLLPNCPEFVLSFLAASFRGAIATAANPFFTPAEIAKQAKASNTKLIITESRYVDKIKSLQNDDGVVIVCIDDNESVPIPEGCLRFTELTQSTTESSDVIDSVEISPDDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLYFHSDDVILCVLPMFHIYALNSIMLCGLRVGAAILIMPKFEINLLLELIQRCKVTVAPMVPPIVLAIAKSPETEKYDLSSIRVVKSGAAPLGKELEDAVSAKFPNAKLGQGYGMTEAGPVLAMSLGFAKEPFPVKSGACGTVVRNAEMKIVDPDTGDSLSRNQPGEICIRGHQIMKGYLNNPAATAETIDKDGWLHTGDIGLIDDDDELFIVDRLKELIKYKGFQVAPAELEALLIGHPNITDVAVVAMKEEAAGEVPVAFVVKSKDSELSEDDVKQFVSKQVVFYKRINKVFFTESIPKAPSGKILRKDLRAKLANGF >scaffold_104942.1 pep chromosome:v.1.0:1:27290769:27295094:-1 gene:scaffold_104942.1 transcript:scaffold_104942.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 6 [Source:UniProtKB/TrEMBL;Acc:D7KHV0] MPRVSVKWQKNVFDGIEIDTSLPPYVFKAHLYDLTGVPPERQKIMVKGGLLKDDGDWSAIGLKEGQKLMMMGTADEIVKAPEKAIVFAEDLPEEAQATNLGYSAGLVNLGNTCYMNSTVQCLKSVPELKSALSNYSLAARSNDVDQTSHMLTVATRELFGELDRSVNAVSPTQFWMVLRKKYPQFSQLQNGMHMQQDAEECWTQLLYTLSQSLKAPTSSEDSDAVKALFGVNLRSRLHCQESGEESSETESVYSLKCHISHEVNHLHEGLKHGLKGELEKTSPALGRTAVYVKESLIDSLPRYLTVQFVRFFWKRESNQKAKILRKVDYPLVLDIFDLCSEDLRKKLEAPRQKLRDEEGKKLGLQTSAKSGSKDSDVKMTDAEASANGSGESSTVNPQEGASSEKETHMTGIYDLVAVLTHKGRSADSGHYVAWVKQESGKWIQYDDDNPSMQREEDITKLSGGGDWHMAYITMYKARFVSM >scaffold_104944.1 pep chromosome:v.1.0:1:27304161:27306299:-1 gene:scaffold_104944.1 transcript:scaffold_104944.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWD domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KHV2] MTEYKQEQEMEIEALEAILMDEFKEIHSSESGLNTSNRCFQITVTPQDDEMEDSAIPPVQLALVFSHTENYPDEAPLLDVKSIRGIHVSDLTILKEKLEQEASENLGMAMIYTLVSSAKDWLSEQYGQDDAADLAEEEAAKEDEVIVPHGEPVTLETFLAWRERFEAELALERAKLMPESALTAPKEKKLTGRQWFESGRGRGTVVTADEEDEEEDEEDIDFEDEDFEDDEEDMLEHYLAEKSDSSAPPSRT >scaffold_104945.1 pep chromosome:v.1.0:1:27306557:27308698:1 gene:scaffold_104945.1 transcript:scaffold_104945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRDRTEDFKDSVRKSAVSIGYNESKVASTMASFIIHKPKERSPFTKAAFKTLDSIKELEQFMLKHRKDYVDLHRTTEQEKDSIEQEITAFIKACKEQIDILKNSIRNEEANSKGWLGLPADNFNADTIAHKHGVVLILSEKLHSVTAQFDQLRATRFQDIINRAMPRRKPKRIIKEANPIITTLANSESIKPDEIQAQPRRVQQQQLLDDETQALQVELSNLLDGARQTETKMVEMSALNHLMATHVLQQAQQIELLYDQAVEATKNVELGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYS >scaffold_104949.1 pep chromosome:v.1.0:1:27320562:27321534:1 gene:scaffold_104949.1 transcript:scaffold_104949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEQEMNTSSDSPLPTTFSSLPYDLVLYCLARVSTLCRPTLSLVSKHFRSLMASPELEATGFRMGRSLMASPELEATRTRMGITETYLCVCLDLNKHYSSSHWFTLAPIPKQEKLQHNVSFPMLYPEYSTVISIGSEIYIIGGTESNSIEDWGEVYDPKTQTWEPVLPTTLDLTKQMSVVPGKLVMGGKVYAMKDGYNLRLMKDFCLVEIDNMLYQTRVSKGILRWSDPKKNLRSTRVKGLERLPKCTHLTLSAYSD >scaffold_104950.1 pep chromosome:v.1.0:1:27324164:27325332:1 gene:scaffold_104950.1 transcript:scaffold_104950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEEMKKQTESPPSPETPTFSSLPYDLVFNCLARVPRFHHPTLSLVSKDLRSLMASPQLEATRTRMGISETYLCLCVCSLGNYYDISSRWFTVATIPKHEKLKPIPSLSYLHPQFSSLLTIGSEIYNIGGFFNLKKRKKSKRVLVFDFLTNQRRRLPKMRVPRVDPAVDVINGKIYVIGGTGSNNIEDWGEVYDPKTQMWEPVLPTTQDLTIQMNVVPGRFVMGGKFYGICGDYKLQSLTDFCLVEIDNVLYLTRVYIGMLFWYDLKEPLGWNKVKGLDEQPKFTDLTSLASSIGGRRRVTVWWKTIVACGEGSFCEGNFCKECKSEIWCAEISFERRGGLGELWGFVEWSKIVLTLDRCDSHSLRFDSVILTY >scaffold_104951.1 pep chromosome:v.1.0:1:27331799:27333679:1 gene:scaffold_104951.1 transcript:scaffold_104951.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-alanine resistant 3 [Source:UniProtKB/TrEMBL;Acc:D7KIJ7] MSFFKWVSFVLILHLLNPSLISCSSNGLSQIPSKFLTLAKSNDFFDWMVRIRRRIHENPELGYEEVETSKLVRAELEKMGVSFKYPVAVTGVVGYVGTGQAPFVALRADMDALPIQEMVEWEHKSKVPGKMHACGHDAHTTMLLGAAKLLKEHEEELQGTVILVFQPAEEGGGGAKKIVEAGVLENVSAIFGLHVTNQLALGQVSSREGPMLAGSGFFKAKISGKGGHAALPQHSIDPILAASNVIVSLQHLVSREADPLDSQVVTVAKFEGGGAFNVIPDSVTIGGTFRAFSTKSFMQLKKRIEQVITRQASVNMCNATVDFIEEEKPFFPPTVNDKDLHQFFKNVSGDMLGIENYVEMQPLMGSEDFSFYQQAIPGHFSFVGMQNKAHSPMANPHSPYFEVNEELLPYGASLHASMATRYLLELKASTLNKSYKKDEL >scaffold_104953.1 pep chromosome:v.1.0:1:27368027:27369244:-1 gene:scaffold_104953.1 transcript:scaffold_104953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQIYLSNLSRGRTLKYVRVKILSLWRVRIYGFRCKTEMLLADEQGTKIEGTIGCGPFHNVDMRELREDAWYTISDFVVSVPIRRTPNTLHPFHIKFHSDTKMTLIYDLYSPNFFEFAKYSVIKRRLLEANRPFVICGLFVSLLDLCGVVVYVSEIKRMTYVPGEYDASTACNYLYFRLMDQKGRELPCFALGHYAADFMNVWTSRGYQASFRYQPVFCVLRFWKVDEFMGMHLVY >scaffold_104955.1 pep chromosome:v.1.0:1:27375792:27377932:1 gene:scaffold_104955.1 transcript:scaffold_104955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCKLVSFVLILHLLNSCLISCSSNELSQIPKKFLSLAKRDDFFDWMVGIRRRIHENPELGYEEVETSKLVRTELEKIGVSYKYPVAVTGVIGYVGTGHAPFVALRADMDALPIQEMVEWEHKSKIPGKMHACGHDAHTTMLLGAAKLLKEHQEELQGTVILVFQPAEEGGAGAKKIVEAGVLENVGAIFGLHVSNLLGLGQVSSREGLLMAGSGRFKATISGKGGHAALPQFAIDPVLAASNVILSLQHLVSREADPLDSQVVTVAKFEGSDAFNVIPDSVTIGGTFRALSPKSFEQLKQRIEQVITTQASVNMCNATVDFLEDETPPFPPTVNDKALHLFYENVSVDMLGIENYAETLPVMVSEDFAFYQEAMPGHFSFVGMQNKSHSPMANPHSPYFEVNEELLPYGASLLASLATRYLLESSSSPNKSYIKDEL >scaffold_104959.1 pep chromosome:v.1.0:1:27424406:27425753:-1 gene:scaffold_104959.1 transcript:scaffold_104959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKRNPLSATIITIFTILAIGVMETMGQKLCFEVLPNTYGVSLSSSTCVIQWCATQCKRKEANGIGTCKPRPNQEKVQYRKLKEECHCVYKCS >scaffold_104964.1 pep chromosome:v.1.0:1:27476371:27479151:-1 gene:scaffold_104964.1 transcript:scaffold_104964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHCVFVAILVLIFHLVQSQNQTDCDSLFFWLNDCDRFPDDVYDRKWYPVFQNSWTQVTTNLNLNISTIYYELPQGVMATAATPLNTNATLNIKWTIEPPTTPFYSYIHFAELQSLRANDTREFNVTLNGEYTFGPYSPKPLKTETLQDLSPEQCTGGACILQLVKTLKSTLPPLLNAIEAFTVIDFPQMETNEDDDLSSSGLTGVITQGFKNLTHLQYLDLSDNNLTGEIPKFLADIQSLLVINLSGNNLTGSVPFSLLQKKGLKLNVQGNPHLLCTDGLCANKGDEHKKKSITVPLVASIASIAGLICALVLLFILKKKTPLKFEDYV >scaffold_104967.1 pep chromosome:v.1.0:1:27503770:27504937:-1 gene:scaffold_104967.1 transcript:scaffold_104967.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIL0] MFSMIMLFMLQDEEKTAPESARKEADMSDNESAGLYYGGPSDEDEVICDGGEYDKGPLDSATKQQDKSETEMADISEDDKDVLPDEETNTGDDKDVLPDEEHKTGDDENVLPEDETKTGDDQDMLHQEESNVGDDEELFNAPSSPKRGADELEASPTDEPTIAGQRNAGGAIGVDGNLCSTPSPSSVIVYTVEPMVKADIILGGDNNTIVDEAKTTASAPQNLVSPYMLFTYHAMISI >scaffold_104968.1 pep chromosome:v.1.0:1:27506940:27507145:-1 gene:scaffold_104968.1 transcript:scaffold_104968.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIL1] MTAKKMNLLKKKTRRRAKVAKSSEKGEANSSPEKGIEIPSPPPEPESTSQGKYL >scaffold_104969.1 pep chromosome:v.1.0:1:27538771:27539754:1 gene:scaffold_104969.1 transcript:scaffold_104969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDDSFYNAVNNLWTDEVDDPSVVYLQRLISSGFRFTANMWTGGSRVHSEIIVQKNEPTKLQQVERKTKVRVVSETSRLNRMDKGKGKIDDDEVCPSMHVDKSDLEAIIDKKLDVHTEEIRQMLLNHRASMDSDFKLEKSLMKDELVDEIKGTIRRGDPGVYGSDLGLNKNKNHININPIDSVGDLGSSGPYGAINAIIKDLGKEGATDKAKPMKAGGESSGTGGKVNKDEEDDHEDNMDLGGDEERNDAAVDGDHLGGDGSKNDDSGKDKVISLEVIIALIG >scaffold_104970.1 pep chromosome:v.1.0:1:27540906:27542130:1 gene:scaffold_104970.1 transcript:scaffold_104970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTQEEETDIVHDTQDLRGSEKGQKRAPETDVHLLRSKRPRKCPKRYRDLSEKKIRTGTEDFFCLPEEVLAPFVKVSENLKKKFLSALRSYRQRHVVDASHVEAMLRLVCRRFHGPLSEKRIGIMDTWFTRTLCNEFPKFNKSKKKQAWSWTSLIKNYVCGVVPGRMNILGWYSDVDILYAPMSWGSDHWVALMIDLKTGKISIMDSLERANNKKAVDKIMKPIVVMLKAIVEDLVQDTNSTSPVATSFVYERLSDVSQNDQTGDCGPLSVKFIEQHSQGLGLDGISDDMVDCLRLQYALDIYEEFHQSLRG >scaffold_104976.1 pep chromosome:v.1.0:1:27565293:27565714:-1 gene:scaffold_104976.1 transcript:scaffold_104976.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KIM0] MDTTDEIIIGLFIISLIVTVIAHCCENTSLPPTGLPPETIHQTVKPQQDIETGQRKTLVFKDIKEGGEEEGSGKRFCPICLEEYEDDHQIRRLKKCGHVFHLLCIDSWLTRERSCPSCRRSVDLMS >scaffold_104979.1 pep chromosome:v.1.0:1:27583882:27585346:1 gene:scaffold_104979.1 transcript:scaffold_104979.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7KIM2] MEGYSRNGEISPKLLDLMIPQERRNWIHDEKNSVFKTEEKKLELKLGPPGEEDDDDSSIIRHIKKEPKDKSILSLAGKHFSPSSTKTTSHKRTAPGPVVGWPPVRSFRKNLANGSSSKLGNDSTTSNGVFLKNQKCDDDVKTMEPKRQGGMFVKINMYGVPIGRKVDLDAHNSYEQLSFTVDKLFRGLLAAQRDLSSSIEDEKPITGLLDGNGEYTLTYEDNEGDKMLVGDVPWQMFVSSVKRLRVIKTTEISSALTYGNGKQEKMRR >scaffold_104982.1 pep chromosome:v.1.0:1:27593321:27593739:-1 gene:scaffold_104982.1 transcript:scaffold_104982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQELDLELGLAPYDPWVLKKNLTESDLSRYGFVILPKQDFEKIIPHMERGLVENLGNGVEVKVHIVEEGHESDDYTLTLIKCSGRYMLRGGWYNMVKAKGYKTNDEIGLTWDKWTRRFLLHHIN >scaffold_104983.1 pep chromosome:v.1.0:1:27601316:27601705:-1 gene:scaffold_104983.1 transcript:scaffold_104983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQELDLELGLAPHEPWIVKKKITETDLRYGGSVILPKQEFETFIIPQMERGLVENLGNGVEVKVHIVEEGHESNDYTLTLVKCNGSYMLSGGWHNMAKANGYKPNDEIGLMWDK >scaffold_104985.1 pep chromosome:v.1.0:1:27608531:27609815:1 gene:scaffold_104985.1 transcript:scaffold_104985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALPTELECFTFDSLRTITCSAIKQIVLKYVAQKPREVYGLTSRRQYKKVEHRHIYEGANGALVFEYMKENANTKERFNEAMTSHTSIVMKKILENYNGFESLSDLVDVGGSLGSNLTQILSKYLHIKGVNFDLPHIVKEAPQIHVLLCMIQWILHDWNDEKCVEILKKCKKALPETGRIIVIEMILLREVSETDVATKNSLCLDLTMMTITSGGKERTEEEFEDLAKKAGFKPPKIIYGAYSFWMIIELYPT >scaffold_104994.1 pep chromosome:v.1.0:1:27672988:27674850:-1 gene:scaffold_104994.1 transcript:scaffold_104994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIYIFLLLSSSAIIDSNGLVMAQKLEAIGGKGGKQWDDGADYDYVTKVYIRGGREGIHYIKFDYVKDGQAIDGSIHGVLGDGFTHTLEIDQSSYEHIVSIDGYYDDKTGVMQALQFKTNLKTFELIGYPKGATKFSLGVNGKIMIGFHGFAGKSLNSLGAYVTAATPIKSELVGGLYGGIYWDDGPNYDGVRKMYVTYTNYLIRSISTDYDKDGQVVTSYHGSKDGETKEFAIDYPNEYLTSVSGTYNTIPEDGVLVVRSLIFKTSKERISPTYGFVSGTEFVFERQGYVINGFHGRDGGGFDAIGVYFKPMVT >scaffold_104998.1 pep chromosome:v.1.0:1:27695854:27696627:-1 gene:scaffold_104998.1 transcript:scaffold_104998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKNIIGFHGSADANLRVLGAYFTSITPARMEAKGDKGGREWDDGGVYEAVTKIHGRSDHNGIKDITFDYVDKDRIPKMKPMVLPRFEINHLDKEYLLSIDGYYDETSGVIQALQFNTNMKPWALMGYYEDDAVKFTIGCNGNKIIGFHFHGYAEKNLNSLGAYFTILPRYLNWNT >scaffold_105000.1 pep chromosome:v.1.0:1:27712738:27713404:-1 gene:scaffold_105000.1 transcript:scaffold_105000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNVPISKKLWNIVRFLLYMIRKGVSKNKLIADFNATLKRGKKLMFHHRRRVHAGSTSSDALNAASAIASSRQEYEFSCSNTPNYSFPFSNMAFIRKKSHNNLFTCGQTPQTLDDDVAAARAVLELLNGVGDKGNVTPADLTVALSPYFPGFGRTPLVRPLRVTDSPFPLTPENGDVANRHVDKAADDFIKKFYKNLNQQKKMIEFS >scaffold_105002.1 pep chromosome:v.1.0:1:27723567:27724011:1 gene:scaffold_105002.1 transcript:scaffold_105002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQGINGVDDSYRHLPILYLTFLTIWSFSACSWTVNTFKNRHFQSRQIVYNGLLLQFH >scaffold_105007.1 pep chromosome:v.1.0:1:27741689:27742150:-1 gene:scaffold_105007.1 transcript:scaffold_105007.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIP5] MSMTSGRRSTVISASPPTAVVHGVCGSQNRRGGLAKSGTTFTRAPRRWRFNQFRYRNLTLSLQIPSIFERSHIFEFENYSDMHACCDFISKLHVP >scaffold_105012.1 pep chromosome:v.1.0:1:27760296:27762279:-1 gene:scaffold_105012.1 transcript:scaffold_105012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTYWTRQGSLQTFIRADVGATVNQYRYGIDVFDRVWTRYNFRNCSRISTNHTVNVNNDYQPPEIAMVTASFPTDPDAPMNISLFAVEPTLQLFMVMHFAEIQELNSSDVREFNIMYNGKHIYGPIRPLNFTTSSVFTSTEVVADESRQYTFSLQRTENSTLPPLLNGMEIFWVNLLPQQETDRKQGWNLSASGLTGEILEFISDLTSLEVLDLSNNSMTGSVPEFLADMETLKLINLSGNELNGSIPATLLDKARRGSISISIEGNVGLCSSTLCPTTEKKKKNTVIAPVAASLVLFFLIGAGIVTFLILKRKKSAKLGLHSRTHHGFEPPVIAVRAQRLVCFLEACSRHVNTAVANASPRTAGTILELPPQVPLAQPTLVLVWFITAY >scaffold_105013.1 pep chromosome:v.1.0:1:27768303:27769391:-1 gene:scaffold_105013.1 transcript:scaffold_105013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTTPPVEDPNNGIPVPQTGTPNQRTGVPVSQFAPPNYHQANVNLSVGRPFSTGLFDCQADQTNAIMTAILPCVTFGQIAEVLDEGETTCPLGSFIYLLMMPALCSQWVMGSKYREKIRRKFNLVEAPYSDCASHVLCPCCSLCQEYRELKARNLDPSLGWNGILAQRQYESEAPNFAPTNQYMSK >scaffold_105016.1 pep chromosome:v.1.0:1:27782767:27783057:1 gene:scaffold_105016.1 transcript:scaffold_105016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEMLKLKLMPSSFRRRVIVLAFAYAICKFFLMLIPPNVPSIDLDASDGIFFSLFVSAPRFVMYLSRRTSLSRGNIHDIER >scaffold_105017.1 pep chromosome:v.1.0:1:27792667:27792953:1 gene:scaffold_105017.1 transcript:scaffold_105017.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIQ3] MISPFFFPLSFTTSVVNNQDEIQELAIVGAHHHCRHRLCTWRSRRLLPSHFILRFEAPPSFHNVVQTVVITGARRCCRSHF >scaffold_105018.1 pep chromosome:v.1.0:1:27798814:27799090:-1 gene:scaffold_105018.1 transcript:scaffold_105018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTESLFSIDDGEIMLSSLIWWSDVNDSLIWEDEIFYVLAIIYGIVSIYSLVLLPAFFRFYFSFLNLETFLKL >scaffold_105020.1 pep chromosome:v.1.0:1:27804434:27805315:-1 gene:scaffold_105020.1 transcript:scaffold_105020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISATLPSPLLLTQRKSNLTSIQKLSFSLTRGTNDIFSVFSNSRFHLKSSLTLMVKASESSESSTDLNVVTSIQNVWDKSEDRLGLIGLSFAAIVALWASLNLITAIDKLPVISTGFELVGILFSTWFTYRYLLFKPDREELSKIVKKSVADILGQ >scaffold_105027.1 pep chromosome:v.1.0:1:27821684:27822658:-1 gene:scaffold_105027.1 transcript:scaffold_105027.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37 [Source:UniProtKB/TrEMBL;Acc:D7KIR3] MGKGTGSFGKRRNKSHTLCVRCGRRSFHIQKSRCSACAYPAARKRTYNWSVKAIRRKTTGTGRMRYLRNVPRRFKTGFREGTEAKPRNKAAASSA >scaffold_105033.1 pep chromosome:v.1.0:1:27844299:27844627:-1 gene:scaffold_105033.1 transcript:scaffold_105033.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIR9] MAAVRRRGQQRFRRAPVINVFLLRGVFGGGLGGGSSRRCCFRSGPSGGDLLVPINAAFVHGGAAAITWDFFSTSSTLSSGQLKFVIGFSRMKKE >scaffold_105034.1 pep chromosome:v.1.0:1:27844849:27845608:1 gene:scaffold_105034.1 transcript:scaffold_105034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVFLNEVSLWIGISSLVCLLLITAEFFTAWIAVHAIVIAMIARALLTNNRVLECGYIKLLQANKIIALIALKGKSAYAKLDRSFAISFSTRENLQEDRALLLAPATAVKDCRDNWDQKISAARARAEAAAARASDKYFTSMTFDKSLY >scaffold_105039.1 pep chromosome:v.1.0:1:27894838:27896447:1 gene:scaffold_105039.1 transcript:scaffold_105039.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJG3] MAPTGKGKASRGRGGGRSGVNIRSLCGLNKPKCIECGNVAPASRDSDADFHVEDSKITLNVCLSKQGEGGEIFFAGTQCKKHMDTDSKPEQQASQIRIANLERLVMYWKESDPAFAAFVASQPQPTAPANTQAANATTTNTTATANAPATAPTGTVAATTTPSSSF >scaffold_105042.1 pep chromosome:v.1.0:1:27910749:27912111:1 gene:scaffold_105042.1 transcript:scaffold_105042.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran-binding protein 1 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KJG6] MGDSENVQQPSKKRGALKQLSRDNPGLDDDDDSAELETGTFKKASDEVLASRRIFRVKRKEPSAAPAAAPNPFAGIQLVPTSAPASTPVGTNAPLPESKLAPAEAVVEDNQKASDIEEGDEVDSKKVDVKDPAGEETEKTKDEDDSHCGKSADDQAADADVAQTVSCDTNVSNNAVEGTDQTEVPLEKNSGVDQAEKKEKEGNGIEEADKNGDNGAFSSFQQHSSNKNAFTGLASTEASGSSFSFGLVSQDGSTGTGSLFGFGLPSSNNSSLFGATGSSIIKKTEGSGFPPKQEVSTETGEENEKVAFSADSIMFEYLDGGWKERGKGELKVNVSSNGGKARLVMRSKGNYRLILNASLYPEMKFAAMDKKGITFACVNSVSEGKEGLSTFALKFKDSTIVEEFRVAIDKHKDSKPMEKAAEESALPLKTPENSPTATDT >scaffold_105044.1 pep chromosome:v.1.0:1:27926430:27927187:1 gene:scaffold_105044.1 transcript:scaffold_105044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEKKTPGRCSPYIRVYGPQCQDGRSGFEAYQVSHNLLLAHAEAVDAFRKYKQLPKFTEAENKKLKKSSDFVGMNYYTSSFEDHIDKGDPKSPSWETDALVKWEGKYLNIESWETSPKI >scaffold_105048.1 pep chromosome:v.1.0:1:27954634:27959235:-1 gene:scaffold_105048.1 transcript:scaffold_105048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSIKEGKLYKDAKDHITKGNYIKALKILEDLTRIHKGDQNAWLIRHKQGQVFLQLSLETENSDMEFTYLLGSVGCYSEDDRLSSFCAKSLHILAKKLGSLMYYKRCLAKAKLALTVTFQDITDSAIRQKRLNETNKAMLKVIEDAESKIASPETLVASTMRKIFEPKVLESEKSSEPREDVVKRMRSFWAGLDVKVKREFMKVSIPKLKSHVERVWYKDGRDVLEQILASARKDMIWRFWMCCSKKFSSSEECKNHLEEVHAADLKLFTKKDRVQRIGKDWARKISVGSWEPVDAVAAVEMIKNQLEDVKVFASKCKNGWSREWPLAADEERGKLLKEIKLLLVSLCDHKTLSCSVRDWVMHFPVKHLEKLEVSAQSLVDSRLVETPQSICFLECHELNQILDFLNNIKCERNDGTDLVCRAVDGFLDRTRVKEKIDFDPQFSYLLLDRRLLKSNNAPCDDKGTINVFDPIVHYAKAHAQGDDIISWLTDNNSVDKTFPKPVREYNLDIWVAVLRAVQFTCRNLETKYENRVLLLGYGAALTIIESVCMREDERRRNLQEDQWIRYACLLCDRCEEIVPENSLAARIYLCAVRDVFEGALLPTFYVPDLEDCLNLIREQKSLSDDKVLESIGLLILVVTHKVLLIDSKILLIDNSRISLLNNLARLSVFDNRTYILQLMKPFLLADAVAADLALEEEKSQSKKKNDKTNKQRVSTSKSSPLDQTVEHKPPVNLELKTVQEDSMEPENALASESGPLEISSKTQNQEEATKDDPGCLKASQLTNNLNHLVLKCLILISFIRHFQDMLNMPGEDSLSAHLESTLGGAAVRYNSALDMTLKALLNIKVLKEDLKNNMQKFQQVPSALQNLFTALVSEVIKNEGVYSCLLSDLLTSLEEVISESSDAAKVVVAILEFWCCWKNPEGESLVTRLFTLAENERMSCRKCRWITNYPEQSSYAIVVAADSIRKLKCAFESIKFVDILKVIRMECQKSCDIQTRGCGESNFVHHFISRCPPVFTIVLEWEKSETEKEISETTKALDWEIDISRLYVGLEPNTNYRLVSMVGCGEEEEHICLAYENNRWVNLGRESLAGEDVGSLKSVVCFFGEMQVNLRRESLAGEDVGNWKSVVSFFGERKVRPEILFYEAV >scaffold_105051.1 pep chromosome:v.1.0:1:27983397:27986152:-1 gene:scaffold_105051.1 transcript:scaffold_105051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVNKRTQAFSVFIFFLSIAKSIRYLTKQRSSKQEERRLEDFQLLLSKLKDFQLPLDLIVEILKKLPTKSLMRFRCVSKQWSSIISNRRDFVESIMSRSLRQPPHKLPVFIFHRCAPETSFTVSSTFSLSTKPKVSIMPGPNNYYSFRYQYIQGFICCSSPVYDVVTIYNPTTRQCIPLRTEDRINDCQQWRTIRGNIDEELIPNGQAGVCIDGTIYYVAVRRKEMFKYGETIMLSFDVRSEIFYHVRTPEALWSPKWNDRALFNHQGKLGCISNNENNTSVWIMENAEKQEWSNITFDLLEYPGGDFRTFSVMFLRLQSRFSVYKRRRKTEVMRKGNEEKNYREEEYLQLPLDLIVEILKKLPGKSLVIGSDASQSNCQRLSAAEEEETIESVVTRSLAQPGQQLPLLVFHHCVPETFFTVSSSFSQSLKPAVSVYGHDKYPFKYQYVRGLICCYSIFSKLVRIYNPTTRQSVGLPEIGAPETEFQKCNCLFGYDPVMDQYKVLSMVIDFRELTQTFHVYTLGQSQSWRRIQGIDDAMMGNFFQAPRGFASMGLSTTEISTLVLLSFDIRSECFYHVWAPDTMLDAMSSSIVSHRTLLNYRGKLGCIGCTNKDTSTWVLENAKKQEWSKITFALPVDPLGRLKGCFDGFSGVTPAGEIFVTQYRYFFDKPLYVYYYDMNQNSFRRVEIQGTRLEKIPKYRYSIYVFAIHDHVENTMLLL >scaffold_105057.1 pep chromosome:v.1.0:1:28001886:28002774:-1 gene:scaffold_105057.1 transcript:scaffold_105057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFSNTGSSSNLYLHRCRQNLSLPSPSLFSPFWSSRLHPQTLFLVATPDRVRRIAVAPLGPPTPPSPDPPPPKNTTELTSLVGVASMIQDRVKIFLSVLIWISLFFWASALQGRDKGNGKGKKGSRFK >scaffold_105060.1 pep chromosome:v.1.0:1:28020518:28020972:-1 gene:scaffold_105060.1 transcript:scaffold_105060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJI2] MELLLPVSRVARARGVGTISGAEFEAKLRLEERFPDLGGGKSCLLARRLCIIRVYCPDMVVIIFSGADGTVAGDSHVKQTAGILTLKKKIDWSAARVRETEKKKNNRTYVQLVSNPSQLFYSSPALPTGHNNKFVKY >scaffold_105066.1 pep chromosome:v.1.0:1:28036514:28036886:-1 gene:scaffold_105066.1 transcript:scaffold_105066.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJI8] MGGPPMGCPCPTPNGPVMDKPVLTWPVMDWTIMDMGFIGLDSNGIGRPNRQKMLGQMIWGKNEKSSKLSKIENQSFSGDFSGDFFATKLDACLHGFIASISATSFDSCQI >scaffold_105067.1 pep chromosome:v.1.0:1:28037903:28040414:1 gene:scaffold_105067.1 transcript:scaffold_105067.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KJI9] MSKTLLSRIKPLTNPPPSNSFRSHFPITPRIKKLVSDTVSILKTQQNWSQILDDCFADEEVRFVDISPFVFDRIQDVEIGVKLFDWLSSEKKDEFFSNGFACSSFLKLLARHRIFNEIEDVLGNLRNENVKLTHEALSHVLHAYAESGFLSKAVEIYDYVVELYDSVPDVIACNALLSLVVKSRRLEDARKVYDEMCERGGCVDNYSTCIMVKGMCSEGKVEEGRKLIEDRWGKGCVPNIVFYNTIIGGYCKLGDIENAKLVFKELKLKGFMPTLETFGTMINGFCKKGDFVASDRLLEEVKERGLRVCVWFLNNIIDAKYRHGFKVDPAESIRWIVANDCKPDIATYNILINRLCKEGKKEVAAGLLDEASKKGLILTNLSYAPLIQAYCKSKEYDIASKLLLQLAERGCKPDIVTYGILIHGLVVSGHMDDAVNMKVKLIDRGVSPDAAIYNMLMSGLCKTGRFLPAKLLFSEMLDRSILPDAYVYATLIDGFIRSGDFDEARKVFTLSVEKGVKVDVVHHNAMIKGFCRSGMLDEALACMNRMNEEHLVPDKFTYSTIIDGYVKQQDMATAIKIFRDMEKNKCKPNVVTYTSLINGFCCQGDFSLAEETFKEMQSRDLVPNVVTYTTLIRSFAKESSTLEKAVYYWELMMTNKCVPNEVTFNCLLQGFVKKTSGRFLGEPDGFNHGQSFLFFEFFHRMKSDGWSDHGAAYNSVLVCLCVHGMVKTACMLQDRMVKKGFSPDPVSFAAILHGFCVVGNSKQWRNMDFRNLDEKGLEVAVRYSRVLEQHLPKAVICEASTILHAMVEKADTKEPEICRISVR >scaffold_105069.1 pep chromosome:v.1.0:1:28042983:28044600:-1 gene:scaffold_105069.1 transcript:scaffold_105069.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KJJ1] MAIRTFSSLVRALHQTPKSQSFRIFSTLLHDPPSPELVNEISRVLSDHRNPKDDLEHTLAAYSPRVSSNLVEQVLKRCKNLGFPAHRFFLWAKRIPDFEHSLESYHILVEILGCSKQFALLWDFLIEAREYNYFEITSKVFWIVFRAYSRANLPSEASRAFNRMVEFGIKPCVDDLDQLLHSLCDRKHVNHAQEFFDKAKGFGIVPSAKTYSILVRGWARIRDASGARKVFDEMLENNCVVDLLAYNALLDALCKSGDVDGAYKMFQEMGNLGLKPDAYSFAIFIHSYCDASDVHSAYQVLDRMKRYDLVPNVYTFNHIIKTLCKNEKVDDAYLLLDEMIQKGANPDTWTYNSIMAYHCDHCEVNRATKLLSRMDRTKCLPDRHTYNMVLKLLIRIGRFDRVTEIWEGMSERKFYPTVATYTVMIHGLVRKKGKLEEACRYFEMMIDEGIPPYSTTVEMLRNRLVGWGQMDVVDVLAGKMERSSSCSVRDMAVEMRGKRRRLGRRSEGSEDDDDDDDFELQRDTF >scaffold_105071.1 pep chromosome:v.1.0:1:28048049:28050232:-1 gene:scaffold_105071.1 transcript:scaffold_105071.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoyl attachment domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KJJ3] MNSCSLGAPRVRISATSFSRLRCGNFLIPNNQTLFIDQSPIKNLSQRTTTLRSVKAIQLSTVPPAETQAIADVEDSEETKSTVVNSQLIPNSSEVEALISEITDSTSIAEFELKLGGFRLYVARKLADQSSPLPQQIPPVVAASATPEGVHTNGSATSSSLAITKTSTSSADRPQTLANKAADQGLVILQSPTVGYFRRSKTIKGKRTPTICKEKDIVKEGQVLCYIEQLGGQIPVESDVSGEIVKILREDGEPVGYNDALITVLPSFPGIKKLQ >scaffold_105074.1 pep chromosome:v.1.0:1:28054646:28055072:1 gene:scaffold_105074.1 transcript:scaffold_105074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSSQAKDMSQSQVDFSDIMNLVVDDSDIDEDCCIWGKSKRKGSSIFREPKRKEETTTTCEAELTSLRNRVQYLENEVRILHDLINNFLGKSSI >scaffold_105077.1 pep chromosome:v.1.0:1:28063805:28065482:-1 gene:scaffold_105077.1 transcript:scaffold_105077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSANIKFGKTFYVWPTGVHKATIIWLHDVEFTGYCSVAALKSLKHPNIKWICPTAPKRPVTSLGGEVTTAWCDMTKASENMLDDFENLNDVNEYITSIFSCEPENVMKGLGGIGLGAAQALYYTSYYAFGWVPISPQIVIGINGWLPGWRRLEYNMCNTTLGAANRAATSQILLMHGTSDDVISSAFGYKCADSFRKAGFPTLFKQCGGSKHRLIQCVLL >scaffold_105078.1 pep chromosome:v.1.0:1:28067810:28069488:-1 gene:scaffold_105078.1 transcript:scaffold_105078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGNIKFGKTYFVRPTGVHKATIIWLHDVESTGYYSHTALGRLKHPNIKWICPTAPKRPVTSLGGEVTTAFMKGLGGVGLGAAQALYYTSCYAFGWVPISPQIVIGINGWLPGWRSLEYNMCNTNFGTANRAATSRILLMHGTSDDVIPSAFGYKCADSLRMSGFPTLFKQCGGSSKHRLIQ >scaffold_105080.1 pep chromosome:v.1.0:1:28084256:28086430:-1 gene:scaffold_105080.1 transcript:scaffold_105080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRIVSSNLKSLATASDFAVASSSRRFVVSKPVGLHLSTNRSTISASSSLLSRHFSSESAGTSVKKNVEDVMPIATGHEREELEAELEGRRLLDIDYPEGPFGTKESPAVVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKSFECPVCSQYFELEVVGPGGPPDGHGDDEDHHH >scaffold_105081.1 pep chromosome:v.1.0:1:28087394:28087610:1 gene:scaffold_105081.1 transcript:scaffold_105081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPSSFFSFDSLPPSNPPVSVSVEEKTGAGFSPSLPLSPFTMCHSSSSRNAEDGENR >scaffold_105083.1 pep chromosome:v.1.0:1:28093042:28093449:1 gene:scaffold_105083.1 transcript:scaffold_105083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIISCSALTTIRASSGSESLNPGPNRKKSASWWAPLFGLPSDPNYLNIESSGSAVNPESNPGKPDISGSGQKFRRGCLTEEKAKQLRRKTAEASTFHDVMYHSAIASRLASDISDRVED >scaffold_105089.1 pep chromosome:v.1.0:1:28113280:28116493:1 gene:scaffold_105089.1 transcript:scaffold_105089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVINLLPLIFLSFGFFSSLVIASFSEIESVPVFESIGEHRDESSPKISYDRINEVKRKCKSVLSSASELKLEDISRAQKRKLGFKYGDWDQDSGDSPILPFDSTNTLRNSSTKPMNLVSFSVTDLDLPHRTKKYIGVNGVLLLAITMFNELPSLRSYGIREFELWPSHTQLKISFQGIYVENDDDERVLCMLGETMLPSRDESDSSNPWKWVKEHDTPPLLQDDLILLLLRYPKSFTLTKRVIQGELTSLNQKPSLKFFDKVHLFSQLGKSVRYDFVSNDLVSKACDPYPYKNDTFTSSGSGINVYKGKGFCDLLQRVTNRAPLTVVPNWKCNGTDEYCSKLGPFASDGDIKSTDGSFKDVKLYMQNVHCEETAARSQTDAVTKVSAVFRAVHPNENLYISGLRSGIDNMTVTAEGIWKPSSGQLCMIGCRRGQVDGCNARVCLYIPTTFSIRQRSILVGTFSCLNTEKNLTPSFFPLSFEKLVEPMDMQNYFQSSASHPFYSYSKLDDAGAILEKNEEFSFGTIIKKSVMHFPKLEDSDDLLSSLSLLAEDLTFHTPAFTDKQASGTNFGMDVLSLGPLFGLFWRTSNFSIAEQTTTTPYRTKAEYTEKQLLLNVSAQISLTGENFGNFSVLYLEGLYDEHVGRMYLVGCRDVRASWKILFESPDLEAGLDCLIDVVVSYPPIKSRWLADPTAKVSISSNRPEDDPLYFKTVKLKTTPIFYRRQREDILSRAGVEGILRVLTLTFSIGCITSQLFYVSSNTDSLPFVSLVMLGVQALGYSLPLITRAEALFKRKAASATTYETPSYDLQRSQWFNVIDYTVKLLVMVCFLLTLRLCQKVWKSRARLLTRTPQELHKVPSDRRVLLIALILHALGYIITLIRHPARADRLVLGSYGSAASNWWQTETEEYIGLVQDFFLLPQVIANVMWQIDSRQPLRKLYYFGITLVRLFPHAYDYTVGSVPDPYFIGEEHEFVNPNFDFFSKFGDIAIPVTAILLAVIVFVQQRWDYDKLSQALSFGRFRILPSRSVKYERVMSESEMVSGVGVNGNHSDDE >scaffold_105093.1 pep chromosome:v.1.0:1:28134400:28135741:1 gene:scaffold_105093.1 transcript:scaffold_105093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPYDPNFTMASTIVGRADIDFDQEHDESASAAIVAVNLISSARLALKLDSVHNEYSAPYLVDKAGGSNNRKLTVKDCLTFALKKGGIPKAEDWIPLGSESKPPPSYKPALVSMKGKVIEPKDMEEVRELLVHQPVGAKLHVFTPHIELQQDAIYCGPSGEPGSYVGLRDGIIVGTEKFQGKPMATVKVWYKKFRFLKVALSRMFVHYNQGIAIEPDVGPTLLLLDFCVPRLSIN >scaffold_105096.1 pep chromosome:v.1.0:1:28140769:28141046:-1 gene:scaffold_105096.1 transcript:scaffold_105096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGTSKGILEIAKFGVYVAVPIVLMYTFANNSTNIKKFMGNHSYVVYPKEAPRPPSPEELREMAKEIARNKNIPSN >scaffold_105099.1 pep chromosome:v.1.0:1:28149563:28149813:-1 gene:scaffold_105099.1 transcript:scaffold_105099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFCFLVDQTRKVKRSKPAAGTCSRCGHCASIADMKTSTRFCFIPIYWRSWRAVVCSFCGSVLKSYR >scaffold_105106.1 pep chromosome:v.1.0:1:28194983:28196730:1 gene:scaffold_105106.1 transcript:scaffold_105106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGRRSSVTPSSPPTPVVHGVFGSSVAVADLFDLQPSICAIVSKPPHLSKWFPDYVYESPMLDTCYGFEFSDLKESESIKDLEMKKETPTKIDDLVSSKIDDMTDSQAAYSELVVEDSDIDDAVIDKNRRSLFRRVAKRKPTIPTVEEELTYLKNRVSDLEDKVSYLYDVISRLIISNGNNGN >scaffold_105112.1 pep chromosome:v.1.0:1:28209205:28209605:-1 gene:scaffold_105112.1 transcript:scaffold_105112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFWILLDLYAFHLDRRSPVPSIAFKTKGVHILRVCAGDEHAVAIDSNGYVILEPWNVYTWGRCDIGAPGNGDENDKPTPEVLLSLKKHIAVQV >scaffold_105113.1 pep chromosome:v.1.0:1:28211871:28212360:-1 gene:scaffold_105113.1 transcript:scaffold_105113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAILITFLIATSMVYQSLAQDEEISPISPESFAYEPAAAYEYDHDLLDHMTTQRIKFLQDCSDKMSSKCGVEMTEGLIDDKPVSENCCVNFLKIGRDCHEGLLTFVFATYELKDAASEILPRSKRMWNKCVETTAAKIGVPLAFET >scaffold_105114.1 pep chromosome:v.1.0:1:28215791:28217747:1 gene:scaffold_105114.1 transcript:scaffold_105114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQISGNNIPLSEVYWSLVNKADKKFSKIRDLPFYERSRYENYFFKVFKVYTQLWKFQQENRQKLVEAGLKRWEIGEIASRIAQLYYGHYMRTSDAGYLSESYVFYEDINIANKQLRFLARFLMVCLVLGRREMVHQLVDQFKRLIDECKRTFQETDFKEWKVVAQDIVRFLKSDTAFMNTRPLRYSLVLDPNLDAGTPRASRSLRLTDAILSSYYYNEVKYSELTLDSFRMLQCLEWEPSGSLYQSTGAKMGLNAPVGVSRINSQSMNDPTLPPNPRKAVLYRPSITHFLAVLATICEELPSHGILLLYLSASGKNGQISSSPLSARSATNVEENILRDFESHTITQERDPSLQITPSGQSLRQISEDAVSTPCGLSFGSHGLTGIHSIILETFM >scaffold_105116.1 pep chromosome:v.1.0:1:28222461:28223107:-1 gene:scaffold_105116.1 transcript:scaffold_105116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIRGSNQLVLTVTCLICLWFSVGMSSDSDPLIKTYVSSSLPSNNGLVSSVPVMVLFTADWCDLCHLMLPTLFKLDIDYKDQFKFYTVDISKEASIAIRYMVRAIPTTIVVKGGGLMTTFVGGDSRKLEKLVERYK >scaffold_105119.1 pep chromosome:v.1.0:1:28229517:28231007:-1 gene:scaffold_105119.1 transcript:scaffold_105119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRAVIIGAEGTPYHDQGQPAGQATQAVGLTNARTGTDHDGLFFFEIQFPDTYPSVPPKVHYHSGGLRINPNLYKCGKVCLSLIRTWTGKTREKWLPKESTMLQLLVSIQALILNEKPYFNEPGYEQSIGTPSGESFSKAYSENVFLLSLKTMVYSMRKPPEHFEEFVRSHFFVRSHDIVKACNAYKNGASVGSMVKDGVKDPAKTRQSGSKTFRTNVASFMKTVVDEFVDLGAIREANHRGFLCGIAFYITIVAFLTFGIASKKF >scaffold_105120.1 pep chromosome:v.1.0:1:28231538:28231838:-1 gene:scaffold_105120.1 transcript:scaffold_105120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKD1] MSIHLGFHVRELWRMRISIALMDGLTDLSCNNLWLDPSVHESLSKSCESSSNSVEPNAVNRFLPRTFLTEVSITNVVQSPRTSMCL >scaffold_105121.1 pep chromosome:v.1.0:1:28232306:28234912:-1 gene:scaffold_105121.1 transcript:scaffold_105121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDVVEIPPPPLIASGSRTRKPRKAVPEVIDVENYEFRNVGGLNGGNNVVDKKNKGKAIQVDSFSYNNVQSHHPGSSPINLETVQDYYGHKNNPFSQFSNQPIDVDDYTMFQDVLDPKNVPAGAEVTTVPWGLNSSSKGTAKSSRSSLRSQSMKEYGTSSLATTHVPQLWDYSLPQQNQPIYSSVSFSVVQPQTPDVLMVPNPTPNPFRFDASASSSRPIAAGPISSVQDSSNVRKIKEEFLRDFKRFDTVEDFSDHHYASKGKSSKQHSKNWVKKVQADWKILENDLPEAISVRACESRMDLLRAVIIGAEGTPYHDGLFFFDIQFPDTYPSVPPKVHYHSGGLRINPNLYNCGKVCLSLLGTWAGSAREKWLPKESTMLQLLVSIQALILNEKPYFNEPGYVQSVGTASGESHSKVYSENVFLLSLKTMVYSMRKPPQHFEEYVQNHFFVRSHDIVKACNAYKAGAPLGSMVKGGVQDLEQASQSGSKKFKTDVASFMQTVVDEFVKLGVKELAEKPKPPESSANTENQSNKTNRKRSRSSR >scaffold_105122.1 pep chromosome:v.1.0:1:28236230:28236491:-1 gene:scaffold_105122.1 transcript:scaffold_105122.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase copper chaperone family protein [Source:UniProtKB/TrEMBL;Acc:D7KKD3] MSGSQAQDSACSLDQPSKNVAATETKPKKRICCACPDTKKLRDECIVEHGESACTKWIEAHLSCLRSEGFKV >scaffold_105123.1 pep chromosome:v.1.0:1:28239559:28240052:-1 gene:scaffold_105123.1 transcript:scaffold_105123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFSMNPSSFRVICILHSIIALTSGTLMMFYTEKASIFGPGSEIASKLKGSTPHDELLIQISQSFSGLLLFAIGLVLFMVSFVKDREFHSFFAGGSVILYVLMAMWRVLFEWKIEDLAYEWPKQALGDIALAISWVFFLVYSWREKYD >scaffold_105124.1 pep chromosome:v.1.0:1:28259408:28261756:-1 gene:scaffold_105124.1 transcript:scaffold_105124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSNSKEKERSLSFLCCWYLGRRRVAMLLLLSLAFVVFVLGSYTINKESNSPNIHQSIETMDFGSNQTPISRELTSFYTQNSDNDHIRDSFKWNGIGGSDVDVNHPSPSHHHPCDSFSFPPPPPPEMRRPGPRPCPVCYLPPEEALAHMPKYRFESPVLKNLTYIHEESPVKPEESQGGSDFGGYPSLEHRANSFDIKESMTVHCGFIKGTKPGHQTGFDIDEDILHELDQSHDVIVASAIFGKYDIIQEPVNISEMARKNIPFYMFVDEETHLYLKNTSSYTDDNKRVGLWRIIVVHNVPYSDARRNGKVPKLLLHRLFPNVRYSIWVDAKLQLVVDPYQILERFLWRTNSSFAISRHYRRFDVFVEAEANKAARKYDNASIDYQVEFYKKEGLTPYTEAKLPITSDVPEGCTIIREHIPITNLFTCVWFNEVDRFTSRDQLSFAIARDKIREKVDWSINMFLDCERRNFVKQVYHRDILMNMKPPRASSRIFIEPPALPRGRLVGGRATTGKKTPGQRGKRRHRKVSAGGRNMR >scaffold_105127.1 pep chromosome:v.1.0:1:28280163:28281021:1 gene:scaffold_105127.1 transcript:scaffold_105127.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Legume lectin family protein [Source:UniProtKB/TrEMBL;Acc:D7KKD7] MKIQILCFTTLFLAIFTPQVTTAYKFKFDYFGNGTDLISFHGDAEYGPDTDGMSRSGAIALTRDNIPFSHGRAILTTPITFKPNASALYPFKTSFTFSITPKTNPNQGHGLAFIVVPSNRNDAGSGLGYLSLLNRTNNGNPNNHLFAVEFDVFQDKSLGDVNDNHVGIDINSVDSVVSVKSGYWVMTRNGWLFKELKLSSGDRYKAWIEYNNNYKVISVTIGLAHLKKPNRPLIEAKFDLSKVIHEQMYTGFAGSMGRGVERHEIWDWTFQN >scaffold_105142.1 pep chromosome:v.1.0:1:28354571:28354885:-1 gene:scaffold_105142.1 transcript:scaffold_105142.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KKE8] MENGSWITISFRFASSRLAGGPVPVSICRSVWTAAQIVFYGWRLGFLASGLVRCVNRVGFGFVGFSSVCCIRDGPKGILYGLYPEIAHWA >scaffold_105143.1 pep chromosome:v.1.0:1:28367001:28367191:-1 gene:scaffold_105143.1 transcript:scaffold_105143.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKE9] MATNRSFASNFTEKNCVLRHINNARSEMELSGEIVAGSENDMADGKDDV >scaffold_105150.1 pep chromosome:v.1.0:1:28403837:28405140:-1 gene:scaffold_105150.1 transcript:scaffold_105150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLKKRKLDENGIGMLNDGINGSVSSRLMPQDARKIIERFSTDQLLDILQEAVVRHTDVLEIVRLTADSDISQRKLFIRGLAAETTTEGLRSLFSNYGDLDEAIVILDKVTAKSKGYGFVTFKHVDGALLALKEPSKKIDGRVTVTQLAAAGNQGTTNSHVSDISMRKIYVANVPFDMPADRLLNQFLAYGDIEEGPLGFDKITGKSRGFALFVYKTAEGAQAALAEPMKVVDGKNLQCKLAIDGKKGKPPGQDGGAGVGHGHGHGDGMGMVPPPGPYGAAGGGHGGPGGLGNYGGYSGGPAPHHMNSTPSSMGVGTATGGYGSVYGSHYSGYGAESAGYGGLGAGSMGGTGGGYGGPGTGSRPYRMPPTSMSGGGYPESGHYGHSSASAYPAQHQPVGSSPVPRVPLGGMYPNVPPNY >scaffold_105152.1 pep chromosome:v.1.0:1:28407502:28409077:-1 gene:scaffold_105152.1 transcript:scaffold_105152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRSILRRPAKSFSSLFTRSFSSSSPLANSPAVSRSASSLLNRSRSLVAGFSALVRAGVSSARCMSTQATSSSLNDPNPNWSNKPPKETILLDGCDFEHWLVVMEKPEGDLTRDEIIDYYIKTLAQVVGSEEEARMKIYSVSHKCYFAFGALVSEDLSYKIKELPKVRWVLPDSYLDVKSKNYGGEPFIDGKAVPYDPKYHEEWIKNNDSSNSRTRRPRTLSGTRKFERRRENVRGNQDTGDRGPPPNQGLGGAPPPPPHIGNNPNMPPHMPPPTMNQNYRGPPPPNMGQNYQGPPPPNMNQNYQGPPPPNMGQNYQGPLPPNMNQQNYQEPPPPNMNQSYQGPPPSNMGQNYRGPSPPPPNMSQNYQGPPPPNMNGGWSGNYQQNGGYQQGQGGGMQQQPYPPNRVQ >scaffold_105154.1 pep chromosome:v.1.0:1:28412245:28414805:-1 gene:scaffold_105154.1 transcript:scaffold_105154.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DJ-1 family protein [Source:UniProtKB/TrEMBL;Acc:D7KKG0] MASSSSLCHCYFNPITVTPFPNTKRNHSSRRISLRVNRRSFSISATMSSSTKKVLIPVAHGTEPFEAVVMIDVLRRGGADVTVASVENQVGVDACHGIKMVADTLLSEITDSVFDLIMLPGGLPGGETLKNCKPLEKMVKKQDTDGRLNAAICCAPALALGTWGLLEGKKATCYPVFMEKLAACATAVESRVEIDGKIVTSRGPGTTMEFSVTLVEQLLGKEKAAEVSGPLVMRPNPGDEYTLTELNQVNWSFDGTPQILVPIANGSEEMEAVAIIDVLRRAKANVVVAALGDSLEVVASRKVKLVADVRLDEAEKNSYDLIVLPGGLGGAEAFASSEKLVNMLKKQAESNKPYGAICASPALVFEPHGLLKGKKATAFPAMCSKLTDQSHIEHRVLVDGNLITSRGPGTSLEFALAIVEKFYGREKGLQLAKATLV >scaffold_105157.1 pep chromosome:v.1.0:1:28431802:28434494:1 gene:scaffold_105157.1 transcript:scaffold_105157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSVKPISESDKLSDHLRDSSLTSEINKPDFRELDLGSPVSPLRSQPRGVTTTTSSSSSSSSGSLTGRVKHAPVIGRSNSLRSQSGSSSGNNNLRPRSDSATSSSSHSQPLISSATSPAPANVLPTGNICPSGKIQITGMTQTRSRSDVLGSGTGTYGHGSIMRGGGGSCISPAKPTTTGGGGSPPVIVGSSSRSSTVVAGDTPIWKKAVLGSESEEVKRLGNEMYRKGLFNEALKLYDRAIALSPTNAAYRSNRAAALTGLARIGEAVMECEEAVRSDPNYGRAHHRLALLLIRLGQVNSARKHLCFLGRPSDPMELQKLEVMEKHLIKCVDARRVSDWKTVLTEADAAIVSGADFAPQLFMCKVEAFLKLHRLDDAQSKLLEVPKVEPFPVSCSQTRFSGMACEAYTYFVKAQIEMALGRFENAVMAAEKASQIDPRCNEVAMLHNTVTLVARARARGNDLYKSERYTEASSAYAEGLRLDPCNAILYCNRAACWFKLGMWERSIEDCNQALRYQPCYTKPLLRRAASNSKMERWGAAVSDYEALIRELPHDKEVAESLFHAQVALKKSRGEEVLNMEFGGEVEEVYSREQFKTAMNLPGVSVIHFSTASDHQCKQISPFVDSLCTRYPSIHFLKVDIDKCPSIGNAENVRVVPTVKIYKNGSRVKEIVCPSKEVLEYSVRHYSG >scaffold_105159.1 pep chromosome:v.1.0:1:28463096:28463296:1 gene:scaffold_105159.1 transcript:scaffold_105159.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKG6] MATSKGFAVIFVLTLLITLLLFVGVNSTADPSKCRNFDPIGGFPLDCSKR >scaffold_105160.1 pep chromosome:v.1.0:1:28463917:28466172:-1 gene:scaffold_105160.1 transcript:scaffold_105160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:D7KKG7] MPLSRSLLSRRISNSFRFHHQGETTAPESDSYESIPPPSNMAGSSWSAMLPELLGEIIRRVEETEDSWPQRRDVVTCACVSKKWREITQDFARSSSLNSGKITFPSCLKLPGPRDFSNQCLIKRNKKTSTFYLYLALTPSFTDKGKFLLAARRFRTGAYTEYIISLDADDFSQGSNAYVGKLRSDFLGTNFTVYDSQPPHNGAKPSNGKASRRFASKQISPQVPAGNFEVGHVSYKFNLLKSRGPRRMVSTLRCPSSSPSSSSAGLSSDQKPWDVTKIMKKPNKDGSSFTILKNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATVDQSQPSGKGNEETVLLQFGKVGDDTFTMDYRQPLSAFQAFAICLTSFGTKLACE >scaffold_105162.1 pep chromosome:v.1.0:1:28471348:28472048:1 gene:scaffold_105162.1 transcript:scaffold_105162.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKG9] MAKAVTAPTPSLSEVIGALSHTGLESSNLIVGIDVTKSNGCFCHKANFFCTHY >scaffold_105163.1 pep chromosome:v.1.0:1:28475965:28477423:1 gene:scaffold_105163.1 transcript:scaffold_105163.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KKH1] MSAVKSVSSFRLASLLRRENDPSAAIKLFRNPDPESTNPKRPFRYSLLCYDIIITKLGGSKMFDELDQVLLQLKTDTRIVPTEILFCNVINFFGRGRLPSRALHMFDEMPQYRCQRTVKSLNSLLNTLLKCGEFEKMKERLSSIDEFGKPDACTYNILIHGCSQRGCFDDALKLFDEMVKKKVKPTGVTFGTLIHGLCKNLRVKEALKMKQDMLKVYGVRPTVHIYASLVKALCQIGELSFAFKLKDEAYEGKIKVDSAIYSTLISSLIKAGRSNEVSRILEEMSEKGCKPDTVTYNVLINGFCVENDSESAYRVLDEMVEKGLKPDVISYNMILGVLFRIQKWEEATYLFEDMPRRGCSPDTLSYRIVFDGLCEGLQFEEAAAILDEMLFKGYKPRRDRLERFLQKLCESGKLEILSKVISSMHRGNADDAKVWSVMIPTTMCKEPVISASLDLLLNTEKEDGPLSAMLPC >scaffold_105165.1 pep chromosome:v.1.0:1:28480753:28483801:1 gene:scaffold_105165.1 transcript:scaffold_105165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVVSFGVEKLWELLSRESARLNGIDEQVDGLKRQLGRLQSLLKDADAKKNETERVRNFLEDVKDIVYDADDIIESFLLNELRGKEKGIKKQVRRLACFLVDRRKFAYDIEGITKRISEVIVEMQSLGIQHINDGGGRSLSLQERQREIRQTFSKNSESDLVGLDQSVEELVDHLVENDNIQMVSVSGMGGIGKTTLARQVFHHDIVRRHFDGFSWVCVSQQFTRKDVWQRILQDLRPYDEDIVQMDEYTLQGELFELLETGRFLLVLDDVWKEEDWDRIKAVFPHKRGWKMLITSRNEGLGLHADPTCFAFRPRSLTPEESWKLFERIVSSRRDETEFRVDEAMGKEMVTYCGGLPLAVKVLGGLLAKKHTVLEWKRVYSNIGTQIVGKSGVNDDNPNSVYRVLSLSYEDLPMQLKHCFLYMAHFPEDYKIEVKTLFNYWVAEGIITSFDDGSTIQDSGENYLDELVRRNMVIVEESYLTSRIEYCQMHDMMREVCLSKAKEENFLRIVKVPTATLNTINAQSPCTSRRFALHSGNALHMLGHKDNKKARSVLIFGVEENFWKPQDFRCLPLLRVLDLSYVQFEEGKLPSSIGDLIHLRFLSLYEAGVSHIPSSLRNLKLLLCLNLGVADRLLVHVPNVLKEMKELRYLRLPRSMSAKTKLELRDLVNLESLTNFSTKHGSVTDLLRMTKLMVLNVIFSGGCSFESLLSSLGELRNLETLSFYDFQKVSVADHGGGLVLDFIHLKDLTLSMHMPRFPDQYRFPPHLAHIWLIGCRMEEDPMPILEKLLHLKSVYLSSGAFLGSRMVCSKGGFPQLLALKMSYKKELVEWRVEEGSMPCLRTLTVDNCKKLKQLPDGLEYVASLKELKIERMKREWTERLVLGGEDYYKVQHIPSVQFINCDH >scaffold_105166.1 pep chromosome:v.1.0:1:28484418:28485487:1 gene:scaffold_105166.1 transcript:scaffold_105166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRVPGKSIARFRCVSESILGHLDVTEQFLTKSVSHPRLLFSLEYTEKFLVFSSPQPQKPDENSSLVATPYKCFPKYFPTRVSTALGGLVFLQNLGRKKPRVICNPVTGESITLPKVKTTGVGDNYFGFDPISKQFKVLCMTWSRYGTPNTHRILTLETGKRLWRTIQDPVSPHYSWYDGICINGVLYYVAFFKESRRSYKIVCFDFKIEKFSFIKLDKDMVRGEKLTLFNYKGCISVYAGKRIWSKSICILPPIVHNCLIVGMTGTGDIVFSPFAGDLSIPFYIFFYNIESKTCTRVHIKGFEEFKHQFTFVHIFLDFVEDMKFM >scaffold_105169.1 pep chromosome:v.1.0:1:28496821:28497079:-1 gene:scaffold_105169.1 transcript:scaffold_105169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKSKKKKETLKALKFIKIPSLNSKESFSVSAFASRSTSAVKFQFPVRRVRTGDLMFPSLSSTALIPYQK >scaffold_105170.1 pep chromosome:v.1.0:1:28499116:28504180:1 gene:scaffold_105170.1 transcript:scaffold_105170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, coupled to transmembrane movement of substances [Source:UniProtKB/TrEMBL;Acc:D7KKH8] MGRFSHCSSRSSHTEKTNIVSPTSFTMSVNRRNWLKHGCNLRLVILIMWLVCYVGNGQTIADTSEFNNPAVLPLVTQMVYRSLSNSTAALNRELGTRAKFCVKDPDADWNRAFNFSSNLKFLSSCIQKTQGDIGRRICTAAEMKFYFNAFFNKTNNPGYLRPNVNCNLTSWVSGCEPGWGCSVDPTEQVDLQNSKEFPERRRTCMPCCEGFFCPRGLTCMIPCPLGAHCPLATLNKTTSLCEPYTYQLPSGRPNHTCGGANVWADVRSSGEVFCSAGSYCPTTTQKVPCDNGHYCRMGSTSEKPCFKLTSCNPNTANQNMHAFGVMVIAAVSTMLLIIYNCSDQILTTRERRQAKSREAAVKKAKAHQRWKAAREAAKKHVSEIRAQITRTFSGKKPNHDGDTHKMLGRGDSSEVDEDIDMSKYSSPASSSAAQSSYENEDDAATGSNGRVSLDIEGKRVKGQTLAKTKKTRSQIFKYAYDRIEKEKAMEQENKNLTFSGIVKMATNSETRKRPLMELSFKDLTLTLKSNGKQVLRCVTGSMKPGRITAVMGPSGAGKTSLLSALAGKAVGCKLSGLILINGKQESIHSYKKIIGFVPQDDVVHGNLTVEENLWFHAKCRLPAGLSKADKVLVVERIIDSLGLQAVRSSLVGTVEKRGISGGQRKRVNVGLEMVMEPSVLFLDEPTSGLDSASSQLLLRALRHEALEGVNICMVVHQPSYTLFKTFNDLVLLAKGGLTVYHGSVNKVEEYFSGLGIDVPDRINPPDYYIDVLEGVVISIGNSGVGYKELPQRWMLHKGYSVPLDMRNSAAGLETNPDTGNNSHDNAEQTFAGELWRDVKSNFRLRRDKIRHNFLKSRDLSHRRTPTTLLQYKYFLGRIAKQRMREAQLQATDYLILLLAGACLGSLVKASDESFGAPGYTYTIIAVSLLCKIAALRSFSLDKLHYWRESASGMSSSACFLAKDTIDFFNILVKPLVYLSMFYFFTNPRSTFFDNYIVLVCLVYCVTGIAYALAIFLQPSTAQLFSVLLPVVLTLVATQPKNSEVIKIIADLSYPKWALEAFVIGNAQRYYGVWMITRCGSLMKSGYDINEWNLCIMILLLIGVVTRGIAFVGMVILQKK >scaffold_105186.1 pep chromosome:v.1.0:1:28591099:28592695:1 gene:scaffold_105186.1 transcript:scaffold_105186.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase I family protein [Source:UniProtKB/TrEMBL;Acc:D7KKI9] MSMRLLSFLKQWRGTAKEAFENVSIVAKFLCLLHVTDRYIISTTHVHGPSMLPTLNLTGDVILAEHLSHRFGKIGLGDVVLVRSPRDPKRMVTKRILGLEGDRLTFSADPLVGDASVSVLVPKGHVWIQGDNLYASTDSRHFGPIPYSLIEGKALLRVWPPEYFGSLR >scaffold_105189.1 pep chromosome:v.1.0:1:28594460:28594651:1 gene:scaffold_105189.1 transcript:scaffold_105189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFVIRPIQMDSCIFMLVPNFTRPRFIYNVVEQSLPFYDFLHVYFVMS >scaffold_105192.1 pep chromosome:v.1.0:1:28600349:28601593:1 gene:scaffold_105192.1 transcript:scaffold_105192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHEQQVIEDDLTVAGSNKRSSTSGEETCYSDPIPVDLVINILSRLSLECIARCRCVSKLWSSIIRRPNYNLLFPLKSPATPRLLFVFKVPGELLFNASPQHFNPDRHSSLVATSLQKTTCNTSFFQLCRPVHGLVCCQHGVNSETRIGKVSYSFGYDPIDKQFKVLRITLLPRDSQWWYSEYQVLTLGLGNLSWRKIQCCTPHYILEDYGICINGVLYYPARLNTGKSTMVCFNVRSEKFSFTDIDKDVSIVTYLFVSVIDYNGKLGVCISDHLHNFELWVLENAEEHKWSKHIYKMPHLGVLECAGMIASGEIVLYPRFSAYTRYPFLYFYNLERNIITRVTLQVPIRKQLTYGRFYTFSNFVEDVTLI >scaffold_105195.1 pep chromosome:v.1.0:1:28607392:28608662:1 gene:scaffold_105195.1 transcript:scaffold_105195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLRSSISVEETEYFDRIPVDLVISNILSRLPLKSIAQCRCVSKLWSSRIRLPNYNLLFPIKPPAPPRIVFTIEYERELFVYSSPQLHNPGENTSLVATLHQRTRGQGFSVYRPPVGGLVCRQHYRKNPMHPSPVVSGSCMDNVSHYSFSFGYDPIDKQFKILRITTLYEYDTQNSSQYQVLTLGGARKKNLLWRNIQCCTNHYPYMSHDDNKICISGVLYYPAGCYKGKRHCVAIACFDVRSESFSFINVDLEDMTAEKISSYSFALIDYKGKLGACNCDTYKNLFELWVLENAEEHKWSKYLYQMPQLSVMISAVRAAGMIASGEIVLHPSYSVVHFFVLYYNLERNIITRVRLEVPLMVSGCWVHAFANYLEDLKLM >scaffold_105202.1 pep chromosome:v.1.0:1:28632208:28632412:-1 gene:scaffold_105202.1 transcript:scaffold_105202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVAILFIVFGAFLLFLFILWLIHFLYHKAKKDGKESIACLGSGYGGDFGG >scaffold_105206.1 pep chromosome:v.1.0:1:28691522:28693441:-1 gene:scaffold_105206.1 transcript:scaffold_105206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSEENFGGLEEEGGCDQSQNLKRVLCFVENMVHYLSELGMVHLDTTLFYLSLWAAATIYTVRCCLDKSPPWTDALELVAAEIFFVKLNHRVIRQSRIRRRTLAKTTNTSVDDDDDETQE >scaffold_105211.1 pep chromosome:v.1.0:1:28723218:28724647:1 gene:scaffold_105211.1 transcript:scaffold_105211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLTIQSSFVSARTRLSSFSKPNLSGFACRSLSKPRNLSLSVSSMGSSSSSQKPDNVQEAEKSDYASLSENEWKKRLTPEQYYITRQKGTERAFTGEYWNSKTPGVYNCVCCDTPLFDSSTKFDSGTGWPSYYKPIGNNVKTKLDLSIIFMPRQEVVCAVCNAHLGHVFDDGPRPTGKRYCLNSAALKLNALEKTRD >scaffold_105213.1 pep chromosome:v.1.0:1:28743114:28744605:1 gene:scaffold_105213.1 transcript:scaffold_105213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGYYLDTDLDLSFTSTTTDRTFTSSSARTSLARSSLTLSFNDRLSTATTPSTTTSSAATTLHHRRYDPHWTSIRAATTLSSDGRLHLRHFKLVHHLGTGNLGRVFLCHLRDCPNPTGFALKVIDRDVLTAKKLSHVETEAEILSLLDHPFLPTLYARIDASHYTCLLIDYCPNGDLHSLLRKQPNNRLPISSVRFFAAEVLVALEYLHALGIVYRDLKPENILIREDGHIMLSDFDLCFKADVVPTFRSRRLRRASSSPRRTRRVGGCFSTEVEYEREEIVAEFAAEPVTAFSKSCVGTHEYLAPELVAGNGHGSGVDWWAFGIFLYEMLHGTTPFKGGTKEQTLRNIVSNDDVAFTLEEEGMVEAKDLIEKLLVKDPRKRLGCARGAQDIKRHEFFQGIKWPLIRNYKPPEIRGLVKKTKAHDGHVTAVVTPRRRKWLWWALSHLLPSKSLNKSSSKIQSNNNYYHYVGKSYNAGGKRV >scaffold_105214.1 pep chromosome:v.1.0:1:28746527:28746847:1 gene:scaffold_105214.1 transcript:scaffold_105214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFKSKLNKGHAFTSKCASLVKEQRARLYILRRCATMLCCWYIQGDDSMDIEALSFGCLAI >scaffold_105218.1 pep chromosome:v.1.0:1:28761794:28764512:-1 gene:scaffold_105218.1 transcript:scaffold_105218.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle triple-a 1A [Source:UniProtKB/TrEMBL;Acc:D7KLA9] MVRDIEDEIRDEKNPRPLDEDDIALLKTYGLGPYSAPIKKVEKEIKDLAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >scaffold_105223.1 pep chromosome:v.1.0:1:28806388:28807790:-1 gene:scaffold_105223.1 transcript:scaffold_105223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKQQSKRSYSDPLPVDLVLNILSRLSLKAIARCRCVSKHWSSIIRRPNSNLLFPIKSFTPPKTCGKYLKKLCCPVCGLVCGQYLQSNHLGNYTWVVISNPITGESVTAPKVTIKRIPSERIKGRAEYSFGYDAIDNQFKVLRITWFSRSSENWYPKYHVLTLGTIKENFLWREIQCCTLHYPLDNSGICINGVLFYPAMINNEKHTVVCFDVRSENFSFINVEQDLARKFDRPLILMNYKGKLCVSDWAINHSLSELWVLEDAEKHKWSKHIYEVPLEWLLNEAGFVAPARMISHGGVVSYPYYEEEFIYTFHYNLERKIITKCLLLHGEYATTPKLTSDGVHQSRKKTYFGYDPIEKQFKLCLIMITWLPSGGSHEYQVLTLGTLG >scaffold_105224.1 pep chromosome:v.1.0:1:28808385:28809388:1 gene:scaffold_105224.1 transcript:scaffold_105224.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KLB6] MDEESIFSKFEGEETMGKVLLFAIVSIFTGILFLLLLHLYARLFWWRVEQHFNLNLIQSDEPGSTVIGRNPRRRRFVFAQGQEDPPHNAGLDSKILQSIHVIVFKSTDFKDGLECAVCLSELVDGDKARVLPRCNHGFHVDCIDMWFQSHSTCPLCRNTVGSVEETIHGGSEGLPQNQNFESGHSSNQHNTSQDQSPVLRFSTEPLSFPTNVLVWGDQNQVRSAGLVVTEESPSGNFADSSNDHQQESSSTNTHIRAQEVRAVVVDIPANSSENLPERIDEEEPKSPMFTRLRSLKKFLSREKKGVVSVIGSSGTNSNNV >scaffold_105226.1 pep chromosome:v.1.0:1:28814179:28814431:1 gene:scaffold_105226.1 transcript:scaffold_105226.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KLB9] MKEALKLVAIVLLTLVILALSGRPAPKSAILVGSSSSRVFRNRPKMNSFDQIDPSLRKIPRSGANPTQNK >scaffold_105227.1 pep chromosome:v.1.0:1:28822908:28825869:1 gene:scaffold_105227.1 transcript:scaffold_105227.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7KLC0] MAPIKDFISKFSDFKNNKKLILSSAAIALLLFATVVGIAATTNQNKNKKITTLSSTSHAVLKSVCSSTLYPELCFSTVAATGGKQLTSQKEVIEASLNLTTKAVKHNYFAVKKLIAKRKGLTPREVTALHDCLETIDETLDELHVAVEDIHQYPKQKSLRKHADDLKTLISSAITNQGTCLDGFSYDDADRKVRKVLLKGQVHVEHMCSNALAMIKNMTETDIANFELRDKSSSFTNNNNRKLKEVTGDLDSEGWPMWLSVGDRRLLQGSTIKADATVAADGSGDFTTVAAAVAAAPEKSNKRFVIHIKAGVYRENVEVTKKKKNIMFLGDGQGKTIITGSRNVVDGSTTFHSATVAAVGENFLARDITFQNTAGPSKHQAVALRVGSDFSAFYQCDMFAYQDTLYVHSNRQFFVKCHITGTVDFIFGNAAAVLQDCDINARRPNPGQKNMVTAQGRSDPNQNTGIVIQNCRIGGTSDLLAVKGTFPTYLGRPWKEYSRTVIMQSDISDVIRPEGWLEWSGSFALDTLTYREYLNRGGGAGTTNRVTWKGFKVITSDTEAQQFTAGQFIGGGGWLASTGFPFSLSL >scaffold_105233.1 pep chromosome:v.1.0:1:28854259:28855018:1 gene:scaffold_105233.1 transcript:scaffold_105233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISVNSLSSSVSVVSGSTTIDPDSLVEISDFNQTSRQRDSTQGLLGLRVTHCSMGLRKLRLIDWGLAEFYHPGKEYNVRVASRDLNFSRTCKIT >scaffold_105234.1 pep chromosome:v.1.0:1:28861470:28861988:1 gene:scaffold_105234.1 transcript:scaffold_105234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISVGLQLVTADSKEKPNNIVIKSSLRINRFNPIISELCFLKTCHLCNKQLRQDKDVYMYRGDLGFCSRECRESQILFDERKELEASTKMMLASYRRCNSGAGKSESRDLFDDLRRRRQLFIVP >scaffold_105235.1 pep chromosome:v.1.0:1:28877886:28879240:1 gene:scaffold_105235.1 transcript:scaffold_105235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPPPRSRRVTSEFIWPDLKKSSKKRSNVFDFDAEFEADFQGFKDDSSIDCDDDFDVGDVFADVKPFVFTSTPKPAVEGSAFGKKVDGEAERSGKRKRKNQYRGIRQRPWGKWAAEIRDPREGARIWLGTFKTAEEAARAYDAAARRIRGSKAKVNFPEENPKANSQKRSVKANLQKPVAKPSPNPSPALVQNLNNSFDNMCFMEEEKHQQVNNNNNQFGLTNSVDAGCNGYQYFSSDQGSNSFDCSEFGWSDQAPITPDISSALINSNNSGLFFEEANPAKKLKSMEFETPYNNTEWDASLDFLNEDAVTTQDNCVNPMDLWSIDEIHSMIGGVF >scaffold_105243.1 pep chromosome:v.1.0:1:28916352:28918018:-1 gene:scaffold_105243.1 transcript:scaffold_105243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGAGGELAHRRRNLSGSLAHFAHRRSSFTAFSSLLVQFFSLLKPSLTLSTHMHLVRSSINNKGRRWCPAIPISHPQRASPLRKSTLIEPPNFRIEILFTRKETSHQAKAYGELLLSDMISPTGTAMFLWFPSTASNPDQNHQMLPIPSPEMHVSPQNFSSSPYSGRRTQDLQLPVKRKLGQLVDFFMSGPLRCRKPNFKRGDPNPFLQKPRSALIYSLSILYQFLNHLSAPLDRGRQRKRNVSVLLWMFKNNLRTLPLDSPSFLDHEIFCLKKKGTLFPSPISRWCDCCHQSVNCLSLTHLITNFKQSLINKARSSLDYKENFRIFEINHEPSHHALESKRWKKNGIMIPSLRSGGYRRFFHLSPSFPLFTKIRQVQFNIIKVSIRETESLKKNGTMTPFPRSGGYQNFINPLYPFPLNYELKPVPEEIYIFQDILPLVPWSSLRLVPANQETKDTFSTSQDLLIVTIANFRELFAEVSMTHHEVACGLLLDCFGLRFSMEYQNYLSFFVVSLSSLKIIVLFFMLGELVNFAPMGLIE >scaffold_105257.1 pep chromosome:v.1.0:1:28959485:28961314:1 gene:scaffold_105257.1 transcript:scaffold_105257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKTEISLRRLLSAAPNQQNQSKLIHYVATLREQLEQLSEEKIPQGLPRVTKAKVNEYYEKIEAVASRIAAQVTDTELSYEIFAKDSTSDSSPKIEDDTQSPTSPQLRRRIVPASSKEQSYDADPSKPIKLDTAAQVRIDKQRKLQEDLTDEMVVLARQLKERSQMISQSVQNTEKILDSTEETIEQSLASTGHAAVRATKIYIQKAQKQVASRLPVALDLRHDLCVHNGCPVDPSHIENGS >scaffold_105260.1 pep chromosome:v.1.0:1:28971167:28973815:1 gene:scaffold_105260.1 transcript:scaffold_105260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATTIALYASPASTVCSTAHQINAHVSCDLDLNSRTSSASSSTNSPTIGGLSLLFSGASVKSSSSSSSSHPTVGEELASIRHDRSEDRTLSGSFCYSPSKFIGSSYLKRDHQSPVSVLHGPISSGNSPPMRISRDRNFDGGSSLRVGSSRLFNGFVRKAIGSCVDYDTDSVLVDEQLPFTMDDGFEGERKQPYARDLLRRAQLKHKIFEDESVIKAFYEAEKAHRGQMRANGDPYLQHCVETAMLLADIGANSTVVVAGILHDTLDDSFMSYDYILRTFGSGVADLVEGVSKLSQLSKLARENNTACKTVEADRLHTMFLAMADARAVLIKLADRLHNMMTLYALPPVKQQRFAKETLEIFAPLANRLGISSWKVELENMCFKHLYPDQHHEMSDMLEDSFNEAMITSAIGKLEQALKKEGISYHVLSGRHKSLYSIYCKMLKKKLTMDEIHDIHGLRLIVDNEKDCYKALGVVHKLWSEVPGKLKDYISHPKFNGYQSLHTVVMGDGTIPLEVQIRTKEMHLQAEFGFAAHWRYKEGDCKHSSFVLQMVEWARWVVTWHFETMSKDRSSICSSEPLCSFPSHAEDCPFSYKPNGNQEGPVYVIVIENEKMTVQEFPANSTVLDLLSRAGPGSSRWSMYSIPAKEELRPRLNQTPVNDLKCKLKMGDVVELTPAIPDKSLTEYREEIQRMYDRGLAFSRSHSAAAGTMVGWGS >scaffold_105272.1 pep chromosome:v.1.0:1:29037682:29038912:1 gene:scaffold_105272.1 transcript:scaffold_105272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSEDNSSDANIVGSGYTLSLDDMVLEALSTIDDEHDGSGRDVDGIFEYNNERYVIPENVRELLKDELEKLIAERKIEKVGNRYTIMPQRVPTTAATGEDSTMPQESASTSLVPRAPEENPQIDAVAKVVAEAENFEFQAKEAQELVDRHSQMLDLERLFLELAVEILNRCNVQLLTTSSSS >scaffold_105275.1 pep chromosome:v.1.0:1:29049618:29050767:1 gene:scaffold_105275.1 transcript:scaffold_105275.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRPABC16.5 [Source:UniProtKB/TrEMBL;Acc:D7KM40] MASNIILFEDIFVVDKLDPDGKKFDKVTRVQATSHNLEMFMHLDVNTEVYPLAVGDKFTLALAPTLNLDGTPDTGYFTPGAKKTLADKYEYIMHGKLYKISERDGKTPKAELYVSFGGLLMLLKGDPAHISHFELDQRLFLLMRKL >scaffold_105277.1 pep chromosome:v.1.0:1:29054369:29054594:1 gene:scaffold_105277.1 transcript:scaffold_105277.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KM42] MAKLRANRVVLHNPASRSSNWKRKAKQSRNRSSVMISSTVGGHQQKFGGPNQCVRQIKSDS >scaffold_105280.1 pep chromosome:v.1.0:1:29063795:29064950:1 gene:scaffold_105280.1 transcript:scaffold_105280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEAISTINDENGSNLKEILSFVEEQHEVPQNFKRLLSYSLRILVSQDKLKKVRNRYKISVTKAMKPTLTLCPKDSKKPPELPSTSVILTTSKETQEIDAAAN >scaffold_105286.1 pep chromosome:v.1.0:1:29082698:29083057:1 gene:scaffold_105286.1 transcript:scaffold_105286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFLFIYNPITGQYVTLPKVTREVHASINYFAIGHYYVHRYLKGICVNGVLYYAAASSMQPVIVCFDVRSEKFDFITCFRGWRET >scaffold_105289.1 pep chromosome:v.1.0:1:29089309:29089670:1 gene:scaffold_105289.1 transcript:scaffold_105289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKLRLIVILVICCVLVTSQSKDVRENVIQPSSCVFRGPCKRPRDCKTQCGPPDFPPFTIYSCQASPRGHGNICCCAKE >scaffold_105290.1 pep chromosome:v.1.0:1:29092505:29092888:1 gene:scaffold_105290.1 transcript:scaffold_105290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKLRLIVTLLVCCVLVTSQSKDVRENAIHPSQCVFRGPCNSHRNCKSQCGPPDFPPETIGFCQPSPRGHGNICCCAKD >scaffold_105291.1 pep chromosome:v.1.0:1:29098940:29100140:1 gene:scaffold_105291.1 transcript:scaffold_105291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKQSQTLVTPSAAKKSSEVASTSKKPLGVTSPVKKQSGVTSPVKKPSEAASLAKKPLEVVASTSKKPLKETSTTAKKMQPEPVKNPPPESSSDSEEESDSSSEEEDESSKDFGKKTASAPVVTNENSDSKLESETESVSYSKTEPTTKTPTTDAVVVSSPNKKRQSEGEPSTEVKRAKTETETETAKKPPFQRLWSEEDEIVLLQGMIDFSSDTDHDKKCFELANMIWGSDVDATLVKSTKKIKVDDSLKVDVVNVECDWFERSFIVGAFKNLGAGVDEETLKEKWYLVPVRTRKMIEEKIKSVQANEIKLVLQKLDVLHEVSSMMANSD >scaffold_105298.1 pep chromosome:v.1.0:1:29126822:29128061:1 gene:scaffold_105298.1 transcript:scaffold_105298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVFEFCSSLIAASRVTEADESLSEAKNAKKKQNLMSGKADNDTGDEDDCLKKNKGVEDDVVASVRETLVCNICFCMSEKPVTATDLFVKTESPSWDFDMLVHALFLLLHGMIYHLVPAEGRWKWIKSQPASRKSVEALCPLERKRIRKATKATRNSIGS >scaffold_105299.1 pep chromosome:v.1.0:1:29140440:29141068:-1 gene:scaffold_105299.1 transcript:scaffold_105299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGASPRIVDATDNSLDKIKQQLESRVIGTCFWDLLFKRAETEASTIRSIRTKI >scaffold_105305.1 pep chromosome:v.1.0:1:29174489:29175100:1 gene:scaffold_105305.1 transcript:scaffold_105305.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7KM69] MTNYNAIPTSSHPSPAIDLEYISRAKHRIKSGLATRRPWKSMFDFESMTLPHGFFDAISRIKTNLGYFRANYAIGVLFILFLSLLYHPTSLIVLSILVVFWIFLYFLRDEPLVVFGYQIDDRTVLIGLSVFTVVMLLLTHATSNILGSLLTAAVLVLIHAAVRRSDNLFLDEEAAAVSESSGLMSYPSS >scaffold_105308.1 pep chromosome:v.1.0:1:29182142:29182443:-1 gene:scaffold_105308.1 transcript:scaffold_105308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWTDILTNAAIFVIIQALAFLIIFTSSDIFSSKIKMKAKKRSFGFKLSRSISHLFALTSDDVSRADEEEVAEEEASFLLSSNLN >scaffold_105310.1 pep chromosome:v.1.0:1:29187247:29187681:1 gene:scaffold_105310.1 transcript:scaffold_105310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAGHLGSGIGLLAVGVWHLFNNIKLFCLNPNTYSSSPWFPSHKLRYLELYFIMISLSIITVRQLFTGPKRHNPFDPDGTIPSSHLRSVEHSILFISFIVYAVFAILFDRVRPRAAATAKAFVDTRI >scaffold_105311.1 pep chromosome:v.1.0:1:29188236:29188652:1 gene:scaffold_105311.1 transcript:scaffold_105311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAMPKSFLVSFVRSSSIACQGAWLIVMGFMLFTPSLLPKGCFFYVEKKHQLISCSSEEALHRAKSLINIEFSLLLVVFTIFIMTFYVVLDGVYGEKAEYYSILTTKDDQVKEEHKNSKILNR >scaffold_105312.1 pep chromosome:v.1.0:1:29190459:29191438:1 gene:scaffold_105312.1 transcript:scaffold_105312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGFAFFTLGLWHLFNNIKLFCLHPNTFTSSPWFPTSKLRYLELYLIMLSSSASIAMELFIGPKRHQPFDSDGTIPSNHLHNFEHSSISMSFLVYAVFAVVLDQARSISTHVSHGLTILVASSAFAQQLFLFNLHSADHMGIEGQYHKLLQLIIFVSLLTSLIGIALPKSFLVSFVRSSSITFQGVWFVVMGYMLWTPRLIPKGCFLHEEEGHQVIKCSSDKALHRAKSLVNIEFSWFFVGITIFVMSLYLILSGLYGENAEYSILITKDKSEESGEEQQDIESLKKSNPSFVQIGKLLGSEDV >scaffold_105313.1 pep chromosome:v.1.0:1:29192756:29193966:1 gene:scaffold_105313.1 transcript:scaffold_105313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVGHVIPGFAFLALGLWHLFNNIKLFCLHPKTFNSSTWFPISELGHLELYLIMLSSSASISMELFIGPRRHNPFDSDGTIPSNHLHNFEHSSISMSFLVYAVLALVLDRARPRPAASEGLTMLAAAAAFSQQLLLFHFHSTDHMGVGGQYHLILQVVIFVSLVTTILCIFLPKSFLVSLVRSSSIAFQGVWLIVMGCMLYIPSLIPKGCYIHDEIRHLMVKCSTEEALHRAKSLVNLEFSISVGYLLQTCTIFVVTLYLILDRVYGENVEYSILITNYQTEQDDEEQQHFESSFVQMGKLVGHRQKM >scaffold_105316.1 pep chromosome:v.1.0:1:29206993:29208424:1 gene:scaffold_105316.1 transcript:scaffold_105316.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7KM79] MEHSTRSLFITIVITSMLLGIGNSDLAQDREECTNQLIELSTCIPYVGGDAKAPTKDCCAGFGQVIRKSEKCVCILVRDKDDPQLGIKINASLAAHLPSACHITAPNITDCISILHIPRNSTLAKEFESLGRIEDNYNSTSTSQIHKDGAGGGKAESVKSNGWKKKKSWLGVELLIFASFSHLLLITSSI >scaffold_105318.1 pep chromosome:v.1.0:1:29211450:29213106:-1 gene:scaffold_105318.1 transcript:scaffold_105318.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KM81] MDLSSQRQSPNGSRGFRLQAPLVDSVSCYCRVDSGLKTVVEARKFVPGSKLCIQPDINPNAHRRKNSKRERTRIQPPLLPGLPDDLAVACLIRVPRAEHRKLRLVCKRWYRLASGNFFYSQRKLLGMSEEWVYVFKRDRDGKISWNTFDPISQLWQPLPPVPREYSEAVGFGCAVLSGCHLYLFGGKDPLRGSMRRVIFYNARTNKWHRAPDMLRKRHFFGCCVINNCLYVAGGECEGIQRTLRSAEVYDPNKNRWSFIADMSTAMVPLIGVVYDKKWFLKGLGSHQLVMSEAYDPEVNSWSPVSDGMVAGWRNPCTSLNGRLYGLDCRDGCKLRVFDESTDSWNKFMDSKAHLGSSKSLEAAALVPLHNKLCIIRNNMSMSLVDVSNPDKNNPRLWENIAVKGQSKSILSNIWSSIAGRALKSHIVHCQVLQA >scaffold_105321.1 pep chromosome:v.1.0:1:29220665:29222838:1 gene:scaffold_105321.1 transcript:scaffold_105321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYTPSPPRGYGRRGRSPSPRGRYGGRSRDLPTSLLVRNLRHDCRQEDLRKSFEQFGPVKDIYLPRDYYTGDPRGFGFVQFMDPADAADAKHHMDGYLLLGRELTVVFAEENRKKPTEMRARERGGGRSSRFRDRRRTPPRYYSRSRSPPRRRDRSRSRSGDYYSPPPRRHHPRSISPREERYDGRRSYSRSPASNGSRGRSLTPARGNSRNLSPSPKRSISRSPRRSRSPSLKRNRSLSPRRSISRSPRRSRSPRRSRSYTPEPARSRSQSPHGGQYEEDRSPSQ >scaffold_105324.1 pep chromosome:v.1.0:1:29238644:29238860:-1 gene:scaffold_105324.1 transcript:scaffold_105324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKMKMMMFVMVVAVAFSAAAAATVEAPAPSPTSDAAMFVPALFASVVALASGFIF >scaffold_105326.1 pep chromosome:v.1.0:1:29244449:29254579:-1 gene:scaffold_105326.1 transcript:scaffold_105326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDERGVLLACVISGTLFTVFGLGSFWILWAVNWRPWRLYSWIFARKWPKVLQGPQLDALCGVLSLFAWIVVVSPIAILIGWGSWLISILDRDIIGLAVIMAGTALLLAFYSIMLWWRTQWQSSRAVALLLLLGVALLCAYELCAVYVTAGAHASQQYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRKAYKFAYSDCIEVGPVACLPEPPDPNELYPRQTSRASHLGLLYLGSLVVLLAYSVLYGLTARESRWLGGITSAAVIVLDWNIGACLYGFKLLQNRVLALFVAGTSRLFLICFGIHYWYLGHCISYIFVASVLSGAAVSRHLSITDPSAARRDALQSTVIRLREGFRRKEQNSSSSSSDGCGSSMKRSSSIDVGHAGCTNEANRTAESCTADNLTRTGSSQEGINSDKSVESGRPSLGLRSSSCRSVVQEPEAGTSYFLDKVSDQNNTLVVCSSSGLDSQGYESSTSNSANQQLLDLNLALAFQDQLNDPRIASILKKKAKEGDLELTSLLQDKGLDPNFAVMLKEKNLDPTILALLQRSSLDADRDHRDNTDITIIDSNSVDNTLPNQISLSEELRLRGLEKWLKLSRLLLHHVAGTPERAWGLFSLVFILETIIVAIFRPKTITIINSSHQQFEFGFSVLLLSPVVCSIMAFLRSLQVEEMALTSKSRKYGFVAWLLSTSVGLSLSFLSKSSVLLGISLTVPLMAACLSIAVPIWMHNGYQFWVPQLSCGDQARDLRSPRIKGFILWICVVLFAGSVIALGAIISAKPLDDLKYKLFSARENNVTSPYTSSVYLGWAMSSGIALVVTAILPIVSWFATYRFSHSSAVCLMIFSVVLVAFCGTSYLEVVKSRDDQLPTKGDFLAALLPLACIPALLSLCCGMVKWKDDCWILSRGVYVFFSIGLLLLFGAIAAVIAVKPWTIGVSFLLVLFIMVVAIGVIHLWASNNFYLTRKQTSFVCFLALLLGLAAFLLGWHQDKAFAGASVGYFTFLSLLAGRALAVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSAAFLVLYGIALATEGWGVVASLIIYPPFAGAAVSAITLVVAFGFAVSRPCLTLEMMEVAVRFLSKDTIVQAISRSATKTRNALSGTYSAPQRSASSAALLVGDPSAMRDKAGNFVLPRDDVMKLRDRLRNEERVAGSIFYKMQCRKGFRHEPPTNVDYRRDMCAHARVLALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLRLFLDSIGFSDLSARKISKWKPEDRRQFEIIQESYLREKEMEEESLMQRREEEGRGKERRKALLEKEERKWKEIEASLIPSIPNAGSREAAAMAAAIRAVGGDSVLEDSFARERVSGIARRIRTAQLERRAQQTGISGAVCVLDDEPMISGKHCGQMDSSVCQSQKISISVTAMIQSESGPVCLFGTEFQKKVCWEILVAGSEQGIEAGQVGLRLITKGERQTTVAREWYIGATSITDGRWHTVTITIDADAGEATCYVDGGFDGYQTGLPLSIGSAIWEQGAEVWLGVRPPIDVDAFGRSDSDGVESKMHIMDVFLWGKCLSEDEAASLHAVIGMADLDMIDLSDDNWQWTDSPPRVDGWDSDPADVDLYDRDDVDWDGQFSSGRKRRSGRDFVMSVDSFARRHRKPRMETQEDMNQRMRSVELAVKEALSARGDKQFTDQEFPPNDRSLFVDTQNPPSKLQVVSEWMRPDSIVKENGSDSRPCLFSGDANPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGEWVPVVIDDWIPCESPGKPAFATSKKLNELWVSIVEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDLRSAQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHVSSSGIVQGHAYSVLQVREVDGHRLVQIRNPWANEVEWNGPWSDTSPEWTDRMKHKLKHIPQSKEGIFWMSWQDFQIHFRSIYVCRVYPREMRYSVHGQWRGYSAGGCQDYSSWHQNPQFRLRATGSDASLPIHVFITLTQGVGFSRTTPGFRNYQSSHDSQLFYIGMRILKTRGRRAAYNIFLHESVGGTDYVNSREISCEMVLDPDPKGYTIVPTTIHPGEEAPFVLSVFTKASIVLEAL >scaffold_105328.1 pep chromosome:v.1.0:1:29265615:29266304:-1 gene:scaffold_105328.1 transcript:scaffold_105328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNSATVMAVAEVSTDTWQLIWRVPSSQRINTEQLLDIAVCFPMHQLSRFVLCLWTFMCLPSSDSFYSYTYETLSASSSSDVDDIMQWLCSCHDICDHLIESS >scaffold_105331.1 pep chromosome:v.1.0:1:29270313:29270926:-1 gene:scaffold_105331.1 transcript:scaffold_105331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPYLTRTKPPCRLSDPAQPHKLCRRRRPTHPPLSICFTCKGKHLKRSKYYYYCATCNLEFHRGCHIFLPEIRSAFHPSHPLTFTALDPEFNASIIHQNWKDSSASEESSEELINEVVDYDHEDDGDDAVANEEDDDDNGDGNGGGDVYAVAYNDGASLSYIWKSPEMQMLCFSFRKAILSLFYLQVQS >scaffold_105332.1 pep chromosome:v.1.0:1:29282362:29283896:-1 gene:scaffold_105332.1 transcript:scaffold_105332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSDKLADAYQNARPRYPIDWFTKLAARTGQHKSAWDVGTGNGQAAIGLAEYFEKVIATDINEAQLKRAVKHERISYHHTPTTMSEDQMVALVGGDNSVDLIVAAQAVHYFDLAPFYNVAKRVLRKEGGLIAVFVYNDIIISPEVDSIMKRLVDSTFPFRTPVMNLAFDGYKTLPFPFESIGMGSEGKPIMLDIPHKLSLKGFIGFLRSWQPAMKAKERGVELVTEDLISKFEDAWGDDDDVKDIFYKAHMIVGKLSASDIERHRKRFESNCVVATLKDSNNSAVIASVTAAAFAGVAAYCAYSARKNS >scaffold_105335.1 pep chromosome:v.1.0:1:29303598:29304253:1 gene:scaffold_105335.1 transcript:scaffold_105335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVCMSESHQRQMQVFGQNPTRVVDGYSEEFEQTFLDLMRRSHRFSRIAATVVYNEYINDRHHVHMNSTEWATLTEFIKHLGKTGKCKVEETPKGWFITYIDRDSETLFKERLKNKRVKSDLAEEEKQEREIQKQIERAAEKLNAGGGEGETSGKEVDDEKKKDEDLRLKSGVKVGF >scaffold_105337.1 pep chromosome:v.1.0:1:29318185:29319816:1 gene:scaffold_105337.1 transcript:scaffold_105337.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7KM98] MSLAPSSYPSLYSSPSLPRTQQTKQNPSLITQSSFISAKSLFLSSNSNSLCNTHVAKRRNLASKASETESTAKTEGGGEGEAEEKYETYEVEVEQPYGLKFRKGRDGGTYIDAILPGGSADKTGKFTVGDRVIATSAVFGTEIWPAAEYGRTMYTIRQRIGPLLMQMEKRNGKAEDAGELTEKEIIRAERNAGYISSRLREIQMQNYLRKKEQKAQREKDLREGLQFSKNGKYEEALERFESVLGSKPTPEEASVASYNVACCYSKLNQVQAGLSALEEALKSGYEDFKRIRTDPDLENLRKSKDFDPLLKQFDESFINESAINAIKSLFGFNKK >scaffold_105341.1 pep chromosome:v.1.0:1:29330613:29332672:1 gene:scaffold_105341.1 transcript:scaffold_105341.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCDH BETA1 [Source:UniProtKB/TrEMBL;Acc:D7KMA1] MAALLGRSFRKMSFPSLSHGARRVSTETGKPLNLYSAINQALHIALDTDPRSYVFGEDVGFGGVFRCTTGLAERFGKNRVFNTPLCEQGIVGFGIGLAAMGNRAVVEIQFADYIYPAFDQIVNEAAKFRYRSGNQFNCGGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPREAKGLLLSCIRDPNPVVFFEPKWLYRQAVEEVPEHDYMIPLSEAEVIREGNDITLVGWGAQLTIMEQACLDAEKEGISCELIDLKTLLPWDKETVEASVKKTGRLLISHEAPVTGGFGAEISATILERCFLKLEAPVSRVCGLDTPFPLVFEPFYMPTKNKILDAIKSTVNY >scaffold_105347.1 pep chromosome:v.1.0:1:29358628:29358851:1 gene:scaffold_105347.1 transcript:scaffold_105347.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMA8] MKNLETKSSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCSVSRSSRRVF >scaffold_105348.1 pep chromosome:v.1.0:1:29367499:29367841:1 gene:scaffold_105348.1 transcript:scaffold_105348.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KMZ2] MIKPTVETIESHQQQRNTAQPDPIEQLKSTSHHELTGNATKPCKRSTTAAKPNQKRLHSPPGREPPQNSNKKAAPRTETSPSSSRTSKTHRKKKTHGNKS >scaffold_105351.1 pep chromosome:v.1.0:1:29379714:29381627:1 gene:scaffold_105351.1 transcript:scaffold_105351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGVKLLAVCLCVATATVMMVQAEDPYFHHVWNVTYGTASPLGVPQQVILINGQFPGPNINSTSNNNVIVNVFNNLDEPFLITWNGIQHRKNCWQDGTAGTMCPIPPGQNFTYHFQPKDQIGSYFYYPTTAMHRAAGGFGGLRVNSRLLIPVPYADPEDDYTVLINDWYTKSHTQLKKFLDSGRTIGRPDGILINGKSGKTDGSDKPLFTLKPGKTYRVRICNVGLKASLNFRIQNHKMKLVEMEGSHVLQNDYDSLDVHVGQCFGVIVTADQELKDYYMVASTRFLKKPLTTTGLLRYEGGKGPASSQLPAAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGKINITRTIKLVNTQGKVDGKLRYALSGVSHTDPETPLKLAEYFGVADKVFKYDTISDNPNPDQIKNIKIEPNVLNITHRTFIEVVFENHERSVQSWHLDGYSFFAVAVEPGTWTPEKRKNYNLLDAVSRHTVQVYPKCWAAILLTFDNCGMWNIRSENSERRYLGQQLYASVLSPEKSLRDEYNMPETSLQCGLVKGKPKVNPYAGA >scaffold_105352.1 pep chromosome:v.1.0:1:29387329:29388709:1 gene:scaffold_105352.1 transcript:scaffold_105352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSFKSSSSSSEDATATTTDNPPPFFLASSSAATSASHHLRRLLFTAANFVSQSNFTAAQNLLSILSLNSSPHGDSTERLVHLFTKALSVRINRQQQDPTAETVATWTTNEMTMSNSTVFTSSVCKEQFLFRTKNNNSDFESCYYLWLNQLTPFIRFGHLTANQAILDATETNDNGALHILDLDISQGLQWPPLMQALAERSSNPNSPPPSLRITGCGRDVTGLNRTGDRLTRFADSLGLQFQFHTLVIVEEEDLAGLLLQIRLLALSAVQGETIAVNCVHFLHKIFNDDGDMIGHFLSAIKSLNPRIVTMAEREANHGDHSFLNRFSEAVDHYMAIFDSLEATLPPNSRERLTLEQRWFGMEILDVVAAEATERKQRHRRFEIWEEMMKRFGFVNVPIGSFALSQAKLLLRLHYPSEGYNLQFLNNSLFLGWQNRLLFSVSSWK >scaffold_105356.1 pep chromosome:v.1.0:1:29411187:29414748:-1 gene:scaffold_105356.1 transcript:scaffold_105356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKWLSLLVLILCFFATSLMMGIHGKQLINDDFNETALLMAFKQISVKSDPNNVLGNWKYESGRGSCSWRGVSCSDDGRIVGLDLRNGGLTGTLNLVNLTALPNLQNLYLQGNYFSSSSAGDSSGSDSSSCYLQVLDLSSNSISDYSMVDYVFSKCSNLVSVNISNNKLVGKLGFAPSSLKSLTTVDLSYNILSEKIPESFISDLPSSLKYLDLTHNNLSGDFSDLSFGFCGNLSFLSLSQNNISGDKLPITLPNCKFLETLNISRNNLAGKIPGGGYWGSFQNLKHLSLAHNRLSGEIPPELSLLCKTLVVLDLSGNAFSGELPPQFTACVSLKNLNLGNNFLSGDFLSTVVSKITGITYLYVAYNNISGSVPISLTNCSNLRVLDLSSNGFTGNVPSGFCSLQSSPVLEKILIANNYLSGTVPMELGKCKSLKTIDLSFNELTGPIPKEIWMLPNLSDLVMWANNLTGRIPEGVCVKGGNLETLILNNNLLTGSIPKSISRCTNMIWISLSSNRLTGKIPSGIGNLSKLAILQLGNNSLSGNVPRELGNCKSLIWLDLNSNNLTGDLPGELASQAGLVMPGSVSGKQFAFVRNEGGTDCRGAGGLVEFEGIRAERLERLPMVHSCPATRIYSGMTMYTFSANGSMIYFDISYNAVSGFIPPGYGNMGYLQVLNLGHNRITGTIPDNLGGLKAIGVLDLSHNNLQGYLPGSLGSLSFLSDLDVSNNNLTGPIPFGGQLTTFPVSRYANNSGLCGVPLRPCGSAPRRPITSRVHAKKQTVATAVIAGIAFSFMCFVMLVMALYRVRKVQKKEQKREKYIESLPTSGSCSWKLSSVPEPLSINVATFEKPLRKLTFAHLLEATNGFSAETMIGSGGFGEVYKAQLRDGSVVAIKKLIRITGQGDREFMAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKWGSLETVLHEKSSKKGGIFLNWASRKKIAIGAARGLAFLHHSCIPHIIHRDMKSSNVLLDEDFEARVSDFGMARLVSALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSYGVILLELLSGKKPIDPGEFGEDNNLVGWAKQLYREKRGAEILDPELVIEKSGDVELFHYLKIASQCLDDRPFKRPTMIQVMAMFKELKADTEEDESLDEFSLKETPLVEESRDKEP >scaffold_105358.1 pep chromosome:v.1.0:1:29425744:29427220:-1 gene:scaffold_105358.1 transcript:scaffold_105358.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KN02] MNSLVHYSTSVVVRKASRFLFTSRRFCSGSIGGDVTDNGIEEPLKISWESSEMDCGFDEEEHGEKISVRRRFMESAKLGASRVLDTLQQDCPGFNTKSALDDLNVTISGLLVREVLVGILRTLSYDNKTRCAKLAYKFFVWFGGQENFRHTANCYHLLMKIFAECGEYKAMCRLIDEMIKDGYPTTACTFNLLICTCGEAGLARDVVEQFIKSKTFNYRPYKHSYNAILHSLLGVKQYKLIDWVYEQMLEDGFSPDVLTYNIVMFANFRLGKTDRLYRLLDEMVKDGFSPDLYTYNILLHHLATGNKPLAALNLLTHMREVGVEPGVIHFTTLVDGLSRAGKLEACKYFMDEMVKVGCTPDVVCYTVMITGYISGGELEKAEEMFKEMTEKGQLPNVFTYNSMIRGFCMAGKFKEACSLLKEMESRGCNPNFVVYSTLVNNLRNAGKVLEAHEIVKDMVEKGHYVHLISMFKKYRRS >scaffold_105359.1 pep chromosome:v.1.0:1:29427690:29428293:1 gene:scaffold_105359.1 transcript:scaffold_105359.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7KN03] MLAPAETVLITTEEISPSVGDVISMSVHNLIASVSSYRPWWSEFLAFGSIDRPTSFSSAASRAKLNLCHFVVNYFLLTAALVTLFLIGDPVALLTLASFAVMWMLLYCFRDHPLVLYGRHISDRIIVVGLIIGSLWALWFINCLQSLVLGIVTSVLLCLVHAVVRNSDDLFVQEKDVVIPSNFLHWS >scaffold_105361.1 pep chromosome:v.1.0:1:29434644:29436970:-1 gene:scaffold_105361.1 transcript:scaffold_105361.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KN06] MSNYQGDDAEYMEDVDDEMDEDDLDEDFRGDDMAVSDSDVDEFDYSNNKIADTSADQARKGKDIQGIPWDRLSITREKYRQTRLEQYKNYENVPNSGESSGKDCMATQKGALFYDFWRNTRSIKSSILHFQLRNLVWATSKHDVYLMSQYLVSHYSTLTSGKHEVLNVQGHVSPSEKHPGSLLEGFTKTQVSTLAVRDKFLVAGGFQGELICKHLDRPGVSYCSRTTYDDNAITNAIEIYNKPSGALHFTASNNDCGVRDFDMERYQLVNHFRFPWPVNHTSLSPDGKLLTIVGDNPEGLLVEPNTGKTLATLSGHLDFSFASAWHPDGFTFSTGNQDKTCRVWDIRNLSKSVAVLRGNLGAIRSIRYTSDGKYMAMAEPADFVHVYDVSNGYETEQEIDFFGEISGISFSPDTEALFIGVWDRTYGSLIEYGRRHNYSYLDSFL >scaffold_105365.1 pep chromosome:v.1.0:1:29458636:29458976:-1 gene:scaffold_105365.1 transcript:scaffold_105365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRELEGRVKDEEPKRTFGQEYVCFVKAENPTCLGIDEAECIASWEYKVKDGPKKREMEKKLKKLQAVQAELCMMRIGFTAQAANVMFKQDNASSSG >scaffold_105366.1 pep chromosome:v.1.0:1:29462049:29462475:1 gene:scaffold_105366.1 transcript:scaffold_105366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRLADFRYSTNIFEKYTECNVIKSQIKHCEEHNRQRWLLSQLLNVVSPDGPNTLFFFFCQHSNVV >scaffold_105368.1 pep chromosome:v.1.0:1:29487446:29490062:-1 gene:scaffold_105368.1 transcript:scaffold_105368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSGNEKLQIGEVVLANHDLHVTNNNDPSEVAEKKAKKRKSKSSDKSRKKPSVETPTEAKDDEKGEGSGTRMGENQQICDADQEEHVDGHFIDVEASLDLMGTMEQDLQKEVGNADLVMIDDQEKDLEKALMVVDNLLTELNQYTDDVENEGLIVDLVNATSEAIKNETEMGTKEKDGDEEAKSEKPKKKKRSKKGKTPAKEDALVASSSRNAGECVFVTTNIDTRLGVLSDKDDSVSTFRDKICKEHEQCFPSFGKITISALKVRCGGQLYHLVDSLILNIAFPSNDSFLFVDVVSVEEKGIMLTGEAAFSNPKLLERETNDLTIKEALVTQAADKKTRKRKHKTSDSAHANESRKKRSLGDESSDKGEVPTGTIGKDLEKGEKSAATVEQEKDLALVDDEKESHKDDVCLGAIVQAPSAANNLLTAELLDVNQSADVESDKDGVDATSASLPNPRGDKPVINILVLMAPVPNKKGRPKKDADEATTSVKAAKKGRAKKDKQENVEKVVKKSSKKSRKKQSSEVVEEEA >scaffold_105369.1 pep chromosome:v.1.0:1:29490816:29491070:1 gene:scaffold_105369.1 transcript:scaffold_105369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGRICMLCTCLILVVIAIGLVFGFGVFRNGFNKIHETIHLDCDPRLGCNGGSRRAYGFVAPPNKF >scaffold_105370.1 pep chromosome:v.1.0:1:29494075:29495326:1 gene:scaffold_105370.1 transcript:scaffold_105370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVAYQLFLTKSVARPRLLFTFKANKELHVFSSPQPQSPDGISSLVATPYKSSPYKSFPKYFPTDICTSHCGLVFLQHRRRKARVICNPVTGESITLPKLKGRSSYFGFDPISKHFKVLCMTENTHWILILETGKRLWRTIQDPVPSHSFMDDDGIFINGILYYMGYFGGLCLKIVCFDFRLEKFGVVKLDKDMQIIPKLTLFNCKGKLGVHQHIGMWCEVKSFALWVLEDAGEHKWSKRIFILPSIVRFQMFVGMTGTGEIVFSPCKSFQPNPFYIFFYNIERNTVTRIHIQGFEEFEHHRTSVQTILNFVEDVKFI >scaffold_105371.1 pep chromosome:v.1.0:1:29495658:29500123:-1 gene:scaffold_105371.1 transcript:scaffold_105371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQVEKRVKYKSSVKDPGTPGFLRIREGMLLFVPNDPKSDSKLKVLTQNIKSQKNTKEESNKPPWLNLTNKLGKSHIFEFENYPDMHACRDFITKALAKCEEEPNKSVVSTSSEQLSIKELELRFKLLRENSELQRLHKQFVESKVLTEDEFWATRKKLLGKDSIRKSKQQVGLKSMMVSGIKPSTDGRTNRVTFNLTPEIIFQIFAEKPAVRQAFINYVPSKMTEKDFWTKYFRAEYLYSTKNTAVAAAEAAEDEELAVFLKPDEILARETRQKIRRVDPTLDMEADQGDDYTHLMDHGIQRDGTMDVVEPQNDQFRRSLLQDLNRHAAVVLEGRSIDVESEDTRIVAEALTRVKQVSKADGETTKDANLERLERMSRLAGMEDLQAPQNFPLAPLSIKDPRDYFESQQGNVLNVPRGAKGLKRNVHEAYGLLKESILEIRATGLSDPLIRPEVSFEVFSSLTRTISTAKNIIGKNPRESFLDRLPKSTKDEVLHHWTSIQELLRHFWSSYPITTTYLHTKVGKLKDAMSNTYSKLEAMKESVQSDLRHQVSLLVRPMQQALDAAFQHYEADLQRRTAKSGGERPNGYV >scaffold_105372.1 pep chromosome:v.1.0:1:29500436:29501331:1 gene:scaffold_105372.1 transcript:scaffold_105372.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KN17] MAGLPAFPRETFALNINPTSLITTIRAYHPKYQSLVFLTVSGILFICSKFLKKHVEEEGAYYRDIFLVFLVLIIGPRQTVRARQRLMAKLGDWAFALIKGVSTVVSFTFFHWLGKSLMGEKDLYLAMATIFFFLYLLSIRVVYEITYDLGIAQGFLTSGYTLLLRDYPELPDSIYISCAFTVLLAIMNTAQPPRDQPYDEIQLDPLEMPALEDDNEEHSQLLQALVIVSIGNTVPTTIPVPAIKPSNHRAEHGGWALPESWRWRLARPNSTNWRLNRPNSMTW >scaffold_105373.1 pep chromosome:v.1.0:1:29501744:29502297:1 gene:scaffold_105373.1 transcript:scaffold_105373.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KN18] MMTPEGMWKVIATLSVFAISISLYSLCPSSSSLWYAAIGGTTLYVVLLLISHFCTNVRIYKANEPPLPATRASYSVILVAAMFYFPYYYSTIHVLIYVCSMFAFGISMFQLNSPMDTKHAVYTLFFGFFSAFEANGIGNWPDSQRSFWWVIVFTIMFAKLLFPMNGIYI >scaffold_105376.1 pep chromosome:v.1.0:1:29504705:29506150:-1 gene:scaffold_105376.1 transcript:scaffold_105376.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KN20] MTDSAYRVDTISRLAQWRIHNLSSSTYRKSDPFKMGLWNWHLSVEKSKMLLNVKLYPEVSNLTRENPPVASFVLRVVSSAGDRKVLSHPEVIDKRIKTNEDFIWTIEVPLTGKIIIDVEFLDLKVLSQDSGEIYSIWADGSTENQSKGTAVTSLGRMLTESIYTDIMINASGGSIGAHRAVLAARSPVFRSMFLHDLKEKELSEINILDMPLDACQAFLSYIYGNIQNEDFLIHRLALLQAAEKYDIADLKDACHLSLLDDIDTKNVLERLQNAYLYQLPELKASCMRYLVKFGKIFEICDEFNIFMQCADRDLISEVFHEVLSTWKGF >scaffold_105377.1 pep chromosome:v.1.0:1:29508626:29509198:-1 gene:scaffold_105377.1 transcript:scaffold_105377.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7KN21] MKQFAGVLFLCIVLLSFVAGNANSGMISDLCKHSDDPKLCLSSITSRPESGEFAGTSNQIEIIAISAASANASATSSYIKKKLSNEDLEPAIEDTLEDCQKNYQDAVEQLDDSISAMLADAHADVDVWLRAAISAIESCGSALDSRAGNDAELSQRNKVFLKLCKNALMINKMLT >scaffold_105379.1 pep chromosome:v.1.0:1:29512638:29514516:-1 gene:scaffold_105379.1 transcript:scaffold_105379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKTKLSKVPSFIAPMAMREFSKLTSRARDNDEEEEVWVKHYSSNHQILLVGEGDFSFSHSLATLFGSASNICASSLDSYDVVVRKYKKARSNIETLKRLGALLLHGVDATTLHFHPDLRYRRFDRVIFNFPHAGFHGRESDSSLIRKHRELVFGFFNGASRLLRADGEVHVSHKNKAPFCNWNLEELASRCFLVLIQRVAFEKSNYPGYENKRGDGSRCDKPFLLGECSTFKFRFSRVAKELYAEKVKSREVKEQESSCAQAFINTQPVSFDHGYPLQTEFHGVSHRPVSFDLSYRGDYNLRQVQDPLVQSRERTSPLDLCYYQERRRLQFENARFQENSIELDKFRYTERSSLLCQDFPFQTNHHRQEQFHESSNHSDSPDIYNEIIQRMLTRTRFPHLYVGESPERRHLLCQDFPVQASQEPPFGCSNRFSGVSHEIYNWEVPRMLTSTRFPHPYTGESQERRQSNLNTHPPQHW >scaffold_105386.1 pep chromosome:v.1.0:1:29531322:29533449:1 gene:scaffold_105386.1 transcript:scaffold_105386.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor [Source:UniProtKB/TrEMBL;Acc:D7KN27] MSITNEEAVKQLRALMEDVDDSLRESYRNIHQGYTTETLSRFLKARDWNVQKAHKMLLECLEWRTQNEIDKILAKPIVPVDLYRAIRDTQLVGVSGYSKEGLPVIAIGVGLSTYDKASVHYYIQSHIQMNEYRDRVVLPSATKKQGRPICTCLKILDMSGLKLSALSQIKLMTAITTIDDLNYPEKTETYYVVNVPYIFSACWKTIKPLLQERTKKKIQVLKGCGKDELLKIMDYESLPHFCRREGSGSGRHITNGTVDNCFSLDHSFHQDLYDYVKQQALVKGSSAPIRHGSVHVKFPEPDTEGNKIFDTLETEFQKLGNDQKI >scaffold_105391.1 pep chromosome:v.1.0:1:29565843:29566071:-1 gene:scaffold_105391.1 transcript:scaffold_105391.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KN33] MARNGVNCVIYGRPSSLYRSDENDPLVDDKRSAFLQPTYLQCFANGIPPCFTNSKPFAIECV >scaffold_105392.1 pep chromosome:v.1.0:1:29566461:29568337:-1 gene:scaffold_105392.1 transcript:scaffold_105392.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal-5'-phosphate-dependent enzyme, beta family protein [Source:UniProtKB/TrEMBL;Acc:D7KN34] MAPVNMTGAVVAAAALLMLSSYSFFRLAEKKKKRKDKLSMRNGLVDAIGNTPLIRINSLSEATGCEILGKCEFLNPGGSVKDRVALKIIQEALESGKLFPGGIVTEGSAGSTAISLATVAPAYGCKCHVVLPDDAAIEKSQIIEALGATVERVRPVSITHKDHYVNIARRRADEANELASKRRLGSETNGIHQEKTNGCTVEEEKECFLFSDSVTGGFFADQFENLANYRAHYEGTGPEIWHQTQGNIDAFVAAAGTGGTLAGVSRFLQDKNERVKCFLIDPPGSGLYNKVTRGVMYTREEAEGRRLKNPFDTITEGIGINRLTKNFLMAKLDGGFRGTDKEAVEMSRFLLKNDGLFVGSSSAMNCVGAVRVAQTLGPGHTIVTILCDSGMRHLSKFHDPKYLDRYGLSPTAIGLEFLGIK >scaffold_105398.1 pep chromosome:v.1.0:1:29580265:29581837:1 gene:scaffold_105398.1 transcript:scaffold_105398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDISSRESSKSRVVFVKTSIDTRLGLLLDSDDSVSAFREKICKEHEQCFPSVGSITVSALKVKYGGQFYHVADLMILNNVFQSISDNHSFLFVDVLRVEEKGIMLTGKASFSSPKLLEIETNDMTVKEAPVTQAGDKKSRKRKHKTLDSAHANQSRKKCSLGDQSSGKGEVPTGTIGKDLEKGEKSAATVDQEKDLALVDDENESHKDDVCLGAIVQDFNQSADVENEGLNKDGGDGTWESLPNQRGDKPVTNIVVLMAPAVPNKKDNGGKDADEATTSVKVAKKGRAKKDKQEKCGKSCFFK >scaffold_105401.1 pep chromosome:v.1.0:1:29591001:29592872:-1 gene:scaffold_105401.1 transcript:scaffold_105401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGLLEVALFVIGGIFYLILLALLYLIFKLGLIEEHRSSSEAKNCICTCNHCPDYHEDIEEALSKSNPSSRTYFSRTITFSAASHFRKKTASKRIINEHVPCFSNLSQNQTLNSNQTLNSASELKIPCKNPNPLFTGGSAPATLTGLDSFCSSDQMVLRALLSQLTKIDGSLGAKESQSYGEGSSESLLTDIGIPSSAWNC >scaffold_105402.1 pep chromosome:v.1.0:1:29593155:29593851:-1 gene:scaffold_105402.1 transcript:scaffold_105402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFTHMVINESLCLGSLSPAMFRKVVSDVEIKGYTIPAGWIVLVVPSLLHYDPQIYEQPCEFNPWRWEGKELLCGSKTFMAFGGGARLCAGAEFARLGMAIFLHHLVTTYDLSLIDKSYIIRAPLLRFSKPIRITISENPLSSSHQYANLF >scaffold_105403.1 pep chromosome:v.1.0:1:29598387:29599324:1 gene:scaffold_105403.1 transcript:scaffold_105403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSEMFAIFNEATADNIMYSVIISAFLKEGLTTKAFVLVISGSNKHLINGKLAQPSQVQNLFHSVQLNNVNNPHFLIMQGRITKVLNMKPPEILWVLEQECMKTRKKECSTVEEEEEEDETWRSEIVTRVMRIVSKRLIFFTLLP >scaffold_105404.1 pep chromosome:v.1.0:1:29599518:29599766:-1 gene:scaffold_105404.1 transcript:scaffold_105404.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KN46] MSSSDISPSSLETASDESGSASDTLPFSLSTLITTAFFPAALLESPNNSTKWPTAGLSACVPSFGFSL >scaffold_105405.1 pep chromosome:v.1.0:1:29604448:29605129:-1 gene:scaffold_105405.1 transcript:scaffold_105405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFTHMVINESLRLGSLSPAMFRKVVSDVEIKGKFESLFWYTIPAGWIVLVVPSLLHYDPQIYEQPCEFNPWRWEGKELLCGSKTFMAFGGGARLCAGAEFARLGMAIFLHHLVTTYDLSLIDKSYIIRAPLLRFSKPIRITISENPLSSSHQYANLF >scaffold_105407.1 pep chromosome:v.1.0:1:29608205:29608909:-1 gene:scaffold_105407.1 transcript:scaffold_105407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFTHMVINESLRLGSLSPAMFRKVVSDVEIKGKFESLFCVMKITISLLPMIQFKIVLWLQGIQFQQDGLYWLFHFLLHYDPQIYEQPCEFNPWRWEGKELLCGSKTFMAFGGGARLFAGAEFARLQMAIFLHHLVTTYDLSLIDKSYIIRAPLLQFSKPIRITISENPLSSSHQYANLFCIVL >scaffold_105409.1 pep chromosome:v.1.0:1:29614736:29615207:-1 gene:scaffold_105409.1 transcript:scaffold_105409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTLVNNYKFVTNDLIQNRVVVAGYTIPAGWIVLVVPSLLHYDPQIYEQPCEFNPWRWEGKELLCGSKTFMAFGGGARLCAGAEFARLGMAIFLHHLVTTYDLSLIDKSYIIRAPLLRFSKPIRITISENPLSSSHQYANLF >scaffold_105410.1 pep chromosome:v.1.0:1:29618673:29618921:-1 gene:scaffold_105410.1 transcript:scaffold_105410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KN52] MSSSDISPSSLETTSDESGSASDTLPFSLSTLITTAFFPAALLESPNNNTKWPTAGLSACVPSFGFSL >scaffold_105411.1 pep chromosome:v.1.0:1:29619459:29621529:-1 gene:scaffold_105411.1 transcript:scaffold_105411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVALSVVIALVVVKISLWLYRWANPNCSGKLPPGSMGFPVIGETIEFFKPYSFDEIHPFVKKRMFKHGGSLFRTSILGSKTIVSTDPEVNFEILKQDNRGFIMSYPEALVRIFGKDNLFFKQGKDFHRYMRQIALQLLGPERLKQRFIQQIDIATREHLKSVSFQGVLDVKDTSGRLILEQMIQMIISNIKPETKIKLIESFRDFSFDLVMSPFDPSFWNALYNGLKARRNVMKMIKRMFKERREEGTWDELKYGDFMETMIYEVEKEGDTVNEERSVELILSLLIASYETTSTMTALTVKFIAENPKVLMELKREHETILQNRGDKESGVTWKEYRSMMTFTHMVINESLRLGSLSPAMFRKVVSDVEIKGKFESLFWYTIPAGWIVLVVPSLLHYDPQIYEQPCEFNPWRWEGKELLCGSKTFMAFGGGARLCAGAEFARLGMAIFLHHLVTTYDLSLIDKSYIIRAPLLRFSKPIRITISENPLSSSHQYANLL >scaffold_105412.1 pep chromosome:v.1.0:1:29625686:29626637:-1 gene:scaffold_105412.1 transcript:scaffold_105412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGITKLFVSRSLKSHMAKKNKKRSQPENKLSKPKSKRLKPEEDPSTVKRCLLEEPPTKKRSPPLENPLTTESSGDDEEMATEEEGHESGSSSEEEGKEAGSSSEEEEQKDPGKNYPPTKKNYFQRKWSEDDEIVLLQGMIDFKNDKGKSPYDDMTAFIDTVKNIISFQANQSQFTTKIRRLKDKYLRKRNKGADEKSFAKAHDLKCFQLSKLIWESTKVKEESLKPNEEKVLDWFVNSSLVGSIASFGVAEDVVKQRWSMVSMKMKKELEEKFKLLKEKESEYLWLKTRFFHEVNSLIAEGN >scaffold_105420.1 pep chromosome:v.1.0:1:29660628:29662024:-1 gene:scaffold_105420.1 transcript:scaffold_105420.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVENSYVARICVELFHELPHLPSDESQCEITTLERRFLRNCLVELENSSPKTEPLTHVYVDESNYWRFIKTVRVLAEVFKDKKITETTRKSLIQAIELENKYNDEVEVKLREGEDALSRTKEEVEMMEQLLESYKEEQGKLQLQVKALEHKHEAELQRRTETEIALAIERDYMRWKAEYFESEYNEELARRREDVKALEGLKQLHETVIIEKKNLNSQVITWQDKYDQESIIRKKTEDSLSTKILALKIVKGIVESYKQETDAMRQEKDNALKTAQETVRLRQPPSSFFCPITQDVMEDPHMAADGFTYELEAIKKWISTGHKTSPMTNLKLSHLNLVPNRALYSAIQELL >scaffold_105421.1 pep chromosome:v.1.0:1:29663627:29665255:-1 gene:scaffold_105421.1 transcript:scaffold_105421.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7KNU9] MVFLFMSQSLVSCIFDMAMVENSYVLFARLCVELFHELPPLPSDESHGDITTFERLFLRKCRIELENSSPKTEHLPLVYVDESNCYRFIKTVRVLAEVFKNKKITETTRKSIIQVLMNPILPPERITDAMNLFLSTIGKLADFHFSDENFNQLIRSSRAVELERNYNDEVKLRKEAEDALARKKKEVEMMERLLESYKEEQGKLQLQAKALEHKHEAELRHRKETETLLAIERERLEKVKIQLETVENERDDTRLKAKEFESKYEGEMILRIGSESALDKERKELEEVKLLLETYKTEQESLTSEVRTWQDKYEQECRLRKLGEDALSREQEELQIVKGLLEFYNGEADAMREERDNALKTAKELMEKRQPPSSFFYPITQEVMNDPHFAADGFTYEAESIRKWFRTGHQTSPMTNLPLPHLTLVPNRALRTAIEELV >scaffold_105425.1 pep chromosome:v.1.0:1:29671215:29674319:-1 gene:scaffold_105425.1 transcript:scaffold_105425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTADELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDESLKSFTGARDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDETKMMERLWGENFFDPATRKWSGKNTGSPTCKRGFVQFCYEPIKQIIATCMNDQKDKLWPMLQKLGVTMKNDEKELMGKPLMKRVMQTWLPASTALLEMMIFHLPSPHTAQRYRVENLYEGPLDDQYANAIRNCDPNGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGMKVRIMGPNYVPGEKKDLYTKSVQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVSDRSIRTVMSKSPNKHNRLYMEARPMEDGLAEAIDDGRIGPRDDPKIRSKILAEEFGWDKDLAKKIWAFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGPLCEENMRGICFEVCDVVLHSDAIHRGGGQVIPTARRVIYASQITAKPRLLEPVYMVEIQAPEGALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVVESFGFSSQLRAATSGQAFPQCVFDHWEMMSSDPLEPGTQASVLVADIRKRKGLKEQMTPLSEFEDKL >scaffold_105427.1 pep chromosome:v.1.0:1:29678242:29680547:1 gene:scaffold_105427.1 transcript:scaffold_105427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDLQQLSQALQDQVLQWCLFHTVQNDFTAQTGDPTATGAGGDSIYKFLYGEQARFFGDEIHLDLKHSKTGIVAMANGGENLNASQFYFTLRDDLDYLDGKHTDKRSLCYAKNRPYKNIRIKHAYILEYPFDDRPQLAEMIPDASPEGKLKEEVKDDVRLEDDWVPMDEELGSKELEEVFREKAAHSSAVLVLLLKIGDIPEAEVKPPDNVFVCKLNPVTEDQDLHSIFFTRWNCNIVSDNSLTLNSFAKLNCSAVVFMFMSEFSRADVIRDFKTDDSLCYAFIEFENKEACEQAYFKDKADKYIGRRQHHRDEVREMERRYDDGISRDTERKERDSREDEDRRRNRKEMGDGERRVESRRERDYDRRSHIEIIKEGQETHTVEMGEKRGMGEGNVNGMGQFL >scaffold_105430.1 pep chromosome:v.1.0:1:29685000:29685651:-1 gene:scaffold_105430.1 transcript:scaffold_105430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMIKYLLLTLLVISPICAEKDLMIKECNNAQVPTICMQCLESDPTSVHADRVGIADIIIHCLDSRLHIITKQACENELSTVGPNTLSEAETALTTGDYDKTAKSIKLALGIPHGCRFELQRIKFESFELYSQINIYTQLSDAAMRIIDRF >scaffold_105432.1 pep chromosome:v.1.0:1:29688980:29694915:-1 gene:scaffold_105432.1 transcript:scaffold_105432.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KNV9] MLRLRRSLFLLLTVWFLCSSGSVHVVVRAQNRTRATTHPDDARALNSIFATWKIRAPKEWNMSGELCSGVAIDASVQDTNPAYNPLIKCDCSFENSTICRINNIKVYAIDVVGPIPQELWTLIFLTNLNLGQNYLTGSLPPAIGNLTRMQWMTFGINALSGPIPKEIGLLTDLRLLGISSNNFSGSIPAEIGSCTKLQQMYIDSSGLSGEIPLSFANFVELEVAWIMDVELTGPIPDFIGKWTKLTTLRILGTGLRGPIPSSFSNLTSLTELRLGDISNGSSSLDFIKDMKSLSVLVLRNSNLTGTIPSTIGGYSSLQQVDLSFNKLHGPIPASLFNLSRLTHLFLGNNTLNGSLPTLKGQSLRNVDVSYNDFSGSLPSWVSLPDLKLNLVANNFTLEGLDNRVLSGLHCLQKNFPCNRGKGICKLSKHMYSAQMFYWKKNCFKTFAIDWCVDFNFSINCGGPEIRSASGALYEKEDTDLGPASFVVSAAQRWAASSVGNFAGSSSNKYRETSQSQFINTLDSELFQSARLSASSLRYYGLGLENGGYTVILQFAEIQIQGSNSWKGIGRRRFDIYVQGRLVEKDFDVRRTAGGSSVRAVQREYKTNVAENHLEVHLFWAGKGTCCIPIQGAYGPLISAVSARPDFTPTVANRPPLKGKSRTGTIVGVIVGIGLLSIFAGVVILVIRKRRKPYTDDEEILSMEVKPYTFTYSELKNATQDFDPSNKLGEGGFGAVYKGNLNDGREVAVKQLSIGSRQGKGQFVAEIIAISSVLHRNLVKLYGCCFEGDHRLLVYEYLPNGSLDQALFGDKTLHLDWSTRYEICLGVARGLVYLHEEASVRIIHRDVKASNILLDSELVPKVSDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKTDVYAFGVVALELVSGRKNSDENLEEGKKYLLEWAWNLHEKSRDVELIDDELGEYNMEEVKRMIGVALLCTQSSHALRPPMSRVVAMLSGDAEVSDATSKPGYLTDCTFDDTTSSSFSNFQTKDTSFSTSFVAPGPEMPLRDCESKPMVGFKIKEGR >scaffold_105433.1 pep chromosome:v.1.0:1:29695663:29702130:-1 gene:scaffold_105433.1 transcript:scaffold_105433.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KNW0] MLRLRRSLFLLLTVWFLYSSGSVHVVVRAQNQNGATTHPDEVIVETTARALNSIFATWKIQAPKEWNISGELCSGAAINDVTIDDKDHNPLIKCGCTFVNSTICRITALKVYARDVVGPIPQELWTLIFLTNLNLAQNFLTGSLSPAIGNLTLMQWMTFGINALSGPVPKEIGRLTDLRSLSIGSNNFSGSIPAEIGNCTKLQQIYIGSSGLNGEIPLSLANLVELTVAWIMDLEVTGRIPDFIGNWTKLTTLRIMGTGLSGPIPSSFSNLTSLSQLVLRNNNLTGTIPSNIGDYSSLLQVDLSFNKLHGPIPASLFNLSQLTHLFLGNNTLNGSLPTQKRQSLINIDVSYNDLSGSLPSWISLPNLKLNLVANNFTLEGLDNSVLSGLNCLQKNFACNRGKGIYYNFSINCGGPEIRSASGALYEKEDMDLGPASFVVSAAQRWAASSVGNFAGSSRNKYRETSQSQFINTLDSELFQSARLSASSLRYYGLGLENGGYTVTLQFAEIQIQGSNSWKGIGRRRFDIYVQGRLVEKDFDIRRTAGGSSVRAVQREYKTNVSENHLEVHLFWAGKGTCCIPIQGAYGPLISAVSATPDFTPTVANRPPSKEKNMTGTIVGVIVAVGLLSILAGVVIFIILKSRKPYTDDEEILSMDIKPYTFTYSILKSATQDFDPSNKLGEGAFGVVYKGTLNDGREIAVKKLSSVGSRHGKGQFVAEIVAISSVLHRNLVKLYGCCFEGDQRLLVYEYLSNGSLDDALFVSGRKNSDENLEEGKKYLLEWAWNLHEKSRDVELIDDELGEYNMEEVKCMIGVALLCTQSSHALRPPMSRVVAMLSGDAEVNDATSKPGHLIDSIYDDTTSSSYSGFQTKDTSFSTSFVTPGPRDSDSKPMLGFKIKEGR >scaffold_105434.1 pep chromosome:v.1.0:1:29704984:29710952:-1 gene:scaffold_105434.1 transcript:scaffold_105434.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KNW1] MTSLRPSPCLLLIIVWFMCIAGSVHVVRSQNQTGATTHPDEARALNSIFAAWKIQAPREWNISGELCSGAAIDASVLDTNPAYNPLIKCDCSFENSTICRITNIKVYAVDVVGPIPQELWTLIFLTNLNLGQNVLTGSLPPAIGNLTRMQWMTFGINALSGPVPKEIGLLTDLRLLSISSNNFSGSIPDEIGNCAKLQQIYIDSSGLSGRIPLSFANLVELEQAWIADLEVTGQIPDFIGSWTKLTTLRILGTGLSGPIPSSFSNLTSLTELRLGDISNGSSSLDFIKDMKSLSVLVLRNNNLTGTIPSTIGGYSSLQQVDLSFNKLHGPIPASLFNLSRLTHLFLGNNTLNGSLPTQKTQTLRNVDVSYNDLSGSLPSWVSLPDLKLNLVANNFTLEGLDNRVLSGLNCLQKNFPCNRGKGIYSDFSVNCGGPEIRSAREALFEKDDENLGPASFIVSAGQRWAASSVGLFTGSSNIYIMTSQSQFINTLDSELFQSARLSASSLRYYGLGLENGGYTVTLQFAEIQILGSTSSTWKGLGRRRFDIYVQGRLVEKDFDVRRTAGDSTFRAVQRVYKANVSENHLEVHLFWAGKGTCCIPIQGAYGPLISAVSATPDFTPTVVNRPPSKGKNRTGTIVGVIVGVGLLTILAGVVMFIIRKRRNRYTDDEELLSMDVKPYTFTYSELKSATQDFDPSNKLGEGGFGPVYKGNLNDGREVAVKLLSVGSRQGKGQFVAEIVAISSVLHRNLVKLYGCCFEGEHRLLVYEYLPNGSLDQAIFGDKTLHLDWSTRYEICLGVARGLVYLHEEASVRIVHRDVKASNILLDSKLVPKVSDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKTDVYAFGVVALELVSGRPNSDENLEEEKKYLLEWAWNLHEKNRDVELIDDELTDFNTEEAKRMIGIALLCTQTTHALRPPMSRVVAMLSGDAEVGDVTSKPGYLTDWRFDDTTGSSLSGFQSKDTTDYSMSFVAPGSEISPRDSDFKPMLGANINEGR >scaffold_105437.1 pep chromosome:v.1.0:1:29743510:29744467:1 gene:scaffold_105437.1 transcript:scaffold_105437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGITKLLASRSLKSSMAKKKKRSQPENKLSELENKRLKPEEDPSTVKRCLQLLEDPPTKKRSPQSLENPPTTESSGDDEEMATEEEGHESGSSSEEEGKESGSSSEEEEQKDPGKNFPPTKKNYFQRKWSEDDEIVLLQGMIDFKNDKGKSPYDDMTAFIDTVKNIISFQANQSQFTTKIRRLKDKYLRKRNKGADEKSFAKAHDLKCFQLSKLIWESTKVKEESLKPNEEKVLDWFVNSSLVGSVASFGVAEHVVKQRWSLVSMKMKKKLEEKFKLLKEKESEYLWLKSRFFHEVNSLIAEAN >scaffold_105438.1 pep chromosome:v.1.0:1:29748807:29749197:1 gene:scaffold_105438.1 transcript:scaffold_105438.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7KNW5] MKQLIRRLSRVADSTQYSLLRSESQRGRTKKEKHKSWVPEGHVPVYVGQEMERFVVNAELLNHPVFVALLKRSAQEYGYEQQGVLRIPCHVLVFERILESLRLGLAESHDLNGLF >scaffold_105445.1 pep chromosome:v.1.0:1:29771697:29773567:1 gene:scaffold_105445.1 transcript:scaffold_105445.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7KNX2] MVMLGVLQLPCRLRHSLFISSSQIASSRPQGVAKVVLKKGKTQLFKDGSPMVYSGAVDRIIGKPPPQTGDVVIVADGTETPIGWGLYNSVSMFCVRLMQLQHESSRDPSCALNIEKLLQTRISEALQLRKSLALPSANTNAYRLVNSEGDRLSGLIVDVFGDIAVVASSAAWLEKYRIEVESCLRSIDGINHINWRPSLDVLKEDGFDISSLKQTQSSTLPQRSMVVENGISYAISLEGQKTGFYTDQRENRHFISTISAGKSVLDLCCYSGGFALNAARGGATSVIGIDSSLPALELARENVILNNMDPEKVVFFKQDSTEFMKGALSREETWDIVILDPPKLAPRKKVLHNAAGMYRNLNSLAMRLTTSGGLMMTCSCSGAMTQSGKFLGILQSAAAMAGRKITVVREAGAASDHPLDPSYPQGQYLSNLLLRVL >scaffold_105446.1 pep chromosome:v.1.0:1:29773788:29775844:-1 gene:scaffold_105446.1 transcript:scaffold_105446.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEM3 (Ligand-effect modulator 3) family protein [Source:UniProtKB/TrEMBL;Acc:D7KNX3] MSSNTASSSAGAAGSGDSSAARKNSKRPKYSKFTQQELPACKPILTPGWVISTFLIVSVIFIPLGVISLFASQDVVEIVDRYDTECIPEPARTNKIAYIQGDGDKVCTRDLKVTKRMKQPVYVYYQLENFYQNHRRYVKSRSDSQLRSPKSENQISACKPEDDVGGQPIVPCGLIAWSLFNDTYALSRNNVSLAVNKKGIAWKSDKEHKFGNKVFPKNFQKGNITGGATLDPRIPLSEQEDLIVWMRTAALPTFRKLYGKIESDLEMGDIIHVTLKNNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLTVGGICFFLALAFTIMYLVKPRRLGDPSYLSWNRNPGGR >scaffold_105454.1 pep chromosome:v.1.0:1:29802612:29804172:-1 gene:scaffold_105454.1 transcript:scaffold_105454.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KNY0] MKINIRDSTMVRPAAETPITNLWNSNVDLVIPRFHTPSVYFYRPTGASNFFDPQIMKDALSKALVPFYPMAGRLKRDDDGRIEIDCNGAGVLFVVADTPSVIDDFGDFAPTLNLRQLIPDVDHSAGIHSFPLLVLQVTFFKCGGASLGVGMQHHAADGFSGLHFINTWSDMARGLDLTIPPFIDRTLLRARDPPQPAFHHVEYQPAPSMRIPLDPSKSGPDNTTVSIFKLSRDQLVALKAKSKEDGNIVSYSSYEMLAGHVWRSVGKARGLPDDQETKLYIATDGRSRLRPQLPPGYFGNVIFTATPLAVAGDLLSKPTWYAAGLIHDVLVRMDDNYLRSALDYLEMQPDLSALVRGAHTYKCPNLGITSWVRLPIYDADFGWGRPIFMGPGGIPYEGLSFVLPSPTNDGSLSVAIALQSEHMKLFEKFLYEI >scaffold_105455.1 pep chromosome:v.1.0:1:29805078:29805355:-1 gene:scaffold_105455.1 transcript:scaffold_105455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAMDKAAAQRQKRMIKNRESAARSRERKQAYQVELETLAAKLEEGNEKLLKEIEESTKERYKKLMDVLIPFSKRL >scaffold_105466.1 pep chromosome:v.1.0:1:30239272:30240812:-1 gene:scaffold_105466.1 transcript:scaffold_105466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGIGGVLTHQSQEFSFDHLALHHSRSSIIFFFVSNFFSPFESLSNPRHLLRFSTTKNKGRRWCPAISISHPQHATTLKEPPNHGYVIPLSQETTSYHASLMTRDQAIRRSDASCLVETSMAHCKLLLWNPTSFPETANHLWFFSLVSNLDKIHQTPTLASPEMPFKQVLINNIKVFIHEIKCLRKNDIITPSPRSRVYRSFLNPLAPNPPDYRTVVTLLLADEQIHLAFLVLANNSAMEPSSTSLSLLTVAIVSSNASSVDDSTKNRVSTFANLLPSFGLQAVMDPSSNYISYFLHSICFYLFMLLVLCIEPSYPCILGYFEPCFTLMNENKSLKKKKDNIFN >scaffold_105468.1 pep chromosome:v.1.0:1:30263100:30263556:1 gene:scaffold_105468.1 transcript:scaffold_105468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVLDYIEDIDLRRRMLPDIKASSFALKDWPLRINYITNPYIYEQASLTWHMRMKIALDTARYTQVPX >scaffold_105472.1 pep chromosome:v.1.0:1:30488874:30489084:-1 gene:scaffold_105472.1 transcript:scaffold_105472.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KNZ9] MIARCSITAECLKIISVKEQIGGHVLSKAEDGVESDLSNKEEFWNIDLTLVEHVKD >scaffold_105478.1 pep chromosome:v.1.0:1:30568464:30568965:1 gene:scaffold_105478.1 transcript:scaffold_105478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKIFSYVLLHSLMMFALILSSMGSPGKYYDCKQDGCITTPPCWRKCLSMGYPKGGECRTYSYGGVCCCELSSKPPN >scaffold_105481.1 pep chromosome:v.1.0:1:30617614:30617993:1 gene:scaffold_105481.1 transcript:scaffold_105481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTFVLFLLLLCVFAINPSQQEEINQQNPGIYHQKLLYKVQQWRTALKESNAAELKISSAIIVVGGLCFLVALISSACVIGGRGLFIPIMTTAARLDLKTAPSFSGIRNRC >scaffold_105485.1 pep chromosome:v.1.0:1:30712254:30713762:-1 gene:scaffold_105485.1 transcript:scaffold_105485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSWNSVSIILLSLSFLVFNSTFLGAYGLTLLNLSHSNFSGKIPVELLQLTKLVSLDLSSNSLSAEKSFLDNLFRNLTTLKELSLGSVDISSEIPENITNLSYLKSLYLDDCNLIGGFPSRVLLIPTIKSLSLSGNNKMEGPLPKFHGNNSLEVLDLSSTSFSVSVVSMDSQRISLQARSVC >scaffold_105488.1 pep chromosome:v.1.0:1:30735417:30735841:1 gene:scaffold_105488.1 transcript:scaffold_105488.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7KP16] MIETVFTSKTLIGFISDTKSFESITDDYFQILDLDKNGMLSPSELRQGLNHVVAVESEVAPGEETNNVYNAIFERFGEDLVPEKFRDLIAEILTAMARGIGNSPVIMVVHNDGLIMKAVLHESEKGK >scaffold_105489.1 pep chromosome:v.1.0:1:30736217:30736977:-1 gene:scaffold_105489.1 transcript:scaffold_105489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQQKIHPVLQMEANKTKTTTPAPGKTDLLPVQRPILPPVMPSKNRNMCCKIFCWVLSLLVLAMIALGIAVTVVYFVFHPKLPRYEVNSLRVTNLGINLDLSLSAEFRVEITARNPNKKIGIYYEKGGHIGVWYDKTKLCEGPIPRFYQGHRNVTKLNVGLTGRAQYGNTVLAALQQQQQTGRVPLDLKVDAPVAVKLGKLKMKKIRILGNCKLVVDSLSTNNNINIKASDCSFKAKL >scaffold_105492.1 pep chromosome:v.1.0:1:30764054:30768894:-1 gene:scaffold_105492.1 transcript:scaffold_105492.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7KP20] MATCSSSLLVLPNLRWSSNQRRNFKVRAQISGENKKTTSLEPVNNNGSVSVSIVQNQKGANEVNGKVKSQKKIVSDEIELLWDDGYGSKSVKDYFAAAREILKPDGGPPRWFSPVDCGRPVEDAPTLLFLPGMDGTGMGLVPHHKALGKAFHVWCLHIPVLDRTPFEGLVKVVEDVLRQEQATRPNKPIYLVGDSFGGCLALAVAARNRSLDLVLILVNPATSFDRSPLQPLLPILEMVPEELHFTVPYALSFIMGDPIKMATLGIDNQLPTGIKMEKLRQRLTKTMLPLLSELVGIIPRETLLWKLKLLRSGSAYANSRIHAVQAEVLVLASGKDMMLPSQEEAKRLHGVLKNCSVRCFKDNGHTLLLEDSISLLTVIKGTGKYRRSWRYDLVSDFLPPSKGELAYALDEVLGFLRNAVGSVFYSTLEDGRIVKGLAGVPDEGPVLLVGYHMLMGLELGPMSEAFIKEKNILFRGMAHPVLYSDNDPAKAFDYGDWIKVFGAYPVTATNLFKLLSSKSHVLLFPGGAREALHNRGEQYKLIWPEQQEFVRMAARFGATIVPFGTVGEDDIAELVLDYNDLMKIPILNDYVTEITRDTKQFKLREESEGEVANQPLYIPGLIPKVPGRFYYLFGKPIETKGRPELVKDKDEANRVYLEVKAEVENSIAYLLKKREEDPYRSVLDRLNYSLTHTTATHVPSFEP >scaffold_105495.1 pep chromosome:v.1.0:1:30821748:30822006:-1 gene:scaffold_105495.1 transcript:scaffold_105495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKYFSFLNPNNFLNHKIESIRIKQCPDIAHEKLRCLVPKPTRYKNTFPWPDSRSYAWFKNVPFKRLAEF >scaffold_105507.1 pep chromosome:v.1.0:1:30923113:30923995:-1 gene:scaffold_105507.1 transcript:scaffold_105507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYKRSFHSFLGQTNTKTMPFESGLGHDEANGLMLCTEYLGFESYDMRMSDNEVENKMTCHVEVETERVETKRRKTKENVVAREPGKKFPPPLSSFNGRGKRSFYLRPVRKDGRLELTQVMINRPEIFHASREDGRLRLHFVDGVENPIRGCCGPEEIVALEDGPKGLGNENEGGEISRSDLKWGTEILEDDNDGACDEVVDLSMINDVAKRWRCKTSHEVSVNSDNDVAHFHDDHDTREWSNNNMHLWTRTYVKTR >scaffold_105513.1 pep chromosome:v.1.0:1:31061443:31061994:1 gene:scaffold_105513.1 transcript:scaffold_105513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPINIGGRLYGQGSSSQAVGSQRHLSQTANAESSEQGEEIAPVQYDIRVLHPSRRNGAKWFKNNTEVSTRVRKIIEGCFQGPWYSWKNVPQFYRDAWFTTFKIYFRQGLSWMPRLSI >scaffold_105516.1 pep chromosome:v.1.0:1:31095630:31097226:1 gene:scaffold_105516.1 transcript:scaffold_105516.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumen 18.3 kDa protein [Source:UniProtKB/TrEMBL;Acc:D7KPZ1] METLLSPRALSPPLNSKPTSTHQTKPTSHSLSLSKPTTFSGPKHLSTRFTKPESRNWLTDAKQGLAALALSLTLSFSPIGTALASEFNILNDGPPKDTYVVDDAGVLSRVTKSDLKKLLSDLEYRKKLRLNFITVRKLTSKADAFEYADQVLEKWYPSIEEGNNKGIVVLITSQKEGAITGGPAFIEAVGENILDATVSENLPVLATDEKYNEAVYSSAKRLVAAIDGLPDPGGPTVKDSKRESNFKTKQETDEKRGQFSLVVGGLLVIAFVVPMAQYFAYVSRK >scaffold_105519.1 pep chromosome:v.1.0:1:31106177:31108734:-1 gene:scaffold_105519.1 transcript:scaffold_105519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGVFLQHDNIFSYNGKEAYSNIYTEDEPHFYDLQASLGFLDSQTENYNSSFLQEDYYENNTTFLHQPLPEIAISEANITANRNSSGSPNCDMSYHRDSEINTTRKKKATRRRTRVKKNKEEINSQRMTHIAVERSRRKLMNEYLSVLRSLMPNSYVQRCDQASIVGGSINFIRELEHRLHLLNANREQNKNSLSCRDISSATPFSDAFKLPQISIGSSAVSENVVLNNALADIEVSLVECHASLKIRSRRGPKILLNLVSGLQSLGFIILHLNVSTVSDFILYCFSTKMEDYCKLNSVADISTAVHEILRIHDDCQK >scaffold_105524.1 pep chromosome:v.1.0:1:31136951:31138577:1 gene:scaffold_105524.1 transcript:scaffold_105524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSGQNGMRENMSFSDNTRNPQILEVTPLNSLPYIGPVTHASMSSGRMNENVEKVGGPAMIFLPSESSSEFSNLISQTKTGVALTGSAAMGKIGPTIGLVDIAECEDSYYFRVSLPGVSRDEKDFSCEIEPDGRILIKGATTTGEQTVCKHNQIFKMLTQNLCPPGHFTINFQLPGPVSNEEFNGNFGSDGVLEGVVKKLYYED >scaffold_105527.1 pep chromosome:v.1.0:1:31142705:31143322:-1 gene:scaffold_105527.1 transcript:scaffold_105527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGAAARVDIGSCRKLVSSHTSLLDIGRHRQFRNIEQKKKFQRKVLLLSKFQHENNVQFIGACIEPKLMIITELIEGNTLQKFMLSTQSRLLF >scaffold_105532.1 pep chromosome:v.1.0:1:31163574:31164498:-1 gene:scaffold_105532.1 transcript:scaffold_105532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGIDFGVSDAPSEGNASRESDCVVFMLTKYGIPRQALAYNMVSPDVEFATPPSPPTLNFVEISSDSELSNADELGSGVPFSKSVKTEMSRMSISPRRFDEEVDEDVGEEVSDLMFECAPGTQPPVDEPGVLFPPDESIREPVTLESSSIATMIENMIVVGTLGIEPIRPPEGIPIWNEKIRRSANRTVVTEIEREDGRRSEPYSVMSFCFTCGQDGHYPRACPYVCHYHPYARPYVICYECGGEGYYATVCPRKRPENPGPSSPSPSTFA >scaffold_105538.1 pep chromosome:v.1.0:1:31289163:31289583:-1 gene:scaffold_105538.1 transcript:scaffold_105538.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ09] MDINLPGRRGTLTDRHVPRGRFRYRGRFLTRTGLQILWLEQKSPKDTRLVSDGGIGESVVLQLSSYSLRQNTNSHAYTFYLYSIVGVSENGDVIIERL >scaffold_105539.1 pep chromosome:v.1.0:1:31290563:31290867:1 gene:scaffold_105539.1 transcript:scaffold_105539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDEESRRHIVDDDGGQKCFVNQFTCEQHMNVRFEPDSMEDCGYPVPLFHFMLPRWMESLPCPPQVEMMDTISLFSYLVLLEGNRH >scaffold_105541.1 pep chromosome:v.1.0:1:31348379:31348586:1 gene:scaffold_105541.1 transcript:scaffold_105541.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KIL1] MTAKKMNLLKKKTRRRAKVAKSSEKGEANSSPEKGIEIPSPPPEPESTSQGKYL >scaffold_105544.1 pep chromosome:v.1.0:1:31374867:31376641:-1 gene:scaffold_105544.1 transcript:scaffold_105544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQITTLIRPLESIVLDKLTIGTSMQHINVRLMPMWEAHNFKQNKELMNLHSPFSSIGRFMDDLTEVDDYTTSNTSRLSTNASSPSFALYKIARISPLVLTYYRLCLGNENYNVSLHFSEIIITDDSSFYSFGKRVFDIYVQVLQNPYVNERLAVKNFNIKEAAGRSGKPIIKTIVVHVSRL >scaffold_105545.1 pep chromosome:v.1.0:1:31378779:31379409:1 gene:scaffold_105545.1 transcript:scaffold_105545.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ18] MVQGETSTEIVPLATKKSKASESQCDASPQRVNLSKEAAMEEPMDATTAANGDNEEVEDKNSEGEEKTEENPRETETMATEEEPKQIKATDRDQEPHGEKLDVDERRSSYHGAYDEKESINVARCVLTSDSSARKFSPATTQCVRFFCYYHLKQSSSRFWYPRDGFRLYPISVLIYVLGGRIYGSYTLYGRLLYCC >scaffold_105548.1 pep chromosome:v.1.0:1:31392480:31393784:1 gene:scaffold_105548.1 transcript:scaffold_105548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRNSFAICLLLSLATIATADYYAPSSPPSYTSPVNKPTLPPPVYIPPVQKPTLPSPVYTPPAHRPTLSPPVYTKPTLPPPAYTPPVYTKPTLPAPVYTPPVYKPTLSPPVYTKPTLSPPVYKPTLSPPVYTKPTLSPPVYKPTLSPPVYTKPTLHPPVYKKSPSFSPPPPYVPKPTYTPPTKPYVPEIIKAVDGIILCKNGAKAKIVCSEMISYGKNKNEVVIYSNPTDSKGYFHVALTHIKNLSHCRVKLYTSPVETCKNPTNVNKGLTGVLLSMYSADKNLKLFNVGPFYFTGSKAAPATPKY >scaffold_105549.1 pep chromosome:v.1.0:1:31407901:31409372:1 gene:scaffold_105549.1 transcript:scaffold_105549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPYRHNLLCSCSRNISQTIDTIKNIIETCIQKYMSLEETVIYLHDNHNISHHLTKPKSPEFFNKYHLKRELARYITMCNSFLGKQVSLIVEHGALDINYAPSTLKNFLRQDPEGAHLLEKLANTPSNRSDVSPSSLALDDTNGPTGTNLGAPTVPPAANDQWFTYSDPACKEDSIFLF >scaffold_105553.1 pep chromosome:v.1.0:1:31433588:31433950:-1 gene:scaffold_105553.1 transcript:scaffold_105553.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ27] MPPKGKSSRGRGGGATTRRVAAGGGQTSRQEAAGGGANTRQVAAGGGQALPQEAAGGGETSRPVASRARAKTSLVTDHQSLRVESEHLLTRRTHPRQVSLRPNLK >scaffold_105562.1 pep chromosome:v.1.0:1:31632830:31633224:1 gene:scaffold_105562.1 transcript:scaffold_105562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLVPVVDPPSGFDLPFEILFKVNALVQNACVPGPALVPKFYELVATHERNFIDEALAKLRCLNECCYTLASWLKQEYTDWGKKTQPPRSKESLEGVVVYIHQVKVTPTRVYFCG >scaffold_105569.1 pep chromosome:v.1.0:1:31684390:31684837:-1 gene:scaffold_105569.1 transcript:scaffold_105569.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQ44] MVTSDSSSGVSANNKILIEALTIQMEKMMDAKLKQITDQLKGKEKAPGEQVIISDGARSRKETNHQTKDPWKETADKDAQEYYSSHGNFSHWSSRRTRRNGGERDLMVENLGRYKMKVPPFAMILHREGSVHQKP >scaffold_105571.1 pep chromosome:v.1.0:1:31709314:31710198:1 gene:scaffold_105571.1 transcript:scaffold_105571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLEEAKFDGDFVLETLLSSCLVLVDLTVITHSTIISKFCDFVHNHWKVNVDVVFDVEYDDPLEITNIHNFTIRISIFYEVTIYARTLEVHMNVLFINNKVIQVCRWRLLPFIAKAIPRWNRCLESSWDVLPAFRGCSFINLHLLVLELDHLPEIDLIKFSLVPPCILSSVDFLQMKTSSTPSKIKTSSVFLKYSLEENTFNRAVRS >scaffold_105572.1 pep chromosome:v.1.0:1:31715424:31717448:-1 gene:scaffold_105572.1 transcript:scaffold_105572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCFAAFAIDPLFLFIPVIDSHRFCFTYDKKLGLAACLIRIFIDSFYVIHIIFRSITELIAPRSQVVVLTFFIRKQQSALLVSKDILKKVIICQYIPRILRIYPLFQEVTKASGTVVETKWIGAALNLFLYMLPSYVFGGFWYLNAIQRENLCWHDVCVRTRGCNVMNLYCARGSEDNNCFLNNSCPLIDPGQITNSTVFNFGMYIDALKSGVVESRYFPRKFFYCFWWGLRNLRFVNLNFIALGQNLETSNSVEEIVFAINICILGLLLFALLIGNVQKYLQSTTIKIDEMEERKRDIEKWMSYRNLPDDLKQRIRKYGEYTWKQTRGIEEEALLRSLPIDLRLETKRHLYLNLLKGVPLFEGIDDGWLLAAVCNRVKYVFYSADSYIVKEGDPLEEMLIITKGKLKSTTRSHEIGEELKAGDICGQLLFNSSCLPTSTRTIITLTEVEGFTLSPDDVKFVASHFNHLQSVIHKQMSRQGLETEVGFKVFFLPP >scaffold_105573.1 pep chromosome:v.1.0:1:31750373:31750621:-1 gene:scaffold_105573.1 transcript:scaffold_105573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMIVENNIKAFKDMEDLLACYLESQGKEYHDLIIKVFFQIWVEFIYICIEVRTCNIVRINYDQMR >scaffold_105577.1 pep chromosome:v.1.0:1:31773005:31773868:-1 gene:scaffold_105577.1 transcript:scaffold_105577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHMSLLSKHKSSLDSIEKDFIFAFCIDVCTDARTYKAGKNSREEYVSDFDNGYEYDTTTHHESSEHYAPFMSHGTSESPTEKLPPRKRTRSERSTSQKEESPMMVLSSKILDIIQQREERQQKEVAQKKNNVWDALKEISDLDKRIRFKALTKIYHLGIQDVFVSMSVEERLGWIQTSME >scaffold_105579.1 pep chromosome:v.1.0:1:31804472:31805033:1 gene:scaffold_105579.1 transcript:scaffold_105579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREDSLPSLILKILYPNISRVGDSQERYRIVHRGNYWTNALCTSPAVVGGSMLALRISQRTVATVGGLLFFGFSVSSYFYPPLQSMRFFRKWVLETLSK >scaffold_105580.1 pep chromosome:v.1.0:1:31830980:31831277:1 gene:scaffold_105580.1 transcript:scaffold_105580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRNQLGRNPRFAFLAIADPWPKVSGFAKVYLSTGEVKKYLYGGEKYGGEPFFLPGSSGNDEENEDEGYIFCHVYDKETKMLEL >scaffold_105584.1 pep chromosome:v.1.0:1:32083918:32085021:-1 gene:scaffold_105584.1 transcript:scaffold_105584.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-B13 [Source:UniProtKB/TrEMBL;Acc:D7KAY6] MMLPEACVANILAFTSPADAFSSSEVSSVFRLAGDSDFVWGKFLPSDYQSIISQSTDHHRSFSSKKEIYRCLCDSLLIDNARKLFKINKFSGKISYILSARDISITHSDHASYWSWSNVSDSRFSESAELITTDRLEIKGKIQTGVLSPNTRYGAYLIMKVTKGAYGLDLVPAETSVKSKNGQNIKNTTYLCCLDEKKQQMKRLFYGNREERMAMTVETVGGDWKRREPKGRDDGWMEIELGKFETREGEDDEVNMILTEVKGYQLKGGIVIDGIEVRPNC >scaffold_105587.1 pep chromosome:v.1.0:1:32110974:32113678:1 gene:scaffold_105587.1 transcript:scaffold_105587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGIKIIPRDEVLDDSSDGENEKSKGGKDRRRKNKDVDRKERRGEGSKRDGKKIAKSGDGETVHDDFLEGDIVRKKIGLDWMLPPTRKADPNPASDVEDKFEESAPEVTKVNPRELNPYLKENGTGYPEEESEKKHGKDQLLPSSVVGDGGASWRMKALKRAKEQAAREGQKLEEVAGERWGSLGNLVESVASQRAAPSRAHLNAINNRRRGENEKNDTEKKPERISEKGNNREYLKGDSLNHRVLRAPKTDPSLSWGKRKSQTHRNEDSRLISEAAAHLNKFSNDGNFMKEMLSKQKNVSVSPVETCGDHRNDVEQKALPSETNKDGEGILPSMETLSVNKLAAKAFQLRMKGKHEEAQKLMEEAERLKAEQAGGDDSSKDHSIRTAVRYPVKDMSGRRKNEDDNTDMHLVKSIMQNKQYKTSNQAADDEYEYGDAPSKKSRKRESSSNIPEKDNRVKRIMTQQERCLFCFENPKRPKHLVVSIANFTYLMLPQHQPLVPGHCCILPMQHEAASRSVDDNVWDEIRNFKKCLIMMYAKEGKDAVFLETVIGLSQQRRHCLIECIPIPQEIAKEGPLYFKKAIDEAESEWSQHNAKKLIDTSVKGLRNCIPKNFPYFHVEFGLDKGFVHVIDDEQQFNSNLGLNVIRGMLELPEEDMYRRRRQESVESQKKAVVSFAREWEHFDWTKQLD >scaffold_105591.1 pep chromosome:v.1.0:1:32130550:32130779:-1 gene:scaffold_105591.1 transcript:scaffold_105591.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KAZ3] MSHPSLRRLEHFFLLFVLFVVCCVKHKFGGLHFQTYSCLSSTFLSTAYVSLNIIPKLDHQLF >scaffold_105604.1 pep chromosome:v.1.0:1:32221550:32221981:1 gene:scaffold_105604.1 transcript:scaffold_105604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIMKIMMIIALLVIGVSAKTVEQCKRTTCETKCHDSKSFGCSDCLLRCAFPGSDSKIDQRALCIKNCDVGCGPSQDCYQRCIKRCPSPLI >scaffold_105605.1 pep chromosome:v.1.0:1:32231174:32232081:1 gene:scaffold_105605.1 transcript:scaffold_105605.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KB04] MALVMMGVKAKTVMKANEVDQRVICYRNCDVGCGTDNACHQRCKKNCGYPPLKNFQSSDTRANEVDQRVICYRNCDVGCGTDNACHQRCKKTCGYPPLMNIHL >scaffold_105618.1 pep chromosome:v.1.0:1:32344430:32344880:-1 gene:scaffold_105618.1 transcript:scaffold_105618.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:D7KB17] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >scaffold_105619.1 pep chromosome:v.1.0:1:32348602:32348995:1 gene:scaffold_105619.1 transcript:scaffold_105619.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich antifungal protein 4 [Source:UniProtKB/TrEMBL;Acc:D7KB18] MAKLATIIITVIFDALVLFAAFEAPIMVEAQKLCERLSGTTLGVCGNSNACKNRCILLEGARHGSCNFIFPYHRCVCYFPC >scaffold_105620.1 pep chromosome:v.1.0:1:32349438:32351704:-1 gene:scaffold_105620.1 transcript:scaffold_105620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7KB19] MKSLKTILALSFMYFTATTSLVSSYGLEPKDFYKDIAKTLVVSHNGKGDFKTIQAAMDSIPSSNKNWIKIYLKHGTYNEKIVIPKEKQKIIMQGNNASKVIIQYNDAGLANTSGPIRVDAEYFVAINITFKNTNTRMTPIIPYKAIKVAPSIILAADKAWFYGCTFISVQDTVADLLGRHYFINCYIVGAIDFIWGGGQSIYQNCVIYVKGVTSKKMTKEGGMLEGYITAQGRESEEDKSGFVFKNCLIQGDGKAYLGRAYRNYSRVVFYGTNMSNVVVPRGWDAWDYNDQVHKFTYAEINCTGEGANKKGRVGWEKNLSAKDVKLLIEPKNFIDEDGWMATLPSSLVSLFF >scaffold_105621.1 pep chromosome:v.1.0:1:32362108:32363003:1 gene:scaffold_105621.1 transcript:scaffold_105621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESPDVVVVAPVVENGGAESSNGKDEQLESELSKKLEIAEDGKEDNDEDEGSKGETSTKKKKKNKSKKKKELPQQTNPPSIPVVELFPSGEFPEEKRELERLEKPIYNSVRQAAEVHRQVHFFFTK >scaffold_105622.1 pep chromosome:v.1.0:1:32374645:32376817:-1 gene:scaffold_105622.1 transcript:scaffold_105622.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7KB23] MRSLKSLLAFSFLFLTASSLLVSSYRLEPTDFVEDVEKTLVVDHDGTANFKTIQKAINSIPSGNNDWIKIILNPGIYHEKIVIPMEKQKIIMQGNDASKVIIQYNDAGLSNSSGPFTLNAEYFVAINITFMNTYNKRTPIILYEDIKVAPSVILTADKAWFYSCRFISVQDTVADLLGRHYFQNCYIEGAIDFIWGGGQSIYQNCIIHVKRVATKGMLKREQMLAGYITAQGRESEEDTSGFVFNNCVIKGSGKALLGRAYRDYSRVVFYETSMSNIIESRGWDAWDREGQKKKNRDHFTYAEINCIGEGANKRGRVRWEKNLTAEDVKSLIEPKTFINGDGWMATLPSSLVSLYLPKLS >scaffold_105623.1 pep chromosome:v.1.0:1:32379673:32387520:1 gene:scaffold_105623.1 transcript:scaffold_105623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLTSPPSIFSQSRRLSSSSIPIRSKSTSRPSSFTGFRSKTGVYLTKTALPSSSKLNVAAESPPATIATEDWGKVSAVLFDMDGVLCNSEDLSRRAAVDVFAEMGVEVTVDDFVPFMGTGEAKFLGGVASVKEVKGFDPDAAKKRFFEIYLDKYAKPESGIGFPGALELVTECKNKGLKVAVASSADRIKVDANLKAAGLSLKMFDAIVSADAFENLKPAPDIFLAAAKILGVPTSECVVIEDALAGVQAAQAANMRCIAVKTTLSEAILKDAGPSMIRDDIGNISISDILTGGSDSTRNSTAILEENTASDKTSANGFQGSRRDILRYGSLGIALSCVYFAANNWKAMQYASPKALWNALVGAKSPSFTQNQGEGRVQQFVDYITDLESKQTATAVPEFPSKLDWLNTAPLQFRRDLKGKVVILDFWTYCCINCMHVLPDLEFLEKKYKDMPFTVVGVHSAKFDNEKDLNAIRNAVLRYDISHPVVNDGDMYMWRELGINSWPTFAVVSPNGKVIAQIAGEGHRKDLDDLVAAALTYYGGKNILDSTPLPIRLEKDNDPRLAMSPLKFPGKLAIDTLNNRLFISDSNHNRIIVTDLEGNFIVQIGSTGEEGFQDGSFEDAAFNRPQGLAYNAKKNLLYVADTENHALREIDFVNERVRTLAGNGTKGSDYQGGRKGTKQLLNSPWDVCFEPVNEKVYVAMAGQHQIWEYNVLDGITRVFSGNGYERNLNGSTPQTTSFAQPSGISLGPDLKEAYIADSESSSIRALDLQTGGSRLLAGGDPYFSENLFKFGDNDGVGAEVLLQHPLGVLCAKDGQIYLTDSYNHKIKKLDPITKRVVTVAGTGKAGFKDGKVKGAQLSEPAGLAITENGRLFVADTNNSLIRYIDLNKGEDAEILTLDLKGVQLPMPKAKSVKRLRKRASADTKIVKVDSVTSREGDLNLKISLPDGYHFSKEARSKFVVDVEPESAVAINPMEGYIGPEGSTMLHFKQSSTSASVGKISCKVYYCKEDEVCLYQSVQFEVPFKVESESSASPTITFTVKPRAPDAGGLQLQATR >scaffold_105624.1 pep chromosome:v.1.0:1:32400204:32401497:1 gene:scaffold_105624.1 transcript:scaffold_105624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRFKESEIVERSILSRLPAKSVGRFRSVSKLWSTITTSKDFITSFATRSLASQPSVLVTVYKGEILFVFSSPLNKSSSDGKNPNFGKLSCLGSYPCKNPNFGNLHRYKYVHGLIFLEGSKQLVIWNPTLKRFLTLPDSEGKCDRVGSIVLGYDSSEGKYIVLRNLGDSKICILTLGAQGQGLCRKIITLGVPWHIPTRRFCGCINGVMYYGAAIFVGIRIQHHIISFDVRSEKFNQIKCPERNLLMSSHMVPYEGRLAIVKTMNLPSIELWILKDGDRHEWTHKHFVLPLAEMEPMRSEKLCFYGVSYVGELIFTQRRLFGSFYILYFDPRRNSIRDVLFEGIVGDEFRSRYGFGKDFMYTMNVYPNHIQSLVSL >scaffold_105626.1 pep chromosome:v.1.0:1:32415971:32416380:1 gene:scaffold_105626.1 transcript:scaffold_105626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFEWRPYTRTVTNWKFPKFYPEKVMCVTVFPSLDEEFISFARCIKVSELVGIKKVERYFPNRVATQFGMLQDIPSSVDKNNLSREAAWNDYNKPINDLALNIPSRSTISRVSTPTFFE >scaffold_105627.1 pep chromosome:v.1.0:1:32417796:32418143:1 gene:scaffold_105627.1 transcript:scaffold_105627.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KB30] MASRRSKSESCKASDKEDDEASKEKDEDTIDDGESVSRKRLRKTTTILKREIQKRRLKRKMSYNLSSRSFRAHCIIGASEQKKANSIESRNMLRIQQLMSL >scaffold_105628.1 pep chromosome:v.1.0:1:32419213:32419807:-1 gene:scaffold_105628.1 transcript:scaffold_105628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPIPKLDEILVNPATGHRTVTSIPLSYKSLPINSSLPSCSHHHIQLLLQDRLNSDDHWLCEYLVPKISTAPAINSGFGYNGVHLTVSVIVSYRYFHVDERSLKLSRMVLQGSMKAEKLKSLKMETESCSIRLQSLVSSSKTVPTRMSFTCLP >scaffold_105630.1 pep chromosome:v.1.0:1:32450108:32450552:1 gene:scaffold_105630.1 transcript:scaffold_105630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEFNQRARGNSLTIQRGDGSTFSPFSRFKVCVTVLPNQRKRGYRYLGLWGRITGKSGSTYYKNPCVSPRIQMEHLCIFHCDFPEEEISLGAGSDILFELKSRLNNCKFVECGVRILTTESGRSCDGSNDSRV >scaffold_105631.1 pep chromosome:v.1.0:1:32451382:32451719:-1 gene:scaffold_105631.1 transcript:scaffold_105631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPIPKLAVEVQVQERSLSPSERYTNSILLIINTIKDEILVNPATGHRTVTSIPLSYKSLPINFSLPSCSHQHIQSLLHDRLYSDGSNQLRFWVQWR >scaffold_105632.1 pep chromosome:v.1.0:1:32455202:32456539:-1 gene:scaffold_105632.1 transcript:scaffold_105632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPWLISISRQNHEEKVLVIAEDYATLYKVNERWPGHAVLIPSALDPQAAHKFGSQATTSLEHLELGYNVMYNDVDMVWLQDPFQYLQGSHDAYFMDDMIAIKPLNHSHGLPPRSRGGVTYVCSCMIFLPTDGAKLLMKTPKQRNHMIISLLLIGHFTKQLIKYLFLLPPNSTSAFSKIFTSFVLPIR >scaffold_105635.1 pep chromosome:v.1.0:1:32481643:32482090:1 gene:scaffold_105635.1 transcript:scaffold_105635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAETTLLCRCIVNNNLVDCIDMKISISRVFKFRMEHVFIFHFSLPFIDPFKVRRKIVHEFSSSFNAFDIIECGVQILMDETDGSNNLESMVFNEASESEEEDIANDGDYVSVSRKHPRTMIFTNLKWGMQRQHL >scaffold_105639.1 pep chromosome:v.1.0:1:32531714:32532113:1 gene:scaffold_105639.1 transcript:scaffold_105639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVRTLVAFVFTIFIIISFVHSRTTTSGYGMLFDAVACEGGFEYCPPGGGDAKCTAFCKTLPNKYDFGVCSKIYACCCHKNV >scaffold_105640.1 pep chromosome:v.1.0:1:32537393:32537792:1 gene:scaffold_105640.1 transcript:scaffold_105640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKKTLVALVFTIFFIISFGHGRTTTPSYGMLFDSVTCEGGFEQCPPGGGDVKCDAYCKTLKNKYDFGVCSKIYGCCCHKNV >scaffold_105641.1 pep chromosome:v.1.0:1:32544996:32545338:1 gene:scaffold_105641.1 transcript:scaffold_105641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYSKNDVIGPKIIPIGGHFIDNFRTNIWSTTRFMCTLRQGPNYRHYQNFTAFKQFSYKDYGGLWDWIAREDKIYLKKRPGLHVRNPVNMHKVYDWIY >scaffold_105642.1 pep chromosome:v.1.0:1:32545683:32546167:-1 gene:scaffold_105642.1 transcript:scaffold_105642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKERELQRRPLNIWRRFYDCLVKLLATQALKKVTLGQPQTLGIVVSNEENSDIGSNIISNVVENMEKNERLSVDGEQVELRVPKEKAPRKIVSIKDEVDEIRISSRRIKRRTSKGSFSSFDEHDVMSLKPLKSILRKDSEVSKYL >scaffold_105645.1 pep chromosome:v.1.0:1:32554622:32555163:-1 gene:scaffold_105645.1 transcript:scaffold_105645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVTDEVRARAEKYTGDEICREKTKEFLKEVSMPNGLLPLKDIEEVGYDRETGIVWLKQKKSITHKFLEIGKLVSYATEVTAQVEVGKIKKLTGVKAKELLIWVTLNELTLEQPISSGKINFRTPTGLSRTFPVSAFVVPEVEKPAMEKNNGTTEVKEAVAVTDA >scaffold_105649.1 pep chromosome:v.1.0:1:32602327:32602570:-1 gene:scaffold_105649.1 transcript:scaffold_105649.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KB51] MTPFLSNGDFSGRSSNFSSPFPSPNQSVFSVKRIVLFVDYFRPFSSFAFNRIIIEAILKPIINLLEL >scaffold_105651.1 pep chromosome:v.1.0:1:32634614:32635596:-1 gene:scaffold_105651.1 transcript:scaffold_105651.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KB53] MKTNFAFASNLTILVLGELDEPHLSVGTSFISAAHENQKEPHPSVGTSSISVPYSDTCSTAEKGRGNKSLDLLPALDRRPIPIGGVHSTQGADDIYVNKYFMNKAELMQKMRTWELEYKFEFRSRWSNKERVVLVSV >scaffold_105652.1 pep chromosome:v.1.0:1:32636276:32636588:1 gene:scaffold_105652.1 transcript:scaffold_105652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPQIHVHLDARRFSASQGYTKSVVIILKTKIHEIPEDPTTGSLILTESNRISPPLRIDLILSTSKRRHIRQLLHNSLWFQLVMRILTT >scaffold_105654.1 pep chromosome:v.1.0:1:32649431:32651015:1 gene:scaffold_105654.1 transcript:scaffold_105654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHVGKKFTWVIKNFSSLQSEKRIYSAPVLIGDCKWRLCAYPKGYQVVDYFSLFLQIVDYESLPSRWSRNVKYRLTILPQDPKKWPVEREGYSWFDKVSDWNWGSSSMIPLTKLHDKDEGFLVNDELIIVAEVDVLEVIGTLDVSVKSKESSRPLKKIKLNEDCAESNESLKEASSVKESMVNGFQVLPSQEESVRRIFERHPDIVVDFRAKNQQLRTTWMNFLLSLIETLCKSIQELSNDDLVDADIALTHLKAVNFKVDWLEKKLDQVKEKKKKELSVVAQLQETEENILKLKQKFSELDAELSATRTALSFDDVVL >scaffold_105655.1 pep chromosome:v.1.0:1:32652141:32652701:1 gene:scaffold_105655.1 transcript:scaffold_105655.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KB57] MITSLIILHTIPSTTSTPITPDAPTMILIDSICVNTRNMYFCEQTIISKLDNPHTDIKIISKIAAFNAVYITTTAGNLIRDEFIPKAENPLVKTQLVHCQATYRAVQKLLEGAYMAHLRGDYSDMRHYQSSVLGMLDSCKTDFDHMVRTNWWVRLTINISLLATRQLPGK >scaffold_105656.1 pep chromosome:v.1.0:1:32655165:32655543:-1 gene:scaffold_105656.1 transcript:scaffold_105656.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KB58] MDPGTSILLKQSLFNTQCCGCRGNNNIFVQWFNHSRYYRRLPKLFLDKLCDLKFEYSQIHDILFVYLKPKTGTFYKSSHRSRRLAIHVGSRKLQMLDHKTIRKLDIFCFSDQ >scaffold_105657.1 pep chromosome:v.1.0:1:32656192:32656811:-1 gene:scaffold_105657.1 transcript:scaffold_105657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMIKILITMIMALVIMDMRAKIVTECQKTDCKSLCQNMTDIACTNCLFRCISPPSFKYSNTRPNQLKMTPKICYYICSGGCGTDKRCDHRCKNCCGWPSLETSSSLI >scaffold_105660.1 pep chromosome:v.1.0:1:32707698:32708208:-1 gene:scaffold_105660.1 transcript:scaffold_105660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKQISMIITSLIILHTIPSITSISPDAPTMILIDGICLNTVNAYYCERSIISKLDKPHAEITTITKIAAFNALYISKITSALIRDSFLPRANNPLTKTKLRTCLATYNGLERYLEGAYISHGSYRGCNLESVAISLRTHELPRTKSVGIASWL >scaffold_105663.1 pep chromosome:v.1.0:1:32756381:32756749:1 gene:scaffold_105663.1 transcript:scaffold_105663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSCTLVALLCLYFLIFSSTDAGLKVVEAKVCKRYSDSSSGVCILSSRCNSRCINTEKAKFGACHVDTHGRACFCYFNC >scaffold_105668.1 pep chromosome:v.1.0:1:32844146:32845250:-1 gene:scaffold_105668.1 transcript:scaffold_105668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDLSLKGRSAIRGCCQLGLTPGQNKTKKKKVCGLWSLPDSVVVNCLAQLSRLDLAALAIASKNHRSLVVSPELRDLRWQQRCMEPSLYVCLRIFPEPSPRWFILHPMQRWLKPIHMDLYQAPKSASSFVVMGCGIFIIGGLVDGKPTSEVSFFDCFEHRWYRMPPMKMARASASASLMTDSKIYVFGGCGEDVANSSNWAEVFDVTTLTWGFLYVFTPKMPLNIQQSVVMIKEKEVYVVDEDGQNFSFSPSKCMFVARGKTDSIPGNIYRNDWCVIGTFLFCRGTRGRILWCLPYELHWKEVKGLEELQQWGFDITKLCTNPAGNIVIFWKPHPQTAYISSFLLLCSSRLAK >scaffold_105671.1 pep chromosome:v.1.0:1:32859270:32860438:1 gene:scaffold_105671.1 transcript:scaffold_105671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLFNINILLMVSFHALMRFRVCQWKLASLFTPSTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_105679.1 pep chromosome:v.1.0:1:32904939:32906920:1 gene:scaffold_105679.1 transcript:scaffold_105679.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family protein [Source:UniProtKB/TrEMBL;Acc:D7KC62] MKKKMIPTTLLLSALIFSLSPICEAVWLTVPHTGSKCVSEEIQSNVVVLADYLVISEEHSIFPTVSVKVTAPYGSVLHHKENTTNGQFAFTTQESGTYLACFEADAKSHGNRDFSINIDWKTGIAAKDWDSIARKEKIEGVELELKKLEGAVEAIHENLIYLRNREAEMRIVSEKTNSRVAWYSIMSLGICIVVSGLQILYLKQYFEKKKLI >scaffold_105680.1 pep chromosome:v.1.0:1:32931886:32932333:1 gene:scaffold_105680.1 transcript:scaffold_105680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFASPRGSGSTPFRASGSTQVRVSISSVHRLASGSPRAVQFPAPVQSPALNQQRPPLQVPRASVSGHSSQAQNVHDEEDEEGDAEGESDKEGLRDPTLPEDVLASLNDFLSMPSRELYTTVISPTLEPGTTW >scaffold_105684.1 pep chromosome:v.1.0:1:32995217:32995477:1 gene:scaffold_105684.1 transcript:scaffold_105684.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KC70] MSRILAKALLEPAVESIDEEFVFVTLELMIVFTEQIPIISRDFNHFLHFSKSLIHLQILWKMDFSPRKSIL >scaffold_105686.1 pep chromosome:v.1.0:1:32999523:32999931:1 gene:scaffold_105686.1 transcript:scaffold_105686.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14 [Source:UniProtKB/TrEMBL;Acc:D7KC72] MIQPQTYLNVADNSGARELMCIRIIGASNRRYAHIGDVIVSVIKEAIPNTPLERSEVIRAVIVRTCKELKRNNGTIIRYDDNAAVVIDQEGNPKGTRVFGAIPRELRQLNFTKIASLAPEVL >scaffold_105692.1 pep chromosome:v.1.0:1:33016972:33019018:1 gene:scaffold_105692.1 transcript:scaffold_105692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSLITTFVSLAVRFTEKATRNTRFSRALNTRAISELAILLNIQNAKSSNNEENAIEQMAKAFELMVAMERVGFCVEERIYGPLLTFLIDMKTVEEFYMTFDMIRYGQRISNSSPEFARLGYYVMLLWIQLKDEEKINEVCSMIIKKYEEYCSFNRCRLTEMYLLALCEKDQHKEVLMILETLDTRVCSFKILTSIFEYLGRSISESVAKKLLRELNKKGIFWFRSKKVSELIFSYVTSIPNMWVGSAVFKFNELLEELKVSPSTASYQKLIKYSCDSREEETGLWILEHMYEVGLEVSSDTAVYLFRTIEQNYEFDMLRAVQNVLRRMSKEKDESRMFNLRLAVYIWEVLFGRCEPKHKEGGHYKPWLKDNYYIFTLEDYENRSPYPIEREDYGYDYEEEYYCGDDDSANSVSGS >scaffold_105695.1 pep chromosome:v.1.0:1:33025962:33027578:1 gene:scaffold_105695.1 transcript:scaffold_105695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSFITTFVRLAIRSTGKPSNTAAFAARNVRALNTSAISEPALVDNSSEISQQISPQYASKTSWSAKLRSALDNGAKTSAISRLESSGFELADDCQAAILLNIENAQRSNDEEYVTDQIAKAFEIIAAMKGSNINGNEKVYGPLLHFLIDKKMVEEFHMLVEAMRDDSDHYWLREKLARLGYYKMLLWIKLKDEEKIKEVCSMIIKRFKEKIAVEKNCSKPPVIRRGWEIHRMELCNKQCPKLTKHYLLALCEKDQHKEVLRILETLDITRVESFRLWTSIFEHLGRSLAESVAKKLLRELNKNGLSNYREHDVSELIFSYVTSIPNLSIGSAFFKFKELLNELDITPSTASYKKLINYSCDSRKEEIGLSILQHMYEVGLKVPSNDAVYLFRSIEKNYGFNLVCA >scaffold_105697.1 pep chromosome:v.1.0:1:33036282:33036504:-1 gene:scaffold_105697.1 transcript:scaffold_105697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFTSFINGNFFDVVTLSVSTNNSKCLDFFRTDPSQIAMIRSTYFYTISETQGLNRMDM >scaffold_105698.1 pep chromosome:v.1.0:1:33042378:33044429:1 gene:scaffold_105698.1 transcript:scaffold_105698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVMTLLLVDQLLDQALKTLSGGAVESRRGLALLYVWGSPLISIREPSAYLDSEQRITASKVIKRFLSRKENRRCLPILLLLHFFWGLYAQIKPFSNVIVVTKGNNFSNDLKSIHMSILIRFSSSILQIDMLSHITVLRVSSAVGRSSREALQPFVLVGFQPGCSWYHGMAVIGTAILYFTFYVWLGMDSPGSMNVTDQAIYLYSIVNLCRGHIEECKLYSQNLNWEEDKIYCRYMFEKNQHRLTWHTS >scaffold_105699.1 pep chromosome:v.1.0:1:33069672:33069900:-1 gene:scaffold_105699.1 transcript:scaffold_105699.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KC81] MEVPIWQWCLIVIAIIFLAIASHFLGRILCNPRERIVDSKVEKQHGPAAEGKTGKGGSDQLV >scaffold_105703.1 pep chromosome:v.1.0:1:33082450:33083920:1 gene:scaffold_105703.1 transcript:scaffold_105703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGREKKVRKLPIRRANKNGAMTKHHDHVSEEILIEILARLPLRSIRRFKSVCKTWKSVTETDYFRRLFVSLHKNSSSSWSLVVGTKASDLISLHRCETWDLPKSLACYIQSHITTGHLDYVASSNGLVFMDGYDTSFVGNPVLQQWVQIPSLPYPFVTLPFGLVTRVDDDGVVLGFKVVRIAGDKQKRQESLTMLCLCVYSSETGVWSKKRLDCSHYFTNWGLPMALNGTLFISPEDIDDPAAVSGTLIAHDFYGEESDLCRVIPLPDHDLDHNWYFKRALTTSAGSVIYIKTLAHNLLKVWTLTKNDDWKLLWEIRLPFITCDDDILYYAPLAMNPFDGSIVYLWSQQKHYLVSCNLQTHNFKILSEEEESTRHIDDGLEKCVVNQSTCEQLMNASFDPDSLDHCVYPLTLFHFVLPRWMESLPCPPQVEMMDTSSLLSYILVMEANRPEFKDTFGIRERLLRSRFFSTKRRE >scaffold_105704.1 pep chromosome:v.1.0:1:33085566:33086227:1 gene:scaffold_105704.1 transcript:scaffold_105704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSNLVSTVIQLKALGIDNILVLNGLHLGPMNNDPEHLKYFIHFRSEHDDAKLTSPTGFQVAKLVLFLHVSISSSKMTLASSELGCSDEIIATAAVLSILLKRLSYVCRYIETSEQCYVPGEGIDFT >scaffold_105706.1 pep chromosome:v.1.0:1:33091581:33092268:-1 gene:scaffold_105706.1 transcript:scaffold_105706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRLYTEDYLLDAEVESCFYCKTDLPDDDAKLTSPTGFQVAELPLFLHVSISSSKMILASSELGCSDEIIATTAVLSILVKPLFHCNGCNLKHITLQSVWVIARTR >scaffold_105707.1 pep chromosome:v.1.0:1:33093245:33094718:-1 gene:scaffold_105707.1 transcript:scaffold_105707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGREKKVRKLPIRRANKNGAMTKHHDHVSEEILIEILARLPLRSIRRFKSVCKTWKSVTETDYFRRLFVSLHKNSSSSWSLVVGTKASDLISLHRCETWDLPKSLACYIQSHITIGHVNYVASSNGLVFMDGYKTSFVGNPVLQQWVRIPSPPYPFVTLPFGLVTRVDDDGVVLGFKVVRIAVDKQKRQESLTMLCLCVYSSETGVWSKKRLDCSHYFTNWGLPMALNGTLFISPEDIDDPAAVSGVLIAHDFYGEESDLCRVIPLPDHELDHNWCFKRAWTTSAGSVMYIKTLAHNLLKVWMLTKNDDWKLLWEIRLPFITCDDDILYYAPLAMNPFDGNIVYLWSQQKRYLVSCNLQTHNFKILSEEEVSTRRIDDDGLEKCVVNQSTCEQLMNASFDPDSLDHCVYPLTLFHFVLPRWMESLPCPPHVEMMDTSSLLSYILVMEANRPEFKDTFGIRERLLRSRFFSTKRRE >scaffold_105713.1 pep chromosome:v.1.0:1:33117700:33119388:-1 gene:scaffold_105713.1 transcript:scaffold_105713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSHVTALFIVVALVCAFVPAFSVEEAEAKTLWDTCLVKITPKCALNIIAVVFGNGTLIESCCHDLVQEGKVCHDNLIKYIADRPSLVGRETQYVWSHCVSISKTLNLISLLALLVLIESCCHDLVQEEKVCHDNLIKYIADRPSLVGRETQYLKKRDDVWSHCVSISKTA >scaffold_105714.1 pep chromosome:v.1.0:1:33120756:33121144:-1 gene:scaffold_105714.1 transcript:scaffold_105714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAFVPAFSVEEAEAKTLWDTCLVKITPKCALNIIAVVFGNGTLIESCCHDLVQEGKVCHDNLIKYIADRPSLVGRETQYLKKRDDVWSHCVSISKTT >scaffold_105715.1 pep chromosome:v.1.0:1:33122518:33122906:-1 gene:scaffold_105715.1 transcript:scaffold_105715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAFVPAFSVEEAEAKTLWDTCLVKITPKCALNIIAVVFGNGTLIESCCHDLVQEGKVCHDNLIKYIADRPSLVGRETQYLKKRDDVWSHCVSISKTA >scaffold_105716.1 pep chromosome:v.1.0:1:33124399:33124658:-1 gene:scaffold_105716.1 transcript:scaffold_105716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSHVTALFIVVALVCAFVPAFSVEEAEAKTLWDTCLVKITPKCALNIIAVVFGNGTLIESCCHDLVQE >scaffold_105717.1 pep chromosome:v.1.0:1:33126185:33126444:-1 gene:scaffold_105717.1 transcript:scaffold_105717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSHVNALFIVVALVCAFVPAFSVEEAEAKTLWDTCLVKITPKCALNIIAVVFGNGTLIESCCHDLVQE >scaffold_105720.1 pep chromosome:v.1.0:1:33131338:33131726:-1 gene:scaffold_105720.1 transcript:scaffold_105720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAFVPAFSVEEAEAKTLWDTCLVKITPKCALNIIAVVFGNGTLIESCCHDLVQEGKVCHDNLIKYIADRPSLVGRETQYLKKRDDVWSHCVSISKTT >scaffold_105800001.1 pep scaffold:JGI8X:scaffold_1058:290:1136:-1 gene:scaffold_105800001.1 transcript:scaffold_105800001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMKCVGVVGAGQMGSGIAQLAATSGLDVWLMDADRDALSRATAAISSSVKRFVSKGLISKEIGDDAMHRLRVTLNLEDLSSADIIVEAIVESEDIKKKLFKDLDGIAKSCAILASNTSSISITRLASATKRPSQVIGMHFMNPPPIMKLVEIIRGADTSEETFIATKALAERFDLFLK >scaffold_106900001.1 pep scaffold:JGI8X:scaffold_1069:531:2524:-1 gene:scaffold_106900001.1 transcript:scaffold_106900001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPLFVVLLLFTASFITPTAAEIKSLTISDDSRPMILFEKFGFTQSGHVSVSVSSVSVVSSSSVPIPDPSRLGFFLMSEESLLQVVLEIEQKPNFCVLDSNYVLHLFTLKDLSSPPGSQIRALVPGDFSERILSLLRELRYGNQNFDEGSTGDETGPFIKDWFTWNQIFLLVDIVCCCAILFPIVWSIRSLRETSKTDGKAARNLAKLTLFRQFYIVVIGYLYFTRIVVFALKTIAAYKYRWVSNAAEEIASLAFYMLMFYMFRPVEKNEYFVLDEEEEEAAELALKEDDFEL >scaffold_107400001.1 pep scaffold:JGI8X:scaffold_1074:503:1207:-1 gene:scaffold_107400001.1 transcript:scaffold_107400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPVSFPVNVFGCGYNNGGTFDETGSGIIGPGGCQLSLIFQLSSSISKKFSYCLSHKSATTNGTSVINLGTSSIPSSLSKGSCVISTPLVDKEPRTHYYLTLKAHSDGKKKIPYTGMR >scaffold_10800006.1 pep scaffold:JGI8X:scaffold_108:17752:19246:1 gene:scaffold_10800006.1 transcript:scaffold_10800006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSWFEDAQAFLRGQNEYWRQVNESEGFDLEGILAPPGTTGLMIHNCKDGFGFRVDYRVDLYAKLGLHRYNMLKGTNFQLDELIKFNMLMNMVSAYHITLVASDPASGSVLTFQVKVEEHMVNRLNVTVSIARPKGTIEPLPLLDDLKADRAHHELHDDDGLSQWPSEDAFNDTKRFYMVEESELQDTDWIRLYLELVLCSKDRRLRASDLSMLKIVKVAVETSDEDVEPPNERLNAKTASFYITFHLAIWGIREDVERRAIVRRVLSRTGNLILLDTLTPFKKDTGLNKRIMEASMADRLAATTNPSMFRSRR >scaffold_108900001.1 pep scaffold:JGI8X:scaffold_1089:685:1358:1 gene:scaffold_108900001.1 transcript:scaffold_108900001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWKDASAVVTGEGRSKALLEYTGMMDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEMVKEVLGVEFRISD >scaffold_11000001.1 pep scaffold:JGI8X:scaffold_110:18771:19369:-1 gene:scaffold_11000001.1 transcript:scaffold_11000001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGKSKKKKMVLKAVSVVDIGCGNCKFPSLSSFFNPTPKKPRLYSSNYGHCHSSTPTTASSSSAVPSTSHWFSDNSSSSATPSTAAVAVEKDSDDPYLDFRQSMLQMILENEIYSKNDLRELLHCFLSLNEPYHHGIIIRAFSEIWDGVFSAAVKHRGDVQESPLVHRHGSRASHRNHYHRSK >scaffold_11200001.1 pep scaffold:JGI8X:scaffold_112:93:909:-1 gene:scaffold_11200001.1 transcript:scaffold_11200001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPFFFLSFVLLLPFVVDSLYFNFTSFRAGDPENIVYHGDATPDEDGTVNFNNAEQTSQVGWITYSKKVPIWSHRTGKASNFNTSFSFKIDARNLSADGHGICFFLAPMGAQLPAYSVGGFLNLFTRKNNYSSSFPLVHVEFDTFNNPGWDPKDVGSHVGINDNSLVSSNYTSWNASSHNQDIGHAKISYDSVTKNLSVTWAYELTTSDPKESSSLSYIIDLTKVLPSEVMFGFIAAFKFG >scaffold_11700002.1 pep scaffold:JGI8X:scaffold_117:2405:3056:-1 gene:scaffold_11700002.1 transcript:scaffold_11700002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEAVAEENGGLKVESGEQNSSWRTMRFDVSPYRTHHFSKQFRTARNPYNFLKGLKWSPDGSCFLASSEDNTLSLFHLPQDGGDSNGYGVPVPLFHVSLSKENAWCTTSTFAFCFNVCATC >scaffold_11700004.1 pep scaffold:JGI8X:scaffold_117:15664:17603:-1 gene:scaffold_11700004.1 transcript:scaffold_11700004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQLGVLKALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCVSLVTKLLGRLYYFNPASEKPGSLPPHVAAAVNGVALCGTLAGQLFFGWLGEQLGRKKVYGITLIMMIVAQLLPKTRGAFIAAVFAMQGVGILAGGFVALAVSSIFDKKFPSPTYAQDRILSTPPQADYIWRIIVMFGALPAALTYYWRMKMPETARYTALVAKNIKKATQDMSKVLQVDLEVEERAEDPKLNYGLFSKEFLRRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKAATMNAIHEVFKIAKAQTLIALCSTVPGYWFTVAFIDIIGRFAIQLMGFFFMTVFMFAIAFPYNHWILPDNRIGFVVMYSLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAATGKAGAIVGAFGFLYAAQPQDKTKTDAGYPPGIGVKNSLIMLGVINFVGMLFTFLVPEPKGKSLEELSGEAEVDK >scaffold_12200001.1 pep scaffold:JGI8X:scaffold_122:159:1562:1 gene:scaffold_12200001.1 transcript:scaffold_12200001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASMTSMVISNLNPETQTKLMDNIKSFNYDWFQSPLALSTWKLFYKVLKARREAIDVIKDVLTRRKESKEKHGDFVDTMLEDLEKENTIFDQGSAISLIFSILVVAKEGVPNITSIAVKFLSQNPKALAELKREHMAILRNRKDKGGVSWEEYRHSMSFTNMVISESLRLANLSPVMFRKALRDVEIKGYTIPAGWIVAVVPAMVHFDEATYENPLEFNPWRWEGKEMIWGSKTFMVFGGGVRLCVGAEFARLHIALFLHHLVTTYDFSLVQDCELIRTPFLHFTKGLLLNISESSK >scaffold_12500005.1 pep scaffold:JGI8X:scaffold_125:13808:17386:-1 gene:scaffold_12500005.1 transcript:scaffold_12500005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFEVEDEVMFPNLIIIRIHGRDFSRFSQVHKFEKPNDETALNLMNSCASAVLEEYPDIVFAYGYSDEYSFVFKKTSRFYQRRASKVLSLVASFFAAVYVTKWKEFFPHRKLEYAPSFASKAVSCASVEVLQAYLAWRQHDCHISNQYDTCFWMLVKSGKTLSETQEILKDTQKQQRNELLFQQFGINYKMLPVLFRQGSCLFKTKVEETVKHDENGNPVKRLRRRETLVHSENIAGRSFWNEHSSLHKDLGHFAKDIGKIEPDYVKSFQFESRLLPLTWVVVRIDGCHFHRFSEVHEFEKPNDEQALKLMNSCAVAVLEEFQDIAFAYGVSDEYSFVLKNKSELYKRQSSKIISAIVSFFTSTYVMRWGDFFPHKNLKYPPSFDGRAVCYPTSDILLDYLAWRQVDCHINNQYNTCFWMLVKSGKSKTQAQDYLKGTQTREKNELLIQQFGIEYNSLPVIFRLGSSVFRLKTQEGVAEENGEVSGKQVEAEVVVDYSNIIDQCFWQQHPHILSCS >scaffold_12600003.1 pep scaffold:JGI8X:scaffold_126:10827:11377:-1 gene:scaffold_12600003.1 transcript:scaffold_12600003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFITMHQTLSRKLNYWLQIRATTTSLQPTDDTRERSAIGMMASYYALLDQVRDVKLDDSTTKLNELISVSFAKLEKYVFRHCSSSITD >scaffold_12600005.1 pep scaffold:JGI8X:scaffold_126:13850:14388:1 gene:scaffold_12600005.1 transcript:scaffold_12600005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASFAFLQDSCVFFSSQTKMKFTRKSTVGWSIGNILLDFAGGLANNLQMVIQ >scaffold_12600006.1 pep scaffold:JGI8X:scaffold_126:17650:19023:-1 gene:scaffold_12600006.1 transcript:scaffold_12600006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEERWSFTLEKLVNIFRSSKTSTPLPYPKPLEKFIKDTGMNLEVDIDPLMLKLMEDVAKGLNHFHKMGFFHRDLNPQNVVIVCGNKSMTTKIANFCTAERIGIKPKAPISNYGTGFQPREQIKNNNLRKLNGVVKTPETSSVDFFSFGCLLFYSLTLGEHPFGAPYGTKPEVIDSLICRSNLVLHHCRTPEAETLVSRLMKHTPHVRISITSALNFPLFWCFEKRLAYLKNVSEMMEQWGQSGQLIEAYLDFHSIEILGPALDWSTKIDPPIITYINDPNNPNLPSFYSSVRRLVRLIRNQHSHYAELPANIKVLYKGEVQGIEEYYRKIFPRLLIRAYEAVDLNIAKVNAEFGKYMINYHA >scaffold_12800001.1 pep scaffold:JGI8X:scaffold_128:603:997:1 gene:scaffold_12800001.1 transcript:scaffold_12800001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWI5] MPPGATGLSLNGATMPPRATGVSSNGATGVSSNGTSSSNSVTLEALMNAPARRDQPRLYPKKLNGVLWFKINPSINKNIRTTWQSNFMGRWWN >scaffold_12800003.1 pep scaffold:JGI8X:scaffold_128:14457:14844:1 gene:scaffold_12800003.1 transcript:scaffold_12800003.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MWI6] MVSAQDKDVGPNETFYASLARNLDTEMRLSSLETTLAAVKDDMAMLKNDVMMLKDDLHKVGDCTTAMQASQNVILRSLGIDPLTQQPIRPTTTPVTVSHSVPSPSPLKPHDNNED >scaffold_13000002.1 pep scaffold:JGI8X:scaffold_130:7026:7243:-1 gene:scaffold_13000002.1 transcript:scaffold_13000002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHZ5] MDGMNMSKRTIGHNVMDGMVINEHNLAETFVCKSIVCKATRSVSIWPTRLYANPQYK >scaffold_13300001.1 pep scaffold:JGI8X:scaffold_133:3185:3467:-1 gene:scaffold_13300001.1 transcript:scaffold_13300001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L510] MARLADYKGQFSAIAIDSALSQQVSALIRLNQASRGELKSVLDEIRRDIHEIAMNQYGSWFLVNLLRSMNLVSEVDGVFS >scaffold_13300003.1 pep scaffold:JGI8X:scaffold_133:11822:13058:-1 gene:scaffold_13300003.1 transcript:scaffold_13300003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFTEDLWGIILARLPLRSVTSSKLVCKQWKSTVESPYFRHLFLSHHQNSSRGSLRCAIWGLTRSLGSYLSSFLTKTFETHNEKVRVLAYTNVGLILIGLGSDLKNPTYYMANPISQQCVKIPPRPLRPLGQQEECFRPGLVTRIEKDVLLGYKVVLMDRTNIRTGVLSLVVYSSETGLWSFNTLQSHPQLHYMSCIDPITLNGNIYWIESDQCRVILFPNDGTGKKFPRNCTISQDFLIYMNMVYENRAYKLRVWRLKSGEWQLVSEIPSVTSLEYFPLGINPFHGDIMYMWSKMDRHFSSINLYKGQIGRHNNLERSSHGRTLRFAREWDPDEDRIYIPFISRFLLPRWLHPIPSSPS >scaffold_13500001.1 pep scaffold:JGI8X:scaffold_135:1996:2705:-1 gene:scaffold_13500001.1 transcript:scaffold_13500001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2K8] MAASRLVRLCSQPMLMWRSYLVIAFGSLLLWRFFVEHPFHYSSGELPRYQNSFCRGQERSFSPSSFSKERTLSSLSSFVLFWSLYRCWCVARTTVVCERRSEASLSVISKGYAIWCYVAFAPSAGFRRAFSAFVAESSSNFRSPLVFFVLHGVYSLLVSNIVKVQGRQDNVFGLSVRFACIYLCFFGVYVLCSAYVAVMMVALLAWISLPVTFSPLAGEF >scaffold_13500002.1 pep scaffold:JGI8X:scaffold_135:2900:3105:1 gene:scaffold_13500002.1 transcript:scaffold_13500002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2K7] MAAAEGRSKHQIWIKNTSLNRTKKRGRGRPEEDGGKKSTGETRYKRPSPSKIH >scaffold_13500003.1 pep scaffold:JGI8X:scaffold_135:5684:5969:1 gene:scaffold_13500003.1 transcript:scaffold_13500003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLALIFLLNFLLLMVVHVPANEAVRFLPKERLGNLQFLQKGEVTPSNPSSCTHIPGGHGPPCPFQERHFAGRAAVLQQ >scaffold_13600004.1 pep scaffold:JGI8X:scaffold_136:10544:10748:-1 gene:scaffold_13600004.1 transcript:scaffold_13600004.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPT0] MFPPTGNFLQPFSHPTRILFLSSNRSFAFDKQQETSRSVTKASPFFLIFRLINS >scaffold_13800001.1 pep scaffold:JGI8X:scaffold_138:17133:17496:1 gene:scaffold_13800001.1 transcript:scaffold_13800001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEQKRFALFLATSDSTFVKKTYGGYFNVFVSTFGEDGEQWDLFRVIDGEFPDDKDLDKYDGFVISGSLHDAFGDDDWIVKLCSLCQKLDDMKKKVLGICFGHQV >scaffold_13900002.1 pep scaffold:JGI8X:scaffold_139:5095:5694:1 gene:scaffold_13900002.1 transcript:scaffold_13900002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWK9] MVTNALGERLWTAENSETTKIWIYSGTTLFYQPEGRKRLPMRQEREKGRQIHCYAPSQSLASHRIYIETSPLGWWTLKSRPREERELAHL >scaffold_13900004.1 pep scaffold:JGI8X:scaffold_139:11491:13588:1 gene:scaffold_13900004.1 transcript:scaffold_13900004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRSKTPTPLRFSNGKHQKAHSDYSWSDVGTGEKARNVSVLGAIRRAAKKVFAIIFLGQQKFKPTECRSDPGESSTLDRESTLSGWTGYSSPSSFGRSAERKISGQYRFSGSRFQSPGKDSSSSKSWLQGPVIFSFGELQRATANFSSVHQIGEGGFGTVFKGKLDDGTIVAIKRARKNNYGKSWLLEFKNEIYTLSKIEHMNLVKLYGFLEHGDEKVIVVEYVGNGNLREHLDGLRGNRLEMAERLEIAIDVSHALTYLHTYTDTPIIHRDIKASNILITNKLRAKVADFGFARLVSEDLGATHISTQVKGSAGYVDPDYLRTFQLTDKSDVYSFGVLLIELLTGRRPIELKRPQKDRLTVKWALRRLKDDEAVLIMDPFLKRNRAAIEVAEKMLRLASECLAPTRATRPAMKDIAEKLWAIRREMKETMICSSASNSSCSSTTHSFIGRDSDRFALPRIEDNENSIELLSP >scaffold_13900005.1 pep scaffold:JGI8X:scaffold_139:13970:15358:-1 gene:scaffold_13900005.1 transcript:scaffold_13900005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEATSWIRRTKFSQTVSYRLNSSKLASLPFMVNQDKISGLKTIPQRSSSSSSASSSDPKFVSSNSQTWEDTSSLEADVYVVDSEIQTNPVTNKHRSVSPSPQMAVPDVFKEARSERKRFSTPHPRRVESEKGMKPKLSHKNSFEKRSFNLRSPSGPIRDLGTLRIQERVKSKKDTGWSKLFDNTGRRVSAVEASEEFRVDMSKLFFGLKFAHGLYSRLYHGKYEDKAVAVKLITVPDDDDNGCLGARLEKQFTKEVTLLSRLTHPNVIKFVGAYKDPPVYCVLTQYLPEGSLRSFLHKPENRSLPLKKLIEFALDIARGMEYIHSRHIIHRDLKPENVLIDEDFHLKIADFGIACEEEYCDMLADDPGTYRWMAPEMINGNHTGAAAAAALVAQPCLSLNSLERHEESIL >scaffold_13900006.1 pep scaffold:JGI8X:scaffold_139:15394:15589:-1 gene:scaffold_13900006.1 transcript:scaffold_13900006.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MWL3] MVEGEKWGFDGFEGIVYLRGNEIVGDCSKSSDSYSRVCLGTLEKLRVLVV >scaffold_14200004.1 pep scaffold:JGI8X:scaffold_142:13269:17485:-1 gene:scaffold_14200004.1 transcript:scaffold_14200004.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside-triphosphatase/ nucleotide binding protein [Source:UniProtKB/TrEMBL;Acc:D7MWL6] MKFDSLEPRPKEFDLNELPSLSHPDVCVIDDEEPEQCVSQSEGIAEASSVVLVADQEEKRGYVGSLDGAESDCEVHEAINLSDDAGDAADISHVMQHLSCRESNDSSGQPRNSLWVDKYQPRSASEVCGNTESVKVMNEWLRQWQERGFQPDKDFLSSDEDKSQDADYNCSESDTDSENIGAEDRLKNVLLIVGPAGSGKSAAIHACAKEQGFKILESNTSECRSGTVVRQKFGEALKSYSLSRSLDPLFNSCTDGNGVEDVMEVVPILHIQNDGANLKPLILFEDVDVCFAEDRGLVSAIQQIAAKAKGPVVLTTNDKNHGLPDNLERIEIYFSLPSKEELFSHLSLVCAAEEVKVNRGSLDQMTTSCGGDIRKAIMQLQFWFQSKPKRARKVKNTGNQDLFDHEAGHLLLPKIITRDFPSQLSQLVENEIAKVISLEEESYNTVEVFVEEVENEKMLNRLWRRGTGKNSIQAKKTAMFRQNTTFEDYDELEDVLSIPCELSNTSYQPLSFSQPNRRRKLNVVMSSDSEDEPLTDIRVSIAQHQKDDRLIFQEDGTLSSYWPDMQKETNLLADPSVPSRAEILEATCYQYETSKFSCINEVSQSVDVSCVPESSYVPETLMDGEAELSPRAVSCGHFDGRVEVSMNEDVVQTPPSKEIYIDRFQIFDCLKNTCEIIAESSDAMVMENCFKEYVGTAQKMQPVSDECSRIDFGKTFKTAQKPKLDTSKSAVQESWEKICSSHADFKPYLDSEPVEAPQVLDLTHQITNLISEADLTHFRCLNLGALEPMINASGELDTSGLSQMLEQMTSTVAQQEFCFFTNQITTTGTVPNSSATMVPGRGLAVDEARQDCTSSNGSCLDINPDLLKCRRMARLSGILESVVPLRSLKGKAFHEYASFIGKISRADPSNLSGAIEKGRRRRSREARHYLSMELSSEDIALLGQHSTYSRIIKHFQLYRS >scaffold_14400001.1 pep scaffold:JGI8X:scaffold_144:33864:34354:-1 gene:scaffold_14400001.1 transcript:scaffold_14400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNSNDEAKHTVYISFNKNDSSVSSFISYLIAAFNRQGIISAFVDGKSSHDEAVEREMGPEEFSKLRVVVVVFSKNYALHVSFLEKQILEYSYRNNNDFVVVPVFYGVSISSVNQHMERFGEEFDAIQRSRIKWRPGHEYDCKRRYRL >scaffold_15800002.1 pep scaffold:JGI8X:scaffold_158:10079:11354:-1 gene:scaffold_15800002.1 transcript:scaffold_15800002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVYSNQKGQTYDRTNIDSWIGKGNPPCPFTRVALSDLTLIPNHTLGRLIQEWCVDNRSNSVERIPTPKQPADPISVRSLLSQASAISGTHASVRSRAAAICRLRELARDEKNRVLIAGHNAREILVRILVLLHMTETECEALVNGAALIEMVLTGSKLMDLKLIISGSDSIFEGVLDLLKILISSRRALQIGIKAIFALCLVKQKKHLAISTGAPGILIDHGGF >scaffold_15900004.1 pep scaffold:JGI8X:scaffold_159:5767:7704:1 gene:scaffold_15900004.1 transcript:scaffold_15900004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIYSVVKIFSINRTADPSHPWTAPTSNPCSGSGFVISGRRIITNAHVVTGATFIQATKLSSGTKYKATVLAFGHECDLAILLINNNEFWKDLEPLNLRGEMPNLLEPVRIVGYPQGGDSISITGGILSRINTYVYSHSRGELELPVLQVDAAINSGNSGGPVFIENEVIGVAFERLPSGDNIGYVIPAQIVKIFLASIDKGDETGFCSLGISLQSMENAMMRKYFKMKKIMTGVLVTKTNQHSQGNEYVEKNDVILEIDGMTVEDDGKVFYESRLWMHLNGFIALKNPNERISLKVLRNGEVIHMKMEAMPVDTWYTSDYSSPSYYILAGLVFTESTESMTGVKICEVLEDNINKGYSSFRDLEVHCVNGRPVNTLDQLCELIVASTEEYVRIELEGDLVVMVNLKSHKKSRGQLLESHRVMYDMSDDIEEAYPCLGEE >scaffold_15900005.1 pep scaffold:JGI8X:scaffold_159:8497:12016:1 gene:scaffold_15900005.1 transcript:scaffold_15900005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGASHRRELLYRDEIHGVRPRPTKIPIKSRHVFITSGSSGIGLALAQRTTSDGVCVSILAKSSRNRQWSATFYLVKHSPEDVKFTIDVNQNLVGSFNVNKAHFPSMTARKDRGSASITLVSSQAGQFPLHNLTAEAVWLGLFVDNHGEYWDVPLSMAIDLASLPAESGPSYHLCLHHNSGSPKKLNSDTMEVPPPSLLPCLSLTSAISYWTNMDLWRGTTPKLETYKPYDVFLSSPHVAVSGIIGMISFFDLFQKLCDDRSIGENSIRSKFENDSEGVGGFSLHFPFVNSGFMADTLGLASLTAQYRNFQKLFFDLTRFHARLDFPYGLRFLTGATSVSQDLLNSRQPSLEAFQKICPEVLVSLQQQIVGPSSFKVESGIQIDLKNGVGANPVTVDKTVFAIEYALQVLLSAKAVAWYSPKQKEFMVELSFFET >scaffold_15900006.1 pep scaffold:JGI8X:scaffold_159:12093:13112:-1 gene:scaffold_15900006.1 transcript:scaffold_15900006.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:D7MWN1] MSKVCPGWNYTSNHDTDEDGRIIIIWKLPTTVHVFLDSFHAALNGLLPKDIRVRELSAAVPEFHARFSAQSKVYRYQIYNDTFMDPFQRYWAYHCAYKLNASKMRETVDLFVGMHDVSAFANATREDGVPDPLKSISRFYVIQMGSLLQLEVEGSGFLYRQVRNMVALLIHIGKESLDSDIVPMILETKDRRVLAKYTTLPVPPHGLCLVSVKYKEDHLKLPLDCPVTSFGRHHTITKCKLPFY >scaffold_16100002.1 pep scaffold:JGI8X:scaffold_161:5168:5874:-1 gene:scaffold_16100002.1 transcript:scaffold_16100002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEKYPGHGMVIAAGAIENGYKVLECQDSFGLQWGDQGPSLDTKLSKMMSAQFLREEDIETFATNTIRLARRVSVSVMIKLPPSYQEWNFATQGTYISSVTDLCRMPFEKDPSHGLVITAGAIENGLEVLECQDSFGLQWGAQRFIKIAFYKYLIKEVFEFTV >scaffold_16300003.1 pep scaffold:JGI8X:scaffold_163:12633:14975:-1 gene:scaffold_16300003.1 transcript:scaffold_16300003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGTLQLTSDYVPGYGASDSRSFSKSAVSRRTLAVLPCSSCRINKLCIENGHLKSATNKTSFVCRASSSGHRRNNPDFSRNNKHGFRGRNRRNEDRDGLVDGGLEDDILSSKNGPILSLSSSPKFQATSSPGPKEKEIVELFRKVQAQLRARAAAKKEEKKTEEASKGQGGKDSETVDSLLKLLRKHSGEQSKKQVSNFNSEKQLQRDDDASERQDHSSNRFDSQNKDHNATPFTRPASSFRRNSPVPRHKSQASYSSEAIFDQASSYSVTWTQKKDQVESHDEPEYEPEPESAAESDEPEPESAAEYDEPEPEAEYESESEPGLAILDSVSELKPESFYQEEDEDEEEDHDAVVDELSDDDESLDIEEETAKDEDLSALKFVELRAIAKTRGLKVISKIKKADLLNLLGSNNKS >scaffold_16600003.1 pep scaffold:JGI8X:scaffold_166:9512:10636:1 gene:scaffold_16600003.1 transcript:scaffold_16600003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREETFFFQWRFMAFGLIFLFLLPQAFTYDTPPINPCYAHAPFLPPIANPRLLKAYAALQAWKFTITSDPNGFTSNWCGPHVCNYTGVYCAPALDNPYVLTVAGIDLNHANIAGYLPIELGLLTDLALFHINSNRFQGQLPKTLKCLELLHELDVSNNKLSGEFPSVIFSLPSLKFLDIRFNEFQGDVPDQLFDLNLDALFINDNKFQFRLPKNIGNSPVSVLVLANIDLQGSCVPPSFYKMGKTLHELIISNSQITGCLNREIGILNQLTVFDVSYNNLVGSLPETIGDMKSLEQLNIAHNKFSGYIPESICRLPSLENFTYSYNFFSGEPPACLRLQEFDDRRNCLPSRPMQRSPAEC >scaffold_17200001.1 pep scaffold:JGI8X:scaffold_172:3776:3974:1 gene:scaffold_17200001.1 transcript:scaffold_17200001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILEEEHEREDEWLHSLAAEFNVSETSFFTLITGFEACFRLRWFTPIIEFC >scaffold_17600002.1 pep scaffold:JGI8X:scaffold_176:12281:12479:-1 gene:scaffold_17600002.1 transcript:scaffold_17600002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MH19] MVPAVRFPLAIEVFIWPPRASRKHTERWAWTARQEPASSLGASRVSVRIRGL >scaffold_17700001.1 pep scaffold:JGI8X:scaffold_177:9012:9814:1 gene:scaffold_17700001.1 transcript:scaffold_17700001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWQ8] MVIVKTKGSHGIFYGGLLVAFATSGRFSAGALTLASVLLYPKALGGGSVFSGWIPFNIWSYGSNNGCRALGIKRQHCTQGNNILVPIKIRITSAHLWSSFSIMKTGFLV >scaffold_17700002.1 pep scaffold:JGI8X:scaffold_177:10951:11498:1 gene:scaffold_17700002.1 transcript:scaffold_17700002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHERHFTSSVLSPATPIVHKVFDEKPKIEDRTVESIFLFLRDVLRSSMMITETETPRSVQDLTTSSKLLTLYAGDLVSSLGLFGELKDKDVIVWNSMITGSNQNGHYITWQLLDCSLR >scaffold_17700003.1 pep scaffold:JGI8X:scaffold_177:11760:12280:1 gene:scaffold_17700003.1 transcript:scaffold_17700003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGQEADSVTFSCVISACSSLEKLPLGEPLHGLVIKSGYSPEAEVSVANSIISMYSKCEDDVISWNAILNGFAANGMFEEAFGVLKEMQSVDKIQPDIATVVSITSICGDFCLSREGRAVHGYTVRWEMQSRALEVINSVIDM >scaffold_17700004.1 pep scaffold:JGI8X:scaffold_177:12320:12716:1 gene:scaffold_17700004.1 transcript:scaffold_17700004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAFAQNGFTQEAKNLFKEVFSEYSCDSSDSLIFGKSVHCWLQMLGLGNNILSANSVINMYISCRDLTSAFLLFESISETRDLTSWNSVIDGCASSGHHSESLRAFQAMSIFTKIIF >scaffold_17700005.1 pep scaffold:JGI8X:scaffold_177:13267:14021:-1 gene:scaffold_17700005.1 transcript:scaffold_17700005.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MEB2] MASSSNPTGESSRRIVDEEVSVLWDLNTCPVTDHYYLTKIVDSIENSLNDLHRNNPKLYPKLRLSSTRIVCGDKKNFGTTGTRILKNQGFDPFYAVERGNGCSQHRRAKSTEPAADCLLETYALRYAEFRPRPHPPRNILFITSDYRFHATMDNFFRGNHLIFLAYKCRTSHPNFGLHTNFGWDWEDMELGQNGLKIDNFTLPGV >scaffold_18100001.1 pep scaffold:JGI8X:scaffold_181:1880:3385:1 gene:scaffold_18100001.1 transcript:scaffold_18100001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPAP14/PAP14 [Source:UniProtKB/TrEMBL;Acc:D7MWR9] MEEAWRRFVLFSVLSVSLIYLCLSTCHVSAYGFGRRQLRFNTDGRFKILQVSDMHYGFGKETQCSDVSPAEFPYCSDLNTTSFLQRTIASEKPDLIVFSGDNVYGLCETRDVAKSMDMAFAPAIESGIPWVAILGNHDQESDMTRETMMKYIMKLPNSLSQVNPPDAWLYQIDGFGNYNLQIEGPFGSPLFFKSILNLYLLDGGAYTKLDGFGYKYDWVRTSQQNWYEHTSKWLEMEHKRWPFPQNSTAPGLVYLHIPMPEFALFNKSTEMTGVRQESTCSPPINSGFFTKLVERGEVKGVFSGHDHVNDFCAELHGVNLCYAGGAGYHGYGKVGWARRARLVEAQLEKTKYGRWGAVDTIKTWKRLDDKNHSLIDTQLLWSKNTILEPNFGFTCSTIPQH >scaffold_18400001.1 pep scaffold:JGI8X:scaffold_184:1807:2084:1 gene:scaffold_18400001.1 transcript:scaffold_18400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWS1] MTPYVSKSPRGAYVNFMDLDLGMYLGKEETKYEEGKSWGVKYFKNNFERLVRVKTSVDPTDFFCDEQSIPILKSVDDI >scaffold_18500001.1 pep scaffold:JGI8X:scaffold_185:362:1834:-1 gene:scaffold_18500001.1 transcript:scaffold_18500001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MWS2] MEQDYICSGCYQYRVFSLQEALDWRFLVHSDFLIGSFVNCTYCVFSSSL >scaffold_18500004.1 pep scaffold:JGI8X:scaffold_185:7470:8286:-1 gene:scaffold_18500004.1 transcript:scaffold_18500004.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWS5] MVSYGSFSDRVLVMGLSDSVGHGDLDMRMLLLTNPWLSLSQVWVSTSIANATSILAVRVFVPLQRGVIVRAMMMFIHGVFLGMSSLFGMAAIIDRVLMQTYGMGRIWDPEITLEAFIVKIANELFDVRCITRWDYTSMKSNFLMRIMTPKRTNWYRIVDFSKLIPGATGSFYMMWSFKQRRPIRHTYHIRARWYMKKVQNMFNNMIVSKAYRMKASSNSFLHFCHLQYMAHNFVYVKNCFMKFCNGFVIDIVIPID >scaffold_18800001.1 pep scaffold:JGI8X:scaffold_188:13055:13331:1 gene:scaffold_18800001.1 transcript:scaffold_18800001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWS6] MGSNYQLAPYGGTIMILQMALLQGWQGAIYELWRERNRYYHDGLSLPPVRVANHVIVSVENKCSAMHQLGSKRGLSIL >scaffold_18900001.1 pep scaffold:JGI8X:scaffold_189:1394:1671:1 gene:scaffold_18900001.1 transcript:scaffold_18900001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWS7] MAITRGGASSSKSVPSCSRSEQFAELRSSKRQKQSDPKHSETAAAVDDVMEDQGVEPSLENDVSDHEVINVYMFDFE >scaffold_18900002.1 pep scaffold:JGI8X:scaffold_189:2821:3619:1 gene:scaffold_18900002.1 transcript:scaffold_18900002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEVEDSIDPIADAWNNRLDVEQKSIWWENLYKLDVAARGFGEVNVPAASYREEPQQDVPAASHKEEEELLLPFVIKRMVKTAVAEAMKDVYKRLEKLEREAEARKDGEWDEAGVRGCFEKNGVDTFDDETGFEKNGEPAFEKNVESGFEENVEPAVGGDDTVDDGSIGGQKTGGDEAAELQMVGEKETGGDEAAELQMVGEKKTGGDIVKKQKFKQCAKKKCNLAPKRKLKPSIHVKARYLPSLNPIHL >scaffold_18900003.1 pep scaffold:JGI8X:scaffold_189:7253:8028:1 gene:scaffold_18900003.1 transcript:scaffold_18900003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEVEDSIDPIADAWNNRLDVEQKSIWWENLYKLDVAARGFGEVNVPAASYREEPQQDVPAASHKEEEELLLPFVIKRMVKTAVAEAMKDVYKRLEKLEREAEARKDGEWDEAGVRGCFEKNGVDTFDDETGFEKNGEPAFEKNVESGFEENVEPAVGGDDTVDDGSIGGQKTGGDEAAELQMVGEKETGGDEAETKAFNPCQGSLY >scaffold_19100002.1 pep scaffold:JGI8X:scaffold_191:3628:4394:-1 gene:scaffold_19100002.1 transcript:scaffold_19100002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7MWT4] MTPPPPTTTYTSIPLPTGDVISRSIHNLTSAISRHRPWSELVFSGDFSLPESFSSLLLRSKTNFNYFFVNYTIVVATCAAFALITANPVALIVVGVIIVLWLLFHFFREDPLILWSFQVGDRTVVLFLVLASVWAVWFTSSAVNLAVGVGVGLLLCIIHSVLRNSDELFLEEDDAVNGGLIGPNLREGTNLLYPFFFT >scaffold_2000001.1 pep scaffold:JGI8X:scaffold_20:2110:2333:-1 gene:scaffold_2000001.1 transcript:scaffold_2000001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVQ0] MTLQGDLPIPNGIGSSSEMDLVLVLNGTHYLMIGRFRAGNRTCHQSTSNKSTGEEKLRCK >scaffold_2000002.1 pep scaffold:JGI8X:scaffold_20:14708:15136:-1 gene:scaffold_2000002.1 transcript:scaffold_2000002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYATVWDQKEASEIIKDWNGVDQVLLRNPHGASAKAIFKPPKSMRGGIQICYPQV >scaffold_2000004.1 pep scaffold:JGI8X:scaffold_20:44737:44936:1 gene:scaffold_2000004.1 transcript:scaffold_2000004.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVQ5] MKSTKNGTIVPSQPSSTFTKPDQKSNPEPFPIDYPERKPQEKMGNLITHLTT >scaffold_200001.1 pep chromosome:v.1.0:2:171:1201:-1 gene:scaffold_200001.1 transcript:scaffold_200001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIEILCASDDHSNIIRLHGFEHDQDFLYICLERWTCNLDDLIRLTMRKFSKSPKAVAPLDSWEEAMEKFNFWKAVGNPLPLMLKLLRDIVSGLAHMHELKIVHRDLKPQNVLILAKGTNLTAKISDFVISKRLNEDSSSTDDQPTCHGSPGWQAPEQLRKNDANEAVDMFRFGCILCYAITGSHPFGDSHRDTNILNNNQVNLSHVKHPEASILIYQLLNPKPNLR >scaffold_200002.1 pep chromosome:v.1.0:2:1343:3488:1 gene:scaffold_200002.1 transcript:scaffold_200002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTKTISYNHVLSSMSSSTTFLYIASYLIFVYFCFQKSYAYEPEVQLLRFIEYLERTLSAVQFPWANMFKESPLPKLIDVIDVPLSQIPDPVYKTSVDWINHLPLMTLCGFVLWAFNHILTYLAAAQLGHTKGGEKGAQHTSSKSRVAIFVALAMVLRNRPNALAIVLPTLREKRKYQGHDKLPITVWMMAQASQGDLSVGLYSWSRNLLPLVGSNPQSRDLILQLVEKILSNQNARTILVDGAVEEGPRLIPPLSFEILLRLTFPASSARVKATERFEAIYPLLKEVALAGASGSKLMKQVTEQIFTFSLKLAGEGNPVLAKEATAIAIWSVTENVDCCRLWENLYEENEEASVAVLKKLVDEWKDHSLKLSSSPSDTLTLSGTMESLRLKNEKAITEGGANGSLYKEAGKSCRVISGRLSRGSGCLKGTSITVVVLAAAVVLSSNLEATSVLKKLVDSVDLHLYFNAIITALKN >scaffold_200003.1 pep chromosome:v.1.0:2:5262:6915:1 gene:scaffold_200003.1 transcript:scaffold_200003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSLVFPALGFSDLLVFVAPLWIAVAAGVLVGWFWRPKWAYLDTKPFLSDSSPKFFKLPTSILKTSSHSLSSPQADEKEKSGFVTDDDFRHLWKLVEVKDGGPAWIQMMDRSTPTFSYQAWRRDPENGPPQYRSRTVFEDATPEMVRDFFWDDEFRSKWDDMLLYSSTLERCKSTGTMVVQWVRKFPFFCSDREYIIGRRIWDAGRVFYCVTKGVQYPSVPRQNKPRRVDLYYSSWCIRAVESKRGDGEMTSCEVLLFHHEDMGIPWEIAKLGVRQGMWGAVKKIEPGLRAYQRAKAAGAGLSPSAIMAHINTKVSAEEFMNERDSIAEITGEKPTGKNIPKILVVGGAIALACTLDKGLLTKAVIFGVARRFARMGKRM >scaffold_200007.1 pep chromosome:v.1.0:2:47120:47984:-1 gene:scaffold_200007.1 transcript:scaffold_200007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMKMQSSSETMMTRIPTPDPHNTGVREDAMDTVCKPWKLYENPYYCSSLSQQHQHQRKAFIWDLNFIKIFMESELGKAQDEIQELKAELDYERKARRRAELMNKRLAKDVEEERMARVAEEMQNKRLFKELSSEKSEMVRMKRDLEEERQMHRLAEVLREERVQMKLMDARLFLEEKLSELEEANRQGERERNRMMKPKILERACSSPARRSCENPQIKRGINPFPRVMRAIRSKSEKWGSKLECQKVQLKILLRQKTTPRCTPLLSSPPPP >scaffold_200015.1 pep chromosome:v.1.0:2:83857:84063:1 gene:scaffold_200015.1 transcript:scaffold_200015.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KS74] MATKLHSQHSCFDGVHCFCLLGFCFFTISISLRLSVVARIRILQTSRERRLESS >scaffold_200020.1 pep chromosome:v.1.0:2:109546:109780:-1 gene:scaffold_200020.1 transcript:scaffold_200020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KS80] MEESGNNGGVSVISRVKLDQVATWVVSAFFTSLERCACLNLDDDDSEDEAKDLPLQGMGFFIAI >scaffold_200026.1 pep chromosome:v.1.0:2:139341:139869:1 gene:scaffold_200026.1 transcript:scaffold_200026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVDIAGLVKGASQGEVRFIVTEKSRSSHPSCIPSASSSSTFGNACSGSSLGRIIFDAISCSDSSRYRRELREENNEDVSKSAMIREDLSRKAEKLCDLLNLAVIESGAETKKEETLQILKRVIREVEAAEKK >scaffold_200027.1 pep chromosome:v.1.0:2:140147:141115:-1 gene:scaffold_200027.1 transcript:scaffold_200027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLFQELDRCYLHATQSSFLIKAGVNTDVREAFCAQIGIFVQHPIVSCLFLGEDATEKSCERFFFFFFNLIEHSLATAKDLLVIQTLLETTAQVMVAVDVTSELFLFCLFLLIDHPNFRACYIHHVKGGFATLLSRAAHIQNDLFDNLSVRLTSRPNVVREFAEAVLGVETEQLVRKMVPVVLRKLLLICDLEVVGKSRERHISCYYSLELFIQKKKLFFFNVCYQGMFSNRDRFSLFFTWYAWDYQTLFSVKDNLKYLRISFQRKCSIA >scaffold_200029.1 pep chromosome:v.1.0:2:155752:158652:-1 gene:scaffold_200029.1 transcript:scaffold_200029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSLVLAIFLLGCLFAISIAKEEATKLGSVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVGFTDSERLIGEAAKNQAAVNPERTVFDVKRLIGRKFEDKEVQKDMKLVPYKIVNKEGKPYIQVKIKDGETKVFSPEEISAMILTKMKETAEAYLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSVLTIDNGVFEVLSTNGDTHLGGEDFDHRVMDYFIKLIKKKHQKDISKDYKALGKLRRECERAKRALSSQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDFFEGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGKFDLNGIPPAPRGTPQIEVTFEVDANGILNVKAEDKASGKSEKITITNEKGRLSQEEIERMVKEAEEFADEDKKVKERIDARNSLETYVYNMKNQVNDKDKLADKLEADDKEKIEAATKDALEWLDENQNAEKEDYDEKLKEVEAVCNPIITAVYQKSGGAPGGESASTDEDDESHDEL >scaffold_200036.1 pep chromosome:v.1.0:2:175553:176326:-1 gene:scaffold_200036.1 transcript:scaffold_200036.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KS94] MPSEVSLHLERFKDACSSKNYSTCREVLSRLKDIIDEKEAQEGLTLPQTHDETEDNEHVEEPQDFDNNEQEQIGDQLDFDNNEQQQIGDQLDFDNNEQQQIGDQLDFDNNEQQQIGDFFDGIPEEENDLEDHLGFSKLPLDDNYLKQNPWGYFTTVKTSEELQKNQKRGGAKAQKKRGGAKAQQKRGGEKSQQQLNEEPLRRSERRPTPTKRVSRLVG >scaffold_200037.1 pep chromosome:v.1.0:2:178093:179606:-1 gene:scaffold_200037.1 transcript:scaffold_200037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEGTSGVLRLNVPVPPAVLRYFPYGTGSGSGKEDMKQDVIRLGVELSVYVSESMFLLCDDIRTMLWFCATLWKCVMPDQDHVLQRLLLVMHYVYSTYIKPKNLVYHDDGNSVQWGLIRTTWELFVDGIIVLHRLVLVLSRKDCSFDDRVLSSAFAKYKQVLKNLEVKLSSTKDVSEANGFVRETIESNIFDLWKSLFDEEAGEAAPQVIRTRILTDLFTPLFCKPIHREMLALSPHSPYILGFDFAKQELKEEVVRLGVELSLYVAESMYLLSDDIRSMLRFCLKLWRDAKGDVLIPDSPVVERLLLAIHYVYSKDIKPKHGGVYQNDGKSVQWELIRTTWENFDAGIRDLDRLVLILRGEGTCFDGREFTSRIEEALRKVDDKLRCAKAVSEAKGFAREAMETDIGDLWKSLFDKEAKEVMKHEIFWDLFLPLCMEAVPH >scaffold_200039.1 pep chromosome:v.1.0:2:183718:183998:-1 gene:scaffold_200039.1 transcript:scaffold_200039.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KS97] MFSATGERPISGGDREMQIALISPWHVYRGDLAFHTISSTPLLNLHVSNRQALEVFFTCRAKRLVERVLKIMNLIIKI >scaffold_200045.1 pep chromosome:v.1.0:2:212869:215365:-1 gene:scaffold_200045.1 transcript:scaffold_200045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNILVTGGAGYIGSHTVLQLLLGGYNTVVIDNLDNSSLVSIQRVKELAGDHGQNLTFHQVDLRDKPALEKVFSETKFDAVMHFAGLKAVGESVAKPLLYYNNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEESPLSGMSPYGRTKLFIEDICRDVQRGDPEWRIIMLRYFNPVGAHPSGRIGEDPCGTPNNLMPYVQQVVVGRLPNLKIYGTDYTTKDGTGVRDYIHVVDLADGHICALQKLDDTEIGCEVYNLGTGKGTTVLEMVDAFEKASGMKIPLVKVGRRPGDAETVYASTEKAERELNWKANFGIEEMCRDQWNWASNNPFGYGSSPDTT >scaffold_200049.1 pep chromosome:v.1.0:2:248026:251258:-1 gene:scaffold_200049.1 transcript:scaffold_200049.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7KSA6] MEKFASVAALLLLLLCFPVAFSGHDYGQALSKSLLFFEAQRSGVLPRNQRVTWRSHSGLTDGKSSGVNLVGGYYDAGDNVKFGLPMAFTVTMMAWSVIEYGNQLQANGELGNSIDAIKWGTDYFIKAHPEPNVLYGEVGDGNTDHYCWQRPEEMTTDRKAYRIDPSNPGSDLAGETAAAMAAASIVFRRSNPVYSRLLLTHAYQLFDFADKYRGKYDSSITVAQKYYRSVSGYNDELLWAAAWLYQASNNQFYLDYLGRNGDAMGGTGWSMTEFGWDVKYAGVQTLVAKFLMQGKAGSHAPVFRKFQEKADSFMCSLLGKSSRNIQKTPGGLIFRQRWNNMQFVTSASFLTTVYSDYLTSSRSNLRCAAGNVAPSQLLSFAKSQVDYILGDNPRATSYMVGYGNNFPQRVHHRGSSIVSVKVDRTFVTCRGGYATWFSRKGSDPNLLTGAIVGGPDAYDNFADRRDNYEQTEPATYNNAPLLGVLARLSSGHSGYSQFLPVVPAPVVRRPMPIRRPKVTTPVRASGPVAIVQRITSSWVSKGRTYYRYSTTVINKSSRPLKSLNLSIKNLYGPIWGLSRSGNSFGLPSWMHSLPSGKSLEFVYIHSTTPANVAVSSYTLA >scaffold_200060.1 pep chromosome:v.1.0:2:315871:316511:1 gene:scaffold_200060.1 transcript:scaffold_200060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKDLWISLQNLNLGLERRGTDASWINQDSKIGVGWTLHDSLGRYLLKGSASLEPTQSVLEAEALALREALIHLKRLNYQNVTFCGDSHSLYGYLEGIWHKKLQTRGSNEIQTYLQDISKLAHDSYQFRYINRAANVLADTLAREARDNDSPYVISWVL >scaffold_200061.1 pep chromosome:v.1.0:2:320650:320843:-1 gene:scaffold_200061.1 transcript:scaffold_200061.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSS1] MAQTIVHMSNSLKDLRFQVLELDSTCMFVQPQAQQMIHGEISLFSYQKL >scaffold_200062.1 pep chromosome:v.1.0:2:327835:328025:-1 gene:scaffold_200062.1 transcript:scaffold_200062.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCU5] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_200066.1 pep chromosome:v.1.0:2:341918:343617:-1 gene:scaffold_200066.1 transcript:scaffold_200066.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KSS5] MASQTQLRLIIYEFSRKFQTRLSTQKLHSFVTKSKLARDPYFATQLVRFYALNDDLVSARKLFDVFPERSVFLWNSIIRAYAKAYQFSTSLSLFSQMLSSDTRPDNFTYACLARGFSESFDTEGLRRVHGIAIMSGLGFDQICGSAIVKAYSKAGLIVEASKLFCSIPDPDLALWNVMILGYGCCGFWDKGINLFNLMQHRGHLPNCYTMVALTIGLIDPSLLLIAWSVHGFCLKINLDSHSYVGCALVNMYSRCWCIVSACSVYNSICEPDLVACSSLITGYSRCGNHKEALHMFAELRMSGKKPDCVLVAIVLGSCAELSNSVYGKKVHGYVIRLGLELDIKVCSGLIDMYSKCGLLDCAMSLFAGIPEKNIVSFNSLILGLGLHGFASSAFEKFTEMLEMGLKPDEITFSALLCTCCHSGLLDKGQDIFERMKSEFGIKPQTEHYVYIVKLMGMAGKLEEAFEFVMSLRKPIDSGILGALLSCCEVHENTHLAEVVAEKIQKSGEERRSVYKVMLSNVYARYGRWDEVERLRDGISESFGGKLPGISWF >scaffold_200069.1 pep chromosome:v.1.0:2:352976:355148:1 gene:scaffold_200069.1 transcript:scaffold_200069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVMNQDMIQEVLSYIPPSKVGKFSYESWFLNLNLRRTNCISGYFLQRYEEGYKTNFFHESSDLQNNGVSIDFLPHGKVKIEACDASHGILLCVDNTGLIPEYIVCKPTTKQYQIIPNTKVGIWDVSFGLAVIGLNPFRYKILRLSQSSRRMCMSRGVYYANHRTFTCEVFDSDSFTWKRLENLRIPRTDGLILSHPVQASGLFLHWRSRNNNVIRFCLKTETWSFFHTPNFGVFSGLVRYEGKLGAICQWTNKDQENVHGLWVLTSSFEKSWEKVKDIKSIGEDFITWTLGNDVVLFCNWDRFCLYNINTEKLKLVHTNKGFASYVCFPFCSDYEKVDRDERRNGPTLLTKRKRNRSTNAN >scaffold_200070.1 pep chromosome:v.1.0:2:360173:360380:1 gene:scaffold_200070.1 transcript:scaffold_200070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSS9] MSFSVMNHEVIIQIPSSSQFPATSSPENTNQVKAVIVKQPNLFRRVMNLLLRRNS >scaffold_200071.1 pep chromosome:v.1.0:2:363068:364189:1 gene:scaffold_200071.1 transcript:scaffold_200071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDMFINILSHSPVSVVEKFRHLNKECNQRTYTSSFLKLNIQRTNSISGYFLQYSERLTLHSTFVEALGNRPCGTDVSLDFLPPGKVKIEACDSSHGILLCVNDRPVRGRQPEYIICKPTTKQYLILPKPKTRYFTVALGLMVIGSTPFRYKIIRLSDLPYVENRRYNINTTFVCEVFDSVSFAWKRLKNFELLENDLLSPWNSKPIASYGFLHWLTTRNNVIRFCFKTETWSYSPVPENLASANSLNLTSYEGKLGIISSRSKEGVGCEDLWVLKSIFGTSWVNVKEIENKGLKSVGFLSNDVVTLADVDRICLYNMNNGKSQNLEIRAPKFSPSHYSTIIYFPIFSDYQRVEFNGR >scaffold_200075.1 pep chromosome:v.1.0:2:392041:392590:-1 gene:scaffold_200075.1 transcript:scaffold_200075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWREPGTITVTSDIRSIVLHMPEIDQSSLKGFQEGHMPMIGVSNLERFSQDETSTALGMDLMDLSAPPLPSLHASVGYQIGNFSSSGLMNVDVDEMGDLHDVPIMSSSQEQIVFINKGTLC >scaffold_200077.1 pep chromosome:v.1.0:2:395663:396794:1 gene:scaffold_200077.1 transcript:scaffold_200077.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KST7] MSLRLVECRSGSISLQIFGSTVRRAPPPTKRSSSYFAVSYFLVFFPSRVNRLSRVKPMVVVSSTSLSGALRPLLISVVGDPLASVNRRRRRMSSSSLGLGQSPTLQFQWKGFNWAWPIFGPSGGCCGPLLFGLQACFVMQTSFLACPNVVHFSPSAPTTDFVFPRLTFGHAFTISDFFTHRFTGYFFGFPLPTLDTSPVDLGCFWFRTTFVGSDSAQIRRRLITGYCSGVPLPVSLADPGCSQPRTTFVGLHLNGYSVWCFVTSFLTANFRIDLVALVADSISRNIALCVFCVVQGVISLLRSSVIKVQGRHDDDYCLGDMIALIYPSIYFSFMYWFAFGSGSLIALAPPFVTLPSFEDD >scaffold_200078.1 pep chromosome:v.1.0:2:399936:400674:-1 gene:scaffold_200078.1 transcript:scaffold_200078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSSSSSSPHKAYNNFTRLDAQSAAKKAVSVIGLGYYLCSDVCFSACKTTPDGSRLVEIVPTRNRDLVFPGGIVVNNVSSSIKCDKGERTRLRSDILSFNQMSEKFNQDMCLSGKIPSGMFNNMFAFSKCWPKDASSVKNLAYWFISLYIRVEIVRKQLTLRDEVKREVPSSWYSCSCWSEY >scaffold_200079.1 pep chromosome:v.1.0:2:402910:403273:-1 gene:scaffold_200079.1 transcript:scaffold_200079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVALLLIAFVILLVSFPPQTKANAASSSSSKRDGEQCVTTPQKSMALGLCFDGIARGMKLPTSCCERMNEQRSCLCDAIKDRGVTLASNVLSSHLKSCGIPDPKC >scaffold_200081.1 pep chromosome:v.1.0:2:405875:406167:1 gene:scaffold_200081.1 transcript:scaffold_200081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKASLKIKGKGKGSSKGSSSSSSSSSSSSSASSKYKVFKAWTNWSLEKAKVATHYGFIPLIIIIGMNSDPKPDLFQLLSPV >scaffold_200085.1 pep chromosome:v.1.0:2:411890:412275:-1 gene:scaffold_200085.1 transcript:scaffold_200085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVDEEDEKLKNLREEWGEEVQNAIRTALEELNDFNPSGRYMVPVVWNFGKGRKTTPKEGITHMTKEVKTLKRKL >scaffold_200086.1 pep chromosome:v.1.0:2:415995:417498:1 gene:scaffold_200086.1 transcript:scaffold_200086.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, decarboxylating [Source:UniProtKB/TrEMBL;Acc:D7KSU5] MESAALSRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETLDRAAVEGNLPVSGQYSPRDFVLSIQRPRSLIILVKAGAPVDQTIDAFSEYMEPGDCIIDGGNEWYQNTERRISEAEQKGLLYLGMGVSGGEEGARNGPSLMPGGSFQAYDNIKDILGKVAAQVEDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKNVGGFSNEELAEIFTEWNRGELESFLVEITSDIFRVKDEFGDGELVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKDERENAAKVLREAGLKEEIGSASSGIDKKRLVDDVRQALYASKICSYAQGMNLLRAKSLEKGWNLNFGELARIWKGGCIIRAVFLDRIKKAYQRNPDLASLVVDPEFAKEMVQRQAAWRRVVGLAVSAGISTPGMCASLAYFDTYRRARLPANLVQAQRDLFGAHTYERTDRPGAYHTEWTKLARKNN >scaffold_200090.1 pep chromosome:v.1.0:2:428499:429088:-1 gene:scaffold_200090.1 transcript:scaffold_200090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7KSU9] MVGQMKSILFLFIFLVLSKTVISARKPSKSQPKPCKNFVLYYHDIMFGVDDVQNATSAAITNPPGLGNFKFGKLVIFDDPMTIDKNFQSEPVARAQGFYFYDMKNDYNAWFAYTLVFNSTQHKGTLNIMGADLMMVQSRDLSVVGGTGDFFMSRGIVTFETDTFEGAKYFRVKMDIKLYDCY >scaffold_200091.1 pep chromosome:v.1.0:2:438404:438975:-1 gene:scaffold_200091.1 transcript:scaffold_200091.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSV0] MARVKGGDNRVYESTEGSREGAANPDPTEASTDASVPTEASTDAAVPKDAPTDDAYPTEAPMDAAVIGPTVESAEAATELVVFSVPELSDKEEKEEVGEHDKEVRELSIDGQGCDNEEEERVVDSEGEDVAGNNEEEDVAGVVKVSNSLYLLYMVLKVSNRHSCTQLYVFEYAEYS >scaffold_200092.1 pep chromosome:v.1.0:2:439007:439243:1 gene:scaffold_200092.1 transcript:scaffold_200092.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSV1] MTEYEVPTLEEDGGDDDTPETTKTEKKRRRFARVQRELGDGEKSSESDFYGFEFYLEKFSELGD >scaffold_200094.1 pep chromosome:v.1.0:2:444922:445197:-1 gene:scaffold_200094.1 transcript:scaffold_200094.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSV3] MGFKRNRDCGGCKKRQQRKTKGVIGCVAAEAAAARHRSIWFYDDCGTHMPLLWVPHDSPREGLLRIYDSVIFAIFIF >scaffold_200105.1 pep chromosome:v.1.0:2:505125:505815:-1 gene:scaffold_200105.1 transcript:scaffold_200105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESISSTWILNNESKTHVVNSKISGSFSISIRVVERTLVRSPSGHEFLAKQKLVAERNEAAVEIPYIFLAGEEICRGYIANVFTKAKFEGWTQHLIVPKISRDAISMSRRLGEKGIKGFLLEADVEIVQERWLDNWLNPRDYDSQDRLIPVEQDCTICLEELSLGGQTKIMKLCCSHNFHRDCILTWLKRKHSCPTCRDDVQNPRPQKEVESLMFC >scaffold_200106.1 pep chromosome:v.1.0:2:506493:507141:1 gene:scaffold_200106.1 transcript:scaffold_200106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESKKQKLNTIEIARPSLSKKRRVSRLLPIASHSLSKTQEAMKKQNDVALFLAGKVISTVDKNSNFVFSPASINSVLTMLAAISDNETLKSCILSFLRSSSTDELNDIFREIASVVLVDGSKRGGPKIAVANGVWREQSLPCSPESKDLFENFFKADFAQVDFRSKVKSLCETSA >scaffold_200118.1 pep chromosome:v.1.0:2:566025:566238:1 gene:scaffold_200118.1 transcript:scaffold_200118.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSX8] MSLTIDLSIFAIKGFLEASILKGFALTVTFWQNGFAFARCPSSHKLFDRRSIYFSIQ >scaffold_200124.1 pep chromosome:v.1.0:2:598202:598469:-1 gene:scaffold_200124.1 transcript:scaffold_200124.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSY4] MKFIKTSPAPMKEPIPSRPLQSQSRIAGGERFLDLIPPMGWSLGRRRGVGGQYRERMRFGGAAFVHIGFDLLRH >scaffold_200130.1 pep chromosome:v.1.0:2:631154:632955:-1 gene:scaffold_200130.1 transcript:scaffold_200130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich family protein [Source:UniProtKB/TrEMBL;Acc:D7KTD0] MAGSQDKLEKMKLRQDYRNLWHSDLMGTVTADTPYCCISCLCGPCVSYMLRRRALYNDMSRYTCCAGYMPCSGRCGESKCPQLCLATEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLSQVACIFSIVACIVGSDELSEASQILSCCADMVYCTVCACMQTQHKLEMDKRDGVFGSQPMGVPPAQQMSRFDQPVPPAGYPQSYPPPAQGYPPASYPPSGYPQH >scaffold_200134.1 pep chromosome:v.1.0:2:664841:667318:1 gene:scaffold_200134.1 transcript:scaffold_200134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNVRLRKEYLYRKNLEGDERLVYEKKRKIREALQEGRPIPTELRNEEARLRQEIDLEDQNTAVPRSHIDDEYANATEADPKILLTTSRNPSAPLIRFTKELKFVFPNSQRINRGGQVISEIIETARSHDFTDVILVHEHRGVPDGLIISHLPFGPTAYFGLLNVVTRHDISDKKAIGKMPEQYPHLIFNNFTTQMGQRVANILKHIFPAPKLDARRIVTFANQSDYISFRNHVYDKGEGGPKSIELKEIGPRFELRLYQVKLGTVEQNEAEIEWVIRPYMNTAKKRQFIGE >scaffold_200135.1 pep chromosome:v.1.0:2:668094:674732:1 gene:scaffold_200135.1 transcript:scaffold_200135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLIIPCRSSSLARVNLLGLLSRAPVPVRSSCLRSSAKRLTQYRPFLTSEAICLRKNRFLPHSVDRQKQNSRRLICSVATESVPDKAEESKMDAPKEIFLKNYTKPDYYFETVDLSFSLGEEKTIVSSKIKVSPRVKGSSAALVLDGHDLKLLSVKVEGKLLKEGDYQLDSRHLTLPSVPAEESFVLEIDTEIYPHKNTSLEGLYKSSGNFCTQCEAEGFRKITFYQDRPDIMAKYTCRVEADKTLYPVLLSNGNLISQGDVEGGRHYALWEDPFKKPCYLFALVAGQLVSRDDTFTTRSGRQVSLKIWTPAEDLPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETATDADYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRIADVSKLRIYQFPQDAGPMAHPVRPHSYIKVYEKVWLVTNSVSVISEVVRMYKTLLGTQGFRKGIDLYFERHDEQAVTCEDFFAAMRDANKADFANFLQWYSQAGTPVVKVVSSYDAEARTFSLKFSQEIPPTPGQPTKEPTFIPVVVGLLDSSGKDITLSSVHHDGTVQTISSSSTILRVTKKEEEFVFSDISERPVPSLFRGFSAPVRVETDLSNDDLFFLLAHDSDEFNRWEAGQVLARKLMLNLVSDFQQNKPLVLNPKFVQGLGSVLSDSSLDKEFIAKAITLPGEGEIMDMMAVADPDAVHAVRKFVRKQLASELKAELLKIVENNRSTEAYVFDHPNMARRALKNTALAYLASLEDPAYMELALNEYKMATNLTDQFAALAALAQNPGKTRDDILADFYNKWQDDYLVVNKWFLLQSTSDIPGNVENVKKLLDHPAFDLRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGDIVVQLDKLNPQVASRMVSAFSRWKRYDETRQGLAKAQLEMIMSANGLSENVFEIASKSLAA >scaffold_200139.1 pep chromosome:v.1.0:2:703147:703461:-1 gene:scaffold_200139.1 transcript:scaffold_200139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILIQRRNLLVQNRQMTLLDSHPELTTLCEITKAILNLNSPVMQQDEQICWGEEDDDGEVIVSKPLVAEKRKGAPDQMEASSCYYGGFE >scaffold_200140.1 pep chromosome:v.1.0:2:704240:704784:-1 gene:scaffold_200140.1 transcript:scaffold_200140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKANLPVQPDKPPKSLTTTIGPPQRQASRNTRLVTAEGQRSQDVVGSSKFQNCQKKVREKRTTKKKLMSIY >scaffold_200141.1 pep chromosome:v.1.0:2:708401:708648:1 gene:scaffold_200141.1 transcript:scaffold_200141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSKSHLGACIGSKRQNLQSVDSESSLKSEYKVLSSLAKIQGQLGEFHIFLNQHKTQCSLTHYSHLL >scaffold_200143.1 pep chromosome:v.1.0:2:715230:715432:1 gene:scaffold_200143.1 transcript:scaffold_200143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTVGYLNDGGWFSRLASVDLFSPLASSFPAVFGYYSKAGWLMSGIVWLP >scaffold_200144.1 pep chromosome:v.1.0:2:716178:717903:1 gene:scaffold_200144.1 transcript:scaffold_200144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQKPTSFTFEIDNFSEKESVIRTTNFLSGGCEWYVKVHPKGDHIDDHLSMYLCVANPESLRIGWKRLAAFSIALLNESGKELYRKHEPFYQLFCAEIPLMGWPKAVPLEKLQEKGFLENNKFIFNVQVKVAQVVDEGSVTGNEMLDVNGFQVLYSQVASVSWIFVEHPDVAVNFKPKNQLLKTTYMNILLGLIETLNKPLHSITETELNNSQSDLIELTEAGFKLDWLKIKLDEVSLERKIADVDGYRVQSLAEHIKNLKIEVNQEQINSSAKILSLEQTLSNLKDELNKKNAKSL >scaffold_200145.1 pep chromosome:v.1.0:2:718225:719634:-1 gene:scaffold_200145.1 transcript:scaffold_200145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLGIRRSRATFSSAFTGTSNSASLSSRNPSTREIWSWVKSKTVGQGRYRRSQVRAEMFGQLTGGLEAAWSKLKGEVLTKENIAEPMRDIGRALLEADILHDELVKLMGGGVSELQFAKSGATVILLAGLQGVGKTMVCAKLACYLKKQGKSCMLIAGDVYRPATIDQLVILGEQVLKFLRLKHHVHY >scaffold_200146.1 pep chromosome:v.1.0:2:724043:724259:-1 gene:scaffold_200146.1 transcript:scaffold_200146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTESAREKMRSLSTPRQCVGLMDSLFDNYNKDGDKVSQWSSFVCEIVRTTTLKSRL >scaffold_200152.1 pep chromosome:v.1.0:2:769750:772779:1 gene:scaffold_200152.1 transcript:scaffold_200152.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPTMKPDTVLILDYGSQYTHLITRRIRSLNVFSLVISGTSSLKSITSYNPRVVILSGGPHSVHALDAPSFPDGFMEWAESNGVHVLGICYGLQLIVQKLGGVVVEGESKEYGKMEIEVKGKSEIFGSGSGGEKQMVWMSHGDEAVKLPEGFEVVAQSAQGAVAALENRKKKIYGLQYHPEVTHSPKGMETLRHFLFDVCGVSADWKMEDLMEEEIKVINQTVASDEHVICALSGGVDSTVAATLVHKAIGDRLHCIFVDNGLLRYKEQERVMDTFERDLHLPVTCVDASERFLSELKGVVDPETKRKIIGKEFINIFDQFAQELEKKHGKKPAFLVQGTLYPDVIESCPPPGTDRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRELGRILNVPVGFLKRHPFPGPGLAVRVLGDVTQGNALEVLRQVDEIFIQSIRDAGLYDSIWQAFAVFLPVRSVGVQGDKRTHSHVVALRAVTSQDGMTADWFNFEHKFLDDVSRKICNSVQGVNRVVQDITSKPPSTIEWE >scaffold_200156.1 pep chromosome:v.1.0:2:797575:797798:-1 gene:scaffold_200156.1 transcript:scaffold_200156.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTF6] MLARVLRSESATASVRMNRPDVVISLHKMMELRLIPLNIYSFSILIKCFCDCHMLSFAWK >scaffold_200163.1 pep chromosome:v.1.0:2:817541:817736:1 gene:scaffold_200163.1 transcript:scaffold_200163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARMQESKNGILLKPMTCNTNADCAKFCKGPIHNCLYHTCACVPGNPHCC >scaffold_200167.1 pep chromosome:v.1.0:2:825392:827863:-1 gene:scaffold_200167.1 transcript:scaffold_200167.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KTG7] MGCEVSKLCAFCCVSEPEGSNHGVTGLDEDRRGEGNDLPQFREFSIETLRNATSGFATENIVSEHGEKAPNVVYKGKLDNQRRIAVKRFNRKAWPDSRQFLEEAKAVGQLRNYRMANLLGCCYEGEERLLVAEFMPNETLAKHLFHWESQPMKWAMRLRVALHIAQALEYCTGKGRALYHDLNAYRVLFDDDSNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSYGTLLLDLLSGKHIPPSHALDLIRDRNIQMLIDSCLEGQFSSDDGTELIRLASRCLQYEPRERPNPKSLVTAMIPLQKDLETPSHQLMGIPSSASTTPLSPLGEACLRTDLTAIHEILEKLSYKDDEGAATELSFQMWTNQMQDSLNFKKKGDVAFRHKEFANAIDCYSQFIEGGTMVSPTVYARRSLCYLMNEMPQEALNDAMQAQVISPAWHIASYLQAVALSALGQENEAHAALKDGSMLESKRNRL >scaffold_200168.1 pep chromosome:v.1.0:2:838096:846257:1 gene:scaffold_200168.1 transcript:scaffold_200168.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F2K11.14 [Source:UniProtKB/TrEMBL;Acc:D7KTG8] MGKGRVKAVEKRVLDQKLRGSINVPLGPVYYPTEEEFKDPLEYIYKIKPEAEVYGICKIVPPSNWKPPFGLDLESVKFPTKTQEIHRLQFRPASCNSKTFQLEYNRFLEEHLGKKLKKRVVFEGEELDLCKLFNAVKRFGGYEKVVKGKKWGEVYQFMSSGEKISKCAKHVLCQLYKEHLHDFENYHNRMNADASKGCKRKINAAERTRRCTESRSSKRRKRNADVKNPKVEKEEGVDQACEQCKSGNHGDVMLLCDSCNKGWHIYCLSPPLEHIPLGNWYCLECLNTDEETFGFVPGKCLSLEDFKRIADRAKRKWFGSGTVSRTQIEKKFWEIVEGSGGEVEVMYGNDLDTSVYGSGFPRIGDQRPDSVEANIWDEYCGSPWNLNNMPKLKGSMLQAIRHNINGVTVPWLYLGMLFSSFCWHFEDHCFYSVNYLHWGEAKCWYGVPGSAASAFEKVMRKTLPDLFDAQPDLLFQLVTMLSPTVLQENKVPVYTVLQEPGNFVITFPKSFHAGFNFGLNCAEAVNFATADWLPYGGSGAELYRLYRKPSVISHEELLCVVAKGNCCNSEGSIHLKKELLRIYSKEKNWREQLWKSGILRSSPMFLPECPDSVGIEEDPTCIICQQFLHLSAIVCHCRPSVFACLEHWKHLCECEPMKLRLVYRYTLAELDRMVQEVEKFGGCKTQETKNSQRPSSGTKRSIALNKKQEGMQVCQARPADNWLLRASKVLDDAFSSVEYATLLKEAEQFLWAGSEMDRVRDVTKSLNKAKIWAEAVSDCLSKVEGEANNDSMKVHLEFIDMLVRVNPVPCFQSGYLTLKDYAEVARKLSEKVDSALSSSPTITQLELLHSEVSSSPISLIKHEILSKKISSAKMLAKRARRYLANTKPPGLEMDALFKLKSEMLELQVQLPETEGILDLVKKSELARDKSNKVLTGSLSLENVEELLHEFDSFSINVPELTILRQYHVDTLSWISRFNDVMVDVREGKDQQKLISDLSSLLRDGASLSIQVEGLPLVEVELKKASCRKKARTVYTARRSLDIIEQLLSEAVVLQIEEEEVYVEISGILSTARCWEERASSILASETQMYDLKDLARMSINIDAVLPSLKGIENTISLAETWLQNSEPFLSATSSMASSPCSLLELPVLKDLVTQSKSLNVQLQEPRILETLLLNCERWQCDNRQLLQETEDLLDNAKIDDGMHNNILPKILDLITRVDSARKSGLSLGLNFDELPKLQTASLKLGWCCKTITLSSSSPSSELLEDVGKSSLQQIQQHLKEGQTLGILPEEYYLGKRLMKLEDTGLEWAKRARKVVTDSGALALEDVFELISEGENLPVHAEQELQSLRARSMLHCICLKPYNSRSMVSCSQCGEWYHTYCLKLHWRPKAYVCSACCPLAESTPQIDPARAKEPETPSLNQRRTRMVATDAAVDDLRWKTRKHIKRITKRCPQVHILPWFFT >scaffold_200172.1 pep chromosome:v.1.0:2:856570:858124:-1 gene:scaffold_200172.1 transcript:scaffold_200172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSISKRRPRTSKKTETEKSEKTLKDSRTCCNNICSIFSRSILYRVPLTIIFLFLIYLWSTSTTAISGHVVHICISSRKLNDRYCLTAGTQPALRVPVNNFTKPVSEDVVRRKEEKNVVVLGKDGDKGFAKNETFVGERDSDKSTVGTSLNVIKNDTSTGEIFRERVFVLDQDSKPIHEENLDSVLDQDSNPKNEIDRDVFVDWDPETGEERYRYFKSKDESEETALKAVDKYLQIQRSWLSMGSNRGKPGSCEGKEVYVYDLPSKFNKDLLGQCSDMVPWANFCSYFKNDAFGDLIENLGIGWFRTHQYALEPIFHSRVLKHPCRVHDETQAKLFYVPFYGGIDVLRWHFKNVSEDVKDVLAIEVVKWLGSKKSWRKNAGKDHVFVLGKISWDFRRNDKFSWGSSLLEMQEMKNPTKLLIERNPWDVNDIAIPHPTYFHPKTDNDIAIWLR >scaffold_200173.1 pep chromosome:v.1.0:2:860317:862143:-1 gene:scaffold_200173.1 transcript:scaffold_200173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGSMALCIMRDGSLKLLCKKEVVLVNSIVEQPLPRLSSFFDSVKSELLRTDLVRLVKGLDDSGHWERAVFLFEWLVLSSDSGALKLDHQVIEILVRTLGRESQDSVAAKLLDKIPLQDYMLDVRAYTTILHRWVLPPTLVTYNVILDVFGKMGRSWRKILGVLEEMRSKGLKFDEFTCSTVLSACAREGLLREAKDFVTELKSCGYEPGTVTYNALLQVFGKAGVVYTEALSVLKEMEENNCPADSVTYNELVA >scaffold_200183.1 pep chromosome:v.1.0:2:932708:933438:1 gene:scaffold_200183.1 transcript:scaffold_200183.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis ATP-binding export protein CcmA [Source:UniProtKB/TrEMBL;Acc:D7KTI0] MSIRRPQIPRLLLQNVSCMRNAQQILRHVNVSLHDGGALVLTGTNGSGKSTFLRMLAGFSKPSAGEILWNGHDITQSGIFQQYKLQLNWISLKDAIKERFTVLDNVQWFELLENKIGKAQPTLELMGLGRLVKEKSRMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDEGVRLLEYIIAEHRKKGGIVIVATHLPIEIEDAMILRLPPRFPRKMTLIDMLDRADIS >scaffold_200188.1 pep chromosome:v.1.0:2:954368:955082:-1 gene:scaffold_200188.1 transcript:scaffold_200188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVSPKIAPSMLSSDFANLEAEAKRMIDLGANWLHMDIMHAVGIIMCYSGKHFVPNLTIGAPVIESLRNCLCVN >scaffold_200189.1 pep chromosome:v.1.0:2:957489:959095:1 gene:scaffold_200189.1 transcript:scaffold_200189.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-phosphate 3-epimerase [Source:UniProtKB/TrEMBL;Acc:D7KTI8] MVGVSPKIAPSMLSSDFANLAAEAKRMIDLGANWLHMDIMDGHFVPNLTIGAPVIESLRKHTNAYLDCHLMVTNPMDYVDQMAKAGASGFTFHVEVAKENWQELVEKIKSAGMRPGVALKPGTPVEQVYPLVEGTNPVEMVLVMTVEPGFGGQKFMPNMMDKVRALRNKYPTLDIEVDGGLGPSTIDAAAAAGANCIVAGSSVFGAPEPGDVISFLRASVKKAQPST >scaffold_200190.1 pep chromosome:v.1.0:2:967052:967782:1 gene:scaffold_200190.1 transcript:scaffold_200190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis ATP-binding export protein CcmA [Source:UniProtKB/TrEMBL;Acc:D7KTI0] MSIRRPQIPRLLLQNVSCMRNAQQILRHVNVSLHDGGALVLTGTNGSGKSTFLRMLAGFSKPSAGEILWNGHDITQSGIFQQYKLQLNWISLKDAIKERFTVLDNVQWFELLENKIGKAQPTLELMGLGRLVKEKSRMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDEGVRLLEYIIAEHRKKGGIVIVATHLPIEIEDAMILRLPPRFPRKMTLIDMLDRADIS >scaffold_200194.1 pep chromosome:v.1.0:2:983574:984294:-1 gene:scaffold_200194.1 transcript:scaffold_200194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNPMDYVDQMAKAGASGFTLHVEVAKENWQELVENIKSVGMRPGVALKPGTPVEQVYPLVLKPRFGGQKFMPNMMDKVRALRNKYPTLDIEVDGGLGPSTIDAAAAAGANCIVAGSSVYNFTYPDYF >scaffold_200195.1 pep chromosome:v.1.0:2:985180:987488:-1 gene:scaffold_200195.1 transcript:scaffold_200195.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7KTJ4] MVYQLVSFINTICSLSERVFESTFTGRRSIVKERFSKKYRHPILDAKLTLKRLNAEARCMTKARKLGVCTPILYAVDTLLHSLTLEYIEGVSVKDIFLDFGANGIIEERLDDVAAQIGAAIAKLHDGGLAHGDLTTSNMLVRSGTNQLVLIDFGLSVTSTLPEDKAVDLYVLERALLSMHSSCGNVMDRILTAYRKSSKQWSATFNKLAQVRQRGRKRTMIG >scaffold_200196.1 pep chromosome:v.1.0:2:997306:998036:1 gene:scaffold_200196.1 transcript:scaffold_200196.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis ATP-binding export protein CcmA [Source:UniProtKB/TrEMBL;Acc:D7KTJ5] MSIRRPQIPRLLLQNVSCMRNAQQILRHVNVSLHDGGALVLTGTNGSGKSTFLRMLAGFSKPSAGEILWNGHDITQSGIFQQYKLQLNWISLKDAIKERFTVLDNVQWFELLENKIGKAQPALELMGLGRLVKEKSRMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDEGVRLLEYIIAEHRKKGGIVIVATHLPIEIEDAMILRLPPRFPRKMTLIDMLDRADIS >scaffold_200197.1 pep chromosome:v.1.0:2:998568:1000651:1 gene:scaffold_200197.1 transcript:scaffold_200197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGTSTFVIRWVNLLTMLLAVAVIIFGVWMSTHNDGCRRSLTFPVIALGGFIFLISIIGFLGACKRSVALLWIYLAVLLIVLIAILVFTVLAFIVTNNGSGHTNPGLRYKEYKLNDYSSWFLKQLNNTSNWIRLKSCLVKSEQCRKLSKKYKTIKQLKSAELTPIEAGCCRPPSECGYPAVNASYYDLSFHSISSNKDCKLYKNLRTIKCYNCDSCKAGVAQYMKTEWRLVAIFNVVLFVVLSMVYFVGCCARRNAASYRSKA >scaffold_200198.1 pep chromosome:v.1.0:2:1001230:1004506:1 gene:scaffold_200198.1 transcript:scaffold_200198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLILRERSGSFTGSLWSRIFSRNMGGGPRTFPGGLNKWQWKRMHEKKAREKENKLLDQEKQLYEARIRTEIRAKMWGNPDSGEKTAKSKQSHGPMSPKEHIKTLADRFMKAGAEDLWNENDGPMKESDDGSGLSRRDNGRSGSNSIDSSSNSSIDVRKLVSGTCYSMGNSRVFDRSRRGFSSMSRGRFKRNESSCDEGDDFDAKKLDTLSPFSPKFAGTKEKVKSSKNVVGVIRNKGLFGRRKFRKNDSSTEEDSEEEGEEGKMNVWLDLRKMGSSAALGNHDIKLTKRVNRNVTDEELYPPLDINTVREDLSKRKSVDNVIEENREPHDSIYSGKRFDESSISPLTLKALSASGIVKMTRVQDATLSECLDGKDALVKAKTGTGKSMAFLLPAIETVLKAMNSGNGVHKVAPIFALILCPTRELASQIAAEGKALLKYHDGIGVQTLIGGTRFKLDQQRLESEPCQILIATPGRLLDHIENKSGLTSRLMALKLFIVDEADLLLDLGFRRDVEKIIDCLPRQRQSLLFSATIPKEVRRVSQLVLKRDHSYIDTIGLGCVETHDKVKQSCIVAPHESHFHLVPHLLKEHINNTPDYKIIVFCSTGMVTSLMYTLLREMKLSVREIHARKPQLHRTRVSDEFKESKRLILVTSDVSARGMNYPDVTLVIQVGIPSDREQYIHRLGRTGREGKGGEGLLLIAPWERYFLDELKDLPLEPIPVPDLDSRVKLQVDQSMAKIDTSIKEAAYHAWLGYYNSVRETGRDKTTLAELANRFCHSIGLEKPPALFRRTAVKMGLKGISGIPIRK >scaffold_200199.1 pep chromosome:v.1.0:2:1007666:1007949:1 gene:scaffold_200199.1 transcript:scaffold_200199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWSQRLSFLIVMISIFSGLHFSLASRKLPSMTTTEEFQRLSFDGKRMLSEVTAGEKYDPIYGASARLVPEGPNPLHNK >scaffold_200213.1 pep chromosome:v.1.0:2:1089207:1090067:-1 gene:scaffold_200213.1 transcript:scaffold_200213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIFPECISVGRGEDEGPDLTPDEELLLLTKQITETQGFDIDFKQFRCVFNYRPVDYDDTAFVVKPETPRELMDRLSRESLAGYNEREPICTTGTPAVMYFITFKGKDPSYDQPREFRAKVFYFYHYPPKYIFCDLKHEKMVRLQK >scaffold_200214.1 pep chromosome:v.1.0:2:1094158:1095171:1 gene:scaffold_200214.1 transcript:scaffold_200214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHLYIHGKTETLLPLSARHLFVGVKGKNPDGSLKNFENLKDFLVNQGMIQEKDCACVVEKENKQIGSSSKSKPKDKKKSSKKENAKANPCFGKMKGKKVTKIRNLQIVRDVDERELIELLQRGPVTVSIEVADTFKLFKGDEVLRGYSQSESLEDHMIELTGYDTTPDGINYWEFQNSYGLGWGKNGFGKLIRKSSRKKHEPSLINAYMYPELLEREEAINAH >scaffold_200221.1 pep chromosome:v.1.0:2:1133580:1135772:-1 gene:scaffold_200221.1 transcript:scaffold_200221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDNKRKKNMMEDDMFSYGGGGNRKKSKKIEKPPQISKDIPANIKNIDLDWCNVEGVMREVIDQGDRRVCWTIPPTRSLSARLVIDKRFLPPVCLSALHLLVGLIDKVDSTGGLNNLEHLRKFMIDHGTVSEEECGCPQLALKMKNDASKSDPVLCTEKGKSMHNRTFKVEDLIILDKVDEGKLIQLVTKGPVAVSIDVHRKFSKFKGDGIYEGPKKGSRKQDQHMLLVYGYGTKLPEGIHFWRTQNSAGTKWGNNGYGKIIRKISRPAGEPSLFTCILYPALLDLYEGEIEDA >scaffold_200222.1 pep chromosome:v.1.0:2:1142051:1143034:-1 gene:scaffold_200222.1 transcript:scaffold_200222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRVSAILLWKPEDPGYERPAYRDWTEEEDEPKYSPEEELALLDKQILASDGFDIDYTQFRCVFNYHLAYLDSDEFAEEPTETTRDLLERLSRKALDDYNQESRTKFEFVKVVKANFHWCCAYMFLITFEVVDPYDNLIKLFQTRVRHETDIVTEYVFCRRNPIKKWNALELSRKMSRKISRNKGTDIYICKGFYI >scaffold_200224.1 pep chromosome:v.1.0:2:1146831:1148384:-1 gene:scaffold_200224.1 transcript:scaffold_200224.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KU14] MSTETTTEATPLILTDGGGGGRSVRRQGLREAARLLRHASSGRMMMREPSMLVREAAAEQLEERQSDWAYSKPVVVLDFVWNLAFVAVATAVLVLSSDENPNMPLRVWVIGYGLQCMMHMVCVCVEYRRRNSRRRRDMSPRSSSSSMEEEDGLGLSRNSDDRYLELGQLENENNSFAKHLESANTMISFIWWVIGFYWVSSGGQELAQGSPQLYWLCIVFLGFDVFFVVFCVALACVIGIAVCCCLPCIIAVLYAVAEQEGASKEDIDQLTKFKFRKVGDSVKHTVDEEQGDSGGLMTECGTDSPVEHTLPHEDAECCICLSAYEDETELRELPCGHHFHCGCVDKWLYINATCPLCKYNILKSSNYEEGEEV >scaffold_200227.1 pep chromosome:v.1.0:2:1155396:1156817:-1 gene:scaffold_200227.1 transcript:scaffold_200227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHIQHSLTTIPKPDLIKEEQRFDEDTVSLQAERILHATAFPMVLKTALELGIIDMITSVDDGVWLSPSEIAFGLPTKPTNPEAPVLLDRMLVLLASHSILKYRTVETGENIGSRKTERVYASEPVCKFFLNRGDGSGSLATLFMVFQSEGCMKTWAHLKDVILEGKDAFSFAHGMRIFEYIGSNEQLAEMFNRAMSEASTLIMKKVLKVYKGFEDVNTLVDVGGGIGTVIGLVTSKYPHITGINFDLASILVHAHLYKGVEHVSGDMFKEIPKGDAIFMKWILHDWTDEDCVKILKNCWKSLSEKGKVIIVEMVTPEEPKINDVSSNVVLAMDMLMLTQCSGGKERTLSQFETLASDSGFLRCEIICHAFSYSVIELHK >scaffold_200228.1 pep chromosome:v.1.0:2:1162444:1164124:1 gene:scaffold_200228.1 transcript:scaffold_200228.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:D7KU18] MANRDVERGGKKNRGANSNYFYDESSGETHWTSWLIPAIVVANLAVFIAVMFVNDCPKKITGANKECVARFLGRFSFQPLKENPLFGPSSSTLEKMGALEWRKVVHEHQGWRLLSCMWLHAGIIHLLTNMLSLIFIGIRLEQQFGFIRVGLIYLISGLGGSILSSLFLQESISVGASGALFGLLGAMLSELLTNWTIYANKAAALITLLFIIAINLALGMLPRVDNFAHIGGFLTGFCLGFVLLVRPQYGWEASRTNTSRTKRKYSMYQYALFVVAVVLLVVGLTVASVMLFKGENGNKHCKWCHYLSCFPTSKWTC >scaffold_200230.1 pep chromosome:v.1.0:2:1169627:1169840:-1 gene:scaffold_200230.1 transcript:scaffold_200230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWTLCILVSLLVTSITSWVYNWRNPKCRGKLPPGSMGLPLIGETIHFFKPYTTSDI >scaffold_200232.1 pep chromosome:v.1.0:2:1175256:1175755:-1 gene:scaffold_200232.1 transcript:scaffold_200232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIFTEINSKANKARTNVDYFHTAYMKATNTDLGDEAFKAVTNPILSQMEQIINTSKHVSYHVQVLRNANSDPNFLRDLDEVDNMGDDVFEKSKTALDIMRKAIVDAKERKKARDEAIKEEEEAQKRAKDEELKKKAKNEAGESSPHYQRN >scaffold_200234.1 pep chromosome:v.1.0:2:1192408:1194097:1 gene:scaffold_200234.1 transcript:scaffold_200234.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-A11 [Source:UniProtKB/TrEMBL;Acc:D7KU25] MGSGFSLFHNSLSSCYGDRDLLQPGLGDLPESCVALILQNLDPVEICRFSKLNKAFHGASWADFVWESKLPPDYRLILEKILGGFPENLRKRDIFTFLSRVNSFDEGNKKAWVDKRTGGLCLCISAKGLSITGIDDRRYWSHIPTDDSRFSSVAYVQQIWWFQVDGEIDFPFPAGTYSVYFRLQLGKPGKRFGWRVCDTEQVHGWNIKPVRFQLSTEDGQHSSSQCMLTEAGNWSHYHAGDFVVGKSKNSSTKIKFSMTQIDCTHTKGGLCVDSVVVYPSSCKVDAD >scaffold_200236.1 pep chromosome:v.1.0:2:1210579:1211060:1 gene:scaffold_200236.1 transcript:scaffold_200236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKYNRALQRRYKRKDTVDPIVLDEIDECNEWLTGTMESDNEDDLVFEDDNLTWNVVGEAVGANDPYYATRSAAASSRFEKGKGVATDSGGHGRSKASRRPPSTSSPMTLVDDDDEMEEDFIGPEYEDTLLDNDDYEYDDSGF >scaffold_200237.1 pep chromosome:v.1.0:2:1221047:1222157:-1 gene:scaffold_200237.1 transcript:scaffold_200237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRITSQLRPVRNQFGGSRRLTTTVADGAKQTYNKFSIMGEFAPVAIIGGFVGLAVLMAGHSIKQQLMHAPAISTRKNRRAAVAEVDDPENCVSSADKFINKSWLRKVGQIQDKSKAILSDPTRPNPFTTPRNAETLNSVGVAPKGI >scaffold_200238.1 pep chromosome:v.1.0:2:1226496:1228736:1 gene:scaffold_200238.1 transcript:scaffold_200238.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane bound O-acyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KU32] MELLDMNSMASSIGVSVAVLRFLLCFVATIPISFLWRFVPSRLGKHIYSAASGAFLSYLSFGFSSNLHFLVPMTIGYASMAIYRPLSGLITFFLGFAYLIGCHVFYMSGDAWKEGGIDSTGALMVLTLKVISCSINYNDGMLKEEGLREAQKKNRLIQRPSLIEYFGYCLCCGSHFAGPVFEMKDYLEWTEEKGIWDVSVKGKRPSPYGATIRAIFQAAICMALYLYLVPQFPLTRFTEPVYQEWGFWKRFGYQYMAGFTARWKYYFIWSISEASIIISGLGFSGWTDETQTKAKWDRAKNVDILGVELAKSAVQIPLFWNIQVSTWLRHYVYERIVKPGKKAGFFQLLATQTVSAVWHGLYPGYIIFFVQSALMIDGSKAIYRWQQAIPPKMAMLRNVLVLINFLYTVLVLNYSSVGFMVLSLHETIVAFKSVYYIGTVIPIAVLLLSYLVPVKPVRPKTRKEE >scaffold_200244.1 pep chromosome:v.1.0:2:1275475:1281343:1 gene:scaffold_200244.1 transcript:scaffold_200244.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:D7KU36] MEDDCEELQVPVGTLTSIGFSISNNTDRDTMSVIKVEAPNQVTDSRLGLPNPDSICKTCGSKDRKVCEGHFGVINFQYSIINPYFLKEIAALLNKICPGCKYIRKKQFQITEDQPERCRYCTSNTGYPLMKFRVTTKEVFRRSGIVVEVNEESLMKLKKRGVLALPPDYWSFVPQDSNIDESCLKPTRRILTHAQVYALLSGIDQRLIKKDIPMFDSLALTSFPVTPNGYRVTEIVHQFNGARLVFDERTRIYRKLVGFEGNTLELSSRVIECMQYSRLFSENVSSSQDSANPYQKKSDTPKLCGLRFMKDVLLGKRSDHTFRTVVVGDPSLKLHEIGIPERIAKRLQVSEHLNNWNNERLVTFCSPNLFDNKEVHVRRGDRLVAIRVSDLQTGDKIFRNLMDGDTVLMNRPPSIHQHSLIAMTVRVLPTTSVVSLNPICCLPFRGDFDGDCLHGYVPQSIQAKVELDELVALDKQLINRQNGRNLLSLGQDSLTAAYLVNVEKNCYLNRAQMQQLQMYCPFQLPPPAIIKASPSSTEPQWTGMQLFGMLFPPGFDYTYPLNDVVVSNGELLSFSEGSAWLRDGEGNFIQGLIKHDKRKVLDIIYSAQEMLSQWLLMRGLSVSLADLYLSSDPQSRKNLTEEISYGLREAEQVCNKQQLMVESWRDFLAVNGEDEGEDSVARDLARFCYERQKSATLSKIAVSAFKDAYRDVQALAYRYGEQSNSFLIMSKAGSKGNIGKLVQHSMCIGLQNSAVSLSYGFPRELTCASWNDPNSPLRGAKGEDSTATESYVPYGVIENSFLTGLNPLESFVHSVTSRDSSFSGNADLPGTLSRRLMFFMRDIYAAYDGTVRNSFGNQLVQFTYETDGPVEDITGEALGSLSACALSEAAYSALDQPISLLETSPLLNLKNVLECGSKKGQREQTMTLYLSETLSKKKHGFEYGSLEIKNHLEKLSFSEIVSTSMIIFSPSTNTKVPLSPWVCHFHISEKVLKRKQLNVESVVSSLNEQYKSRNRELKLDIVDLDIQSTNHCSSDDKAMKDDSFCITVTVIEASKHSVLELDAIRLVLIPFLLDSPVKGSQEIKKVDILWTDRPKAPKRNGDHLAGELYLRVTMYGDRGKRNCWTALLETCLPIMDMIDWSRSHPDNIRQCCSVYGIDAGRSIFVANLESAVSDTGKTILKEHLLLVADSLSVTGEFVALNAKGWSKQRQVESTPAPFTQACFSSPSQCFLKAAKEGVRDDLQGSIDALAWGKVPGFGTGDQFEIIISPKVHGFTTPVNVYDLLSSTPPKTNSAPKSDKVTVQPFDLLGTAFLKGIKVLDGKGISMSRLRTIFTWENIEKLSQSLKRILTSYEINDPLNGRDEELVMMVLHLHPNSADKIGPGLKGIRVAKSKHGDSRCFEVVRIDGTFEDFSYHKCVLGATKIIAPKKVNLYKSKYLKNGTHQPGRLSENPQTVK >scaffold_200245.1 pep chromosome:v.1.0:2:1282980:1283173:-1 gene:scaffold_200245.1 transcript:scaffold_200245.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU37] MALVNRNNRSDPGDDRRQRIRRSSICEYKSHDFTAARWNENHRRKYLVSV >scaffold_200248.1 pep chromosome:v.1.0:2:1309404:1310754:-1 gene:scaffold_200248.1 transcript:scaffold_200248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADANGSSTSFNFLIYGRTGWIGGLLGKLCESQGISYTYGSGRLQDRQSIIADIESVKPSHVFNAAGVTGRPNVDWCESHKVETIRTNVAGTLTLADICREKGLVLINYATGCIFEYDSGHPLGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLTNPRNFITKIARYEKVVDIPNSMTILDELLPISIEMAKRNLTGIYNFTNPGVVSHNEILEMYRDYIDPSFTWKNFTLEEQAKVIVAPRSNNELDATKLKTEFPELMSIKESLIKFVFEPNKKTEVKA >scaffold_200251.1 pep chromosome:v.1.0:2:1325686:1327027:-1 gene:scaffold_200251.1 transcript:scaffold_200251.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXPA18 [Source:UniProtKB/TrEMBL;Acc:D7KU42] MDQNLYRKCLVILSMMALIGTSMAAYAGTPWRTASATFYGDETGSATMGGACGYGNMWDSGYGVATTALSTALFNDGYACGQCFQIRCVSSPNCYYGSPATVVTATNICPPNYGQASNNGGWCNPPQVHFDLAKPAFMKIANWKAGIIPLSYRRVACKRTGGIRFKFEGNGYWLLVYVMNVGGAGDIKTMAVKGSRTGWINMSHNWGASYQAFSSLYGQSLSFRLTSYTTRQTIYAYNAAPASWSAGKTYQSKANFS >scaffold_200255.1 pep chromosome:v.1.0:2:1341231:1343275:1 gene:scaffold_200255.1 transcript:scaffold_200255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTEPNRSRSSNSDSDKNSGNGGGGRTTAMRVIVPLQGVVQGRGGLFLGSVIPCAFFYFLQFYLKRNRKNDESDNSGEQNSSASSSSSPNSGLPDPTRSQSAGHLTELTGLPRSLSRILLSPRNSGGAVSVSGRVNCVLKGGDSSPYYVGQKRVEEDPYDELGNPYGVIQLGLSQNTKLSLDDWVLENPKEAISDGLSISGIASYEPSDGLLELKMAVAGFMSEATKNSVSFDPSQLVLTSGASSAIEILSFCLADSGNAFLVPTPCSPGYDGDVKWRTGVDIIHVPCRSADNFNMSMVVLDRAFYQAKKRGVRIRGIIISNPSNPMGSLLSRENLYALLDFARERNIHIISNEIFAGSVHGEEGEFVSMAEIVDTEENIDRERVHIVYDLSKDLSFPGLRSAAIYSFNESVLSASRKLTTLSPVSSPTQHLLISAISNPKNVQRLVKTNRQRLQSIYMEFVKGLKELGIECTKSNGGFYCWADMRGLISSYSEKGEIELWNKLLNIGKINVIPGSCCHCIEPGWFRICFSNLSERDVPVVMNRIRKVCQTCKSQN >scaffold_200257.1 pep chromosome:v.1.0:2:1351289:1351780:-1 gene:scaffold_200257.1 transcript:scaffold_200257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDGERETHTIESTSDTPDDYQLTQAEKNDIFRSQVEVKKGRRFGYGSIPYDEDMGGSSSYSHAHVNCQANAAEIIELKTQLKDCKFWMDMMARLHPDIVPPSRQDQPGNQNETNNVDTLFDNI >scaffold_200258.1 pep chromosome:v.1.0:2:1355036:1356617:-1 gene:scaffold_200258.1 transcript:scaffold_200258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISITEDWQSHLMDFEVISQATNFFSDSNKIGEGGFGSVYKGRLLNGVEIAVKRLISMTPNGIENFDNEVRLIGLVQHINIIRLIGFFSDENEKILVYEHLENLGLDSYIFGKGLEIVDPAITYSTEFRPDQVLRCIQIGLLCVQQLAQDRPTMWPVVFMLGSETVDIDRPKSPGDDTCSSSMEHLDDATYTVCQMSMSAMKGR >scaffold_200259.1 pep chromosome:v.1.0:2:1363671:1364732:-1 gene:scaffold_200259.1 transcript:scaffold_200259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRSPTRFPEKYRWYLGIWYKKFPSKAVWVANRDHPLSSPTGILNISNSNVDLLDGNVVLWRKNLINRDSSVVAELLDNGNFVVKDPTGVLWQSFDSPTDTLLPGMILNANLISWASSEDPSSGAYTYEIIGQQGFIHDAHQVPMTRIFPNKILPSTTKKTFSTMLRIDEYGVLQLLVWRDKWHIDWISHVDECDEYYTCGLNMFCDMNLSPICNCIKGFEPSDKPYRGCERKKTQVSCVEKEFWRMTNMKLPNFGQQIDTKMGVEDCKERCLKDCNCLAFANMDSQNGGSGCMVWLHELHDIRSYKTKGFDFYIKLPVAADLGLLFLPLFLLQITL >scaffold_200260.1 pep chromosome:v.1.0:2:1367526:1368178:1 gene:scaffold_200260.1 transcript:scaffold_200260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENGSAFMFEERSINKMCVLVDTQDVGNNCKVTAFIGGIDLCDGRYDTPDLETVFKDDFHNPTFPAGTKDPKQS >scaffold_200261.1 pep chromosome:v.1.0:2:1381702:1384451:-1 gene:scaffold_200261.1 transcript:scaffold_200261.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase family protein [Source:UniProtKB/TrEMBL;Acc:D7KU51] MESQKQEDNEYIFRSLYPSVPIPDKLTLPEFVLQGVEEYTENVAFVEAVTGKAVTYGDVVRDTKRLAKALTSLGLRKGQVMVVVLPNVAEYGVIALGIMSAGGVFSGANPTALVSEIKKQVEASGARGIITDATNYEKVKTLGLPVIVLGEEKIEGAVNWKDLLEAGDKSGDTDNEEILQTDLCALPFSSGTTRITKRSNAHTSSEMIGQIVTLGLIPFFHIYGIVGICCATMKNKGKVVAMSRYDLRIFLNALIAHEVSFAPIVPPIILNLVKNPIVDEFDLSKLKLQSVMTAAAPLAPELLTAFEAKFPNVQVQEAYGLTEHSCITLTHGDPEKGQAIAKRNSVGFILPNLEVKFIDPDTGRSLPKNTSGELCVRSQCVMQGYFMNKEETDKTIDEQGWLHTGDIGYIDDDGDIFIVDRIKELIKYKGFQVAPAELEAILLTHPSVEDVAVVPLPDEEAGEIPAACVVINPKATEKEEDILNFVAANVAHYKKVRAVHFVDSIPKSLSGKIMRRLLRDKILSINKK >scaffold_200262.1 pep chromosome:v.1.0:2:1385062:1385649:1 gene:scaffold_200262.1 transcript:scaffold_200262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMNIIINVMEMIWRTIVSTLMLFFFLRYFNNPPNPLLIFFFLCLILFLLIIDRNRSVYEETEDFYVLYTFQEDSMLDVETIDKNRECCYFTRYYYDDCFSYYDGFDEVDNDGFIWNDDEDYSQWNQDGGDDGIENHDDDDNHAYGDDVDDDTLTSRIEDYIANVYNGWREERRTEKLFV >scaffold_200263.1 pep chromosome:v.1.0:2:1386218:1386433:-1 gene:scaffold_200263.1 transcript:scaffold_200263.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU53] MVLIPWRKWKSKRLPSVSDLELCLVTAVRQNLSRKQFVIGHKSERQRFVVGQLQRF >scaffold_200269.1 pep chromosome:v.1.0:2:1452518:1452961:-1 gene:scaffold_200269.1 transcript:scaffold_200269.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU62] MARVKDAKGEYESTAAAHPREPADSSTVVDPTEETGDPREPENAEPGSETVGMQTDPTEEAAVDASESQPGNETVEFERNDVEGQAAIADSDIQIGKESPIELSTAEEELVGASEEEEETVTAQVYISLRMD >scaffold_200270.1 pep chromosome:v.1.0:2:1457912:1458191:1 gene:scaffold_200270.1 transcript:scaffold_200270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARVCRSESSSGDAAAVYARLFSRRLVHRRVAKKSSKVEKSMPSLSEGAFEGESLKLRSGSHYIKCFDDAIVLFDEMV >scaffold_200273.1 pep chromosome:v.1.0:2:1469507:1472070:1 gene:scaffold_200273.1 transcript:scaffold_200273.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase family protein [Source:UniProtKB/TrEMBL;Acc:D7KU66] MSTETKETRPEDPKTHSTVDLPGEEPLGKLIADEVNDVVSDASATETDFSLSPSQSEQNIEEDGQNSLDDQSPLTELQPQPLPPPPTIETRISESPGEEESSDLVTEQQSQNPNAAEPGPRVRKRRRRKRFFTEINANPAFSRNRRTSVGKEVDSEALIAMSVGFPVYSLTEEEIEANVVSIIGGKDQANYIVVRNHIIALWRSNVSNWLTRDHALESIRAEHKNLVDTAYNFLLEHGYINFGLAPVIKEAKLRSFDGVEPPNVVVVGAGLAGLVAARQLLSMGFRVLVLEGRDRPGGRVKTRKMKGGDGVEAMADVGGSVLTGINGNPLGVLARQLGLPLHKVRDICPLYLPSGELVDAGVDSKIEASFNKLLDRVCKLRQSLIEENKSVDVPLGEALETFRLVYGVAEDQQERMLLDWHLANLEYANATLLGNLSMAYWDQDDPYEMGGDHCFIPGGNEIFVHALAENLPIFYGNTVESIRYGSNGVLVYAGDKEFHCDMALCTVPLGVLKKGAIEFYPELPEKKKEAIQRLGYGLLNKVAMLFPYNFWGEEIDTFGRLTEDSSTRGEFFLFYSYSSVSGGPLLVALVAGDAAERFESLSPTDSVKRVLQILRGIYHPKGIVVPDPVQALCSRWGQDKFSYGSYSYVAVGSSGDDYDILAESVGDGRVFFAGEATNRQYPATMHGAFLSGMREAANILRVARRRASSSASNPNQTCIDKEEEVDEEEDSCLDQLFETPDLSFGNFSVLFTPNSDEPESMSLLRVRIQMEKPESGLWLYGLVTRRQAIELGEMEGDELRNEYLREKLGFVLVERKSLSQEGESMISSLKAARLNRQIFD >scaffold_200280.1 pep chromosome:v.1.0:2:1501776:1503029:1 gene:scaffold_200280.1 transcript:scaffold_200280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHTLCLDYIKTSCNLTLYKTLCYNSLYPYASTVHSNPHKLAVTALNLTLSSAKSASKFVKNISHRGGLTLLEAVAVADCVEEIGDSVISLQDSIRELDSINYKDSAKFEMVMSDVETWVSAALTDDETCMDGFSRVKTAVKDLVRRHVVEVARLTSNALALINMFASTEENFS >scaffold_200287.1 pep chromosome:v.1.0:2:1552790:1554484:1 gene:scaffold_200287.1 transcript:scaffold_200287.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7KU81] MQRSIAMTAKRFLHRNLLENGKPRTASSPSFSHCSSCRCSARASSSVSGGDLRERLSKTRLRDIKLHDAINLFREMVKTRPFPSIVDFNRLLSAIVKMKKYDVVISLGKKMEVLGIRNDLYTFNIVINCFCCCFQVSLALSVLGKMLKLGYEPDRVTIGSLVNGFCRRNRVSDAVSLVDKMVEIGYRPDIVAYNAIIDSLCKTRRVNDALDFFKEIGRKGIRPNVVTYTALVNGLCNSGRWNDAARLLRDMIKRKITPNVITYSALLDAFVKNGKVLEAKEIFEEMVRMSIDPDIVTYSSLINGLCLHDRIDEANQMFDLMVSKGCFPDVVSYNTLINGFCKAKRVEDGMKLFRKMSQRGLVNNTVTYNTLIQGFFQVGDVDKAQEFFSQMDSFGVSPDIWTYNILLGGLCDNGLLEKALVIFEDMQKSEMDLDIVTYTTVIQGMCKTGKVEDAWGLFCSLSLKGLKPDIVTYTTMMSGLCTKGLQHEVEALYTKMKQEGLMKNDSMLCLGDGDITISAELIKKMLSCGYAPSLLGDIKPVGCKKALSLL >scaffold_200289.1 pep chromosome:v.1.0:2:1578239:1578461:1 gene:scaffold_200289.1 transcript:scaffold_200289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSVSLSCDQVVNQVSQWLCVKESYINNLEENLTALETTMDDLKATRDDLYCQKG >scaffold_200299.1 pep chromosome:v.1.0:2:1618321:1621232:-1 gene:scaffold_200299.1 transcript:scaffold_200299.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7KUP2] MAPAPSPINSQHVAVIGAGAAGLVAARELRREGHTVVVLDREKQVGGLWVYTPETESDELGLDPTRPIVHSSVYESLRTNLPRECMGYKDFPFVPRSDDPSRDSRRYPSHREVLAYLQDFATEFNIEEMIRFETEVVRVEPVNGKWRVQSKTAGGFSEDEIYDAVVVCCGHFAEPNIAQIPGIESWPGKQTHSHSYRVPDPFKDEVVVVIGNFASGADISRDISKVAKEVHIASRASKSDTFEKRPVPNNNLWMHSEIDTAHEDGTIVFKNGKVVHADTIVHCTGYKYYFPFLETNNYMRVDDNRVEPLYKHIFPPALAPGLSFIGLPAMGLQFYMFEVQSKWVSAVLSGRVTLPSVDEMMDDLKLSYETQEALGIPKRYTHKLGKSQCEYLDWIADQCGFPHVEHWRDQEVTRGYQRLSNQPETFRDEWDDDDLMEEAYEDFARQNLISFHPSRFLESGR >scaffold_200307.1 pep chromosome:v.1.0:2:1691918:1693379:1 gene:scaffold_200307.1 transcript:scaffold_200307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLILHGRRSTELHKWRNFSVSVKLLQNVFSAFSNSFSSAADVSLRDGRKGKNFTISYLVDSLGLPIKLAGSISRKVRFENKANPDSVLSLLRSHGFTDSQISTIITDFPTLLILDAEKSLAPKFQFLQSRGASSSELTQIVSTVPEILGKRGDKTLSLCYDFVKESLVADKSSKLEKLCHSLPEGKQEDKIRNVSVLRELGMPHKLLFSLLTSVGQPVCGKDRFDASLKKIVEMGFDPTTAKFVKALYVVYNLSDKTIEEKVHIYKRLGFAVEDVWVIFKKWPFSLKFSEEKITQTIETLKMCGLNENEVLQVLKKYPQFIRMSQQKILNFIETFLSLGFSRDEFTMIVKCFPMCFGLSGETVKKKTEFVVKKTNWSLKDTTSFPQVFGYSLEKRIVPRCNVIKALMSRGLLGSELPSMASVLACNDHAFVKRYVRKQNDKELVAELMAIFIGKKRNFRLKSLKHDDKASE >scaffold_200309.1 pep chromosome:v.1.0:2:1697666:1697858:1 gene:scaffold_200309.1 transcript:scaffold_200309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPYRGCDVIGVDLGDGSGKYEKRVSKEGFLSGRKLVSGPSRSSCGH >scaffold_200318.1 pep chromosome:v.1.0:2:1743852:1745409:-1 gene:scaffold_200318.1 transcript:scaffold_200318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKTMEDAKLVCSRSTVLLQDWITFKALALEEKSCITNQIAEEKYGRLMSHLISSCTTSDILKRVTSLIVDKAVLETFCPMYAQLCCDIHDKMPSFPPSEPMTGEISFRKVLLNTCQNVFEGTDELSEEIRNMNALDQKAEREDKVKLSNLRTLGNLRLVGELFLTRKLMIENIVFNIVQKLLEDAEKMGPSEGQIVAICLFLNTVSKKLYESRLNSKQMNEIFRRLENLSNHPQLVISMRFMVQNMIHLHSKCYSRTTRVSRTCKVKWK >scaffold_200322.1 pep chromosome:v.1.0:2:1761537:1761780:-1 gene:scaffold_200322.1 transcript:scaffold_200322.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUR3] MSTNFVKTYRAQIVFIQLSHIPKMKNLETESSNSIIGGLADEVTVVNGRSEPCFFKAAAKRMDLLSM >scaffold_200323.1 pep chromosome:v.1.0:2:1773661:1774309:-1 gene:scaffold_200323.1 transcript:scaffold_200323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLGDLLRESEDGTRSERTMMLSLLEEDQINGGDRTMSKWTTLKQRLRFDWVGCCGKPLTLRLRQSETPIVVDDDDEEESQNRVIDLTGSGTGMELDCLTRGVTRNLAEALAEERLAHVTAEETSVAKVPLMRLLAESDGCDSTTCLGSDTLCCVCTGREKGAAFIPCGHMYCRVCSREIWMNRGTCPLCNRSIFDVLDLY >scaffold_200326.1 pep chromosome:v.1.0:2:1798912:1803296:1 gene:scaffold_200326.1 transcript:scaffold_200326.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNPRKIRSYCYIWLIVCIFVLIVCSILSRAEEKEGKNENDDHIPKIYSVLVEGEPLAFRASTNINSKAMAYEAKKIVEIHDEILGSTLENGSYTKLYSFKHVINAFAVRTTASQAKKLKKTKGVKAVEEDKGVKLMTTYTPDFLELPQQVWPKISSEGGRRAGEDIVIGFVDTGINPTHPSFAALDLTNPYSSNLSRLKFSGDCETGPLFPAGSCNGKIISARFFSAGARASVALNSSLDILSPFDASGHGSHVASIAAGNAGVPVIVDGFFYGQASGMAPRARIAVYKAIYPSIGTLVDVIAAIDQAIMDGVDVLTLSVGPDEPPVDKPTVLGIFDLSMLLARKAGVFVVQAVGNNGPSPSSVLSYSPWVVGVAAGSTDRSYPASLILDGGQTVYGVGLSGPTLGAPLLQHRLVLARDAVRTNGSVLQPLRSDIEECQRPENFDPAAVFGTIVICTFSDGFYNQMSTVRAITQTARNLGFMGFILIANPRFGDYVAEPVLFSAPGILIPTVSAAQIILRYYEEKTYRDKRGIVTQFGARGRIDEGRNSVFAGKAPVVSRFSSRGPAFIDANRNLLDVLKPDILAPGHQIWGAWSLPSAFDPILTGRSFAILSGTSMATPHIAGIGALIKQLNPSWTPAMIASAISTTANEYDSSGEVISAESYEISGLFPSNHFDHGAGHVNPARALDPGLVLPAGFEDYISFLCSLPNINPVTIRAATGVSCTTALSHPANLNHPSVTISALKESLVVRRSFQNVSNKTETYLGSVLPPNGTTVRLTPSYFTVPPQRTQDLDIEFNITQVLTKFTFGEVVLTGSLNHIIRIPLSVKTI >scaffold_200330.1 pep chromosome:v.1.0:2:1827655:1827917:1 gene:scaffold_200330.1 transcript:scaffold_200330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUS2] MAIFRRLYRASQSLRLNHFVQWRKKPLREADLPFREAYISDPTEDTRGWICTNGKKLRVVGGWSLHGFRWSCL >scaffold_200332.1 pep chromosome:v.1.0:2:1847472:1847839:1 gene:scaffold_200332.1 transcript:scaffold_200332.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUS4] MKNLETESSNSVVNGHSNGSVDLSLVSSKLQQNAWIYSPCSVSRSSRRVFLKLPPEKHIRNLKIFGVINSMLRFRRWSFGSPIVLFCQSKPKELRSPPRHHLHIVGEV >scaffold_200335.1 pep chromosome:v.1.0:2:1862167:1863454:1 gene:scaffold_200335.1 transcript:scaffold_200335.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport family protein [Source:UniProtKB/TrEMBL;Acc:D7KUS7] MEIPRQEIHIIIDNPISSSKELKTDLADANPVVLMSVLRSLHAGYFRISLSVCSQALLWKIMIAPDSPSMSHLHSKLPSMAFHLLWYLALVTQVSLCFLYALKCIFLFDMVKEEFLHYIGVNYLYAPSISWLLLLQSAPMMEPNSVLYQTLFWVFAVPVFTLDTKLYGQWFTTEKRFLSMLANPASQVSVIANLVAARGAAEMGWNECALCMFSLGMVHYLVIFVTLYQRLPGGNNFPTKLRPIFFLFFAAPAMASLAWNSICGTFDAVAKMLFFLSLFIFMSLVCRPNLFKKSMKRFNVAWWAYSFPLTFLALDSVKYAQEVKDQVGSGFMLIFSSISVLIFLGMMVLTAANSNRLLRRDHVLGSATDQKDKQITLSLNVTNQS >scaffold_200339.1 pep chromosome:v.1.0:2:1878959:1879425:1 gene:scaffold_200339.1 transcript:scaffold_200339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKKILVLLLIGVVCTTVGAIRHLEEGSKETELGVSIPKATKGAEFTVTIYTQSIGDGNGYADAKIKGRKDSSSSANGSGYGTTSGFVIAKGPNATAFSRSTAFGRGRADAAAGRKGATAKGNGAGGGTTVTYGSTGPKP >scaffold_200340.1 pep chromosome:v.1.0:2:1882204:1882690:-1 gene:scaffold_200340.1 transcript:scaffold_200340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPKFVVLLVIGVLFAIVTARQAEEVSKETKLGTSLSKSTIKGVGAQLSTNATTDSITSGSSFASATKGPKGPRGDASEGAYTSTTGHVDAKGHKTRVSSTSGSSSTGGSKAAANHKAASSSAGGSIGSGSCVKGSSEKKGKGKKKN >scaffold_200344.1 pep chromosome:v.1.0:2:1915897:1917331:1 gene:scaffold_200344.1 transcript:scaffold_200344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSLIPHGKRLVQFQKGHNFSVSVKLFQNVFSAFSNSFSSVASSHDVSIRDGRKGNNFTVSYLIDSLGLTKKLAESISIKVRFENKANPDSVLSLLRSHGFTDSQISNIITDYPLLLIADAENSLGPKLKLLQSRGASSSELTEIVSKVPKILAMKGDKSISRYYDIVKEIVEADKSSKFEKLCHSLPEGSKQENKIRNVLVLRELGVPQRLLFSLLISNHHVCCGKEKFEESLEKVVGMGFDPTTPKFVEALCIVYGLSDKRLEENFNVYKRFGLTVNDIWELFKKCPAFLGYSENRIIQTFEALKRCGLCEDEVMSVFKKNPLCLRASEQQILNSMETFIGLGFSRDEFVMMVKRFPQCIGYSAEMVKKKTEFVVKKMNWPLKVITLFPQVLGYSMEKRIVPRCNVIKALMSKGSLGSELPPMPSVLACTDQTFLNRYVVEHDEKLVLELMAIFNQDRIS >scaffold_200349.1 pep chromosome:v.1.0:2:1939218:1941307:1 gene:scaffold_200349.1 transcript:scaffold_200349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLILHHGRSLKLLHKCPNLRVSPQNPFSFSSSFSSTRAKDGQKGQIFTISYLIDSLGLTANLAESISRKVSFEERRNPDSVLNLFRSYGFTDPQIASIITDYPRLLIVDAKKSLGHKLQVLQSRGVSSSELTETVSKVPKILAMKGDKTISRYYDFVREIIEAGKSSKFEKLCQSMPQGMQENKIRNLSVLRELGVPQRLLFPLLVSDRKLVCGKEKFEESLKKVVEMGFEPTTSKFVNALRVVQRISEKEIEEKVSFYKRLGFDVGDVSEMFKKYPVSMRLSEKKITQKFETLKKCGLLEDEILSVFPQCIGASEQKIAKSIETFKDLGFSKNEFAFMVKHFPMCLNISAETVKKKTKFLVKKKNKFMVKKMKWPLNSVAFYPQVLGLSMEKRIVPRCNVMKALMSKGLLGNRESKLPLKERPRLCVVCKIFKKAEGMGALIAARMIINNAFETLAFVLSCHNFCGIGQRKGNVNC >scaffold_200351.1 pep chromosome:v.1.0:2:1946735:1946980:1 gene:scaffold_200351.1 transcript:scaffold_200351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAPSWADQWGTGGIGEMPEEENTKSKKDASGKNSGQNKSAKIVDFISLKWMKNLVQKKKKDSDS >scaffold_200354.1 pep chromosome:v.1.0:2:1961685:1962887:-1 gene:scaffold_200354.1 transcript:scaffold_200354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKVRTFTVSYLVDSLGLAKKVAESISRKVSFENKGNPDSVLSLLRSHGFTDTQISSIITDYPLLLIADGENSIGPKLKFLQSRGASSSELTEIVSKVPRILGKRGHKTISRYYDTVKEIVEADKSSKFEKLCHSLPQGSKQENNIRRNVLVLRELGVPQRLLFSLLISDNGHVCGKKRFEESLNKVVEMGFDPTTASFVRALHVIQGFSDKTIEEKVNLYKRLGFDVGDVWEMFKKFPTFLGLSEKKIANSIETFVSLRFTRDEIVVMVKRFPPCIGCSAESVKKKTEFLVKKMNWPLKAVASFPQVIGYSLEKRTVPRCNVIKVLISKGLLGSELPPLSCVLSITDPAFLNKYVVKHDDTQLVRELIAIFTKNRVSLTDHLEQ >scaffold_200357.1 pep chromosome:v.1.0:2:1971736:1973049:-1 gene:scaffold_200357.1 transcript:scaffold_200357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLIIRRFVGLQKWRNLRVSLQNGSSFSNSFSSASDADVSLRDGLKGNKFKASCLVDSLGLASNRTTSVSSEVSFTDKVNPDSEILNLFRSYGFTDSQISNIIRTYPRLLIADSQKSLGFKLKFLQSRGASSSELTEIVSSLPKILRKRGHKTLSLFYDFVKEIIQVDKKRNLSQSFLQENKIRNIFVLRELGVPRKRLLSLLISKSQPVCGTERFDASLKKVVEMGFDPTTLMFLQALHMLHQMSDKTIEEKIQVYTSVGFTVDDVWAMFKKWPLSLTHSEKKVANSIETFFSLGFSRDDFVRMVKRFPQCIGLSAELVKKKTEFLVKKMNWPLKAVVSNPTVLGYSLEKRTVPRCNVIKALMLKGLLGDGGSELPPMMSVLAITDKAFLNRYVMKHDDHKQLVPELMAILLADQKTRLEQ >scaffold_200358.1 pep chromosome:v.1.0:2:1987693:1987886:-1 gene:scaffold_200358.1 transcript:scaffold_200358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWTKKDDQVFVEALIFLLNGTSTICFHTCQGDPTILAIEVLEVEDEAYI >scaffold_200360.1 pep chromosome:v.1.0:2:2006401:2006866:-1 gene:scaffold_200360.1 transcript:scaffold_200360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFGYDNNRNHAVKKKKKNNRNHKILRIFNLYPSRNHDFRYQVYDFSSNSWKVLDVKPEWNIHSHQRGVSLKGNTYFPVHKKRTVGGVNIEDVLVCFDFTKERFGPPLPLPFNSYNAENFVSLSCVREEQLAMLYQRWGI >scaffold_200362.1 pep chromosome:v.1.0:2:2010567:2011507:-1 gene:scaffold_200362.1 transcript:scaffold_200362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVQPTGFSGVLLSSKPEELCSKIDAFGNVVEDLVSEKSVEAIDECTAAIENFSFPGDFVQYVINGIHELTGFNWWMLIVLTAFLVSVLMSPVSMRVQKQALELQIVKLRSIILVARYSRKNGLGAAKNHGEIDKSDERIY >scaffold_200363.1 pep chromosome:v.1.0:2:2011664:2013861:-1 gene:scaffold_200363.1 transcript:scaffold_200363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIIKMYPLLLIADADKSLGPKLQFLQSRGASSSELTQVVSKVPKILGKREGKSLSRYYDFIKVIIEADKSSSKYEKLCHALPEGSRQDNKIRNVLVLRELGVPQRLLFSLLISDSGPVCGKEKFEESLKKVVEMGFDPTTSKFVKALHGFYQMSDKTIEEKLDVYKRLGFSVEDVWVIFKKWPCSLKFSEEKITQTIETLKMCGLDENEVLQVLKKYPQFIRISEQKILSLIETFLGVGFSRDECVMIIKGFPMCFGLSAETVKKKTEFLVKKMNWPLKSVVSNPAGLGYSLQKRIVPRCNVIKALMSKGSLGSELPSVASVLACTDQAFLNRMTTMVEKILSERVPMTSLRAIRSTCKKWNTLSQNQIFGKKQLQQASSL >scaffold_200364.1 pep chromosome:v.1.0:2:2014012:2015307:1 gene:scaffold_200364.1 transcript:scaffold_200364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTERQNVSEDVVVVTERNKRAKTSNNGGEPIPFDLTVEICSRLPAKSISRFRCVLKLWGSILRLPYFTELFLTRSLARPQLLFACHKDNHVFVFSSPQPQNIDDNNASSLLAANYHMKIPFYASSFERCSSVRGLVFFGDERYSNGKEHKVSVICNPSTRQSLTLPKLKTRKRIGVRSYFGFEPIEKQYKVLSMTWGIYGTRDMDSEEHQVLTLGTRKPSWRMIECWIPHSLYHTYNNVCINGVLYYPAVNTSSKGFIIVSFDFRSEEFRFVEDTDTSISSYYGPHLINYNGKLGSLGSGGFGGIGASCTSITLRVLEDAEKHEWSEHIYVLPAWWKNIFGGECTVLSVVGVTRTNEIVLSLRFPSTPFYVFYYNTERNAIRRVEIQGQEAFKDHSVYTFLDHVENVNMKLLEGF >scaffold_200365.1 pep chromosome:v.1.0:2:2015718:2016015:-1 gene:scaffold_200365.1 transcript:scaffold_200365.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVC6] MHSRHQKLVFPLLISHSGPVNGKENISQQVEMGFDPTTSKFVKALNAFYQMSDKTIEEKVNVYKRLIRLYCGILKRKGLLRRLKP >scaffold_200367.1 pep chromosome:v.1.0:2:2027399:2028396:1 gene:scaffold_200367.1 transcript:scaffold_200367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLYPYTSSLLAANYHMKIPYYASSFKRCSSVRGLIFLGDERSLNGVEHKVSVICNPSTGESLTLPKLKTRKRIGVRSYFGYEPIEKQYKVLSMTWGIYGTRDMDSEEHQVLTLGTRKPSWRMIECWIPHSLYHTYNNVCINGVLYYPAVNTSSRGFIIVSFDFRSEKFRFVEDTDTSISSYYRPPLINYNGKLGSLGSSGGFGGIGGSCTSITLRVLEDAEKHEWSEHIYVLPAWWKYIFGGGYTDLCVVGVARTNEIVLSCPFPSTPFYVFYYNTERNAIRRVEIQGLEAFKGRSVYTFLDHVENVNMKLLEGL >scaffold_200368.1 pep chromosome:v.1.0:2:2028678:2029855:-1 gene:scaffold_200368.1 transcript:scaffold_200368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATKFVVLLVIGVLCAIVTARQAEEVSKETKLGTSLPKTTTKGIGAQLSAYGTTYSNSYVSSYARASNGPKGPDADAAEYGSTYTNGQVYAKGRKANISSKSGSKATGEAEAAANRKAAAARAKGSVKSDSRVKGSSSGKKKVKGFPMCFGLSAETVEKKTEFLVKKIIWPLKSVVSNPAGLGYSLQKRIVPRCNVIKALMSKGLIGRLNNFKDCLFFC >scaffold_200372.1 pep chromosome:v.1.0:2:2042399:2047239:-1 gene:scaffold_200372.1 transcript:scaffold_200372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLILHGRRLVQLQKWCHLSFSVQKASSFSTVSTKDCRKGEIFTISYSYLVDSLGLTRKLAESISEGKANPESVLSLLTSHGFTDSQISSIITIYPRLFLLDAKKSLAPKLKFLQSRGASSSELTEIVSKVPEILAKKGDKTLSRYYDFVKVIVEADKSSNYDKLCHSLPVGNLENKIRNISVLRELGVPQRLLFPLLISSGGPVNGKERFGESIKKLVEMGFDPTTTKFVKALRIVQGLSAKTIEEKANLYKSLGFDDVWEIFNKYPIFLALSEKNILNSVETFLGLGFSRDEFANMVKSFPQGIGLSAETVKKKTEFLVKKMNWPLKALVLNPAVLGYNMEKRIVPRCNVIKALMSKGLLGDTGSKLPPIGSVLKSTNQVFFKRRSVELQKWRNLSVSVPNASSFFNLFSFATTAAHLSPRVGRKGKDFTFSYLVDSLGLPKKLAESISRKVSFEDKGNPDSVLSLFRCQGFTDSQISSMIEIYPRLLILDAEKSLGPKLQFLQSREASSFELTQIVSKVPEILGKKGDKTISVYYDFIKDTLHDKSFKYEKLCHSFPPGNLENKIRNVSVLRELGMPHKLLFSLLISDSQPVCGKEKFEGTLKKVVEMGFDPTTGKFVEALNVIYKMNEKTIEERFNLYKSLGFDAGDVWSSFKKWPISLRVTEKKMLDSIETFLGLGFSRDEFAKMVKHFPPCIGLSTEMVKKKTEFLVKKMNWPLKALVSNPAVLGYSLEKRIVPRVSVKNMLISIEAFLGPGFSRDEFALT >scaffold_200374.1 pep chromosome:v.1.0:2:2050920:2052250:-1 gene:scaffold_200374.1 transcript:scaffold_200374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVILHGRRLVQLQKLPYLRFAVENASTFSNPFSSAASDADVSLGDGRKGKTFTVSYLVDSLGLSKKLAESISRKVSFCSGKGNPDSVLSLLRSHGFTDTQISTIITNYPRLLTLDAEKSLGPKLQFLQSRGASSSELTQIVSTVPKILGKRGHKTISRYYDFVKVIIEADKSSKYEKLCHSLPQGSKQENKIRNLLVLRELGVPQRLLFSLLISNQHVCCGKEIFEVSLRKVVDLGFDPTTSTFVEALCTVYGMSDKTIEEKVDVYKRLGFAVEDVWAMFKKWPLSLANSEKKVANSIETFLGLGFSRDDFVRIVKRFPQCIGLSAELVKKKTEFVVKKMNWPLKALVSNPQVLGLSMEKRIVPRCNVIKALILKDLLGDTRSKLPPLRYVLITDEKFLEMYVRKHDDKQLVAELMAIFTEEHAS >scaffold_200376.1 pep chromosome:v.1.0:2:2056658:2057826:1 gene:scaffold_200376.1 transcript:scaffold_200376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVCMVQDTCVPVEQSCWANMPHELLRDVLMRIERSEDTWNWRQIVKEIVKFPEVFSKFTFPISLKQPGPRGSLVQCYVKRNRSSQTFYLFLGETASNDDGKFLIAPKRFMRPTFSEYIISLNCDDFSSGRENPGAQSASILVSLEQVSLRSQSGNYPRAHISREILKVKILVLGSRITKHKRTHCVMDVIPASAVEPGGTTPTQTEIDNFVSFRSPSGQKAKECQLMITSGQKQI >scaffold_200385.1 pep chromosome:v.1.0:2:2118075:2118306:-1 gene:scaffold_200385.1 transcript:scaffold_200385.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVE5] MRDSQHYASGFQTASSGHGSDVVYGMFLSKVRSLLIIVESASKSLPELLLILVLHKKNGDHLV >scaffold_200387.1 pep chromosome:v.1.0:2:2134636:2134891:1 gene:scaffold_200387.1 transcript:scaffold_200387.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVE6] MDTEAPQNGIVPSAQPAKLQNDVTFTNTFNGFSSPARNEKTKQCSKRSVSCIMDPIVLNNRFDPHVSCIMC >scaffold_200388.1 pep chromosome:v.1.0:2:2171117:2171640:-1 gene:scaffold_200388.1 transcript:scaffold_200388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDCGIFHMMEKDGKLSLVYRHPIPQTRSPTSAGETASSDDTLLQPFFLCPRTQWKKDFPLTINLSSITNLPFGSMISYIVFLYDADDHSVLPLFWCNNKEFDVNGGCSACDGSYFGTDYYFCDYCNQMYHKECVESPLKIKHPYCWCRIPQFGSGPER >scaffold_200389.1 pep chromosome:v.1.0:2:2171976:2172226:-1 gene:scaffold_200389.1 transcript:scaffold_200389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLADTCFKHFGDRVKLWTTLNEPNNQIILSHLKGTFPPSRCSLPYDCALQDNIQSQIVGDHGEIQIRR >scaffold_200393.1 pep chromosome:v.1.0:2:2197493:2197814:-1 gene:scaffold_200393.1 transcript:scaffold_200393.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVF3] MKRRRLGVASPTSHSNSIVGSMSSFLRQAFSRRNHQRWHRRKKKSKSKIEFHQQAFSSHSNSIVESMSSFLRQAFSRRNHQRWHRRKKSQNQK >scaffold_200394.1 pep chromosome:v.1.0:2:2202425:2202656:1 gene:scaffold_200394.1 transcript:scaffold_200394.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVF4] MSLSRRIVKKVEVVENHYRMVSLDADHRSVSPRVLLEHSFVAFAEPFSFAQEEAGGLTLKFNR >scaffold_200395.1 pep chromosome:v.1.0:2:2203422:2204303:-1 gene:scaffold_200395.1 transcript:scaffold_200395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFKGIYNKSFKCFSDIFDVEEEEEMEIGYPTDVRHVSHIGWDSSSSSAPSWLHEFKTSNNVLEPNSSWPFQDLKSAMEAFGEVESSKEMERESPKQNLKKKLSLKASLLCNTWSPRFSRSSKVLA >scaffold_200396.1 pep chromosome:v.1.0:2:2215465:2216548:-1 gene:scaffold_200396.1 transcript:scaffold_200396.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OST3/OST6 family protein [Source:UniProtKB/TrEMBL;Acc:D7KVF6] MAVKSKLVSLLFLVATLSSAFAASFSDSDSDSDLLNELVSLRSTSESGVIHLDDHGISKFLTSASTPRPYSLLVFFDATQLHSKNELRLQELRREFGIVSASFLANNNGSEGTKLFFCEIEFSQSQSSFQLFGVNALPHIRLVSPSISNLRDESGQMDQSDYSRLAESMAEFVEQRTKLKVGPIQRPPLLSKPQIGVIVALIVIATPFIIKRVLKGETILHDSRLWLSGAIFIYFFSVAGTMHNIIRKMPMFLQDRNDPNKLVFFYQGSGMQLGAEGFAVGFLYTVVGLLLAFVTNVLVRVKNLTAQRLIMLLALFISFWAVKKVVYLDNWKTGYGIHPYWPSSWR >scaffold_200397.1 pep chromosome:v.1.0:2:2218824:2219431:-1 gene:scaffold_200397.1 transcript:scaffold_200397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFVEIGFGFCLLIDEIGSSGLLDLPPFPNPWCLPRQEIQSRNKKDAPIVIWLVGGPGCSSELAMFYENGPFKISNKMSLSWNEYGLLSL >scaffold_200400.1 pep chromosome:v.1.0:2:2228031:2228750:1 gene:scaffold_200400.1 transcript:scaffold_200400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKVDSLPVRSNPSTRPISRHQSANNIVHRVKESLTTRVSKLICAIFLSLLLCLGIITFILWISLRPHRPRVHIRGFSISGLSRPDGFETSHISFKITAHNPNQNVGIYYDSMEGSVYYKEKRIGSTKLTNPFYQDPKNTSWVDGALGRPAMAVTKERWMEMERDRNQGKIVFRLEVRSVIRFKVYTWHSKSHKMYANCYIEIGWDGMLLSGTKDKKCPVYFT >scaffold_200401.1 pep chromosome:v.1.0:2:2229984:2231353:1 gene:scaffold_200401.1 transcript:scaffold_200401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAHRENALAYCYTSGNYTANSSYKYNLDSLISVLDSQSSNKGFYSYASSSSSSTTVYGTYLCRGDISSSKCETCISRASKNVFKWCAVQNEAIIWYEECFLRYSNHQIFSILDQGPFVTWTTYDTMLYQSYFINTVEYSIDRLIQEAYSRSSYFAEETYHVSCLGEVYDLIGLVYCAHPI >scaffold_200405.1 pep chromosome:v.1.0:2:2260446:2261938:1 gene:scaffold_200405.1 transcript:scaffold_200405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTLTHTGAKKACVIGGTGNLASILIKHLLQSGYKVNTTVRDPENEKKIAHLRQLQELGDLKIFKADLTDEESFDSSFSGCEYIFHVATPINFKSEDPEEDMIKPAIQGVINVFKSCLKSKSVKRVIYTSSAAAVSINNDSGTGLVMNEENWTDIEFLREKKPFNWGYPISKMLAEKTAWEFAEENKIDLVTVIPALIAGNSLLSDPPSSLSLSMSLITGKEMHVTGLKEMQKLSGSISFVHVDDLARAHLFLAEKETASGRYICCAYNTSVPEIADLLIQRYPKYNVLSEFQEGLSIPKLILSSEKLINEGFRFEYGINEMYDQMIEYFESKGLIKAKES >scaffold_200408.1 pep chromosome:v.1.0:2:2269524:2273482:1 gene:scaffold_200408.1 transcript:scaffold_200408.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7KVG9] MLEKIGLPAKPSLRGNSWVVDASHCQGCSSQFTFINRKHHCRRCGGLFCGTCTQQRMSLRGQGDSAVRICEPCKKLEEAARFELRHGYKNRAAKAGSSKRTVKNEDDVLSEILGSDVDVSSSSESVSSTDRIASKEMASSSSNKDMELDVVSASPEELRKQAVEEKNKYRVLKGEGKSDEALKAFKRGRELEREADALEISLRRNRKRDLSMRNVAETKNKAATKESSKSQKPPRQGGKGNDDLAAELKDLGWSDDEDKKPATVSLEGEFSSLLREIPRKANPQKSGGIDKSQVLALKKNALALKREGKLAEAKEELKKAKILERELEEQELLGGADESDDELSALINSMDDDKEDDLLAQYEGSHDFDISNLVGNVDDIGVHGEFDVTDEDMEDPAITAALKSLGWSEDPGHRENVHSRPSSKNKDESLAEIQTLKREALNLKRAGNVVEAMATLKKAKLLEKELEAADTSSQTVDTTRAERDTSLKLPPRSRLAIQKELLAVKKKALTLRREGKFNEAEEELKKGAVLQNQLDELDNSSKLAATGKATREKGHDLPDISSLDDDGDVDVKDEELNDPNYLSMLKSLGWNDEDNNSAGTSSEKSDPVNSRPGKSTETQGAYEVRVTKPRRSKAEIQRELLGLKRKALTLRRQGNVDEAEEVLNQTKMLEAQMVEIDSGKNLYADSDQLKKRSNDLATDSGINGGDDSVTENDMKDPALLSTLKNLGWEDEEPKKEAAAFGSVQSTGPGIAAKSKAQIQRELLDLKRKALAFKRQGKTGDADELYSKASVLEAQLAELETPKMEMKGLASEINPENYMDVDLLVGSQMEDKAVKSASVSHAAQDSYDLLGDFISPDKSGSSSFFSQTGQQKPSMMDLLTGEHSEMSQIHAVKGKPETKSDFSSGNNHGTEQRVAREESEPSNIQSDSVQNTSPQNTLKQEIVAHKKKALALKREGNISEAKKALQQAKLLERRLQEGENPSPEKLGRDDMVSTTQDPPAREKENSPSSSAPKAMSGRDRFKLQQESLSHKRQAMKLRREGKMQEAEAEFEIAKTLEAQLEDSTSSKPEPVDDVAVEDFLDPQLLSALKAIGLDNPVNPPPVSKTDTTQAAAKPNPVKESNNISQERSQLEERIKAEKVKAVTLKRAGKQAEALDALRRAKLYEKKLNALASN >scaffold_200409.1 pep chromosome:v.1.0:2:2277899:2278088:-1 gene:scaffold_200409.1 transcript:scaffold_200409.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVH0] MATSLTCARTHSKSTNFSPSVSINLEDDTIAFFVANLPSFMSNCAMKES >scaffold_200412.1 pep chromosome:v.1.0:2:2304275:2304868:1 gene:scaffold_200412.1 transcript:scaffold_200412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITTKNLITFVFGVIFIISYVHCHTTTASAPGGGGPATTTGYEIAKENASYCFKLNACSLGVAIGCVVYCNEANYAYAQCNGARCCCYHKNENASKEK >scaffold_200413.1 pep chromosome:v.1.0:2:2308543:2309139:1 gene:scaffold_200413.1 transcript:scaffold_200413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTITKKTLIAFVFTVVFIISYVHCTTITASAPGSGGPADAIGHGIAKHRFCFRLMACNSAGQLGCLVFCQEAEYSYGTCNDRGICCCRDV >scaffold_200414.1 pep chromosome:v.1.0:2:2310596:2311234:1 gene:scaffold_200414.1 transcript:scaffold_200414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPKTLITFVFSVIFIISYVHCHSTIASAPGGGPTYAIGRRIPEPPETCFRTTACFDEGAIGCIVYCREAHFDYGVCIPQRCCCYRKVNNVSEVK >scaffold_200415.1 pep chromosome:v.1.0:2:2313240:2315533:1 gene:scaffold_200415.1 transcript:scaffold_200415.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Linalool synthase [Source:UniProtKB/TrEMBL;Acc:D7KVH8] MALIAPTITSLPCSVSKHSNNPPTFLISKFHNAVASSSSAKTANRMLSSCVYSPVSSILKKFDHKTSIEYSHKLNIKKVKNILVSNRDDPFENLEMINAIQGLGIDLYFRHEIDQTLHMIYKEPTHFYGRDLREVALCFRLLRQEGYYVQESIFENILDKKGGLEDDPKNDVKVLIELYEASELRVEGEETLDGVRDFTFNCLNELCSGRKSHQEREIMSSLAQPRHKTLRRLTSIRFMSMIKIAGQEDKEWLQSLLLVAEIDSIRLKSLIQEEMSQIFKWWRELNLEKELEKARNQPLKWYTWSMEILQDPTLTEQRLDLTKPISLVYVIDDIFDVYGEWDHKGLETLPRYMKVCFEALDMITMEISMKICKSHGWNPTDYLRKSLNWFKPYLQYNIFVSQWASLCKAFLIEAKWFHSGYLPNTEEYMKNGVVSSGVHLVMLHAYILLGEELTKEKVELIESNPGIVSSAATILRLWDDLGSAKDENQDGTDGSYVECYLNEYKGSTVDEARTHVVQKISKEWKRLNRECLNPSPFSRSFSKACLNIARTVPLMYSYDDDQRLPGLDEYLKSLM >scaffold_200416.1 pep chromosome:v.1.0:2:2315703:2317908:-1 gene:scaffold_200416.1 transcript:scaffold_200416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSLGFWAIGVLLVGSLIGATNGSIHSYNNEKFTVKFNARFFHGGSEGLYASKFQDLNSSSSDNPFKGKSFIRFDDVTFVRTKESASKQNAMQSTAGLVEAIILEVKDRDRIGGTFLKSEVICCTPELADTGSCSLGEVIVNRESNDLEWPRQIKTFFKGNKTEVTMSPETVVINKTGIYYLYFMICDPELDGTRIRGRTVWKNPDGYLPGKVAPLMKVFGFMSLAYVLLGLVWFVRFVQFWKDIIQLHYHITLVIALGMCEMAVRYFEYVNFNSTGMRPMDVTLWAVTFSSIKKTLSRLLLLVVSMGYGVVKPTLGGITSRVLLLGVIYFVATEALELVEHLGNINDFSGKTMIVLVIPVALLDACFILWIFSSLARTLEKLQIKRNMAKLELYRNFTNALAISVLLSIAWIGFELYFNGTDPLIELWRMAWIIPAFWNILSYGLLVVICILWAPSNNPTRYSYLAETGDEFEEVGISLTSGIKSTEDVERNELLFGLPDDVEEGKRE >scaffold_200423.1 pep chromosome:v.1.0:2:2378281:2378666:-1 gene:scaffold_200423.1 transcript:scaffold_200423.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVI5] MKPESKRHSEKRRIKINDDGGSSFDLSSLKEAINNASDKALLVKTNVPTKKKSAFDLTSMSQALSSVDRFNNRKLYIKTVLAMNRHVKAVAEREAMKQRLLTLLTICDKYSCKN >scaffold_200427.1 pep chromosome:v.1.0:2:2401041:2401396:-1 gene:scaffold_200427.1 transcript:scaffold_200427.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVI9] MTPSQEERRHHDEAKGSTLDQRKSPGRRRSRADLQTKACSAEEKRDTWTGDESLYHCPAPSTGSGGRGQEKPVTGKPAVAHGEPSTHTTKTKEKTTLQNLQEHM >scaffold_200430.1 pep chromosome:v.1.0:2:2410365:2410947:1 gene:scaffold_200430.1 transcript:scaffold_200430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSSPPVGGGASPSPEVLMEQVKTQLAQAYAEEFIETVRVKCFDKCITKPGSSLGGSESSCISRCFDRYIEATGIISRSLFTQR >scaffold_200431.1 pep chromosome:v.1.0:2:2412678:2412963:1 gene:scaffold_200431.1 transcript:scaffold_200431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSKSVKIILSLVLVVFLALAATKTEAKVIDYRGLSRGDHSRNCDRANPASCKKQEANPYRRGCETTLHCRGGTPDNPKR >scaffold_200432.1 pep chromosome:v.1.0:2:2414476:2414764:1 gene:scaffold_200432.1 transcript:scaffold_200432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSKSIKVILSLALVVFLALASIKVEATRYITYPSINHGDHATHCDKAHPNTCKKKEANPYKRGCEILERCRGGSTPRA >scaffold_200433.1 pep chromosome:v.1.0:2:2415555:2415760:1 gene:scaffold_200433.1 transcript:scaffold_200433.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVJ5] MAPRATKAKDIEQTNVKHSAPRPSIYNASSICGLGMMDRGSDNQASQTIDILSI >scaffold_200434.1 pep chromosome:v.1.0:2:2416094:2416378:1 gene:scaffold_200434.1 transcript:scaffold_200434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSKSIKVILSLALVVFLALATTKVEATRYISYPSINTGDHAPHCDKAHPHTCWKKEANPYRRGCGVLEGCHRETGRK >scaffold_200439.1 pep chromosome:v.1.0:2:2444346:2446354:1 gene:scaffold_200439.1 transcript:scaffold_200439.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVK1] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTDLWKHVIVSSARKQMSYHCCCGSLVLSFLFMALLRLYEGTRNKETICMILYAKRSSEFEIVSTPVICGHGVKESGAMVISDSDITVIVKLIKIRSNVPD >scaffold_200442.1 pep chromosome:v.1.0:2:2456552:2458393:1 gene:scaffold_200442.1 transcript:scaffold_200442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAHVLSIGYAGITTDTPLSVGQTLSSSNGVYELGFFIPNNSQNQYVGIWFKGIIPLVVVWVANREKPVRDSTANLAISSNGSLLLFNGKHGVVWSTGETLASNGSRAELSDTGNLIVIDKVLGRTLWESFAHLTDTVLPFSPLMYNLATSVKRVLTSWKSYLMVQITTQMPSQLCTMRGSTRYWRSGPWAKSRCAGIPLMDDTYASPFSLQQDANGPGAFTYLERKISRILIT >scaffold_200445.1 pep chromosome:v.1.0:2:2471674:2474742:1 gene:scaffold_200445.1 transcript:scaffold_200445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRIMFFASLLFFTIFLSFSYAGITAETPLSIGQTLSSSNGVYELGFFSPNNSQNQYVGIWFKGIIPRVVVWVANREKPVTSSTANLTISSSGSLLLFNEKHTVVWSIGETFASNGSRAELTDNGNLVVIDNALGRTLWESFEHFGDTMLPFSTMMYNLATGEKRVLTSWKSHTDPSPGDFTFQITPQVPSQACTMRGSTTYWRSGPWAKTRFTGIPVMDDTYTSPFSLQQDANGSGSFTYFERNFKLSHIMITSEGSLKIFQHNGRDWELNFEAPENSCDIYGLCGPFGVCVNKSVPSKCKCFKGFVPKSIEEWKRGNWTDGCVRRTELHCQGNSTGKNVNDFYHIANIKPPDFYEFASFVDAEGCYQICLHNCSCLAFSYINGIGCLMWNQDLMDAVQFSAGGEILYIRLASSELAGNKRNKIIVASIVSLSLFVILAFAAFCFWRYRVKHNVSAKTSKIASKEAWKNDLEPQDVSGLKFFEMNTIQTATNHFSFSNKLGQGGFGSVYKGNLQDGKEIAVKRLSSSSGQGKEEFMNEIVLISKLQHKNLVRILGCCIEGEERLLIYEFMLNKSLDTFLFDSRKRLEIDWPKRFDIIQGIARGLHYLHRDSCLRVIHRDLKVSNILLDEKMNPKISDFGLARMYQGTEYQDNTRRIAGTLGYMAPEYAWTGMFSEKSDIYSFGVLLLEIISGEKISRFSYGEEGKNLIAYAWESWSGTGGVDLLDQDVADSCRPLEVERCVQIGLLCVQHRPADRPNTLELLSMLTTTSELPSPKQPTFVLHTIDDESPSKSLNTVNEMTESVILGR >scaffold_200450.1 pep chromosome:v.1.0:2:2497896:2498154:-1 gene:scaffold_200450.1 transcript:scaffold_200450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDQAEAGETSKKKKKGPYMSWSDQECYELMAILVDAIKRGWREKKGTISKTTVEKKILPLLNKKLNKIK >scaffold_200457.1 pep chromosome:v.1.0:2:2530370:2532132:-1 gene:scaffold_200457.1 transcript:scaffold_200457.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KW19] MGEETCEESKASIEKAIEAISSLISLSHSIKSFNIKWQLIRTKLEELYSGLSALENLNSGFDPSLSSLISAILISLKDTYDLATRCVNVSFSGKLLMQSDLDVMAGKFDRHTRNLSRIYSAGILSHGFAIVVLKPNGNACKDDMRFYIRDLLTRMKIGDLEMKKQALVKLNEAMEEDDRYVKIVIEISDMVNILVGFLDSEMGVQEESAKAVFFISGFGSYRGVLIRSGVIGPLVRVLENGNGVGREASARCLMKLTENSENAWSVSAHGGVSALLKICSCSDFGGELIGTSCGVLRNLVGVEEIKRYMIEEDDTVANFIKLIGSKEEIVQVNSIDILLSMCCKDEQTREILVREGGIQELVSVLSDPNSLSSSKSKEIALRAIDNLCFGSAGCLNALMSCKFLDHLLNLLRNGEISVQESALKVTSRLCSLQEEIKRIMGDAGFMPELVKFLDAKSLDVREMASVALYCLISVPRNRKKFAQDDFNISYILQLLDHEDGSNVSSDSGNTKFLISILMSLTSCNSARRKIATSGYLKSIEKLAETEGSDAKKLVKKLSRNRFRSILSGIWHS >scaffold_200460.1 pep chromosome:v.1.0:2:2545464:2547459:-1 gene:scaffold_200460.1 transcript:scaffold_200460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSNKQIKLLHNLPEELVLRASSFLPIQSLLQNRVVSKLFRHTEIRSLDLDFSEIFSVRHSQLEAINIIQNVFNKHEGSEINRFVLCLKDIGGEEAVTSWIKICIAKKIQELVLDFSKTKDIMETAIDFSAIETLKALHLRWCKFEIPDNSPKGLKLLKTLLLMRTEVTKEMIDAVFRNCINLESLELIQCSMHGLLSILANNHKKFKSLAVTYMPNLMDIVSYAPTLECFKFDGYVMNVIFSRTDSLKETNLQYNRSRRNYDSSNMVVANMKHYTKVYVLATTNIFLEAFTKRYVGGGRMEKRSTFKFENLREFKIFFKVPTVCTLFDIAEFLKECPQLEHVVIDIQNFTFAPRLEFWEIHHKEEIKKNNYLLKSLTEVKIIGYKGHWHELDILEFFVKNAPSLVKLELVMPKNAKTKAHAPDYARINFIKSIFPGIKVKEV >scaffold_200464.1 pep chromosome:v.1.0:2:2564395:2565683:1 gene:scaffold_200464.1 transcript:scaffold_200464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWMMTVKAVLISSGVATMALLLKLSVPVAVDFSVSRVPTFWSSLLSWLKPPYLYVVTNGIIITIVASSKYYRRHHDRDEEDEIVVYGGGGYKIHTEDSIVNQHQASPRILEVKDLDTGAHFGFVVANPEAEELESEAVTAVVYDDEEEKITDTVATAEEDEIEEELKSVIMVENSDLVESDVISSPISDRNNLPPMMIESENLPPIEKPLVTSRFGHRKLMKASQEGGRALRVTKPKKNETLENTWKMITEGKSTPLNRQLYRRSDTFGRGDSGGVDGEVKPVYKKSDTFRDRTNYYQLAETAKVRKEPSLSQDELNRRVEAFIKKFNEEMKLQRMESLRQYKEITSRGV >scaffold_200471.1 pep chromosome:v.1.0:2:2594001:2596252:-1 gene:scaffold_200471.1 transcript:scaffold_200471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVMITTTMESDWGTWEELLLGGAVLRHGTGDWTVVADELRSHSLPEIFTPEICKAKYKDLRKRYLGCKAWFEELKKKRVAELKAALLKSDDSIGSLESKLQSLKSESNDECHQNNYDSSRTLSLEPSPKSEGGGECTSKDTSKDLSSVGSFTQQEQTTTNWSPEAKSEAPVVIEQEKTKNLLHSDIFESVYGGGGQVLLSMRKKRGKRKRKDCSVSVGKEVMEVSAVEESDLLDTSADIASISRSKEAASTSSSQSRGHGLAIPKELMKIYNTIVQNECALVFRRRLDSQKRGRYKKLVQRHMDLDTIQSRINGCSISSAKELFRDFLLVANNAAIFYSKNTREYKSAVSLRDIVTKSLRHYLTEDHHPHRSSITASTKVVVLPQKSTSPSVRTSLAAKKPRTGAHPLKTVVHDMAKTSSRGNKRSVTDLPVAAVKSSAAGKKGTAVERRKDGRQANRGLESPALMGRKRNRVR >scaffold_200473.1 pep chromosome:v.1.0:2:2610768:2611092:-1 gene:scaffold_200473.1 transcript:scaffold_200473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLTWLLFAPNLVSLQFQYSDEVEEIINKEKATNLTAISPFQKLESLYLVYLPKLESIYWSPLPFPLLKHITAYRCPKLRKLPINATSDFAKS >scaffold_200475.1 pep chromosome:v.1.0:2:2629832:2630520:-1 gene:scaffold_200475.1 transcript:scaffold_200475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWIASYFGKQKETFIVQARVGLHEIPKVKDWGTVRRMSLMNNDIEEITCGSKCSELTTLFLQENQLKNHSGEFIQSMQKLAVLDLSEQLPVGFQELKKLAHLNLASTERLCSIGGISKLSSLKILKLRNSKVHIDGSLVKELQLLEHLQVLTITITDLAWELLLGDERLANCINMSANSRIPTKAI >scaffold_200479.1 pep chromosome:v.1.0:2:2645061:2645639:1 gene:scaffold_200479.1 transcript:scaffold_200479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSSLSFRLSILRSRLLLLSFRRPAKLQDNILSIECLVSGCESSGRLEPDKCRQILAREVFDQRGDALSEAVLIRSKRFYCPYKDCSALLFIDESEVKMKDSECPHCHIMVCVECGTKWHPEITCEEFQKLAENERGRDDILLATMAKKKKWKRCPS >scaffold_200480.1 pep chromosome:v.1.0:2:2648026:2648293:-1 gene:scaffold_200480.1 transcript:scaffold_200480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNRLSSFLDSFTSRESDSSKPFLKSFSSVPSSEIKQDAATSLNQDTRIPAIDITGFLGSGKICDRIRVYICTV >scaffold_200484.1 pep chromosome:v.1.0:2:2666775:2672110:-1 gene:scaffold_200484.1 transcript:scaffold_200484.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase/cyclase family protein [Source:UniProtKB/TrEMBL;Acc:D7KW45] MKSSYASSSDDLHALVNEIKREIQLSNINLDPYSFVSPSAYDTAWLSMIEEENNVGDDELKPMFQDCLDWIMCNQNAREGFWGNSGSYTTVADAGDEGGEEDMYILSSTLACVVALQKWNTGCFHLHKGTRYIERNTEMIIGKYINEERSYPRWFVIKFTGILELAQQLGLHFVFSSRCIDMIKGMFYQRQEIIKREKLVDDCNYKPLLAYLEVLPWKLYVKNKEDIIVKSLDSIDGSLFQSPSATASAFMLTRNTKCLAYLQNLVQKCPNGVPQKYPLNEDLIKLSMVNLIESTGLGEFFGIEIEHVLEQVYRHYEEKDVERMSMSYLADQLHKDSLAFRMLRMHGRDVSPRSFCWFLNDQEMRYQLERNIDSFLLVILNVYRATDLMFPGENDLEEAREYTRNLLKNSRSINEKMIVHELSTPWIARLKHLDHRMWIEDKNSNALSVGKASFLRLNSAYSNKLTHLAAKTFEFRQAKYCRELEELTMWVKKWGLSDIGFGREKTKYSYFATVTSLPYEYAIKFGKLAAKSAILITIADDFFDEVGSFNDLEALTKAVLRWEGEELKGYGKIIFRALDDIVRETANTCRTHHKTDIIVHLRNIWGETFESWLREAEWSKKGHTVSMEEYIRNGMISIAAHTIALSISCLMEPCFPQNKLKQGNYDNITTLLMIISRLLNDLQSFQKEQEQGKINSVLLHLKNHPGLKIEDSIAHIEKIIDSKRKEFMEHVLVDGQSDLPKPCKEIHMSCCKVFEMFFNKKNRYDSDTEMLQDIKKALYDPVNVYELSEIEPMPLMTHGDDFVILPLLLNSLAPNIIEFKRKDEYGAMKTSMCLGRTYSVHKRVMASQLDDHQKHLKIVASQRKPVPMMPSIFAPCFY >scaffold_200490.1 pep chromosome:v.1.0:2:2719511:2719955:1 gene:scaffold_200490.1 transcript:scaffold_200490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAGILEAIQKILKAMEAANGIADDKYEKGFFDEYMFCFRNCYTEDETVNHMSNKFPSSVPADVRKFFKLLLSATGKEPKKAYLKDAEDCSFHRRKLMRDTSEEAKNSQGEASTSHICKPNCKKRYPKFKKH >scaffold_200492.1 pep chromosome:v.1.0:2:2737352:2737661:1 gene:scaffold_200492.1 transcript:scaffold_200492.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KW51] MASSPRASEAESDVDNKQEEEKYPLHIINLIQFIKLIELLQKGETANGEVIDDDEVRMNKRLEVMLAEAKHAYTTSRNESGDQIGSNKT >scaffold_200497.1 pep chromosome:v.1.0:2:2751975:2753361:1 gene:scaffold_200497.1 transcript:scaffold_200497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLLLLLVFVFDLIAFGLAVAAEQRRTTWQISRESKELSYCVYDKDIATGLGVGSFLVLLASQLLIMVASRCLCCGRALTPSGSRSWAIFLFITTWVFFFIAQVCLLAGSVRNAYHTKYHVYFGNTSPSCRSLRKGVFGAGAAFIVLTGIVSELYYVTLSRAKDFQPPRDPGIRMSSI >scaffold_200499.1 pep chromosome:v.1.0:2:2756932:2758287:-1 gene:scaffold_200499.1 transcript:scaffold_200499.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha 1,4-glycosyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KW57] MEQSRRTEQVLLVQRLKRLIVSFVFCLPMSLLGLLLMLLLIYNSFSVFSLHLVPTSPIQSTLSPTHLQILHHQTSTSSSQSDSSLLLVVKETSLGFIQKQNVSSTRTERKTRRFKRRTELTSEITQRLQVKSRQRFKTKFKSFLSKSSCESLFFMTWISSIESFGDRERFTIESLFKFHPNSCLILVSNSFDCDRGTLILKPFTDKGLKVLPIKPDFAYIFKDTSAEKWFERLKKGTFSPGVIPLEQNLSNLLRLVLLYKYGGIYLDTDVIILKSLSNLHNVIGAQTVDPVTRKWSRLNNAVLIFDKNHPLLKRFIDEFSRTFNGNKWGHNGPYLVSRVIARINISSSSDLGFSVLPPSAFYPVDWTRIKGFYRAPTSETEANWLRKRLTHLRKNTFAVHLWNRESKKLRIEEGSIIHQLMSYSCIFCNSSSLHLS >scaffold_200501.1 pep chromosome:v.1.0:2:2770130:2774271:-1 gene:scaffold_200501.1 transcript:scaffold_200501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERTYGRRKPGMLNDDVSQAEYLFSSSSSPELDPLDFSTQESSCLWNYSSRSNFSDDDFSQKRAKRPRNGGGGFGLNSTLMETQEFGELMENEDEVNFALDGLKKGHQVRIRRAALSSLLSICESQYQRRSLRALGISKSIIDAILGLSLDDIPSNLAAATLFFVLTTDGQDDHFMESPNSIKFLIKLLRPVVSASTKGKPRPNIGSRLLSVVKDVDAARDAASMHDSSSCDILDRAQEILVNCKELRLVDGYKIERMRPELSTKFVALLVMEKACLSKISFDDTSGTVKKSGGMFKEKLRELGGLDAVFDVVMDCHSVMESWVAHDTISAEDIKDDLNKQNLILLLKCLKIMENATFLSTENQIHLLRFNKNMGSHGSRLSFPELMISVIKILSGLQLRAHRNKNHPHPQPHLSSAVNKDFVTIISSDTCSTTSCSSIKSCVSKRNQSAFLLGCSMTPKPGSQSSVLSTVYPCTPTTIAGSNTGSFAGRLASLGSGISRSNARTSQTRESSCKKVENFSSFEDSQDPFSFDLEDSGLSKWAVVLGKQKKSKGQKRKGSYRDKKDERSLQLFSSQEESNHGLNSQEESSDRDRHVTEQPSSTYDIDKGCLCLLSDCLLTAVKVLMNLTNDNSVGCREFAACRGLESMAELIVGHFPSFTRSPLFSLMESGTCHQKDKHLTDQELDFLVAILGLLVNLVEKNGINRSRLAAASVPITNPEELQDSEQDMIPLLCSIFLTNQGSADAKDETSTFTLDDEEAVLESEKEAEKMIVEAYSALLLAFLSTESRSIRNAIRDYLPKRNMAILVPVLDRFLAFHTTLDMIPPETHKAVMEVIESCKLP >scaffold_200506.1 pep chromosome:v.1.0:2:2801312:2801821:-1 gene:scaffold_200506.1 transcript:scaffold_200506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAAIFLVNCVLFSLLSSHLSQGEESSINIDAQRRPWCPSKKQVFSGSCGNDGAQQCLNNLLSTWDPSVRLSPISCNCTPQPNNNILCSCPNMICP >scaffold_200507.1 pep chromosome:v.1.0:2:2812483:2812794:-1 gene:scaffold_200507.1 transcript:scaffold_200507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAAIFLVSCVLFSLVPSLTIAEKRPWCPTRKQIFDGSCNRTDYTQCFNDLRNTWDDIGDLGPTDCTCTPQPQNKRLCYCRYLPCPST >scaffold_200508.1 pep chromosome:v.1.0:2:2818035:2818347:-1 gene:scaffold_200508.1 transcript:scaffold_200508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAAIFLVSCVLFSLVPSLTIAEKRPWCPTRKQIFDGSCNRTDYTQCFNDLRNTWDDIGDLGPTDCTCTPQPQNKRLCYCRYLPCPST >scaffold_200509.1 pep chromosome:v.1.0:2:2819438:2821313:1 gene:scaffold_200509.1 transcript:scaffold_200509.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complex small chain family protein [Source:UniProtKB/TrEMBL;Acc:D7KW67] MELPPKVKNILLLDSEGKRVAVKYYSDDWPTNSEKEAFEKSVFTKTQKTNAWTEVEVTALENNIVVYKFVQDLHFFVTSGEEENELILTSVLEGLFDAVTLLLRGNVDKREALDNLDLIFLCFDEIIDGSIVLETDANVIAGKAGINSADPNAPLSEQTISQALATAREHLTRSLMK >scaffold_200511.1 pep chromosome:v.1.0:2:2824334:2824844:-1 gene:scaffold_200511.1 transcript:scaffold_200511.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:D7KW69] MASTALSSAIVGTSFIRRSPAPISLRSLPSANTQSLFGLKSGTARGGRVTAMATYKVKFITPEGEQEVECDDDVYVLDAAEEAGIDLPYSCRAGSCSSCAGKVVSGSVDQSDQSFLDDEQIGQGFVLTCAAYPTSDVTIETHKEDDLV >scaffold_200513.1 pep chromosome:v.1.0:2:2834224:2834440:-1 gene:scaffold_200513.1 transcript:scaffold_200513.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KW71] MTGKREDRDYIFKSMKLFCFCGGMVKIRRRNFSGKISRRERRKSASPVTAFCDFRMAG >scaffold_200516.1 pep chromosome:v.1.0:2:2845437:2845723:1 gene:scaffold_200516.1 transcript:scaffold_200516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHKMSLTVLSFVSMMIILSLFSGFGEGRKYLKYGVITKDRIPNCRQNPENCVRVPANQYHLPPGCKNSTHCYREKYHI >scaffold_200520.1 pep chromosome:v.1.0:2:2871047:2873136:1 gene:scaffold_200520.1 transcript:scaffold_200520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSKGLAEQDLSKLDVNELHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYQCEDNKCPRPMCYKSYGSGKEDNPNCHVPGFENCKMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANEVCPQPQTAEHLASVDMMHLKHIIILQNKIDLIQEKAAIEQHTAIQRFITNTNAEGAPIVPVSAQLKYNIDAVCEYIVKKIPIPKRDFVSPPKMIIIRSFDVNKPGYEVADMKGGVAGGSIFRGVLKVNQLIEIRPGIVSKDELGNPRCSPIYSRITSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEMGSLPDVYVELEVSFQLLTRLIGVRTKEKEKQMKVAKLSKGEILMVNIGSMSAGAKVLGVKKDMMKVQLTVPVCTNIGEKVALSRRVDRHWRLIGRGQIEAGTTVPVPPPPSF >scaffold_200521.1 pep chromosome:v.1.0:2:2874719:2875343:-1 gene:scaffold_200521.1 transcript:scaffold_200521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALIHHFTLLSDQALVDKTFDPSRIEDLMRLFEVDSYKAWAALESEQQQELEEAEETLREAELELDLDMEWGMEEYRRTLEEMERMEAAELKELEDKAETARRTGNLMEKAATIAAKRHIAAAMGSAAASMRSAWKTAAGNKVHPS >scaffold_200527.1 pep chromosome:v.1.0:2:2901090:2903553:1 gene:scaffold_200527.1 transcript:scaffold_200527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSSEEDLLHEETVLRNPKTLKPWWRYLISKSKAPFKDRFVIYERAIKALPGSYKLWYAYLRERLDFVSDLCVTDPPYEILNNTFERALVTMHNMPRIWLFYLQTLISQRLITRTRKTFDRALSALPVRQHGRIWESYLEFVTQEGVPVETSIRVYRRYLSYDPSHIENFIEFLLKSGRWQESAEYLASVLNDQFQFQSSKGKSIYSLWMDLLEVVVNHANEVSGLDVEAIIRGGIAKFTDEVGMLWTYLADYYIRKNMFEKARDIYEEGMVKVVTVRDFSVIFDAYSRFEESCVAKRLEEVEEGGEEYESEVEDEEDVRVNTSLSLEEMQSKILGGYWLNDGNDVDLRLARWEELLNRRPALANSVLLRQNPHNVEQWHRRVKLFEGDAEKQILTYTEAVRTVDPIKAAGKSPHTLWVAFAKLYETHNDLVNARVVLDKAVQVNYKTVDHLACMWCEWAEMELRHKNFKGALELMRRATAAPSVEVRNRVADVGNEPEPVQLKLYKSPRLWSLYVDLEESVGTLESTRSAYERILELRIATPHIILNYAQLLEENNYFEEAFKVYERGVKMFKYPHVKDIWLTYLTKFVKRYGKTKVERARELFENAVSMVSSSDAALLYLEYAKFEEDYGLAKRAIDVYKQATRRVADEKKLEMYEIYIARAAERFGAKKTREIFQEAIESSGLAENDVKMMCIKFAELEKSMGEVDRARAVYKYASQFADPQVWQKWHDLEIEHGNEDTYREMLRIKRTVSCCVLSPPAPVNKSQSLSDTLISQFITPVKKARSLSDTLSLITPPLKKARIM >scaffold_200529.1 pep chromosome:v.1.0:2:2910499:2910923:1 gene:scaffold_200529.1 transcript:scaffold_200529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVTFFVVSCVLMFFVMHYAKVEAAERAPVLVEFIPGYPCDVDIFRSAGQCRIEIRDDYYPHCDCRDAVGGHQCTCVH >scaffold_200533.1 pep chromosome:v.1.0:2:2927856:2929248:1 gene:scaffold_200533.1 transcript:scaffold_200533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQMQKSTSDIRNYELQTIFTFEINNFSERKKPMASPTFLSCGWEWYVLVDPKENEDDLRLYLCVHNSKSLITGWRTRASYRFLLLNQSGKVLYRAAAWGNRTLPLSKLKEEGHLENNKLIIKVEVKAGEERYVTGKEMFEIEGFEVPSSQVFSVSQLFMKHPDIANDFKLNGKGLKTAYMNIVLSLIETLCRPPLSFSESELSNIDSELSELIEAGFKLDWLKTKLEEVSLERKKAIADNLGVQELEERVKNMELSLSDLRVELESEKAQSAAAASKMLSFSDII >scaffold_200534.1 pep chromosome:v.1.0:2:2932316:2932679:-1 gene:scaffold_200534.1 transcript:scaffold_200534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGKTHHQFDGKFGETVEGKKWVITGISLRSPKKPISFPSSAVADTEDEDLCPTTPTAASVRIPTVFPCPPAPKKRKPSLKFSYDGAREFFSPPDLETVFIYRTT >scaffold_200538.1 pep chromosome:v.1.0:2:2965702:2966233:-1 gene:scaffold_200538.1 transcript:scaffold_200538.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7KWQ3] MASRNTIQMYLTTVVAIVILLAASQATEARFTSLCAQTAYPTLCRPLVKGPNPRRATHNTIRALEAKTKLAITASARFKNGNPTVAICYATLVDASFNLENARKSIRKRNVLSLKMYLTAAVSDYGVCVNGFIDSHQVNTLQNAVDELRKMGTNCLLLATLIR >scaffold_200543.1 pep chromosome:v.1.0:2:2987700:2990334:1 gene:scaffold_200543.1 transcript:scaffold_200543.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KWQ8] MEGLRESEHLFVNKDLVDDYVEISGKDDTSESSNVKRKVESLFCVMRKRTCRHNSVDDDDMFPDLDNNAEKGDGDEDIRNCLRPESDFWFENLTDSPYDPLDEGTIVDDSGSDTNISESSEFDVISEHVLEENDLVTGSKSLAIWSSEDKGALVSKWSLETRNSILLLSPDEHGVRSKEDEVLSSVSASTKKLQHIIDPQIISGHSNDISLFHIERKKDRIFCITGHCEDILRCSVNKEDSEIPCNFDIFKNDGDKSSANEVRCGDVINMQGSMEIMCINDELTLWNKDNEPIPISIVPPDGWESVLLETCSLHQIDRCINEYNVRDDNIGLEISPIPLTKTLERPSRPLSVCGSIAAVRNLPLMEDSSGACSSLDEQVYANANSSEDRDAELAQTPSTLYQEEVDGEDEIDIDAMIRKLNLVPDDSDSCFNREEWNIYKHPRHALIGLEHCTRTSLQRANMFHGAIAILHCRDSKHFVRKREVIIGRSSDGLNVDIDLSKYNYGSKISRRQALVKLENNESFSLKNLGKRHILVNGEKLDTGQIATLTSCSSIDIRGVTFVFKINKEAVRQFLKNNTRRKTEEDTKFRWCE >scaffold_200544.1 pep chromosome:v.1.0:2:2991005:2992810:1 gene:scaffold_200544.1 transcript:scaffold_200544.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7KWQ9] MAEACRVRRMKLGSQGLEVSAQGLGCMGLTGHYGASKPETEAIALIHHAINSGVTFLDTSDMYGPETNEILLGKALKDGVREKVELATKFGISYAEGKREIKGDPAYVRAACEASLKRLDVSCIDLYYQHRIDTRVPIEITMGELKKLVEEGKIKYIGLSEASGSTIRRAHAVHPITAVQLEWSLWTRDVEEEIVPTCRELGIGIVSYSPLGRGFFASGPKLVENLVNNDFRKALPRFQQENLDHNKILYEKVCAISKKKGCSPGQLALAWVHHQGDDVCPIPGTTKIENLNQNIGALSVKLTPEEMSELETIAQPESVKGERYMATVPTFKNSDTPPLSSWNAV >scaffold_200545.1 pep chromosome:v.1.0:2:2993187:2994077:1 gene:scaffold_200545.1 transcript:scaffold_200545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCGMRRIKLGSQGLEVSAQGFGCAHYGPSKPETDDIALLHHANISGPHTNELLLAKALKDGMREKVVLATKFGCILVKGKRDVRGDPEYVRAACEASLKRLDVSCIDLYYQHRVDARVPIEITISELETISQPESVKGERYMATVPTFKNSDTPPLCSWNAV >scaffold_200548.1 pep chromosome:v.1.0:2:2996916:2999960:-1 gene:scaffold_200548.1 transcript:scaffold_200548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMDNYCVPSTSTTGLVFSANSSMNASSGFHLTVNSPTSVTGLKHEASLAVDWSVEEQYVLEKGLAKFKDVPQVTKYVKIAATLPEKSVRDVAMRCKWMTQKRRKGEEHSTGTTVSYRKVVDLPPKLNMFSTLPQQNATYAINHMCQSARMPFEGLSDAVMERLRQNAQAFSQISSNLSVCKLQDNVSLFYMARNNISAILNDMKEMPGIISRMPPLPVSINNELASSLMTSTTQPRSYTIPSSIYLKQEPRN >scaffold_200549.1 pep chromosome:v.1.0:2:3002853:3003261:1 gene:scaffold_200549.1 transcript:scaffold_200549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVIGLLLGFLVSALFLIQGKRSRSNDNQEKKRSSHEPVEVRPKSYSKSEVAVHNKRNDCWVIIKDRVYDVTSYVEEHPGGDAILDHAGDDSTDGFFGPQHATRVFDMIEDFYIGELLK >scaffold_200552.1 pep chromosome:v.1.0:2:3009116:3011157:1 gene:scaffold_200552.1 transcript:scaffold_200552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFFFISLVRSDDVEALLSLKSSIDPSNSIPWRGTDLCNWEGVKKCINGRVSKLVLENLNLTGSLNNKSLNQLDQLRVLSFKGNSLFGSIPNLSCLVNLKSLYLNDNNFSGEFPESLTSLHRLKTVVLSGNRFSGKIPTSLLRLSRLYMLYVEDNLFSGSIPPLNQATLRFFNVSNNHLSGHIPLTQALNRFNESSFTSNIALCGDQIQNSCNDTTGITSTPSAKPAIPVAKTRNRKKLIGIISGSICGGIVILLLTLLLICLLWRRKRIKSKREERRSKAVAESEGAKTAETEEGNSDHKNKRFSWEKESEEGSVGTLVFLGRDISVMKYTMDDLLKASAETLGRGMLGSTYKAVMESGFIITVKRLKDTGLPRIDEFKRHIEILGRLTHPNLVPLRAYFQAKEECLLVYDYFPNGSLFSLIHGSKVSGSGKPLHWTSCLKIAEDLAMGLVYIHQNPGLTHGNLKSSNVLLGPDFESCLTDYGLSDLHDPYSTEDTSAASLFYKAPECRDLRKASTQPADVYSFGVLLLELLTGRTSFKDLVHKNGSDISTWVRAVRDEETELSEEMSASEEKLQALLSIATACVAVKPENRPAMREVLKMVKDARAEAALFSFNSSDHSPGRWSDTIQSLPREDHMSI >scaffold_200553.1 pep chromosome:v.1.0:2:3020394:3020681:-1 gene:scaffold_200553.1 transcript:scaffold_200553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHKMSLTSLFFVSIMIILSLFSRFGEGSKYINYGDMRKDIIPACGSKNPKECVKVPANPYHRGCEISTHCHREQHPGY >scaffold_200557.1 pep chromosome:v.1.0:2:3044589:3047076:1 gene:scaffold_200557.1 transcript:scaffold_200557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRESLRLRSITMMILVTILVWSTTLETCIARRGRHWRHHHSSSSSLSDSLSSKKPKSHVNNHNNSHSHKSKPKPKPKMKTQPPKSSDGSPVVSQPPQVQQPPPPSPLPLKPVDGDSQEFNVLDFGAKGDGMSDDTEAFEAAWASACKVEASTMIIPPDYIFLVGPISFSGPYCQGNIVFQLDGMIVAPTDTESWGGGLMWWIEFTKLKGITIQGKGVIDGRGTVWWQQDYLSDYPIDDDFKLIVPLNNSVQERPPMPIRSELNWRMPSIKPTALRFYGSIDVTVTGITIQNSPQCHLKFDNCVQVLVHDVNVSSPGDSPNTDGIHLQNTKDVMIHTTTLACGDDCISIQTGCSNVYVHNVNCGPGHGISIGSLGKDSTKACVSNITVRDVVMHNTMTGVRIKTWQGGIGSVKGILFSNIQLTEVQLPIVIDQFYCDHSKCMNHTSAVSVEGVTYEKIRGTYTVKPVHFACSDSFPCIDVQLSGIELKPVQLQYHMYDPFCWKTFGELNSATVPPIDCLQIGKPARNGVHSDHDICL >scaffold_200561.1 pep chromosome:v.1.0:2:3062707:3062910:-1 gene:scaffold_200561.1 transcript:scaffold_200561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLITCFEMFEERGSWRSSRDQCNRSGGDDDKSQHRKDEDKSSWRHDKSLTMS >scaffold_200562.1 pep chromosome:v.1.0:2:3064862:3068615:-1 gene:scaffold_200562.1 transcript:scaffold_200562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSGGFAWATDDLTLERAFSILRRMDSYAAETNHWGDDWVAKRKRKPSKKVGTGKPSNEAKKLDLYGTKVEPPAYVEFYFLLLTELVLAHLADFFLSFASTNGQAAYIKTRASGMKCPTAFYEAVGPKFVFKRLCYFCFADFKVFLDDLKPALLSALDAEYEKKTFLRYICVDCLNFWISRFSCSTYVLFINSKITLNLLIFCSSVTAAPKRVVKTSVSNFLKGFESLVWEMRLESSDAVNKILYEVSNKNLVMLLSKTLTTIDVAVGRGPAVEKASKLGDTCQALKIFFTLYSNSAYLFYQILSI >scaffold_200567.1 pep chromosome:v.1.0:2:3115357:3117174:-1 gene:scaffold_200567.1 transcript:scaffold_200567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSKTSTSLGTVESKPQEEVVPTEAPIESSHNEDTVLEAVETNPQEDTVPIVAPISSYNDPILDISDRLRNLDLMKEGIQVPTIVVIGDQSSGKTSVLESLAGIRLPRGQRTTFPLVMRLQRSPCPESEIWLEYDDKRVDTDEEHLADAICTAAEAIAGSVKGVSDTPLTLHVKKDGVPDLTLVDLPGITRVSVNGQPRTIYEQISRMIMKYIKPEESIILNVLPATVDFTTCESVRMSKKVDKTGERTLAVVTKVDMAPEGVLEKVTADEVSIGLGYVCVRNRIGEETFEEARREEESLFGTHPFLSMIEKDIVGIPVLAQKLMQIQEVMISRAAAKPSEPPLRRSKRGRIPNRKYLHISRRYGNDVEVVLSPVITKYSDNFPHFQSNTQQSFISSVFHDENKLYCFSLSGFGNVKISHQRKNHTHLLQQAFDMKINGLS >scaffold_200568.1 pep chromosome:v.1.0:2:3117668:3118475:-1 gene:scaffold_200568.1 transcript:scaffold_200568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIKVLEECKSIGLPNFIPRSAFLAILSQRVDAIHTKPVEFIRDVLNYIEGVLLSVLTKYSENFPQIQSSLKRAGRNLITKIKEQSVSRVIEIIEMEKLTDYTCNPEYMTVYTEKIAAQGSFITAVLDGYSKYSLTGYGFGEVKILHLRKYDAQLLRQAFEMKMRITAYWTIVLRRIVDNLALYLQFSVKNLVNNQFQKEIIVEMVDPIAGGGVERMLEESPSVASKREKLQNSIKLLKESKEAVAAIVDQNSG >scaffold_200572.1 pep chromosome:v.1.0:2:3135686:3137315:1 gene:scaffold_200572.1 transcript:scaffold_200572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNYKRASLAIEANFGLYQGEAFNGKPTNTTRSHGRKFQVTVEKETSRILMLIKKCGMKIVNSAKPVEQMKLLINTKGNFGWAYMQLKDYTTAKDVYLKATRRNVGKHKVHGRSLTKVVARNG >scaffold_200574.1 pep chromosome:v.1.0:2:3158071:3159089:1 gene:scaffold_200574.1 transcript:scaffold_200574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPRRRCASLWLVGLDAAGKTTILYKLKLGEIDCHHHPHHCFTVWDVGGQDKRDRVVEPRDELHRTLNEDDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTYEGLDWLSNNIANKVSTF >scaffold_200577.1 pep chromosome:v.1.0:2:3170841:3172754:1 gene:scaffold_200577.1 transcript:scaffold_200577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEILEEKFIDWRGRDTIPGKHGGIRAASIAYVVELLEIMVSIAIGNNMVLYFMKSMHYSPAKAANMVTNFIGTSYLLTLFGGFVTDSFVTRSTTFIIFCSIEILGLLVLTFQAHYPNLQPEGNNTPSTLQSTVLFTGLYLFAFGTGGTRPSLLAHGGDQFDSRHQREISKFFNWYYFFVCFGWLMAITVMAWIKELFNISIVLLAIALCIFALGLPLYRLKRPSGSPLTRIANVFISAARYRNGSVLDVEMMQSLTFTDNNIHHHNKLKCLDKALLNKNISATQVEETRTFIGLLPIFFSTIVMNTCVAQLLTFTVQQGMTMSRKISSSMEIPVPSLNVISIIFILAFISLYELFGKSINRTSSFSLKRIGLGLTLSSISMAVAAIVEAKRKHEAVQNDVRISVFWLMFQYLMLSFSDILTLGGMQEFFYREAPASMKSMSIALGWCSIAMGFFLSSLLVAITNAVSGWLGHQWLGGEDLNKSRLDLFYVLVCVLNTLNLLNYIFSAKRY >scaffold_200580.1 pep chromosome:v.1.0:2:3196785:3197681:1 gene:scaffold_200580.1 transcript:scaffold_200580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 3 [Source:UniProtKB/TrEMBL;Acc:D7KWU7] MVYHSSFVDEEGITKACGCPLLPLKSHIKGPAPISEQDKTDIVDEAITFFRANVFFTNFDIKSPADKLLIYLTFYINVALKRLEGCRTLAVGTKAIINLGLEDIPVPGETGFPFPGLFSLPQSLDEADLFRNYLKQVREETSGRLLSVAYRANGTPNKWWLAFAKRKFINVVVL >scaffold_200581.1 pep chromosome:v.1.0:2:3198125:3200413:-1 gene:scaffold_200581.1 transcript:scaffold_200581.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DC1 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KWU8] MAETSKVVNGSDALDLHSLLSSPARDFLVRNDGEQVKIDSLIGKKIGLYFSAAWCGPCQRFTPQLVEVYNELSSKVGFEIVFVSGDEDEESFGDYFSKMPWLAVPFTDSETRDRLDELFKVRGIPNLVMVDDHGKLVNENGVGVIRSYGADAYPFTPEKMKEIKEDEDRARREQTLRSVLVTPSRDFVISPDGNKVPVSELEGKTIGLLFSVASYRKCTEFTPKLVEFYTKLKENKEDFEIVLISLEDDEESFNQEFKTKPWLSLPFNDKSASKLARHFMLATLPTLVILGPDGKTRHSNVAEAIDDYGVLAYPFTPEKFEELKEIEKAKVEAQTLESLLVSGDLNYVLGKDGAKVLISDLVGKNILIYFSAHWCPPCRAFTPKLVEVYKQIKERDEAFELIFISSDRDQESFDEYYSQMPWLALPFGDPRKTSLARTFKVGGIPMLAALGPTGKTVTKEARDLVVAHGAEAYPFTEERLKEIEAKYDDMAKEWPKKVKHVLHEEHELELTRVQVYTCDKCEEEGTIWSYQCDECNFDLHAKCALKEDTKADGDEAVKEGGSESTDGWVCDGDVCTKA >scaffold_200584.1 pep chromosome:v.1.0:2:3206615:3208030:-1 gene:scaffold_200584.1 transcript:scaffold_200584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTKTNGSGIDRLSDLPEHLSCRILSHLSTKDSVRTSVLSKHWRNLWLHVPALDLNTIDFPDNLVFKEFIDRFVEFDKELDLKRFEIFYDVNHDHSFDEFLWMIDDVVKRRVCRVTVINNVYVVDETLVTMPLSLYSCATLVNLTLSFVAMNKPQSELVSLPCVKTIYLDAVKFDGDDSILETLVSGCSVLDDLTVIAHPEDYAQVVCVRSRSLKSFTLESQSQYQDPNVVIDCPRLEYMSVRGYQPASIVVHSIGPYAKVNIDVMFEVDYEDPPAITMIRSFLTAISKAHEMTISTRTLELIDGYHLMVNELPKFSNLSRLNAFLDKSFWERLPGFLGCCINLNSFVLELDGPSEIEEIKVSPLLQDALSARGFVQLKTPLSVTRTSSERKLAAYFVKKSG >scaffold_200589.1 pep chromosome:v.1.0:2:3235648:3236689:1 gene:scaffold_200589.1 transcript:scaffold_200589.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC023 [Source:UniProtKB/TrEMBL;Acc:D7KXA6] MKAEGEGTYWLIKDELIKAEDDVIISRYLKRMIVNGDSWPDHFIEDVDVFNKNPNEEFYSPSTRFLIVKTRTEACGRTDGCESGCWRIIGRDKLIKSKETGNILGFKKILKFCLKTKPREYKRSWVMEEYRVTNNLNSKQDHVICKIRFLFEAEISFLLAKHLSYLSTRSPRPANQLLPAYGVCLFDTEAEGAFYLQKIIDYDGNTWPSYVTNNVYRLHPSTLVDPQDDKFKEFGTCIFANRTKACGKTDECDGGGYWTIVEGHRGIKSKTGEILGYSRVFQLSENEEPITVCEGEDVNETAWIIEEYRLDEKKKKDKVLCVIKKILIPLSPR >scaffold_200590.1 pep chromosome:v.1.0:2:3237514:3237880:1 gene:scaffold_200590.1 transcript:scaffold_200590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKNSSKSAFIILLAFTVMISLTFQITESKRLLPEETSLHPEASLSVKPNGFSFCTPKCKELCFGTGCYCVCPQDLKT >scaffold_200596.1 pep chromosome:v.1.0:2:3263317:3265566:1 gene:scaffold_200596.1 transcript:scaffold_200596.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KXB2] MSTTMRRLGIITLTNSAAVVTPTLLSSTAAFPGTLTLPSPLRNHRLTNSIRFHEYRSLSFSCFSSSSSSSAAYLPSLEEYPSTKGSVARDDNQKVILKGMTYAALQEWVQSHGFRPGQALMLWKRLYKDNIWANNVDELEGLNKDLKRMISEHAEFGALSFKDIRSASDGTRKILFTLDDGLVIETVVIPCDRGRTTVCVSSQVGCAMNCQFCYTGRMGLKRNLTTAEIVEQAVYARRLLSHEVGSITNVVFMGMGEPFHNIDNVIKAANIMVDENGLHFSPRKVTVSTSGLVPQLKRFLRESNCALAVSLNATTDEVRNWIMPINRKYKLSLLLETLREGLSSKHKYKVLFEYVMLAGVNDSMDDARRLVELVQGIPCKINLIQFNPHSGSQFIQTEEDKMIKFRNVLAEGGCTVLMRFSRGNDQMAACGQLGMIGAVQAPVMRVPEQFRAALKASV >scaffold_200598.1 pep chromosome:v.1.0:2:3302105:3306676:1 gene:scaffold_200598.1 transcript:scaffold_200598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESSSPATGDLNSQKPEATTPIPIPNPNPNPSLTTPPPQHHSQPQVAALIPPGPPYTPPSQIPGSLLPTNLPPPPPFRPGMQFTPAGNFQNPSSGVPPPGVNSMAVPGSMPQYQLQPPNQPGMRPYQPMANGYPGIHGVAPPPGLLRYPSPYPTMVRPGFIMRPPGTIGAVQLAPRPAVPGMPGLRPVMPPMVRPASLPFVTPAEKPQTTIYIGKIATVENDFMMSILEFCGHVKSCLRAEDPTTKKPKGFGFYEFESAEGILRAIRLLTKRTIDGQELLVNVNQATKEYLLKYVEKKIETAKKAKESQAVGTEENQAEGPESERRKLESAENETGKDGEPKSKENIDIANSAVINDEEREADREAMEKIESAIEERLKSNPLPPPPPPPPADRSGMELAFTSKDGDSNTDIARSDAAANDVETSREQNRPDTSSPDWSKRNDRRSRERGEKEQEIDRLEREAERERTRKERELRRKLEDAERAYQTRLRQWERSEREKEKERQYEKEKEKDKERKRKKEIRYEEEEEEDDDDSRRRWHRAALDERRRRRLREKEDDLADRLKEEEEVAEAKRNAEEQKLQQQQLDALRILSGQAAIGSETVQTSPIENDHKATLQTVGESANEHHAADFEQNGSGNESMAIDNNSGSEAHAPSKKLGFGLVGSGKRTSVPSVFYEEDEDEARKAKKMKPLVPIDYSTEEQEAVAHGGSGNTPPHLALAAEFAKRISSTNPKEETIETEKQRSRRSHDKSSHRDRERERDRDRVRDRGDGHSGPTKDAKESGKAKIPDTKFLDAKQLIDTIPKTKEDLFSYEINWAMYDKHQVHERMRPWISKKIMEFLGEEEATLVDFIVSNTQQHVKASQMLELLQSILDEEAEMFVLKMWRMLIFEIKRVEAGVPVKSKA >scaffold_200601.1 pep chromosome:v.1.0:2:3314981:3318182:1 gene:scaffold_200601.1 transcript:scaffold_200601.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:D7KXB7] MDEIEEGSSNNSIRRVGTGSSDRRWVDGSEVDSETPLFSEIRDRDYSFGNLRRRLMKKPKRADSLDVEAMEIAGSHGHNLKDLSLLGTLGIAFQTLGVVYGDMGTSPLYVFSDVFSKVPIRSEVDVLGALSLVIYTIAVIPLAKYVFVVLKANDNGEGGTFALYSLICRYAKVNKLPNQQPADEQISSFRLKLPTPELERALWIKEALETKGYLKTLLLLLVLMGTSMIIGDGILTPAMSVMSAMSGLQGEVKGFGTNALVMSSIVILVALFSIQRFGTGKVGFLFAPVLALWFFSLGAIGIYNLLKYNITVIRALNPFYIVLFFNKNSKQAWSALGGCVLCITGAEAMFADLGHFSVRSIQMAFTCVVFPCLLLAYMGQAAYLTKHPEASARIFYDSVPKSLFWPVFVIATLAAMIASQAMISATFSCVKQAMALGCFPRLKIIHTSKKRMGQIYIPVINWFLMIMCILVVSIFRSTTHIANAYGIAEVGVMMVSTVLVTLVMLLIWQTNIFLALCFPLIFGSVETIYLLAVLTKILEGGWVPLVFATFFLTVMYIWNYGSVLKYQSEVRERISMDFMRELGSTLGTIRIPGIGLLYNELVQGIPSIFGQFLLTLPAIHSTIIFVCIKYVPVPVVPQEERFLFRRVCPKDYHMFRCIARYGYKDVRKEDSRVFEQLLIESLEKFLRCEALEDALESTMNDFDPDRDSVASDTYTDDLMAPLIHRAKRSEPEQELDSEVLPSSSVGMSMEEDPALEYELAALREATDSGLTYLLAHGDVRAKKNSIFVKKLVINYFYAFLRRNCRAGAANLTVPHMNILQAGMTYMV >scaffold_200602.1 pep chromosome:v.1.0:2:3334280:3334595:-1 gene:scaffold_200602.1 transcript:scaffold_200602.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXB8] MSTKAVARRSKGRSQKTRQTKIESQSDKNRDENHRSENHKNQSENIQLHIKVGETHPASLKRSGEEEESRRRRWFAGSQLRPPTPAKDNP >scaffold_200603.1 pep chromosome:v.1.0:2:3339779:3342687:1 gene:scaffold_200603.1 transcript:scaffold_200603.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATTPS10 [Source:UniProtKB/TrEMBL;Acc:D7KXB9] MGSKSFGNLLDLASGDLLDIPQTPRSLPRVMTIPGIISDVDGYGISDGDSDVISLPCRERKIIVANFLPLNGKKDSETGKWKFSLDNDSPLLHLKDGFSPETEVIYVGSLKTHVDLSDQDEVSQNIFEEFNCVATFLPQDVHKKFYLGFCKQQLWPLFHYMLPMCPDHGERFDRGLWQAYVSANKIFADKVMGVINLEEDYIWIHDYHLMVLPTFLRRRFHRVKLGFFLHSPFPSSEIYRTLPVREELLRGLLNCDLIGFHTFDYARHFLSCCCRMLGLEYESKRGHIALDYLGRTVFLKILPIGIHMGRLESVLNLPATADKLKEIQEKYRGKKVILGVDDMDIFKGLSLKILAFEHLLQQYPSMLGKIVLIQIVNPARGSGKDVQEARKETYDTVKRINERYGSRDFEPVVLIDRPVPRFEKSAYYALAECCIVNAVRDGMNLVPYKYTVCRQGTPSMDKSLGVSDDSPRTSTLVLSEFIGCSPSLSGAIRVNPWDVDAVADSIYSAITMSDFEKQLRHKKHFHYISTHDVAYWARSFSQDLGRASRDHYSKRCWGVGWGLGFRLVALSPNFRRLSIEQTVNAYRRSSKRAIFLDYDGTLVPESSIVKDPSAEVISALKSLCSDPNNTIFIISGRGKVSLSEWLAPCENLGIAAEHGYFTRWNKSSDWETSGLSDDLEWKKVVEPIMRLYTETTDGSNIEAKESALVWHHQDADPDFGSCQAKELLDHLETVLVNEPVIVNRGHHIVEVKPQGVSKGLVTGKILSRMLEDGRAPDFVVCIGDDRSDEEMFENISTTLSAQSSSMSTEIFACTVGRKPSKAKYFLDEVSDVVKLLQGLANTTSPKPRYPSHLRVSFESVV >scaffold_200606.1 pep chromosome:v.1.0:2:3355381:3356362:-1 gene:scaffold_200606.1 transcript:scaffold_200606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAYDRIKAELLNAEDEVIISRYLKRMIVNGDSWPANFIEDANVFNKNPYVEFDSDSTSFVIVKPRTEACGKTDGCESGCWRIIGRDKLIKSKATGKILGFKKILKFCKKTKPREYKRSWVMEEYRLTNNLNWKQDHVICKIRFLFEAEISFLLTKHFYTTSKSPLRNELLPAYGFYSNEQENDEFYCVKIMSSEGNDWPSYVTNNVYCLHPLELVDPQDEKFQRFGICIFANKTKAIRDKCDGGYWKLLRHDRPIKAEFGTIGYKRLFEFCETEKEEYARNGKDVKVTWTIEEYRLSKNVKRNRFLCVIR >scaffold_200607.1 pep chromosome:v.1.0:2:3358037:3358436:-1 gene:scaffold_200607.1 transcript:scaffold_200607.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXC3] MESPFSFMPHGVDLRLVSSLTDLSNNGSVLGGSVPLSSLLLPLCVLLVGSILSLIWMVLPETFVVTSLISIWFLDLFALAPWFLWWSLWFSSRFDLVLLSFEIGFIRLKNCLAPCFYV >scaffold_200610.1 pep chromosome:v.1.0:2:3369879:3371138:-1 gene:scaffold_200610.1 transcript:scaffold_200610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRREEEAEDKEISIQSKEDESCRKFLVMIPLDLIHEILLRLPAKSVAKFRCVSKLWSSITTRPDFIRSFVSQSSTRLCLFVCVNTRDERLSCSIPQHENSDRSSYPHVERYRKNAPKFDYHQRYSESVHGLIGLGDFCGNIVVWNPTMRQHVTLPKPEPRVPCVCSCLGYDPVEGKYKVLCISGYRYQDPLVFTLGPQESWRVAQNSPKHVPRCTWVLISKCINGNLYYEASIPFGVNDSFEVEKVLMSFDVRYEKFNTIKKPADDLLCEFFLDYEGKLAWVCADVSCIRFWVLEDEEKQEWSLRKFLLPIPKFPLRDTIWEVSWELRGITHDTGEFIFTDETTSEAIYVLYYDPKRNRVRRVKYEGIGGEEFWKLNDHYNVREVRLFPNHSESLMSLEDVLS >scaffold_200614.1 pep chromosome:v.1.0:2:3427388:3430375:1 gene:scaffold_200614.1 transcript:scaffold_200614.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:UniProtKB/TrEMBL;Acc:D7KXC9] MAGGGGGGGIAPPLKQDELEPHPVKDQLSSISYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTYLVPQMGGGNEEKAKMVQTLLFVSGLNTLLQSFFGTRLPAVIGGSYTYLPTTLSIILAGRYNDILDPQEKFKRIMRGIQGALIVASILQIVVGFSGLWRNVVRLLSPLSAVPLVALAGFGLYEHGFPLLAKCIEIGLPEIILLLIFSQYIPHLIRGERQVFHRFAVIFSVVIVWIYAHLLTVGGAYKNTGINTQTSCRTDRSGLIGGAPWIRVPYPFQWGPPTFHAGEAFAMMAVSFVSLIESTGTYIVVSRFASATPPPPSVLSRGIGWQGVGVLLCGLFGAGNGASVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAIFASIPAPIVAALHCLFFAYVGAGGLSLLQFCNLNSFRTKFILGFSVFMGLSIPQYFNEYTAVNKYGPVHTHARWFNDMINVPFSSKAFVAGILAFFLDVTLSSKDSATRKDRGMFWWDRFMSFKSDTRSEEFYSLPFNLNKYFPSL >scaffold_200620.1 pep chromosome:v.1.0:2:3460307:3460851:1 gene:scaffold_200620.1 transcript:scaffold_200620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQPGFRSCLLINQGYRGTSSDPLQQRGSEETIRRQSHSGHTALIHQPGLRACLLRNQGNRGTSL >scaffold_200622.1 pep chromosome:v.1.0:2:3471588:3472658:-1 gene:scaffold_200622.1 transcript:scaffold_200622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSEKKKRLKRCGDAWKKSGRLACETLITSATVKNQGNDFVVKKGRTTKETVFVDAVCCKPAELLNFDNFNSATDMEVLGMERLKTELQSLSLKCRGTLRELATRLFLLKSTPLDKLPKKLMAKK >scaffold_200623.1 pep chromosome:v.1.0:2:3472995:3473241:-1 gene:scaffold_200623.1 transcript:scaffold_200623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGKMKGSQSLKQRLLFSTLSSTPILIDEISADDMISGIRPHEFNLFCYLETVTDDAVVKINETEK >scaffold_200625.1 pep chromosome:v.1.0:2:3481627:3482012:1 gene:scaffold_200625.1 transcript:scaffold_200625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTSLYCYTYVIYGYSKVEPLPPCSNLYSLDASLVESSWDVLPAFHGCSCMNLHLLVLELDHLPEIDGIKLSLVPQCILSSMDFL >scaffold_200628.1 pep chromosome:v.1.0:2:3498908:3501025:-1 gene:scaffold_200628.1 transcript:scaffold_200628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNKGLAEQDLSKLDVNELHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYQCEDNKCPRPMCYKSYGSGKEDNPNCDVPGFENCKMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANEVCPQPQTAEHLASVDMMHLKHIIILQNKIDLIQEKAAIEQHTAIQRFITNTNAEGAPIVPVSAQLKYNIDAVCEYIVKKIPIPKRDFVSPPKMIIIRSFDVNKPGYEVGVLKVNQLIEIRPGIVSKDELGNPRCSPIYSRITSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEMGSLPDVYVELEVSFQLLTRLIGVRTKEKEKQMKVAKLSKGEILMVNIGSMSAGAKVLGVKKDMMKVQLTVPVCTNIGEKVALSRRVDRHWRLIGRGQIEAGTTVPVPPPPSF >scaffold_200633.1 pep chromosome:v.1.0:2:3533932:3534879:-1 gene:scaffold_200633.1 transcript:scaffold_200633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGLDSNFIIDSAGTIDYHELCHVLQHECRFKEAVEFMEALAESWPSCSSFM >scaffold_200636.1 pep chromosome:v.1.0:2:3544008:3549925:-1 gene:scaffold_200636.1 transcript:scaffold_200636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVRDEVYVDGQIRGPTVSSRGETNGRPLTIGGGGTTGALTTDAALTYLKAVKDMFHDNKEKYETFLGVMKDFKAQRVDTNGVITRIKDLFKGYDDLLLGFNTFLPKGYKITLQPEDEKPKKPVDFQVAIEFVNKIKARFGGDERAYKKFLDILNMYRKQTKSINEVYQEVTLLFQDHEDLLGEFFHFLPDFRGSVSVNNPLFRRNTVPHDRNSPFHAMHPKHYEKKIKRSKHDEYTELSDQREDGDENLVAYSAGNSLGKSLANHGQWPGYPKVEDTEGIQNYENNGDSKNLLSTNHMAKAINELDLAGCAQCTPSYRRLPDDYPIHIPSYRNSLGEKVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRCIERLYGDYGLDVVDFLKKSSHTALPVILTRLKQKQKEWARCRSDFRKVWAEVYAKNHQKSLDHRSFYFKQQDSKNLSTKGLVAEIKDISERKHKEDLIRAVAVGNKPAFTPDMEFSYTDTQVHTDLYQLIKYYCEEIYATEQADKVMELWVTFLEPMLGVPSRSQTNETMKDVANIEDNQAHHDACEAVKENTCDGSLALNLKPLTPPKSPNKENPILQGSSFPQDIPLNTLESIQQDKLHDGATITNEVLQDSQPSKLVSPRNDMIMKGVENCSKVSDVSMGEHKVEREEGELSPTEIFEHENIEVYKENGLEPVQKLPDNEISNKDREYKEGAYGTEAGARSNIKPEDDENKITQKLSEGEENASKIIVSTSKFGGQVSSDEEHKGAMNCDRRDSVAESENEAGGMVNSNAGGDGSFVTFSERDLQRVKPLAKHVPGTLQASECDSRNDSRVFYGNDSFYMLFRLHQVRATKHNLLLFLKCQLSRFKLLILLIFQILYERIQLAKIHSERKSKAPDSTSTDSYTRFMDALYNLLDGSSDNTKFEDECRAIIGAQSYVLFTLDKLVQKFVKHLHAVAADETDTKLLQLYAYENYRKPGRFFDMVYHENARALLHDQNIYRIEYSSAQTRLSIQLMNRGNDKPEVTAVTVEPGFANYLQNDFLSFVSDEEKPGLFLKRNKAKLSGPGDESSGTSRAMEGLKIINEVECKIDCSSFKVQYEPNTTDILYRRKQKKAILNPTGPENVKTSDSIELSRKERISRFHKSLNRRLVALP >scaffold_200638.1 pep chromosome:v.1.0:2:3566159:3566670:-1 gene:scaffold_200638.1 transcript:scaffold_200638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGSNRRINNTIFDPFSLDVWDHPFKELQFPSSSSSSAIANARVDWKETEEAHVFKADLPGMKKEEVKVEIEDDTVLKISGERHVEKEEKQDTWHRVERSSGGFSRKFRLPENVKMDQVKASMENGVLTVTVPKVETKKKAQVKSIEISG >scaffold_200641.1 pep chromosome:v.1.0:2:3581301:3582702:1 gene:scaffold_200641.1 transcript:scaffold_200641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLITMVTILTISRVFDKAPATTEARKSKKMDGHEHFNDNLDPTFRGHTLGVLEKNFIETKLKKIEGINSLNNRFATDFATTNPGDSFGIGHPGVVYNRFTNDFAPTNPGHSPGIGHPKVINNKFINDFAPTNPGHSPGIGHRGVVNNRFTNDFAPTNPGNSPGIGHPKVINNKFINDFAPTNPGHSPGIGHRGVVNIRFINDFAPTNPGNSPGIGHPKVVNNKFINDFAPTNPGHSPGIRHRRAVNNRFTNDFAPTNPGNSPGIGHPKVINNKYINDFAPTNPGHSPGIGHRGVVNNRFTNDFEPTNPGNSLGIGHPKVINNKFINDFAPTNPGHSPGIGHRGVVNNRCTNDFAPTNPGNSPGIGHPKVINNKFINDFAPTNPGHSPGIGHRGVVNNRSTNDFAPTNPGNSLGIGHPGVVDNRFTNDFTPPKPGDIPSVGHMKIVSDVHY >scaffold_200644.1 pep chromosome:v.1.0:2:3609117:3610007:1 gene:scaffold_200644.1 transcript:scaffold_200644.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXG0] MSNLWFKMLVLSMVKASSSKPKRIENRLLLLSPMLMPQFLNMHASAETSKQQASNNQNGSRISTINNNGYYRNDNGYIND >scaffold_200645.1 pep chromosome:v.1.0:2:3612652:3613592:-1 gene:scaffold_200645.1 transcript:scaffold_200645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDKKPNKSSSEDDDLQRKKKQSFFKQRFPGLKKKATELSVLCGNSVGFICYGPDNDLHVWPQPHDHNPQTLTQIVAKFNALSDNKRMHNACDLYDFPNLKGLSGDELRNHLVNLDSHLVGVKQHKISILRNLKKPKSKETEEDDHLRVSDNNTIISNRKVFSSEDQRLGFGGVFDELGYVLRGSHETVSNIVSSTASKVSRDVGSVTDSSLLNPFTLGFSNDYFPAVLMAVTNNLGVCANNGGVWDLSWIDSKFSSTLFTDDWTVSGYNPLLGATDSFTASTYQTPVTDNLGSVF >scaffold_200650.1 pep chromosome:v.1.0:2:3632317:3633447:-1 gene:scaffold_200650.1 transcript:scaffold_200650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSLSRNALCSKCNDMGTWDDYMAYRRRMDQNLLGSSSQQMSDSPTDAGEPSRVPETPTNGQSSTDPADLLTLDQLLRSAGRASLKKLDPRRMNGDGW >scaffold_200651.1 pep chromosome:v.1.0:2:3641221:3642321:-1 gene:scaffold_200651.1 transcript:scaffold_200651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTEIKFEEGWSYIHQGVTKLIRILEGEPEPALESQQYMNLYTTTYVMCSKNPNYSQQLYDKYREVIENYTIQTVLPSLREKHDECMLRELAKRWNNHKFLVRLFSRFLLYIDSSFVSKRGLPSLREVGLNCFHDLVYREMQSMATEAVIALIHKEREGEQIDRELVRNVIDVFIENGMGTMKKYEEDFESFMLQDTASYYSSKATRWIQEYSCLDYTLKAYL >scaffold_200652.1 pep chromosome:v.1.0:2:3649145:3650537:-1 gene:scaffold_200652.1 transcript:scaffold_200652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEAIKFEEGWSYLQKGITRLIRHLEGEPEQALKTQHCMELYNTAYHMCTQNPNYSQQLYDKYREVIEDYTMQTVLPSLREKHDEYMLRELVKRWNNHKLMVRQLAIIFGYLEGYFFRWKRINSSLREVGLIYFHDLVYHEMHSSATEAVIALIDKEREGEQIDRELVRNVIHVFVENGMGSIKKYEEDFESFMLEDTASYYSRKASRWIEEDSCLDYTIKAYL >scaffold_200658.1 pep chromosome:v.1.0:2:3667626:3669597:-1 gene:scaffold_200658.1 transcript:scaffold_200658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHRHIEFEQGWSNIQKGITKLIRILEGEPEPEFHSDEYMNIYTIIYDMCNQRSDYSQQLYDKYRKVIEDYIIQTVSPSLREKHDEDMLRDLVKRWDNHKVLVRWLSRLFHYVDRHFVLRSKIPIPTLDEVGLSCFLDLVCNGYSYSSLFFNPAYVFVTVHANFLFQVYHEMQSTATKVVLALIHKEREGEQIDRALMKNVLDIYVENGMGTYEEDFESFMLEDTASYYSRKASRWIEEDSCSHYMLKVEECLKRERERVTHYLHSSTEPKVVEKIQNELLVMVAKNRLENEHSGCCALLRDDKKNDLSRIYSLYHPIPQRLGRVADLFKKHITEERNALIKQADDATTNQLLIELHNKYMVYVTECFQNHTLFHKGGSEKLFL >scaffold_200659.1 pep chromosome:v.1.0:2:3673822:3674347:1 gene:scaffold_200659.1 transcript:scaffold_200659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVQNELLVVVAKQLLEKEHSGFRAMLKDDKKNDLSRMYGLYHPIPQGLEPLANLFKQHILEEGVSLIKQTDTSINQVHILFDHFTWSRKIRC >scaffold_200661.1 pep chromosome:v.1.0:2:3702977:3708790:1 gene:scaffold_200661.1 transcript:scaffold_200661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKRKSVEVSSDSAPPQKVQREDDSSQIINEELVGCVHDVSFPENYVPLAPSVHAKPPAKNFPFTLDSFQSEAIKCLDNGESVMVSAHTSAGKTVVASYAIAMSLKENQRVIYTSPIKALSNQKYRDFKEEFSDVGLMTGDVTIDPNASCLVMTTEILRSMQYKGSEIMREVAWIIFDEVHYMRDSERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHQQPCHIVYTDYRPTPLQHYVFPAGGNGLYLVVDEKAKFHEDSFQKSLNALVPTNEGDKKRDNGKSQKGLVMGKLGEESDIFKLVKMIIQRQYDPVILFSFSKKECEALAMQMSKMDLNSDDEKDAVETIFTSAIDMLSDDDKKLPQVSNILPILKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWLSSGEYIQMSGRAGRRGIDKRGICILMVDEKMEPAVAKSMLKGSADSLNSAFHLSYNMLLNQLRCEEGDPENLLRNSFFQFQADRAIPDLEKQIKSLEEERDSMVIEEEESLKNYYNLILQYKSLKKDIREIVFIPKYCLPFLLPNRAVCLDCTNDDEETQSFSIEDQDTWGVIMKFNKVKSLSEDDDNRRPEDANYSVDVLTRCMVSKDGVGKKKVKAVPIKERGEPVVVTVLLSQIKSLSSAIMNIPKDLVPLEARENALKKVSELLARHPDGIPLDPEVDMKIKSSSYKKTVRRLEALENLFEKHKIAKSPLITEKLKVLHMKEELTAKIKSLKKTVRSSTALAFKDELKARKRVLRRLGYITSDNVVELKGKVACEISSAEELTLTELMFSGIFKDAKVEELVSLLSCFVWRERVPDAAKPREELDLLFIQLQDTARRVAELQLDCKVEIDVESFVQSFRPDIMEAVHAWAKGSKFYEVMEIARVFEGSLIRAIRRMEEVLQQLIVAAKSIGETQLEAKLEEAVSKIKRDIVFAASLYL >scaffold_200664.1 pep chromosome:v.1.0:2:3744530:3744840:1 gene:scaffold_200664.1 transcript:scaffold_200664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYMKSPIVFLFATMMIILFMFCGSGEARTLGYGSVLGKDKIPACGYKNPNSCVKQPVNHYHRGCEKLNRCGRDADSFHIDETFINLH >scaffold_200665.1 pep chromosome:v.1.0:2:3745248:3746215:-1 gene:scaffold_200665.1 transcript:scaffold_200665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSTTSFNASLESTPSSNYVTTVEDSIYQTAETGENQYFNYNRRLRHRNLVMPSGWCTEHGEMLVAYDYSANRKVSHLLFHNRISRNSVLRWKSRYNEIKSLAYKVMNPRLCGFALAEFLSRNDKAHSSYKKGRIRSRDLRLYGTRIHGIRRGTTMTDVYSFGMVVLEMVMGHPLVDYMRKNEDALLVLTVENPRSSW >scaffold_200671.1 pep chromosome:v.1.0:2:3772292:3773510:-1 gene:scaffold_200671.1 transcript:scaffold_200671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFQKAKAVRLRSHHDKYLVAEEDEESVTQERNGSAGAAKWTVEIIPGSTNLIRLKSAYGKYLTASNKPFLLGATGKKVLQTNPSRLDSSLAWEPIRDSALVKLKTRYGHFLRGNGGLPPWRNSVTHDIPHRSATQEWVLWHVDVVEILPANCNHHQQQQQLQLQLPPSPLHHSDSLDFTPGSPSRSNRFFRQESTDSVAVGSPPKSEGRVIYFHVADDDGDVEDDSVEVSSFTFKGNGVEELTMRLKEESNVEDVIVCTRSPLNGKLFPLRLQLPPNNADMTVVLVPKSSKIAEEFIK >scaffold_200674.1 pep chromosome:v.1.0:2:3787896:3788690:1 gene:scaffold_200674.1 transcript:scaffold_200674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVIWNPTVNRFLTLPEPEGTKDNNSNYLGGILGYDQIDGKYIVLRVLRDSKICILTLGAQGKTSCRIITNGVPRHRSIIRCGECINGVMYYGATVDVGDGLLEHNIMCLDVRTEKFNQIKFPEGRSSANYHMVTYEGRLALVETLNFPSIDIWILTNGDRHEWTHNCFDLPLSEMDPIRRKEPRFCGVSNAGELFFAPWMLSESFNILYFDPRRNSIREVSFEGIVGDEF >scaffold_200677.1 pep chromosome:v.1.0:2:3798285:3799682:1 gene:scaffold_200677.1 transcript:scaffold_200677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTGRRTQYSSTRSSHRTGPRNLGVKGRPSRDNDTSQSEHIPLDLTIQILSRLPAKSVGRFRSVSKLWSTITTSQYFINSFATRSLASGPSGLLTVQKGDILFVFSSPLHKNSPDGQFSCVGSYQFTKPNFGNLFDYYYVHAAEGEYYKGCILRYDQIDKKYIVLRVFVDSKICILTLGAQGQGSWRIITNGVPRHKPTLRYGGCINGVMYYGALVDVFKHRIMSFNVRSEKFNQIKYPEGSSHLCSYLISYEGRLALVDTLIFSSIDLWILMDGDRHKWTHKRFVLPFSEMKPKQKKKLHFKGVSNTGELTFATWGFSKSVYILYFDSRRNSIREVETVGDEFRLVEEYMYTMNVYPNNIESLGSL >scaffold_200678.1 pep chromosome:v.1.0:2:3803833:3806462:-1 gene:scaffold_200678.1 transcript:scaffold_200678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPECVSVFSCNDSHDVDETQFMEAILKELHERGITPLTYNLSGRENLNVEMLNRSSVGIMVFSNSYVCSKQSLDHLVAIMEHWKAKDIVIIPIYFKVTLQHICGLKGMSEAAFLHLQSSVQEDRVQKWKMALAEIESIDGHEWTKGTEVMLAEEVVRNACLRLYSKNSKNLVRILALLNQSHPSDAEIVGIWGMAGIGKTSIAREIFGILAPQYDMCYFLQDFDLTCQTKGLRQMRDDLFSKIFGEEKLSIGASDIKTSFMRDWFQEKTILLVLDDVSNARDAEAVVGGFCWFSHGHRIILTSRRKQVLVQCRVKEPYEIQKLCEFESSRLCKQYLNGENVVISELMSCSSGIPLALNVLGSSVSKQHRSNMKEHLQSLRRNPPTQIQDEFQKSFGGLDENEKNIFLDLACFFTGENKDHVVQLLDACGFLTYLGICDLIDESLISVVDDKIEMPVPFQDIGRFIVHEEGEDPCERSRLWDSKDIANVLTRNSGTEAIEGIFLDASDLNYELSPTMFSKMYRLRLLKLYFSTPGNQCKLSLSQGLYTLPDELRLLHWENYPLECLPQKFNPENLVEVNMPYSNMEKLWEGKKNLEKLKRIKLSHSRNLTDVMVLSEALNLEHIDLEGCISLVDVSTSIPSCGKLVSLNLKDCSQLQSLPAMFGLISLKLLRMSGCSEFEEIQDFAPNLKELYLAGTAIKELPLSIENLTELITLDLENCTRLQKLPNGISNLRSMVELKLSGCTSLDPRSMEATLDDT >scaffold_200689.1 pep chromosome:v.1.0:2:3864421:3866008:1 gene:scaffold_200689.1 transcript:scaffold_200689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGMMNEGGPFNLAEIWQFPLNGVSTAGDSSRRSFAGPNQFGDTDLTAAANGDPARMSHVLSQAVIEGISGAWKRREDESKSVKIVSINGASEGENKRQKIDEVCDGKAEAESLGTETEQKKLQIEPTKDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRMNPGIEVFPPKEFGQQTFENPEMQFGSQSTREYNRGASPEWLHMQIGSGGFERTS >scaffold_200691.1 pep chromosome:v.1.0:2:3870717:3871645:-1 gene:scaffold_200691.1 transcript:scaffold_200691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFKSIEDPEIVQESRTRKNHGRFVWSHELHQKFLNAIDQLGGNDKAIPKKILADMNVEGLTRLNVATHLQKYRLTLERTTEAQQLNMATRQVPSFIQQGHHQNSSNSANPSESRT >scaffold_200694.1 pep chromosome:v.1.0:2:3930273:3932273:1 gene:scaffold_200694.1 transcript:scaffold_200694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLEELSPVETLDIEENGLSLVSRVKLCLTIHPIVPSVSKSIDEWQLKRSLIDYLKNSVVPSVTVAEEDIVVRRHKDLKKRKREEPVAHGSLFIRDLGFLDGKKRKKENDGERDVKELEKKFLDWRKSLVEKMNGIELNLEGVKYKLSVVLPISDDFERLKKDWEEFYAFGHSREGRREADTVILRGVPSRWFAEPRVSSKPSMLVTHTIFSSFGKIRNLNVAEDDDLGKDADEDSGDLVSGLHCKIVVQFEKYNDFVNAMKAFSGRSMEKEGTRLKADYELTWDKVGFFRNSRRTLDNRDGGYRNEAFGYNQDDLRRKRFRE >scaffold_200699.1 pep chromosome:v.1.0:2:3954116:3959283:-1 gene:scaffold_200699.1 transcript:scaffold_200699.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7KXV2] MEKICVAVRVRPPAPELSPENGSSLWKVEDNRISLHKSLDTPITTASYAFDHVFDESSTNASVYELLTKDIIHAAVEGFNGTAFAYGQTSSGKTFTMTGSETDPGIIRRSVRDVFERIHMISDREFLIRVSYMEIYNEEINDLLAVENQRLQIHEHLERGVFVAGLKEEIVSDAEQILKLIDSGEVNRHFGETNMNVHSSRSHTIFRMVIESRGKDNTSSDAIRVSVLNLVDLAGSERIAKTGAGGVRLQEGKYINKSLMILGNVINKLSDSAKLRAHIPYRDSKLTRILQPALGGNAKTCIICTIAPEEHHIEESKGTLQFASRAKRITNCAQVNEILTDAALLKRQKLEIEELRMKLQGSHAEVLEQEILKLSNQMLKYELECERLKTQLEEERRKQKEQENCIKEQQMKIENLNNLVTNSDFKRNQSEDFIISRKTPDGLCNINDISNVPGTPCFKSASRSFVVARSNNYSGLSDFSPMVYSLGGVADEDTWMKLNKGFVADLDQIQFTPAVKCQPTPLSIATTECPRENYIEVEDLKSQIELLTNDKDSLQVKFNEQVLLSNKLMQEMSELKQETLTMKEIPNRLSESVANCKDVYKDVIGTMKSLIADKESPTANLLLGSTEITTSLLSTLETQFSMIMDGQKTGSSIDHPFSDQWETLRESLKNTTRSLLSDAQAKDEFLNCHNKGQETAALEERKLKSELSIIKERYKELEKELFSDKQLLEASRESHEKLLKEVQFLKEERDSLDRKISQSTQRLRVIASDKENALKDLNVEVKRRKDMEEEIKHISIAFATRHKSFVSFHSEIKSKMQKLTTQNSKAP >scaffold_200704.1 pep chromosome:v.1.0:2:3987171:3987379:1 gene:scaffold_200704.1 transcript:scaffold_200704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKRHSRVCGRKVSGIVLSRLLSRLAREGHELSSPLDLKDYWVRHGTNRYITIK >scaffold_200705.1 pep chromosome:v.1.0:2:3987741:3988308:1 gene:scaffold_200705.1 transcript:scaffold_200705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKPKTTAISPQPQPPKRWLNHIKTFFDVPNPRTIRIFFISSFATFFSGIGFAFEWTFHGKNHSGFQWIIYYSLSLIILPSLIWLGLGIVMVVSSSHGSMQVAKVAVEEEHCVNDSAGKNENEETKNNNCERLAIVVDSDQKNCTNKVFVDKTTKLKRTVSLPLHSQVRSCRTR >scaffold_200706.1 pep chromosome:v.1.0:2:3995022:4000410:1 gene:scaffold_200706.1 transcript:scaffold_200706.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:D7KXV8] MSLVEISGADAVVAPMRGRVPLPPPPPPMRRREPPPPMRSRVPPPPPPMGRRVLPRPLICPGAPPPPPPPLPMFGALRAKGDPKDPAKKKSSLKRLHWVKITKALPGSLWDELQRRQACRDTEDEKIFCATEHDVSEIETLFSLGAKPKPKKVPLIDNLWRAHDTEIRLMLLNIRLPDLMAAIMAMDESVLDVDEIRNLINLFPTKEDMELLKTYTGDKGTVGKTEQYFQELMKVSRVESKLRVFSFKIQFATKITELKKRLSVVDSACEEANHLWLIRTFHPPGVAVGYKLDSLSVKRMHYFCKVIASEASDLLDVHKDLESLESASMTLKDFISIAETQVATVLSLYSVVGKNAAALAIYFGEDPNRCPFEQVTKTLFDFIRLFKKAHEENVRQADLEKRKAAKETKMKHVKGVTLTRKVVHNSLIDLRRAFNIEIMLRKVKMPLPDIMAALLAMDESVLDIDQIENLIRFCPTKEEMELLESYSGDKATLGKCDQYFLELMKVPGVESKLRVFSFKIQFGTKITELNKGLNVVNSACKEVRTSEKLKEILKIILCLGNIMNQGTAKGSAVGFKLDSLLILSDTRAANSEMTLMHYLCKVLASKASDLLDFHKDLESLESASKIHLKLLAEEIVAITKGLEKLNHELTATESDGPVSQVFRNLLRDFIIMAETQVATVSSLYSTVGRNADALANYFDESPNHYPFEKVAATLLSFIRLFKKAHEENVKQAELEKKKERVSLAKKKDAELEKKKAALYN >scaffold_200715.1 pep chromosome:v.1.0:2:4059242:4062708:1 gene:scaffold_200715.1 transcript:scaffold_200715.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoside-diphosphate reductase [Source:UniProtKB/TrEMBL;Acc:D7KXW8] MVKSMYVVKRDGWLETVDLDKITLRLNKLSNGLSSYHCDPSLLLRKSLLVSIMESLRLNSMTWLLTLLLPCLVTILIMPREIVYDRDFDYDFFGFKTLERLYLLKVHGKVVERPQHMLMRVVVGIHMEDIDSAIKTYHLMSQRLFTHASPTLFNAGTPRPQLSSSFLICMRDDSIESTLQKCDVIRKSAGSISVSVHNIQGTNGVVPMLDVDQGGGKKNGPFAVYLEPWHADIFEFLKIQKNHGKVQNSQEEHMAIALWIPDLFMERVKHDGKWSLFCPNEAPGLADCWGTEFERLYTKYEREGKAKKVFPAQEPFFDILTSQLETGMPYMLFKDSCNRKSNQQNLGTIKSSSLCTGVIEYTSPTETTVCNLASIALDVPLDSHPSKLAGSLRSKSRYFDYDKLAEVTAIVTNDLDRIIDGNYYPVETAETSNMRHRPIGIGVQGLADAFILLGMPFDSPEAQKLNKDIFETIYYHALKASSELAARNDAYETYKGSPMSKGILQPDMWNVTPSNRWDWDFLREMISKNGVRNSLLVSLMPTASTSQILGNNESFEPYTSNIYSHRGLSGESILVNKHLLHDLTEMGLWTPTLKEKIIDENGSIRNVPEIPDDMKAIYRTAWEIKQRTVVDMAVDRGCYIDQSQTLNINLEEPSYQKLTSLHFYTWTKGLKTGMHYLRSRATTMVKCK >scaffold_200716.1 pep chromosome:v.1.0:2:4073986:4078587:-1 gene:scaffold_200716.1 transcript:scaffold_200716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISALLTSAGINISICIVLLSLYSILRKQPSNYCVYFGRRLVCGGARRYDPFWYERFVPSPSWLVKAWETSEDELLAAAGLDAVVFLRMVLFSIRIFFIVAVICIAFVLPVNYYGQPMVHKEIHLESSEVFTIENLKEGSKWLWVHCLALYIITSAACLLLYFEYRTIAKMRLGHITGCASKPSQFTVLIRAIPWSPEQSYSDTLSKFFTNYYSSSYVSHQMVYHNGIIQRLLREAERMCQTLKHVSPEINCKPSLKPCIFCGGPTATNSFHILSNEADSVKGMELGELTMTTTEQERPAAFVFFKTRYDALVVSEVLQSSNPMLWVTDLAPEPHDVYWKNLNIPYRQLWIRKIATLVGAVAFMFVFLIPVTFIQGLTQLEQLSHAFPFLRGILRKQFISQVITGYLPSVILILFFYAVPPLMMYFSALEGCISRSIRKKSACIKVLYFTIWNVFFVNILSGSVIRQLNVFSSVRDIPAQLARSVPTQAGFFMTYCFTSGWASLACEIMQPMALIWNLVAKVVTKNEDESYETLRFPYHTEIPRLLLFGLLGFTNSVIAPLILPFLLIYFFLAYLIYKNQILNVYITKYESGGQYWPIFHNTTIFSLILTQIIALGFFGLKLSTVASGFTIPLILLTLLFSEYCRQRFAPIFNKNPAQVLIDMDRADEISGKMEELHKKLHNVYSQIPLHSEKSSSKAECSNPFKNQELPDPEKLKPEEGDAIAKELWGYQGNESGQEHDAKSCPSASPEHLSPKLIELHKRN >scaffold_200717.1 pep chromosome:v.1.0:2:4080804:4081982:1 gene:scaffold_200717.1 transcript:scaffold_200717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITEPDENYEEASQKKKLNNPSFVSLPDEIIVNCLARISRSYYPKLSLVCKFFFYDSDHPSLFTLWIKPGQILTNQLEKKRRSTRDTRLVKIPSSCVSYIPMYYVAVGSEWYGLGQCDAPSSIIWVLNKDSYVWREVSNMTVAREKALACALNGKLYVMGGCTADDTTNWGEVFDPKTQTWESLPDPAPKLRFLPIRKIEANQGKIYVTTKMKDFVYDPKKGTWKGSRKPLFAKCVIDNVWYRCDKHTSCSWYDTNRQEWRVVRGLAVMNTYGVAAGMTEIANYGGKLLLLWDTYVHPNNYRNYKHIWCALIELKRPGGIQKDEVWGNIEWANKVYTVPSYVFMRSVVNVV >scaffold_200719.1 pep chromosome:v.1.0:2:4088314:4089449:1 gene:scaffold_200719.1 transcript:scaffold_200719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQTKKDTSPLFLSLPDDIILTCLARISRSYYPKLVLVCKKFRSLIVSKELIDARIHLDTHETVFQIRLQLTKNHFPSWYTLWIKPGQILTNQLEEKKTTSNKNIRLVKIPSCYSYVPSRIRWPGSEMYGISLSSTPSSIMEFQNKETGLWCKAPDMRVAREKAIAGVLDGKIYVMGGAGADESVNWGEVFDPKTQTWESLHDPGAEHRFSSIRKIGMIEGEIYVLSNEEWDSVYDPKEGKWDVTRRTFVHCIIDDVWYYYGQESCLWYDTNSDQWRMVRGLATFNENCGYRMIDIANYNGKLLILWDNKHDSFLLKDIWCAVITLERRNGNDEVWGNVEWASIVLTVPRSYVFFCGRGFES >scaffold_200721.1 pep chromosome:v.1.0:2:4095500:4095852:1 gene:scaffold_200721.1 transcript:scaffold_200721.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPNSSCLPVDRSIGIKPNSIYFTRHDRVCHLESSSLDICVFNLATKTLKRFPGFSNLKLKDAQWFLPS >scaffold_200722.1 pep chromosome:v.1.0:2:4096217:4097413:-1 gene:scaffold_200722.1 transcript:scaffold_200722.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein 1 [Source:UniProtKB/TrEMBL;Acc:D7KY52] MDYDRFKLFVGGIGKETSEEDLKQYFSRYGLVLGAVVAKDKVTGISRGFGFVRFANDYDVVKALSGTHFILGKPVDVRKAFRKHELYQHPFSMQVFEGKVQQTNGGLREMASNGNIHRTKKIFVGGLSSNTTQEEFKSYFERFGRTIDVVVMHDGVTNKPRGFGFVTYDSEDSVEFVMQSNFHELSDKRVEVKRAIPKEGIQSNNGNVNVNVPPTYSSFQATPYVPEQNGYGMVLQYPPVFGYHHSVQAVQYPYGYQFTAQVPNVSWNNPIMQPTGFYCAAAPPPPPPSNTLGYSPYMNGFDLSGTSIPRYNPVPWPATGDAAGVLIQFGALKFDVHSQAHQRMNGGNMGIPLQNGTYR >scaffold_200726.1 pep chromosome:v.1.0:2:4121362:4121552:-1 gene:scaffold_200726.1 transcript:scaffold_200726.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCU5] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_200732.1 pep chromosome:v.1.0:2:4191278:4195256:-1 gene:scaffold_200732.1 transcript:scaffold_200732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFNTEEHNHSTAESGDAYTVSDPTKNVDEDGREKRTGTWLTASAHIITAVIGSGVLSLAWAIAQLGWIAGTAILLIFSFITYFTSTMLADCYRAPDPVTGKRNYTYMDVVRSYLGGRKVQLCGVAQYGNLIGVTVGYTITASISLVAVGKSNCFHDKGHKADCTISNYPYMAVFGIIQVILSQIPNFHKLSFLSIMAAVMSFTYATIGIGLAIATVAGGKVGKTSMTGTAVGVDVTATQKIWRSFQAVGDIAFAYAYATVLIEIQDTLRSSPAENKAMKRASLVGVSTTTFFYILCGCIGYAAFGNKAPGDFLTDFGFFEPFWLIDFANACIAVHLIGAYQVFAQPIFQFVEKKCNRNYPDNKFITSEYPVNVPFLGKFNISLFRLVWRSAYVVITTVVAMIFPFFNAILGLIGAASFWPLTVYFPVEMHIAQTKIKKYSARWIALKMMCYVCLIVSLLAAAGSIAGLISSVKTYKPFRTSHE >scaffold_200734.1 pep chromosome:v.1.0:2:4252313:4254318:-1 gene:scaffold_200734.1 transcript:scaffold_200734.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7KY65] MCNSKPSSASSSLLSCKDKTHFSKLETCDTDNPHYSDFTDNDSLDLKRWPTFLEGLEEVKAIGRISGPTAMTGLLMYSRAMISMLFLGYLGELELAGGSLSIGFANITGYSVISGLSMGMEPICGQAYGAKQMKLLGLTLQRTVLLLLSCSVPISFSWLNMRRILLWCGQDEDIASVAQKFLLFAIPDLFLLSLLHPLRIYLRTQNITLPVTYSTAVSVLLHVPLNYLLVVKLEMGVAGVAIAMVLTNLNLVVLLSSFVYFTSVHSDTWVPITIDSLKGWSSLLSLAIPTCVSVCLEWWWYEFMIILCGLLANPRATVASMGILIQTTALVYVFPSSLSLGVSTRISNELGAKRPAKARVSMIISLFCAITLGLMAMVFAVLVRHHWGRMFSTDAEILQLTSIALPIVGLCELGNCPQTTGCGVLRGCARPTLGANINLGSFYFVGMPVAILFGFVFKQGFPGLWLGLLAAQATCASLMLCALLRTDWAVQAERAEELTSQTPGKTPPLLPIARSKNLSSSGTEDMMRTMLV >scaffold_200735.1 pep chromosome:v.1.0:2:4283128:4283860:-1 gene:scaffold_200735.1 transcript:scaffold_200735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSSSETFASFFNDWLLRHRQFVQELSHLADETTRTPVEEESLLSNFLSHCLQYYEEKSVAMSVAGDDVYDFFSPPWLSSYEKLILWIGGFKPGMVFKLITTSVNDLTSHQIDQLENIRLETKRRERDLMRRFALLQQSVGDPLLMVPFRRIGVLSLGEGEQSEMEEAMDVMKEEMITAMKNADQLRCVTVGKVVEVLNPRQAIKLLRAAGEFYLLLRDLGVQIETVR >scaffold_200742.1 pep chromosome:v.1.0:2:4373640:4373916:-1 gene:scaffold_200742.1 transcript:scaffold_200742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVVLVPILEHLMMPWNDLRKGDCCERFDAINDGYYCKTCDSFIHKTCDDDSNISLCCITIFIDIQIVLKTIDVLF >scaffold_200743.1 pep chromosome:v.1.0:2:4374796:4387052:-1 gene:scaffold_200743.1 transcript:scaffold_200743.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KY74] MAPKKKPQKQSNKAASSSSSSKSNYQKPSSGPKLQISAENEDRLRRLLLNSGRIGPSVPAPISNSLSKAQKTKKLNNVYEKLSCEGFVDDQIELALSSLRDGATFEAALDWLCLNLPSHELPVKFSTGASRFPTTGGTVGVISISRDDWNESADSSVQVEEEEPAVFVRVKGKQDEEDTLNSGKSSQADWIRQYMMRQEEEELECWEDEVDGIDPGKKVSGPRPFDVIAKEYYSARSDAIKAKEKRDKRGQEQAGLAIRKLKQEISDLGLSEAMLESEFQREHAFESATEQESTCPISNNLHESVDADDVSVQQLDNLTLDANPAGSCESEEIQTKALPSSSSGQDLVASDEDSEDVELGDTFFEEIPPSEISPHELLELQKEEKMRELRSEKNLGKLDGIWKKGDAQKIPKAFLHQLCQRSGWEAPKFNKVTGEERNFSYAVSILRKASGRGKNRQAGGLVTLQLPLKDDNFESIEDAQNKVAAFALHKLFSDLPVHFAITEPYASLVLNWKQEELLCTIQSTEEDRRANFVDKLLEEDNFSLTASSSSIDNALPLVDSYVKEKDDLGVVKSNHRARKDSYIEAECLSLQRKQENKKRTQKYKDMLKTRTALPISEVKNGILQHLKEKDVLVVCGETGSGKTTQVPQFILDDMIDSGHGGYCNIICTQPRRIAAISVAQRVADERCESSPGSDDSLVGYQVRLESARSDKTRLLFCTTGILLRKLAGDKTLNDVTHIIVDEVHERSLLGDFLLIILKTLIEKQSCDNTSRKLKVILMSATVDADLFSRYFGHCPVITAQGRTHPVTTHFLEEIYESINYLLAPDSPAALRSDSSIKEKLGSVNDRRGKKNLVLAGWGDDYLLSEDCLNPFYVSSNYNSYSDQTQQNLKRLNEDRIDYELLEELICHIDDTCEEGAILIFLPGVSEIYMLLDRIAASYRFRGPAADWLLPLHSSIASTEQRKVFLRPPKGIRKVIAATNIAETSITIDDVVYVIDSGKHKENRYNPQKKLSSMVEDWISQANARQRTGRAGRVKPGICFSLYTRYRFEKLMRPYQVPEMLRMPLVELCLQIKLLGLGHIKPFLSKALEPPSEGAMTSAISLLHEVGAVEGDEELTPLGHHLAKLPVDVLIGKMLLYGGIFGCLSPILSIAAFLSYKSPFIYPKDEKQNVDRVKLALLSDNLGSSSDLNNNDRQSDHLLMMVAYDKWVKILQERGMNAAQRFCESKFLSSSVMRMIRDMRVQFGTLLADIGLINLPKTGEFSGRKKENLDVWFSDPTQPFNMYSQQPEVVKAILCAGLYPNIAANDKGITETAFNSLTKQGNQTKSYSAWYDGRREVHIHPSSINSNFKAFQYPFLVFLEKVETNKVYLRDTTVVSPFSILLFGGSINVHHQSGSVTIDGWLKVAAPAQTAVLFKELRLTLHSILKDLIRKPEKSGIVHNEVIKSMVDLLIEEGKPQHT >scaffold_200746.1 pep chromosome:v.1.0:2:4393410:4396412:-1 gene:scaffold_200746.1 transcript:scaffold_200746.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATATP-PRT1 [Source:UniProtKB/TrEMBL;Acc:D7KY77] MSLLLPTNLQQYPSSSSFPSSTPLLSPPPSTAFVPRRRCLRLVTSCVSTVQSSVATNGSSPAPAPAAVVVERDQIRLGLPSKGRMAADAIDLLKDCQLFVKQVNPRQYVAQIPQLPNTEVWFQRPKDIVRKLLSGDLDLGIVGLDTLSEYGQENEDLIIVHEALNFGDCHLSIAIPNYGIFENINSLKELAQMPQWSVERPLRLATGFTYLGPKFMKENGIKHVVFSTADGALEAAPAMGIADAILDLVSSGTTLKENNLKEIEGGVVLESQAALVASRRALTERKGALNTVHEILERLEAHLKADGQFTVVANMRGNSAQEVAERVLSQPSLSGLQGPTISPVYCTQNGKVSVDYYAIVICVPKKALYDSVKQLRAVGGSGVLVSPLTYIFDEDTPRWGQLLRNLGL >scaffold_200748.1 pep chromosome:v.1.0:2:4410313:4415254:-1 gene:scaffold_200748.1 transcript:scaffold_200748.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast ATP phosphoribosyl transferase [Source:UniProtKB/TrEMBL;Acc:D7KY80] MSLLLPTNLLQCRSSSLLLLSPSSSTTAFSAIAPCRICLRLVTSCVAYDQSSVVNSSAPKAVVVERDQIRLGLVSKGRMATNSLNLLKDCQLFVKQVNPRQYVAQIPQLPNTKVCFQRPKDIVTKLLSGDLDLGIVGLDKLREYGQENEDLIIVHEALNFGGYYLSIAIPNYGIFENIKSLKELAQMPQWSEERPLRVATGFTYLGPKFMKENGIKHVTFSTASGALEAAPAMGIADVVLDLVSSGTTLKENNLKEIEGGVVLQCKAVLVASRRALTKRKEALNTVQEILERLEAHLKADSQFTVVANFRGSSAEEVAERVLSQPSLSGWQGPTISPVYCRRGGKISIDYYAIVICVPKKTLYDSVKHLRAVGGSGVLVSPLTYIFDEDTPRWGQFLRNLGI >scaffold_200749.1 pep chromosome:v.1.0:2:4421898:4423051:1 gene:scaffold_200749.1 transcript:scaffold_200749.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KY81] MVERKLPLDLEEEILFRVPPRSLLRFRSVCREWNTLFHNKRFINKNFACARPEFMLKTYSHIYSISVDLNDDPTIKVRDLRFDPLRGRHYNLDGNFFLYDFHDGGGCVVSNPLLRQNKWFAKAKNICGKYMGYDGSRPEKSYKIIGMWERHSLDGITTTYLVSEFAANAYWKVTDHTSFYEEPAFRSDSSGNNRVSLNGNLYWTAHTYPETGQYFIRMLDFSKEIEMCQKTFCVLPCKEKKSTTHTRVLSMYKGDRFSVLEQSRKTREIEIWVTKDKISNADNGDDVVWIKFMTVSIPDFPMVLSHMSTKYFVDNNIYGKSFVLCCPSKIPKAAWVYIVRGDLCKKIKIDQVLCEFESSVYVPSLITIP >scaffold_200750.1 pep chromosome:v.1.0:2:4425672:4426829:1 gene:scaffold_200750.1 transcript:scaffold_200750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRKLPSDLEEEILFRVPPLSLHCYRSVCKEWNTLFNNKRFVHKNLACGPQEFMLRTHSHIYSISIDLNDDPTIKVRDRFELPGNRYHLEGTYDGNFFMYDFHKGGSVVSNPLLRQTKWIPADEIICSMSMGYDGSRPEKSYSIIGDNSYNTDHLAGKVTRRFAVFEFATNAWKITNHTSFLKESHSELIVSDSNRVSLNGNLYWTAYNYNETACTHTRGLAIYKGDRFSALEQCTITREIEIWVTTNKIGNGDDGDNVVWIKFMTVSIPNFPLVRHNMSSSYFVDNNIYGKSFVMCYLNVKPKQAWIYIVRGDVCKKIKVDEVLCEFLSYVYVPSLITIS >scaffold_200752.1 pep chromosome:v.1.0:2:4448699:4448980:1 gene:scaffold_200752.1 transcript:scaffold_200752.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KY85] MRTAMCQTFGCMIAVCRPCERIIAVCRPCERMFAVCRSKCMVAKCRPCGRMSAVCREVSAMCRRTFGTCFACVGGPHL >scaffold_200753.1 pep chromosome:v.1.0:2:4457107:4457339:1 gene:scaffold_200753.1 transcript:scaffold_200753.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KY86] MVASLFIADLKSPRTNNDRSTPHKASTTITQQRLKLFPHNDSGCSTLSLNHGSGGCLMTLVLR >scaffold_200757.1 pep chromosome:v.1.0:2:4469488:4470762:-1 gene:scaffold_200757.1 transcript:scaffold_200757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPPWTNDNLTTPSESSSLISRSEIAMNWTSEEDECLVRLLDSYSWESCSAVTRYSKIAAWFHDKTIRDVAIRSRWIYNKENAKRRKEDHNGLGRARVDNKEIIDMVVASQVFQPSQHGVDNELLKQNEQCFNKICANFTSLSPTENLDLFSKIRENINSLIKNLNENVSETWKQMPPLPEKLNDSLFYVLYNAVSPSSNLP >scaffold_200758.1 pep chromosome:v.1.0:2:4480385:4481570:1 gene:scaffold_200758.1 transcript:scaffold_200758.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KY90] MLASSQVTADLVSSVAIEIYIWFSNRWFCFWVRLVIWVSAVGEVFCQWSKRGAVLASGFESEELQIHGGRVEIWVRSQRRGLSKICGVWFQLRGVSKTGCESWCDPTMRFVVVVKVIRFKDEVLRCYFWWLVNNYIGLRWRLISVMVFGIWDSWHMVLQGFALWSKLINSWGRFELRVVRETNGLDYRRFSTQARGCDESKSDNGTQTATWLADSNGGGICHNTQSYVHVDYVGFNLVVNYMEEWKTLDNFNYKNNILARIRGVFNKWFSGDRSGRALITLLWTIVGGRNFSKRYDVGRLSLDFKCMEWSFSGCNKRFSYGWISGFSWLDMDILRVRISRVNCDWISLRTDQGSARHNSTHHGTVKGFTGGRNPPKPKVDK >scaffold_200760.1 pep chromosome:v.1.0:2:4506295:4507489:-1 gene:scaffold_200760.1 transcript:scaffold_200760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMSNLPQDLVEEILSRVPLTSLRAMRSTCKKWNTLFKDERFTKKHIAQVAVETTKEREFVAIMLLNFRAYLMRVNLQGIHNNSNPLLKPIGKLISLDTSDQVNISRVFRCEGLLLCTTKDYTRLVVWNPYLGQTLWICVEPRFVRHRKFYYVNVLGYDKSKSCYAYKILRFAHFFFEKSIHEIYELNSNSWRVLDVTPHWCISYNSHSVSLKGNTYWFTSNLESKDKFLELLCFDFTTEKFGLHLPLPFEFYYCQTVTLSAFKEEQLAVLHQSSYGDLGMEIWITTKIEPNEVSWSKFLAVYMRPLTGFQFNTGGSFLIDEENRAVVVFDTDKHIFNPTRNIAYIIGENGYYREVDLGEITTESFPLACPYVPSSMQINQHP >scaffold_200763.1 pep chromosome:v.1.0:2:4537953:4538212:1 gene:scaffold_200763.1 transcript:scaffold_200763.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KY95] MASFVFSPDFVRFLITVTFVPLIVFSLRLFGSVLVVAFVAILRSKVSLFRLVLEHAVCENHLRNLRLQLKI >scaffold_200764.1 pep chromosome:v.1.0:2:4539822:4540915:1 gene:scaffold_200764.1 transcript:scaffold_200764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLLSAVATSEDYSTFYCNDCVSKYIAAKLQDNILSIECLVSGCKSSGRLEPDKCRQILPREVFDQWDDALSEAVLMRSKRLYCPYKDCSALLFIDKSEVKMKDSECPHCHRMVCVECGTKWHPEITCEEFQKLAGNERGRDNILLATMAKKKNWKRCYSCKLYIEKSQGCLYMKCRWMLCCRCWVVLLSLLLVRICFEKKVRYGVCQEMALRSVYHQS >scaffold_200769.1 pep chromosome:v.1.0:2:4567409:4574396:1 gene:scaffold_200769.1 transcript:scaffold_200769.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7KY99] MESVSVAESPASSDATASHLPSVSVLLDALEQSASTPRITNGLSQRLLRSLQLSNENKLSFKVLNGACRVLRLACIQAKESRKSGCVSPLVESSDSGVIVDSTLKKSDSSRAEDFWFECVESCVGIFTEFFSSTDEAKVYVLRSSVCVDCLFELFWEKAVRNNVMKLIIDLMKIRPLCEEDKSAKLQVCSKYLETFTQVKERENDFVDLSVDLLAGMRDLIKTNSRYYQALFREGECFLHIVSLLNGNLDEANGEKLVLNVLQTLTSLLANNDTSKFAFKALAGKGYQTLQSLLLDFFQWKPTQRLLDALLDMLVDGKFDDKGSALIKNEDVIILYLNVLQKSSESLQCYGLNLFQQLLRDSISNRASCVRAGMLHLLLDWFSLENDDSVILKITQLTQTIGGHSISGKDIRKIFALLRSERVGNQQRYRSLLLACLLSMLNEKGPTGFFDMNGVESGIVIRTPVQWPANKGFSFCCWLRVESFPGDGKMGIFSFMSKNGKGCFAAIGKDELSYVSLNLKRQCVNVHANFVCKKWHFICISHSIGRAFWGGSLLRCYVDGDLVSSERCSYPKVTDVLTSCLIGTRITLPHIQDNDGLESIRDVFPFFGQIGPVYLFNDSLSSEQVQAIYSLGPSYMYAFLENEMTGPFSDSPFPSGILDGKDGLASKVSFGLNAQASDGRRLFNVSRVSDHLQERLAFEADIMVGTQLCSRRLLQQIIYCVGGISVFFPLITQSDRCESEALKEETSAMPLKERMTAEVIELIASVLDENPANQQQMHLLSGFPILGFLLQSIQPKQLNLETLSSLKHLFNVISSSGFAEQLVEDAISSIFLNPHIWLHAAYNVQRELYMFLIQQLDNDPRLLGSLCRLPRVIDIVWNFYWESERYCKGSKPLMHPARTIEERPSRDEIHKIRLLLLSLGEMSLRQNISSGDVKALTAFFETCQDVACIEDVLHMVIRAISQTSVLVSFLEQVNLIGGCHIFVDLLQRDYEPIRLLSLQFLGRLLYDVPSERKGPKFFNLAVGRTKSLSQGHKKIGARTQPIFLAMSDRLFQYPQTDNLRATLFDVLLGGASPKQVLQKHNQVDKHRSKPSNSHFFLPQIFVFIFEFLSGCKDGLARMKIISDILDLLDSNPMNVEALMEFGWSAWLTASMKLDVIKDYRSELLNHDDLALNEQHFVRGLFCVVLCHYILSVKGGWQQLEETVNFILLQSELNDVPYRSFLRDLYEDLIQRLVELSSEDNIFLSHPCRDNVLYLLRLVDEMLVREFGSRLLFPAISTDFSEDLLQLGNREDHSLGLDESFQRFLTEEISRYALYEFHFTASLV >scaffold_200771.1 pep chromosome:v.1.0:2:4589419:4589731:-1 gene:scaffold_200771.1 transcript:scaffold_200771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAMKNIYSCLMIVVLFMMMVLTYASTVEVCVKHCVPNQCMKVSQKATLPLCENACKKFCNQNKFSHDKHIVPRSSCDGLFSWLLCNK >scaffold_200772.1 pep chromosome:v.1.0:2:4592391:4592706:-1 gene:scaffold_200772.1 transcript:scaffold_200772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAMKKIYSILMIVVLFTMMVSTYANTVEVCVKHCVPNQCMKVSKKATIPLCENACKKLCNQNKFSDEKFYAMPPGDLCEGFFGLLCNN >scaffold_200773.1 pep chromosome:v.1.0:2:4595697:4596012:-1 gene:scaffold_200773.1 transcript:scaffold_200773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQIMQKIYSVLMIVVLFTMMVSTYASTVEVCVKHCVPNQCMKISKKATIPLCENACKKLCNQNKFSDEKFYAMPPGDLCEGFFGLLCNN >scaffold_200775.1 pep chromosome:v.1.0:2:4599050:4599443:-1 gene:scaffold_200775.1 transcript:scaffold_200775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNVSTAVVSVVTPEKIIVSKCGDSRAVLCHNGVAIPLSVDHKDVARVLGVLAMSRAIGE >scaffold_200777.1 pep chromosome:v.1.0:2:4602921:4603237:-1 gene:scaffold_200777.1 transcript:scaffold_200777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTMKNIYSVLMIVLLFTMMVSTYASTVEVCVKHCVPNQCMKISKKVTIPLCENACKKLCNQNKFSDEKYYTMPPGDLCEGFFGLLCNN >scaffold_200778.1 pep chromosome:v.1.0:2:4611022:4611337:-1 gene:scaffold_200778.1 transcript:scaffold_200778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTMKKICSVLMIVVLFTMMVSTYANLVEVCVKHCVPNQCMKVSNNATLPLCETACRKLCNEDNHSHEKYIAPRSYCDGFFWFLCMKQT >scaffold_200782.1 pep chromosome:v.1.0:2:4642237:4643219:-1 gene:scaffold_200782.1 transcript:scaffold_200782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTQISHSSLIKAVQTSTNHTKFDWFAETDAQRFHLFKQQWGLLTFLPLEYFRNPGYGYSFDDGSVVFGVDINTLKNGKFSLTNKTFVTLFSNGGSPNSLHSFMTLTLLITFLPVEETVYPNGVGNATGNSLSLYLLNESNDKGYVEAKLQIIDQNQSNHFVKKRFIPFSDRRNASKGYVVNDTLKFQVEILSFSKTDFYSHQSSVVLPISTGDST >scaffold_200783.1 pep chromosome:v.1.0:2:4643536:4644560:1 gene:scaffold_200783.1 transcript:scaffold_200783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLCLDEAVENGGLEQKEKEHMDAIYLALDCFFSFNLTNYIPFLSGWNVDKEEKEVREAVHIINRCNDPIIQARIRLWRKKGGKATEEDWLDILITSKDDQGMHLYTFDEIRAQCKEINLATIDNLMNNVEWTIAEILNHPEILEKATNELDMIVGKDRLVQESDIPKLNYIKACSKESFRLHPANVFMLLQGFEWTLPNGKTQVELISAESNLFMAKPLLACAKPRLAPSLYPKIQF >scaffold_200786.1 pep chromosome:v.1.0:2:4662258:4663063:1 gene:scaffold_200786.1 transcript:scaffold_200786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMCHSCRRRTAAIHCFTENTNVCLTCDYMLHFHVGILGHLRYQLCDNCMVNPASLVCSIHMIILCLSCYVLHYNCVTFGHHIQPINNFPERVQFLENPQHYHGHEHDHEHHVGDYQRREGMFQMSCNGNNDCERWMFALECESCIASNAVVYCAQEDFFLCDNCDRVMHNHEVIPPHMRCKLCETCKRLSRNFLIGAYHFSLPPTPLAVAAEEVSALQSSGPSQYDEMDSSVNQGEGPSRVRFMLSRLSLRD >scaffold_200791.1 pep chromosome:v.1.0:2:4704662:4706520:-1 gene:scaffold_200791.1 transcript:scaffold_200791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVADVLPTPDTASPMLSLYSQVLGKSDVEYSGAEILLASTRTVVELVFNRPVDILNTLLKSSSTCSRVSLNAFVDHFGADETAAMCLMLASGIIMFGGDEFDSLVPTRAAMVFGDMKMERMPQLGGSQAAIHSAAHAGLYLCTARLLYPLWNTHVMSTRSSSDSMSEGGELICRFSADAMHELESRIRSLERCLLRRSDAEDSAGQRLPNKHDNISKEDTHSMECCRHLIQRSAEALFLLQILSRHDIAISSQMFEESLLHLEFRHLVISGDDDKIAKVLISALMEDCSVNICPNLVRLLSSIFCWRWLAFRPAIPAYISGFGWLLVRQFPLIPAGSGVN >scaffold_200799.1 pep chromosome:v.1.0:2:4800100:4800435:-1 gene:scaffold_200799.1 transcript:scaffold_200799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRCIRKKSLKCGADKFLTILQMSFPHAENALIHDTNQIQECQHVYVGSCKCTICAITHNLQSSSSKYVTWRGKLLDLRCNSSGSQKLYIQLDG >scaffold_200800.1 pep chromosome:v.1.0:2:4805546:4805957:1 gene:scaffold_200800.1 transcript:scaffold_200800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYK3] MSHRKVEHPGHVSLGFLPRKRANRQAFLSDMPSLIILLSLSHSMIRTSSKFTNGQFQTTQEKAKFYDRVKA >scaffold_200806.1 pep chromosome:v.1.0:2:4870481:4871306:-1 gene:scaffold_200806.1 transcript:scaffold_200806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSLSNPWLRQTKRIDAVVQEFFLCGTGYNSSRPEKSYKIVGFTSYFNELRTDYLKFAMFEFATNAWKIIDHASYVAKSTREQSLRYNNVSLNGNLYWPAYNLETCQYFIQILDFSEEIMKPFCIQPCEKKDSSYTRVLAVYKGERFSLLEQCKTTCKIEVWVTKKKITNGDDGEDVVWIKFMNVSIHNFPRFYHKFSRYMVDNNIYGKTLVMCCSYRKNDQAYVYIVRGDMCKRIKIDEVPSQFRWSIHVPSLIVFR >scaffold_200807.1 pep chromosome:v.1.0:2:4872679:4873827:-1 gene:scaffold_200807.1 transcript:scaffold_200807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKLPSELEEEILIRVPPSSLARFRAVCKEWNVLFSDKRFANNQLACARPEFMLQTDSNVFSISVNLNDDPTLQVRKLTIDFPGFHYCNCDGYFVLYDLLHNRAAVSNPWLRQTKRIGCALDEPFTLCGMGYDSSRPEKSYKIFGFKYCRLNELQSTYYQRFAIFEFETNAWKFIDHANNLENSTREQWWCYGNVSLNGNLFSTAYDFETGQYIIRIFDFSKEIVKPFCILPCEKKDSNQTHALAVYKGDRFSLLEQCYKTSKIEIWVTKKKISNGDDGDNVVWIKFMTVSIPNFPRFYHTFSRYMVDNNICGKTFVMCCPDDKTRRAWVYVVRGDLCKKIKIDHYLVDGSCSLCCVYVPSLMPIT >scaffold_200809.1 pep chromosome:v.1.0:2:4880522:4881681:1 gene:scaffold_200809.1 transcript:scaffold_200809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKLPLDLEEEILFRVPPRSLLRFRSVCREWNTLFHNKRFINKNFASGRPEFMLKTHSHLYSISVDLNENPTIKVRDLRFDHLRGRRYHLHGTCDGYFFMYDFHKGGGGVVSNPLLRHTKWIAKAEYICGRGMGYDGSRPEKSYKIIGMSECHSWDGITTTYSVAEFATNAWKVTDHTRFKEELELISETCDNGRVSLNGNLYWTAYTYPQTGQYFILMLDFSKEIEMCQKTFCVLPCKGKKSTTHTRILSIYKGDRFSVLEQSKKTREIEIWVTKDKIGNGDDGDHVVWIKFMTFSIPDFPMLLCNSPARYFVDNNIYGKSFVLCCPSKRPKAAWVYIVRGDMCKKIKIDQVLCEFESSVYVPSLITIP >scaffold_200810.1 pep chromosome:v.1.0:2:4899583:4905167:-1 gene:scaffold_200810.1 transcript:scaffold_200810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toll-Interleukin-Resistance domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KYL2] MTISSLTDDTHRVFLSFRGDQLRYSFVSHLLDAFKRHGILCFVHKDEHLRGKNMTNLFVRIKESKIALVIFSSRYAESSWCMDELVKMKKRVDKGKLQVIPIFYKVRARDVRGQTGEFGDKFWALAKTSRVDQIMEWKEALECISNKMGLSLGDKRY >scaffold_200811.1 pep chromosome:v.1.0:2:4906820:4907802:-1 gene:scaffold_200811.1 transcript:scaffold_200811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASCDPKRCVSNSHPSLLPFLFTKTKPRNQQYSFPLLSSHLLYSNYGVFTLISATQLIFTVVFSAIINRFKFTRWIIISIILTILIYVFGSPEFAGEPDENEEFYDIQAWLTFAASVAFPLSPCLSQLGFEKLLVKTKRYGNKKVFRMVLELQICVSFVASVVCLVGLFASGEYEELKGDSKRFKKGETYYVLSLVRLALSWQVWSVGLIGLVLYVSGVFGDVVHMCTSPLVALFVVLAFDFMDDEFSWPRIGTLIATVLALGSYFYTLHKRNKKKMVELYQRENYNIEV >scaffold_200812.1 pep chromosome:v.1.0:2:4907824:4908142:-1 gene:scaffold_200812.1 transcript:scaffold_200812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSQASKQTTIHEESEHVQNPEPDQIFSPRRSLELNQKKWWISVALCLFLVLLGDSLVMRCFS >scaffold_200813.1 pep chromosome:v.1.0:2:4910963:4912527:1 gene:scaffold_200813.1 transcript:scaffold_200813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKSLYFPTRKKLRNLNRFWQIIYCNIGNPHPLGQLPIKFFREVLALCDHTSLLDESETHGLFSTDAIDRAWKILDQIPGRASGAYSHSQGIKGLRDTIAAAIEARDGDTIAAATEARDGFPADPNDLFLTDGASPAVHMMMQLLISSEKDGILCLIPQNPLYSASITLHGGSLVPYYLDEATGWGLEISNVKKQLEEARSKGITVRALVVINPGNPTGQVTGFTPDVREQIYKMASINLCSNISGQILASLIMSPPKPGDDSYDSYMAERDGILSSMARRAKVS >scaffold_200814.1 pep chromosome:v.1.0:2:4914775:4915219:1 gene:scaffold_200814.1 transcript:scaffold_200814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKKILQCKHDISTVDCQPSGPASGMLVFVSGNLQLAGEEHALKFSQVCVLTLYPMSWITESAASHLVPAKLKAPLLAMLRSSWACNALK >scaffold_200815.1 pep chromosome:v.1.0:2:4916397:4917654:1 gene:scaffold_200815.1 transcript:scaffold_200815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTISDLPEDLVAEEILSRVPLSCSRSVRSTCKKWNASSKNRILGKKAKRQFMGFLMMDHKICFMNLDLQGIHKSLVNPSSIKQGYCYASLRTTRGFWYGIRETKWIQPRDKSRGQDAYALGYDKKKRTHKILRNFDFPLSGREYVIVYEIYDFSSNSWKVLDVPTDDCHISSHINGASLKGNTYILARKHGVTKILEDLLLCFDFTTESFRPLPLPFRDTFSDGGDFVSLSCVKEEQLAVLYQRYWNPPTAIEIFVTNKIDDLNAVSWIKFLKLSTSFYGLCLSGSFFIDQEKKVAVVFDLELPTNDNSRRRRRYQTAHIIGEDGYLKSVTIRGAPRTWSSDRIEHYTKQSCVPLVCPSYVPSLVRLQINKPSRANGEKVIIINR >scaffold_200817.1 pep chromosome:v.1.0:2:4922531:4923102:1 gene:scaffold_200817.1 transcript:scaffold_200817.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYL9] MARVKGRDNRIYESTEGSREGAANPDPTEASTDASVPTEALTDAAVPKDAPTDAAYPTEAPMDAAVIGPTVESAEASTELVVFSVPELSDKEEKEEVGEHDKEVRELSIDGQGCDNEEEERVVDSEGEDVAGDNEEEDVAGVVKVSNSLYLLYMVLKVSNRHSCTQLYVFEYAEYS >scaffold_200818.1 pep chromosome:v.1.0:2:4931309:4932343:1 gene:scaffold_200818.1 transcript:scaffold_200818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSCLIIAYGDQTGSNFCCLQIFLYLVQDGCFALLDLICKSSSHKEEFCINSIGMVKGSHQSPLSKRIRRRKDEITIATAGAPDKPLCFTPTLL >scaffold_200820.1 pep chromosome:v.1.0:2:4962527:4962965:-1 gene:scaffold_200820.1 transcript:scaffold_200820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYM4] MDSPSSMPYIVVPSVVPQEVYLVDDKLCRDTSWLHLAIVLMLFFGSLPRPPDVPFNAVCILLNSIYRYVGCGNLCDVVVIVVWRTLEASFVRREEELILASLSQNLAYGIGESLLVCTRNHVFILTRGFKLF >scaffold_200821.1 pep chromosome:v.1.0:2:4968063:4968927:-1 gene:scaffold_200821.1 transcript:scaffold_200821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATHLHPVIYGDYPEIMKKHVGHKLPSFTPEQSKMLINSFDFIGINYYSARYTAHLPHIDPGRARFRTDQHFEERGINDYDDGLKSREGILNDTFRISYHKDHLKQLHKAIM >scaffold_200822.1 pep chromosome:v.1.0:2:4971257:4971603:1 gene:scaffold_200822.1 transcript:scaffold_200822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNMMLVKKLKDSDLSYSNALYLPKDYVENIVRSTGVPIPRNGIQVEILDNNNSYWVNLRENQKGPYIGNGWKNIKDARSLKTGDVIKLYWKDTKFIFSM >scaffold_200825.1 pep chromosome:v.1.0:2:5000260:5001265:1 gene:scaffold_200825.1 transcript:scaffold_200825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVFFNYRGAELRYSFVSHLSDAFERHGINFFLDNHELRGKDLANLFVRIEESRIALAIFSTRYAESSWCMDELVKMKKCVDKGKLKVIPIFYKIRARDVRGQTGKFGDKFWELAKVSRGDKIKKWKDALECITGKMGLSLGKKCSEAGFIMEIIKEVKIVLAAIGREGKEHNYVSVLKNKKRKIWNCPYEPVHYKRSNIQKF >scaffold_200826.1 pep chromosome:v.1.0:2:5001522:5001754:-1 gene:scaffold_200826.1 transcript:scaffold_200826.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYN0] MTSFDEKKKSQLKMAEDSITSGLRALTHCYARQYHYRRKSKGPIDLIISLPKYSSVLYEVTLC >scaffold_200828.1 pep chromosome:v.1.0:2:5015060:5015539:-1 gene:scaffold_200828.1 transcript:scaffold_200828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRNRSCGTCRSVVRESMASNPRITCPLCLNEDRPEKAFTTERAKKPGNVNASSRRIFVTIPLDHFGPIPTEDDSVRNQCLLVGESWKGRLECWQWRAHFPPVCGIAGHASYGALCALRRL >scaffold_200829.1 pep chromosome:v.1.0:2:5023362:5023666:-1 gene:scaffold_200829.1 transcript:scaffold_200829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYACDSNRSLRQRNLSLVARALRLARVLSFVTSRNLASSYFTVASPNCSGYGSVGSDLVAAIHTIEADGTVSAEQKAKKRQQLI >scaffold_200830.1 pep chromosome:v.1.0:2:5026299:5026824:-1 gene:scaffold_200830.1 transcript:scaffold_200830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYN6] MPPKGKSSRGRGGGATTRRVAAGGGQTSRQEAAGGGETSRQEAAGGGVTTRRVAAGGGQALPQEAVGGGETSRPVRPGGRVRTFVGHRPPVTASGVGTSSNALNPSSASQSATQSQTDSSSSAAASATVSSNPASTGT >scaffold_200832.1 pep chromosome:v.1.0:2:5041646:5042750:1 gene:scaffold_200832.1 transcript:scaffold_200832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVENNEGSSKNMWKDLPLELLSSVMDFLEIKDNVRDYDPGHVTIRTWSPGQTMWTSMQVESQFLDTEHNNVVFSNGVFYCLNRRNLVAGFDPSLSTWNVFDLPPPRCLDEKSWNQGKFMVGYKGDIFVIRTYEHKDPLVFKLDLTRGIWEQKDTLGSLSIFVSSKSCESRTYVNEGMLRNSVYFPKLCYNEKRCVAYSFDEGRYHPLEHNLDWGSQLSSNNIWIEPPKNAFELV >scaffold_200838.1 pep chromosome:v.1.0:2:5056222:5056610:1 gene:scaffold_200838.1 transcript:scaffold_200838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSHVTALFIVVALVCAFVPAFSVEEAEAKTLWDTCLVKITPKCALNIIAVVFGNGTLIESCCHDLVQEGKVCHDNLIKYIADRPSLVGRETQYLKKRDDVWSHCVSISKTT >scaffold_200839.1 pep chromosome:v.1.0:2:5057981:5058369:1 gene:scaffold_200839.1 transcript:scaffold_200839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSHVTALFIVVALVCAFVPAFSVEEAEAKTLWDTCLVKITPKCALNVIAVVFGNGTLIESCCHDLVQEGKVCHDNLIKYIADRPSLVGRETQYLKKRDDVWSHCVSISKTT >scaffold_200840.1 pep chromosome:v.1.0:2:5059738:5060126:1 gene:scaffold_200840.1 transcript:scaffold_200840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSHVTALFIVVALVCAFVPAFSVEEAEAKTLWDTCLVKITPKCALNIIAVVFGNGTLIESCCHDLVQEGKVCHDNLIKYIADRPSLVGRETQYLKKRDDVWSHCVSISKTT >scaffold_200841.1 pep chromosome:v.1.0:2:5061494:5061883:1 gene:scaffold_200841.1 transcript:scaffold_200841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSHVTALFIVVALVCAFVPAFSVEETEAKTLWDTCLVKITPKCALNIIAVVFGNGTLIESCCHDLVQEGKVCHDNLIKYIADRPSLVGRETQYLKKRDDVWSHCVSISKTA >scaffold_200843.1 pep chromosome:v.1.0:2:5064999:5065387:1 gene:scaffold_200843.1 transcript:scaffold_200843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSHVTALFIVVALVCAFVPAFSVEEAEAKTLWDTCLVKITPKCALNVIAVVFGNGTLIESCCHDLVQEGKVCHDNLIKYIADRPSLVGRETQYLKKRDDVWSHCVSISKTT >scaffold_200844.1 pep chromosome:v.1.0:2:5066756:5067144:1 gene:scaffold_200844.1 transcript:scaffold_200844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSHVTALFIVVALVCAFVPAFSVEEAEAKTLWDTCLVKITPKCALNVIAVVFGNGTLIESCCHDLVQEGKVCHDNLIKYIADRPSLVGRETQYLKKRDDVWSHCVSISKTA >scaffold_200845.1 pep chromosome:v.1.0:2:5068510:5068769:1 gene:scaffold_200845.1 transcript:scaffold_200845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSHVTALFIVVALVCAFVPAFSVEEAEAKTLWDTCLVKITPKCALNIIAVVFGNGTLIESCCHDLVQE >scaffold_200847.1 pep chromosome:v.1.0:2:7258501:7258711:-1 gene:scaffold_200847.1 transcript:scaffold_200847.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYQ1] MPDEGQPSPLMEPEDVGQKPESLGTTVHRKKSGRQKQRTVVLSKRYERERREREL >scaffold_200850.1 pep chromosome:v.1.0:2:7263748:7265774:-1 gene:scaffold_200850.1 transcript:scaffold_200850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7KYQ4] MSNLLAIVVGFVIVIGYLGILVNGLGVNWGTMATHKLPPKTVVQMLKDNNINKVKLFDADETTMGALAGSGLEVMVAIPNDQLKVMTSYDRAKDWVRKNVTRYNFDGGVNITFVAVGNEPFLKSYNGSFINLTFPALTNIQNALNEAGLGNSVKATVPLNADVYDSPASNPVPSAGRFRPDIIGQMTQIVDFLGKNNAPITINIYPFLSLYGNDDFPLNYAFFDGAQPINDNGIDYTNVFDANFDTLVSSLKAVGHGDMPIIVGEVGWPTEGDKHANSGNAYRFYNGLLPRLGTNKGTPLRPTYIEVYLFGLLDEDAKSIAPGPFERHWGIFKFDGQPKFPIDLSGQGQNKLLIGAQNVPYLPNKWCTFNPEAKDLTKLAANIDFACTFSDCTALGYGSSCNTLDANGNASYAFNMYFQVKNQDESACFFQGLATITTQNISQGQCNFPIQIVASSASSFSCSSYSLVLLILWFLLSG >scaffold_200852.1 pep chromosome:v.1.0:2:7273785:7275021:1 gene:scaffold_200852.1 transcript:scaffold_200852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFHLYLVLRFSLHAESRIVSLQSASLTNSPKPEVPSSSCRKWDKDESATFFEKPKKHLDEHMTCFKTTMNKPKQIHEIKDLLPTARRRDARSVTMMRSKWNYLMELRFELFWI >scaffold_200855.1 pep chromosome:v.1.0:2:7307299:7307869:1 gene:scaffold_200855.1 transcript:scaffold_200855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGRKTPLKAETLGSGAGISLEHHAILEKFDSLTGDGHRDKCLGKLLEAVQHIFYIPENKQAGNDAKVGSDGSHHEQDEKDTKTEQENPLTNFQCKIKMAKRWMCMESGGVHIKLEKD >scaffold_200862.1 pep chromosome:v.1.0:2:7334195:7334466:-1 gene:scaffold_200862.1 transcript:scaffold_200862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGRKTPLKAETLGSGAGISLEHHAILEKFDSLTGDGHRDKCLGKLLEAVQHIFYIPENKQAGNDAKVGSDGSHH >scaffold_200866.1 pep chromosome:v.1.0:2:7359629:7360272:1 gene:scaffold_200866.1 transcript:scaffold_200866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSRSVDRPSVGQNDSERGIPKKCYCGAPPILRNSLGRDYPGRRFYTCEMAEDGGVHIGKWWDEAMMEEATMLRLEMEDEIERMRRSKMEKMREKIQTHKEEIEVLFELHANHLSAVALLKEEIAMKSDGTAVALLKEEVAKKSDGIAVELRNVFVGAVLVLGLLIYVLK >scaffold_200870.1 pep chromosome:v.1.0:2:7382535:7382742:1 gene:scaffold_200870.1 transcript:scaffold_200870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLSPSSRVCKDRYRKKNSNSNNDVLNPILTLSALFFDLSQFKTSLISHFIVR >scaffold_200871.1 pep chromosome:v.1.0:2:7390698:7392286:-1 gene:scaffold_200871.1 transcript:scaffold_200871.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:D7KZ04] MDTAITTCSAVDLAALLSSSSNSTSSLAAATFLCSQISNISNKLSDTTFAVDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDATAGAISYYLFGFAFAFGTPSNGFIGRHHSFFALSSYPERSGSDFSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSTFLTGFVYPTVSHWFWSSDGWASASRSDNNLLFGSGAIDFAGSGVVHMVGGIAGLWGALVEGPRIGRFDRSGRSVALRGHSASLVVLGTFLLWFGWYGFNPGSFLTILKGYDKSRPYYGQWSAVGRTAVTTTLSGCTAALTTLFSKRLLAGHWNVIDVCNGLLGGFAAITSGCAVVEPWAAIVCGSVASWVLIGFNLLAKKLKYDDPLEAAQLHGGCGAWGLIFTGLFASKQYVNEVYSGDRPYGLLMGGGGKLLAAQIVQIIVIVGWVTVTMGPLFYGLHKMNLLRISAEDEMAGMDMTRHGGFAYAYNDEDDVSTKPWGHLAGRVEPTSRSSTPTPTLTV >scaffold_200880.1 pep chromosome:v.1.0:2:7514088:7515931:-1 gene:scaffold_200880.1 transcript:scaffold_200880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRAERPSWYPAVISGPLYWQEYVAVLATRLPKDLRNIYVLHQVMRDKNRSLFYSQIEEMAGEVSVTRTCSAPLSSGGLGCLPVPYIFQLIIIGNKADKEGTNGSSGNLVDGLRSNV >scaffold_200881.1 pep chromosome:v.1.0:2:7518009:7518562:1 gene:scaffold_200881.1 transcript:scaffold_200881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSITAIWGFIFSALLGILFCIITHKLNLTIGIIPSLNVAADLLGFFFVKSWTGFLSKLGFSPKRFTKQENTVIQTCVVSCYGCAYSGNFINRRINIAQFHIVFPKSDE >scaffold_200882.1 pep chromosome:v.1.0:2:7520033:7521409:-1 gene:scaffold_200882.1 transcript:scaffold_200882.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7KZ13] MGQVFNKLRGKEWRHKQVQAICDRVFDRFKIQTGRANLTFEELYIAVLLVYNDINKRLPGPHFDPPSKDLVKAIMTDCDINLDGEIDRDEFVKFIEQITAETFDVVSQGLIISLIVAPTVAIATKKATEGVPGVGKVVQKLPTSIYASLVTLVVVWMNSDSS >scaffold_200883.1 pep chromosome:v.1.0:2:7533608:7535968:1 gene:scaffold_200883.1 transcript:scaffold_200883.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma subunit 1 [Source:UniProtKB/TrEMBL;Acc:D7KZ14] MATAAVIGLNTGKRLLSSSFYHSDVTEKFLSVNDHCSSQYHIASTKSGITAKKASSSNYSPSFPSSNRHTQSAKALKESVDVASTEKPWIHNGTDKELEEEGYDDDLIGHSVEALLLLQKSMLEKSWNLSFEKAASSESSSKRTIRKKKIPVITCSGISARQRRIGAKKKTNMTHVKAVSDVSSGKQVRGYVKGVISEDVLSHAEVVRLSKKIKSGLRLDDHKSRLKDRLGCEPSDEQLAVSLKISRAELQAWLMECHLAREKLAMSNVRLVMSIAQRYDNLGAEMSDLVQGGLIGLLRGIEKFDSSKGFRISTYVYWWIRQGVSRALVDNSRTLRLPTHLHERLGLIRNAKLRLQEKGITPSIDRIAESLNMSQKKVRNATEAVSKIFSLDRDAFPSLNGLPGETHHSYIADNRVENNPWHGYDDLALKEEVSKLISATLGEREREIIRLYYGLDKECLTWEDISKRIGLSRERVRQVGLVALEKLKHAARKRKMEAMILKN >scaffold_200885.1 pep chromosome:v.1.0:2:7567773:7568724:1 gene:scaffold_200885.1 transcript:scaffold_200885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPTIEQLHAFHAQDREIFSKLVLKFLRPPAESLLVMATWLWLEDFGFGNIFSIITIFSDLLIVDLANEAVLCFQCLESDQPPNDVNQIPLTERFMKKDISLQILHKHRYTAITGIKNFLTTICSRIFSDILQRVLPPSSSSFITKIRHPLIIPGFPHPTFGSINVLPDVVARDNLLNTNLFLFPHGLWGWNATYVATDKERTVFLTFSRGFPVSQAEVYHLFTEIYGENCVESVYMQEEGGSSSNENTNCNGQQQPLYAKMVLDSVVTVDRILNGEEKKKYRINGKHIWARKFKNNKDGLI >scaffold_200886.1 pep chromosome:v.1.0:2:7570599:7570923:-1 gene:scaffold_200886.1 transcript:scaffold_200886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHKVVTVVFACQEKCFVEAAIRPMAWPSFKAQSGAIKSFLRSIPEWKLVLETKTCGYNLMLLLAFRLGFQVFSNLKGFAPLFVNAVSVRGY >scaffold_200889.1 pep chromosome:v.1.0:2:7589738:7589927:-1 gene:scaffold_200889.1 transcript:scaffold_200889.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEZ3] MSIKCVRSHHIIVNSFLLSPHKCPFTCGALNAEASEVNFFAYARLMVFP >scaffold_200892.1 pep chromosome:v.1.0:2:7594187:7594448:1 gene:scaffold_200892.1 transcript:scaffold_200892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDQGFLCDCGKPATIRQAWTDANPGRRFYRCGAAWRSVCDFFQWRDLEKPHGWQKTALLEARDVIRGRRKR >scaffold_200893.1 pep chromosome:v.1.0:2:7595380:7598280:-1 gene:scaffold_200893.1 transcript:scaffold_200893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGLPSRIFADLEEPAGDRVNQYFKLNTIRVVLRALQPLPPINQSLVKCPPGVTPYWFTLFGGEEFVTAEMLQAKLRRPRALTSELRVKYACLLLVDGLLCRRSFNMKIPKEHVEMIRSLDVFLNYPWGRYSFDMTMQCIKSRAVNQLVQATVAIQGFIHALQLVFVEAVPDVLDAVGDATDPESGGEEVFPVISLKLDKVWDLDAQGEVQVLPIIPANEEGDVVDEGLADCSWPDEVGDPSVEFMLHQLEEGVVFKRDMFLGGFRGLAAPAQPPSRVVKKGKRKCNAKLLPKEMSNGEASSFKKLKMRSQRAKFDSVDPNSKLLAAVSSQIRAGLKESQSAIYANLCIDIKEMELRLQQSFKQNIFSVVAEYLAAKDTFNTVVDALGSGGGHPVSHLNQDPVQPDPLLSDPYAPAKLSSPKDTTPTFQGETGSSAAASGEKSSGGDTVVQFSEQLPDSATEVDPSSDKFKLLITHLVPTMELSVGEGLVLKDKDVLNIPIIIPPDSPQVCTNVMDSCVLVLRDSLFNNVDPASDPRAEFMRSNFPGSFAVLYAKFKKTSRKELFDFDPEVLAAVIDRSKFNGRECITDIDFLYFPFNIDKNRWIAVMVNLRNHVLTVFDPNADACRGSRLKPQLDFVCEMFPYFVRKVGLNDMMSSFSLEPLAFHRDTSVFQASVRSNTGILSLLFMEAHAFGGLEKVYKVNESAIRSWAESLAVELYEHCCGELVVE >scaffold_200899.1 pep chromosome:v.1.0:2:7644753:7646316:1 gene:scaffold_200899.1 transcript:scaffold_200899.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP89A2 [Source:UniProtKB/TrEMBL;Acc:D7KZ25] MEIWLFILASLSVSLLLHLLLRRRNSSSPPLPPDPNFLPFLGTLQWLREGLGGLESYLRSVHHRLGPIVTLRITSRPAIFVADRSLTHEALVLNGAVYADRPPPAVVSKIVDKHNISSGSYGATWRLLRRNITSEILHPSRVRSYSNARHWVLEILFDRFRNHGSEEPIVLIHHLHYAMFALLVLMCFGDKLDEKQIKQVEFIQRLQLLSLSRFNIFNIWPKFTKLILRKRWQEFLQIRRQQRDVLLPLIRARMKIVEERQRSEEEDNKDYVQSYVDTLLDLELPEEKRKLNEDDIMNLCSEFLTAGTDTTATALQWIMANLVKYPEIQERLHEDIRSVVGEEAKEVEEEDVENMPYLKAVVLEGLRRHPPGHFLLPHSVTEDTVLGGYKVPKNGTINFMVAEIGRDPAEWEEPMAFKPERFMGEDEAVDLTGSRGIKMMPFGAGRRICPGIGLALLHLEYYVANMVSEFQWKEVEGYEVDLTEKLEFTVVMKHPLKAFAVPRRSQD >scaffold_200909.1 pep chromosome:v.1.0:2:7718728:7719285:1 gene:scaffold_200909.1 transcript:scaffold_200909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSINTYGKSLWCEGFSILGAALAWRAVKESTYRMVNETPMTQDNQPALSSFMSKENVERLALGLCEMRGTALKVVKMLSIHDESCSCSDKYQIQTIIVLWKLKLCGNSFEIKEIGEKIFVKSKRSSSSS >scaffold_200910.1 pep chromosome:v.1.0:2:7720612:7720861:1 gene:scaffold_200910.1 transcript:scaffold_200910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHNVPVLDETNDLFRRFMQKKRDALFDSKKIEIYEEFDTVAYWKQKALNLEKMLEASTERERRLIENS >scaffold_200914.1 pep chromosome:v.1.0:2:7755716:7757617:1 gene:scaffold_200914.1 transcript:scaffold_200914.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFLGRFGLWFWFTGLLSVVFLGHLCEDNDPLDVLILMQEMAKAHKIPDYGWTMQNVNQWPSNNSKDHLEMIQERPYCRNWVKKRSKIIVEGLGVMEAYGKEGEAIKLLEYVRKRKIVMELID >scaffold_200915.1 pep chromosome:v.1.0:2:7763079:7763335:1 gene:scaffold_200915.1 transcript:scaffold_200915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLDKALQAMTIEENKPVKLKNLPKFSSCERNACRVLWEGYCVMKIKRCHDSSMTCLGFGMLATKLVV >scaffold_200918.1 pep chromosome:v.1.0:2:7873408:7873616:1 gene:scaffold_200918.1 transcript:scaffold_200918.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ38] MQPGHGPSAKSLFTAQPDHDHAAIKQGHRTLGARPCGHCSPKSFIQKAEFCRRKP >scaffold_200920.1 pep chromosome:v.1.0:2:7932952:7934190:1 gene:scaffold_200920.1 transcript:scaffold_200920.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKEMEKLCQRCKKSYTDSSNDTSSCRFHPSFFVCRRHDDQKRYYELKPEDPPYAAKFYDCCGAEDPNAPGCVTNPHISYDD >scaffold_200923.1 pep chromosome:v.1.0:2:7964152:7965939:1 gene:scaffold_200923.1 transcript:scaffold_200923.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7KZ46] MSIIAGSYERFIWGFRLKPTKHDADNQTLTLSPLFSYPSHISPITTVACSGPAAASGGSDDTIHLYDLPSASSLGSLLDHNHAASITALSFYTPSSLSFPRNLISAAADGSVAIFDTDPFVLLKSFRPHKKAVNDLAIHPSGKLALAVYRDEFFAMLNLVRGKRSFCCRLGLEASLVKFDPSGERFFMVVSNKVGVHQSEDAKLLLELENPSRKRILCAAPGESGTLFTGGEDRAITAWDTNSGKLAYSIEDAHPARIKGIVVLTRNDSDGSLEDPYLIGSASSDGGIRVWDVRMAAKENTKPLAETNTKSRLTCLAGSALKSMRRPQIGKQQAQKLDEGDENSE >scaffold_200924.1 pep chromosome:v.1.0:2:7966315:7966632:-1 gene:scaffold_200924.1 transcript:scaffold_200924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLIWLTAEEAAKNRGKVLSLYRQLLRSINSPKLQLSYAARLAKKAEVKTIFLFGSEEISKHNVADLIRTAEYALSQLKQGKIPNNTTQY >scaffold_200932.1 pep chromosome:v.1.0:2:8036866:8037238:-1 gene:scaffold_200932.1 transcript:scaffold_200932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSHISSPRSYSRPAISIFSVFLLFLLGLTLTSRKPSDPSFCLAPNRNLSRISKIPKLTYLVTGTKGDSNRDVVFPTLGPSLAGSLSRPKVDRFENNRETKSKLLKS >scaffold_200933.1 pep chromosome:v.1.0:2:8062142:8063276:1 gene:scaffold_200933.1 transcript:scaffold_200933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTQVKALEEKLKSQLGQLELEQAVFERMVYKNKNQHRRCSYFQYLLKVRRDLRLLRTANMESMLRPCFHVISGRISKQKIHVLESLKLKKSDTGKPNILERLLGALHLLSQMTEPILKAASGISTLLARSFFIGFSVTFLALLARLRVLIQQILLDAVSVFNSVTSTSLKKQSVKIAQDGVEVGQRSLV >scaffold_200934.1 pep chromosome:v.1.0:2:8065250:8065688:1 gene:scaffold_200934.1 transcript:scaffold_200934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYVSFLFGSPGKSGRVEPYYVFQRKHIPWGTSLNLARADAKQWTEAETLLTPPTQQTTNHAMTDNNWWIHQNQVGLSDASFVNGDFPSKTGWVLRDNDMFYVGVGQAIGKTTSNVFEEKFEALIIAMQHC >scaffold_200935.1 pep chromosome:v.1.0:2:8072088:8072414:1 gene:scaffold_200935.1 transcript:scaffold_200935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSKGRIYGLGSIQYLNVDPNEAASASLLRNLDIDTRITKMEDNVEVMKGAMDVLLRLNGIDPVTLEPTGHACSFACQGSFICWKSFSLV >scaffold_200936.1 pep chromosome:v.1.0:2:8072854:8073043:-1 gene:scaffold_200936.1 transcript:scaffold_200936.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ60] MRRTYSLCITGNQSRSSVTTVGSGGATGSAIMGGLMTYACRFENTGKHR >scaffold_200942.1 pep chromosome:v.1.0:2:8114092:8114501:1 gene:scaffold_200942.1 transcript:scaffold_200942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGVLFMVSCLLTFLVLSHVRVVESKTKWGCDMNRSFPGQCGPNGKNTCISDIKKLPGAPKDLVVRCECSAAFVWPGQPPRRLCKCQYDC >scaffold_200944.1 pep chromosome:v.1.0:2:8122633:8123849:1 gene:scaffold_200944.1 transcript:scaffold_200944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQIYLSNLSRGRTLKYVRVKILSLWRVRIYGFRCKTEMLLADEQGTKIEGTIGCGPFHNVDMRELREDAWYTISDFVVSVPIRRTPNTLHPFHIKFHSDTKMTLIYDLYSPNFSEFAKYSVIKRRLLEANRPFDLCGVVVYVSEIKRMTYVPGEYDASTACNYLYFRLMDQKGRELPCFALGHYAADFMNVWTSRGYQASFRYQPVFCVLRFWKVDEFMGMHLVY >scaffold_200945.1 pep chromosome:v.1.0:2:8124764:8125661:1 gene:scaffold_200945.1 transcript:scaffold_200945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFHDVSILRPCITGWHIRVKVLRMITVCINPRNALRLVLVDDKGFKIDCWINSEYAKHYAEFLKEDRWFSFTAFRVLENSDRVRLTNHHFRMSVFGTTVVLPADPPSTEPRDSFTPFSSIIDGTVDESVLIDLIGVLSDVGELVNVGTNPSDLTGFRLSFRIRGPWLRECYRWFGVGTLSDGVPKAL >scaffold_200946.1 pep chromosome:v.1.0:2:8126524:8127819:1 gene:scaffold_200946.1 transcript:scaffold_200946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLSNAIRNSIRKRECFFSVSDLNPFVHEWKIKVKILRKFYLYETLELILVDEKGQKIHAVINKEYEDRRTSKIVEGNWISITNFDLVPVTGAFRPVPHRFKIVWNSGTTIKDIRPLCSAEFFSFVAFEDIKSGSLDPTLCVDLIGRVVRVGNGRESGPPASDWNELFLELENEEGERLQCRLPNEYSNAFFNEWRNCLDNIIICVLRFARLEVTRDFWRATTVYTCTRILLNYRCVEVTRMRDVFYDRREADD >scaffold_200948.1 pep chromosome:v.1.0:2:8132013:8133144:1 gene:scaffold_200948.1 transcript:scaffold_200948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRSSICVSDLIPSMNERMMGRFIIIRRFRCSSRLDTLELILADEKGDRIQASIGLDCLAYDSSRLVEGTWIFIKDFGLVDAVGSVRPTRHAYKILWNLSTSFQRTVVTASVDYFKFVRFEDVLAGLVDPSVCVDLIGRLMCVGNYDEDEGMNSTWEQIYLELENVRGIRIRCRLPKGYATKFFSGLKTCADNIILCVMRFARLELSRGDMRATTLCTCTELLFNPSCDEASRMRLAFASVERKLF >scaffold_200951.1 pep chromosome:v.1.0:2:8140641:8143065:1 gene:scaffold_200951.1 transcript:scaffold_200951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRKSKNSLTFIDDINPIHDQNKIKVLILRLWKAYQRDAGNTIEMVLVDEKGSRIHATVEDKNIKKFDSVLKEGDVVTLNPFKLIKYSGDYKSNSLSFKILFYRTTQVKPCDDFPKEVPEKYFVEFGDVLNGSRDTRVFVDVIGQIVNVGPIEDIKIRGKSTPKLDVELRDRGNVRLICTLWADFAKQVKVYTEANPAAVVCVIRCAQVKEWKGNWTISNAMGATRVLLDPPGVFVDEFRSGLPTDGVVLTNHDNSELFAGSTVSIRDQFLVKNHKRTVRDIVEALEEGMCVTMVTVGSVERTSKWYYVSCKMCNKSVEPYPENSGDDGKPPLYYCGVCDKDVSAVVFRYRLVLEVSDATNYKARFLLFDAMGSTLLRRTAQELYNAVSENDPSILPSEIGALVGRRFLFKVSIGGDNLKSDRSHYVVQLFSDDDELIKDYSDGLDSEVLVPLPVTPITKEASVRRGGVSVENPKPPAKKIKIEGKKEESFATT >scaffold_200953.1 pep chromosome:v.1.0:2:8162368:8162827:-1 gene:scaffold_200953.1 transcript:scaffold_200953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYLQGSFFYEQVTKTENSDMKFPFLLASVECFSQDLGVHAYSAIALLELGKLIGSVSFYRKALNNAKEGLSFIASFGGLRLSEENTKSNLENVVLVAESMIPKLQGRVRSDSDTAAAESMIQAADTMKFFSVFFLW >scaffold_200955.1 pep chromosome:v.1.0:2:8171665:8172104:-1 gene:scaffold_200955.1 transcript:scaffold_200955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVVVLCDLFTTVEESTNPSGDSIPSVGVMIGGVIIWYKPEGKSIGLICTSMFFRSLCWG >scaffold_200957.1 pep chromosome:v.1.0:2:8190394:8191866:-1 gene:scaffold_200957.1 transcript:scaffold_200957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASDPAILKSWRKTPPPSSSLVRLSQLANDKYESPPFSSGGHNWRLVVYPKGNEEDNGRGFVSMYVECLSSTTPPIDVFAHLTFFVFSEEEKKYLSIQDVEVKRFNSSKTVWGLSQALSVETLKDRAKGFILYGEEHEFGAHVKIALPPVPVDLNLPFHKFSWSIRDFSCLKQNDCVSKTFHMGEKNWTLTLYPKGDSETDGQLHQNLLLADGETLMRGEMIFVRVQLQVLDPHGSNHLTESLTCWVMASTRAYGLPQSMPCAKIQEAYLDREDTLKVEIECEVVKAIKNNPFF >scaffold_200958.1 pep chromosome:v.1.0:2:8193105:8193358:1 gene:scaffold_200958.1 transcript:scaffold_200958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSSTHAVPISETYAVRKTTTNRSTRANVAILEFWHCLKSPERETMVTRSFTSEEYERMSCRKCTSTPN >scaffold_200959.1 pep chromosome:v.1.0:2:8194597:8196047:-1 gene:scaffold_200959.1 transcript:scaffold_200959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNVSDPAMLKSWRKNAPSSSSLVRLSQLANDKYESPPFVSGGHNWRLVVYPKGNEEDNGSGFVSMYVECLSSTTPPIDVFTYLTFFVFSEEEKKYLSIQDVEVKRFNSSKTVWGLSKALSIETLKDRAKGFILYGELHEFGAHVKIVSRPDSFGEDLPFHKFSWTIRDFSLLRQNDCVSKTFHMGEKDWTLTLFPKGDSRADGELSQHLHLTDNDTLLKGELIFVRVNLKVLDPRGSNHLTGSLHSWLMNSNKARGKTQSMSLDKIQGAYLDREGTLEVEIECEVVNSIKNHPFF >scaffold_200960.1 pep chromosome:v.1.0:2:8205313:8206751:-1 gene:scaffold_200960.1 transcript:scaffold_200960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLNILVERIPSLKFTSFFAKVHIFLHHKHISKKCCLLVTLYKIRRLVVYPKGNEEDNGRGFVSMYVECLSSTTPPIDVFAYLTFFVFSEEEKKYLSIQDVEVKRFNTSKTVWGLSKALSIETLKDCAKGFILYGELHEFGAHVKIVSRPVSFGEDLHFHKFSWTIRDFSLLRQNDCVSKTFHMGEKDWTLTLYPKGDSRADGELSQHLHLADGETLFKGELIFVRVNLQVLDPRGSDHLTGSINGWVMASTKAMCLPQFMPLAKIQGSYLDREGTLEVEIECEVVNSIKNHPCF >scaffold_200964.1 pep chromosome:v.1.0:2:8249906:8250626:-1 gene:scaffold_200964.1 transcript:scaffold_200964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKNLRKFNNDGFDDWPVTKFFLSDLINALRHKKLGYCCDEDQRLLVAEFMPNDTLGQLLFHQINQTMEWSVTLRAACHVAEALDYCSHPSSV >scaffold_200980.1 pep chromosome:v.1.0:2:8459725:8460850:1 gene:scaffold_200980.1 transcript:scaffold_200980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLWKKKKKKPKSDVVSDIVSERGAKVLTDLIEFGHGISNPIKFFSADEILKATNNFSDINRVSGLAYYSDWYSGKNENHPMILIKKGANFWSSRVDLMCRDIAVSSMVSGHKNFLKLVGCCLESEEPVMVYNGVKKHYRLDIDEQTWKRRMKIAEDIATAFAYLHTAFPRPFIYRILYPWNILLDEDGVAKLTDFSLCVSIPEGETFVKVDKVYSYLYFYDDSSGVVSEKTDGFAFGMFMGQTLLLGKQRLSELCDGSLPSKLKEDRRIEEIADPKMLEKMGNNISEQELCQMEAFRMLSLRCIGPREEVPTMVEVAKELKKIQRSLNNDSSSPSGETHFDSPQDISSSVVLSNTHRHC >scaffold_200981.1 pep chromosome:v.1.0:2:8462388:8466717:1 gene:scaffold_200981.1 transcript:scaffold_200981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKASPVAGLFPPLRPTASSSPSTSNRPCSLRVLPLRPSFFGNSSGALRVNVLRLACANRLRCNGHGATMNLFERFSRVVKSYANALISSFEDPEKILEQTVIEMNSDLTKMRQATAQVLASQKQLQNKYKAAQQASDDWYKRAQLALAKGDEDLAREALKRRKSFADNASALKTQLDQQKGVVDNLVSNTRLLESKIQEAKAKKDTLLARARTAKTATKVQEMIGTVNTSGALSAFEKMEEKVMAMESEADALTQIGTDELEGKFQMLETSSVDDDLANLKKELSGSSKKGELPPGRSTVAASTRYPFKDSEIENELNELRRKANDF >scaffold_200982.1 pep chromosome:v.1.0:2:8481470:8481948:-1 gene:scaffold_200982.1 transcript:scaffold_200982.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQX9] MKISLILTETATSAFSLLEIQKQHPQIMTMFLMKTVILTKICSCVDGIKKIPPMLYSILDFL >scaffold_200987.1 pep chromosome:v.1.0:2:8527116:8527608:-1 gene:scaffold_200987.1 transcript:scaffold_200987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLIASGIWANTVGGKRVLGFGVIWWSIATILTHVDAKLGLPYLLVVRAFMGVGEGVAMPSMNNILSKRVHVQERSRSLTLVYSGMYLGSVTGLTIFSTG >scaffold_200990.1 pep chromosome:v.1.0:2:8548797:8549459:1 gene:scaffold_200990.1 transcript:scaffold_200990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIPNVLLTDIVRRVGKHGFRELGNVTANYVEGLRLAVQTGPSQRALDLIASATDEVMYAHFALGSFLICCGAFDQGMEVFFAFFRSVSTIEEAVGVAEMVIHQIADMGILPSGLYDNTLRFGGLPHCVLNNFSLLHLCPKCFAFHYARRIQAMC >scaffold_200993.1 pep chromosome:v.1.0:2:8557953:8559490:-1 gene:scaffold_200993.1 transcript:scaffold_200993.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:D7KQY8] MAARGALLRYLRVNVNPTIQNPRACALPFSVLLRRFSEEVRGSFLDKSEVTDRVLSVVKNFQKVDPSKVTPKAHFQNDLGLDSLDSVEVVMALEEEFGFEIPDNEADKIQSINLAVDFIASHPQAK >scaffold_200998.1 pep chromosome:v.1.0:2:8704286:8704508:-1 gene:scaffold_200998.1 transcript:scaffold_200998.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KQZ1] MEKKRMRSSYFYCFGEIEYSSSRPSSAVKTRSSILMVRRSSREVAVEWRVECFAVVELEC >scaffold_201000.1 pep chromosome:v.1.0:2:8742841:8743202:1 gene:scaffold_201000.1 transcript:scaffold_201000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRQICYGSLNTFLSVQLSGAAEEATAVFGETAALSIQKQYMQQLSASLGLNTYNEERNSNSTKDPGSEDYSLRQSKHTQSHGLKRYLWKFRTSFYKCLRQWLHR >scaffold_20100001.1 pep scaffold:JGI8X:scaffold_201:1569:2194:-1 gene:scaffold_20100001.1 transcript:scaffold_20100001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAASGSPQPSSPSTSPPPPPQPSRYESQKRRDWNTFLQYLRNHKPPLTLTRCSGAHVLEFLKYLDQFGKTKVHVETCPFFGHPDPPSSCSCPHKQAWGSLDALIGRLRAAYEENGGRPDSNPFAARAVRIYLREVKESQAKARGIPYEKKKRKRKPTVTSVRLDVDLTSSVTGDGSNSDSPATSGAVPSLG >scaffold_201001.1 pep chromosome:v.1.0:2:8746293:8749664:-1 gene:scaffold_201001.1 transcript:scaffold_201001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQLKSWIFELREIVREIKNSHYFLDSWTQFNSVGSFIHIFFHQERFRKLLDPRIFSILLLRNSQGSTSNRYFTIKGVVLFVVAALLYRINNRNMVESKNLYLKGLLPIPMNSIGPRNDTSEESFGSCNINRLIVSLLYLTKGKKISESCFRDPKESTWVLPITQKCIMPESNWSSRWWRNWIGKKRDFCCKISNETVAGIDISFKEKDIKYLEFLFVYYMDDPIRKGHDWELFDRLSPSKRRNIINFNSGQLFEILVKDWICYLMFAFREKIPIEVEGFFKQQGAGSTIQSNDIEHVSHLFSRNKWAISLQNCAQFHMWQFHQDLFVSWGKNPHESDFFRKLSRENWIWLDNVWLVNKDRFFSKVRNVSSNIQYDSTRSSFVQVTDSSQLNGSSDQFIDPFDSISNEDSEYHYHTLINQREIQQLKERSILLDPSFIQTEGREIESDRFPKYLSGYSSMPRLFTEREKRMNNHLLPEESEEFLGNPTRAIRSFFSDRWSELYLGSNPTERSTRDQKLLKKEQDVSFVPSRRSENKEIVNIFKIITYLQNTVSIHPISSDLGCDMVPKDELDMDSSNKISFLNKNPFFDLFHLFHERKRGGYTLRHGSEERFQEMADLFTLSITEPDLVYHKGFAFSIDSYGLDQRQFLKEVFNFRDESKKKSLLVLPPIFYEENESFYRRIRKNWVRISCGNYLEDPKRVVFASNNIMEAVNQYRLIRNMIQIQFQYSPYGYIRNVLNRFFLMKRPDRNFEYGIQRDIIGNDTLNHRTIMKDTINQHLSNLKKSQKKWFDPLIFLSQTERSINRDPNAYRYKWSNGSKNFQEHLEHFVSERKSRFQAVFDQLCINKYLIDWSEVIDKKDLSKSLRFFLSKLLRFFLSKLLLFLSKLLLFLSNSLPFFFVSFENIPIHRSEIHIYELKGPNDQLCNQLLESIGLQIVHLKKLKPFLLDDHNTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSIVSHDQDNWLNPVKPFQRSSLISSFSKANRLRFLNNPHHFCFYCNKRFPFYVEKARLNNSDFTYGQFLTILFIHNKIFSSCGGKKKHAFLERDTISPSSIESQVSASN >scaffold_201004.1 pep chromosome:v.1.0:2:8755046:8755464:1 gene:scaffold_201004.1 transcript:scaffold_201004.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L14, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KR01] MIQPQTYLNVADNSGARELMCIRIIGASNRRYAHIGDVIVAVIKEAIPNTPLERSEVIRAVIVRTCKELKRNNGTIIRYDDNAAVVIDQEGNPKGTRVFGAIPRELRQLNFTKIVSLAPEVL >scaffold_201005.1 pep chromosome:v.1.0:2:8755466:8756092:1 gene:scaffold_201005.1 transcript:scaffold_201005.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S8, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KR02] MGKDTIADIITSIRNADMNRKGTVRIGSTNITESIVKILLREGFIENVRKHRESNQYFLILTLRHRRNKKESYKTILNLKRISRPGLRIYSNSQRIPRILGGIGIVILSTSQGIMTDREARLKRIGGEILCYIW >scaffold_201008.1 pep chromosome:v.1.0:2:8757207:8758245:1 gene:scaffold_201008.1 transcript:scaffold_201008.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit alpha [Source:UniProtKB/TrEMBL;Acc:D7KR04] MVREKVKVSTRTLQWKCVESKRDSKRLYYGRFILSPLMKGQADTIGIAMRRALLGEIEGTCITRAKSENIPHDYSNIVGIQESVHEILMNLNEIVLRSNLYGTRNALICVQGPGYITARDIILPPSVEIIDNTQHIATLTETIDLCIELKIERNRGYSLKMSNNFEDRSYPIDAVFMPVENANHSIHSYGNGNEKQEILFLEIWTNGSLTPKEALHEASRNLINLFIPFLHVEEETFYLENNQHQVTLPFFPFHNRLVNLRKKTKELAFQYIFIDQLELPPRIYNCLKKSNIHTLLDLLNNSQEDLIKIEHFHVEDVKKILDILEKK >scaffold_201016.1 pep chromosome:v.1.0:2:8767214:8767668:1 gene:scaffold_201016.1 transcript:scaffold_201016.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L20, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KR10] MTRIKRGYIARRRRTKLRLFASSFRGAHSRLTRTMTQQRIRALVSAHRDRGKRKRDFRRLWITRINAVIHEMGVFYSYNQFIHNLYKKQLLLNRKILAQIALLNRSCLYTISNDIKK >scaffold_201017.1 pep chromosome:v.1.0:2:8767814:8768207:-1 gene:scaffold_201017.1 transcript:scaffold_201017.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S18, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KR11] MNKSKRLFTKSKRSFRRRLPPIQSGDRIDYRNMSLISRFISEQGKILSRRVNRVTLKQQRLITIAIKQARILSLLPFLNNQKQFERSESTPRTTSLRTRKK >scaffold_201018.1 pep chromosome:v.1.0:2:8768389:8768631:-1 gene:scaffold_201018.1 transcript:scaffold_201018.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L33, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KR12] MAKGKDVRVRIILECTSCVRNDIKKESAGISRYITQKNRHNTPSRLELRKFCPYCYKHTIHWEIKK >scaffold_201022.1 pep chromosome:v.1.0:2:8771272:8772177:1 gene:scaffold_201022.1 transcript:scaffold_201022.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b559 subunit alpha [Source:UniProtKB/TrEMBL;Acc:D7KR13] MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITGRFDPLEQLDEFSRSF >scaffold_201023.1 pep chromosome:v.1.0:2:8772179:8772733:1 gene:scaffold_201023.1 transcript:scaffold_201023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSNPNEQSVELNRTSLYWGLLLIFVLAHDHSMKCGGKRDKWLILLEGSSLGNRYCSWYSCDRFNRYFLLWFIFRIRFIPVEIG >scaffold_201032.1 pep chromosome:v.1.0:2:8785370:8786242:1 gene:scaffold_201032.1 transcript:scaffold_201032.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 3, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KRA9] MFLLYEYDIFWAFLLISSAIPVLAFLISGVLSPIRKGPEKLSSYESGIEPIGDAWLQFRIRYYMFALVFVVFDVETVFLYPWAMSFDVLGASAFIEAFIFVLILILGLVYAWRKGALEWS >scaffold_201036.1 pep chromosome:v.1.0:2:8790069:8791304:1 gene:scaffold_201036.1 transcript:scaffold_201036.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S4, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KRB2] MSRYRGPRFKKIRRLGALPGLTSKRPKAGSDLRNQSRSVKKSQYRIRLEEKQKLRFHYGLTERQLLKYVRIAGKAKGSTGQVLLQLLEMRLDNILFRLGMALTIPQARQLVNHGHILVNGRIVDIPSYRCKPRDIITVKDEQNSRTLVQNLLDSSAPEELPNHLTLHTFQYEGLVNQIIDRKCVGLKINELLVVEYYSRQT >scaffold_201040.1 pep chromosome:v.1.0:2:8799151:8799646:1 gene:scaffold_201040.1 transcript:scaffold_201040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S14, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KRB6] MAKKSLIYREKKRQKLEKKYHLIRRSSKKEISKIPSLSEKWKIHGKLQSPPRNSAPTRLHRRCFSTGRPRANYRDFGLSGHILREMVQACLLPGATRSSW >scaffold_201043.1 pep chromosome:v.1.0:2:8804207:8806073:1 gene:scaffold_201043.1 transcript:scaffold_201043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRWVRSTYFFFLICRFLIYRQIKKKKRIYICMMAVEQVCPHRLVVQDISLSRRQRGFDFPWG >scaffold_201044.1 pep chromosome:v.1.0:2:8807340:8808478:-1 gene:scaffold_201044.1 transcript:scaffold_201044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKYLSLFFDSFLHIHRNRGQNSHGYSKSRMGCFNGSFYIFPLSRSVGKKWTLEILLIAIFHLFKLIILTNGFYVNYKQKSSRNENEECGSNKCKNIYFHNLIVYYYYFFFLISRDLIP >scaffold_201045.1 pep chromosome:v.1.0:2:8810021:8816385:1 gene:scaffold_201045.1 transcript:scaffold_201045.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multifunctional fusion protein [Source:UniProtKB/TrEMBL;Acc:D7KRC2] MLGDEKEGTSTIPGFNQIQFEGFYRFIDQGLIEELSKFPKIEDIDHEIEFQLFVETYQLVEPLIKERDAVYESLTYSSELYVSAGLIWKTSRNMQEQIIFIGNIPLMNSLGTSIVNGIYRIVINQILQSPGIYYQSELDHNGISVYTGTIISDWGGRLELEIDKKARIWARVSRKQKISILVLSSAMGLNLREILENVCYPEIFLSFLTDKEKKKIGSKENAILEFYQQFSCVGGDPIFSESLCKELQKKFFHQRCELGRIGRRNINWRLNLNIPQNNIFLLPRDILAAADHLIGMKFGMGTLDDMNHLKNKRIRSVADLLQDQLGLALARLENVVKGTISGAIRHKLIPTPQNLVTSTPLTTTYESFFGLHPLSQVLDRTNPLTQIVHGRKLSYLGPGGLTGRTANFRIRDIHPSHYGRICPIDTSEGINVGLIGSLSIHARIGDWGSLESPFYELFEKSKKARIRMLFLSPSQDEYYMIAAGNSLALNRGIQEEQAVPARYRQEFLTIAWEEVHLRSIFPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQVALDSGVPAIAEHEGKILYTDTEKIVFSGNGDTLSIPLIMYQRSNKNTCMHQKPQVRRGKCIKKGQILADGAATVGGELALGKNILVAYMPWEGYNFEDAVLISECLVYGDIYTSFHIRKYEIQTHVTTQGPERITKEIPHLEGRLLRNLDKNGIVMLGSWVETGDILVGKLTPQVAKESSYAPEDRLLRAILGIQVSTSKETCLKLPIGGRGRVIDVRWVQKKGGSSYNPEIIRVYISQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGRPVDMVFNPLGVPSRMNVGQIFECSLGLAGSLLDRHYRIAPFDERYEQEASRKLVFSELYEASKQTANPWVFEPEYPGKSRIFDGRTGDPFEQPVIIGKPYILKLIHQVDDKIHGRSSGHYALVTQQPLRGRSKQGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIRARQEVLGTTIIGGTIPKPEDAPESFRLLVRELRSLEGSLIGMNHKISYMIDRYKHQQLRIGLVSPQQISAWATKIIPNGEIVGEVTKPYTFHYKTNKPEKDGLFCERIFGPIKSGICACGNYRVIGDEKEDPKFCEQCGVEFVDSRIRRYQMGYIKLTCPVTHVWYLKRLPSYIANLLDKPLKELEGLVYCDSNMKLRIVGGRSYSGPYPNFSFARPITKKPTFLRLRGSFEYEIQSWKYSIPLFFTTQGFDIFRNREISTGAGAIREQLADLDLRIIIENSLVEWKQLGEEGPTGNEWEDRKIVRRKDFLVRRMELAKHFIRTNIEPEWMVLCLLPVLPPELRPIIQIEGGKLMSSDINELYRRVIYRNNTLTDLLTTSRSTPGELVMCQEKLVQEAVDTLLDNGIRGQPMRDGHNKVYKSFSDVIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHRCGLPREIAIELFQTFVIRGLIRQHLASNIGVAKSQIREKKPIVWEILQEVMQGHPVLLNRAPTLHRLGIQSFQPILVEGRTICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEARLLMFSHMNLLSPAIGDPISVPTQDMLIGLYVLTSGTRRGICANRYNPCNRKNYKNERIYETNYKYTKEPFFCNSYDAIGAYRQKRINLDSPLWLRWQLDQRVIASREVPIEVHYESFGNYHEIYAHYLIVRSVKKETFCIYIRTTVGHISFYREIEEAIQGFSQACSYDT >scaffold_201046.1 pep chromosome:v.1.0:2:8816387:8820610:1 gene:scaffold_201046.1 transcript:scaffold_201046.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta'' [Source:UniProtKB/TrEMBL;Acc:D7KRC4] MAERANLVFHNKVIDGTAIKRLISRLIDHFGMAYTSHILDQVKTLGFQQATATSISLGIDDLLTIPSKGWLVQDAEQQSWILEKHHHYGNVHAVEKLRQSIEIWYATSEYLRQEMNPNFRMTDPFNPVHMMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTSDAGYLTRRLVEVVQHIVVRRTDCGTIRGISVSPRNKNRMMSERIFIQTLIGRVLADDIYIGFRCVAFRNQDLGIGLVNRLITFGTQSISIRTPFTCRSTSWICRLCYGRSPTHGDLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTGGTAEHVRAPYNGKIKFNEDLVHPTRTRHGHPAFLCYIDLSVIIESEDIIHSVTIPPKSFLLVQNDQYVESEQVIAEIREGTYTFHFKERVRKYIYSDSEGEMHWSTDVSHAPEFTYSNVHLLPKTSHLWILSGGSCGSSVILFSIHKDQDQMNIPFLSAERKSISSLSVNNDQVSQKFFSSDFADQKKLGISDYSELNGNLGTSHYNFIYSAIFHENSDLLAKRRRNRFLIPFQSIQEQEKEFIPHSGISVEIPINGIFRRNSIFAFFDDPRYRRKSSGILKYGTLKADSIIQKEDMIEYRGVQKFKTKYEMNVDRFFFIPEEVHILPESSAIMVQNYSIIGVDTRLTLNIRSQVGGLIRVERKKKRIELKIFSGDIHFPDKTDKISRHSGILIPPGRGKKNSKESKKFKNWIYAQRITPTKKKFFVLVRPVATYEIADSINLATLFPQDLFREKDNIQLRVFNYILYGNGKPTRGISDTSIQLVRTCLVLNWDQDNKNSSLEEVRAFFVEVSTKGLIQDFIRIGLVKSHISYIRKRNNSPDSGLISADHMNPFYSISPKAGILQQSLRQNHGTIRMFLNRNKESQSLLILSSSNCFRMGPFNHVKYHNVINKSIKKNTLITIKNSSGPLGTATQISNFYSFLPLLTYNKISLIKYLQLDNLKYIFQVINSYLIDENGKIFNLDPYSNVVLNPFKLNWYFLHQNYHHNYCEETSTIISLGQFFCENLCIAKKEPHLKSGQVLIVQRDSVVIRSAKPYLATPGAKVHGHYREILYEGDTLVTFIYEKSRSGDITQGLPKVEQVLEVRSIDSISLNLEKRIKGWNKCITRILGIPWGFLIGAELTIVQSRISLVNKIQKVYRSQGVQIHNRHIEIIVRQITSKVLVSEEGMSNVFLPGELIGLLRAERTGRALEEAICYRAVLLGITRASLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGVIPAGTGFNKGLVHCSRQHTNILLEKKTKNLALFEGDMRDILFYHREFCDSSISKSDFSRI >scaffold_201049.1 pep chromosome:v.1.0:2:8821697:8822767:1 gene:scaffold_201049.1 transcript:scaffold_201049.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit a, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KRC6] MNVLSCSINTLIKEGLYEISGVEVGQHFYWQIGGFQVHAQVLITSWVVIAILLGSAVLTIRNPQTIPTDGQNFFEFVLEFIRDVSKTQIGEEYGPWVPFIGTLFLFIFVSNWSGALLPWKIIQLPQGELAAPTNDINTTVALALLTSVAYFYAGLSKKGLGYFSKYIQPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >scaffold_201050.1 pep chromosome:v.1.0:2:8822921:8823703:1 gene:scaffold_201050.1 transcript:scaffold_201050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit c, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KRC7] MNPLVSAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >scaffold_201053.1 pep chromosome:v.1.0:2:8827600:8827830:1 gene:scaffold_201053.1 transcript:scaffold_201053.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRD0] MDPLVILIELSIVIQFKNYVSEFHNPNLQLIRVFGYKLRESIIFLESFIERERTKSF >scaffold_201055.1 pep chromosome:v.1.0:2:8829228:8829750:-1 gene:scaffold_201055.1 transcript:scaffold_201055.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein K [Source:UniProtKB/TrEMBL;Acc:D7KRD1] MLNIFNLICICFNYALFSSTFLVAKLPEAYAFLNPIIDVMPVIPLFFLLLAFVWQAAVSFR >scaffold_201056.1 pep chromosome:v.1.0:2:8832124:8832436:-1 gene:scaffold_201056.1 transcript:scaffold_201056.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KRD3] MEARFFFFSWNQENWVFYPSIYIYSLDPNWNSFFFDIKIRLYRSGKQKKMLSEFSLDTTCYFFHSFLSGSVVVLQTLPRIWTNPFLHTNV >scaffold_201057.1 pep chromosome:v.1.0:2:8832772:8834722:1 gene:scaffold_201057.1 transcript:scaffold_201057.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maturase K [Source:UniProtKB/TrEMBL;Acc:D7KRD4] MCHFRTQENKDFTFSSNRISIQMEKFQGYLEFDGARQQSFLYPLFFREYIYVLAYDHGLNRLNRNRSIFLENTDYDKKYSSLIVKRLILRMYEQNRLIIPTKDLNQNSFLGHTSLFYYQMISVLFAVIVEIPFSLRLGSSFQGKQFKKSYNLQSIHSIFPFLEDKLAHFNYVLDVLIPYPIHLEILVQILRYWVKDTSSLHFFRFCLYEYCNCKNFYIKKKSILNPRFFLFLYNSHVCEYESIFFFLRKRSSHLRSPSYEVLFERIFFYGKIEYFFKVFVNNFPAILGLLKDPFIHYVRYHGRCILATKDTPLLMNKWKYFFVNLWQCYFSVWFQSQKVNINQLSKDNLEFLGYLSSLRLNPLVVRSQMLENSFLIDNVRIKLDSKIPISSIIGSLAKDKFCNVLGHPISKATWTDSSDSDILNRFVRICRNISHYYSGSSKKKNLYRIKYILRLCCVKTLARKHKSTVRAFLKRLGSGLLEEFLTGEDQVLSLIFPRSYYASKRLYRVRIWYLDILYLNDLVNHE >scaffold_201058.1 pep chromosome:v.1.0:2:8834934:8835333:1 gene:scaffold_201058.1 transcript:scaffold_201058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFVIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWKX >scaffold_201061.1 pep chromosome:v.1.0:2:8927064:8927257:1 gene:scaffold_201061.1 transcript:scaffold_201061.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRD6] MPVTYGFCEFKRDGCTYYSRGFHGSSFTVQTYRDGFDVLDNLNHPFWEV >scaffold_201063.1 pep chromosome:v.1.0:2:8933683:8934903:-1 gene:scaffold_201063.1 transcript:scaffold_201063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSWFNFMFSKGELEYRGELSKAMDSFAPGEKTTISQDHFIYDMDKNFYGWGERSSYSNNVDLLVSSKDIQIFFYSYCSSSYLNNRSKGDNDLHYDPYIKDTKYNCTNHINSCIDSYFRSYICIDSNFLSDSNNSNESYIYNFICSESGKIRESKNYKIRTNRNRSNLISSKDFDITQNYNQLWIQCDNCYGLMYKKVKMNVCEQCGYYLKMSSSERIELSIDPGTWNPMDEDMVSEDGRIQEIADPKMIEKMGQISEQELCQMEAFRMLSLRCIGPSEEVPTMVEVAKELKKIQRSLNM >scaffold_201066.1 pep chromosome:v.1.0:2:8937799:8939730:1 gene:scaffold_201066.1 transcript:scaffold_201066.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H+-transporting two-sector ATPase, alpha/beta subunit, central region [Source:UniProtKB/TrEMBL;Acc:D7KRE0] MRTNPTTSDPEVSIREKKNLGRIAQIIGPVLDVAFPPGKMPNIYNALVVKGRDTLGQEINVTCEVQQLLGNNRVRDVAMSATEGLKRGMDVVDMGNPLSVPVGGATLGRIFNVLGEPVDNLGPVDTRTTSPIHKSAPAFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEQNLAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGTLQERITSTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQQVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFKLILSGEFDSLPEQAFYLVGNIDEATVKATNLEMEKVKEIILSTNSGQIGVLPNHALIATAVDIGILKIRLTNQWLTMALMGGFARIGNNEITILVNDAEKNSDIDPQEAQQTLEIAEANLRKAEGKRQTIEANLALRRARTRVEALNTI >scaffold_201068.1 pep chromosome:v.1.0:2:8941598:8942002:1 gene:scaffold_201068.1 transcript:scaffold_201068.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 3, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KRA9] MFLLYEYDIFWAFLLISSAIPVLAFLISGVLSPIRKGPEKLSSYESGIEPIGDAWLQFRIRYYMFALVFVVFDVETVFLYPWAMSFDVLGASAFIEAFIFVLILILGLVYAWRKGALEWS >scaffold_201069.1 pep chromosome:v.1.0:2:8942021:8942741:1 gene:scaffold_201069.1 transcript:scaffold_201069.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit K [Source:UniProtKB/TrEMBL;Acc:D7KRE2] MNSIKFPVLDRTTKKSVISTTLNDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDAITKLRKKIAREIYKDRIRPQQGNRCFTTNHKFFVVRSPHIGNYDQELLYPPSSTSEISTEKFFKYKSPVSSHELVN >scaffold_201071.1 pep chromosome:v.1.0:2:8978613:8978946:1 gene:scaffold_201071.1 transcript:scaffold_201071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGVSLPLIHEHVMMPWNDLRKGDCCERLEAISEGYYCKICDFFVHKKCGDSSEYIQHPIHPVHTLQLQHL >scaffold_201076.1 pep chromosome:v.1.0:2:9044902:9045211:1 gene:scaffold_201076.1 transcript:scaffold_201076.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRE7] MREPSMIVREAATEQLEERQSDWAYFKPRHSRSLSATLQPRHSRSLLQTSSFFSFDSLPLLNSAADFGVDGGEVPFWCRLRFQIGLIW >scaffold_201078.1 pep chromosome:v.1.0:2:9060940:9061861:-1 gene:scaffold_201078.1 transcript:scaffold_201078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTEFSIDMSSRFSCGVARDTISSALTWFFWLLSKNPEAMNKIRQEVNKKMPRFDPADLDKLVYLHGAVCETLRLYPPVPFNHKSPAKPDVLPSGHKVDENWKIVISMYALGRMKSVWGDDAEDFRPERWISYSGRLKQEPSYKFLAFNAGPRACLGKKLTFLQMKTVAAEIIRNYDIKVVEGHKTEPVPSVLFRMQHGLKVNITKI >scaffold_201079.1 pep chromosome:v.1.0:2:9069376:9069683:1 gene:scaffold_201079.1 transcript:scaffold_201079.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRE9] MREPSMIVREVAAEQLEERQSDWAYFKPRHSRSLSATLQPRHSRSLLQTSSFFSFDSLPLLNSAADFGVDGGEVPFWCRLRFQIGLIW >scaffold_201085.1 pep chromosome:v.1.0:2:9115460:9115728:1 gene:scaffold_201085.1 transcript:scaffold_201085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVCPRELELKYKKVPGLSSFGRAKGRSHAGAHDPRGGLANVLRVKATKPKLINIFKQLFRVFHLFLNSLSKT >scaffold_201088.1 pep chromosome:v.1.0:2:9125760:9127176:-1 gene:scaffold_201088.1 transcript:scaffold_201088.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyubiquitin [Source:UniProtKB/TrEMBL;Acc:D7KRF5] MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEIESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSF >scaffold_201091.1 pep chromosome:v.1.0:2:9132488:9133482:-1 gene:scaffold_201091.1 transcript:scaffold_201091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYALPWRYSTTELKGPSWFNSRLSGMMAVEQVCPHRLVVQDISLSRRQRGFDFPWG >scaffold_201093.1 pep chromosome:v.1.0:2:9137782:9138010:1 gene:scaffold_201093.1 transcript:scaffold_201093.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein Z [Source:UniProtKB/TrEMBL;Acc:D7KRF9] MTIAFQLAVFALIITSSILLISVPVVFASPDGWSSNKNVVFSGTSLWIGLVFLVGILNSLIS >scaffold_201094.1 pep chromosome:v.1.0:2:9138745:9139258:-1 gene:scaffold_201094.1 transcript:scaffold_201094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKILIYREKKRQKLEKKYHLIRRSSKKEISKISSLSEKWKIHGKLQSPPRNSAPTHLHRRCFSTGRPRANYRDFGLSGHILREMVQACLLPGATRSSCAG >scaffold_201096.1 pep chromosome:v.1.0:2:9144460:9144748:-1 gene:scaffold_201096.1 transcript:scaffold_201096.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf3 [Source:UniProtKB/TrEMBL;Acc:D7KRG3] MSAQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYVRLSPL >scaffold_201098.1 pep chromosome:v.1.0:2:9146177:9146822:-1 gene:scaffold_201098.1 transcript:scaffold_201098.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:D7KRG5] MSRYRGPRLKKIRRLGALPGLTSKRPKAGSDLRNQSRSVKKSQYRIRLEEKQKLRFHYGLTERQLLKYVRIAGKAKGSTGQVLLQLLEMRLDNILFRLGMALTIPQARQLVNHGHILVNGRIVDIPSYRCKPRDIITVKDEQNSRTLVQNLLDSSAPEELPNHLTLHTFQYEGLVNQIIDRKCVGLKINELLVVEYYSRQT >scaffold_201099.1 pep chromosome:v.1.0:2:9147784:9149226:-1 gene:scaffold_201099.1 transcript:scaffold_201099.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRG6] MSTITEVSIPRLNPIKSVASTNSAISPFLGSHYDVFPFFLMPKPWDSLHYRYLFYVFVIFFYFFELHPY >scaffold_201100.1 pep chromosome:v.1.0:2:9149901:9150419:-1 gene:scaffold_201100.1 transcript:scaffold_201100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit J, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KRG7] MQGTLSVWLAKRGLVHRSLGFDYQGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHLTRIEYGVNQAEEVCIKVFTHMSNPRIPSVFWVWKSTDFQERESYDMLGITYDSHPRLKRILMPESWIGWPLRKDYIAPNFYEIEDAY >scaffold_201102.1 pep chromosome:v.1.0:2:9151222:9151626:-1 gene:scaffold_201102.1 transcript:scaffold_201102.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 3, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KRA9] MFLLYEYDIFWAFLLISSAIPVLAFLISGVLSPIRKGPEKLSSYESGIEPIGDAWLQFRIRYYMFALVFVVFDVETVFLYPWAMSFDVLGASAFIEAFIFVLILILGLVYAWRKGALEWS >scaffold_201105.1 pep chromosome:v.1.0:2:9158323:9159817:1 gene:scaffold_201105.1 transcript:scaffold_201105.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-CoA carboxylase beta subunit [Source:UniProtKB/TrEMBL;Acc:D7KRH3] MEKSWFNFMFSKGELEYRGELSKAMDSFAPGEKTTISQDHFIYDMDKNFYGWGERSSYSNNVDLLVSSKDIRNFISDDTFFVRDSNNNSYSIYFDKKKKFFEIDNDFSDLEIFFYSYCSSSYLNNRSKGDNDLHYDPYIKDTKYNCTNHINSCIDSYFRSYICIDSNFLSDSNNSNESYIYNFICSESGKIRESKNYKIRTNRNRSNLISSKDFDITQNYNQLWIQCDNCYGLMYKKVKMNVCEQCGHYLKMSSSERIELSIDPSTWNPMDEDMVSADPIKFHSKEEPYKNRIDSAQKTTGLTDAVQTGTGQLNGIPVALGVMDFRFMGGSMGSVVGEKITRLIEYATNQCLPLILVCSSGGARMQEGSLSLMQMAKISSVLCDYQSSKKLFYISILTSPTTGGVTASLGMLGDIIIAEPYAYIAFAGKRVIEQTLKKAVPEGSQAAESLLRKGLLDAIVPRNLLKGVLSELFQLHAFFSLNKN >scaffold_201106.1 pep chromosome:v.1.0:2:9160009:9160620:1 gene:scaffold_201106.1 transcript:scaffold_201106.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit VIII [Source:UniProtKB/TrEMBL;Acc:D7KRH4] MQIYRESSIFLHFPKIPCCTHFMTTFNNLPSIFVPLVGLVFPAIAMASLFLHIQKNKIF >scaffold_201109.1 pep chromosome:v.1.0:2:9165114:9165672:-1 gene:scaffold_201109.1 transcript:scaffold_201109.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b559 subunit alpha [Source:UniProtKB/TrEMBL;Acc:D7KRH8] MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITGRFDPLEQLDEFMRWLAVHGLAVPTVSFLGAMTQSNPNEQSVELNRTSLYWGLLFIFVLAVLFSNYFFN >scaffold_201112.1 pep chromosome:v.1.0:2:9168831:9169073:1 gene:scaffold_201112.1 transcript:scaffold_201112.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L33 [Source:UniProtKB/TrEMBL;Acc:D7KRH9] MAKGKDVRVRIILECTSCVRNDIKKESAGISRYITQKNRHNTPSRLELRKFCPYCYKHTIHGEIKK >scaffold_201113.1 pep chromosome:v.1.0:2:9169261:9169606:1 gene:scaffold_201113.1 transcript:scaffold_201113.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S18 [Source:UniProtKB/TrEMBL;Acc:D7KRQ6] MNKSKRIFTKSKRSFRRRLPPIQSGDRIDYRNMSLISRFISEQGKILSRRVNRVTLKQQRLITIAIKQARILSLLPFLNNHKQFERSESTPRTTSLRTIKK >scaffold_201114.1 pep chromosome:v.1.0:2:9169857:9170253:-1 gene:scaffold_201114.1 transcript:scaffold_201114.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L20, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KR10] MTRIKRGYIARRRRTKLRLFASSFRGAHSRLTRTMTQQRIRALVSAHRDRGKRKRDFRRLWITRINAVIHEMGVFYSYNQFIHNLYKKQLLLNRKILAQIALLNRSCLYTISNDIKK >scaffold_201118.1 pep chromosome:v.1.0:2:9176232:9177547:1 gene:scaffold_201118.1 transcript:scaffold_201118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTENGVCHLYQDGFTSLDIHSNIWIYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFASVQYIMTEANFGWLIRSVHRWLASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLGVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSPLVELLR >scaffold_201119.1 pep chromosome:v.1.0:2:9177776:9178095:-1 gene:scaffold_201119.1 transcript:scaffold_201119.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KRR2] MFHPLIYWILRSPLIHGSVGYDHRKDSLKVNQPIPCMGLTQWLEQRHIWL >scaffold_201121.1 pep chromosome:v.1.0:2:9179179:9180209:-1 gene:scaffold_201121.1 transcript:scaffold_201121.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit alpha [Source:UniProtKB/TrEMBL;Acc:D7KR04] MVREKVKVSTRTLQWKCVESKRDSKRLYYGRFILSPLMKGQADTIGIAMRRALLGEIEGTCITRAKSENIPHDYSNIVGIQESVHEILMNLNEIVLRSNLYGTRNALICVQGPGYITARDIILPPSVEIIDNTQHIATLTETIDLCIELKIERNRGYSLKMSNNFEDRSYPIDAVFMPVENANHSIHSYGNGNEKQEILFLEIWTNGSLTPKEALHEASRNLINLFIPFLHVEEETFYLENNQHQVTLPFFPFHNRLVNLRKKTKELAFQYIFIDQLELPPRIYNCLKKSNIHTLLDLLNNSQEDLIKIEHFHVEDVKKILDILEKK >scaffold_201123.1 pep chromosome:v.1.0:2:9181347:9181946:-1 gene:scaffold_201123.1 transcript:scaffold_201123.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S8, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KR02] MGKDTIADIITSIRNADMNRKGTVRIGSTNITESIVKILLREGFIENVRKHRESNQYFLILTLRHRRNKKESYKTILNLKRISRPGLRIYSNSQRIPRILGGIGIVILSTSQGIMTDREARLKRIGGEILCYIW >scaffold_201124.1 pep chromosome:v.1.0:2:9181970:9182378:-1 gene:scaffold_201124.1 transcript:scaffold_201124.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14 [Source:UniProtKB/TrEMBL;Acc:D7KRR7] MIQPQTYLNVADNSGARELMCIRIIGASNRRYAHIGDVIVVVIKEAIPNTPLERSEVIRAVIVRTCKELKRNNGTIIRYDDNAAVVIDQEGNPKGTRVFGAIPRELRQLNFTKIVSLAPEVL >scaffold_201135.1 pep chromosome:v.1.0:2:9207360:9207613:-1 gene:scaffold_201135.1 transcript:scaffold_201135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRDSKSRAKERGGSSPLRGIILKWNKFGSGSRNLGDLLYLMNGEGKSALKSSALRPAVYDSTRITQG >scaffold_201136.1 pep chromosome:v.1.0:2:9208168:9210062:-1 gene:scaffold_201136.1 transcript:scaffold_201136.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase ND2 [Source:UniProtKB/TrEMBL;Acc:D7KRS5] MAITEFLLFILTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQRIVNGLINTQMYNSPGISIALIFITVGIGFKLSLAPSHQWTPDVYEGVRDYECNRSIRRQKDHPKMIISWLLRTNQIRWFHFSIFRTFVAFLSVTSKVAASASATRIFDIPFYFSSNEWHLLLEILAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYIAMNLGTFACIILFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWQAGLYFLVSIGLLTSVLSIYYYLKIIKLLMTGRNQEITPHVRNYRISPLRSNNSIELNMIVCVIASTIPGISMNPIIAIAQDTLFSF >scaffold_201137.1 pep chromosome:v.1.0:2:9210591:9211207:-1 gene:scaffold_201137.1 transcript:scaffold_201137.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S7, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KMT0] MSRRGTAEEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQIIYRALKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPIEIGSTQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >scaffold_201138.1 pep chromosome:v.1.0:2:9214180:9215957:-1 gene:scaffold_201138.1 transcript:scaffold_201138.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexaubiquitin protein [Source:UniProtKB/TrEMBL;Acc:D7KRS7] MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSVNSSKYGIGSIIYGRVFCLASMNFFL >scaffold_201141.1 pep chromosome:v.1.0:2:9230795:9232046:-1 gene:scaffold_201141.1 transcript:scaffold_201141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLIIRRFVVLQKWRNLRVSLQNGSSFSNSFSSASAADVSPKDGGKGETFKASSFLDSLRLVNADSVLDLLRSYGFTDSQISSIIRSDPQVLIANTATSLGSKLEFLQARGASSSELTEIVSTVPKILGKREGQSISRYYDFVKVIIEADKSSKYVKLSHSLSQGNKIRNVLVLRELGVPQKRLLPLLISKAQPVCGKEKFDASLKKVVEMGFDPTTSTFVVGFTVDDVWAMVKKWPRSLTHSEKKVANSIETFLGLGFSRDEFLMMVKRFPQCIGFSTELVKKKTEYLVKEMNWPLKAVASIPQVVGYSLEKRTVPRCNVIKVLISKGLLESELPAISSVLTSTSEKFLNCYVRKHDDKQLVAELMVIFTGDRVSLTDQKTRLEQ >scaffold_201142.1 pep chromosome:v.1.0:2:9237769:9237997:1 gene:scaffold_201142.1 transcript:scaffold_201142.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRT2] MALKASPAAGLFPPLRPTASSSPSTSNRPCSLRVLPLRPSFFGNSSASPSSLIRLGFCFLIV >scaffold_201146.1 pep chromosome:v.1.0:2:9243836:9244335:1 gene:scaffold_201146.1 transcript:scaffold_201146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTKTKVHDTVSEYCKLGCAYSVCCVLTTLENSDESETLNGAAENCTKACSTFCTKDSKTTIES >scaffold_201157.1 pep chromosome:v.1.0:2:9317342:9317556:1 gene:scaffold_201157.1 transcript:scaffold_201157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPGVLTEWPWSPLGGYKYLLVAPLAMASIHSYVTAEEEEKDLARLLIIALTLW >scaffold_201158.1 pep chromosome:v.1.0:2:9320787:9321557:-1 gene:scaffold_201158.1 transcript:scaffold_201158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGGKSKRGRGGGGRAPKVSPNRHVANRPTASGTSNRRPSTLPSQYTFTPANPEALETQQSPVNPVALESQPPTHRDYPPPTQLFQSGDDSSRGSGSYPFRASGSTQVRGSGSVQGRGSVGSIHRLASRSNQPPAPVQPPAPVQPPASTQPAASNRQIPSRQQKPSPQPRASVSHHSSQAQNSHEESEDEEAEGESEEDVLRDSTLPEDVLADLHATLLIPGREKYTTVISPNLEPETTW >scaffold_201162.1 pep chromosome:v.1.0:2:9345889:9346178:1 gene:scaffold_201162.1 transcript:scaffold_201162.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRU9] MVSCDDSSYESSLAPEDVIIAKNISMVLTNILAKEIIRFERDDYELYSVLTVNFGCAQNVVNKFNVGSGLLGLAYGKFSILK >scaffold_201163.1 pep chromosome:v.1.0:2:9347011:9347686:1 gene:scaffold_201163.1 transcript:scaffold_201163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTSALESELQALIIAMHSAGSEGGRCDEPLKLLSQPWLCIRIVGATAIRKYALKVIKGGIRHYERGRSHFGVFNWIRDIQPWKKRFQDFCKDFKIIGFNGSKENRISRRTLWLRHESLMIYNSCFTLMYLL >scaffold_201164.1 pep chromosome:v.1.0:2:9349633:9349872:1 gene:scaffold_201164.1 transcript:scaffold_201164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQIKHAVVVKVMGRTGSRGQVTQVRVKFTDSDRYIMRNVKGPVREGDILTLLESEREARRLR >scaffold_201165.1 pep chromosome:v.1.0:2:9356926:9358220:1 gene:scaffold_201165.1 transcript:scaffold_201165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSATLFLFSCVIMYLILNSVPVGIHIRETPVKLKLDLPNTWEKPQEQSVDMFDYISNQWLEISVGEFTTSMKNVGEISFAMYEYECQLWKSGLFVKGVTIPPKF >scaffold_201167.1 pep chromosome:v.1.0:2:9361626:9362599:-1 gene:scaffold_201167.1 transcript:scaffold_201167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQIQASRVFPVLEIEKGLSFMINVFHRRRRASSITLTSFPYPMKSFQLRRRNRKIAFALDTGSSIPGDNGGGGQEMNGDRTGLGSTRLGRIAVAGGKLLLGKINSARKNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGIVVAAIEGIGMLMYKKPSSSMFSGKLQSFVVFMNFWKAGVCLGLFVDAFKLGS >scaffold_201168.1 pep chromosome:v.1.0:2:9364322:9365092:-1 gene:scaffold_201168.1 transcript:scaffold_201168.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRV5] MIISTSFSASLPSLARIERPKLIFIYLHFCLIFSFSENLSLSLVFLSSKTCFCSNEVHDSRRCHY >scaffold_201170.1 pep chromosome:v.1.0:2:9424090:9429381:-1 gene:scaffold_201170.1 transcript:scaffold_201170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDDFYSGTENYSDYADSDEDDADADYEFVEDAADDSDDLIFRRRQQNYSVLSEADICKLQEDDISRISTVLSISRNSSAILLRHYNWCVSRVHDEWFADEEKVRDAVGLLEKPVVDFPTDGELECGICFEAFLCDKLYAAACGHPFCDSCWEGYITTAINDGPGCLTLRCPDPSCRAAVGQDMINLLAPDKDSQKYTSYFVRSYVEDNRKTKWCPAPGCDYAVNFVVGSGNYDVNCRCCYSFCWNCAEEAHRPVDCDTVSKWVLKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGAWTEHGEKTGGFYACNRYEAAKQDGIYDETEKRREMAKNSLERYTHYYERWATNQSSRQKALADLEKMQTDDIEKLSDIQCQPESQLKFIIEAWLQIVECRRVLKWTYAYGFYIPDHEHGKRVFFEYLQGEAESGLERLHQCAEKELQPYIDAKGPSEDFNEFRTKLAGLTSVTKNYFENLVRALENGLSDVSSHDAYDRTSSSKSLGGKTKGSTSKASSSDSNHWSCEYCTYVNPRSTTICQMCEHGR >scaffold_201171.1 pep chromosome:v.1.0:2:9431685:9439127:-1 gene:scaffold_201171.1 transcript:scaffold_201171.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:global transcription factor group B1 [Source:TAIR;Acc:AT1G65440](projected from arabidopsis_thaliana,AT1G65440) MARNAISDDEEDHELEDEDAEPVHGDPVEHDDNDDEEDDDDVGNEYENDGFIVNDEEEEEEDEEDEERKDSDEERQKKKKKRRKKDEDLDEDDYLLLQDNNVKFEKRKYKRLKKAQRERDNGPGESSDDEFDGRGGAKRSAEDKIKDRLFDDVDVDDPPDDVGDEEDLVVEEDVVGSEDEMADFIVDEDDGLGAPKRGNSKKKKFRQGSDINAMRDANEIFGDVDELLTIRKKGLASNERMERRLEDEFEPTVLSEKYMTGKDDEIRQLDIPERMQISEESTGSPPVDEISIEEESNWIYAQLASLLKESDGTFEGRGFSVNKDDIAKFLELHHVQKLEIPFIAMYRKEQCRSLLDTGDVDGANQDKKPETKWHKVFWMINDLDKKWLLLRKRKMALHGYYTKRYEEESRRVYDETRLNLNQYLFESVIKSLKVAETEREVDDVDSKFNLHFPPGEIGVDEGQYKRPKRKSQYSICSKAGLWEVANKFGYSAEQLGLALSLEKLVDELEDAKETPEEMAQNFVCAMFENPLAVLKGARHMAAVEISCEPSVKKYVRGIYMENAVVSTSPTADGNTVIDSFHQFSGIKWLREKPLSKFEGAQWLLIQKAEEEKLLQVTFKLPENYMNRLISDCNEHYLSVGVSKYAQLWNEQRKLILEDALHAFLLPSMEKEARSLLTSRAKSRLLSEYGQALWNKVSAGPYQKKEMDMNSDEEAAPRVMACCWGPGKPPNTFVMLDSSGEVLDVLYAGSLTSRSQNVNDQQRKKSDQDRVLKFMMDHQPHVVALGAVNLSCTRLKDDIYEVIFQMVEEKPRDVGHGMDDLSIVYVDESLPRLYENSRISGEQLPQQSGIVKRAVSLGRYLQNPLAMVATLCGPGREILSWKLHPLENFLQLDEKYGMVEQVMVDITNQVGIDINLAASHEWFFSPLQFISGLGPRKAASLQRSLVRAGSIFVRKDLIMHGLGKKVFVNAAGFLRIRRSGLAASSSQFIDLLDDTRIHPESYSLAQELAKDIYDEDVRGDSNDDEDAIEMAIEHVRDRPASLRKVVLDEYLASKKRENKKETYSNIIRELSCGFQDWRIPFKEPSPDEEFYMISGETEDTIAEGRIVQASVRRLQNGRAICVLDSGLTGMLMKEDFSDDGRDIVDLADQLKEGDILTCKIKSIQKQRYQVFLICKESEMRNNRHQHNQNVDAYYHEDRNSLQLVKEKARKEKELVRKHFKSRMIVHPRFQNITADQATEYLSDKDFGESIVRPSSRGLNFLTLTLKIYDGVYAHKEIAEGGKENKDITSLQCIGKTLTIGEDTFEDLDEVMDRYVDPLVSHLKTMLNYRKFRKGSKSEVDDLLRIEKGENPSRIVYCFGISHEHPGTFILSYIRSTNPHHEYIGLYPKGFKFRKRMFEDIDRLVAYFQRHIDDPLQESVPSLRSIAAKVPMRSPADHGSSGGSDWGSSQNEGGWKGGSGNAFQRRGGEYRNGGGRDGHPSGAPRPYGGRGRGRGRGRRDDMNSDRQDGNGDWGNNDTGTGDGGWGNSGLGAWGSESAGKKTGGAGSWGSESGGGGGGVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQGRT >scaffold_201174.1 pep chromosome:v.1.0:2:9468114:9472554:-1 gene:scaffold_201174.1 transcript:scaffold_201174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSRIQVNKAHKTRFTSKSSRNLHRTSLQDSCRIGKSDSNNYVKGAKAARFQRGKMLREQKRATVLKEKRASGGLNSAPRVIVLFPLSASVELDSLNEDLLELLSSDGSGVTSSTVASSEYKLKATVLKAPHGDLLTCMEMAKVADLMAFVASASSPWEENKSNYIDSFGNQCLSVFRSIGLPSTTVLIRDLPSELKKKNELKKICASQLASEFPEDCKFYPADTRDELHKFMWLFKAQRLTVPHWRSQRPYVVAQKVGMLVDDESSGKCTLLLSGYLRARKLSINQLVHVSGVGDFQFSKIEVLNDPFPLNERKNQNSMELDALHDEEVLNSLVPDPIKQEPLVVENTPDPLAGEQTWPTEEEMAEADKNQKQGKLKKKTLPRGTSEYQAAWIVDDTDEEDSDIGDSDDNGMVLDREEDANEERKYDQEFEDDEKSLNVRDFDSGTQNDSEMMDDEDLTDEQIKDEIKKIKEAHADDEEFPDEVETPIDVPARRCFAKYRGLKSFRTSSWDPNESLPQDYARIFAFDNVARTQKLVLKQALKMEEEDRDDCVQTGSYVRLHIKEVPLGAASKLSSLVNTKPIIGFRLIQHEIQMSVLHFSVKKYDGYEAPIKTKEELMFHVGFRQVIARPVFSTDNFSSDKHKMERFLHSGSFSLASIYGPISFPPLPLVALKISEGSDPAVAALGSLKSIEPNKIILKKIILTGYPQRVSKMKASVRYMFHNPEDVKWFKPVEVWSKCGRRGRVKEPVGTHGAMKCIFNGVVQQHDIVCMNLYKRAYPKWPERLYPQLL >scaffold_201175.1 pep chromosome:v.1.0:2:9472898:9476888:-1 gene:scaffold_201175.1 transcript:scaffold_201175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSTVNEIENRKTMIEPKKPNKRKREPAAVENLTSEEKEAQISSLNLEMKGLFAYFREVMDQSKRTDLFSRFSECSSVNSMVALLMEEMSLPLSKLVDEIYLKLKEKIESVTIVAVKSAVVSVGQRVSYGVLNADADVLEDDTESCLWCWETRDLKMLPNSIRGVLKIRRTCRKKIHERITAVSAMLAAVQREETEKSWRSDLSKASEKLGKILNEVDIRSFMDNMMQKNSTEMAEKDSKREEKLLLKQLEKSRCEAEKEKKRMERQVLKERLQQEKEQKLLQKAIIDENNKEKEETESRKRIKKQQDESEKEQKRREKEQAELKKQLQVQKQASIMERFLKKSKDSSVTQPKLPSSEVTAQEPSCTKHDNESGTVIQAIDNAFSTTCEATVDDIRREHFASWRQLGHSLLSSKKHWGMRRQPKSELFPKLKLATSDGEPNMEKHGDGHEEKNFDGVTCIRQCESSSSDRKKSRRAKQLLQFDKSCRPGFYGIWPSQSQVVKPRRPLQKDPELDYEVDSDEEWEEEEAGESLSDCEKDEDESLEEGCSKADDEDDSEDDFMVPDGYLSEDEGVQVDRMDIDPSEQDASTPSSKQDQESQEFCILLQQQKHLQSLTDHALKKTQPLIICNLTHEKVPLLAAKDLEGTQKVEQICLRALVVRPFPWSSLIEISINDIQDEDLETNKSTCSQSTPPSNSKAKSIPDSDLLTVVSTIQSCSQGINRVVETLQQKFPDVPKTKLRQKVREISDFEDSRWQVKKEVLTKLGLSPSPDKGGKRLPKTISTFFSKRCLPPSTKPQPAVEDASERLENENA >scaffold_201176.1 pep chromosome:v.1.0:2:9480507:9481268:1 gene:scaffold_201176.1 transcript:scaffold_201176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGRGRGGRGRAPKVSPNRPVANRPTASGTSNRTPSTLPSQYTFTPANPEAPETQQSPVNPVALESQPPTHRDYPPPTQLFQSGDDSPRGSGSHPFRESGSTQVRGSGSVQGRGSVGSIHRLASRSNQPPAPVQPPAPVQPPASTQPAASNRQIPSRQQRPSPQPRASVSHHSSQAQNSHEESEDEEAEGESEEDVLRDSTLPEDVLADLHATLLIPGREKFTTVISPNLEPETTW >scaffold_201177.1 pep chromosome:v.1.0:2:9493237:9495422:1 gene:scaffold_201177.1 transcript:scaffold_201177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINIRDPLIVSRVVGDILDPFNRSISLRVTYGQREVTNGLDLRPSQVQNKPRVEIGGEDLRNFYTLVMVDPDVPSPSNPHLREYLHWLVTDIPATTGTTFGNEIVCYENPSPTAGIHRIVVILFRQLGRQTVYAPGWRQNFNTREFAEIYNLGLPVAAVFYNCQRESGCGGRRI >scaffold_201180.1 pep chromosome:v.1.0:2:9534128:9535423:1 gene:scaffold_201180.1 transcript:scaffold_201180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLSNAIRNSIRKRECFFSVSDLNPFVHEWKIKVKILRKFYLYETLELILVDEKGQNIHAVINKEYEDRRTSKIVEGNWISITNFDLVPVTGAFRPVPHRFKIVWNSGTTIKDIRPLCSAEFFSFVAFEDIKSGSLDPTLCVDLIGRVVRVGNGRESGPPASDWNELFLELENEEGERLQCRLPNEYSNAFFNEWRNCLDNIIICVLRFARLEVTRDFWGATTVYTCTRILLNYRCVEVTRMRDVFYARREADD >scaffold_201186.1 pep chromosome:v.1.0:2:9561499:9563924:1 gene:scaffold_201186.1 transcript:scaffold_201186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRKSKNSLTFIDDINPIHDQNKIKVLILRLWKAYQRDAGNTIEMVLVDEKGSRIHATVEDKNIKKFDSVLKEGDVVTLNPFKLIKYSGDYKSNSLSFKILFYRTTQVKPCDDFPKEVPEKYFVEFGDVLNGSRDTRVFVDVIGQIVNVGPIEDIKIRGKSTPKLDVELRDRGNVRLICTLWADFAKQVKVYTEANPAAVVCVIRCAQVKEWKGNWTISNAMGATRVLLDPPGVFVDEFRSGLPTDGVVLTNHDNSELFAGSTVSIRDQFLVKNHKRTVRDIVEALEEGMCVTMVTVGSVERTSKWYYVSCKMCNKSVEPYPENSGDDGKPPLYYCGVCDKDVSAVVFRYRLVLEVFDATNYKARFLLFDAMGSTLLRRTAQELYNAVSENDPSILPSEIGALVGRRFLFKVSIGGDNLKSDRSHYVVQLFSEDDELIKDYSDGLYSEVLVPLPVTPITKEASVRRGGVSVENPKPPAKKIKIEGKKEESFATT >scaffold_201187.1 pep chromosome:v.1.0:2:9566850:9567089:1 gene:scaffold_201187.1 transcript:scaffold_201187.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRX9] MESINRTRVIAAYENMISDELRALLNSRPPKDVCCRARARRVAILKLRRIKARTRPPETGTILLY >scaffold_201188.1 pep chromosome:v.1.0:2:9572336:9579719:1 gene:scaffold_201188.1 transcript:scaffold_201188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTQNVIKQKKGCDGTCLDKGRKIEHKNKRAATFHCLGADMSSRFTSSSIERYNDFSRLNPAIVGWHVHVKVLRRFHTDDYISKGGLGLLLVDDKGNQIEALICSPLTFHYSTFIEEDEFYAIMNFRVVENSGFTKLTRSDFKIMFYDGTIVKEASSFSQDDYIVATPFGAIFNGYHDTSYLITLIGRVVESSDLTNVTDEPSVIGGYRYSFTIEDQEKKTLKCCAYGGVAIECHDRFRNVIGTDVTCVLRWWKVYQLLDGWRHVRIYSHPPYSKILVQSDADNHVEASAAVLSLDEFKDVLSDLGALSADKDYVLAVLYLRFVAAGMKPYPSDGLECDEILMNTNEDTLECINDIVISSWRLCPAYLRLRFVESLILYKSINVHTVWDACYRVLSGDVLAEQKIARNNPVAGLSYRRIRLQPPHASSFQIYPTVSLFTLWQLRFLLSRHTVHRPSMWSGSHWVCGGLGLLTCGGSVAA >scaffold_201191.1 pep chromosome:v.1.0:2:9617702:9617903:-1 gene:scaffold_201191.1 transcript:scaffold_201191.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSB3] MFCLAHDAFYKSLVHRFGFNSQWSSDQTWEWSIGSSLHFGRFFSSFIVFACDT >scaffold_201192.1 pep chromosome:v.1.0:2:9619229:9619446:-1 gene:scaffold_201192.1 transcript:scaffold_201192.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSB4] MSVLESEMNDVPIDEEPDDENLEDQTARNDFNIEQAVIEFIDEPCIRHDVIPDSDRD >scaffold_201196.1 pep chromosome:v.1.0:2:9678343:9678883:1 gene:scaffold_201196.1 transcript:scaffold_201196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSPNISALLLTLLLILFTLSSQLEVVECTGRKLSWGFSGTPIVYTPPSRSCGTSPAVFTWKWRRPRPCRLPPGSYIPASNESP >scaffold_201197.1 pep chromosome:v.1.0:2:9681201:9681741:1 gene:scaffold_201197.1 transcript:scaffold_201197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSTNISALLLTLLLILFTLSSQLEVVECTGRKLSWGFSGTPIVYTPPSRSCGTSPAVFTWKWRRPRPCRLPPGSYIPASNESP >scaffold_201198.1 pep chromosome:v.1.0:2:9682824:9683191:1 gene:scaffold_201198.1 transcript:scaffold_201198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTSSHMVALLLSLLILIFTLSSQIRVVEATSRKLANGRPIVWTPASRS >scaffold_201199.1 pep chromosome:v.1.0:2:9700263:9700547:-1 gene:scaffold_201199.1 transcript:scaffold_201199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIRMKICSPTATRDVMFTSAKVTADVGVEMGIIDSAYDSAAGTVEVAVKLGEEIIRRGGDEHVYGKMGETLCNTRPAT >scaffold_201200.1 pep chromosome:v.1.0:2:9704000:9704539:1 gene:scaffold_201200.1 transcript:scaffold_201200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSPNISALVLPLLLILFTLSSQLEVVECTGRKLSWGFSGTPIVYTPPSRSCGTSPAVFTWKWRRPRPCRLPPGSYIPASDQSP >scaffold_201202.1 pep chromosome:v.1.0:2:9714738:9715135:-1 gene:scaffold_201202.1 transcript:scaffold_201202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVNQHRSVVNKARPRRRSCLVIPHLYEMVDDPSTDSIISWSGSGESFIVWNEPEFLRDVLLRHLGLLYKEMTSFTRWLDVLGYRKVEESDEQWEYAGDCFVNEHLHRLTHSLLI >scaffold_201208.1 pep chromosome:v.1.0:2:9733304:9735489:-1 gene:scaffold_201208.1 transcript:scaffold_201208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAVVENKKVILKNYVDGIPKETDMEVKLGETIELRAPKGSSYFLVKNLYLSCDPYMRGRMRDFHGSYLPPFVPGQRIEGFGLAKVIDSDDDNYKTGDIISGIIGWEEYSLLRSSDNLQLRKIRLYDDIPLSYHLGLLGMAGFTAYAGFNEICCPKKGDSVFVSAASGAVGQLVGQLAKLHGCYVVGSAGSKQKVNLLKNELGFDEAFNYKEEADLDAALKRYFPEGINIYFDNVGGSMLDAALLNMKVRGRIALCGMVSLQSLSSSSQGINNLYNAIPKRVRLEGFLQSDYLNIFPQFLENVMRYYKEGKIVYIEDMSEGLELAPAALVGLFSGKNIGKQVVRVAKE >scaffold_201209.1 pep chromosome:v.1.0:2:9751494:9753355:-1 gene:scaffold_201209.1 transcript:scaffold_201209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLSFVRVFSIVITIIMSHFGQFDARTSLNVLSFGAKPNGMVDSVKAFSDAWDAACGVADSVVIYVPKGRYLVSGEVRFEGESCRSREITLRIDGTLIGPQDYNLLGKKENWFSFSGVHNVTILGGSFDAKGTTLWNCKANGYNCPEGATTLRFMDSYDVKIKGVLSLNSQLFHIAINRCRNIKIEDVRIIAPDESPNTDGIHIQLSTDIEVQNASIKTGDDCISIGPGTKNLMVDGITCGPGHGISIGSLAKSTEEQGVENVTVKRAVFVRTDNGLRIKSWPRHSNGFVERVRFLGALMVNVSYPILIDQNYCPGDSSCPSQESGIKINDVIYSGIKGTSATKVAIKMDCSEQVPCTGIRMQAINLNYYGEAAETSCTNVSGKQLGLVTPSGCV >scaffold_201212.1 pep chromosome:v.1.0:2:9789867:9793572:-1 gene:scaffold_201212.1 transcript:scaffold_201212.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7KSD6] MHPGNVWGGSLDAVDSDRIAAEEEERLRKTTEWDRGAIHSQRSELDETQQGWLLAPQDSWRKKKKKYVNLGCVSVSQTACMWTIGTIAVLFLVVALPIIIVKSLPRHKSTPPPPDNYTLALHKALQFFDAQKSGKLPKKNKVSWRGDSGLGDGKPDVVGGLVGGYYDGGSNIKFHFPMAFSMTMLSWSLIEYSHKYKAIDEYDHMRDVLKWGTDYLLLTFNNSATRLDHIYTQVGGGLRDSESPDDIYCWQKPEDMSYDRPVLSATSAADLGAEVAAALSAASIVFTDKPDYAKKLKKGAETLYPFFRSKSRRKRYSDGQPTAQAFYNSTSMFDEFMWAGAWLYYATGNKTYIQYATTPSVPQTAKAFANRPDLMVPSWNNKLPGAMLLMTRYRLFLNPGFPYENMLNRYHNATGVTMCAYLKQYNVFNRTSGGLMQLNMGKPRPLEYVAHASFLASLFADYLNSTGVPGWYCGPTFVENHVLKDFAQSQIDYILGDNPLKMSYVVGFGKKYPRRVHHRGATIPNDKKRRSCREGLKYRDTKNPNPNNITGAMVGGPNRFDEFHDLRNNYNASEPTLSGNAGLVAALISLTSSGRQLIDKNTMFNSVPPLYPPTPPPPKAWKP >scaffold_201216.1 pep chromosome:v.1.0:2:9839359:9839749:1 gene:scaffold_201216.1 transcript:scaffold_201216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYHYSPPELNESYGFDVKEMRKLLDGHNLEDIDWLSGLMMLSNLFNRKERGGKIFVSPDYNHLSMKRILYLLENGVFQGWLTETGPEAESLLLLLRCNA >scaffold_201217.1 pep chromosome:v.1.0:2:9848952:9849344:1 gene:scaffold_201217.1 transcript:scaffold_201217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHEHSNDNLVPAFLGYTLGVLKDDFIEAKRLKKIDDENSLNNIFANDFVHINPGDSPGMEHLGVINNRFTNDFVPTNPGDSPGIGHPGVVNNKFTNDFAPTNPRNSPGIGHPEL >scaffold_201219.1 pep chromosome:v.1.0:2:9889674:9889920:1 gene:scaffold_201219.1 transcript:scaffold_201219.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSE6] MNVAISSSLFLYSASRRMFSAFCSSNAALCSSISFYKVAIRSFFSSMAFSKSTCEGYEKPEEREEDDI >scaffold_201220.1 pep chromosome:v.1.0:2:9890087:9890978:-1 gene:scaffold_201220.1 transcript:scaffold_201220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRSYYPTYTEEQLEKHKQGDFSSWFHLSHNGITKSILRMMHGILKTPYPKFSEMPSDEQEIWLKQFAQDFTWHRDFTNDVRKAFKKIAAKHYSYTLHEWKRKWIRGKMPKGANQEVFNGLIRYWGKPETISLSKKNSKNRKSNRGGLGIATQNAGATSASSRQRQLTVRDGVVPDNLTLMEDMNTNKETKQVQDGRAKMVLENVKA >scaffold_201225.1 pep chromosome:v.1.0:2:9914695:9917196:1 gene:scaffold_201225.1 transcript:scaffold_201225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSIRCLIIRCSSNLSNFPGTVTPGIPAGFRSFCSKYSVTDLALNSVVKVFCRSTKSSVLQPWQKRLPHRSTGSGFVISGNKILTNAHVVADHTFVQVRKHGSPTKYTAKVQAMGHECDLAILVINSKKFWKDMKPLDLGDVPSLYETVSVVGYPQGGDNISITKGVVSRVEVTKYSHSQSKLMTTQIDAAINPGNSGGPVIMENKVVGVAFQGLSRSQNTGYIIPTPVVNHFLTSVEENGQFVGFCSLGISCQHMENTHFRNHFKMGPKMTGIRIRKINRSSSAYNILKKDDILLAIDGVPIENDETVIFRKKERINFSHLVSMKKPGEKTSLKVLREGKKHEFNINITPVESLLPVYHFDKLPSYYIFAGFVFLPLTKPYLDCSYSMCDCALTHMPKKPGEQIVIISQVLEADVSVGYANLTDLQVKRVNGVQVENLKHLCQLIEGCCTEDLRLDLEGAFAITLNQNYAKKATAKILKRYGIPSAMSKDLRS >scaffold_201226.1 pep chromosome:v.1.0:2:9923870:9924451:-1 gene:scaffold_201226.1 transcript:scaffold_201226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQPSHLARPIAIPVFFRVVADPAGDHHQNRMTGDEKRRCGHYQLFTRRDFQLIFFFIPIKFAIRTSVLSKRWRHVWSETPFLSIDCRSADANSINKTLASYSAPKIMSFHLCISSKAHEIDIA >scaffold_201231.1 pep chromosome:v.1.0:2:9959126:9959522:-1 gene:scaffold_201231.1 transcript:scaffold_201231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTPNKSTSSNKRKANDAAPSSGSVVGEHESRPPGIKAMKKLRKTKGKEKASASAAPSAASATPSAEFSKMFELKQKDVEGMKELQKLSILDSLIAKKENLDEEDKIVKKKLVAELF >scaffold_201233.1 pep chromosome:v.1.0:2:9980402:9981756:-1 gene:scaffold_201233.1 transcript:scaffold_201233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHQKIYPVHDPEAPTARPTAPLFPRGSSRSEHGDPSKVPLNQRPQRFVPLAPPKKRRSCCCRCVCYTFCFLLLLVVAVGATIGILYLVFKPKLPDYSIDRLQLTRFALNQDSSLTTAFNVTITAKNPNEKIGIYYEDGSKITVWYLENQLSNGSLPKFYQGHENTTVIYVEMTGQTQNALGLRTTLEEQQQRTGNIPLRIRVNQPVRVKFGKLKLFEVRFLVRCGVFVDSLATNNVIKIQSSSCKFRLRL >scaffold_201235.1 pep chromosome:v.1.0:2:9993813:9995202:1 gene:scaffold_201235.1 transcript:scaffold_201235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRFEEPNDEEYARRVESYWRQVYESDCFDIEGVRAPPCMEMNGLITFNCLSFGYPDRPLVNRYARLGLHRYNMKEGTNFELDCLIKFNKRCIGASSYWITLAARDTVARSPMQTFQVQVDEKRARLLDLTCSIARVKGETTTTAPFSSHNDCVVDGRLPDWPVDAFDGSQRFYLAEGSELLYTHWIHLYLELAVCKTHMSISDKDLSKLKIVKVAIETTEEEPLKAKNSILYIAFKGLATGGIGEHVERKAIIKSGIGEHTGFLYLKGNLCRGEEELTPMSVVERCIQYRDRLLTV >scaffold_201241.1 pep chromosome:v.1.0:2:10059924:10060125:-1 gene:scaffold_201241.1 transcript:scaffold_201241.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSG8] MHANKWRVVLDKWRTTRHIANGTPTHVATETTLVATRTTLVATQMTSVEEFN >scaffold_201245.1 pep chromosome:v.1.0:2:10108450:10109708:-1 gene:scaffold_201245.1 transcript:scaffold_201245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGKLRSGVYRSFIMCDDPRDVVDCGAIKKQSKSRSTKQLYDRSNAPKFGNSDSQSQAPPPPSRTKPEQVDPSREHMRSREESELKQFGDASGSSNEAANKRQGRASQNSSNYDKSLLHKNSYDGTGRSKPKPANLRADESMGNVHKACNTRAINLTNVNTKTCSHSFVGKSLSYV >scaffold_201246.1 pep chromosome:v.1.0:2:10110154:10110862:1 gene:scaffold_201246.1 transcript:scaffold_201246.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSH3] MVSISSMAFPSSVTSMAFPSSVRRIFSSVSSSHNFSRMRSFSSVSVSSSVRSFSVRSFSSTNEELFAREAEEKLAKEKDEELFAKEAEEKLAKEKDEELFEKEAEEKLATEAEEKLATEAGKVEFAEISDRFTNLLKVHLSPPFYGIGRKQTKYWNSCAHYAIKGLGVQFDYDKKEIPVNGNEYLYFLFLLPSSEREVEILMGEKSVQFFLDNVEQNDLAKK >scaffold_201247.1 pep chromosome:v.1.0:2:10111627:10111895:-1 gene:scaffold_201247.1 transcript:scaffold_201247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDNYGGVTAYAYHGGVNIKYTALINIQRALEEADLKNAKVTVPFNADIYFSPEGNPVPSAGDFKPELIKGCND >scaffold_201251.1 pep chromosome:v.1.0:2:10125636:10125934:-1 gene:scaffold_201251.1 transcript:scaffold_201251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIIYHCKLLAFGLGRRACPGSGLAQRLVSLSLGSLIQCFEWERIGEEEVDMTEGGGLTMPRARPLVAMCRARAFVGKILHESG >scaffold_201252.1 pep chromosome:v.1.0:2:10129402:10132991:1 gene:scaffold_201252.1 transcript:scaffold_201252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFVTDDRDADPNVGISLEESQRDLNFISFGNQETVDGNHCSCKSQIKNLRELAKLFEVSLKLTVPDEPNVEPLIEPAKFGDYQCNNAMGLWSIIKGKGTQFKGPPAVGQALIKNLPSSEMVESCSIAGPGFVNVVLSAKWIAKSIENMLIDGIDTWAPTLSVKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEYSKVEVLRRNHVGDWGTQFGMLIEFLFEKFPDTDSVTETAIGDLQMFYKKSKLRFDLDPEFKEKAQQAVVRLQGGDPVYRKAWAKICEISRNEFAKVYQRLRVELEEKGESFYNPYIANVIEELSSKGLVEENEGARVIFVEGFNIPLMVVKSNGGFNYASTDLTALWYRLNEEKAEWIIYLTDLGQQQHFHMFFKAARKAGWLPDDDKTYPRVNHVGFGLVLGADNKRFRTRANEVVRLVDLLDEAKDRSKAALIERGMDKEWTPEELDQTAEAVDTVYADLKTNRLTGYTFSFDQMLNDKGDTAVYLLYAHARICSIIRKSGKDIDELKKTGKIALDHAAERALGLHLLQFAETVEEACTTLLPNVLCKYLYYLSEEFTKFYSNCQVNGSAEETSRLLLCEATAIVMRKCFYLLGITPVYKL >scaffold_201253.1 pep chromosome:v.1.0:2:10133308:10135614:1 gene:scaffold_201253.1 transcript:scaffold_201253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRRFICYNASSTVSSIAPSPKKKPLIFLGSPQVSVTVLEALFNASAAPNSSFEVAGIVTQPPSRRDRGRKVLPSPVAQYALDKGLPSDLIFSPEKAGDEAFLSALRELQPELCITAAYGNILPTKFLKIPLHGTVNIHPSLLPLYRGAAPVQRALQDGVPETGVSLAFTVRKLDAGPVIASKRFQVDDLIKAPELLSFLFSEGSKLLIRELPSIFDGSAKSKAAPQDDSKATLAPKIAPDEAWLSFDEEAFVLHNKVRAFAGWPGTRAKVVVLDDKSGQQNVLELKIMSTRVCKDLEIQDGGQDYVTFKKGSLVFPCGGGTALEVLEVQLPGKKAINAAAFWNGLRGQKLKKL >scaffold_201256.1 pep chromosome:v.1.0:2:10140160:10140605:1 gene:scaffold_201256.1 transcript:scaffold_201256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHSHNRWRKEDWFFDCGCNRNSCSSGRAAFWSDEFQLCGDGDSVSDRFSIAADICSSFMVMVAVLVAAMVGVGVAAAMWMMGIAALVCCGREIGIETGVAGRMVESVVRELGYGRSRYLRDKSEDGYSSSRA >scaffold_201261.1 pep chromosome:v.1.0:2:10185250:10187322:1 gene:scaffold_201261.1 transcript:scaffold_201261.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRNNILLAVCATFSILNLVESQNYQQVIYPKDVRDRIWFPYFEPEWTQINTTLKVSDSSDGYDPPLDAFKTAAIPTNASEKMTITWSLDISDDQTYCYIYVADIQQIKMMKKGRAKATVRFTLDDPSMTLSIGFLFDVFKNIFFYSLEGNKKLCVACGTKFPVVLVVASVSSAVIIIIGLVLIVLVRRRKQSAGKGTYKRKI >scaffold_201262.1 pep chromosome:v.1.0:2:10190671:10192476:1 gene:scaffold_201262.1 transcript:scaffold_201262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCAEYSTNVEIWREHPPSSNSLKINTLSKLNSDVYKSRRFLSGGYNWRLVIYPKGNEKDNGNGFISMYVEFGDTSLMSTPPSEVFAYNVGPPLFAYLVFFVYNKKANKYFTIQDVEVKRFNALRTVWGLPQVLSLGTFNDPKNGFIFEGEHCEFGVDVMVSPPFNKWEVVSFDEKLYNPKFSWNVKNFSMLRENLYISNSFPMGGRKWVLKLYPKCFSTSDGKWISISIHLADNERLMADERIYTRGKLRVLDPRGSNHATEKFICWHDESNSGTGHDQIVSMAKLREVYLDTENTLSIEVEFEVVSSTVSSAII >scaffold_201267.1 pep chromosome:v.1.0:2:10248919:10249210:1 gene:scaffold_201267.1 transcript:scaffold_201267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVILEGEVSLKEEQVLVSKQLHLISSETQTLNRRAVLNRIRNHKCIHKIKSLLHTTAANDGATVDADHYRWIDSGDVFSCP >scaffold_201271.1 pep chromosome:v.1.0:2:10285621:10285815:1 gene:scaffold_201271.1 transcript:scaffold_201271.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KT06] MLRSRNCKISAANEAVISNRLSISNLKVLFVFESSPLNNSINRFSDKLLR >scaffold_201272.1 pep chromosome:v.1.0:2:10285882:10288452:1 gene:scaffold_201272.1 transcript:scaffold_201272.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPAO4 [Source:UniProtKB/TrEMBL;Acc:D7KT07] MDKKKNSFPDNLPEGTISEIMQKQNNVQPSVIVIGSGISGLAAARNLSEASFKVTVLESRDRIGGRIHTDYSFGCPVDMGASWLHGVSDENPLAPIIRRLGLTLYRTSGDDSILYDHDLESYGLFDMRGNKIPPQLVTEVGDAFKRILEETEKIRDETTDDMSVLQGISIVLDRNPELRLQGIAYEVLQWYLCRMEAWFAVDANLISLKCWDQDECLSGGHGLMVQGYEPVIRTIAKDLDIRLSHRVTKVVRTSNNKVIVAVEGGTNFVADAVIITVPIGVLKANLIQFEPELPQWKTSAISGLGVGNENKIALRFDRAFWPNVEFLGMVAPTSYACGYFLNLHKATGHPVLVYMAAGNLAQDLEKLSDEATANFVMLQLKKMFPDAPDPAQYLVTRWGTDPNTLGCYAYDVVGMPEDLYPRLGEPVDNIFFGGEAVNVEHQGSAHGAFLAGVTASQNCQRYIFERLGAWEKLKLVSLKRNSDILETATVPLQISRM >scaffold_201279.1 pep chromosome:v.1.0:2:10395328:10397272:1 gene:scaffold_201279.1 transcript:scaffold_201279.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:D7KT17] MASGKTPGLTQEANGVAINRQNDNDVFDDMKQRFLAFKKHKYFYAQAPKCKQQFLVIACADSRVCPSTVLGFQPGDAFTVRNIANLVPSYESGPTETKAALEFSVNTLNVEDGQNLDYNHHYSFAPCNFLHRPKPMFGLEGRQEEEECGVNAYLEHRRRLPLFPMHGEDHLNGDSGAIWKYGQSNDRDCFSRDSCASLELRMN >scaffold_201282.1 pep chromosome:v.1.0:2:10456731:10457159:1 gene:scaffold_201282.1 transcript:scaffold_201282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASATVLAQVAQTDGGALRNVSISGSCRKTKQARIDPPSVSRMVSVEIQPGNHQPFLHVQENIAFVGSFGALSSSFDAVVV >scaffold_201286.1 pep chromosome:v.1.0:2:10513174:10513737:1 gene:scaffold_201286.1 transcript:scaffold_201286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKINPETIITPNDILEEILQYLPMKSLVKFKAVSKQWRSMIESTYLSHKRLVRLGLQTPNTKLLVVHQLSSDSDSTTLVLKTFSRDHDNKGQICLSSSSSYTFPDNPINQSRHETIQVLGSCDGLVLVGPYDFKYIYLINPTTGEHRTLSPELLLWPGYFSYHSLVNNPXX >scaffold_201288.1 pep chromosome:v.1.0:2:10519985:10521476:1 gene:scaffold_201288.1 transcript:scaffold_201288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWGNEDLVKDEILQHLPVKSLIRFKSVSKQWRSMIESTYFVRKHLVCPFSNPKIVVGSRTHDDDNSLTILLETFSRDHQGEIDTQISRSPCSYIFHGPRTVGPTITICKVIGSCDGLVCIQELRNRKNLEPSVYIINPATREHRKLYPTQLQHVPDFMPLLLFCIGFGKDIVTGTYKTININCYKRLDEHAMLLKTSVLNLDNGSEQRQIGVFPVSNMEISNEQTSVFANGSVFWLTQRYHKSPSKAPIKLVALDLHTENFSRVSWPSWYDERSHIMRLWSLKDRLCLSNVLQYPDVDVWSLKMEDSIEKWEKIFSINVTSIGRLATKFWMIGLEAAKFRPKEGKIDVDQIPFHSSKTALYTETLVSPYQEI >scaffold_201290.1 pep chromosome:v.1.0:2:10525875:10526528:1 gene:scaffold_201290.1 transcript:scaffold_201290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIVDIGSARVNSFIASKYNGFISVRGILETFLDNEGNMTKIIGLHTERAQKVGQQSKTTLLQLCDGDHCLIVQLPVGGNLPSGLLNFLNLPYITFVGIGINKTLMNLESEFGLTCNNAVEIGPSSWDLLNKTEEKCRIVRQFVSFSSNPFCTLSPFVSFKYQTSAVFEDWGSYRLSQKQINLATANAYFAFKIGNVLMAEY >scaffold_201297.1 pep chromosome:v.1.0:2:10547856:10549086:-1 gene:scaffold_201297.1 transcript:scaffold_201297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITMSNLPTDLVEEIISKVPLKSMRGVRLTCKKWNDLFKSRSFTKMHIGKQEQAARELGQTRMIMMMDYNVYLMGIVLNENPSTESLDKLTCLQDSEQVKIYQVFHCEGLLLCILKDDDTKVVVWNPYLGQTRWIQTRKYYHASGGKGRDFYKYALGYKNNRKRKSCRSPKILRFIDDFNRYPKNPALRYEIYDFDSDLWTTLDVFPHWRILSHHGISLKGNTYWGVVERNAKAHIKHIICFDFTSERFGPFLPLPLPFRDWKAQCVSLSSVRDEKIAAFFQERGTYKIEIWITTKIETENVSWSNFFTTNMPSCIGYRLKSFFIEEEKQVAVVFDKEGKNLCDTIHIIGEAGCLRKVELGEPTEKKCLPLVCSYVPSIVQIKLHKEGKRKEQSD >scaffold_201298.1 pep chromosome:v.1.0:2:10556219:10561138:1 gene:scaffold_201298.1 transcript:scaffold_201298.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:D7KT30] MVLTKTATNDESVCTMFGSRYVRTTLPKYEIGENSMPKDAAYQIIKDELMLDGNPRLNLASFVTTWMEPECDKLIMDSINKNYVDMDEYPVTTEFQNRCVNIIARLFNAPLKESETAVGVGTVGSSEAIMLAGLAFKRKWQNRRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPDKAAEMVDENTICVAAILGSTLNGEFEDVKRLNDLLVKKNEETGWNTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVVWRASEDLPEELIFHINYLGSDQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKNVMENCIENMVILKEGIEKTERFNIVSKDQGVPVVAFSLKDHSFHNEFEISEMLRRFGWIVPAYTMPADAQHITVLRVVIREDFSRTLAERLVVDIVKVLHELDTLPSKISKKMGIEGIAANVKEKKMEREALMEVIVGWRKFVKDRKKMNGVC >scaffold_201304.1 pep chromosome:v.1.0:2:10591173:10592401:-1 gene:scaffold_201304.1 transcript:scaffold_201304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSNLPKDLVEEIISRVPLKCLRSIRLTCKKWNALFKSRSFTTMHIDKEEATSRELGQTQMIVMMDYNVYLMGMVLDENPSKEFLGKLTCLDDTKQVKISQVFHCEGLLLCILKDDDTKIVVWNPYLGQTRWIQTRMYDGASGWKGRKKYALGYENNSENRSSCSPKILRVIDNFNLFTKNTILVYEIYDFDSDLWTTLDVSPQWCIRSQRGLSLKGNTYWGAKERRAHGPIDHIICFDFTRERFGPLLPLPFSAWGARFASLSSVREEKITALFQKSETYKFEIWITTTIEANNVTWSNFFTMDTLYLDQMLLYKSFFIDEEKKVAVVFEKEGKPICDIINIIGEDRCLMKLELGEPADKNCWPLVCPYVPSVVQIKLHKGKNKAITKGIDK >scaffold_201305.1 pep chromosome:v.1.0:2:10596405:10596615:-1 gene:scaffold_201305.1 transcript:scaffold_201305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVCEVLPKKKLENFLREKAQRKNGALVRRGISTISDGELLVKMLNKYVKVLRF >scaffold_201306.1 pep chromosome:v.1.0:2:10618958:10619255:1 gene:scaffold_201306.1 transcript:scaffold_201306.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KT38] MAEEPATPSLIPNSNPTRCKIRTIFKRFNPDLLGSIFQAFQSRSVGFDFSSEIYITLPLCLSLSIPGNRSLSFRSFFQAKYVYHL >scaffold_201307.1 pep chromosome:v.1.0:2:10622537:10624085:1 gene:scaffold_201307.1 transcript:scaffold_201307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRTFSSSFSSASLLSAFSAYFQDRVSRFDPKISQIRRFGLAGFVFVISLPPSEPDVFPVIPRIAFSELCILPVLSCLTRNHRPFCGPSSTFVKASPPPTPVTKAELISIKVTMLGRPREINLQNLKRDMARSPYEPSNTTPEHAITSLPIWRTSPPPCPMRRRVENGETLIASMVSSLVLGPSNVGPFVFQLRPIMIAFGPSLLPTWPRSITSILLLSQNIKRCVPNNFLQKPRFGPNSFLSLLRQYLRRFMKSIDRRHQLNLNPPLLLCWYKNYLRTLPLESPRIIIHIVKSLKKNGIMIPSLRSGGYRNFFNFSSLSHLLTDSIQELIRNIMVITLESISLKKIGIMISSPRSGDYQSFFIPLYPFLLNFELMPMPIPIPEETFIFQDILPLVPMSSLRFMPANHEAKDTFSTSQEQDLSIVTISKFPDLFAEVSMTHHEIACGLLLDCFCLQFSMAYQTYLSIYVVSFCSLQIIVLLSMLEDLVNFAPMGLIE >scaffold_201308.1 pep chromosome:v.1.0:2:10626150:10627165:1 gene:scaffold_201308.1 transcript:scaffold_201308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKQPTSEEFNKAAFKLLANPHIEPTVEFIAALINPRKYQEDRKFFRFCVANYPGCFSVKLMCVFASKEPRVSYEIRESAMRFLHGIFIKEEASMDFEVVQVFSTLLISCLEEQVISETSFKTLCLLVKRVAFEIFTIQERTWHGLLKFISSRAEQEFAKAVSVFKSLSMPLDEEEFLIPLMENLLPAILKRLGDKEEESSSQWGLAFVGGFCAAVHLLETRRVALVENLANEMLKSVNRGMELGFLLKALTDLEIAIVEQLWWYCTTEFKFVLGLIQRIDAIITEKTAKNVLQRIKMVVKKKMLEYVGEIDNGDEDWLNQRL >scaffold_201312.1 pep chromosome:v.1.0:2:10641343:10641948:-1 gene:scaffold_201312.1 transcript:scaffold_201312.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KT44] MCRCFSHVAPSHRVNFSLATPSHKCFLSDESCSPATMLLTQSSSPANKSQLHVGLIISDAK >scaffold_201318.1 pep chromosome:v.1.0:2:10687165:10689458:1 gene:scaffold_201318.1 transcript:scaffold_201318.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KT52] MSDTKTVRFGILGCIRFASKFVRTVTQSSNAVIIAISDPSLETAKTFAATNNLSPETVKIYGSYEELLNDENVDAVYLTMPVTQRGKWAVTAAEKKKHVLVEKPPAQDSTELEKIVEACESNGVQFMDGTIWLHHQRTVKIRDTMFDSGLLGDVRHMYSTMTTPVPEQVLERLTKEAMGLAGAIGELGWYPIGAALWAMSYQMPISVRALPSSVSTNSVGTILSCTASLQFGSTATAIVHCSFLSQLSTDLAISGSKGSIQMNDYVIPYKEDTAWFEYTCGAKFVDMHIGWNVTPEKVTVDCGGTAETQEAMMLREFTLLVEGIKRGDLEADRRWAEISKKTQLVVDAVKKSVDINCEVVHL >scaffold_201320.1 pep chromosome:v.1.0:2:10739150:10739469:1 gene:scaffold_201320.1 transcript:scaffold_201320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFITLVFIAFFLSSLVLIKGEEENASCVLEDLQICKTAVTTGKPPSTECCDKLKEQQTCFCDYLKDPRVSRYITVAKQILAACGIPFPSCN >scaffold_201321.1 pep chromosome:v.1.0:2:10740265:10741065:1 gene:scaffold_201321.1 transcript:scaffold_201321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHIHNPNFFNTHHLRSSLTSPSPSPSSYLFHRHSASKYPNFLCKSNNKDDYLIDAPVSVGDGFSFSEGKYSDQPSPSNVSTLFFFFSFFFLI >scaffold_201322.1 pep chromosome:v.1.0:2:10746223:10746565:-1 gene:scaffold_201322.1 transcript:scaffold_201322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDGPDFNTLIFFLITSSVAAILEVPSLIGVERKIPTGPDLLHNAPHHNPHQPSPGHRHWIGVEEKNIERSWNYVDYDSPHPYINLYIVLLSLHHYIVI >scaffold_201324.1 pep chromosome:v.1.0:2:10781621:10782957:1 gene:scaffold_201324.1 transcript:scaffold_201324.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7KTK9] MGLSLRVRRRGGSGSKKEIIPVTSCSEEVEITVPSQFQCPISYELMKDPVIIASGITYDRENIEKWFESGYQTCPVTNTVLTSLEQIPNHTIRRMIQGWCGSSLGGGIERIPTPRVPVTSHQVSEICGRLSAATWRGDYAACSEMVRKLKMLGKESERNRKCVKENGAGLVLCVCLDAFSENANASILLEEIVSVLTWMLPIGSEGQTKLTTTSSFNRLVELLRNGDQNAAFVIKELLELNVAHVHALTKINGVEEAFLKSLNRDSTCVNSLTSIHHMILTNQETVSRFLELDLVNTTVEMLVDSENSVCEKALTVLNAICETKEGREKVRRNELVIPILVKKILKITEKKDLVSVMWKICKSGDGYEVEEALRLGAFKKLVVMLQVGCGEGTKEKVTELLKMMNKVMKMNGFVDRSDSSSIEFKHVKKPF >scaffold_201325.1 pep chromosome:v.1.0:2:10783136:10785597:-1 gene:scaffold_201325.1 transcript:scaffold_201325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPIIETCRKRKRKPRVYNLQRFGEDGFPIHRNGTFRDQIRVFLRDGAEVEDYDLRGMPVWCTLFSHETKSSLIPLYIVEENVIHSPEPYCDHCRCTGWSNHFVSKRKYHFIIPNDSEWSLPLEEDAFDFQTHALHGLIHCNGFGHLLCVNGMEGGSKYLCGREIVDFWDRICNSLGARMITVEDLSKKRALELRLLYGVAYGHSWFGRWGYKFCRGSYGVSKSDYENAIELLGSLELDQIECDFSELRQFKVIKQVIRYYRDMSEGHLKTVRDLLRFMLIIKSHASPQKLLPATPPLLTDSPHQKRSNRLLLKKSDVADNDKSPKYRNYSSVAANLGSRWPVRRLIFAAEVIVESLKEMKALKPNGMTRQDVRDSARLHIGDTGLLDYVLKSMHNVVVGDVLVRRYVDPITRILHYTIQELDDAVKAIEPKKEEAVVLEEITPLRIFTPLKAGADVYGDLLLLYTNVLLNYPDSELVRSATQAILDSKHFVKEWPLWDDNNDKVLQFVCRINPSLIDLRSEQITELPPGDLVTVPLQATVFDLKQAIEDTFRDTYCILSNLVVSEIEEVKEDMSLTESCSALTVTGHGIDLESKLKCQGGCDTWMVKCICRARDDDGERMISCDVCEVWQHTRCCGIDDSDTLPPLFVCSNCCEEFAEQQRKVLQPKYEFPSSENMFLIESADDFFGDQRCLGMIFPEENYLL >scaffold_201327.1 pep chromosome:v.1.0:2:10808177:10808414:1 gene:scaffold_201327.1 transcript:scaffold_201327.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KTL2] MDHPQDPRVTSKCSSIPLLGCIMDTLVHLFTIFSDEQGKEAVDKAVAENRASQKHFRQLNCVKLN >scaffold_201330.1 pep chromosome:v.1.0:2:10823203:10824438:-1 gene:scaffold_201330.1 transcript:scaffold_201330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKRSHIISRYTESLYKIYAAKILEVDSRKSCVVCGMLLKSNDVGSTIRRVENAKIERGIDLSALDCAQCFATTVGSFITTCHNKKGCRVLYSSCYVRYKFYPFYFPLDPAKTGPSVGRISSVRLSP >scaffold_201335.1 pep chromosome:v.1.0:2:10871214:10872196:1 gene:scaffold_201335.1 transcript:scaffold_201335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDMKLINFILTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDYEEKMVIDLHSQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPLTHKPLSNVEQEDEEPVKKLQKIQMPFQEPVEKNLEEPTGYCLTKDSNIKNMSRLGESLDDDQFMAINLEYGVEDVPLIDSESLELICSNSTMSSSTSTSSNSSNDSSFLKDLQFTDFEWSNYGNSNNGVDNIIENNMMSLWDIDDFSSLDLLLNDESASTFGLF >scaffold_201338.1 pep chromosome:v.1.0:2:10892565:10892904:1 gene:scaffold_201338.1 transcript:scaffold_201338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKFILITMLILLCIASSNSTITPFSRNRKIIVEEGGKIHIHKGKKITVKPSRSPPAKGTKNYTT >scaffold_201341.1 pep chromosome:v.1.0:2:10905788:10908246:1 gene:scaffold_201341.1 transcript:scaffold_201341.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7KTM6] MAPLLLLSLSLLALASASPSPPADEGSYIGVNIGTDLSDMPHPTQVVSLLKAQQIRHIRLYDADPGMLIALANTGIKVIISIPNDQLLGIGQSNSTAANWVKRNVIAHYPATTITAISVGSEVLTSLSNAAPVLVSAIKNVHAALLSSNLDRLIKVSTPLSTSLILDPFPPSQAFFNRSLNSVIVPLLSFLQSTNSYLMMNVYPYYDYMQSNGVIPLDYALFKPIPPNKEAVDANTLVRYSNAFDAMVDATYFAMAYLNFTNIPVLVTESGWPSKGETNEPDATLDNANTYNSNLIRHVLNKTGTPKRPGIAVSTYIYELYNEDIKAGSLSEKNWGLFNANGDPVYVLRLTNSGSVLANDTTNQTYCTAREGADPKMLQAALDWACGPGKIDCSPIKQGEACYEPDNVIAHANYAFDTYYHQTGNNPDACNFNGVASITTTDPSHGTCVFAGSRGNGKNGTSVNITAPSANSTTSSGIRSDLYYSRGIWSILTVMILNVANIL >scaffold_201345.1 pep chromosome:v.1.0:2:10952074:10952955:1 gene:scaffold_201345.1 transcript:scaffold_201345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSVTNANVCTHSEKEVSGKILTNAIFLHDSSVIRNAVAIATSLTNVTGFSLLFGLAGALETLCGQAFGAEQFRKIGAYTYSSMLCLLLFCFPISLLWVFMDKLLELFHQDPLISHLACRYSIWLIPALFGYSVLQSMTRFFQSQGLVLPLFLSSLGALCFHIPFSWLLVYKLRFGIVSAADLESSDLLTIYKTSK >scaffold_201349.1 pep chromosome:v.1.0:2:10973305:10974964:1 gene:scaffold_201349.1 transcript:scaffold_201349.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KTN3] MRRFVEQQQWRHFIPPSKPSPKLIHGFFSFSSKTIPNPNKQQQILIDSISKSLQSNDNWETLSTKFSSIDLSDSLIETILLRFNSPETAKRALTFFHWSAHTRNLRHGIRSYAVTIHILVKARLLIDARALIESSLLNSSSDLVDSLLDTYVNSSSTPLVFDLLVQCYAKIRYLELGFEVFKRLCDCGFSLSVITLNTLIHFAAKSNRVDLVWRIYEFAIDKRIYPNETTIRIMISVLCKEGRLKEVVDLLDRIYGKRCLPSVIVNTSLVFRVLEEKRVEESMSLLKRLLMKNMVVDVIGYSIVVYAKTKKGDLECARNVFDEMIRRGFSANAFVYTAFVRVCCERGDVEEAERLMSEMEDSGVNPYEETFNFLIVGCARFGREEKGLEYCEIMVARGLMPSCSAFNGMVKRLSEIDNVNRANEILTKSIDKGFVPDEHTYSHLIRGFVEGNSIDQALKLFYEMEYRKISPGFEVFRSLIVGLCACGKVEAGEKYLRIMKRRLIEPNADIYEAMINAFQKIGDKTNADKVYNEMILISM >scaffold_201356.1 pep chromosome:v.1.0:2:11048167:11049043:1 gene:scaffold_201356.1 transcript:scaffold_201356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSNGLRKGTWTAEEDILLRKCIDKYGEGKWHQVPLRAGLNRCGKGCRLRWLNYLQPSIKRGNFSSDEVDLLLRLHKLLGNRWSLIAGRLPGRTANDVKNYWNTHFSCCKSKARKRESTCSANSPIQSSCYKASTSIL >scaffold_201357.1 pep chromosome:v.1.0:2:11049661:11050893:1 gene:scaffold_201357.1 transcript:scaffold_201357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSNGLTKGAWTAEEDSLLRKCIDKYGEGKWHQVPLRAGLNRCRKSCRLRWLNYLKPSINRGKFSTDEVDLLLRLHKLLGNRWSLIAGRLPDRTANDVKNYWNTHLSRRHELFCSSKMKTKNITSPPTTPVQKIEVLKPRPRSFSVKNACSHLNGFPKVDVIPPCSGLNNNYVCESSIICGEDEKNYESVYKNLMDKDNMWLKSLLESHEVDALGPEATTTENGATLEFDVEQLWNLFDGETVELD >scaffold_201358.1 pep chromosome:v.1.0:2:11088518:11089910:1 gene:scaffold_201358.1 transcript:scaffold_201358.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor [Source:UniProtKB/TrEMBL;Acc:D7KTP5] MEGSSKGLRKGAWTAEEDSLLRQCIDKYGEGKWHQVPLRAGLNRCRKSCRLRWLNYLKPSIKRGRFSSDEIDLLLRLHKLLGNRWSLIAGRLPGRTANDVKNYWNTHLSKKHEPCCKTKMKKKNIISPPTTPVQKIDVFKPRPRSFSVNNGCSHLSGLPEVDLIPSCLGLNNNNVCENSITCNKDDEKDDFVNNLMNGDNMWLENLLEESQEADAIVPKATTTEEGATLAFDLEQLWSLFDGETVELD >scaffold_201360.1 pep chromosome:v.1.0:2:11103483:11103892:1 gene:scaffold_201360.1 transcript:scaffold_201360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLRRTSKRLARGSGSAAKSTNPARSKTTRSIPVMSSPIPVIDSTNPPIPVNISTNPPIPVNVSTNPPIPVNVSANPPIPVTFAVNPTVQSQNFNVPISIQSQEAFW >scaffold_201361.1 pep chromosome:v.1.0:2:11110017:11111544:-1 gene:scaffold_201361.1 transcript:scaffold_201361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMAKKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVEEMIREADVDGDGQINYEEFVKIMMAK >scaffold_201366.1 pep chromosome:v.1.0:2:11133989:11134649:1 gene:scaffold_201366.1 transcript:scaffold_201366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRGKQHSIKRKPRLMKKLTELVTSYDVKACEVIHSPYNSNPEAWPSREGVEEVVSEFMEVSRKDRNKKMMDQEAFLRQRIESEQAQLQKLRDENRDLKTREIMWGCLEGDIDVHQLGEKDLQDLSSTIDNYLNCVTNRFENLKKNGESSSSLPPLVVPDLNVEEDGDIPSIDGSTHQSETNRLAVITTTVADACAPNITNNPKS >scaffold_201367.1 pep chromosome:v.1.0:2:11170222:11170438:1 gene:scaffold_201367.1 transcript:scaffold_201367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEKEQKNKHSLVQNEGENKNADKWWRNSRKELNLTQLTCMKHVLEVDEVASQFF >scaffold_201370.1 pep chromosome:v.1.0:2:11179074:11179950:1 gene:scaffold_201370.1 transcript:scaffold_201370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKNLGRRKIEIEKIKNQSNLQEIAIIVFSPGEKVYSFGNPNINVLLVHFSGRILRDNNTNLVESNRKLYIQMLNDSLTEAMAENEKEQKNKHSLVQNERENKNAEKWWEKSPKELNLTQLTCLKHVLEDLKMKVDEITSYVFQTNPNYHVGSSSNFIRK >scaffold_201372.1 pep chromosome:v.1.0:2:11195713:11197015:1 gene:scaffold_201372.1 transcript:scaffold_201372.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7KTQ8] MALVNDHPNETNYLSKQNSSSSEDLSSPGLDQPDAAYAGGGGGSASSSSTMNSDHQQHQGFVFYPSGEDPQNSLMDFNGSSFLNFDHHESFPPPAISCGGNSGAGGFSFLEGNNMSYGFTNWNHQHHMDIISPRSTETPQGQKDWLYSDSTVVTTGSRHESMSPKSAGNKRSQTGESTQPSKKPNSGVTGKAKPKPTTSPKDPQSLAAKNRRERISERLKILQELVPNGTKVDLVTMLEKAISYVKFLQVQVKVLATDEFWPAQGGKAPDISQVKDAIDAILSSSQRDRNSNLITN >scaffold_201374.1 pep chromosome:v.1.0:2:11242867:11243804:-1 gene:scaffold_201374.1 transcript:scaffold_201374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGFFSAQRNAHRNANAGTTLKRRIDNNRGYGIGDLQEERNRYVPPQKRFRSQTQPQTNFRSGHIPLYHHHGRNNNNMSRVSSQSYNNYGVDVIASNSSFPLRNNDSNINNYQKPFIVGYGNPNPQIVPLPLPYRKLDDDDSLPEWVPNSRTLTPNFVPNTYVQNPMNHSNMVSVVSQTMSHHQPIVLSKELSDLLSVLRNEKEKETSEASKSDSLPVGLSFDNPSSLNVRHESVIKSLYSDMPRQCSSCGVRFKCQEEHSKHMDWHVRKNRMAKTTMRLGQQPQKSRGWLVSASLX >scaffold_201376.1 pep chromosome:v.1.0:2:11246615:11246804:-1 gene:scaffold_201376.1 transcript:scaffold_201376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGAVYLTKNGRIVHAKCMPAPRPANDTREPSRVMSVTVPSVAKAIVC >scaffold_201385.1 pep chromosome:v.1.0:2:11349743:11350013:-1 gene:scaffold_201385.1 transcript:scaffold_201385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLFFILLSLFIPKLQRFNLSITLKQINVDIIKAVGLRNYRDVIFYAEVNVGDLGQSFKMVFDLGSSDLWVPSLL >scaffold_201387.1 pep chromosome:v.1.0:2:11370808:11371667:1 gene:scaffold_201387.1 transcript:scaffold_201387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNSGVRRERASAGAFLFLSAFLYAFWRMGVHFPMPSADKGILWDRKAFNPTPSPAEVEAVFVLFL >scaffold_201388.1 pep chromosome:v.1.0:2:11373994:11374250:1 gene:scaffold_201388.1 transcript:scaffold_201388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVGGGGVTNANAGVSASSRKVVQSLKEIVNCSDLEIYAMLVECDMDPDEAVNRLLTQGSFFNLPFSFC >scaffold_201390.1 pep chromosome:v.1.0:2:11425451:11428515:-1 gene:scaffold_201390.1 transcript:scaffold_201390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFYLWGRIRVLQKLIETLSTKEQISLVKSALKPGFLFLVKELNGNHVILSCLKSFGPNDNKLMIFYLWGRIRVLQKLIETLSTNEQISLVKSALKPGFLFLVKELNGNHVILSCLKSFGPNDNKLMIFCLWGRILVVRKLNETLSTKEQISLVKSALKPEFLSLVKELNGNNVILSVLKSFGPNHNKLMIFCLWGRTRVVQKLIETLSTKEQISLVKSALKPRFLSLVKELSGNHVILSCLKSFGPNDNKV >scaffold_201391.1 pep chromosome:v.1.0:2:11442514:11445509:-1 gene:scaffold_201391.1 transcript:scaffold_201391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALKPGFLFLVKELNGNHVIISCLKSFGPNDNKLMIFCLWGMIRVVQKLIETLSTKEQINLVKSALKPGFLFLVKELNGNHVILSCLKSFGPNDNKLMIFCLWGMIRVVQKMTKTLSTKEQISFVMSALKPGFLFLVKELNGNHVILSCLKSFGPNNNKLMIFCVWGRTRVVQKLIETLSTKE >scaffold_201392.1 pep chromosome:v.1.0:2:11447045:11450158:-1 gene:scaffold_201392.1 transcript:scaffold_201392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALKPGFLFLVKELNGNHVIISCLKSFGPNDNKLMIFCLWGMIRVVQKMTKTLSTKEQISFVMSALKPGFLFLVKELNGNHVILSCLKSFGPNNNKLMIFCLWGRIRVVQKLIETLSTKEQISLVKSALKPGFLFLVKELNGNHVILSCLKSFGPNDNKV >scaffold_201393.1 pep chromosome:v.1.0:2:11453253:11456671:-1 gene:scaffold_201393.1 transcript:scaffold_201393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETLRTKEQISLVKSALKPGFFSLVKELNGNLVILSCLKSFGPNDKKLMIFCLWGMIRVVQKLIETLSTMVQISLVKSALKPGFLSLFKELNRNHVITSCLESFGPNDNKKLIETLRTKEQISLVKSALKPGFFSLVKELNGKHVILSYLKSFGPNDNKLMIFCLWGRIRVVQKLIETLSTKEQISLVKSALKPGFLFLVKELNGNHVILSCLKSFGPNDNKLMIFCLWGRIRVVQKLIETLSTKEQISLVKSALKPGFLFLVKELNGNYVILSCLKSFGPNDNKV >scaffold_201394.1 pep chromosome:v.1.0:2:11481678:11482225:1 gene:scaffold_201394.1 transcript:scaffold_201394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKIILTSSNGESFEIDEAVAVESLTIKHMIEDDCAGNGIPLPSVIGGILAKVIECCKKHVETAAEANGADKDFLGSTENKELKAWDADFVQVDQPILFDTILVANYLNNSGLLDLTCKTVDDMMREKTPEEMRAHFNIKNDYSAEEEEKPYASFYKDQNVKNFKN >scaffold_201395.1 pep chromosome:v.1.0:2:11482771:11483363:-1 gene:scaffold_201395.1 transcript:scaffold_201395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIEAVAAIVTHAPSSRDSGKKVLPSPVAQYALDKGLPSHLIFSPEKAGDEAFLSALRELQPALCITAGYGNILPTKFLKILVHGYYKLLLILDG >scaffold_201399.1 pep chromosome:v.1.0:2:11511147:11512741:1 gene:scaffold_201399.1 transcript:scaffold_201399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISLKILVDKEKNKIVLVEARKDFVDVLFSFLKLQMGTIVRLVKKQSHQASVCCFSNIYQSVLDLEIDNFLTEACKHMLLYPRGFNDHIFPKLKLNINDTTEACKFSICTNCKEGILRSSNRRKCTCGEMTEMGIMTEMGIETKMESDVVGYDVDGVFFYGQPSFIITDNMVVQYNSTDVFLKVIKDQGYADVEKLSESLIVIGSEEVLALLDCLFSSDTPLTDAFLRKQSSCNMNRLHKTMIHGLQESGNETESDLITFNVVVRKQDKKVIYFEGCEDFVNLLFLVLAVSLEFVLETSGDNAVHGCIGNLFRSFKELCCDKNTSLSSMLILPWYYRCQKQLLCYDDMVLVNFRRVKRPCEQCAINHDSACKGFVKGNMRFRVSDDLIITPLSSSSTIGYLKKLQVGLDDVDVQEISIGEVEVNNVISTSLMTSTVLTTALWNLLVKKPKEET >scaffold_201403.1 pep chromosome:v.1.0:2:11530471:11530684:-1 gene:scaffold_201403.1 transcript:scaffold_201403.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU95] MTEAVATDAAGARNIPSPTPNPTRTEPMKTDQRISTQTENLKLYPQNGRKHPSSNKP >scaffold_201404.1 pep chromosome:v.1.0:2:11535497:11535734:-1 gene:scaffold_201404.1 transcript:scaffold_201404.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU96] MITKHLLRFLQCKTDHDSDNGNSGQHHVRQRILGQAKLLNPMPTNPLWLSLSPSKPKSPLLRPRS >scaffold_201411.1 pep chromosome:v.1.0:2:11576256:11577227:-1 gene:scaffold_201411.1 transcript:scaffold_201411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIHPKRACSHCCTKLRNKCLVCTLTIGINSNNGENCGNWKESLSYAQHGCPKKFCNNKELLVHEKECRFSPCYCPAPNCNYMGVYKDLNCPYYANHKDKWNQFSFSNSTRARLSMTPSAPGAGEFSYDIFCLTEGNAMTFGSSKMNMIQKVSFQTPKDFMLVPNYS >scaffold_201415.1 pep chromosome:v.1.0:2:11584087:11584337:1 gene:scaffold_201415.1 transcript:scaffold_201415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLFEGEKPAKIRNSTWFVPATIEAKDLFSMSQVLLKVTICNLPESFEEVSLTHHELACRMLLCSLCF >scaffold_201416.1 pep chromosome:v.1.0:2:11588310:11589562:-1 gene:scaffold_201416.1 transcript:scaffold_201416.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:D7KUA3] MAISGEDDGRRGAFDDRFSKRQRLPPLDESEEELDEDLFEDSSTLDGYEDGEFEEEEDEEDVTGMATIGNNFEDLVTNEQSGSPKSSQSVKLQSSDVLDCPTCCEPLKRPIYQCSNGHLSCSSCCKKLNKRCSFCRCNIGDIRCRAMEKVIESSIVPCPNAKYGCKETTTYCNQSSHEKVCVFARCSCPVPNCNYVGSYANLKRHACSTAHAWDEDDFLIPFVFDCPTIFTMNLGRKKIVVFKEEKEGDLIVVKAFKGSEGVYVTVNRIAHMAPGIPEFSCSLAKLNQYSTVRIGTMVKKIQKVREQTHPEDDVMWIPPKMLSGEHWKMQICIGYGYKYIHI >scaffold_201418.1 pep chromosome:v.1.0:2:11595201:11596916:-1 gene:scaffold_201418.1 transcript:scaffold_201418.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:D7KUA5] MEMSLRLASSSTSNPICLINPGKKLNFPIRNQRIPKTTKPFCVRSSMSSSKPRQTLSSNWDVSSFSVDSVAQSPSRLPSFEELDTTNMLLRQRIVFLGSQVDDMTADLVISQLLLLDAEDSERDITLFINSPGGSITAGMGIYDAMKQCKADVSTVCLGLAASMGAFLLASGSKGKRYCMPNSKVMIHQPLGTAGGKATEMSIRIREMMYHKIKLNKIFSRITGKPESEIEGDTDRDNFLNPWEAKEYGLIDAVIDDGKPGLIAPIGDGTPPPKTKVWDLWKVEGTKKDNTNLPSERSMTQNGYAAIE >scaffold_201422.1 pep chromosome:v.1.0:2:11627255:11627815:1 gene:scaffold_201422.1 transcript:scaffold_201422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCVIEQDKVDSFNITLYIVEEGELRALRQIIEENGKFTIKAFEDIIQPSGETLDPKILAVYFKCAFRGILSTHFGAETMRKAFELVESKAHLEFSRLQKAKPAMQYLIYRTS >scaffold_201423.1 pep chromosome:v.1.0:2:11630979:11631827:1 gene:scaffold_201423.1 transcript:scaffold_201423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPEWVMVGGEGPESYKQHSSYQRDLLKAAKDKINAVISANLSLDLISNRFSVADFGCASGPNTFVAVQNIIDAVEEKYLRETGQNPSDNIEFQVLFNDLSNNDFNTLFRALPSDRRYYSAGVPDSFFDRVLPKQSIHIGVMNYAFQFTSKIPKGISDRNSPLWNRDIHCTGFNNKVKKAYFDQYSLDSKNIYERGRCKLCLTIFLMIIISYFLASLYELINSLCRVIHLLCTR >scaffold_201425.1 pep chromosome:v.1.0:2:11636861:11637125:1 gene:scaffold_201425.1 transcript:scaffold_201425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWLEYKRQLEDLTEEEYLALCLVMLAKDQRSQTRFQQSQPQTPHRESKKLSYKCRVCRKKFQSYQGANWLLF >scaffold_201427.1 pep chromosome:v.1.0:2:11645236:11645475:1 gene:scaffold_201427.1 transcript:scaffold_201427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLQAKKDVAVGDRQSSASSMVLDGPSPLRKMISVASIAAGIQFGWVLQLSLLTPYVQLLGVPT >scaffold_201428.1 pep chromosome:v.1.0:2:11645577:11646167:-1 gene:scaffold_201428.1 transcript:scaffold_201428.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUB4] MPEGKLKSGVYRSFIMCDDPRDVVDCGAIKKQSKSRSTKQLYDLSNAPKFGNSDLQSQAPPPPSRTKPEQVDPSRVSL >scaffold_201434.1 pep chromosome:v.1.0:2:11703189:11704377:1 gene:scaffold_201434.1 transcript:scaffold_201434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLPLICLLVSVTAIAGKPGGGTHVSVSGGKGHSGGVGVYAGKPGKRTDVGVGKGGVIVHTRHKGKTSKTQLHDDPKAALFFLEKDMFPGKAMNLRFNAEDGCGGVKKLSDDKSVVCHKQKYPFAVFYCHKAMMTSVYAVPLEGENGMRAKAVAVCHKNTSAWNPNHLAFKVLKVKPGTVPVCHFLPETHVVWFTY >scaffold_201435.1 pep chromosome:v.1.0:2:11717652:11717869:-1 gene:scaffold_201435.1 transcript:scaffold_201435.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUC2] MRPKQTQIYRTKKRERYSQTEAKLFLDLHASLQTNQTQKSHITGVSLKEGTLRFPEHT >scaffold_201437.1 pep chromosome:v.1.0:2:11724282:11732913:-1 gene:scaffold_201437.1 transcript:scaffold_201437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTCCFSIIVHSAARNQRNPFGSWATSPSGERIFLGESLLASVLLAWHASLAGDQVFSLFKTPVPDVGTSESSASILKGMHGLVIMGAVFVENTDPDKNLPRDEQGITPLLLKIRKSGKEDRFAEFLYFHCVVAAPFVFPYRMGIMAIRGTQPKLLPFCGRRFSNRRLQFIARNDSVNCHPTCSFGAGSTDLTYSATISLGLIICPFMLDQFYWAEKMSWLGVAPQPLKRYHLLLEETNDENIMEAWISDVVEKREFAGKKAKLAAAELAKLSRRIFDSPAGNPFSMSYIAAKVEATLSVINVHFSPSIFEGIMSVIECLDTQDHGDRNAPIDPVPIFRFTVDTNLVLFRLHVNLENEGENSTVLVLSIQQLDLWYSLTKVEEWSVRVKTLEIKACSSKDADGHILCSSGNLLKSYSAYGQGMDAHNIRGLILSGNMSTKVQIRDVSLLISDGRWGCSGLLLEVLMRNFLLQANLTAKKEESLVSCDLEVNYKICTRALYQWLHQNMEAVVLMYDDRFDLYILQTQVINNVHVIFRF >scaffold_201441.1 pep chromosome:v.1.0:2:11753684:11754020:-1 gene:scaffold_201441.1 transcript:scaffold_201441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFHNDNLKYNDFVVIKLCTLIPTEGDSAKTLFMMGLSILSAESRDLYGVFPHQRKLMDVKDVKEEKITKNKQIQ >scaffold_201442.1 pep chromosome:v.1.0:2:11758852:11759285:-1 gene:scaffold_201442.1 transcript:scaffold_201442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSTTNLPKLVNKYCGISYFIFDKTLIMCCGEGETGAVCIYMVRGGMFKRIQIDSWIVRFSHCLSSKFDLSSLIIHITSSLDFGFFVFYYKSEFNSCVLTFDSEAISALFVVGAEYMELFPSLKVDFV >scaffold_201443.1 pep chromosome:v.1.0:2:11759544:11760402:-1 gene:scaffold_201443.1 transcript:scaffold_201443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMHTTITACDGLLFRDFWKQGVAVWNPWLRQVGWIEYEDKGFHFCGVGYDSCKPEKSYKILGYFNCLRKVSDTYHVSYRRVAIYECSSHALKFIDAPFTQWPIMAPLSLNGNLYWLTHEPETYKYFIRSFDFSKEIFKPFCLLPCLKNRSRDELVLAVFKRDRFSLLKQCNVTGKIDIWVTKKKIDGEEVVWINLMTLPTTNLSKLVNKFCGISYFIYDKTLIMCCGDHQTGAACIYFVKGDIFKKIQIDSGSVRFSHCVYLPNLLSVPL >scaffold_201445.1 pep chromosome:v.1.0:2:11767965:11768200:-1 gene:scaffold_201445.1 transcript:scaffold_201445.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUD2] MDLSLLFFMDRISLHASSPWISEIQKSWISLQASSPWISLRAFVLCSAQSFGERRRSTNFDEEG >scaffold_201449.1 pep chromosome:v.1.0:2:11782049:11782262:1 gene:scaffold_201449.1 transcript:scaffold_201449.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUD6] MSNPARSGGFAAFSLGCSQTGFQICGLQQIFIGDYVQSHEKKTCFQHMKIACSRFYM >scaffold_201451.1 pep chromosome:v.1.0:2:11797086:11797911:-1 gene:scaffold_201451.1 transcript:scaffold_201451.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:D7KUD8] MVHAQLNLIRKIVGILGNFISLCLFLSPTPTFVHIVKKKSVEKYSPMPYLATLLNCMVRALYGLPMVHPDSTLLVTISGIGIVIEIVFLTIFFVFCDRQQHRLVISAVLTVQAAFVATLAVLVLTLEHTTEQRTISVGIVSCVFNAMMYASPLSVMKMVIKTKSLEFMPFLLSVVSFINAGVWTIYGFVPFDPFLAIPNGIGCVFGLVQLILYGTYYESTKGIMAERKERLGYVGEVGLSIAIGQTEPENIAYFNKRVNGV >scaffold_201452.1 pep chromosome:v.1.0:2:11800315:11802594:1 gene:scaffold_201452.1 transcript:scaffold_201452.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7KUD9] MENGFLLVPKDEEDNEKSEDQTEMMKKVSFMAAPMVAVAASQYLLQVISIVMAGHLDELSLSPPLLSLLLLPTSPASFGLAGALETLCGQAFGAEQFRKIGAYTYSSMLCLLLFCFPISLLWVFMDKLLELFHQDPLISHLACRYSIWLIPALFGYSVLQSMTRFSQSQGLVLPLFLSSLGALSFHIPFSWLLVYKLRFGIFGSALSIGFSYWLNVGLLWVFMRDFDLYRENRNLRAQEIFLSMKQFITLAIPSAMMTCLEWWSFELLILMSGLLPNSKLETSVLSICLTMSSLHYVIVNAIGAAASTHVSNKLGAGNPKAARAVADSAIFLSVIDAAIVSITLYAYRRNWAYIFSNESEVADYVTQITPFLCLSIGVDSFLAVLSGVARGTGWQHIGAYANIGSYYLVGIPVGSILCFVVKLRGKGLWIGILIGSTLQTIVLALVTFFTNWEQEAVKARDRVTEMTSQGNQVTEMILKEDVQGLLKDISENV >scaffold_201455.1 pep chromosome:v.1.0:2:11815416:11815800:1 gene:scaffold_201455.1 transcript:scaffold_201455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQLKLIVLLSLVVIHLLHVLAKKWCVSAASAPDTQLQLQANIDWACSIGKVDYVKINLGGDCYEPNTPTSHASFVMNDYYQNHGNTEETCDFNHTGQIIGADPSYRRCRYT >scaffold_201458.1 pep chromosome:v.1.0:2:11856159:11856530:1 gene:scaffold_201458.1 transcript:scaffold_201458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNKLWSRKPDVKTGKSEFPVTRFLRTQIDNIKNTTAGPGIGGGIGCGAGIGIGLTGGLGIAASEGLNHSNVVLGFGMGCGIGFGFGYGFGVGGGYSFDDIKDRFDL >scaffold_201462.1 pep chromosome:v.1.0:2:11874271:11874646:-1 gene:scaffold_201462.1 transcript:scaffold_201462.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein 17 [Source:UniProtKB/TrEMBL;Acc:D7KUE9] MFPQLTLIFLFSLVVIHPLHVSAKTWCVANTSAAPTQLQANIDYACSEGKVDCAKINPGGVCFDPDTVLSHASFVMNDFYQNHGSTEEACNFSGTGQVVTADPSYGSCVYT >scaffold_201463.1 pep chromosome:v.1.0:2:11878123:11878417:-1 gene:scaffold_201463.1 transcript:scaffold_201463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTDTQLQANIDWACNEGQVDCAKINPGGVCYEPNTPTSHASFVMNDYYRSHGSTEEACDFNHTGQIISGDPSYRRCRYDVV >scaffold_201467.1 pep chromosome:v.1.0:2:11893700:11894075:-1 gene:scaffold_201467.1 transcript:scaffold_201467.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein 17 [Source:UniProtKB/TrEMBL;Acc:D7KUF4] MFPQLTLIFLFSLVVIHPLHVSAKTWCVANTSAAPTQLQANIDYACSEGKVDCVKINPGGVCFDPDTVLSYASFVMNDFYQNHGSTEEACNFSGTGQIVTVDPSYGSCVYT >scaffold_201470.1 pep chromosome:v.1.0:2:11901915:11906171:1 gene:scaffold_201470.1 transcript:scaffold_201470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLPTSCLFLFFLFSSFHPLPYASSKQELGWCEAQFQCGDITAGFPFSGGSRPQICGHPSLVLHCFNNKTSIIISDHFYNVLHIDQTSNTLRLARAELEGSFCNATYTATTLPSDIFELSSTYTSLTVFYLCDPKLSYRSSYTCPGRGLISVSQNLDYKYSCQDSFTINVPKSFVPEEKELNLRKLEKAFQEGFEVKVKIDEKACQKCSSSGGICGFENSTQICCKQASSSGCNKLHPLLDDLDCPDDETDDRGNYYVTRNLLSPVLQGISNALNDFRRFCSRNVSIPASITALSTLESSPSTDNLKKALEDRFELQVNSDCRTCIDSKGACGFSQTSSKFECYYIEKPQTPQVDSSARNKDKGLSNGAKAGIAVASVSGLAILILAGVFLCIRRRRKTQDAEYTNKSLPITSYSSRETSRNPTSTTISYSSNHSFLPSISNLANGSDYYGVQVFSYEELEEATENFSRELGDGGFGTVYYGVLKDGRAVAVKRLYERSLKRVEQFKNEIEILKSLKHPNLVILYGCTSRHSRELLLVYEYISNGTLAEHLHGNRAETRPLCWSTRLNIAIQTASALSYLHKSAPQGTPGYVDPEYYQCYSLNEKSDVYSFGVVLSELISSKEAVDITRHRHDINLANMAVSKIQNNALHELVDSSLGFDNDPEVRRKMMSVAELAFRCLQQEREVRPSMDEIVEILRGVKEEEEKRVLVKSPDVVDIECGGGDDVGLLRNSVPPPISPETDKWTSSSDTAASL >scaffold_201472.1 pep chromosome:v.1.0:2:11934262:11934800:-1 gene:scaffold_201472.1 transcript:scaffold_201472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTKTLVTCFLVIILAVSLSNHNVLASDAEIEDFSFDNCNTRCYGSDECNNYCIRAGFKNGGHCGSACIPCPVKCCCQK >scaffold_201473.1 pep chromosome:v.1.0:2:11938591:11940121:1 gene:scaffold_201473.1 transcript:scaffold_201473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSIAAKFAFFPPTPPSYEVIADDSCGGRLYIPEIPRRDDVDILKLRTRYGNEIVAVYVKHSKANGTLLYSHGNAADLGQMFELFVELSNRLRVNLMGYDYSGYGQSTGQASECNTYADIEASYKCLKEKYGVKDDQLILYGQSVGSGPTVDLASRTPNLRGVVLQCPILSGMRVLYPVKCTYWFDIYKNIDKIGAVTCPVLVIHGTADEVVDWSHGKRLWELSKEKYEPLWISGGGHCDLELYPDFIRHLKKFVVSLGNKQAEQAATERDS >scaffold_201476.1 pep chromosome:v.1.0:2:11957927:11960009:-1 gene:scaffold_201476.1 transcript:scaffold_201476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLPTSFLVLFFLFSLFHHIPCASSKQKLGWCESQFQCGNITAGFPFWGGNRPEVCGHPLLELRCLDNITSITISDHLYHVLSINHTYNTLRIARTDFLQSICLSPFPFVNTTLPPEIFDILPTYKSVTLYRCYPVLPDLARYGCPAIGSVSVSDNLENPISCEARFAVNVPTSFVTKEKKLNITNLVSDVRNGFEVKLKIDENSCQECSSSHKYCGFTGTLPLETKCRPLHRPTPTIAGVFLLPFLVLTLVVQILRKRKKRKTSHDLGQHELKKRIPQPRLKALIPLKQYSYEQVKRMTNSFAEVVGRGGFGTVYRGTLSDGRMVAVKVLKDLKGNNGEDFINEVASMSQTSHVNIVTLLGFCSEGYKRAIIYEFMENGSLDKFISSKKSTNMDWMELYGIALGVARGLEYLHHGCRTRIVHFDIKPQNVLLDDNLSPKVSDFGLAKLCERKESILSLLDTRGTIGYIAPEVFSRVYGRVSHKSDVYSYGMLILDIIGARNKTSTEGTTSSTSSMYFPEWIYKDLEKGDNGRLIENRISSEEDEIAKKMTLVGLWCIQPWPSDRPAMNRVVEMMEGNLDALEVPPRPVLECSVVPHLDSSWISEENSISSEI >scaffold_201477.1 pep chromosome:v.1.0:2:11960600:11960923:-1 gene:scaffold_201477.1 transcript:scaffold_201477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAANYQIAGICISFLSLWNLFSRFLTRPENAANTNMSIWSRWYYYWIKDLTVHITGVRDMSLNTLLN >scaffold_201480.1 pep chromosome:v.1.0:2:11978011:11984508:1 gene:scaffold_201480.1 transcript:scaffold_201480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPDR11/PDR11 [Source:UniProtKB/TrEMBL;Acc:D7KUW5] MATMLGRDENPVGTMSGRVSLASTSHRSLVGASKSFRDVFVSEADEVFGRSERREEDDVELRWAAIERLPTFDRLRKGMLPQTSVNGNIKLEEVDFMNLAPKEKKQLMEMILSFVEEDNEKFLRGLRERTDRVGIEVPKIEVRYENISVEGDVRSASRALPTLFNVTLNTMESILGFFHLLPSKKRKIEILKDISGIVKPSRMTLLLGPPSSGKTTLLQALAGKLDDTLQMSGRITYCGHEFREFVPQKTCAYISQHDLHFGEMTVRETLDFSGRCLGVGTRYQLMAELSRREKEEGIKPDPKIDAFMKSIAISGQETSLVTDYVLKILGLDICADILVGDVMRRGISGGQKKRLTTGEMLVGPARALFMDEISTGLDSSTTFQICKFMRQLVHISDVTMIISLLQPAPETFELFDNIILLSEGQIVYQGPRDNVLEFFEYFGFQCPERKGVADFLQEVTSKKDQEQYWNKREQPYTYVSVSDFSSGFNTFHTGQQLTSEFRVPYEKAKTHSAALVTQKYGISNWELFKACFDREWLLMKRNSFVYVFKTVQITIMSLIAMTVYFRTEMHVGTVRDGQKFYGAMFFSLINVMFNGLAELAFTVMRLPVFYKQRDFLFYPPWAFALPAWLLKIPLSLIESGIWIGLTYYTIGFAPSAARFFRQLLAYFCVNQMALSLFRFLGAIGRTEVISNSIGTFTLLIVFTLGGFIIAKDDIQPWMTWAYYMSPMMYGQTAIVMNEFLDERWSSPNYDTSINAKTVGEVLLKSRGFFTEPYWFWICIVALLGFSLLFNLFYILALMYLNPLGNSKAAVVEEGKEKQKATEGSVLELNSSSGHGTKRGMVLPFQPLSLAFKNVNYYVDMPTEMKAQGVESDRLQLLRDVGGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYVEGSISISGYPKNQETFARVSGYCEQNDIHSPHVTVYESLIYSAWLRLSADIDAKTREMFVEEVMELVELKPLRNSIVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLMKRGGQVIYAGSLGHQSQKLVEYFEAVEGVPKIKDGYNPATWMLDVTTPSIESQMSLDFAQIFANSSLYQRNQELITELSTPPPGSKDVYFRNKYAQSFSTQTKACFWKQYWSYWRHPQYNAIRFLMTVVIGVLFGLIFWQIGTKIENEQDLNNFFGAMYAAVLFLGATNAATVQPAIAIERTVFYREKAAGMYSAIPYAISQVVVEIMYNTIQTGVYTLILYSMIGCDWTVAKFLWFYYYMLTSFIYFTLYGMMLMALTPNYQIAGICMSFFLSLWNLFSGFLIPRPQIPIWWRWYYWATPVAWTLYGLITSQVGDKDSMVHISGIGDIDLKTLLKEGFGFEHDFLPVVAVVHIAWILLFLFVFAYGIKFLNFQRR >scaffold_201484.1 pep chromosome:v.1.0:2:12000540:12001500:1 gene:scaffold_201484.1 transcript:scaffold_201484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQRHPVSHDSSHWCLVWSNFLTNRNKNQITIWWRWYYWEMHVVWKLYGLLTSQEGYKDSMVHISGIGNMSFKTLLK >scaffold_201485.1 pep chromosome:v.1.0:2:12002920:12003350:-1 gene:scaffold_201485.1 transcript:scaffold_201485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTPTLDNLKKALEEGFKLGLNKDCSMCIESGGSCGYNQVSRRFVCYCEGWTHNHICDRLFWGLSSQERTGALFCLYFK >scaffold_201486.1 pep chromosome:v.1.0:2:12003961:12004750:-1 gene:scaffold_201486.1 transcript:scaffold_201486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHLPTSCLVLFFLAFLFHHLPCASSKKELGGCETLFQCGNVTAGFPFSGQNRHKLCGHPFLELYCVNNITTLFISNKEFHVLKINQTSNTITLARFDLLGSFCSSTFTNVTLPPEVFEISPTYKSVTVFHLCDPWFPYHSSYKCPEIGLISMSENLEHNNNCRESFTVNLPTSFVPEEGVLNLTRLESALREGFEVKVKIDKNACQECLSFHAFCGFKETFPLGIKCGPLYQPHCKFLIHHYHFISTV >scaffold_201487.1 pep chromosome:v.1.0:2:12007729:12008579:-1 gene:scaffold_201487.1 transcript:scaffold_201487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLSTSCLVFFFHFTLFHNLPCATSKQRLGWCEALFQCGNITAGFPFWGGNRSKPCGHPLLKLHCNKNITSLNILNHEYNVFDIDQTSNTLRLAREDLLGSFCSVTFNTTTLPPQIFELSPTYKSLTVLYNCDPKTSHGSSYTCPALGHFSMSQSLDHHNSCQNNFTVNVPLSFFPNERDLNLTHLESALRNGFEVKLVIDEIPCQECSSTSGICGFNSTTQICCNVTSPPGRDSCVPQHKPSGKFPNLLVSHFCFFKVQIYVFSSS >scaffold_201489.1 pep chromosome:v.1.0:2:12026996:12028742:1 gene:scaffold_201489.1 transcript:scaffold_201489.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KUX4] MAAKSQKTLILLATLIKVPPLKAFSLLNSPNFHGFQHTHESISILLRLLLSGNLYSHAQSLLLQVISGKIQSQFFTSSSLLHYVTESETSETKSRLYEVMINAYVQSQSLDSSISYFNEMVDKGFVPGSNCFNNLLTFVVGSSSFNQWWRFFNESKIKVDLDVYSFGIVIKGCCEAGEIEKSFDLLVELREFGFSPNVVIYTTLIDGCCKRGEIEKAKDLFFEMGKFGLVANEWTYTVLIHGLFKNGIKKQGFEMYEKMQEDGVFPNLYTYNCVMNQHCKDGRTKDAFKLFDEMRERGVSCNIVTYNTLIGGLCREMKANEANKVMDQMKSDGINPNLITYNTLIDGFCGVGKLGKALSLCRDLKSRGLSPSLVTYNILVSGFCKKGDTSGAGKVVKEMEERGIKPSKITYTILIDTFARMDNMEKAIQLRSPMEELGLTPDVHTYSVLIHGFCIKGQMNEASRLFKLMVAKKLEPNKVIYNTMVLGYCKEGSSYRALRLFREMEEKELPPNVASYRYMIEVLCKERKSKEAEGLVEKMIDTGIDPSDSILNLISRAKNDSHVSSNND >scaffold_201490.1 pep chromosome:v.1.0:2:12028744:12029240:-1 gene:scaffold_201490.1 transcript:scaffold_201490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDNKFHQLSKPDLLPLEDPFNGRFYVLNKSEIEDNEWILLYLELAVATSFRNHTKHGLTSLKILKVAMEIPPSLVEPFNKGLDAYDAIFYISYKDFCKARVGKDVDHSAVVLRAHKPSNHQIPWKLAPPRRWI >scaffold_201491.1 pep chromosome:v.1.0:2:12032417:12033121:1 gene:scaffold_201491.1 transcript:scaffold_201491.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUX6] MTTLSRATIVAISPILTIADKTSCSLIVSTSTLRFYEMVSSANPLPQSSLK >scaffold_201493.1 pep chromosome:v.1.0:2:12050582:12051866:1 gene:scaffold_201493.1 transcript:scaffold_201493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPISSSVNGHNFVLRHMLLVSPTCRGGKNKKTKRLFLSPIISISSFSSKKTKPGKSLTEKQGKELREMKGGINILDNLNNRCLVSQICVFLNKVKKEGLMVYFYLVSRERQIMDIEASFEACKSQPIHSTNKNVQPVEVLPLLAYFDRLVAPYFPFETILNLNFRIGQFFLIIALHVDTTMKPLKPDTLRYYMYVGIL >scaffold_201496.1 pep chromosome:v.1.0:2:12064841:12065316:1 gene:scaffold_201496.1 transcript:scaffold_201496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQQTAHYPSEKVVPVVLIDPKSQGNNESTKSSGLMKRDTKFTVSDDLVITLMNSSSSFCLLKKFEIHAEDLEVLQVSISKTEATSLWKTSFVTSSALNTSLRNLILEKLKVETC >scaffold_201500.1 pep chromosome:v.1.0:2:12075390:12075617:-1 gene:scaffold_201500.1 transcript:scaffold_201500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUY4] MTGFETSNLIYNHRIMASAFTKSKLKPPLLTVFLYHKIKSNILTSTRNCHQEKYNNRRCR >scaffold_201502.1 pep chromosome:v.1.0:2:12099621:12099825:1 gene:scaffold_201502.1 transcript:scaffold_201502.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUY6] MGKAVVENLKEQLLSKFIRNVSRKISNKSSRKFEEKSWEKAVVGNSKEHLLPNS >scaffold_201503.1 pep chromosome:v.1.0:2:12100755:12101050:-1 gene:scaffold_201503.1 transcript:scaffold_201503.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUY7] MHRFRTWIENERFASNVLLKTEYASSLTESMTLTMNQESCLKNGKMFCPCLICDSDAFSDKVVVWSNRETKLCRVTNLVLSRGK >scaffold_201506.1 pep chromosome:v.1.0:2:12120272:12121612:-1 gene:scaffold_201506.1 transcript:scaffold_201506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSCVSQPLSSSLTMMKRNISAKRLELCLDSSKIRLDHRWSFIGGSGISVQSNVSLNSNSVVRKKFSGVRASWLTTSQIASSVFAVGTTAVLPFYTLMVVAPKAEITKKCMESSIPYIVLGVLYAYLLYLSWTPETLKYMFSSKYMLPELSGIAKMFSSEMTLASAWIHLLVIDLFAARQVYNDGLENQIETRHSVSLCLLFCPVGIVSHFLTKALINNQGK >scaffold_201513.1 pep chromosome:v.1.0:2:12218140:12219085:1 gene:scaffold_201513.1 transcript:scaffold_201513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRIAMTIALGKFTKDEKDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFALGGWFTGTTFVTSWYTHGLFLLLLLLLWGPEAQGDFTRWCQLGGLWAFVALHGAFALIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHVATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVS >scaffold_201514.1 pep chromosome:v.1.0:2:12219147:12220608:1 gene:scaffold_201514.1 transcript:scaffold_201514.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP43 chlorophyll apoprotein [Source:UniProtKB/TrEMBL;Acc:D7KUZ9] MKTLYSLRRFYHVETLFNGTLALAGRDQETTGFAWWAGNARLINLSSKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVIDTFPYFVSRVLHLISSAVLGFGGIYHALLGPKTLEESFPFFGYVWKDRNKMTTILGIHLILLGVGAFLLVFKALYFGGVYDTWAPGGGDVRKITNLTLSPSVIFGYLLKSPFGGEGWIVSVDDLEHIIGGHVWLGSICIFGGIWHILTNEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTCLGKYLMRSPTGEVIFGGETMRFWDMLKKDIQPWQERRSAKYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLSTSHFFLGLFLFVGHLWHAGRARAAAAGFEKGIDRDFEPVLSMTPLN >scaffold_201518.1 pep chromosome:v.1.0:2:12259924:12260279:-1 gene:scaffold_201518.1 transcript:scaffold_201518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSEEKTCYDNPKQPIIVVDLVRLILERLSFVDFHRARCVSSTWYIASKSVTGVTNPTTPWIILFPNKHVENNNNVSCKLFDLHENKTYIIRDTAYGLDMAKA >scaffold_201525.1 pep chromosome:v.1.0:2:12293834:12297883:1 gene:scaffold_201525.1 transcript:scaffold_201525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRKRPWNLMPIYLNTKPDESGDFDEFNQRLNNLPAESNVTSDEDAQFRRSRSRKSQSKVNRRNGMSRELDNGYYPSKRRQKEAPCCPWNDLSTIPPLEPYPSPESISSAKFIVEKKCLIPVPSFKEVAANARRCLNTSPMPVSSESASNTNSGSDITKEDMKNNSPLDSKDVQGPSTSNDIKSEKPKIIKVYSFADLITTTNRGNIQTKERSLNHEKKLGIGVDIVEPMKCNEVTKCEVNADTMSLQKRNKRGVSLVERFTEEEIKLHIMSLTKPSIQSATREMCDHKEEEEEEEACQLCVNGRLLYPPPPLYCSLCSRRIDDESFYYTPGEEELTDAKHQICSPCHTKCKTKFTLCGIFIDKNKMLRRNNVDNANTEEWVQCESCQKWQHQICGLYNKHKDQDYTADYFCPECLLEELKSINNKRLDDNTDSGAKDLPETILSYFLEQRLFRRLKEERYQTAKASGKSIDDVSEPEGLTLRVVFSADKTLTVNKQFANLLHRENFPSEFPYRSKVILLFQKVDGVDICIFALFVQEFGSECGQPNQRSTYIVYLDSVKYFRPERVTFGGEALRTFVYHEILIGYLEYCKLRGFMTSYIWACPPKKGQDYIMYSHPKTQQTPQTKKLRQWYMSLLKKAAERRIVMNVTNLYDRFFVSTEEYMTAARLPYFEGSFWCTRAEIMTQEIEKEGNNELQKKVKLLSRRKLKTMSYKTTAGVDVDDVKNILVMEKLAKEVFPSKEDFMVVDLNYSCTRCSKAILSGLRWFCEKCKNLQLCESCYDAEQELPGEHIYKRKDKEKHQLSKVQVNGVLSSTTEDNDIIQENDMFESRQAFLAFSQKHNYNFHTLRHAKHSSMMILHHLHTSNKQHHSQNSSSLTCTACKKDVSTTIYFPCLLCPDYRACTGCYTKNTTLRHLHIFPTLPSANGAPPRTVVVLEILNAISHAFRCQATTTNSCSYPKCHEVKVLFSHNAQCKMREKGARCNICNRLWQTIRIHAYHCQDLNCPVPHCRDRKEVLIRKV >scaffold_201527.1 pep chromosome:v.1.0:2:12311261:12312850:-1 gene:scaffold_201527.1 transcript:scaffold_201527.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome maturation factor UMP1 family protein [Source:UniProtKB/TrEMBL;Acc:D7KV10] MESQKKIAHEIGGVKNDALRFGLHGVKSDIIGSHPLESSYESEKKSKEELKRTVIAHAYGTALPLKMDMDRQILSRFQRPPGPIPSSMLGLEVYTGAVDDFGFEDYLNDPRDSETFKPVDFHHGMEVRLGISKGPVYPSFM >scaffold_201529.1 pep chromosome:v.1.0:2:12322359:12322738:-1 gene:scaffold_201529.1 transcript:scaffold_201529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRKQRNNVLHNLQALSMQNIFKKIDREIKNIITFRNTDVILATSNWRGCGEKTNNNFVISSLSMA >scaffold_201530.1 pep chromosome:v.1.0:2:12335105:12337616:-1 gene:scaffold_201530.1 transcript:scaffold_201530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRQTNPGVRIIGRRIYDSKNGKSCHQCRQKTLDFAAPCKTMKKNKLCPIKFCYKCLSNSFVFFFFFRSLTSFSLRLCVLLRVFDLNHRYGENAEEVAKLDDWKCPLCRGICICSVCMSLRQSRGLMHHWDYLQSMNFLKLKGMISLLIRRSQNWKKAKSSDKLKEEIQFEAQLPQGISLTCVSGIDIPAEEAGNVCQLFEFCSAFGKVLALKEEHAETIVRELFICGGCYCVWNMNASRKLKLLNFLCDESLSTWAMRNYIKSQTRECGEQKKEAKEKASAAKEKEKELKQMLQGEEKNGAPLFIEEHKSVVSQIRDEAKKAHEEMIEAKGMKSGMTLICDARRTEPIMLDDNGFVFWKLKCFEEEQIFLLQDLGTFDDLCPHEKWLTFKPEQKPEIEKYISSKRRKLMRTQKKANAKKNANAKKECK >scaffold_201541.1 pep chromosome:v.1.0:2:12390766:12390974:-1 gene:scaffold_201541.1 transcript:scaffold_201541.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KV20] MPQQGEETTNPKHHSPLPPAKSSAEIEPQQPRNSTCLYEPLNTNPTKLKSRQKQN >scaffold_201542.1 pep chromosome:v.1.0:2:12391610:12391945:1 gene:scaffold_201542.1 transcript:scaffold_201542.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KV21] MLFWTQQSSAVVFGSVLEVPLTLLSRLPGYEVLVLGFKRSALIVIGGGRCYFWVGRGHPW >scaffold_201543.1 pep chromosome:v.1.0:2:12417538:12418887:1 gene:scaffold_201543.1 transcript:scaffold_201543.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KV22] MLPSRKTKRVLSGDFTPGRKRRRCVVPSSVSPAPEHTTGADLLDSIPDDLVVSILCKLGSTSRCPADFINVLMTCKRLKGLAMNPLVLSRLSPKAIAVKAHNWSEYSHRFLKRCVDAGSLEACYTLGMIRFYCLQNRGNGASLMAKAAISSHAPALYSLAVIQFNGSGGSKNDKDLRAGVALCARAAFLGHVDALRELGHCLQDGYGVPQNVSEGRRFLVQANARELAAVLSSGIQARSTWLSLSQTPPVPNHGQSCPLLSDFGCNVPAPETHPANRFLADWFAVRGGDCPGDGLRLCSHAGCGRPETRKHEFRRCSVCGVVNYCSRACQALDWKLRHKMDCAPVERWLEEGDGGEGNVQIDGNGNGENVLLQMS >scaffold_201546.1 pep chromosome:v.1.0:2:12427364:12431683:-1 gene:scaffold_201546.1 transcript:scaffold_201546.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic asynaptic mutant 1 [Source:UniProtKB/TrEMBL;Acc:D7KV25] MAQKLKEAEITEQDSLLLTRNLLRIAIFNISYIRGLFPEKYFNDKSVPALDMKIKKLMPMDAESRRLIDWMEKGVYDALQRKYLKTLMFCICETVDGPMIEEYAFSFSYSDSDSQDVMMNINRTGNKKNGGTFNSTADITPNQMRSSACKMVRTLVQLMRTLDKMPDERTIVMKLLYYDDVTPPDYEPPFFRGCTEDEAQYVWTKNPLRMEIGNVNSKHLVLTLKVKSVLDPCEDENDDMQNDGKSIGPDSVHDDQPSDSDSEISQTQENQFIVAPVEKQDDDDGEVDEDDNTQDPVENEQQLARVKDWINSRHLDTLELTDILANFPDISIVLSEEIMDQLVTEGVLSKTGKDTYIKKRDKTPESEFTFVKDEADVQTVPKDGKPVAPEDYLYMKALYHSLPMKYVTITKLHNMLDGEANQTAVRKLMDRMTQEGYVEASSNRRLGKRVIHSSLTERKLNEVRKVLATDDMDVDVNEAANKTNGLEGKVTADVSTCGGIHSIGSDFTRTKGRSGGMQQNGSVLSEQTISKAGNTPISNKAQPAASRESFAVNGGAAKEVETVNCSQASQDRRCRKTSMVREPILQYSKRQKSQAN >scaffold_201551.1 pep chromosome:v.1.0:2:12459246:12459627:1 gene:scaffold_201551.1 transcript:scaffold_201551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHKIELTDSGLLQAQEARARLHTLIGSNPSSPEWRVYFYVSPYDRTRSTLREIGRSFSNRRVIGIREEGNRILGIFLLVLGGRISRRRFLSCLKYVSLPCLFKNFLLYLYC >scaffold_201555.1 pep chromosome:v.1.0:2:12477179:12478855:-1 gene:scaffold_201555.1 transcript:scaffold_201555.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1688 [Source:UniProtKB/TrEMBL;Acc:D7KV34] MLRAKHIGKNYSSSLSPVLSPEHKPSLLESQAIGTVATAQANFMRVIVQDVASSVKSGDDDSSKTGVELLCVVRAVLKKIRRRVLVGDKVLVGSIDWVDRRGMIENVFHRRSEILDPPVANVDHLLVLFSLDQPKLELFTLTRFLVEAESTRIPLTLALNKTELISEEELESWKIRLRGWNYEPLFCSVGTKDGLDAIAFVLRNQTSVIVGPSGVGKSSLINILRSNHGSGAVEDENWFEPMLGNKWFDDQRVGEVSTRSGRGKHTTRNVSLLPISEGGYLADTPGFNQPSLLKVTKQSLAHCFPEIRNMIEGEKCGFRDCLHIGEPGCVVKGDWERYPYYLQLLDEIRIREEFQLRTFGTKREDDVRYKVGDMGVKHAEPRLMPKKHRRESRKKTKQTMISELDEFEDEDSDLDIENDPIIRAIENENK >scaffold_201556.1 pep chromosome:v.1.0:2:12480409:12483507:1 gene:scaffold_201556.1 transcript:scaffold_201556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLILPRSIGPFDCALPPPLLLHMTYVQLRHDTSSRLFSRKLSIKGETGSDLLRLYFQSSPNFTEFLEAWKLHHGKQGLSYIFSLIQTILSHPEGKCRSSDIGRALDQFGRLLIEEKLDDIYKALSNSKEPKQQNAALSLLASIVRRGPGMASQMARTFDFQGFEKQAVYKKPRRAFVEFAISFLEVGKPSLVKSILKQKQLYSQLLQGLGEDDDDTLASVLSTFKDKILVEESSLSPGLMSALFGPNTLKQLVIISEREYGGIVNELAYDVLVKVCTDPSNGLMPDAERKGNIKRLLALMKSLKATEIGYPRDLLIAIIRGRPSLASAFLDEFPYNVEDFTSPYWFSSISLAADLVSSVRISSSFDFLNPDQPPSGGSEVHTIMKCICPRPFSRLLIVRGMQHSDFLVKHGTLRFLWETLRLWDSFVTAWKLYSSRSCSVDQIQASLERDIIGEVISFFPDFQLLWNILKVSQKLPLKRKEGLDIELVDREKRLKRSEKDVVEELADDMVIGGLGSDSNILLEEDTGDAQLTDQADAENEYLGIVSEIWGSEFCSKPIALVDETEMFFHIKLLDTLGIYVRSVPNVPEGLFDVFMKFLSSSSGLPAELQRALLSLLNECISWRPKSQFERGPRRIPPLMFKHLHVFITLLLLSSHDEVKVLSYNLARVSMTSTGAFDINPSEIEAWFRFLPSVGKIKLPLNVQSISSFVISFLCDAVTAVGNTLFQVLDDIHYWEVMFPQHSVSLKGNTYLFGRGEDIDEELRVITKDEEFLICFDFTRERFGPRFPLPLNFLFSDIAALSSIREEQLAVLFRPLYTSEVEIRVTTKVEPNEVLWSNFVTFDSTYSKFKILAGSFFINQ >scaffold_201558.1 pep chromosome:v.1.0:2:12489517:12489956:-1 gene:scaffold_201558.1 transcript:scaffold_201558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRTISRQATMTTSSSTENLPRVLIVKRPAAMAVFGDRFVASTKFEILKAFESPLPLPEFLANHSDSVSVVIAHVAAPVTTDLIRLLPNLRFVGTTSAGVDHVDLVECRHCGISVANAGSSFSEDVVIGKK >scaffold_201559.1 pep chromosome:v.1.0:2:12499145:12500490:1 gene:scaffold_201559.1 transcript:scaffold_201559.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KV38] MQAFALSGKKRIVNHGMCFSKGNLDLGSRLSENFMDDPLIPGLPDDVAKQCLALVPRARFPSMGSVCKKWRFVVQSKEFITVRRLAGMLEEWLYVLTTNAGGKQSQWEVMDCLGQKLSSLSPMPGPEKTGFKVVVVDGKLLVIAGCSKINGSLVASADVYQYDTGLNSWSRLADLKVARYDFACAEVNGLIYVVGGHGVDGESLSSAEVYDPEMGTWTFIESLRRPRWGCFASGFNGKLYVMGGRSNFTIGNSKLLDVYNTQCGSWHGSKNGLTMVTAHVEVGKKLFCIDWKNQRKMSVFNAEDETWEVVALPLSGSSRAGFQFGKLSGKLLLFSSQEETGQCTLVYDPDASPGTQWKTSEIKLSGSCVCSVTITA >scaffold_201560.1 pep chromosome:v.1.0:2:12501158:12501678:-1 gene:scaffold_201560.1 transcript:scaffold_201560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKMFYFASKWEMLLMILGTIAAVAKGLTRQLMILIYGDLLDALSTTDQENMVKEVLKIDGFDAQVGTFIQLISTFFGGFAFVFIKRSAISVCSSQLHQLPG >scaffold_201562.1 pep chromosome:v.1.0:2:12514032:12518286:1 gene:scaffold_201562.1 transcript:scaffold_201562.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:D7KV41] MWRLKIGEGNGEDPYLFSSNNFVGRQTWEFDPKAGTIEERATVEVARRSFLVNHSRVKGCSDLLWRMQFLKEAKFEQVIPPVKIDDAEGITHENATDALRRGVSFFSALQASDGHWPGEITGPLFFLPPLVFCLYITGHLEKIFDEEHRKEMLRHVYCHQNEDGGWGLHIESKSIMFCTVLNYICLRMLGEGPNGGRENACKRARQWILDRGGVTYIPSWGKIWLSILGIYDWSGTNPMPPEIWLLPSFLPIHLAKTLCYCRMVYMPMSYLYGKRFVGPITPLILQLREELHLEPYEAINWNKTRRLYAKEDMYFPHPLVQDLIWDALYLFVEPFLTRWPLNKLVREKALRLAMKHIHYEDENSHYITIGCVEKVLCMLACWIENPNGDYFKKHLARIPDYMWVAEDGMKMQSFGSQLWDTGFAVQAILACDLSGETGDVLKRGHDYIKKSQVRENPSGDFKSMYRHISKGAWTLSDRDHGWQVSDCTAEALKCCLLLSMMPAEVVGHKIDPEQIYDSVNLLLSFQSDNGGVTAWEPVRAYKWIELLNPTEFLANLVVEREYVECTSAVIQALVLFKKLYPDHKTKEITRSIEKAVHFLENEQRSDGSWYGNWGVCFIYGTWFALGGLAAAGETYKTSQAMRKGVEFLLRTQKDDGGWGESYLSCPEQRYIPLEGKRSNLVQTAWALMGLIHAGQAERDPITLHRAAKLIINSQMENGDFPQQEIVGVFMRNCLIHYATYRNTFPLWALAEYRKAAFLR >scaffold_201563.1 pep chromosome:v.1.0:2:12518856:12528410:-1 gene:scaffold_201563.1 transcript:scaffold_201563.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:D7KV42] MADSQSGSNVFSLRIVSIDYYMASPIPGYDICYSSFQGGEVNEVPVIRIYGSTPAGQKTCLHIHRALPYLYIPCSEIPLEHHKGADGSTLALSLELEKALKLKGNAASKRQHVHDCEIVRAKKFYGYHSTEEAFVKIYLYPCNSYHPPDVARAASLLLAGAVLGKSLQPYESHIPFILQFLVDYNLYGMCHVHISKMKFRSPVPHHFLPRRFDLEDCAGQRIDEVAITKANSSAAASVSFPIWSLSTIPGQWMWNISEESDTPLSQSQHRHYYRRQSLCELEGDATITDILNQQFKMYNSLSQAQSDTNMVQSLVAIWEEEYERTGVHDAPIPPDPGKPSAADVLKTMSDYVGFENMLKEMHNEVDLSQSDMKPTAVSSAGPDRHAKPEISDLQVLNHMVGTSSKFPASEQLSPLGERNGEASMENDEYMKTPMDRDTPAEIQDAEALGLFKWFASSQAAEDINSDDEILRETILSPLLPLASINKVLEMASTDYVSQSQKECQDILDSQEDLPDFGSSTKRALPSNPDSQNLITSSDKQSLETEVASDVPDISTSNGASENSFQRYRKSEVMENKNRSYSKSNKPSNSVWGPLLFTLTKNLQNDFDSTNTSDKLGLTKINSDHPMNETTDKYNVPVKEHQADVCNSIDKNVLAGCSLRDLMRKKRLCHGDSPVSQHMKFRKVLPQSRDSPHGEKKQCTLRSEAEKQGPALSAEFSEVDYGDAPSTLSPIDDGTCECNISTQMTELHSVGRCSAKETAFQNSDEVVRNFSFTTVSLGKDPQTVESGTLVSSNKLLGIEIDDVQKSGREQESTANEIVETGRLICLTLSKKPPSVDCLSSGLHDSGHSHDILAQFHHAREKQHDGCEGNSKDIPFFPLEDTGINKDGNKHFFQGASLGIPLHHLNDGSNLYLLAPALSPPSVDSVLQWIAEDKGDYNIDSEKQPLEDDHIDRGASFTDLASASNVVSVSEHVQHHNNVFVNSESNTYTESEIDLKRRGTFLNLNSQISQEMSQISGPDGKSGPTPLSQMGFRDPASMGAGQQLTVLSIEVHAESRGDLRPDPRFDSVNVIALVVQNDDSFVAEVFVLLVSPDSIDQRNVDGLSGCKFSVFLEERQLFSYFIETLCKWDPDILLGWDIQGGSIGFLAERAAQLGIRFLNNISRTPSPTTRNDSDNKRKLGKNLLPDPLVADPAQVEEVVIEDEWGRTHASGVHVGGRIVLNAWRLIRGEVKLNMYTIEAVSEAVLRQKIPSIPYKVLTEWFSSGPAGARYRCIEYVVRRTNLNLEIMSQLDMINRTSELARVFGIDFFSVLSRGSQYRVESMLLRLAHTQNYLAISPGNQQVASQPAMECVPLVMEPESAFYDDPVIVLDFQSLYPSMIIAYNLCFSTCLGKLAHLKMNTLGVSSYSLDLGVLQDLNQILQTPNSVMYVPPEVRRGILPRLLEEILSTRIMVKKAMKKLTPSEAVLHRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRSTLEKAISFVNANDSWNARVVYGDTDSMFVLLKGRTVKEAFVVGQEIASAITEMNPHPVTLKMEKVYHPCFLLTKKRYVGYSYESPDQNEPIFDAKGIETVRRDTCEAVSKTMEQSLRLFFEKKSISKVKSYLYRQWKRILSGRVSLQDFVFAKEVRLGTYSTRDSSLLPPAAIVATKSMRTDPRTEPRYAERVPYVVIHGEPGARLVDMVVDPLVLLDIDTPYRLNDLYYINKQIIPALQRVFGLLGADLNQWFLEMPRLTRSSLGQRPLSSKNSHKTRIDYFYLSKHCILCGEVVQESAQLCNRCLKNKSAADATIVWKTSKLEREMQHLATICRHCGGGDWVVQCGVKCNSLACSVFYERRKVQKELRGLSSIATESELYPKCMAEWF >scaffold_201572.1 pep chromosome:v.1.0:2:12557012:12557237:-1 gene:scaffold_201572.1 transcript:scaffold_201572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKMFGAADASILVTDLRRSFDDCVTRGYEWRVTQLKKLLIICDNHELEIVAALRDDL >scaffold_201576.1 pep chromosome:v.1.0:2:12585186:12590135:1 gene:scaffold_201576.1 transcript:scaffold_201576.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease [Source:UniProtKB/TrEMBL;Acc:D7KVL2] MKLLPREIEKLELHQAGFLAQKRLARGIRLNYTESVALIATQILEFIRDGEKSVAELMDIGRQLLGRRQVLPAVVHLLYTVQVEGTFRDGTKLVTVHEPISLENGNLELALHGSFLPVPSLDKFPEAHEDVIPGDMKYGDGSIIINHGRKALVLKVVNTGDRPVQVGSHYHFIEVNPLLVFDRRKALGMRLNIAAGTAVRFEPGERKSVKLVNIGGNKVIRGGNGIVDGLVDDVNWTVVMEIMERRGFRHLEDADASEGIVGEDPRFTTTISREKYANMYGPTTGDKLRLGDTNLYARIEKDYTVYGDECVFGGGKVLREGMGQGIEQSEALSLDTVITNSVIIDYSGIYKADIGIKNGHIVGLGKAGNPDTMHGVQSNMLIGNKTEVIAGEGMIVTAGAIDCHVHFICPQLVYEAVSSGITTMVGGGTGPAYGTRATTCTPSPFDMKLMLQSTDSLPLNFGFTGKGNTAKPLELQHIVEAGAMGLKLHEDWGTTPAAIDNCLAVAEEYDIQVNIHTDTLNESGFVEHTINAFRGRTIHTYHSEGAGGGHAPDIIRVCGVKNVLPSSTNPTRPYTKNTVDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVISRTWQTADKMKAQRGAIDPSMADDDNSRIKRYIAKYTINPAIANGFADLIGSVEEKKLADLVIWQPAFFGAKPEMIIKGGNIAWANMGDANASIPTPEPVISRPMFGAFGKAGSENSVAFVSKAALRNGVKELYGLKKRVVAVSNVRQLTKLDMKLNDALPDITVDPETYIVTANGEVLTCAPADSVPLSRNYFLF >scaffold_201583.1 pep chromosome:v.1.0:2:12628643:12629429:1 gene:scaffold_201583.1 transcript:scaffold_201583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSFSPSSSSIRRKSKKTRICLDSLPEDLLVEISSCTGASSLSAVRNLRVVSKSFRRICDERYVFYRLSLKEIDYPPWHQNSEYFFERCRNSGNPEALYRKGFMNYFRDNLKHEGLKYLAEAAEKGNREANYVYGLILICLGDKTKQKGLKILSSVIKPLMSTTMEELVELRYKIKKIRDSVLWPNNPVMERLKTVYVREKCECDCKTRMLLVRNHGWHRYGDDTDMNISSACEFCLLHHEVELFLR >scaffold_201586.1 pep chromosome:v.1.0:2:12638631:12638970:-1 gene:scaffold_201586.1 transcript:scaffold_201586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKSWRPITFKDGHSLETEGLFKGGVLYYAADLYSDSTRVIMSFNVRSEDFSVLELPKDVDFSIGWNLVNSKRKLPYLFMMTTTMEFCKYGLEKWVYG >scaffold_201588.1 pep chromosome:v.1.0:2:12645480:12645849:1 gene:scaffold_201588.1 transcript:scaffold_201588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTMITELEDLRQRVVLVIFIVVSSSRFLIFCSLFIQKELLQDGSGPSRGIPMKLVCIRVTKENRTAGILENHTKGERYAEHSLRKFVRNKAPQIMPSINGFFTDPKY >scaffold_201590.1 pep chromosome:v.1.0:2:12650364:12651253:1 gene:scaffold_201590.1 transcript:scaffold_201590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KVM6] MALILIHQASLQIPDSHGSSPHMIISSSLSSPLEMMELIRLIILGVDLIGPAMYFGLMGDGQPIGSYDDMWAGWCIKLGSEDKLGMSLTHQQPMAKLEQ >scaffold_201591.1 pep chromosome:v.1.0:2:12651890:12653439:1 gene:scaffold_201591.1 transcript:scaffold_201591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRLVQVSSTESISSISASSARNGGVLYPKCGSVCGLCRVLKPNKVAYNSMILSAMRTCSISGFHTHLPKSSGSVSSRKRFSSTALSVITQTASPFAHPRSSVIVSSLLSPSDIPQKSEEWFALRKDKLTTSTFSTALGFWKGTRRAELWHEKVYDSDSRVVEESARFAMNWGVQMESAAIERYKRIMGCEVGTMGFAIHSNEQFHWLGASPDGILDCFGILEVKCPYNKGKTETVLPWKKVPYYYMPQLQGQMEIMDREWVNLYCWTRNGSTVFRVMRDRSYWRIIHDVLREFWWESVIPAREALLLGKEGEEVKKYEPTSTHKRTKLAIAKSINLAAESKLVCREIADHVEFF >scaffold_201592.1 pep chromosome:v.1.0:2:12653814:12654049:1 gene:scaffold_201592.1 transcript:scaffold_201592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGPSWADQWDYNNPDPLPSSAKEDESKKKNKKKKEEDGSKSSLGKTLLGFKWMKELRKKSEK >scaffold_201596.1 pep chromosome:v.1.0:2:12666192:12668175:-1 gene:scaffold_201596.1 transcript:scaffold_201596.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:D7KVN1] MEKSGFSPVGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCGLAREALRLLRERKDGYDIVISDVNMPDMDGFKLLEHVGLELDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELKIIWQHVLRKKLQEVRDIEGCGYEGGGDWITRYDEAHFLGGGEDVSFGKKRKDFDFEKKLLQDESDPSSSSSKKARVVWSFELHQKFVNAVNQIGCDHKAGPKKILDLMNVPWLTRENVASHLQKYRLYLSRLEKGKELKCYSGGVKNMDSSPKDVEVNSGYQSPGKSSYVFSGGNSLIQKVTEIDPKPLASASLSDPNTDVIMPPKTKKTRIGFDPPISSSSAFDSLLPWNDVPETLESKPVLYENSFLQQQPLPSQSSYVANSVPSLMEEEMKPPYETPAGGSSVNADEFLMPQDKNPTVTLQDLDPSAISNMKLQEFSSNVTNNTEAILRSLNWEPESHHSASLDTDLDLSWLHGERFLANTGLQFQDYSSSPSLLSELSPHLWYGNERLPDPDEYSFVVDQGLFIS >scaffold_201605.1 pep chromosome:v.1.0:2:12721642:12721918:-1 gene:scaffold_201605.1 transcript:scaffold_201605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSKTMTLIFFLLTSSMAREDFSRFGVERDEVPTGTDLKQNNAQPHLLLVQVKKKVPSGPDPIHNMRGPSGDPGSPP >scaffold_201611.1 pep chromosome:v.1.0:2:12739163:12740671:-1 gene:scaffold_201611.1 transcript:scaffold_201611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKKLFVSLLLFLFSSFLFGDINGVESSNKHPHPQHLYGPKKMFVFGDSYVDTGNIYMHEATSWEVPYGITFPGKPSGRFSDGRISTDFLAKLLGLKSPISYLWKDYAVNERLEYVMNFINLLEQLIGNIYSPSDLSSSLALVSCSGNDYITYLSKNGSILGIPAFTKKIINQTEVNLRRIHALGVKKIAISLLHPLGCIPFISKGFSVKDCKTIINALVIYHNNLLQTLVAKLNKETEHSTFVVIDYYNAFLTVFKNKGEIPGSTRFETPLKECCGGFCGVVDEKGQKNYTLCDEPKSAFF >scaffold_201615.1 pep chromosome:v.1.0:2:12754357:12757066:1 gene:scaffold_201615.1 transcript:scaffold_201615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSAIASQTLLSSNPNLHFSNSIPNPRPSNSSLKLLNASSSSSSSSSIFTRGLRYVNRTVSNEESEPGGGETMVASASAIASAIRGASTTPVEFTQIIEKDHLKTKIILPSPDFQRLCLEQLDLFRQIVDPNAVLSIYVRPAGSYVMDRLELRRVTCYPSVNTGDVVILVGNFGIPAGLRAAEASLSSQQVELVNKHRAAVFPMVKHPFVVGFLVAELPVEAEEEEEEEKEEEKPHGLKHFPSPEEAYALPASANTKSPKVKLPSVKVFTAEQRSYAINISRTLAMAYVMDQKTMLLQQSSWQNNVRMSKLVEQIRGPLSTMRTLSKMLSSHTKRNQISHDIVEDLIVQGDQIKDTLEELQDAVHLTKANIVRHNEEALKKINKTHNETRRSNYEQKDPIDGSKIPSIRLSLGSGSDDSEIPMPPLALAPLQTHNIRPCDISNVLLDMVETVRPLALTQQRVVELGENSASLQVAVEESALRQALSNLIEGALLRTHVGGKVEILSTRAPAGGSLVVIDDDGPDMRYMTQMHSLTPFGAELLSENMVEDNMTWNFVAGLTVAREILESYGCVIRVISPRSSDAALGAGGTRVELWLPPFPAAVSEANEA >scaffold_201616.1 pep chromosome:v.1.0:2:12766219:12769002:1 gene:scaffold_201616.1 transcript:scaffold_201616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFSRSSISRKSKDGMKIIATAFFGVTFGFLIGISFPSLSITKVSLPTNFLPSNDLSSIEEKGSKIATPESHKAWSSSKGNDSSSSVPIDKSKIWVPSNPRGAEMLPPGMVAAESDFYLRRLWGLPQEDLTSEPRYLATFTVGINQKANIDACVKKFSENFTIVLFHYDGQVTEWDEFEWSKTAIHISVRKQTKWWYAKRFLHPDIVARYDYIFIWDEDLGVEHFNAEEYIKMVKKHGLEISQPGLEPNQGLTWQMTKRRGDMEVHKITEERPGWCSDPHLPPCAAFVEIMAPVFSRNAWRCVWHVIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWVVHQSFPSLGNQGEATDGKAPWQGVRDRCKKEWTMFQSRMANAEKDYFKSLQVEGSSNSTATTI >scaffold_201618.1 pep chromosome:v.1.0:2:12776845:12777091:-1 gene:scaffold_201618.1 transcript:scaffold_201618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKLIGGIVGGIAGAIIGTVDGFARGVGICPESYQSCNRHDCEEHKKKPPANHGNGNSGGRRQKDRA >scaffold_201619.1 pep chromosome:v.1.0:2:12779276:12779548:-1 gene:scaffold_201619.1 transcript:scaffold_201619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKLIGGVAGGITGGIIGTVDGFAKGVGVWPSNYQSTGRVFEEHNKTRPSNHGNGNDGAVKPCENSGGRRQKDRE >scaffold_201620.1 pep chromosome:v.1.0:2:12783245:12783557:-1 gene:scaffold_201620.1 transcript:scaffold_201620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KVQ5] MLDKLIGGVTGGVAGGIIGTVDGFARGAGLCPNNNQSNGRRFENHNKPRPVNHGNGNGGAAVVKPSENCGGRRQKDRE >scaffold_201621.1 pep chromosome:v.1.0:2:12787673:12788857:-1 gene:scaffold_201621.1 transcript:scaffold_201621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPANHGRPPMAHMPVCDEEDSDSDVEEFFESSRSHHTTATLPHHSSNHHQQPLHLNYRPPPPATNNNNYHYQTQPHHNGKMGKIGNGWQGQHEVAYHGGQGMQHHDMHVIQHEGGHGMQDYNGQWMKQQDRLMAHQVPPRHVYMNPNHDSGYSHAVVVKATENWQVSKSSGRRKLGWGSEGL >scaffold_201622.1 pep chromosome:v.1.0:2:12790753:12793674:-1 gene:scaffold_201622.1 transcript:scaffold_201622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKLTRGFGHRHGRSRGFGFEEHKEFVTHMESEGSFFDHQARMRLPANHGRPPMAHMPVCDEEDSDSDVEEFFERSRTHHTTALPQPLHLNFRPPPPVTQPHHNGKMGNGMLGQHEDAFHGGHGMQHHGGHGMQHHGGHGMQHHNIHGMQHQGEHGMQHQGEHGLQHQGGHGMQHQGEHRMKHQGGHGMQHQGGHGMQHQVGHEMKHQSGHRLQHQGGHGRQHQGGHEMKHQDGHGMQHQGGHGLQHQRGHGLQHQGGHGMQHQGGVVVKATENWRVSKSSGQKLGWGSKGL >scaffold_201623.1 pep chromosome:v.1.0:2:12795605:12797045:-1 gene:scaffold_201623.1 transcript:scaffold_201623.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7KVQ8] MWWMMGENGGHYCSKKSDDLCGTQESDRGFGISRLCCILRGVDLKSILFLLVIVPMCVLGVYINALKISYFLRPLWESPPKPFHEIPHYHHENASMESLCKLHGWGIREYPRRVYDAVLFSTEVELLTIRWKELYPYVTQFVLLESNSTFTGLPKPLVFAGHRDEFKFIEPRLTYGSIGGRFKKGEKNPFYEEAYQRIALDQLLRIAGITDDDLLIMSDVDEIPSRHTINLLRWCDDIPKILHLRLKNYLYSFEFPVDDKSWRASVHRYQTGKTRYAHYRQSDVILSDSGWHCSFCFRRISEFVFKMKAYSHYDRVRFAHYLNPKRVQRVICSGADLFDMIPEEYTFKDIIGKMGPIPHSYSAVHLPAYLLENAEKYKFLLPGNCLRDEE >scaffold_201626.1 pep chromosome:v.1.0:2:12815057:12815664:-1 gene:scaffold_201626.1 transcript:scaffold_201626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITLDSRCYDMEKVQQMTNDKGIMKIEILGDGNSVAEVGSRGLSRQTSMTKTNCLCSPTTHPGSFRCRIHRSLSLQRTKSMEAASLLDSPPKPADSPSAAL >scaffold_201627.1 pep chromosome:v.1.0:2:12817176:12817422:1 gene:scaffold_201627.1 transcript:scaffold_201627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKTDNGNEDGPIIHTQVEKIKKEFEKIRQPSLQQPEMRRVLSEIKRRQRSRSPLGLGERSISVGN >scaffold_201634.1 pep chromosome:v.1.0:2:12857650:12858812:-1 gene:scaffold_201634.1 transcript:scaffold_201634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWKRAAAAIKDRKSLLAVGFSRRNSSYRNADLEAAIIKATSHDDSSVDYSNAHRVYKWIRSSPLNLKTLVFAISSRVNHTRSWIVALKSLMLLHGVLCCKVPSVVGEIRRLPFDLSDFSDGHSCLSKTWGFNIFVRTYFAFLHHYSSFLSDQIHRLRGNNRRSLEKSDSVIQELERIQKLQSLLDMILQIRPIADNMKKTLILEAMDCLVIESINIYGRICGAIMKILPLAGKTEAATVLKIVHKATSQGEDLIIYFEFCKSFGVSNAREIPQFVRIPEEEVEAIEKMINSVQEKPEPGKEEEEVEDEKAIVVLEQPKKLQTIITDKWEIFEDDYRCLDRRDDEYHQNHLPLIVTNQPVYITYTMPDLITF >scaffold_201637.1 pep chromosome:v.1.0:2:12865372:12867249:-1 gene:scaffold_201637.1 transcript:scaffold_201637.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7KVS2] MSEIEHPRLILHNFLSPAECKELEFIHKSCSTIGYRPNVFSTTLSHLIATNSPHLIIPFVSIRERLKEKIEETFGCEFELFIEFTGLISWCKGASIGWHSDDNRSYLKQRDFAAVCYLNSYGKDFKGGLFRFQSGEPATVAPSAGDVIMYTADDQNIHSVDEVTDGERLTLALWFSRDSSHDEDAKLLSRLSQCTSHGVCLPLPASTSMYWFCPHHDASNQNIGFDICVARLHLLGFDVHSLQGEDHSMDASEQLMGPLQLAKGGELLTQKFANILHARQVVQFYHWKASELKTSNVENDTLEEVKAMSHSQLENIYTLKSVFLPDENLVTTIFGYSCSDEDRKDSLDLTDISPVIPSWEEYTSKLLKELLSSLPQWKTYQTIHKVESD >scaffold_201651.1 pep chromosome:v.1.0:2:12918515:12919446:-1 gene:scaffold_201651.1 transcript:scaffold_201651.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATNAP3 [Source:UniProtKB/TrEMBL;Acc:D7KW87] MPSLWSNESDGLREHLVDVGVSGSEPKIRVHDLTRVADDGSRILKGVTVDIPKGLIVGVIGPSGSGKSTFLRSLNRLWEPPESTVFLDGEDITKVDVIALRRRVGMLFQLPVLFQGTVADNVRYGPNLRGEKLSDEEVYKLLSLADLDASFAKKTGAELSVGQAQRVALARTLANEPEVLLLDEPTSALDPISTENIEDVIVKLKKQRGITTVIVSHSIKQIQKVADIVCLIVDGEIVEVLKPSELSHATHPMAQRFLQLSS >scaffold_201654.1 pep chromosome:v.1.0:2:12928703:12929021:1 gene:scaffold_201654.1 transcript:scaffold_201654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTKSAFLFSMVFMASLSSLSHALVLNGLQINAIQVTGVLRCSLNGNQYAPPLIGITVHLVCDGSSTDLAQAVTDQYGSFNIMHAQTPERC >scaffold_201671.1 pep chromosome:v.1.0:2:13011603:13012557:-1 gene:scaffold_201671.1 transcript:scaffold_201671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTGHERSKTLINFSLPKLWGTQRHLRCVKGDDSDGGGGSSSGGDQRIRRRSSNFEFDHQNRRLMVESSEKEGIEEFREKIMLDLRHVADKMTESIFREQVLGEEEDKEMEIEREDSPPEREVSPPSPPEATGATVEVRPWNLRKRRAACKAPISGIDSGSVIEENKRVNSSILGNELGKDRSRLYYTLSKKEIEEDYMKMMGQKPPRRPKKRSRNVQKQIDLLNFGSYITEITEDLYNVPDQAEKGKR >scaffold_201672.1 pep chromosome:v.1.0:2:13013268:13014433:-1 gene:scaffold_201672.1 transcript:scaffold_201672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMDLAWISSSLSQNLVDSLSRLVRLLHRLSLVLIRLELISLWKCPRVLLPVPSIINFLSSSLPLAPSNSVLAGNGRHANRVMVCLGWLDSYLCRDQMLSLLWTLYKTLLPNHRQLAFRVKKTGIMTLSLRSRCYRSFFNSLPTHSPIIEFSHVLIYCLDNLQSSGRLEKYGIMTMSSRGGYRLFFNLVNPSASSAEHLSKSSDALFIHQLPKRVKKNGIMIPSLRSGGYRSFFNSLSPPPLKTKLIHVQIKEFRYNTQTFNSLKKNGIMTPSPRRGGYQSFSNLFYPTASSVEHFLKSSFALFARAVYDHPLVEDFAKPVFMVESAMASKDSSNFANLFKMSIILENSWSLYLYSYCISLATACMNFPLLRF >scaffold_201674.1 pep chromosome:v.1.0:2:13027418:13028193:-1 gene:scaffold_201674.1 transcript:scaffold_201674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDFGSKTTTSRLKLFGFSVDGEEDFSDQSVKTSQSSVSPERGEFPAGSSGRSGGGVRSRGGGGGGGERKYECQYCCREFGNSQALGGHQNAHKKERQQLKRAQLQATRNAAANFSNAGSASQFLRNPIVSAFAPPPHLLSSSAVSQPMGGPWMYIPRVSPSQLHVSHGCVIQDGSGGASTGGFSYEYGARDSGFGVVGPQIRHVQAHGPRPSGNGFSREVGTTFDDGLGLDLHLSLAPAGH >scaffold_201675.1 pep chromosome:v.1.0:2:13039109:13041468:-1 gene:scaffold_201675.1 transcript:scaffold_201675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKKVDGLSAPAIRRDPYKVLSVPKDANDQEINSAYRNLSLNNLGVPIKTSVNANVLEEARNGNFTLKPLPIGTSVSGKVDKQHADFFRVTISEEQAKSGVVVRVTSTEQSKFKLLYFEQDSNGGYGLALQSTSYTIEALCAKTYEDTIEKLKEIEAQVLTKRFDLPQFETEYQQLEIEYHKALARFEKGTKRYSQEMQYVDELLKQRDSIRSSFSVVKTPSGNNLSNGSSSKAQGEESKGEGDSVVEEGEPESKNRSKNKWFNFNLDGYENKKLD >scaffold_201676.1 pep chromosome:v.1.0:2:13043730:13046248:-1 gene:scaffold_201676.1 transcript:scaffold_201676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKKLEGSSAPANRRDPYEVLCVSKDANDQEIKSAYRKLALKYHPDKNANNPDASELFKEVAFSYSILSDPEKRRHYDNAGFEALDADGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTVSANVLEEAMNGTVTVRPLPIGTSVSGKVEKQCAHFFGVTISEQQAESGVVVRVTSTAQSKFKLLYFEQDSSGGYGLALQEESEKTGKVTSAGMYFLHFQVYRMDSTVNALAAAKDPESAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTASYTIEALCAKTYEDTTEKLKEIEAQILRKRNELRQFETEYRKALARFQEVTNRYTQEKQTVDELLKQRDTIHSTFSVVKTPSGNNLSNGSSSKAQGEESKGDGDSAGEEGGTESRDKSKRKWFNLNLKGSDKKLG >scaffold_201680.1 pep chromosome:v.1.0:2:13072033:13074147:-1 gene:scaffold_201680.1 transcript:scaffold_201680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREGKRRNHHHNHHDEKLVPLAALISRETKAAKMEKPIVRFGQAAQSRKGEDYVLIKTDSLRVPSNSSTAFSVFAVFDGHNGKAAAVYTRENLLNHVISALPSGLSRDEWLHALPRALVSGFVKTDKEFQSRGETSGTTATFVIVDGWTVTVACVGDSRCILDTKGGSVSNLTVDHRLEDNTEERERVTASGGEVGRLSIVGGVEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPFVKQVKLSNIGGRLIIASDGIWDALSSEVAAQTCRGLPADLAARQVVKEALRKRGLKDDTTCIVVDIIPPENFQEPLPSPPKKHNNFFKSLLFRKKSNSSNKLSKKLSTVGIVEELFEEGSAMLAERLGSGDCSKESTTGGGIFTCAICQLDLAPSEGISVHAGSIFSTSLKPWQGPFLCTDCRDKKDAMEGKRPSGVKVI >scaffold_201681.1 pep chromosome:v.1.0:2:13075572:13076222:1 gene:scaffold_201681.1 transcript:scaffold_201681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEVELAKAFVNQRTTLPMFSKTKPQPLEILTKQERRLWSEEVEMYVSFEFQSIVFYVPEPFEKYEVRGVELFVLSLLRAHDGAEDIVQFCFVQSKIIDVSFFASTVKLGKKGVEAFIETDLKRFTEDEAVGKTMISSYQTNYTNSFIVKSSYTNSFIVKLKVKKMNHTSTPNKRDMNNGYIYIYVVHCFSDSNVSKCMFH >scaffold_201682.1 pep chromosome:v.1.0:2:13083360:13084937:1 gene:scaffold_201682.1 transcript:scaffold_201682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKIPPPTDQLAAVPLDNAGASSSTVHKTWSPSRTRIRSILGRPNGGAGLAGQKVQISGWVKKGREQGKGTFAFLEVNDGSCAANLQVKVDASASDLSKLVTTGTSLTIDSCLKIPPQGKATKQKIELSAEKVIDVGTVDQHGKTPYPIPKTKLTLEYLRDHTNFRARTTTMAAVTRIRHKICLAAHVFCDKHDICYIHTPIITTSDCEGAGEMFQVTTLINQSDKLETGLPRKHGKIDYSQDFFARQAYLTVSGQLHAETYACSMGDVYTFGPTFQAEKSHTSRHLAEFWMVEVELAFAGVEEAMNCCLDDMELMKNVDEACIHRLQMVALTSFERVTHTKAITLLEEAVAKGKKFENQVERRMDLASEHERHLTEVLYKKPVIVYNYPKEIKAFYMRLNDDGETVAAFDVLVPKVGELIGGSQREERMDVLMKRMEEKGLPIKEYEWYIDLRRHGTVKHSGFGLGLERMLLFATGMDNIRDVIPFPRYPGRADL >scaffold_201683.1 pep chromosome:v.1.0:2:13086090:13086567:-1 gene:scaffold_201683.1 transcript:scaffold_201683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQRFKFLGTQCGVAAQSPTRSPSPRTSPLVQLRQKKTTLKMLLSLASPSRREQQPLIQHHHKDVAGRKLKDLFVSSSSVEEEEEEERPKGKTKEEVLAAMAAKLNATARLQCESTDTAPAWFGFSKRLLQRAWRPKLGTIPE >scaffold_201685.1 pep chromosome:v.1.0:2:13095433:13095952:-1 gene:scaffold_201685.1 transcript:scaffold_201685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTRCNEINGSRPPSLKLAGESHTIKKTTSCKSRPRPHSRASPVIIYAHSPKVIHTRAEDFMALVQRLTGLDEIIRRNPNDVSESSSSVVTEEGQEANVGDDNTAAPFSQERTQRQKLTDMPLFTPSSMTLFGSPNQLMYMSPNRTDSFRPLVFKFE >scaffold_201689.1 pep chromosome:v.1.0:2:13140865:13141927:1 gene:scaffold_201689.1 transcript:scaffold_201689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFAVQPNAFAAGGDLRSSSVSVVERDQTTVVCPKPRRVGLRNNHHPSRSLRCYFSHQLELCESKAETDILDIILTKDGYGAEQVHKQVIDSPSPFLCGSPPSRVANPITQDARFRDEIVSVSSVIPPPLGLPPSSSPSSSGRKGGCVVRGNFGNSPKVRIEGFDCLDRDSRNCSIPALA >scaffold_201694.1 pep chromosome:v.1.0:2:13184353:13185911:-1 gene:scaffold_201694.1 transcript:scaffold_201694.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (B-box type) family protein [Source:UniProtKB/TrEMBL;Acc:D7KWD2] MMKSLASAVGGKTARACDSCVKRRARWYCAADDAFLCHACDGSVHSANPLARRHERVRLKSASSGKHRHASSSSPSHQSTWHQGFTRKARTPRGGKKSHTMVFHDLVPEMSTENQAESYEVEVEEQLIFEVPVMNPMVKEQCFHQSVETKVEFPMMPLSFKCSDEEDEDNAESCLNGLFPTDMELAQFTADVETLLGGGMEREFHSIEELGLGEVLKIEKEEVEEEEGVVTREVYDLDEAEETSPFEISFDYEYAHKTTYEEEEEDEKEDVMKNRMDVGVNEMSGRIKEENKEKALMLRLDYESVISTWNGQGIPWTDREPSEIDLDMVCCPTHSLGESGEAHHHNHFRGLGLHMGEAGDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRSSIGVAL >scaffold_201695.1 pep chromosome:v.1.0:2:13188118:13190268:-1 gene:scaffold_201695.1 transcript:scaffold_201695.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7KWD3] MPQAPMPEFSSSVKLKYVKLGYQYLVNHFLSFLLIPIMAIVAVELLRMGPEEILNVWNSLQFDLVQVLCSSFFVIFISTVYFMSKPRTIYLVDYSCYKPPVTCRVPFATFMEHSRLNLKDNPKSVEFQMRILERSGLGEETCLPPAIHYIPPTPTMDAARSEAQMVIFTAMDDLFKKTGLKPKDVDILIVNCSLFSPTPSLSAMVINKYKLRSNIKSFNLSGMGCSAGLISVDLARDLLQVHPNSNAIIVSTEIITPNYYQGNERAMLLPNCLFRMGAAAIHMSNRRSDRWRAKYKLSHLVRTHRGADDKSFYCVYEQEDKEGHVGINLSKDLMAIAGEALKANITTIGPLVLPASEQLLFLTSLIGRKIFNPKWKPYIPDFKLAFEHFCIHAGGRAVIDELQKNLQLSGEHVEASRMTLHRFGNTSSSSLWYELSYIESKGKMRRGDRVWQIAFGSGFKCNSAVWKCNRTIKTPKDGPWSDCIDRYPVFIPEVVKL >scaffold_201699.1 pep chromosome:v.1.0:2:13205238:13205443:1 gene:scaffold_201699.1 transcript:scaffold_201699.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWD6] MGSWEDHVGERRSIGSSPPAKFAEAEMSSNGPAALSRELHKSIPPQYSFPNPNY >scaffold_201700.1 pep chromosome:v.1.0:2:13209820:13213341:-1 gene:scaffold_201700.1 transcript:scaffold_201700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-xylosidase [Source:UniProtKB/TrEMBL;Acc:D7KWD7] MASSCSSSLAFSLSLLLALILCFTPTQSSKTIGKGYRLISIEESPDGGFIGYLQVKQKNKIYGSDITTLRLFVKHETDSRLRVHITDAKQQRWEVPYNLLPREQPPQVGKVIGKSRKSPITVQEISGSELIFSYTTDPFTFAVKRRSNHETLFNTSSSLVFKDQYLEISTSLPKEASLYGLGENSQANGIKLVPNEPYTLYTEDVSAINLNTDLYGSHPMYMDLRNVGGKAYAHAVLLLNSNGMDVFYRGDSLTYKVIGGVFDFYFIAGPSPLNVVDQYTQLIGRPAPMPYWSLGFHQCRWGYHNLSVVEDVVDNYKKAKIPLDVIWNDDDHMDGHKDFTLNPVAYPRAKLLAFLDKIHKIGMKYIVINDPGIGVNASYGTYQRAMAADVFIKYEGKPFLAQVWPGPVYFPDFLNPKTVSWWGDEIKRFHDLVPIDGLWIDMNEVSNFCSGLCTIPQGKQCPSGEGPGWVCCLDCKNITKTRWDDPPYKINATGVVAPVGFKTIATSATHYNGVREYDAHSIYGFSETIATHKGLLDVKGKRPFILSRSTFVGSGKYAAHWTGDNQGTWQSLQVSISTMLNFGIFGVPMVGSDICGFYPQPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWDTVADSARNALGMRYKILPFLYTLNYEAHMSGAPIARPLFFSFPEYTECYGNSRQFLLGSSLMISPVLEQGKTEVEALFPPGSWYHMFDMTQAVVSKNGKRVTLPAPLNFVNVHLYQNTILPMQQGGLISKDARTTPFSLVITFPAGASEGYATGKLYLDEDELPEMKLGNGQSTYVDFYASVGNGTVKMWSQVKEGKFALSKGWVIEKLSVLGLKGTGQASEIQINGSPMTKKIEVSSKEHTYVIGLEDEEENKSVMVEVRGLEMLVGKDFNMSWKMGIN >scaffold_201705.1 pep chromosome:v.1.0:2:13247561:13247969:-1 gene:scaffold_201705.1 transcript:scaffold_201705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKGMILPPVPSQLVLLRPNPLLQWRLGALTALVFFLMLVVWSIDGCSIQSFVEPWRFNAYSVRISPSPSPLMSPKPKLQKPTKSSSFFSRRRRHRRSFFFSRRISLANFRHYLFRCQIQF >scaffold_201707.1 pep chromosome:v.1.0:2:13253771:13254295:1 gene:scaffold_201707.1 transcript:scaffold_201707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSTDQPSQGRVKAKDWSTDLCECWMDINSCCLTCWCPCVAFGRIAEVVDRGSTSCGVSGAMYMIIFMLTGYGGSSLYSCFYRTKLRAQYNLKERPCCDCCVHFCCEPCALCQEYRQLQHNHDFDLSIGWHGNMERNARLAASAPSAPALQPPMSRLN >scaffold_201713.1 pep chromosome:v.1.0:2:13277607:13278960:-1 gene:scaffold_201713.1 transcript:scaffold_201713.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAICGRLALAPSSLFNSKSGDKHLVSKGPCVNRGVLMTLSTYAALGKGGGVLDKPIIEKTTPGRESEFDLRKSKKMAPPYRVILHNDNFNKREYVVQVLMKVIPGMTVDNAVNIMQEAHINGLAVVIVCAQADAEQHCMQLRGNGLLSSVEPDGGGC >scaffold_201716.1 pep chromosome:v.1.0:2:13292575:13295131:1 gene:scaffold_201716.1 transcript:scaffold_201716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPVQPPVSNSPPVASPPPLNNSTPSNNASSPAIPPPVTSPLPPSAPPPNRVPPPPPVTTSPPPVTNGAPPPPLPKPPESSDNPPQNNPTLRPPDSSNSTNGSGIGTGAVVGISVAVALVVFTLIGIFVWCVRRREKRLSAVSGGDVTPSPMSSTARSDSAFFRMQSSAPVVGEKRSGSHQTYFSQSQSGGLGNSKALFSYEELVKATNGFSQENLLGEGGFGCVYKGILPDGRVVAVKQLKIGGGQGDREFKAEVETLSRIHHRHLVSIVGHCISGDRRLLIYDYVSNNDLYFHLHGEKSVLDWATRVKIAAGAARGLAYLHEDCHPRIIHRDIKSSNILLEDNFDARVSDFGLARLALDCNTHITTRVIGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDTSQPLGDESLVEWARPLISHAIETEEFDSLADPKLAGNYVESEMFRMIEAAGACVRHLATKRPRMGQES >scaffold_201717.1 pep chromosome:v.1.0:2:13296118:13296611:1 gene:scaffold_201717.1 transcript:scaffold_201717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDCGAFAADCVIFCCCCECFILQVFMFAFFKVPYKLSKKMKRFVTRKRFRGKKRRNAEIVLPTKEDDCREEHGSRYSCMEDIEEMMQELSMQGEFVFGSFWRQGDSANDVDFGNSQYEIAKYSNNHHSLSLLIAQDVLLDSRIQIMKR >scaffold_201722.1 pep chromosome:v.1.0:2:13324831:13325257:1 gene:scaffold_201722.1 transcript:scaffold_201722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKASVLAIFMVVLVLGLVIKETQGQELCHEYFQLTAPCEKQQCIDMCSSKYKTGKGVCGPAVHQCFCTFSCTV >scaffold_201728.1 pep chromosome:v.1.0:2:13351232:13352158:1 gene:scaffold_201728.1 transcript:scaffold_201728.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KWG2] MHPFRIFSSIVLSNSPLFFSIPLNDASGSELLFTIPIPSSYLQNSPLRKACIRFFDEGQRIMLEIVK >scaffold_201741.1 pep chromosome:v.1.0:2:13436159:13436661:1 gene:scaffold_201741.1 transcript:scaffold_201741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELKRICISFSLTLLLLAVEGALNINQKWSGGSHFGYEGGFCFPGLCIGGSGGSRVHYGRDRYGGRNQYRGGSGFRETMYCKPLSCYGGSCDALHLHLDKGLYESLVRNTKTAKQPSTVDYNIPETLKHNVIINRNYEFKMVKEAAMAPQSN >scaffold_201742.1 pep chromosome:v.1.0:2:13437534:13437753:-1 gene:scaffold_201742.1 transcript:scaffold_201742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIVSIQTAMDLIVAGFSLIIGFGFFALIASVLCSVAFFHHVKAATPISTALTSRRRR >scaffold_201744.1 pep chromosome:v.1.0:2:13444002:13454172:1 gene:scaffold_201744.1 transcript:scaffold_201744.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate decarboxylase/ hydro-lyase/ magnesium ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7KWW2] MRSSFLVSNPPFLPSLIPRHSSRNSFRRSRERFSFAESLRVSLHGIGRNIEVAHGVQFDGPIMDRDALEVNLGDDLMVQVCVTRTLPPALTLELGLESLKEAVNELKTNPPQSSSGVLRFQVAVPPSAKALFLFCSQPTSSDVFPVFFLSKETLEPSYKSLYVKEPHGVFGIGNALSFVHPSSIDSKGHSMMKTFLSDESAMVTAYGFPDIEFNKYSTVNSKGGSSYFFVPQIELDEHEEVSILAVTLAWNESLSYRFEQTISSYEKSIFQVSSHFCPNLEDHWFKHLKSSLAKFSVEEIHPIEMEHMGFFTFSGRDQADVKELKSIQSSCQFHCKLSPDVVFSNNMLNRETEVSNFLKDEANINAVWASAIIEECTRLGLTYFCVAPGSRSSHLAIAAANHPLTTCLACFDERSLAFHAIGYAKGSLKPAVIITSSGTAVSNLLPAVVEASEDFLPLLLLTADRPPELQGVGANQSINQINHFGSFVRFFFNLPPPTDLIPVRMVLTTVDSALHWATGSACGPVHLNCPFRDPLDGSPTNWSSNCLKGLDMWMSNAEPFTKYFQVQSHKSNGVTTGQITEVLQVIKEAKKGLLLIGAIHTEDEIWASLLLAKDLMWPVVADVLSGVRLRKLFKPFLEKLTPVFVDHLDHALLSDSVRNLIEFDVVIQVGSRITSKRVSQVLEKCFPFAYILVDKHPCRHDPSHLVTHRVQSNIVQFADCVLNSQFPRRRSKLHGHLQALDGAIAREMSFQISAESSLTEPYIAHMLSKALTSESALFIGNSMPIRDVDMYGCSSENSSHVVDMMLSAELPCQWIQVTGNRGASGIDGLLSSATGFAVGCKKRVVCVVGDISFLHDTNGLAILKQRIARKPMTILVINNRGGGIFRLLPIAKRTEPSVLNQYFYTSHDISIENLCLAHGVRYVHVGTKSELDDALFVPSVEEMDSIVEVESSINANAIVHSTLERFARQAAENSLGIISTSSLLHPMIGNVLLCQVSGIQYSKYRVKLCDRPTICSDEFSQFHREGFILSLTLEDGSIGYGEVAPLDSNVENLMDVEGQLQLVLHLMNGAKFSYMLPLLNGSISSWIWSELGITASSIFPSVRCGLEMALLNAMAVRHDSSLLGILHYQKDENGSAQPHSAQICALLDSEGTALEVAYVARKLVEEGFSAIKLKVGRRVNSVQDALVMQEVRRAVGDQIELRADANCRWTFEEATEFGLLVKSCNLKYIEEPVQNKDDLIRFHEETGLPVALDETLDDFEECPLRMLTKYTHPGIVAVVIKPSVVGGFENAALIARWAQKHGKMAVISAAYESGLGLSAYILFASYLEMVNVKASTEQKQGTSPSVAHGLGTYRWLSEDVMMNTLGIFRSPYSGFVEGFVADASINLKDVKINNNVIVRTSKEIPVQRYELRVDVDGFSHFIRVHDVGQNAEGSVALFLHGFLGTGEEWIPIMKGISGSARCISVDIPGHGRSRVQSHASETQTAPTFSMEMIAKALYKLIEQITPGKVTIVGYSMGARIALYMALRFSNKIEGAVVVSGSPGLKDPVARKVRSATDDSKARMMVDNGLEIFLENWYNGGLWKSLRNHPHFSKIAASRLLHDDVPSVAKLLSDLSSGRQPSLWEELEDCDTNISLVFGEKDVKFKQIATRMYREMSKSKKSVNNIIEMVEIPEAGHAVHLESPLRMILALRKFLTRVHKSSQRQLSQKLLLALKEM >scaffold_201751.1 pep chromosome:v.1.0:2:13474275:13478052:1 gene:scaffold_201751.1 transcript:scaffold_201751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNFFEGAKGNKRRLKKVLPTSHLFKDGLVSLCLPSLQQLTSSSKRLEGHTWSSVSEKLEPTKVHGGNPAWFRLKYPYIAIGALAPSAHTLQFDKIIRLEASMRPFRRISRDLHSVTSASRWLESAFIDTAMVNCPTPSNSMAPLPAYPVEEIIEDGEASKLDRAFAAANYSDSENCFDIENQTDPHGFIQWLGLADMVMPINYSNQNMFPPFENDDKGDQDPTGLPPRIHGAEKGLLRRRPCVNEQRRQEVAEIEKWISEYYTDLRQEEQAK >scaffold_201752.1 pep chromosome:v.1.0:2:13501294:13502546:-1 gene:scaffold_201752.1 transcript:scaffold_201752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLLCRISKLSSARNHFLCFRRSKFSTATAAATPYLLLGDDVVGISPCGGKVVNFNLYDPRRQEQVKIENKILSKEVYKSRRIGSSRGWLALVNKKDLTVRLTNILNPSKKIISLPPITRDKYEHHVNVSVSSSNEEDCVVAVKFYGSRVSLCRTGDSEWTRINVPCPSFHSSTVIYSERDRRFYLNNCNPDYTGPTDFTPKTGFPTPVSGYKRFLFSNFLDELPELKNEMRLSRFRIQQQLVESASGQSFIVAWFVERFTDKGEVATWGDARYINRKDLCRKTHKIMVFRQDEEQGIGPYTDDIGDLCIFLGENESFCLSAKDFPGLNPNSVYFAGHSSGFGICDLASRTIRYLSDSTPPPGRMFWLPPTMC >scaffold_201757.1 pep chromosome:v.1.0:2:13521539:13523491:-1 gene:scaffold_201757.1 transcript:scaffold_201757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLWKRAKSFAEEAAKKSQTITLQSSSTTFVNLVSETAKKSKEFALEASKKADSLNVSEFVAETAKKSKEFAAEVSTKADQLKVVALKQADQIQNIKSIADIIPGGLGSGSGGGGSVISESELVSFGITDDLREFVKGLTSATFQAFPVQDESSQVSDLETSASNVRKDLSEWQERHATLVLGSVKQISKLRYELCPRVMKERRFWRIYFTLVSTHVAPYERKYMEELRSKAGSKDEEAKKSPGVGGTELAEKNVTMNRTSTASSEQDLDTFLLGDLEDSDEAPDDGDGDDDGSLGDDDFDKIGNSDVEEEKETNAAKN >scaffold_201763.1 pep chromosome:v.1.0:2:13562777:13564851:-1 gene:scaffold_201763.1 transcript:scaffold_201763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKRLLRWQQSKFPLFLSLEIVARRKKNVFVGSLIPCCLFYFLQLYLKRSRPPPSNPTELPRTSSRTNLFSRGNSIGRVRVSSRAVPLAKPSDSPYYIGLERVKTDPYDRIKNTDGIIQLGLAESTLCFDLLQRWMSENLMESMMQSDDGKFDISSIAMYKPIEGLLELRDSPRISYMHGSSTKLVSCLVPCFLCIQVVTIELSFCFLIYVYMYLQIIALRRAKRRNMELLVLACGGEAVNSVDDLTPDSLCWAEIFSSEKAKDKHLKCHQDLKSRIQRKQKREKHTNV >scaffold_201764.1 pep chromosome:v.1.0:2:13568507:13569526:1 gene:scaffold_201764.1 transcript:scaffold_201764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSKCPKLTLVIGKQGTNLCDPQCKAIVDSRTTDIYGLELSVRSIKHLEQTNFYFSRERSKTWICDRKRRRYPCTRQDALLKRVKFDGVLVLGIKSSFAPGSVTILENMVKQKLITKPIFSFWLRSYKGDGKGEDPNGGQIVFGGFDPKHFHGEHAYVSSDDRWKIKMSKIYNNGKPGTNLCDLQCKAIVDSGTTDISGPELSVRSIDEAIGAHRVTIKCDKVPALPDIYFEIGGKSSSPH >scaffold_201766.1 pep chromosome:v.1.0:2:13571415:13571784:-1 gene:scaffold_201766.1 transcript:scaffold_201766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRCAISCCCELGLTMSNNASEVPSHSKRRKKSKEASPSWSGLCSLPDAVAVSCLAKVSRLDHAALAIASKSHRSLVASPELCHLRRRIGCTEASLYVCLRIFPDPIP >scaffold_201768.1 pep chromosome:v.1.0:2:13605632:13606904:-1 gene:scaffold_201768.1 transcript:scaffold_201768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEENGYWFRFFNRPTPLKINLPFHEHPLTPVKIESKCDWCGLKFKGVTDGYKCDSCVFGLFHKTCANNENIPHPSQTCGNILYHTFNFYSGERRCAGCREKIYDSYIFICNDCVLVPTRKGRFGH >scaffold_201779.1 pep chromosome:v.1.0:2:13658212:13660588:1 gene:scaffold_201779.1 transcript:scaffold_201779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGVVPSAKAISAAFVEQYYHVLRYVPHEAHKLYVDDSVFSRPSPDGTMLSFTSVEAINEHILSCGFDNTTFEVLSIDSQNSLDDGIIIMVIGFMTGKDNLRRKFSQIFYLARHNNHVVLNDMFRYVDQDDSTPQTLPVVECEPATEIVKPAAELKKTELKQKNDASVAKSVNAAVEKNAAAPLDNGKMKQSEKAVIAQKSTEQVAETVAPQPDGAKRSFAAIVQSLANNAAPFQVKAPVQQPKYMGQPRAAAAPKKPAYVSKSIKKNDQKIIEEPGKSIFVANLPLNAMPPQLYELFKDFGPIKENGIQVRSSRGNANPVCFGFIAFESAASVQSVLQATKNTPFMLADRKLRVKEKEVDYDGSKPSGKTKGGSNKIQNGSADGSKTEISSADDSKTQNGSAEDGEDEFKQVRNRRNIRKNRNANGDNNQKKSEGGAPKVQAA >scaffold_201780.1 pep chromosome:v.1.0:2:13664935:13666240:1 gene:scaffold_201780.1 transcript:scaffold_201780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANERSREMARSNSCVFPRDLLQRFISNSVEGEDDDEEEEDDEIELNLGLSLGGRFGVDKSNNNKLVRSSSVVVTMPLFREDHHHHQAAAMITTKVSTETVAGATRGTGLMRTTSLPAESEEEWRKRKEMQTLRRMAAKRRRSEKLRTVAVAGGGNSNNPEEAVTATTSRRRGRPSSGLPRWSATANKSELLRQHSAALDSLQVSVESQGGGGGGGAAGSSSSVSELETKASSDEARSLPSTTQQQETTTKPTNRLRRLSSVDMNMKMEPQGKGKSEMPCVFTKGDGPNGKRVDGILYRYGNGEEVRIMCVCHGDFLSPADFVIHAGGPHVDHPLRHIVVNTSSPSNLL >scaffold_201784.1 pep chromosome:v.1.0:2:13676421:13677844:-1 gene:scaffold_201784.1 transcript:scaffold_201784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLPLCLIISMFTYSNAVYCVCKDGNEQVLQKAIDYACGNGADCSQIQTSGACFQPNTVKSHCDVAVNSYYQKKASSGATCDFNGAATPSNTLPSTASSCLTGSSSSGTPTTGTPTSGTPTTGTPTTGTPTTGTPTTGTPTTGTPTSGTPTSGFPTTGTPNTGTNTGMPNSGGMPTSSSSSVFPGTTLGPTGSGGFGDPNAGEKLSVRTNMVVFLLSVVAMLVI >scaffold_201792.1 pep chromosome:v.1.0:2:13721709:13723331:1 gene:scaffold_201792.1 transcript:scaffold_201792.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase [Source:UniProtKB/TrEMBL;Acc:D7KX08] MEAKLLKPAFSNSPSLNLANSSKPISQVSILNGKSRFGLSGSLSLRLSTASPIRYSRVLLRVDESEYLTLDSIRHSLIRQEDSIIFNLLERAQYRYNADTYDEDAFTMECFQGSLVEFMVRETEKLHAKVDRYKSPDEHPFFPQCLPEPILPPIQYPQVLHHCAELININKKVWNMYFKHLLPRLVKPGDDGNCGSAALCDTMCLQARSLILSKRIHFGKFVAEAKFRENPAAYETAIREQDRTQLMRLLTYETVEEVVKKRVEIKARIFGQDITINDPETGADPSYKIQPSLVAKLYGERIMPLTKEVQIEYLLRRLD >scaffold_201796.1 pep chromosome:v.1.0:2:13735430:13736395:1 gene:scaffold_201796.1 transcript:scaffold_201796.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5A [Source:UniProtKB/TrEMBL;Acc:D7KX12] MSDDEHHFESSDAGASKTYPQQAGNIRKGGHIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTSKKLEDIVPSSHNCDVPHVNRVDYQLIDISEDGFVSLLTDNGSTKDDLKLPTDEALLTQLKNGFDEGKDIVVSVMSAMGEEQMCALKEVGPK >scaffold_201802.1 pep chromosome:v.1.0:2:13758303:13759445:-1 gene:scaffold_201802.1 transcript:scaffold_201802.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family protein [Source:UniProtKB/TrEMBL;Acc:D7KX17] MFLQSPKLWKMLLILAIWSPISHSLHFDLHSGRTKCIAEDIKSNSMTVGKYNIDNPHDGQALPQSHKISVKVTSNSGNNYHHAEQVDSGQFAFSAIEAGDYMACFTAVDHKPEVSLSIDFEWKTGVQSKSWANVAKKSQVEVMEFEVKSLLDTVNSIHEEMYYLRDREEEMQDLNRSTNTKMAWLSVLSFFVCIGVAGMQFLHLKTFFEKKKVI >scaffold_201803.1 pep chromosome:v.1.0:2:13759823:13760282:1 gene:scaffold_201803.1 transcript:scaffold_201803.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7KX18] MSKKINELLRYCEENFERGNLELALRCAISISIANPDAPEPYAHVAAYRILLTVANNRMVTGEPDWYAVLGINKRGSSKSVAISIERRCEEIIEVLDGEAGLSKAVLRVYDLVRIGVAELMDEDRRRAYDLRCGFSNIN >scaffold_201818.1 pep chromosome:v.1.0:2:13866314:13866709:1 gene:scaffold_201818.1 transcript:scaffold_201818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSTRSMLFLLVCIGLLADNRYKVSAMRHREFFLKQTQPEEISKVRSIGFQFKHTLEDQGMLRENRRVLEEVNKNTVKAEETQEQKNKTDDTFQSSKRRVRRGSDPIHNKAQPFS >scaffold_201819.1 pep chromosome:v.1.0:2:13871237:13871999:1 gene:scaffold_201819.1 transcript:scaffold_201819.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHB29/ZFHD1 [Source:UniProtKB/TrEMBL;Acc:D7KXH2] MDLSSKPKQQLINSLSFAGEMGVCYKECLKNHAANLGGHALDGCGEFMPSPTATSTDPSSLRCAACGCHRNFHRRDPSENLNFLTAPPISSPSGTESPPSRHVSSPVPCSYYTSAPPHHVILSLSSGFPGPSDQDPTVVRSENSSRGAMRKRTRTKFTPEQKIKMRAFAEKAGWKINGCDEKSVRNFCNEVGIERGVLKVWMHNNKYSLLNGKIREIEHGLCLNTITHSCNNDGDGSSSS >scaffold_201821.1 pep chromosome:v.1.0:2:13879788:13881094:1 gene:scaffold_201821.1 transcript:scaffold_201821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLVYRSRHSYATKSNQHRIVKTPGGKLTYQTTKKRASGPKCPVTGKRIQGIPHLRPSEYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKLQKAKEKVAPKS >scaffold_201822.1 pep chromosome:v.1.0:2:13883533:13884649:-1 gene:scaffold_201822.1 transcript:scaffold_201822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILMLPDDVVLNCLARVSRLYYPTLSLVSKKFRSLLSSKELYQTRTLLGRTESFLYVFLRRRPNSSKRILVPISSPNFPSARWSKVAAVGPNIYSIGGLEHNMSSKASSNVMAMDCRSHTWCEAPSMHVAREFHSVCAFDGKIYVTGANENLDSTNWMGVFDTNTRTWEYLQIPGKELCRASQLESVWYEGTLYVRSEKKNVTYKLHKGRWRKVDYAMNYGWGRSSSYCVIENVFYHNFGTTIYWFDSQKRSWKILKGLESLCKSLCNKSAKYLVDYGGKIAVLWQDYVSLKFPRETSIWCAEIAIEKRENGEIWGMLEWVDIVFTTDNPSNLVHALTTTV >scaffold_201838.1 pep chromosome:v.1.0:2:13952253:13952849:-1 gene:scaffold_201838.1 transcript:scaffold_201838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATTVSSPLKRQRDEQEQEIIITQEETKRQKPSSSSSSYNQVRTLLDDIEVVEDEADNDITSLITTFQQEISNKEQNAAVFEENPSLSSSCSSSSSSSCTFKEDEYEEDKEKVMKHLLEASDDELGIPNTEIGGSNYEMIKSETNQDYVNGFSLLDGFGVGLWELEDEAANYYTLLQSELFM >scaffold_201840.1 pep chromosome:v.1.0:2:13976969:13977430:-1 gene:scaffold_201840.1 transcript:scaffold_201840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXJ3] MSMTSGRRSTVISASPPTAVVHGVCGSQNRRGGLAKLGTTFTRAPRRWRFNQFRYRNLTLSLQIPSIFERSHIFEFENYSDMHACCDFISKLHVP >scaffold_201843.1 pep chromosome:v.1.0:2:13996268:13997345:-1 gene:scaffold_201843.1 transcript:scaffold_201843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKLPWGWVEEILSWVPLRSLIHQQGNNQLTRASRPQFILFVESNIFSVDFILNDCPSIEVQKFPLDIPGYRLSIPLTVDYCDGLLLRDTLNYGLAVCNPLLKQTKWNIILFQWHKIPNWLFQCISFYDFRFLQVFRKDRFSYLHQCYETKNIEIWVTKRKIENVDGEAVEWIKFMNVSVPNSSGLKYMYVNNQRSYFIDDISLSLVVYCWDYTGQVYIYIARLKKLK >scaffold_201850.1 pep chromosome:v.1.0:2:14027063:14027550:1 gene:scaffold_201850.1 transcript:scaffold_201850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKVAFFLVLCLCVLSIAECGRQPPISREINYKCPDPNGVDREESCFDYCRSEGYSGGSCEGHMCKCYVETNISLI >scaffold_201854.1 pep chromosome:v.1.0:2:14042740:14046577:-1 gene:scaffold_201854.1 transcript:scaffold_201854.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATNRT1:2 [Source:UniProtKB/TrEMBL;Acc:D7KXK7] MEVEEEVSRWEGYADWRNRAAVKGRHGGMLAASFVLVVEILENLAYLANASNLVLYLREYMHMSPSKSANDVTNFMGTAFLLALLGGFLSDAFFSTFHIFLISASIEFLGLIILTIQARTPSLMPPSCDSPTCEEVSGSKAAMFFVGLYLVALGVGGIKGSLPSHGAEQFDESTPKGRKQRSTFFNYFVFCLACGALVAVTFVVWLEDNKGWEWGFGVSTIAIFVSILIFLSGSRFYRNKIPCGSPLTTILKVLLAASVKCCSSGSSSNAVVSMVVSPSIHCVPKGKKEVVVESQGELEKPRQEEAVPPRIVRMHSPTSARREVVLKMLPIFACTIMLNCCLAQLSTFSVQQAASMNTKIGSLKIPPASLPIFPVVFIMILAPIYDHLIIPFARKATKTETGVTHLQRIGVGLVLSILAMAVAALVEIKRKGVAKDSGLLDSKETLPVTFLWIALQYLFLGSADLFTLAGLLEYFFTEAPASMRSLATSLSWASLAMGYYLSSVIVSIVNSITGSSGNTPWLRGKSINRYKLDYFYWLMCVLSAANFLHYLFWAMRYKYRSTGSRS >scaffold_201855.1 pep chromosome:v.1.0:2:14054471:14055543:1 gene:scaffold_201855.1 transcript:scaffold_201855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDCGDGQIVAGGDAVAMSANYKKLMVLDIPPMAFGDGLVIKDSELLMIPTIIPPGYPNVMDACVSVLRETLFDNTDPASDPRADLLPCKFYGSLAVLYAKFKKVKRKETFEFYPALINEITTRFKATGRVWLSHIDHLLSPFNIDKNRWIAVHIDLTSHTLTVLDPTAAARRGSRLKPELEFICEMFPYLVRKVGGSDLMKNFPLQPLTFARNTHVSQATNIANSGMLSLLLLEAYATGGMDKAVHVKEDGMRLRAEELVVQMYEHCCGEL >scaffold_201856.1 pep chromosome:v.1.0:2:14056070:14056643:-1 gene:scaffold_201856.1 transcript:scaffold_201856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPKNKSSSSGSGSSSTVGDRSIVQREGGFLCYCGKTAVLRQAWTDANPGRRFYGCGEGYKNVCDYFRWRDVEKPYGWQKVALLEARDLIREQAEQIARLRALGTCGGEGDETQQTQEMVALIERLKKENEVLQVALGKMRAKEKMIRTMGMVSLLGFVLGVAAVIHSRSAARMELP >scaffold_201857.1 pep chromosome:v.1.0:2:14126704:14127849:-1 gene:scaffold_201857.1 transcript:scaffold_201857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTTLSSSSSSLTLINASHRFVSATPFSSNSIFLRRRIRRLNRSLASYSSHSRRRYEADDRFFGGGDKYDVVPDDDGFSDDDDEDDERESSVDLLIRFLRSMFKKVSKRAKKASRRILPAAMSPRLVSFAVDGILLLGSLSITRAFLEVICNLGGTVFTVILLIRLFWAAASFFQTYGNSFGPNPVT >scaffold_201862.1 pep chromosome:v.1.0:2:14145208:14147044:-1 gene:scaffold_201862.1 transcript:scaffold_201862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISIFFFIILISSSYSEDDILCLKGLKSSLKDPSNQLNTWSFPNSSSSSPICKLTGVSCWNAKENRILSLQLQSMQLSGQIPESLKLCRSLQSLDLSDNDFSGLIPSQICSWLPYLVSLDLSGNKLSGSIPSQIVDCKFLNSLVLNDNKLTGSIPSELTGLNRLGRLSLADNDLSGSIPSELSHFGEDGFRGNGGLCGKPLQNCGSLNGKNLTIIVIAGVIGAVGSLCIGFGMFWWFFIRDRRKKSGYGYGYGAGKSRDDSDWIGLLRSHKLVQVTLFQKPIVKIKLADLIVATNNFDSDNIVVSSRTGVSYKADLPDGSTLEVKRLSSGCELSEKQFRSEINKLGQIRHPNLVPLLGFCVVEDEILLVYKHMANGTLYSQLQQRDIDWPTRVRIAVGAARGLAWLHHGCQPSYMHQYISSNVILLDEDFDARVIDYGLGKLVSSRDSKDSSFSNGELGELGYVAPEYSSTMVASLSGDMYGFGIVLLEIVTGQKPVSINIGEEGFKESLVEWVSKHLSNGRSKDAIDRRICGKGYDDEIVQVLRIACSCVVSRPKERPLMIQVYESLKNLGDQHGFFSEYSDEFPLIFNKQEHLK >scaffold_201865.1 pep chromosome:v.1.0:2:14165548:14166730:1 gene:scaffold_201865.1 transcript:scaffold_201865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGNEELAPKSPSLWNRLTPKQKQKVKTSLFCFFMLIIVVGTIVYVFYATEKKSREDHQRIQNYVPDIILPSMDFTVYNLILDWAQLLNVSSIASEGDMDSVVLKDIMEDIKERRDMRFGSRLLLPDCRSGRTMNYTCDESMLRFEPGTQRKANAFGNDAPICHYVR >scaffold_201867.1 pep chromosome:v.1.0:2:14171167:14171382:1 gene:scaffold_201867.1 transcript:scaffold_201867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWVTNKVTDVVVSWSKYFNVTHPDLLIFNPLFIRYTYIYSDILHPQDRFYHVMVR >scaffold_201868.1 pep chromosome:v.1.0:2:14175449:14175639:-1 gene:scaffold_201868.1 transcript:scaffold_201868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTLSPPRLADFRYSTGIFEKCTVLKPQPLILA >scaffold_201870.1 pep chromosome:v.1.0:2:14181034:14181694:1 gene:scaffold_201870.1 transcript:scaffold_201870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGLYITILAFAIKEGSRKIPVPKIELASMDFTVHNITQTRLSAKWDLSIRIPNNLPGQYICLQGELQASFLYKNATLATSSPQKYYNLKRNNPQLLKVSAVVSEEDVGGLIGKDIMEDIKEKKEVQFGSRFSLTDCREKTTGIMSYACDEVTLRFEPGLEMKATVFGDHPKCINF >scaffold_201871.1 pep chromosome:v.1.0:2:14182187:14182478:1 gene:scaffold_201871.1 transcript:scaffold_201871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIKEKKEVLIGSRVFLTDSRKNMAEVMGFGCHEATLRFEPGSEMKATMFWEPLNMLQFLTKVHFITSSLFNVFLFLLPIHC >scaffold_201873.1 pep chromosome:v.1.0:2:14194797:14195546:1 gene:scaffold_201873.1 transcript:scaffold_201873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDSFCDQITFLFFLAYIIMLAIVTIIGLPASMVITIIQGSHEIPVPKIELASMDFTVHNITQTRLSANWDLSIRIPDDLPGQYICLQGDLQASFLYKNVTLATSSPQKYYNLRYRNPQLLTVSALLSDEDISSSIGKEIIEDIKKKKEVQFVSRFSLTDCRKNTTGVMSYVCDEVTLRFEPGSEMKATTVFGNYPNCINI >scaffold_201876.1 pep chromosome:v.1.0:2:14201870:14203249:1 gene:scaffold_201876.1 transcript:scaffold_201876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAEWMSAKSTAYFKRGDYVPGLKGNDHHTLPLFEQILEMDTYRYHGHSMSDPGSTYRTCDEISGVRQVRDPKDRVRKLIISHDIATEKELKESPVPDSSELFTNIYLKGFGAESFGADRKELRATLPFP >scaffold_201881.1 pep chromosome:v.1.0:2:14221018:14221399:1 gene:scaffold_201881.1 transcript:scaffold_201881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDTLPCGCHMVVVGCVYMVVVGCVYILIATNQETSVPNIEITSMDFTVHNITQTRLSANWDLLIRVPSDLPNAYICLQGDIQASLFYKNFTLVTSSGQRLYKFIVQSSSII >scaffold_201882.1 pep chromosome:v.1.0:2:14221512:14222622:-1 gene:scaffold_201882.1 transcript:scaffold_201882.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXY1] MGLVSASGGIRLAGCSESGFVIALLVARSKSFSLFRRRTLVSRTTSLHHLKCHSSASLFHLLSVSSPDLCSVVFSSASRRAVSPASDLGQFLQSDLLRLSPWWAWSIKISFWGSFGLYNFVGFFGMWPNGSVFSAYPNWPPVRTTLLVVVESNHGAFVRRLPLCFQTTFGHRFTGFSSGYFSGISFGYFSGISFGYFSGVSIEYSSDLFTGYFSGCSLLEFETESICVQSKTTSASSDSNLFQRRLLTGYFSGFLMPAFEVASGCSQPRTTFVGSIFVLTSQCMVTISSPVDDYVWNTFSLMGMLVIVCFDISPGTLSSSVVLVSLCSFYVCSGVFTPKLASRVCPIKLLLPFVSF >scaffold_201883.1 pep chromosome:v.1.0:2:14222673:14223142:1 gene:scaffold_201883.1 transcript:scaffold_201883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRERRETSTHNPTPVSHGSTGAGNTKKKRYIGGLTGENIIKDIKEKRKVKFGSQLFLTDCRKGMTGVLSYVCDDTTLRFEPDLETKATKFGNNPTCTNI >scaffold_201887.1 pep chromosome:v.1.0:2:14233723:14234220:1 gene:scaffold_201887.1 transcript:scaffold_201887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLIIFVLVITMCFGLNEGIVCSDPNNQNPRCGGAGCRLNTLELRNELGRGNILKVDCTSNQNKKTGPQVVKFNESYAFSFEEVHAKRIVWACHLRHGPKGEYYQSIWRAYRGAAKKRCAQIRTWVAKVDGIYLVRNAEPKGHQFVWLKS >scaffold_201892.1 pep chromosome:v.1.0:2:14248845:14249070:-1 gene:scaffold_201892.1 transcript:scaffold_201892.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KXZ0] MGISSKQKPHVCTNERNKRGDQKLNPGEQGDDVSLWRTGEDKISKKFSSKGNLRESKPRKD >scaffold_201894.1 pep chromosome:v.1.0:2:14253352:14255270:-1 gene:scaffold_201894.1 transcript:scaffold_201894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGATLCNSVLLHSRFQSSFSFKSSSSSSSSAYLISPSLTTSKYTSISHRIRQSFRAVIEKRSNGFCSFAVKKRNSELMDDDGEEEEEEEDDEDWGEFDEEDEEEEDEGEFLPMDKMKKWLEKKPRGFGLGKKYETSIEDKLLDEIQQSWKAQAANLNKLKNDPLKSQQLKRDNNLIKGTGETEIGFRVRVTNLPKKKNVHRDLKVAFKEVSGILCITPAVSGNKKTKDPVCKGFAHVDFKSEIEANRFVKQFTGQSLAFGKVIKQIKCQVVEFTSDESGSKEVYIDNGFKVQRLPYSGLEGDSNADVVEEEAFLSSGEESDDSEEELYETEVVEDVQNHISSSIESPPVELRRDSETELKFEKQVVKGEIREHEELETRLVSFQANKSEEAVAETRLDDEQYEEAVAETFLDDELGGDDVEEVAEENLEPLNNLLSSSEENRVDRIRRLEQKLLGKEKLLGGGVGFDKPEAKPARVEGKKKEKKKKTKILVKGQAKNSSKIEIPGSSKRLKVKEKALLTGVLVKYAAKVASTSNNE >scaffold_201895.1 pep chromosome:v.1.0:2:14263525:14265541:1 gene:scaffold_201895.1 transcript:scaffold_201895.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin delta-1 [Source:UniProtKB/TrEMBL;Acc:D7KXZ4] MRSYRFSSDCVLMSVSSSDFSNDMDLLCGEDSGVFSGESTVDFSSSEIDSWPDDSIACFIEDERHFVPGHDYLSRFQTQSLDASAREDSVAWILKVQEYYNFQPLTAYLAVNYMDRFLYARRLPETSGWPMQLLAVACLSLAAKMEEILVPSLFDFQVAGVKYIFEAKTIKRMELLVLSVLDWRLRSVTPFDFLSFFAYKIDPSGTFLGFFISHATEIILSNIKEASFLEYWPSSIAAAAILCVANELPSLSSVVNPHESPETWCEGLSKEKIVRCYRLMKAMAVENNRLNTPKVIAKLRVSVRAASMLTRPSDESSFSSSSPCKRRKLSGYSWVGDQKSTSN >scaffold_201899.1 pep chromosome:v.1.0:2:14283603:14285876:-1 gene:scaffold_201899.1 transcript:scaffold_201899.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7KXZ8] MEVKVRRNELVPFVAMAIMEACTIALTIMAKTALTGGMSPFVFVVYTNAFGSILLLPFSLFFHRNERTEQSIFSWPLLVRVFFLGFTGIFMFQNLAFVGLRFSSPIVVCAMGLQIPSFSFLLSILLGKSKLDWRNTSTRAKLMGTIISLSGAFVEELYKGPFIRPASSASPTRFLKSVPKLLVYYNLPDNWFLGCIFLAAAVFSVSLFNVIQTGTVKQYPHVMKVASFYSIVGTIQCLIFSLFMESDLSAWKIQPNFDLYLIIATGTFGSVIRTSVHVKCTQMKGPYYVPLFKPFGIFWATLFGTSFFVNSLHYGSVLGAAIAGVGYYTVSWGQLKESEEKQNPNEERKSIKNIHHCQEDEHKVPLLINQEESPV >scaffold_201903.1 pep chromosome:v.1.0:2:14307437:14310348:-1 gene:scaffold_201903.1 transcript:scaffold_201903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSCANFLDISSWDLLDFPQTPRTLPRFMTVPGIITDVDGGDITSEVTSSSGGSRERKIIVANMLPLQSKRDTETGKWCFHWDEDSLQLQLRDGFSSETEFLYVGSLNVDIETSEQEEVSQRLLEEFNCVATFLSQELQEMFYLGFCKHQLWPLFHYMLPMFPDHGDRFDRRLWQAYVSANKIFSDRVMEVINPEDDYVWIQDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLPVRDEILRGLLNCDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVYIKILPVGVHMGRLESVLNLDSTAAKTKEIQEQFKGKKLVLGIDDMDIFKGISLKLIAMEHLFETYWHLRGKVVLVQIVNPARSSGKDVEEAKRETYVTAKRINERYGTSDYKPIVLIDRLVPRSEKTAYYAAADCCLVNAVRDGMNLVPYKYIVCREGTRNKALDDSSPRTSTLVVSEFIGCSPSLSGAIRVNPWDVDAVAEAVNSALKMSETEKQLRHEKHYHYISTHDVGYWAKSFMQDLERACRDHYSKRCWGIGFGLGFRVLSLSPSFRKLSVENIVPVYRKTQRRAIFLDYDGTLVPESSIVQDPSAEVVSVLKALCEDPNNTVFIVSGRGKESLSNWLSPCENLGIAAEHGYFIRWNSKDEWETCYSPSDTEWRSLVEPVMRSYMEATDGTSIEFKESALVWHHQDADPDFGSCQAKEMLDHLESVLANEPVVVKRGQHIVEVKPQGVSKGLAAEKVIRGMVERGEPPEMVMCIGDDRSDEDMFESILSTVTNPELLVQPEVFACTVGRKPSKAKYFLDDEADVLKLLRGLGDSSSSLKPTSSHTQVSFESIV >scaffold_201904.1 pep chromosome:v.1.0:2:14316638:14320110:-1 gene:scaffold_201904.1 transcript:scaffold_201904.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:D7KY02] MEIESGSYQNIAKKESWRTVLTLAYQSLGVVYGDLSISPLYVYKSTFAEDIHHSESNEEIFGVLSFIFWTITLVPLLKYVFIVLRADDNGEGGTFALYSLLCRHARVNSLPSCQLADEQLIEYKTDSIGSSSSMPQSGFAASLKSTLEKHGVLQKILLVLALIGTCMVIGDGVLTPAISVFSAVSGVELSMSKEHHKYIELPAACIILIGLFALQHYGTHRVGFLFAPVILLWLMCISAIGVYNIFHWNPHVYQALSPYYMYKFLKKTQSKGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTSLVYPSLILAYMGQAAYLSQHHVIESEYNIGFYVSVPEKLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSALGCFPKVKIVHTSSKIHGQIYIPEINWILMILCLAVTIGFRDTKRLGNASGLAVITVMLVTTCLMSLVIVLCWHKSVLLAIAFVVFFGTIEALYFSASLIKFLEGAWVPIALAFCFLLAMCTWHYGTLKRYEYDVQNKVSVNWLLSLSQTLGIARVRGLGLIHTELVSGVPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVRPEERFLVGRIGPKEFRIYRCIVRFGYRDVHKDDFEFEGDLVCSIAEFIRTEAATATAAETNGEDDDRMSVVGTCSTYMQGIEDHYESDLDDPNKPGTSEIRSPKPKKKSKSKIKKRVRFVVPETPKIEKETRQELMELTEAREGGVAYIMGNAYMKAKQGSGLVKRLAINIGYEFLRRNTRGPRNMLTSPNASTLEVGMIYHV >scaffold_201905.1 pep chromosome:v.1.0:2:14325078:14325319:-1 gene:scaffold_201905.1 transcript:scaffold_201905.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KY03] MELDEVMTMSLFFTKSFVRAESARVKTKKPKLPKLLALEAFHWRPNNEPSTVNSAKPSITYFSHVM >scaffold_201907.1 pep chromosome:v.1.0:2:14333130:14333655:1 gene:scaffold_201907.1 transcript:scaffold_201907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGGTSRKKSFNKRKPGLMKKLTEPVTPYEAKACAVVYSPYNSNPEACPSREGVEEVSSEEFMEVSRNDHTKSMMDQEAFLRQRIEKEQMELQKLRDENRDLKARQIMWGVLEGNIDVHQLGEKDRQDLSFVIDNYLNSITSRIEDLKKNGESSSSLFLP >scaffold_201908.1 pep chromosome:v.1.0:2:14343267:14343819:-1 gene:scaffold_201908.1 transcript:scaffold_201908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFLMEPPYLDDDLDIYIQMSFDVRTKKFDMIRLPFDDFWGTLITYKGRLGLACLDSYNLMGNDDGITLWILEDAEQNQWSYKHFFTPFARNLKNIYKIYSVTDAGECIYVPCTFLKSFVILYFDPGRNSLRRVEFKGIADGEFRFSNGLVYTFPNHIESLTSLLQSID >scaffold_201914.1 pep chromosome:v.1.0:2:14355510:14357569:-1 gene:scaffold_201914.1 transcript:scaffold_201914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQFLLLQSFSFFLFNVVIVGGKLIGGGFSAEENPFTPKASLVRYWNKEIRGESPRSEFLISKASPLNAVDSATFSKLAAANSLPTRFPDFCSAANLFCFPDLGASLEKHDDDVKFSVYDQKNFTNYGNARAGGADSFKNYSRDGNVVTDSFRRYSRNAAGHDDKFTVYGDNSNVVEEGFNSYGTFGTGGAGVFTNYQNNVNNPTSRFTAYSDGGNGRSQSFKTYTHDANAGNGQSFSSYGKNGNGAPNEFASYGVSSNVIGSGFSNYGESGNAANDTFTSYGSDGNVPQNNFKNYGASGNAAVDTFANYRDKANVGDDSFSSYAKDSNSEKVNFVNYGQSFNPGSETFTGYGKGAEGNKISFKTYTPNSTFKDYTKNGVAFAKYNASTITANTVGDGKTVNKWIEPGKFFRESSLKEGTVIPMPDIKDKMPKRSFLPRSIITKLPFSTSKLGEIKRIFHAGENSTMEGIITDAVTECERPPSVGETKRCVGSAEDMIDFATSVLGRSVVLRTTENVAGSKQKVVIGKVNGINGGKLTKAVSCHQSLYPYLLYYCHSVPKVRVYEADLLELNSKKKINHGIAICHMDTSSWGPSHGAFLALGSKPGRIEVCHWIFENDMNWAIAD >scaffold_201916.1 pep chromosome:v.1.0:2:14383268:14384408:-1 gene:scaffold_201916.1 transcript:scaffold_201916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSFETLPLDMQMEILSRLPLKSLMGCMCVSKKWASIIRSKAFRDHYLSRSMTRPRVLFVANHREFHKFTSEAFFYSVYQEEEPLWLSGKQQMRSEEVPLLKVSQPILGLICQQGNTKIVICNPGSKNCRTLPQIKVPKGASMTSFFGYDKDKDVFKVLCITKATKEYQVCTVRSDEESSFWRPIRYEHDHAPVTEGLFKGGVLYYGALSTSDKSVVMSFNVSSEEFSVIELPNEVKIDHHWTLVNYKGDIEGRCWKLGGDRIEIPHWTKTVDNKKFYFKGTIGTGELAFAPERGTWFGGPLFVLYYDEASTNLRSFEIKGMVPQNHSVRTFLDHVDSTWLM >scaffold_201919.1 pep chromosome:v.1.0:2:14390192:14390699:1 gene:scaffold_201919.1 transcript:scaffold_201919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKKRNFQIEAFKHRVVVDPKYADKTWQILERAIHQIYNQDASGLSFEELYRNAYNMVLHKFGEKVIYIYIANRRFQTATYPFSCRSFENQIFCFILFQPP >scaffold_201921.1 pep chromosome:v.1.0:2:14392579:14393766:-1 gene:scaffold_201921.1 transcript:scaffold_201921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTSFETPPEMHVEILARLPLKSLMKFMSVSKKWESIIRGEQFRRDYLIQSMTRPRVLFVANRRYFHNFKPEALFHSVYQEEPSSLSSVQQMRTYETPLYKVSQPVRGLICHQGDTNIVICNPGLKKFRNLPQIEVPEFASMRSFFGYDEVKNVFKVLCITQLVKYQTTTEGDIHKVRSDVGHQVYTVRSDVESSSWKGIACNYDYSAVTEGLFKGGFLYYGAQSNNDQSVVMSFNVSSEDFSVIELPNEVDFDNNWKLVNYKGGIALVDEDNFDIHLNGNRVFKILFRNEVAGNWEIETIEIPRWKETVDNEDYHFKGTIGTGELLVFVPTHGTRFGRRVLYCDEATKNLRRFDIEERMIDEDHIVRTFFDHVDSTWLM >scaffold_201923.1 pep chromosome:v.1.0:2:14401469:14401939:1 gene:scaffold_201923.1 transcript:scaffold_201923.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KY22] MKLMTDRNSKQYGQPHNSNSHPPIRLVAAFFFNVETRARAPHARNPFFSQTFFSRAAVDFSVLRLECGGSSAPASASPFVAFRQFRRLGNSDFQGFALNFRRVVRSSAVCDGHSMVLSLLLCPDLVACRRREIRRSPARISF >scaffold_201924.1 pep chromosome:v.1.0:2:14405572:14406063:-1 gene:scaffold_201924.1 transcript:scaffold_201924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKVSSEDFSVIELPNEVNFDYNYWNLVNYKRDIALVDEGNFDIDSNGNGVFKILVRKEVAGNWERKRIEIPRWKETVDNEDYYFKGTIGTGELLVFAPTRGTRFGRRVLYYDEATGNLRRFDIEEGMIDEDHFVRTFFDHVDSTWLM >scaffold_201930.1 pep chromosome:v.1.0:2:14436425:14438440:1 gene:scaffold_201930.1 transcript:scaffold_201930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KY28] MSDSPSSSPSAPSPDSSTPLSPPPPNGGPYQGKTHFTYEELTDITEGFSKQNILGEGGFGYVYKGKLNDGKLVAVKQLKVGSRQGDREFKAEVEIISRVHHRHLVSLVGYCISDSERLLIYEYVPNQTLEHHLHGKGRPVLEWARRVRIAIGSAKGLAYLHEDCHPKIIHRDIKSANILLDDEFEVQVADFGLAKLNDTTQTHVSTRVMGTLGYLAPEYAQSGNLTDRSDVFSFGVVLLELITGRKPVDQYQPMGEESLVEWARPLLDKAIETGDFSELVDRRLEKNYVEKEVFRMIETAAACVRHSGPKRPRMVQVLRALDSEGDMGDISNGRKVGQSSGYESGQTKVVIKDIGSTLVKGVVSGTMLLTRVMYDSYQNRHERRKHESKKESDINKKRGAS >scaffold_201933.1 pep chromosome:v.1.0:2:14446208:14447552:1 gene:scaffold_201933.1 transcript:scaffold_201933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPGSDTERNKRNVVSSVFDPALSRFVGFSQKLQTCLKSQLKNLTDDKHGIGSSWRHDKEGSSSSMEIDLEKQLDMWRENPSWTDQIPVVKVSIPKGSLCNLKAEVNVGLPPDAVYNIVIDPDNRRVFKNIKEVMSRKVLVDDGLRQVVEVEQAALWRFLWWSGTISVHVLVDQNRADHSMKFKQVKSGFMKRFEGSWQVKPLFVDEHMCDRMKLKTLEEYNRCTGGKGRIGSKVTLDQLIQPAIVPPPPISWYLRGITAKTTEMLIHDLLAETARIRAAGEMEDGHSPDKQRIGLPGDIKERWAARRRTSRRRRNDLC >scaffold_201936.1 pep chromosome:v.1.0:2:14453165:14454395:-1 gene:scaffold_201936.1 transcript:scaffold_201936.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KY34] MTSVSLPSDLVMNIMSRIPGKSLARFRLVSKQFRSLLSGHCFLRLHHNRSRDSHFATVLFHMPLHCSLGPREFHQRRYDFFVSNNANCLVHDFTVCGLMRIIRMLSSHHQLVCFVCLEGIHLCNPVNKELKNLPDPPSSTRCFNGSQKCLISFGFVEATMQYKVVKWPHDLDENCTRLPSGQVNQVNFEVLDIDIVEDGRLKVSPWRSLHRPCPYLLQLSSHVQVNGFIYWTTSDFQIVSFSLQDETFSSVNPTPPCFCLDTQVSKKSRFFKLFGSRRNLWMTDTDVASQIIDIWKMDESRDRGWTKNHTIDLSGTNSNENGGKVLHMLDIRSDQVFNLSSTCLLCYDLVKYGSVSRDYQPCHSTDGLLSLHNIDMSNSFPSSSLPFESLLGKRPR >scaffold_201939.1 pep chromosome:v.1.0:2:14470093:14472606:-1 gene:scaffold_201939.1 transcript:scaffold_201939.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KY37] MKKEPIHILPLYLPCLLMFLLSSLRQITGDARARAVQVTCSPLLEHNETAYVPNFVATMEKISTQVQTSGFGVALTGTGPDANYGLAQCYGDLPLNDCVLCYAEARTMLPQCYPQNGGRIFLDGCFMRAENYSFYNEFKGREDNVVCGNTTRKSETFGDAVRQGLRNAVAEASGSGGYARASAKAGESESESAFVLANCWRTLSPDSCKQCLENASASVVKGCLPWSEGRALHTGCFLRYSDQDFLNKISRNGRSRGSVVVIVVSVLSSVVVFMIGIAVSVYICKRRTIKRKRRGSNDVEKMAKTLKDSSLNFKYSTLEKATGSFDEANKLGQGGFGTVYKGVLPDGRDIAVKRLFFNNRHRATDFYNEVNMISTVEHKNLVRLLGCSCSGPESLLVYEYLQNKSLDRFIFDVNRGKTLDWQRRYTIIIGTAEGLVYLHEQSTVKIIHRDIKASNILLDSKLQAKIADFGLARSFQDDKSHISTAIAGTLGYMAPEYLAHGQLTEMVDVYSFGVLVLEIVTGKQNTKSKMSDYSDSLMTEAWKHFQTGDLEEVYDPNLNWKNQNDSIIIKKEIARVVQIGLLCTQEIPSLRPSMSKLLHMLKNKEEILPLPSNPPFMDERVMELRDGSYGDSAGCASLATVSQSSFYGR >scaffold_201940.1 pep chromosome:v.1.0:2:14474106:14474296:1 gene:scaffold_201940.1 transcript:scaffold_201940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KY38] MVKTSRPGADRRAESGQNLTSTQTSLNKRLRDYQRKNDEARDGTSKTKA >scaffold_201941.1 pep chromosome:v.1.0:2:14475582:14478184:-1 gene:scaffold_201941.1 transcript:scaffold_201941.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KY39] MPILTLLLLILPLGFFINPSVSDSRGATVAQICSNRTTPPQQRSLFVKTFLAAMDAVSPLVEAKGYGQVVNNANGNLTVYAYGECIKDLNKKDCDLCFAQIKAKVPICLPFQRGTRGGQVFSDGCYIRYDDYNFFNETLGLQDRTVCAPKEITGVNRTVFRDNAAELVKNMSVEAVRNGGFYAGFVDRHNVTVHGLAQCWETLNRSGCVECLSKASVSIGSCLVNEEGRVLSAGCYMRFSTQKFYNNSGNSTSDGNGGHNHLGVILAVTSSVVAFVLLVSAAGFLLKKRHAKKQREKKQLGSLFMLANKSNLCFSYENLERATDYFSDKNKLGQGGSGSVYKGVLTNGKTVAVKRLFFNTKQWVDHFFNEVNLISQVNHKNLVKLLGCSITGPESLLVYEYIANQSLHDYLFVRKDVQPLNWAKRFKIILGTAEGMAYLHEESNLRIIHRDIKLSNILLEDDFTPRIADFGLARLFPEDKTHISTAIAGTLGYMAPEYVVRGKLTEKADVYSFGVLMIEVITGKRNNAFVQEAGSILQTVWSLYRTRNLEEAVDPILGDNFNKIEASRLLQIGLLCVQAAFDQRPAMSTVVKMMKGSLEIHTPTQPPFLNPGSVVEMRKMMMTPTTNQSNSSGSRSDYITEGSSFFEPR >scaffold_201945.1 pep chromosome:v.1.0:2:14504465:14507865:1 gene:scaffold_201945.1 transcript:scaffold_201945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAILNPESFFCLSSISSSQIKRSLHFNGLSSSSCLRFALTDCGGRTLQRKLTGGLSIKAVLDSAMMEQLGLKESDVKNPALSSTYRGSEIPKPNLTVLDAQARVCTGPTQTRPLSEEQAFKVFDTILRSARGELKDEEPVSKAQLGAFFAGMTIRANAFPEETQWSEGEKRAMDVFWPLLVRALPPDVLFIADPEGSLLGTGNSVGPTFVGNETREMRLVGALREILAGGHLGYEEVKGVLRDVLPLAMEGSLNLGVSESLLSAFLIGQRMNRETDRELKAYCLAFDDEHGAPPIADVKSLTHYGEPYDGNTRFFRSTLFVATVRSCYGESSLLHGVEWMPPKGGVTEEQMLKFMGANTHLSVQQAKELIEDEKAGFAYLSLREARPSLYSLIGMREHIKKRPPLATTEKVQQFVRATGKEAIVAGFYHEGYEEPLLMLMRRRGVHSGLVVKGEEGALSMTTRVRAASASKGFPVNYCSGFRSLSSDTALEADGVSRQSFNLEVDAREYGFEPTETPRTDRSVSKNIELGLAALRGEKGAAYDRIVLNAGIVDHLLGGEGAEDVAVAMERAKEAIDSGKALKKLLNYIEISRKMK >scaffold_201947.1 pep chromosome:v.1.0:2:14512234:14514927:1 gene:scaffold_201947.1 transcript:scaffold_201947.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine-2-oxoglutarate aminotransferase 2 [Source:UniProtKB/TrEMBL;Acc:D7KY45] MSLKALDYESLNENVKNCQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNIGMIFPADAIARAKHYLSLTSGGLGAYSDSRGLPGVRKEVAEFIERRDGYPSDPELIFLTDGASKGVMQILNCVIRSQKDGILVPVPQYPLYSATISLLGGTLVPYYLEESENWGLDVNNLRQSVAQARSQGITVRAMVIINPGNPTGQCLSEANLKEILRFCCDERLVLLGDEVYQQNIYQDERPFISSKKVLMDMGAPISKEVQLISFHTVSKGYWGECGQRGGYFEMTNIPPRTVEEIYKVASIALSPNVSAQIFMGLMVSPPKPGDISHDQFVCESKRILESLRRRARMMTDGFNSCKNVVCNFTEGAMYSFPQIKLPSKAIEAAKQAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEEMPEIMDSFKKFNDEFMSQYGDNNFGYSRM >scaffold_201950.1 pep chromosome:v.1.0:2:14520493:14521965:-1 gene:scaffold_201950.1 transcript:scaffold_201950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKISSWTDLDSEDEVLRMDSETTLKQEVAWATHFSLHNGIILIQIFIWFQSCLFCFNLQSTKIILSPGI >scaffold_201955.1 pep chromosome:v.1.0:2:14540167:14540749:1 gene:scaffold_201955.1 transcript:scaffold_201955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPTTVKFLCSYDGRITPRYPDGKLRYQGGHTRVLSVPRSISFTELTKKLGEICGIAVSSLRCQLPTDDLDALVTVSSDEDLKNLMEEYDIATTTHVKIHVFLSPLKSTRTTTNSSPPTSTTSSSSSKSSKSRSRSPPSPSTSETCQSCVERSMRNNGSYVHRSPSNNQFYLFNNCDK >scaffold_201958.1 pep chromosome:v.1.0:2:14548668:14550322:1 gene:scaffold_201958.1 transcript:scaffold_201958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISTGVKFVPEEDNFLQRHVAFFDRNKDGIVYPSETFQGFRAIGCGYLLSAVASVFINIGLSSKTRPGKGFSILFPIEVKNIHLAKHGSDSGVYDKDGRFVASKFEEIFAKHAHTHRDALTNEELKQLLKANKEPNDRIGWIAGYTEWKILHYLCKDKNGLLHKDTVRASYDGSLFEKLEKQRSSKTSTKHP >scaffold_201959.1 pep chromosome:v.1.0:2:14551643:14552943:1 gene:scaffold_201959.1 transcript:scaffold_201959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPTAEVKVVPEKDNFLQRHVAYFDRNKDGIVYPSETFQGFRAIGCGYLLSTFAAVFINISLSSKTRPGKGFSFSFPIEVKNINLGIHSSDSGVYDKDGRFVASKFEEIFAKHAHTHRDALTNKELKELLKANREPNDCRGGILAFGEWKVLYNLCKDKNGLLHKETVRAVYDGSLFEQLEKQRSSQTPKNHS >scaffold_201962.1 pep chromosome:v.1.0:2:14564922:14568585:-1 gene:scaffold_201962.1 transcript:scaffold_201962.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7KYD5] MARKSLIFPVILLAVLLFSPPIYSAGHDYRDALRKSILFFEGQRSGKLPPDQRLKWRRDSALRDGSSAGVDLSGGYYDAGDNIKFGFPMAFTTTMLSWSIIDFGKTMGPELKNAVKAVKWGTDYLLKATAIPGVVFVQVGDAYSDHNCWERPEDMDTLRTVYKIDRAHPGSDVAGETAAALAAASIVFRKRDPSYSRLLLDRATRVFAFANRYRGAYSNSLYHAVCPFYCDFNGYQDELLWGAAWLHKASRKRAYREFIVKNEVILKAGDTINEFGWDNKHAGINVLISKEVLMGKAEYFESFKQNADGFICSILPGISHPQVQYSRGGLLVKTGGSNMQHVTSLSFLLLAYSNYLSHAKKVVPCGELTASPSLLRQIAKRQVDYILGDNPMGLSYMVGYGQKFPRRIHHRGSSVPSVSVHPSHIGCKEGSRYFLSPNPNPNLLVGAVVGGPNVTDAFPDSRPYFQQSEPTTYINAPLVGLLGYFSAHSSWR >scaffold_201965.1 pep chromosome:v.1.0:2:14578672:14582668:-1 gene:scaffold_201965.1 transcript:scaffold_201965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKVSVVSTSPIDGQKPGTSGLRKKVKVFKQPNYLENFVQATFNALTPEKVKGATLVVSGDGRYYSEQAIQIIVKMAAANGVRRVWVGQNSLLSTPAVSAIIRERVGADGSKATGAFILTASHNPGGPTEDFGIKYNMENGGPAPESITDKIYENTKTIKEYPIAEDLPRVDISTIGITSFEGPEGKFDVEVFDSADDYVKLMKSIFDFESIKKLLSSPKFTFCYDALHGVAGAYAHRIFVEELGAEESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLSKTDDAGGEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVGAIPYFCSGLKGVARSMPTSAALDVVAKNLGLKFFEVPTGWKFFGNLMDAGMCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAHKNKETLDGNAKLVTVEDIVRQHWATYGRHYYTRYDYENVDATKAKELMGLLVKLQSSLPEVNKIIKGIHPEVANVASADEFEYKDPVDGSVSKHQGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDASKIGRDSQDALAPLVDVALKLSKMQEFTGRSSPTVIT >scaffold_201967.1 pep chromosome:v.1.0:2:14595287:14597763:-1 gene:scaffold_201967.1 transcript:scaffold_201967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFATLIHRKTNRITLILVYAFLEWSLIFFILLNSLFSYFILRFADYFGLKRPCLFCSRIDRFFDASGKSPSHKDLLCDDHALEISKSHSKPVEESHNELVHHRRCCCVEKISSSLFCCAPIIESDFGDLGYPIGDEGQIYNGLKFPPSIFGSVNLNDSQEEETEEKKVSSLIEFVPQSTEKLEEEDDDDDEEEEEFSCYVSSFDCNSKEIATEKEEENKVDLAIEVETAESAPKNLEFYIDEEGCHLIPVEFYKQSEEVREISDVNGDFILDFGVEPDFTAAEEKGISAVASPDDSKPDDAVTNLGASSDDLVQMQNGDEDTDDAEVSIGTEIPDHEQIGDIPSHQLIPHDNDDDHEEDTLEFKTVTIETRMPVLNVNEERILEAQGSMESSHSLHNAMFHLERRVSVDGIECPEGVLTVDKLKLELQEERKALNALYEELEVERSASAVAASETMAMINRLHEEKAAMQMEALQYQRMMEEQAEFDQEALQLLNELMVNREKENAELEKELEVYRKRMEEYEAKEKMEMLRRRMRDSSVDSYRNNGDSDENNNGELHHKNVEGVTDWKYIENEMENTPVDVVLCLDECLDDYDGERLSILGRLKFLEEKLTDLNNEENDEEEAKTFENNGSINGNGHIHGKETNGKHRVIKSKRLLPLFDAVDGEMENGLDNGNHHENGFDESENGAIVTIEEEVDELYERLEALEADREFLRHCVGSLKKGDKGVHLLHEILQHLRDLRHIDLIRVRENGDI >scaffold_201968.1 pep chromosome:v.1.0:2:14605042:14605991:1 gene:scaffold_201968.1 transcript:scaffold_201968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCGSLGLYAPNALPSLSLKPRSVKSPLCVTSYSKPNDTLLHNVAKIRAKAGDLFGAKKTVLAAQLGAVLATIDHPALAITGVNNQQELSSVVLDIGIISVWYFLVMPPIIMNWLRVRWYRRKFFEMYLQFMFVFMFFPGLLLWAPFLNFRKFPRDPSMKNPWDKPTDPDSIKNNYLKYPFATPEDYDLD >scaffold_201970.1 pep chromosome:v.1.0:2:14614094:14614644:-1 gene:scaffold_201970.1 transcript:scaffold_201970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYNKQKKNQNAKGNRILISVTVLGSAGPIRFVAFEDDLVASVIDTALKCYAREGRLPLLGSDFNDFLLYCPMVGPEALTTWNAIGSLGARNFMLCRKPEEKKVEESNGRSNSNINGARKGGSLKAWINKSFNLKVPSH >scaffold_201971.1 pep chromosome:v.1.0:2:14614733:14614925:-1 gene:scaffold_201971.1 transcript:scaffold_201971.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KYE4] MVETNPIGGKFTLSLSIKRASFFLPLSTSSVFAFGSCKEGWYFRCLGLDP >scaffold_201976.1 pep chromosome:v.1.0:2:14629997:14631234:-1 gene:scaffold_201976.1 transcript:scaffold_201976.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I allergen family protein [Source:UniProtKB/TrEMBL;Acc:D7KYE9] MADVATKTSVEDEVKKTEPSSLLGKLETDVEIKASADKFHHMFAGKPHHVSKASPGNIQSCDLHEGDWGTVGSIIFWNYVHDGEAKVAKERIEAVEPEKNLITFRVIDGDLMKEYKSFLLTIQVTPKPGGPGSIVHWHLEYEKISDEVAHPETLLQFCVEVSKEIDEHLLAEEEEVKTTETPSLVGKLETDVEIKSSAEKFHHMFAGKPHHVSKASPGNIQGCDLHEGDWGKVGSIVFWNYVHDGEAKVAKERIEAVEPDKNLITFRVIDGDLMKEYKSFVITIQVTPKHGGLGSIVHWHLEYEKISEEVAHPETLLQFCVEVSKEIDEHLLAEE >scaffold_201979.1 pep chromosome:v.1.0:2:14641822:14642685:-1 gene:scaffold_201979.1 transcript:scaffold_201979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKASSLVGKLETDVEIKVSAGKFHNMFVEKPHHVSKATPGHIQNCELHEGEWGKVGSVVIWNYVHDGVAKVAKERIEALEPEKNLITFRVLDGDLMKEYKSFVITIQVTPKHGGSGSIAHWHLEYEKISEEVAHPETLLQFCVDMSKGIDEYLLTEEV >scaffold_201980.1 pep chromosome:v.1.0:2:14644042:14644962:-1 gene:scaffold_201980.1 transcript:scaffold_201980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTEASSLVGKLEAEVEIKSSAGKFHHLVSGKPHHITKAAPGHIQGCELHEGEWGKVGTVVIWNYFHGKIESNDLTNSRIDGEAKVAKERIEALEPEKNLITFRVLEGDMTKEYKSITFTIQVTPKQGGPGSIVHWLLEYEKISEEVAHPETLLQFCVEMSKGIDEYLLTEEVEIAPSVAF >scaffold_201983.1 pep chromosome:v.1.0:2:14656934:14657689:-1 gene:scaffold_201983.1 transcript:scaffold_201983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASSLVGKLETEVEIKASAGKFHHMFVERPHHVSKATPSKIQGCDLHEGDWGKVGSIVIWNYVIGKIEAVEPEKNLITFKVIEGDLMKEYTSFVITIQVTPKHKGSGSVVHWHFEYEKINEEVAHPENLLQFAAEMSKEIDEHLLTEE >scaffold_201984.1 pep chromosome:v.1.0:2:14657995:14658759:1 gene:scaffold_201984.1 transcript:scaffold_201984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRLLEELERGEKGIGDRTVSYGMDNGDDIYMRSWTGTTIGPHNVVISFSLSTFVLISAT >scaffold_201988.1 pep chromosome:v.1.0:2:14671796:14672361:1 gene:scaffold_201988.1 transcript:scaffold_201988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVCTGAIVTRNSTSNTVEIKVSRLNNLTSGTNDSPPFPTPYLLLDNIVSFDEHDMYVLLYPRLQDPNLCRILSSEIASKAKRVQGSFDVTIEQYIIEEETCAICLEDDDDEYLTEMPNCTHEFHSECITAWLCMSNSCPTCRAPILDDYDCTSDDDSTSDDGSTSDDESSE >scaffold_201989.1 pep chromosome:v.1.0:2:14673612:14674162:1 gene:scaffold_201989.1 transcript:scaffold_201989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIKEVVCIDASVTRKSTSNTVEISVTRENVVALGSSGPPPFPTPHLLLNNIASFDEDNMYNLLYPKLQVPNLCRILSFNIASKAKRVQGPLYISFDVTLRPYILEEPAMETCAICLEEDQDLSEMRNCSHVFHDDCINQWLAWSDNYNCPLCRAEIMDDDHLHEETN >scaffold_201990.1 pep chromosome:v.1.0:2:14677066:14678359:1 gene:scaffold_201990.1 transcript:scaffold_201990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPRTNPFSKRQKVLVISDSPHFLSEAVIVTGTSVAGQNLMGQGSGIGCDDVTFAVTYETGKGLMGQRSGIDSDALTVVVTSDTRHNLMGSKDNRSDRNYQRPKEDETLKFLMKKAGFGSNVAIHGTIVSGLVASANRRVPPSFGSKVKPLSLEESIKLFASQSKKRWYSNSSQGKFLHVKKQRDERKTNEFGLLPIMRHNQIPDVRTPRKKVLNVLHHFRMVFEELDRNKAARCVKSQTDRDTRDILIRDGKQVNGEKRIGVVHGVEVGDNFKYKSQLSIIGLHFNMLGGIDYMNKEGLDLATSIVISQGAAYNDICNANMVVYCGEGHYLKRKNLKPAEDQKMTRGNLALTNSMRAKNQVRLIIGRKKMNVKKYVYAGLYLVHEFWNEKGPLGIEVFKFKLLRLPGQTSIHLNY >scaffold_201993.1 pep chromosome:v.1.0:2:14699326:14699590:-1 gene:scaffold_201993.1 transcript:scaffold_201993.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KYG5] MSCAFFFFFFCLLLVRGCSRSPLSPPVYLGFINGPDSSLIKFRRRFTVLTADVDRNSESGRVLPRHNNGLRPTH >scaffold_201994.1 pep chromosome:v.1.0:2:14699663:14702091:1 gene:scaffold_201994.1 transcript:scaffold_201994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEIQEPFSLSFQGNSIHSGSISFGRFEKEGLSWEKRSSFSHNRYLEEVDKCSKPGSVTEMKAHFEAHFKKKGIRFPASLESQTWGVHQTSGEPDDEAVHATESFKDYRSDGSFSENTSRSNSVCNHSLEQEKCGQGKSQCEYDEESDKCVSYDEILVNSDEVIELDEEEGGGDHGTVAVPVECEDLGPPEMPQEIEIQDSVLVEETGSKLDEHVPKKPYDATETPSSSVSGSKSREKVKPSIPHDVRVIKASTKRDNVTPKAASRRTNGSSLSSNYKTNVDGKSQKELRPKKTTESQPKTSKKIETRTPIATNRCKSSTTSAKLEMSTGSTSFRFKCSERAEKRKEFYMKLEEKIHAKKTETNQVQAKTQQKVEAEIKQFRKSLNFKATPMPSFYNTGTRPASHHKTEPSKVAPSRSRPATSASITNRAVTRVSYKHGFEEAKMVNVMVSNRKQSAAKDSDLQKGNLMAVEIKQKVS >scaffold_201995.1 pep chromosome:v.1.0:2:14702741:14703404:-1 gene:scaffold_201995.1 transcript:scaffold_201995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFAGFGKDIATKSYKVILIYSRFGNVDDCFKAKVLSLDNGEQRGTSLYCLNNRTFCEEQTSVYANRSLFWLTLCDYQRTLAKLLAIDLHIEEFRWILLPECYVSYDSSIEMWNLNDRLCVSDVLKCSNLVVWSLQQEYPTVKWEKIYSIDIGFIRTNQLHEKFWMLGLAAAYFPSIINHRDQVSFFRQRTISYSPTMISPSSLML >scaffold_201996.1 pep chromosome:v.1.0:2:14705100:14706379:1 gene:scaffold_201996.1 transcript:scaffold_201996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSNSQTPPEDLEKKILLRLPLKSLVKLIQVSKKWASIIRGEEFRREYLLRSMTRPRVMLMVERMTSLPHEPKMSWFHDVYEEQRRNPGSGEYEVLFHSVYQEKKPSLSSGQQQLRIPFEKVGSNISQPIRGLTCLHLDTKVAICNPGTKNYRILPEIPALEDEEWRESSIKCFFGYDEDTNVFKVLCIKTRNLSSIPINDCHVLTVELGAEPSYWRRIICNDDHSPVTEALFKGGFLYYGAWSSTGNNLVVRFNVTSEEFTVWEPSEEVQRDADFSFPRWKFVIYEKDIALVDDFAFFPAAEIDRDGTNVFPILVIDEISGDFERKIIKIHGWQQKVGDDVFYFKGTIGTGELVFAQCSGMDGLMPGASFSVLYYDTKKFLRRSTIEAVAGEHILEARTFLDHVDSTWLM >scaffold_201999.1 pep chromosome:v.1.0:2:14716305:14717075:-1 gene:scaffold_201999.1 transcript:scaffold_201999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFFSSPNLQSMICFFFAFGNDSNIDGIGGFSEEDTQSISKFMRVVIDMAVSGPKPLVNAAVIVDPSVRRIIATETDHACRPKDFDFCYCVSRTQYSLVRNLCFGETT >scaffold_202000.1 pep chromosome:v.1.0:2:14722058:14722843:1 gene:scaffold_202000.1 transcript:scaffold_202000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVMVSNRKQSAAKDSDLQKVSDEVTKEYQVYTMTSDEESSSWRPIICKHDHAPVTEGLFKGGVLYYGAKSNSDKSVVMSFNVSSEDFSVIELPKEVELIHHWRLVNYKGDIALMHYGEYYRLTRSGVTDMWVRNEVDGNWERNSIKISHWKETFDNKEFHFKATIGTKELAFAPHPLDWGTTLCVVFRCRRNELQKI >scaffold_202001.1 pep chromosome:v.1.0:2:14724948:14725138:1 gene:scaffold_202001.1 transcript:scaffold_202001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KYH2] MEDSSFESLTQVLQEDILSRLPLKSLVKFILVSKKWASIFRGPRIRLCS >scaffold_202006.1 pep chromosome:v.1.0:2:14750031:14750735:-1 gene:scaffold_202006.1 transcript:scaffold_202006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLVSKKTAKIMNINGESFKLKTPVKAGTVVKDFPGHILLESEAVKRFGIRAKPLEPHQNLESKRLYFMVELPRTWKERTPRRVRSGIQMSAKERLENLKLSRRSSSDLSVMKKKTEEVDDEEREVSSVKLKLPKWKIEKLRKESESGSDFSNKITQLCLLHIPSGLIHQRQQHLLRNGGRNFGIEEKEEGAKIT >scaffold_202010.1 pep chromosome:v.1.0:2:14765110:14765748:-1 gene:scaffold_202010.1 transcript:scaffold_202010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDSLSEYISDYFRVSRNRRKRKVMQTVNIKVKMDCDGCERRVKNAVSSMKGVRSVEVNRKIHKVTVSGYVEPKKVLKRVERTGKKAEIWPYVPYNMVAYPYAVGTYDKKAPAGYVRKSEQSQLQLLPGAPDDNFVSLFSDENPNACTVM >scaffold_202011.1 pep chromosome:v.1.0:2:14771364:14771584:-1 gene:scaffold_202011.1 transcript:scaffold_202011.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KYI2] MEKPSENMLRRSRKGLVALFVKEIRQAAGFVANLRNRWSKFIRRKPARVTLQYEMIHSS >scaffold_202016.1 pep chromosome:v.1.0:2:14781714:14782559:1 gene:scaffold_202016.1 transcript:scaffold_202016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAFDPFVTATEDSAVVNSPAPLSNLTQEELKKIAAYKAVEFVESGMVIGLGTGSTAKHAVARISELLQEGKLKDIIGIPTSTTTHEQAVSLGIPLSDLDSHPVVDLSIDGADEVDPALNLVKGRGGSLLREKMIEGASKKFVVIVDESKLVKYIGGSGLAVPVEVVPFCCDFTRGKLEELFREAGCVAKLRMKIGSNGGDATPAVTDNGNYVVDLYLERDIGDLEVASEAILRFPGVVEHGMFLGMATTLIVAGKFGVTVKDRFG >scaffold_202022.1 pep chromosome:v.1.0:2:14800495:14801532:1 gene:scaffold_202022.1 transcript:scaffold_202022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRNNFKQIGGIADVNYLRPSFRYLDKGIHAAEYHSIVRMPFGEFSRKCKDLSSIGDTVVISVTKEGVKFSTVGQDLSLRIYQFDWE >scaffold_202023.1 pep chromosome:v.1.0:2:14803356:14804992:1 gene:scaffold_202023.1 transcript:scaffold_202023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVEKGLLVVNDGEQVNTKDVFLREMKKLSYIAGPMIAVHSSMYVLQVISIMMVGHLGELFLSSTAIAVSFCGVTGFSVVFGLASALETLCGQANGAKQFEKLGYHTYTGIVSLFLVCIPLSVLWSYMGDILSLIGQDPMVAQQAGKYATWLIPALFGYATLQPLVRFFQLGLGSLGAAIAIGVSYWLNVTVLGLYMTFSSSCSKSRATISMSLFKGMREFFRFGIPSASMICLEWWSFEFLLLLSGILPNPKLEASVLSICLSTINIVYQIADSLAAAASTRVANELGAGKPKQARMAVYTVMVITGVESIMVSAIVFSARNVYGYIFSSETEVVVYVRSMAPLVALSVIFDALQSFKNVLKRILRNAHNKYDESTQLGTCRFTKPYFCQNGM >scaffold_202027.1 pep chromosome:v.1.0:2:14814323:14815264:1 gene:scaffold_202027.1 transcript:scaffold_202027.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase NAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KYS3] MESPYPKLIDPSKTRIGWIGIGIMGSAMVSHILAAGYSVTVYARDLRKSKDLQTKGARVANSPKELAEMSDVVFTIVGNSNDVRSLLLGDDGVLAGLKPGGVTVDMTSSKPGLAREIHAEARRRNCWAVDAPVSGGDAGAREGRLTIFAGGDSEIVEWLSPVMKTMGIVRYMGEAGSGQSCKIGNQICVGSNMVGLAEGIVFAEKAGLDPVKWLDAVKDGAAGSAVMRLFGGMMTERDYKATGFAEYMVKDLGMAADAATAMPGTALNKQLFSAMVANGDGKLGFQGVVSVIRRLNGIS >scaffold_202032.1 pep chromosome:v.1.0:2:14823206:14823456:1 gene:scaffold_202032.1 transcript:scaffold_202032.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KYS6] MGNLKLILPNSLSVFNDTSIFTEKKSFCENSSHQSFAIQRQKLLQVATFSTNKASKRRLWLSHSPVV >scaffold_202033.1 pep chromosome:v.1.0:2:14823509:14826159:-1 gene:scaffold_202033.1 transcript:scaffold_202033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRRILSLTASSFRNCNSGNNGDAISSTSPFSTFTKPSSSIAPGDFLVREWKDWFKQRDVKQSHQLIDRIFDILRAPSNDGDARAFYLHLSNLRLRLTEKFVLDVLTHTRYDILSCLKFFDWAARQPGFHHTRATFHAIFKILRGAKLVTLMIDFLDRSVAFEGYRHSLRLCDALVVGYAVAGRTDIALQHFGNMRFRGLDLDSFGYHVLLNALVEEKCFDTVDVIFDQISVRGFVCAVTHSILVKKLCKQGKLDEAEAYLRALLPNDPAGCGSGLGILVDALCSKRKFQEATKLLDEIKVLGTVNMDRAYNIWIRALIGAGFLNNTVDFLQKISPLEGCELEVFRYNSMVFQLLKENDLNGVYDILTEMLVRGVSPNKKTMNAALCFFCKAGFVDEALELYRSRSEIGFAPTATSYNYLIHTLCANESVEQAYDVLKGAMDRGHFLGGKTFSTLTNALCWKGKPDMAKELVIAAAERDLLPKRIAGCKIISALCDIGKVEDALMINELFNKSGVDTSFKMFTSLIYGSITLMRGDIAAKLIIRMQEKGYTPTRSLYRNVIQCVCEMESGEKNFFTTLLKFQLSLWEHKVQAYNLFIEGAGFAGKPKLARLVYDMMDRDGITPTLDSNILMLQSYLKNEKIADALHFFHDLREKGKTKKRLYQVMIVGLCKANRLDDAMHFLEEMKGEGLRPSIECYEVNIQKLCNEEKYDEAVGLVNEFRKSGRRITAFIGNVLLHNAMKSKGVYEAWTRMRDVEDKIPEMKSLGELIGVFSGRIDMEIELKRLDEVIVKCYPLDMYTYNMLLRMIVMNQAEDAYEMVERIARRGYEPNERTDRILERADRILEGRNSRSNLGRNDWNSRQRQLE >scaffold_202034.1 pep chromosome:v.1.0:2:14826318:14836451:1 gene:scaffold_202034.1 transcript:scaffold_202034.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:glycoprotein glucosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KYS8] MGTTNLRSWLYLILLFFVVVGVNAQNRRPKNVQVAVKAKWQGTPLLLEAGELISKESKQLFWEFTDAWLGSDGDDTGDSDCKSARDCLLKISKQASTLLAQPVASLFHFSLTLRSASPRLVLYRQLADESLSSFPHGDDPSATDCCCVDTGSSLFYDVADLQSWLASAPAAGDAVQGPELFDFDHVHFDSRAGSPVAVLYGAVGTDCFRKFHLSLAKAAKEGKVTYVVRPVLPLGCEGKTRPCGAIGARENVSLAGYGVELALKNMEYKAMDDSAIKKGITLEDPRTEDLSQDVRGFIFSKILDRKPELRSEVMAFRDYLLSSTVSDTLDVWELKDLGHQTAQRIVHASDPLQSMQEINQNFPSVVSSLSRMKLNESIKEEILSNQRMVPPGKALLALNGALLNIEDMDLYMLMDLAHQELSLANHFSKLKIPDGAIRKLLLTTPLPEPDSYRVDFRSVHVTYLNNLEEDDMYKRWRSNINEILMPAFPGQLRYIRKNLFHAVYVIDPATPCGLESIDTLRSLYENQLPVRFGVILYSTQLIKNIEQNGGQIPSSDAATNAQVKEDISTMVIRLFLYIKEHHGIQTAFQFLGNVNTLRTESADSSEEDIEQEHVDGAFVETILPKVKTPPQDILLKLQQEHTLKEASEASSMFVFKLGLAKLKCSFLMNGLVFDSIEEETLLNAMNDELPKIQEQVYYGQIESRTNVLDKLLSESGLSRYNPQIISGGKNKPRFVSLASSTRKGESMLNDVNYLHSPETSEDVKYVTHLLAADVATKKGTKLLHEGIRYLIGGSKSARLGVLFSSQNADPYSLLFIKFFEKTASSFSHKEKVLYFLDKLCLFYEREYLLKTAVESASSQMFIDKVLELAEEYGLSSKAYRSCLVESLDEELLKRLTKVAQFLSWELGLESDANAIISNGRVIFPVDERTFLGQDLHLLESMEFNQRVKPVQEIIEGIEWQDVDPDLLTSKYFSDVFMFVSSAMATRDRSSESARFEVLNSEYSAVLLGNENATIHIDAVIDPLSPTGQKLASLLQVLQKHVQTSMRIVLNPMSSLVDIPLKNYYRYVLPNTDDYSNTGFDVDGPKAFFANMPLSKTLTMNLDVPEPWLVEPVIAIHDLDNILLENLGDTTTLQAVFEVESLVLTGHCAEKDHEAPRGLQLILGTKNRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSSELYTLKGGNDGSQDQSSLKRITIDDLRGKVVHLEVVKRKGKEHEKLLVPSDGDDGVQQNNKRGSWNSNFLKWASGFVGGRQQSMKGGPEKEHEKGGRQGKTINIFSIASGHLYERFLKIMILSVLKNTNRPVKFWFIKNYLSPQFKDVIPHMAQEYNFEYELITYKWPSWLHKQKEKQRIIWAYKILFLDVIFPLSLEKVIFVDADQIIRTDMGELYDMDIKGRPLAYTPFCDNNREMDGYRFWRQGFWKEHLRGRPYHISALYVVDLVKFRETAAGDNLRVFYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKAKARTIDLCNNPMTKEPKLQGARRIVTEWPDLDLEARKFTAKILGEDVELVNEPVAAPATDKPNPPPSSDISKDTEQDLESKAEL >scaffold_202035.1 pep chromosome:v.1.0:2:14836950:14838735:1 gene:scaffold_202035.1 transcript:scaffold_202035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSTIARKTWELENNILTVDSPDSTSDNIFYYDDTSQSRFQQEKPWENDPHYFKRVKISALALLKMVVHARSGGTIEIMGLMQGKTDGDAIIVMDAFALPVEGTETRVNAQADAYEYMVEYSQTNKLAGRLENVVGWYHSHPGYGCWLSGIDVSTQRLNQQYQEPFLAVVIDPTRTVSAGKVEIGAFRTYSEGYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAENHLAHSRGGIVPSSLHRKKEDESQLTKITRDSSKITVEQVHGLMSQVIKDVLFNSIRQSNNKSSTDSSDPEPMITS >scaffold_202038.1 pep chromosome:v.1.0:2:14845923:14847571:-1 gene:scaffold_202038.1 transcript:scaffold_202038.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATWHY2 [Source:UniProtKB/TrEMBL;Acc:D7KYT2] MMKQARTLLSRSLCDHSKSLFEERVKASTLRGFASWSSSSTPGRGFSGKDAPKPSGRLFAPYSIFKGKAALSVEPVLPSFTEIDSGNLRIDRRGSLMMTFMPAIGERKYDWEKKQKFALSPTEVGSLISMGSKDSSEFFHDPSMKSSNAGQVRKSLSIKPHADGSGYFISLSVNNGILKTNDYFVVPVTKAEFAVMKTAFSFALPHIMGWNRLTGQGNTEALPPRNVTHLKTDPQLELEWDK >scaffold_202043.1 pep chromosome:v.1.0:2:14865798:14866092:-1 gene:scaffold_202043.1 transcript:scaffold_202043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQARTLLSSRSLCDHSKPLLEEKVRASTLRDSASWSNSSTPGRVFSRKC >scaffold_202044.1 pep chromosome:v.1.0:2:14866440:14868319:-1 gene:scaffold_202044.1 transcript:scaffold_202044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIELNVESVIDVGTVDPTKYPLPKTKLTPEFLRDLLHLRSRTNLISAVARIRNALAFATHSFFQEHGFLYIHTPIITTSDCEGAGEMFQVTTLINHTERVEQDLIDNPPPTEADVEAERLIVKERGEAVSRLKAAKASKEEITASVAQLTIAKACLAHVEERSRLNFLDGSGQLQVETYACALSSVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFADIHDDMNCAEAYVKYMCNWLIKNCSDDMDFMDKNVDGGCTKRLKMVAETSFKRVTYTEAIEVLEKAVAEGKVVFDNKVERKMDLASEHERYLTEVEYDQKPIIVYNYPKEIKAFYMRLNDDEKTVAAMDVLVPKVGELIGGSQREERYDVIRQRIEEMRLPIEPYEWYLDLRRYGTVKHCGFGLGFERMIQFATGIDNIRDVIPFPRYPGKADL >scaffold_202045.1 pep chromosome:v.1.0:2:14870179:14870425:1 gene:scaffold_202045.1 transcript:scaffold_202045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNFDTLPEHLQMEILLRLPLQSLGKCLCVSKQWASLIRSQEFRDLYSSRWMTDDLDKALLDLLLS >scaffold_202048.1 pep chromosome:v.1.0:2:14881726:14881974:1 gene:scaffold_202048.1 transcript:scaffold_202048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNFDTLPEHLQMEILSLLPLQSLGVCLCVSKQWRSLIRSQEFEDLYLSRWMADDNDVVLLDLLRP >scaffold_202049.1 pep chromosome:v.1.0:2:14883874:14884144:1 gene:scaffold_202049.1 transcript:scaffold_202049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNFDTLPEHLQMEILSRLPPQYLGKCLFVSKQWASLIQSQEFRDLYLSRWMADDLDVVLLLLDLLRPKLNLQT >scaffold_202050.1 pep chromosome:v.1.0:2:14885811:14886060:1 gene:scaffold_202050.1 transcript:scaffold_202050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNFGTLPEDLQKEILLRLPLKSLGVCIGVSKQWRSLIRSQEFRDLYSSRWKTPHDLRQALIYLLLW >scaffold_202051.1 pep chromosome:v.1.0:2:14888140:14888422:1 gene:scaffold_202051.1 transcript:scaffold_202051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNFDTLPEHLQMEILSRLPMLSLVTCAWLCVSKKLASLIRSQEFKALYLSRWTDLDEDTFDLVYQKKSAGCMIKSST >scaffold_202052.1 pep chromosome:v.1.0:2:14889616:14890722:1 gene:scaffold_202052.1 transcript:scaffold_202052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECISSSTLVLDDRISWNLFVKFLEYLSESGEETLRDPSIERPYLCTGYDIFLMLEPCTMCAMALVHQRIKRICRCTSDGY >scaffold_202053.1 pep chromosome:v.1.0:2:14891132:14891726:1 gene:scaffold_202053.1 transcript:scaffold_202053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKHNNPKTMIISEDMVEEIFQHLPIKSLARFKVLSKKWRSTIESTYFSHKRLVRTGLPTPNMKFLYVSRHFSANFVEEDSNSTTLLLETFSRDDHDNNGKNSPSSSSYYSFPDDPVDKSQNETIQVLGSCDGLVLIRIYDDFRYIYLINPTTGKHMTLYPKFTQWPLTFRFEFTAMVDRP >scaffold_202055.1 pep chromosome:v.1.0:2:14894351:14894680:1 gene:scaffold_202055.1 transcript:scaffold_202055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLNDRLCVSDVLKCSNLVVWSLQQEYPTVKWEKIYSVNIGFISTNQLHEKFWMFGLAAAYFSSIRNHRDQVSFFRQRTVSYSPTMISPSNLML >scaffold_202056.1 pep chromosome:v.1.0:2:14894937:14898834:-1 gene:scaffold_202056.1 transcript:scaffold_202056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYEKGLTLSCQSKQWRTSGEIINFMTVDAEKIGTFSWYMHDPWMIFFQVGLAMWILYRNLGLASIAALVATVLVMLVNYPFGRMQERFQEKLMEAKDSRMKSTSEILRNMRILKLQGWEMKFLSKIKDDTLRTCNLQDSTRADLRSSRNYLSDCADKVSLDRLASYLSLENLHPDIVERLPKGSSDVAVEVINITLSWDVSSANPTLKDINFKVFPGMKVAVCGTVGSGKSNLLSSLLREVPKISRSLKVCGTKAYVAQSPWIQSACSLSKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKVQYSYLAICVIVISPSVNNHVSNIFSLSYFFQEALLGLLCSKSVIYVTHQVEFLHAADLILVMKDGKISQARKYNDILNSGTDFMELIGAHQEALTVVGSVDASSVSEKSALDEEIGVVRDAIGFDGEQKSQNLKNDKLDSGEPQRQLVQEEERAKGSVALDVYWKYITLAYGGGPVKLSTFMIVYVTLAFGSSLCILVRATLLVTAGYKTATELFHKMHHCIFRSPMSFFDSTPIGRIMSRASTDQSAVDLVLPNQFGSVAITVIQLIGIIGVMCQVSWLVFLIFIPVVAASIWYQRYYIAAARELSRLVGVCKAPLIQHFSETISGATTIRGFSQESRFRSDNMRLSDGYSRPKFYKAGAMEWLCFRLEMLSSLTFVFPLVVLVSLPTGVIDPSLAGLAVTYGLSLNTLQAWLIWSFSNLENKIISVERILQYASVPNEPPLVIESNRPEQSWPSRGITCTFKRGLRTEIVGRTGSGKSTLIQTLFRIVEPSSGEIKIDGVNILTIGLHDLRLRLSIIPQDTTMFEGTVRSNLDPLEEYTDDQIWEALNKCQLGDEVRKKEEKLDSSVSENGENWSMGQRQLVCLGRVLLKRSKILVLDEATASVDTATDNLIQKTLREHFSDCTVITIAHKISSVIDSDMVLLLRNGIIEEYDTPMSLLEYKSSSFSKLVAEYTSRSSSSFD >scaffold_202058.1 pep chromosome:v.1.0:2:14901483:14904358:-1 gene:scaffold_202058.1 transcript:scaffold_202058.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor SUI1 family protein [Source:UniProtKB/TrEMBL;Acc:D7KYU9] MFKKAVEAKSHQRLSGADRKKLRRTVRNRLPLLTDELLDAILPPKVEITLSKFQNRVLVYSIEGGCPMFFDIDGRGTEIFPTVFALWEAPEMLPSFMLKGGEVSRYVLGGADLMFPGILIPPQGFPSFSAGQIWAVKVPGNLAPIAVGCTTMSSEEALKAGLRGKALRITHYYRDFLWESAEGHYVPNAGFMENVVMEDPSYLASGSVEEISDSSAGPQTSTEIEGESDDVNNIGPSTSITDAKNDTEEHLVGTMNELNLSDDVSANEANTDKQNILSPEEVDALLDQCLLQAFHTTLKEKDLPIPGSTLWANHVLPCRPSGLTLDIKKSSHKKLSKWLQSKASAGMISVKEDKHKKEIVLISVNRSHPDYKSFKPEKKKAEVSESPGERSTGQAQSEKMLEIIEVYKPSIHNSAIFASVGEDKGNLYTASEATDVVFRYIEKENLVKPANKSMVVLDPILCDALFKGAIKKGSAYPSEIHKKDVGSTFVGRMQPNHVVMRGGGEPVVRKGAVKPVQIMTERRQGNKKVTKVTGMETFLIDPDSFGSELQKKFACSTSVGELPGKKGYEVLIQGGVIDNLARYMVEHYGVPKRYIEVLDKTRK >scaffold_202063.1 pep chromosome:v.1.0:2:14928923:14933361:-1 gene:scaffold_202063.1 transcript:scaffold_202063.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7KYV4] MSINMKTFTQALARTAAVIEKTVHTTVQEVTGPKALQDYELLDQIGSAGPGLAWKLYAAKARDSTRPQQYPTVCVWMLDKRALSEARVRAGLSKGAEDAFLDLIRADAGKLVRLRHPGVVHVVQALDENKNAMALVTEPLFASVANALGNVENVANVPKDLKSMEMSLLEVKHGLLQISETLNFLHNNASLIHRAISPENVLITSAGSWKLAGFGFAISTAQAGNLDNVQAFHYSEYDVEDSILPVQPSLNYTAPELMRSKSPSAGASSDIFSFGCLAYHLVARKPLLDCNNNVKMYMNTLNYITNESFSSIPSDLVSDLQRMLSMNESFRPTALDFTGSNFFRSDARLRALRFLDHMLERDNMQKSEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNLVLQPMILPMVLTIAQSQDRTDFELITLPALVPVLSTASGDTLLLLVKHAELITNKTDSEHLVSHVLPLLLRAYNDNDVRIQEEVLKRSTSVAKQLDGQVVRQAILPRVHGLALKTTVAAVRVNALLCLAELVQTLDKPAAIEILQTIQRCTAVDRSAPTLMCTLAVANAILKQYGVEFTAEHVLTLMMPLLTAQQLNVQQFAKYMLFVKDILRKIEEKRGVTVNDSGVPDVKPHSVANGLQFQSSTQTPEKVASAAKSSPAWDEDWGSPSKDSAVGNPASSHHNTHHQFNNSTDQSQPSIMSTLPNKTTAPTTCPAVDIEWPPRQSSSLTAPATDNQTQLNTGTSFTPGFDELDPFANWPPRPNNGAASSFSNNLPGGTHFQTANNDNWAFSNNAALCSLKPPQQGNPGISANNQDPINSFGVPKQSQGMPSFSSGSYNNQKPADISSIFGSSKTEQSAMKLAPPPSIAMGRGRGRGRGGTGTSTSKPNGPQPSLLDLL >scaffold_202064.1 pep chromosome:v.1.0:2:14933649:14935923:-1 gene:scaffold_202064.1 transcript:scaffold_202064.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KYV5] MITSLSHISFGTLRRFCSSALPSALKHELVEGLRTLVRSGDLRRALSLFYCAPVELQSQHAYAALFQACADQRNLRDGINLHHHMLSHPYCYSQNVILANYLITMYAKCGNILYARQVFDTMPERNVVSWTALITGYAQAGNEQDGFCLFSSMLAHCCPNEFALSSVLTLCRYEPGKQVHGLALKLGLYCSIYVANALISMYGRCHDGTAAYEAWTVFEAMEFKNLVTWNSMIAAFQCCNLGKQAIGVFMRMHSDGVGFDRATVLNICTTLYKSSDLDPDQVSKCCLQLHSLTVKSGLVTQTEVATALVKVYSEILGEFTDCYKLFMEMSHCRDIVAWTGIITAFAVYDPERAILLFGQLRHEKLSPDWYTFSSVLKACAGLVTARHALSIHAQVIKGGFATDTVVNNSLIHAYAKCGSLDLCKRVFDDMDSRDVVSWNSLLKAYSLHGQVDSILPVFQKMDIKPDSATFIALLSACSHAGRVKEGLRIFRSMFEKPETLPQLNHYACVIDMLGRAERFAEAEEVIKQMPMGPDAVVWSTLLGSCRKHGNTQLGKLAADKLKEIEPTNSLSYIQMSNIYNAESSFNEGNKSIKEMETWRVRKEPGLSCTEIGNKVHEFTSGGRCRPDREAICRELERLISRLKEMGYVPEMRSALQQIEEDEQKEEHLSHHSEKLALAFAVMEGRKSGDCGVNLIQIMKNIRICIDCHNFMKLASKLLGKEILLRDSNRFHHFKDSSCSCNDYW >scaffold_202065.1 pep chromosome:v.1.0:2:14936452:14936984:1 gene:scaffold_202065.1 transcript:scaffold_202065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKENCKDLLKLELKNPSSSSAMDSALLMCTEKNRKKKSEAPPPSKPTIAPVPKSQLLGKLKGFLGVMAEANKKSEANPEAYNIEALTGNESEVIEMDLMLGVADLNTPEAVSAAEAAIAGIGPAAESGDSSSDESDSDSDGEENKSEEECSRKPAKIIELS >scaffold_202080.1 pep chromosome:v.1.0:2:14979944:14980357:-1 gene:scaffold_202080.1 transcript:scaffold_202080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDELLTIPEQDEWVYSDEKSTACVAFILEMYKAAGVFGPLANNIQVTEFTIRDAYTPKLFESNQTRLPSWCNTEEEKLDFCQILGEYRMELFCCL >scaffold_202081.1 pep chromosome:v.1.0:2:14990834:14993143:1 gene:scaffold_202081.1 transcript:scaffold_202081.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7KYX0] MAKAYPTRVLTFLMLISLMAVTLNLLSTAEAKKRRRDVPIVKGLSWNFYQKACPKVENIIKKELKKVFKRDIGLAAAILRIHFHDCFVQGCEASVLLAGSASGPGEQSSIPNLTLRQQAFVVINNLRALVQKECGQVVSCSDILALAARDSVVLSGGPDYAVPLGRRDSLAFASQETTLNNLPPPFANASQLIADFASRNLNITDLVALSGGHTIGIAHCPSFTDRLYPNQDPTMSQFFANSLKRTCPTANSSNTQVNDIRSPDVFDNKYYVDLMNRQGLFTSDQDLFVDKRTRGIVESFAINQQLFFDHFTVAMIKMGQMSVLTGTQGEIRSNCSARNTQSFMSVLEEGIEEAVVSMI >scaffold_202084.1 pep chromosome:v.1.0:2:14998378:15000117:1 gene:scaffold_202084.1 transcript:scaffold_202084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKTKTSLIPSCSSPEDLKRVLQTLGSSWGDVVEDLERLEVVPLKGAMTNEVYQINWPTLNGEDVHRKVLVRIYGDGVDLFFNRDDEIKTFECMSHHGYGPKLLGRFSDGRLEEFIHARTLSADDLRIVETSDFIAAKLREFHKLDMPGPKNVLLWERLRTWLKEAKNLASPIEMDEYRLDVMEDEINLLEERLTRGDQEIGFCHNDLQYGNVMIDEETNAITIIDYEYSSFNPIAYDIANHFCEMAANYHSDSPHILDYTLYPGEEERRRFISTYLGSTGKATSEEEIERLLNDAESYTLANHIFWGLWGIISGHVNKIEFDYMEYARQRFEQYWLRKPLLLDLP >scaffold_202088.1 pep chromosome:v.1.0:2:15019979:15020414:1 gene:scaffold_202088.1 transcript:scaffold_202088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSAPSSRTKRLTVFKMGLASASVRLVSLASHSKRKLCRWVTCYGSRDYEPVIHLQNDTTMMNNGDVYDPYNGDPSMLLSGDQRRGPLWQKNILMGGKCQLPDFSGVILYDADGKVVPPAKNSLPLLTWK >scaffold_202094.1 pep chromosome:v.1.0:2:15034065:15034302:1 gene:scaffold_202094.1 transcript:scaffold_202094.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU96] MITKHLLRFLQCKTDHDSDNGNSGQHHVRQRILGQAKLLNPMPTNPLWLSLSPSKPKSPLLRPRS >scaffold_202097.1 pep chromosome:v.1.0:2:15045099:15047808:1 gene:scaffold_202097.1 transcript:scaffold_202097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPGNTMTTAPCFSELEPPPRFSELEPPLSEDIIEALDRSGFEFCTPVQAETIPLLCSHKDVAVDAATGSGKTLAFLLPLVEILRRSNSYPPKPHQVIGVIISPTRELSTQIHKVAQPFVSTLPNVNSVLLVGGREVEADMNTIEEEGANILIGTPGRLSDIMKTFWIKQVNYIISRLPKQRRTGLFSATQTQAVEELAKAGLRNAEKVILRAESKTPSGLYSEYLKCEADKKSSQLVDLLIENKNKKLLVFFMTCASVDYWGLVLSKIPTLKSISFFPIHGKMNQTARSKALASFTEASSGVLLCTDVAARGLDIPGIDYVVQYDPPQDPAVFIHRVGRTARLERQGRAIVFLMPKEKDYVEFMRIRKAPLQKRKCSENASDVIPIIRSLAMKDREVLEKGLKAFVSFVRAYKEHHCSFIFSWKGLEIGKLAMGYGILSFPSISEVIQDRLDIVGFTPVEGITFEDIKFKNKSREKQRQQNLLARKEKLQQEKREKGKKRERKAVDDSNKVSRKRKLTKRQRQTIQTARDEEETNGDYGLLKKLKKGSITEDEFAKRTGADDFV >scaffold_202099.1 pep chromosome:v.1.0:2:15057546:15061078:1 gene:scaffold_202099.1 transcript:scaffold_202099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTCVVFVLLSLILLSNHSLWLASANLEGDALHTLRVTLVDPNNVLQSWDPTLVNPCTWFHVTCNNENSVIRVDLGNAELSGHLVPELGVLKNLQYLELYSNNITGPIPSNLGNLTNLVSLDLYLNSFTGPIPESLGKLSKLRFLRLNNNSLTGSIPMALTNITTLQVLDLSNNRLSGSVPDNGSFSLFTPISFANNLDLCGPVTSHPCPGSPPFSPPPPFIPPPPVSTPSGYGITGAIAGGVAAGAALLFAAPAIAFAWWRRRKPLDIFFDVPAEEDPEVHLGQLKRFSLRELQVASDGFSNKNILGRGGFGKVYKGRLADGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSQPPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQTNYEERELEQVIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWDEWQKVEILREEIDLSPNPNSDWILDSTYNLHAVELSGPR >scaffold_202104.1 pep chromosome:v.1.0:2:15070655:15070919:-1 gene:scaffold_202104.1 transcript:scaffold_202104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVILVGLFICCTVICEVEDVASGSSIPDCSNACGPCKPCKLVVISSKCSASEACPLVYKCLCKGKYYHVPTLT >scaffold_202107.1 pep chromosome:v.1.0:2:15107080:15108560:-1 gene:scaffold_202107.1 transcript:scaffold_202107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGAGKAFVCRRRDSDPHLIQYTSLLLIFLRFTKVFSLLEPSRTLSNHLQQTGASPLSNNGRRAYLETFISEPVLSISFRYFMLAPSSTEPSNQRADSRSGSLLTLEEISPRTSLKARELSIGPSDAPCLGEASTAHDDYFFSVKLIHLKNGYGFEDLRPSSRPCSYAIIDESSHIGAHSLTAKLLNRSDKPPRSLRSVANRSKTRVLPRSQKLGRSLHLNGRRHHLREESPSLLRFFNYTLRTLDLVLILAKSYEPKSWKVYSLLFPIPNCWRIVCSYRSSVSKCSPMVAFAKDFKHRLNVISNKLIIMCSSPHSDLEDFSSVFENVLNRSFTVALPCLQPLLPDRNSSLAPSLTSRCLLTVTISPSFDLFMEARSTNRDLTCVQMLSSFGFKALMEPSSIYFSYLLVVLGYAPLCNAFLNFGIFAPMLSLYLNFC >scaffold_202108.1 pep chromosome:v.1.0:2:15122484:15124293:1 gene:scaffold_202108.1 transcript:scaffold_202108.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-proton symporter 1 [Source:UniProtKB/TrEMBL;Acc:D7KYZ6] MGAFETEKPTKDAAALETQSPEDFDQPSPLRKIISVASIAAGVQFGWALQLSLLTPYVQLLGIPHKWSSLIWLCGPVSGMIVQPIVGFHSDRCRSRFGRRRPFIASGAALVAVAVLLIGYAADFGYKMGDKLEEKVKVRAIGIFALGFWILDVANNTLQGPCRAFLADLAAGDAKRTRVANAFFSFFMAVGNVLGYAAGSYTHLHKMFPFTMTKACDIYCANLKTCFFLSITLLLIVTVTSLWYVKDKQWSPPPRNSDDDEKTSSVPLFGEIFGAFRVMKRPMWMLLIVTALNWIAWFPFLLFDTDWMGREVYGGDSDGNERSKKLYSLGVQSGAMGLMFNSIVLGFMSLGVEWIGRKLGGAKRLWGIVNFILAAGLAMTVLVTKLAEDHRKTAGALAGPSSGIKAGALSLFAVLGIPLAITFSTPFALASIFSSCSGAGQGLSLGVLNLAIVIPQMIVSLGGGPFDALFGGGNLPAFIVAAIAAAISGVLALTVLPSPPPDAPKATAMGGFH >scaffold_202117.1 pep chromosome:v.1.0:2:15167655:15168372:1 gene:scaffold_202117.1 transcript:scaffold_202117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRTRNVSKRSDPVNFPAKSSISSRRSLSSSSSFSSFSSCSSSSLVFPGDSPLNSPATPLRLLGVPFSWEQLPGKPKDYSHRLNNRLNNESSNILPLPPHRNLSFPTTGKKPKPNNSSKKNNFPVTVRDPFAAALLECSKDEGTNSDDDDDVEGGEDVDRRFRGNSGGPSKVLSKNSFGDRFGLVNLYGSCRRTCAVSESIVYLPRSRKAASYDNLLLPRRRR >scaffold_202119.1 pep chromosome:v.1.0:2:15175557:15177532:1 gene:scaffold_202119.1 transcript:scaffold_202119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRHLNAMATLLMMFFTLLILSFTGILEFPSASTSMDHSIDPEPKLSDPTSDPFSDILVAYKKWDFEVGCARFKENHKDSIWGNVSSGSLQEFGCGKLKMDHVKVLVKGWTWIPDNLENLYSCRCGMTCLWTKSSVLADSPDALLFETTTPPLQRRVGDPLHVYMELEAGRKRSGREDIFISYHAKDDVQTTYAGSLFHNNRNYHISPHKNNDVLVYWSSSRCLLPRDRLAKSLLDLIPYHSFGKCLNNVGGLDAALSMYPECVSDSNAEPKWYDHLHCAMSHYKFVLAIENTAVESYVTEKLFYALDSGSVPIYFGASNVQDFVPPHSVIDGSKFGSMQELAAYVKRLGDDPVAYSEYHAWRRCGLMGNYGKTRAVSLDTLPCRLCEEISRRGGKNAGV >scaffold_202121.1 pep chromosome:v.1.0:2:15190429:15191837:1 gene:scaffold_202121.1 transcript:scaffold_202121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRTNQNSSVAEATLQLNSGDKPSPGSIPFISGQHGNVSTSATSSTSTSSGSALAVVKSTVKKPTKDRHTKVDGRGRRIRMPAMCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIASTGTGTIPANFSTLNASLRSGGGSTLFSQSSKSSSSPLSFHSTGMSLYEDNNGSNGSSVDPSRKLLNSAANAAVFGFHHQMYPPIMATERNPSNLVKPYREDYFKEPSSAAEPSESSQKAGQFQDQELGPGRGTANVVPQPMWAVAPGTTNGGSAFWMLPMSGSGGREQLQQQPGHQMWAFNPGNYPVGTGRVVTAPMGSMMLGGQQLGLGVAENNMAAAMRGSRGDGLAMTLDQHQHQLQHQEPNQTQASENGGDDKK >scaffold_202124.1 pep chromosome:v.1.0:2:15194759:15196908:-1 gene:scaffold_202124.1 transcript:scaffold_202124.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxynucleoside kinase family [Source:UniProtKB/TrEMBL;Acc:D7KZ90] MQKILCKSTTSSTPVLSTPVNSFAAGFISLGFKTPVKNLTPCSSPKPLSTCFFSTSAMPTRTASLSSGGVGFSAYLQRSVHKPAPASVRFSTAGYRTCRCSIDGTNRAWVGRTGTWRALFCSDSTGGLTPVNATTGAVVESEGDSDGDDEEEKDNDSTDKVEKPVRMSRRNRSSNGSGEFVGNPDLLKIPGVGLRNQRKLVDNGIGDVAELKKLYKDKFWKASQKMVDYLRSSVGIIHRNHAESITTFIKESVDDELKDSGPEPNVNVKKRLTFCVEGNISVGKSTFLQRIANETVELQDLVEIVPEPVDKWQDVGPDHFNILDAFYSEPQRYAYTFQNYVFVTRLMQEKESASGVKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSSLPGLVPDGFIYLRASPDTCHKRMMLRKRAEEGGVSLKYLQDLHEKHESWLLPFESGNHGVLSVSRPSLHMDNSLHPDIKDRVFYLEGNHMHSSIQKVPALVLDCEPNIDFSKDTEAKKQYARQVAEFFEFVKKKQETSTEKSNSQSPVLLPHQNGGLWMGPAGNHVPGLELPPLDLKSLLSRPSA >scaffold_202128.1 pep chromosome:v.1.0:2:15206497:15209768:1 gene:scaffold_202128.1 transcript:scaffold_202128.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KZ94] MEDIEDLLAGGVGGAPPGFRLPLNAVGINPKTNKSKRVSSKQDQITASNRDSLAPPSLKIPGTQTIYIKTFGCSHNQSDSEYMAGQLTAFGYALTEVPEDADLWLINTCTVKSPSQSAMSTLITRGRSGKKPLVIAGCVPQGSRDLKELEGVSVVGVQQIDRVVEIVEETLKGHEVRLLTRKTLPALDLPKVRRNNFIEILPINVGCLGACTYCKTKHARGHLGSYTVDSLVERVRTVISEGVKEIWLSSEDTGAYGRDIGVNLPILLNAIVKELPSDQSTMLRIGMTNPPFILEHLKEIAAVLRHPCVYTFLHVPVQSGSDSVLTAMNREYTASEFRTVVDTLTELVPGMQIATDIICGFPGETDEDFSQTVELIKDYKFSQVHISQFYPRPGTPAAKMKKVQSKIVKQRSRDLTSVFEAFAPYTGMEGREERIWITEIATDGIHLVGHTKGYVQVLVTGPESMLGTSAMARITSVGRWSVFGEVIETFSSANRETKSREETKPPCSSNVSSCETCTCSAESCGEERSGEACNISGNISRQDDQKGKSEKQETEMREVVVPGSSVANWGFIDKALVCGVFVSSLTILVLLISIASRVLVRQ >scaffold_202137.1 pep chromosome:v.1.0:2:15236076:15241080:1 gene:scaffold_202137.1 transcript:scaffold_202137.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7KZA1] MSSSMADNETGTIISNDNVEYSVDFQGKTSIRSSSGAWKSSGFTMCAEVAEKFAYFGIASNLITYFTEALGESTAVAASNVNLWLGTAAFLPLIWGSIADSFLGRFRTILLTSSFYIMGLGLLTFSASIPSLCKGQEKHESCVPQVKVIVFFCALYLIALGEGGFKVCLRAFGADQFDEQDPKESKAKSSYFNWLYFAISIGILTTRLITNYVQENLSWTLGYGIPCLSMMLALFLFLLGIKTYRFSTGGEGRQGRKHDNPFVRIGRVFVAAARNRRQTPDDTCLLLPTKSSKKFRFLDRAVISCDSSEVEEAKVVLSLVPIWLCSLVFGIVYAQSPTFFTKQGSTMDRSISSTLLVPAATLQCFISLAILVFIPIYDRVFVPIARSITRKPAGITTLQRISTGIFLSIISMVIAALVEMKRLKTARDHGLVDSPKATVPMSVCWLIPQYILFGVSDVFTMVGLQEFFYGQVPPELRSMGLALYLSIIGIGNFLSSSMVSVIEEATSQSGQVSWFSNNLNQAHLDYFYWLLACLSSLAFIFIVYFAKSYVYNSPK >scaffold_202138.1 pep chromosome:v.1.0:2:15244780:15246841:1 gene:scaffold_202138.1 transcript:scaffold_202138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEEVQKSADVAAPPVTEKPITDKEVTVPTPVAEKEEVATPASDEKAVPEKEVAPEEAEKSVPVKEEETVVVAEKVVVLTAEEVQKKALEEFKELVREALNKREFTAPVKEEKPEEKKPEVETKAEEKTEEKKEETTAEVKVEEEKPAVPAAEEKPEEKSEVTTEKASTAEEDGTKTVEAIEESIVSVSPPEAAVTPVVVETVAVAEAEPVEPEEVSIWGVPLLQDERSDVILTKFLRARDFKVKEALTMLKNTVQWRKENKIDELVEAAGEEASEFEKMVFAHGVDKEGHVVIYSSYGEFQNKELFSDKEKLNKFLNWRIQLQEKCVRAIDFSNPEAKSSFVFVSDFRNAPGLKKRALWQFIRRAVKQFEDNYPEFAAKELFINVPWWYIPYYKTFGSIITSPRTRSKMVLAGPSKSADTIFKYIAPEQVPVKYGGLSKDTPLTQETITEAIVKPAANYTIELPASEACTLSWELRVLGADVSYGAQFEPTTEGSYAVIVSKTRKIGSTDEPVITDSFKVGEPGKIVITIDNQTSKKKKVLYRFKTQPRSDL >scaffold_202141.1 pep chromosome:v.1.0:2:15254107:15255460:1 gene:scaffold_202141.1 transcript:scaffold_202141.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KZA5] MNSPPENDLCSICHSHFTAPCQANCSHWFCGNCIMQVWRHGSTLQPCKCPLCRRPISLLVPSEDTIRDRNDSTVADVLGNLETYNRLFGGRSTSLVQRMQDLPFLLRRLLREMMDPQRTLPLVIRARVYIAMILSAIYIISPIDIIPEGVLGIVGLLDDLLIALIAFLHVAALYRSVLYFRHAGS >scaffold_202146.1 pep chromosome:v.1.0:2:15302886:15304206:-1 gene:scaffold_202146.1 transcript:scaffold_202146.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KZB0] MAKKKHRKLFPTLASETNKTLDCSNGVCDPICPYNCYPEPDYYAISPQLPPWSSSPAPSPSPSPSISAVYQPTQNSSSSLDAISIITITGAVLAILLTGFFLVAKFVSDSVNRDNHGRYQSDNEDNDTVMGEEFQDREQVDHPIWLIRTTGLQQSIINSITICNYKRGDGLIERTDCPVCLNEFEEDESLRLLPKCNHAFHISCIDTWLSSHTNCPLCRAGIAMISVTTPRCSGPVDVTPGGLGSHLENDGVGEEGQDHLGRERDESDFKERDDSDNRDLNSDVRIEINRGLEEIDGDGSETETKEKVRVFRECMDSNEADSINSLSHMKTHKESVDFPGKSCENQSQEFTRPNGEDEASCSEKNGGHVDQLRRSCDSIDSGELNGERTEETEKSQSDISSSTLKTNGSSSSVSCLYKNKSSISPL >scaffold_202148.1 pep chromosome:v.1.0:2:15308769:15309171:1 gene:scaffold_202148.1 transcript:scaffold_202148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKKAEEKRSDSNSDSDNNFSCKKHTKHRQSPGICSLCLTERLSKLSLEYYDYTKKAAETATYCGSSSTSSSSSVSSCDSSSSVSSCSSPLQYRYRDQKKKDGKKQSFLFRLLLGSIVD >scaffold_202151.1 pep chromosome:v.1.0:2:15320749:15321194:-1 gene:scaffold_202151.1 transcript:scaffold_202151.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZB5] MDGAFAAVVTCSFNLDWLTSPEIRLSLVVASSFGIHTPSRLTTHALILHVSQGDDPDLLQRGFNPRWFVAWKKRGINRLLLDE >scaffold_202153.1 pep chromosome:v.1.0:2:15331603:15332321:1 gene:scaffold_202153.1 transcript:scaffold_202153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSVISFLITLLLAAAVCIHGGEPVNDKDGNPVKLGELYFIQPVKTKTNNGGGLVPATTSILPPYCPLGITQTLLPYQPGLPVNFTLPDAREETFVQTSLAVNIEFNSNIWACNEFSKFWKVNETSSASEEPLILIGGTPQKPNSWFKIEIAGEGAEANTYKLTTSTGTVGTKPGVWFGAPQLVVPNDAAKPLLIKFKKVEDVTTATTSTTRVEKLGLRMFPF >scaffold_202154.1 pep chromosome:v.1.0:2:15336275:15336830:1 gene:scaffold_202154.1 transcript:scaffold_202154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSVVSFLITLLLAAAVCIHGGEPVKDIVGNYLKTDQQYFIQPANTDGGGLVPAPPTIFPLCPLGIVQTLHPYQPGVPPVSFSMPYANMETTVSTDDYVNIEFKSNIWACNKFSKFWKVNETSSASEEPLILVGGTPQEPNSWFKIDIAGEGAGANSYKLTIFIIYMVQ >scaffold_202161.1 pep chromosome:v.1.0:2:15363457:15364178:-1 gene:scaffold_202161.1 transcript:scaffold_202161.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box protein [Source:UniProtKB/TrEMBL;Acc:D7KZC6] MEDGEAEASTITCLPTTKQNPLQNPNLLVNPKKETKLKTPKTTKGRQKIEIKKIILENRRQVTFSKRRFGLFKKAAELSVLCGAQIGIITFSRVDRIYSFGNVDSLIDKYLRKTPVMLRSHPGGNVANGEEDNDGLMWWERAVESVPEEDMEEYKKALSVLRENLLTRIYQMSGDRTVENLPAFPNEMAMADWKLTNENLMARNDRGYAGNGGDLEFAFMSQNGRQ >scaffold_202162.1 pep chromosome:v.1.0:2:15365958:15366953:1 gene:scaffold_202162.1 transcript:scaffold_202162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAVISDYIDDSEKIGRSKKSSWRNNGVFDLTIDDFEGNFDEFASENDEIGGFSMEKPFVFSSTRKPASAEEAARAYDLEAKRIRGAKAKLNFPNESSRKRKAEAPKTVQQIEEKHEADLGLAVASSVPSSSCLDFLWEENNPDTLLIDTQWLEDVIMGDAKKKHEPNDSEEANVNAAPLSEELLAFENQTDNAALLSEELLAFENKTEFFSHMPFMEGNCDSLTSLNSLFEGGNDMGLWS >scaffold_202163.1 pep chromosome:v.1.0:2:15367311:15369099:-1 gene:scaffold_202163.1 transcript:scaffold_202163.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein SA [Source:UniProtKB/TrEMBL;Acc:D7KZC8] MATNGSASSAQLSQKEADVRMMCAAEVHLGTKNCNYQMERYVFKRRNDGIYIFNLGKTWDKLQMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGANAIAGRHTPGTFTNQMQTSFSEPRLLILTDPRTDHQPIKEGALGNIPIIAFCDTDSPMRFVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIGAAQKWDVMVDLFFYREPEETKPEDEDEAAPQAEYGLPAPEYGMVGGDQWTTAQIPDAAWPGEAQAPISAAPAAGSWSDSAAAPADGGWDTAVPPPGAPAAGWE >scaffold_202167.1 pep chromosome:v.1.0:2:15385833:15387686:-1 gene:scaffold_202167.1 transcript:scaffold_202167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRSLWQASVNATKKALTWELEEWVPPVEKRIFKFNSKEDLKTWHLYSDSEYGGLSSASLEIKDGGNGSDCIGVFSGNLSTDMSEGSKWNINRSGFCGMRSKKFDGFIDLEGYDSIALRLRGDGRCYISTIYTENWMNSPGQAEDNSWQAFVFAPKGNWYTAKVPLTRYLPTWKGNVIDADMEMNPGRVVGMSLSVNAQGGGFIGAKSGAGDFLVEIDWVKAVRMP >scaffold_202168.1 pep chromosome:v.1.0:2:15394754:15395153:-1 gene:scaffold_202168.1 transcript:scaffold_202168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVGKLTKLKSAMKKWPSFAKNHHHSTSSAAVSDELSEDNNLHVVYVGQTRRPYMLRPDIISHPLFQELVDRSSSRSVEQDREIVVACEVVLFEHLLWMLKSGQEGGSVEELAEFYTY >scaffold_202170.1 pep chromosome:v.1.0:2:15412494:15412946:-1 gene:scaffold_202170.1 transcript:scaffold_202170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZD5] MARVRDGEGVYESTARRPAEPADASVEPGRETADPTEPDASMIKPGSETVGMQTDPTEEAVVDASESQPGNETVELERNDAEGQAAVDDSDIQLGKESPIEQSTEAVEAAEEELVGASEEEEETVTAQVYISLRMD >scaffold_202173.1 pep chromosome:v.1.0:2:15424148:15426086:1 gene:scaffold_202173.1 transcript:scaffold_202173.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXO70D1 [Source:UniProtKB/TrEMBL;Acc:D7KZD8] MEPHEQTHSGDGGGGGDCSVSGFDSAEKVIIRWDATASEEAREKMIFNDPQEVKLFLNAVDEIQKFVSSGGEIENRANSAIQIAMARLEDEFRNILVSHSSPINSDSLMLSSSSSSQLEVDEDGSSSNNGNEEDEQEEEETDLLKRSGSSASTGSAAVRLPTGRGSYSRSTSSIREIELIPIESVIHLSWIARRMVSAGYLRECIQVYGSVRKSAVDSSFRRLGIEKLSIGDVQRLNWEALEQKIRRWIRAAKICVRVVFASEKLLCEHVFESVGAVNIHEACFMETVKGPAIQLFNFAEAISISRRSPEKLFKILDLHDALIELLPDIESVFDLKSSDSIRVQAAEILSRLAEAARGILSEFENAVLREPSRVPVPGGTIHPLTRYVMNYISLISEYRPTLIDLIMSKPSRNSTDSNTPDFDFSELENNKGPLALHLIWIIVILQFNLEGKSKYYKNAALSHLFIMNNAHYIVQKIKGSPELREMIGDLYLRKLTGKFRQAATYYQRAAWVKVLYCLRDEGLHTKGSFSSGVSRSALRERFKSFNALFEEVHRVQSQWLVPDSQLREELKISILEKLSPAYRSFLGRFRSHIESGKHPENYIKISVEELETEVLDLFEGCSATQHLRRRSE >scaffold_202174.1 pep chromosome:v.1.0:2:15426655:15428800:-1 gene:scaffold_202174.1 transcript:scaffold_202174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLLLLCLTSSLIVQASVHEYRNERFISKGNAFVFHGGSEGIYSSSPSDNFTSEFDAASFIRFEKIKFQRPEEVSNRSSLPVQAVVFEVEDRENIGGSAYGGQRAVCCTSDLAKLGVCSHGDVIYRPSAKDSGWPQVFGVSFAENELSVTLPTRSIQVTRTGMYNLYFIHCDSDLKDLVVEGKTIWKNPTGYLPGRMAPLMYFYGFMSLAFVLLGIFWFSQCARFWREVLPLQNCLTLVITLGMCEMALWYFDYAEFNETGIRPTVITIWAVTFGSIKRTSARIIILMVSMGYGVVRPTLGGFTSKVIMLGVTFFIASEILELMENVGAVSDLSGKARLFFVLPVAILDAFFIIWIFKSLSATFKKLQTRRLLVKLDIYRKFTNALAVAILVSLGWICYELYFKSKDVYNEHWQNAWIIPAFWQLLSFSLLLVICSLWAPSQNSTRYAFSGSSVDTSAEFEKDDYTLTLIKPSPIPSHDVKNLSETRLLQADEGEPEKDLEEDKRE >scaffold_202186.1 pep chromosome:v.1.0:2:15499174:15499595:1 gene:scaffold_202186.1 transcript:scaffold_202186.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KR18] METPLQSLRLFLLCFVFFFISASIFVDSQSQESSITPPPPPPPPFLNPPPPPLRVVESNKAIHEKRRKKWRQRRHHKHPPPPQQKLNMGKTVGLFFAAVAAALQVVVAAFLLFKRRQLLLKINDRH >scaffold_202194.1 pep chromosome:v.1.0:2:15522066:15523541:-1 gene:scaffold_202194.1 transcript:scaffold_202194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSETGENECMCWAAKDPSGLLSPHKFTRRSVTSDDVSLKITHCGVCYADVIWTRNQHGDSKYPLVPGHEIAGIVTKVGPNVQRFKVGDHVGVGTYVNSCRECEYCNDGQEVNCAKGVFTFNGIDHDGSVTKGGYSSHIVVHERYCYKIPVDYPLESAAPLLCAGITVFAPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLSVTVFSTSISKKEEALNLLGAENFVISSDHDQMKALAKSLDFIIDTASGDHAFDPYMSLLKIAGTYVLVGFPSEIKISPANLNLGMRMLAGSVTGGTKITQEMIDFCAAHKIYPNIEVIPIQNINEALERVVKKDIKYRFVIDIKNSLN >scaffold_202195.1 pep chromosome:v.1.0:2:15523842:15524402:1 gene:scaffold_202195.1 transcript:scaffold_202195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQNRSEEKKVKSPKVFERVKEETEAMGHHEKSKSRHHHKETHGTSDDIDQNTPIDFVKGPGFFQRVKEEIEAIFNAVTPKRSSKK >scaffold_202198.1 pep chromosome:v.1.0:2:15541179:15541611:-1 gene:scaffold_202198.1 transcript:scaffold_202198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSKSCREEIRSSSITHKYHCFSHGGKSEAEAAPSPTNTNQQPPVMMRSYSTSTYKNPTIVRDDSNSKSKRSNKVKKKGFTGLCEAEIQRKKRVASYNVYGVEGRVKGSMKKSFKWFKETCSNAVYGLW >scaffold_202203.1 pep chromosome:v.1.0:2:15556089:15558029:1 gene:scaffold_202203.1 transcript:scaffold_202203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMTPAVAMTLSLAANTICEPSPVEITQLKNVTDAADLLSDQENQSFCNGGTECATEEVGEPDLLKTLSDTRSGSSNVFDEDEVLSVVEDNSAVISEGLLVVDAGSELSLSDTAMEIDNGRVLATAIIVGESSIEQVPTAQVLIAGVNQDTNREDGSAVTASEVVIRLPEENSNHLVKGRSVYELDCIPLWGTVSIQGNRSEMEDAFAVLPHFLKLPIKMLMGDHEGMSPSLTHLTSHFFGVYDGHGGHKVADYCRDRLHFALAEEIERIKDELCKRNTGEGRQVQWEKVFTSCFLTVDGEIEGKIGRAVVGSSDKVLEAVASETVGSTAVVALVCSSHIVVSNCGDSRAVLFRGKEAMPLSVDHKPDREDEYARIENAGGKVIQWQGARVFGVLAMSRSIGDRYLKPYVIPEPEVTFMPRSREDECLILASDGLWDVMNNQEVCEIARRRILMWHKKNGALPLAERGKGTDPACQAAADYLSMLALQKGSKDNISIIVIDLKAQRKFKTRT >scaffold_202205.1 pep chromosome:v.1.0:2:15562213:15563050:1 gene:scaffold_202205.1 transcript:scaffold_202205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSKKSDTKSEAAAPAVIKATKSQDSRTKVHLKKPKKVVIAPAEKKKITKGFVRKNFEKKEMIKEFADRLQRLEAKVDLLVTKADLLATKADIQELFIPAKCEKVPAMKPLAKAASDSDDSYMDTSSEDESSSGEELAKKPAASAAKPAANNSLFSVGGNGQSFSVTGFDSSLPVDDIKSALSIYFSYFGEITRVFVPPSHGTGGSLGYAYIDLIEGADKALELGTHDVGGWNLVVGKAEPIRSGSTWPFPGRCGNQGRCTFC >scaffold_202214.1 pep chromosome:v.1.0:2:15599239:15600847:1 gene:scaffold_202214.1 transcript:scaffold_202214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDVFLNFRGKEMRKTFVSHLLSSFTTKNITSFIVSDPFEDPDLKAMEQSLVAIPVISKNYVISNLWMDDLRKIIECEKIGTLTAIPIFFQVSPLDILHATEKYADTQDETLEMVRKWLYAQVSRKPSFHSNDWDDDSELVDKITSFVSDILESSTSSYQSTGLSPAPSLKLESAFRVSKFMHWRSLSLPSSAFTPISLSAFSQFPLLDMKGRLENLRAMILRVESRAIGICGMEGVGKTTLARHLYEEMAPRFQHHIYIKNVINRSQCPFSLLDMLASETFKESSFRGSSDSVECPRYEEALAFFSEFAFKQSSPLPVFESLSFRAVHVANRLPLALKVLGSYLRDREIDEWESTLRKLEASRDNYASEVKSYIGADDYAPRRPIKVDRHIGVDEANCFPLYCLALE >scaffold_202217.1 pep chromosome:v.1.0:2:15608669:15610414:-1 gene:scaffold_202217.1 transcript:scaffold_202217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSQRSPPKKPPPKLPPTAVPTSIPSRVPPPPSVSSASPVDSGSNPPASLVASPVASGSSAIPPASPVVSTTVTLGPPITGSVTAPVFVVETNVAASSATNSKIPEPGSKAQLLDIDSSSPVDSASPILKSPWVDVVKGPSSSKMVKKGSPFLKKKSSGHAKSKGKSQWITDVVEPNTEFLSSSIPNEGAAIDLGSVAVIDASKNVDVVHYSEAKELPSSDTNVMATPAKVFSIITTSASVVQSSANSFRKASSSNKFAVLDLASDVVLPDDSEGDFSSGSDDSDEDLILNLKSSFSEKYLHDRPLQLPIKAINMGRGGRGGGRRGRGNRGRRGGFG >scaffold_202220.1 pep chromosome:v.1.0:2:15614524:15614895:1 gene:scaffold_202220.1 transcript:scaffold_202220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATATKYDVFLSFRGLDTRRTFISFLYQELARRNIRTFKDDKDLENGQRISPELKRAIEESRFAVVVFSENYAASPWCLEELVKIMDFENKGSITVMPIFYGDTCRM >scaffold_202221.1 pep chromosome:v.1.0:2:15620850:15621706:1 gene:scaffold_202221.1 transcript:scaffold_202221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIRLRSLALSSSSSFRFAHRPLSSISPIKLPNFRAFFGTAMTDTKDAGKDAVQRRLMFEDECILVYRSPMTEVIELSYSWGIKN >scaffold_202224.1 pep chromosome:v.1.0:2:15643342:15643547:1 gene:scaffold_202224.1 transcript:scaffold_202224.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KR55] MQKSQSKHSLHSSSFSSASATAVSDATTTPFASRFLFGYVLSHSLCIGFGFV >scaffold_202227.1 pep chromosome:v.1.0:2:15653315:15656357:1 gene:scaffold_202227.1 transcript:scaffold_202227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLFLFALLLCLPTSLSSTASKGKETKSKFNPYRYTFIDKASTFSSSSSSSFSSNGQDSAYDYIVIGGGTAGCPLAATLSQNFSVLVLERGGVPFTNANVSFLRNFHIGLADTSASSASQAFVSTDGVYNARARVLGGGSSINAGFYSRADAAFVKRAGWDPKLVKESYPWVEREIVHQPKLTLWQKALRDSLLEVGVRPFNGFTYDHVSGTKIGGTIFDRFGRRHTAAELLAYANPQKLRVLIYATVQKIVFDTSGTRPRVTGVIFKDENGNQHQALLSNRKGSEVILSSGAIGSPQMLMLSGIGPKKELQRLKIPLVLENEHVGKGMADNPMNTILVPSKAPIEQSLIQTVGITKMGVYVEASTGFGQSPESIHTHYGIMSNKNELFSTIPAKQRRPEATQAYITRNKYQLHEAFNGSFILEKLAYPISRGHLSLVNTNVDDNPSVTFNYFKHPVDLQRCVEAIRLVSKVVTSKRFLNYTQCDKQNVHKMLSLSVKANINLRPKQLNDTKSMAQFCKDTVVTIWHYHGGCLVGKVVSPNRKVLGVDRLRVIDGSTFDESPGTNPQATMMMMGRYMGVKILRKRLGNNAGV >scaffold_202230.1 pep chromosome:v.1.0:2:15664378:15665051:1 gene:scaffold_202230.1 transcript:scaffold_202230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNLAPINNSSSSSSTTTSSSTPYGLTKEEFSTLDSIIRTHHTFPRSPNTCTSLIAHRVDAPAHAIWRFVRDFANPNKYKHFIKSCTIRGDGNGVKEIKVGTIREVSVVSGLPASTSVEILEALDEEKRILSFRVLGGEHRLNNYRSVTSVNEFVVLEKDKKKVYSVVLESYVVDIPKGNTEEDTRMFVDTVVKSNLQNLAVVSTASPT >scaffold_202236.1 pep chromosome:v.1.0:2:15683921:15686349:1 gene:scaffold_202236.1 transcript:scaffold_202236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMLPALFSSPSILTSRIRCGATANSGGALSSTSSDSDLRRGVPLYKPKSYEVLATDAANSLAFALQDSKSRLEIDFPPLPSSISSYKGSSDDFIDANIQLAVTVVRKLQEKIETRACIVFPDKPEKHRASQRFKAAFDSVDGISIGSLDDIPGSSVTNFFRSIRSILDFDFEDENEGTWEPKEPPTLYIFINCSTRELSFIEKFVETFASSTPALLFNLELDTLRADLGLLGFPPKDLHYRFLSQFIPVFYIRTREYSKTVAVAPFVLNYNGALFRQYPGPWQVMLKQTDGSYACVAESPTRFTLGETKEELLQVLGLQEEKGSSLEFLRRGYKSATWWEEDVELEASSNWRN >scaffold_202240.1 pep chromosome:v.1.0:2:15697297:15699346:1 gene:scaffold_202240.1 transcript:scaffold_202240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVPGVNTVPNPNHYDKSIVLDVKPLRSLKPVFPNGNQGPPFVGCPPFGPSSSGSSPFFPFGTQQPTQDTPDLNQTQDTPTPSFVPPLRSYRTPTETNGPSSSSGSKRGVGRPKGPSSVKKKEKKTVANEPNLDVQVVKRFSSDFDSGISPAEREDGNAYLVSSVLMRYDAVRRRLSQVEFAKAATSKAAGTLMSNGVRTNMKKRVGTVPGIEVGDIFFSRIEMCLVGLHMQTMAGIDYITSKAGSDEEPLATSIVASGRYEGEAQDPESLIYSGQGGNADKNGQASDQKLERGNLALEKSLRKGNGVRVIRGEEDAATKTGKIYIYDGLYSISESWVEKGKSGCNTFKYKLVRLPGQPPAFGVWKSVQKWKEGLTTRPGLILPDITSGAESKPVSLVNDVDEEKGPAYFTYISSLKYSDSFKLTQPAIGCSCSGSCAPGNLNCSCIRKNDGDLPYLNGVMLVSRRPIIYECGPTCPCHASCKNKVIQTGLKSRLEVFKTGNRGWGLRSWDSIRAGSFICEYAGEVKDKGNLRGNQEEDEYVFDTSRVFNSFKWNYEPELVDEDPSDEVPEEFNLPSPLLISAKKFGNVARFMNHSCSPNVFWQPVICEGNGESVIHIAFFAMRHIPPMAELTYDYGVSPTSEARDGSLLHGQRTCLCGSEQCRGSFG >scaffold_202250.1 pep chromosome:v.1.0:2:15722270:15722906:-1 gene:scaffold_202250.1 transcript:scaffold_202250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSLGMLIDIVDEEWMRDTLPDDGITIFFLLPTLYLKIIVFPFVHFIRNYCCVVVADLPLPPVLVVRTDDTEETNQENQQADAETWRDLALDTQ >scaffold_202253.1 pep chromosome:v.1.0:2:15729097:15732298:-1 gene:scaffold_202253.1 transcript:scaffold_202253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFAGFAFLSGILLGILAIVTAEVIGFLYILKRLNRKRDRQESNSSSDSNFKNFDPRQSIDFSLNKQGVIWILELDENVKDWMKEKLPTEQKKKRVDLLEVHPVRRFARIKDHKLFLSDSLDGTQTPITLKGCFVHAVSGSGPTRKWAKRFPIQVESKTSVLYKGNRVFYIFLETSWEKESWCKALRLAACENQERFIWSTKLKEDFRNYLASLNAAYPSFMKPSAGFSFESLDKGLKADGPSSKVRLFWKKFSKKCSTKVNLPPSVREDKKTSTRSYQDSQSTGSSGRSTPARKMQDNIPEETDVQIFSRTWSHSSHASDVDSEDKSFDEGTLALNVLISRLFFDVKQNTVLKNFVRERIQRIMSNMRVPSYIGDLICCDVDIGNLPPYIHGTRILPMEMNGVWAFEIDIEYTGGAGLEVETRVDAREEDLEKGIAEGKLQPNSAGGVPPDLLEDLVDFEKQLNVPGGTADAQDVKSGGTDKADESKGPKGTKAGSSNGSKWKSILKNIAEQVSQVPITLSIGVSSLRGTLCVHMKPPPSDQLWFGFTSMPDIEFNLASSVGDHKITNSHVAMFLVNRFKTAIRDVMVLPNCESITIPWMTAERDDWVERNVAPFMWLNQDSTSDHDSFEAAEAKSKADKPPTSEQMQKTAIVPQKPRIEEESVSADTTPSANSTGLIVESDKSLEELKTPLLESSEKHDTIARGGSAGDINPGILQSPSRSTVSSEEDDSNSKGKRMGTAKARMFDFRKKVGEKFEEKKRHVEEKSRQIVEKMRGP >scaffold_202256.1 pep chromosome:v.1.0:2:15747913:15748117:-1 gene:scaffold_202256.1 transcript:scaffold_202256.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KR85] MFVARPFLSTVRFLFSLMLANRKTWFYYHLMLFGPNSNFPFIKARSLYVYHLFK >scaffold_202259.1 pep chromosome:v.1.0:2:15752994:15754188:-1 gene:scaffold_202259.1 transcript:scaffold_202259.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-4-keto-6-deoxymannose-3, 5-epimerase-4-reductase 1 [Source:UniProtKB/TrEMBL;Acc:D7KR88] MAETIGSEVSSMSDKSAKIFVAGHRGLVGSAIARKLQEQGFTNLVLRTHAELDLTRQADVESFFAQEKPVYVILAAAKVGGIHANNTYPADFIGVNLQIQTNVIHSAYEHGVKKLLFLGSSCIYPKFAPQPIPESALLTASLEPTNEWYAIAKIAGIKTCQAYRIQHGWDAISGMPTNLYGPNDNFHPENSHVLPALMRRFHEAKVNGAEEVVVWGTGSPLREFLHVDDLADACVFLLDRYSGLEHVNIGSGQEVTIKELAELVKEVVGFEGKLGWDITKPDGTPRKLMDSSKLASLGWTPKVSLTDGLRQTYDWYLKNVCSQ >scaffold_202261.1 pep chromosome:v.1.0:2:15758161:15758386:-1 gene:scaffold_202261.1 transcript:scaffold_202261.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KJ35] MPISYTQSSESEPSEDMSWARADGNWGIPRYCFCGTYVKLVVCTTGNNQGRKEYKCPNFEV >scaffold_202262.1 pep chromosome:v.1.0:2:15763290:15763510:-1 gene:scaffold_202262.1 transcript:scaffold_202262.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KR91] MAGPKPEVYEEDGFFQIQKSDYHHHYKFSFCPGFAGSRKLSWPSYGCTNVGIHQDRFGV >scaffold_202265.1 pep chromosome:v.1.0:2:15775805:15776513:-1 gene:scaffold_202265.1 transcript:scaffold_202265.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin and protease inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7KR95] MKKLTLSFITLTVLSALLTAASAADAVPSQVVLDTAGHPVQSNVQYYIIPAKIGTGGGLIPSNRNLNTQDLCLNLDIVQSSSPFVSGLPVTFSPLNTKTKHVQLSTSLNLEFDSTVWLCPESKVWRIDHSLQLRKSFVSTGGEKGKGNSWFQIQEDGDAYKLMYCPISSAIACINVSLETDNLGVRRLVLSTDQSFAVKFQKAYDSNSNCHLKSNSRMFPFV >scaffold_202266.1 pep chromosome:v.1.0:2:15777187:15777856:-1 gene:scaffold_202266.1 transcript:scaffold_202266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATVSITAIFLVVALAVPSLARPDNHVEDSVGRLLRPGQTYHIVPATPERGGGLFSNSEEICPLDILQSNDPLDLGVPIKFKSELWFVKEMNNVAIEFEAPNWFLCPEESKGWRVVYSEEFKKSLIISTGVSSNPSGFQIHRVDGGFYKIVYCTTISPATCMNVGIFTDISGARRLALTNDEGLLVKFQKAATPKPDLKTKLRMFPFY >scaffold_202267.1 pep chromosome:v.1.0:2:15780734:15782972:1 gene:scaffold_202267.1 transcript:scaffold_202267.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 family protein [Source:UniProtKB/TrEMBL;Acc:D7KR97] MAEFAGESYWLLSGAAASTTFLAFIIIFFLAGIARRKRREPHRLPPGSRGWPLIGDTFAWLNAVSGSHPSSFVDKQIKRYGRIFSCSLFGKWAVVSADPAFNRFIMQNEGKLFQSSYPKSFRDLVGKDGVITVHGDQQRRLHSIASSMMRHDQLKTHFLEVIPVVMLQTLSNFKDGEVVLLQDICRKVAIHLMVNQLLGVSSESEVDEISQLFSDFVDGCLSVPIDLPGFTYHKAMKARKEIIRKINKTVEKLLQNKEASDTAGNGVLGRLLEEESLPNESLADFIINLLFAGNETTSKTMLFAVYFLTHCPKAMTQLLAEHDRLAGGTLTWQDYKTMDFTQCVIDETLRLGGIAIWLMREAKEDVSYQDYVIPKGCFVVPFLSAVHLDESYYKESLSFNPWRWLDPETQQKRNWRTSPFYCPFGGGTRFCPGAELARLQIALFLHYFITTYRWTQLKEDRISFFPSARLVNGFKIQLNRRDSDPRNQ >scaffold_202271.1 pep chromosome:v.1.0:2:15797241:15798838:1 gene:scaffold_202271.1 transcript:scaffold_202271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGEIEISKQRCESVIRTIENLPLSTAITASCRRTLLKLASSELSFLSSLSSVPSPQPLSVNIGHIESVVRILQLPSVTGVSRVCKPIPLPIGGVHVDLVCTLGKVPVWIIVSDRNPRYISWSGDRHGSKGLRSRIEQILAAANSTTTLKPSSVILFFANGLPCSIYEKLKDEFGAAHFDFFGLDSDSDISMLDDFDCEWVNVVRTRSYKEAVSVEIKLIDQCDSLASPETEVLVQEDVTELSQKDVFSSVISSMRLLGEDCLINFDTTALVALVSGISNGCAERIVHTPEIELEEKFKGNTVFVIAQARSEIEKPGLVKMGSVLSGKRGIVCKSVLSEFKELVSMYAGPNEKLRAEQLLKSLMVVNDNPSERVMSLPTTRKLAMKNKTVFGTGDRWGAPTLTANMAFVRAVAQSGMSLSTNDHSPRALTGD >scaffold_202274.1 pep chromosome:v.1.0:2:15806380:15809578:-1 gene:scaffold_202274.1 transcript:scaffold_202274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFASRPKDTGGNRRKPTSIGDVSVYVPGLRIPKPVEFSQSLGDQLPKTLVERLTALRTRIVVMANQEGPTITRTRRKTQHGGSTLADLHQALEDYLPVLLGLTKDGSHLQCKVQFNWVNQEDEQEETAISNVWYEILSVLHLMAMLQMSQANLLLLPRGSSDGYHPKISEENRRASIDIFLKAAGYLDCAVKHVLPQFSTELRRSLPVDLAEGALRALCLQALGQGVDIQLGMAIDSAKATLAVKRRLSCEMVKYWQQAQDNLMNLPLANGWGEKHSLFVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADECYKESKKASEAFNTSSPTSRTPPLFGTMKYLSEKIPKETSSKVRINRDLYSYEKIMETAPTLPDFALALKPDEYQLPSVDASWSEDSLQTKKTSNHIKGNQR >scaffold_202277.1 pep chromosome:v.1.0:2:15820986:15827815:1 gene:scaffold_202277.1 transcript:scaffold_202277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAASSSSLPKSGAISKGYNFASTWEQSAPLTEQQQAAIVSLSHAVAERPFPANLVHEHVHRPENGLSVSVEDTHLGDSGAIEAVLVNTNQFYKWFTDLESAMKSETEEKYRHYVNTLTERIQTCDDILHQVDETLDLFNELQLQHQGVTTKTKTLHDACDRLLMEKQKLMEFAEALRSKLNYFDELENISSNFYSPNMNVSNSNFLPLLKRLDECISYIEDNPQYAESSVYLLKFRQLQSRALGMIRTYILAVLKTAASQVQAAFRGTGGNKTSVSEGVEASVIYVRFKAAANELKPVLEEIESRSARKEYVQILAECHRLYCEQRLSLVKGIVHQRVSDFAKKEALPSLTRSGCAYLMQVCHMEHQLFTHFFPASSEEVSSLAPLVDPLSTYLYDILRPKLIHEANIDLLCELVHILKVEVLGDQSARQSEPLAGLRPTLQRILADVNERLTFRARTYIRDEIANYIPSDEDLDYPAKLEGSPNTISETDLGDDENADVFKTWYPPLEKTLSCLSKLYRCLEPAVFTGLAQEAVEVCSLSIQKASKLIIKRSTTMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLEHLRRILRGQASLFDWSRSTSLARTLSPRVLESQIDAKKELEKCLKTTCEEFIMSVTKLVVDPMLSFVTKVTAIKVALSSGTQNQKVDSVMSKPLKEQAFATPEKVAELVQKVYAAIQQELLPILAKMKLYLQNPSTRTILFKPIKTNIVEAHTQVESLLKAEYSAEEQANINMISIQDLQTQLDNFL >scaffold_202280.1 pep chromosome:v.1.0:2:15841554:15843973:1 gene:scaffold_202280.1 transcript:scaffold_202280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVSVAVVAPPHRCPFSRHLSLTKLSLQIPRTGWRRKQLGFALASAAASESPSEATYDPELRLVFELATDSELYELEKILFGPSSFSPLLKSIPNKGGRDRLMIGQDIQVRDGYIEALESRFLFLAADARSTLRGWRPSYKNVLLAVRNNLNIPCSSQLPTEDLEAEIFLYLVDNFSSEASGVFPGLWENSEVSEAKGSLELGLSKWKVELLAALHVGATEVQSMILKGGGVITFAKVYQLLAKKLSGKVFLEAANYQIRKEMLKKGGQLAAINMESRAALLAAKHGFAGAAARYIGLRTAMQLLGPMMWGTLLADLVIQMLETDYARILRAIYAFAQIRITRTYRLPCK >scaffold_202281.1 pep chromosome:v.1.0:2:15848030:15851505:1 gene:scaffold_202281.1 transcript:scaffold_202281.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7KRI7] MICSSKGTTLIASGKNSNSIPRLPLTGSSTNRIQIRNPISVEKTFRRSSSSSSSMAVETMSMGSDSSTLILTSGASGRVRVLFSMRELKRLVTFIQSLILFLLLPFRVVVWRRRTGAVVIRDDKQERKVWSPPQIVVRKRNIGGESGCSVSPPSVPAAVVDEEVAVRRELAIKRVLEDEGGDGSSVRDYSLFTTKRGDTLFTQSWSPLSPNHRGLIVLLHGLNEHSGRYSDFAKQLNANGFKVYGIDWIGHGGSDGLHAYIPSLDYAVADLKSFLEKVFTENPGLPCFCFGHSTGGAIILKAMLDPKIESRVSGIVLTSPAVGVQPSHPIFAVLAPIMAFLLPRYQISAANKKGMPVSRDPAALIAKYSDPLVFTGSIRVKTGYEILRITAHLQQNLNKVKVPFLVMHGTDDTVTDPNASKKLYEVASSSDKSIKLYDGLLHDLLFEPEREIISGAIIDWLNQRV >scaffold_202282.1 pep chromosome:v.1.0:2:15859085:15860064:-1 gene:scaffold_202282.1 transcript:scaffold_202282.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMKK9 [Source:UniProtKB/TrEMBL;Acc:D7KRI8] MALVRERRQLNLRLPLPPISDRRFSVSSSVTTTTVAATSGCNGISAGDLEKLNVLGCGNGGIVYKVSHKTTSEIYALKTVNGDMDPIFTRQLMREMEILRRTDSPYVVKCHGIFEKPVVGEVSILMEYMDGGTLESLRGGVTEQKLAGFAKQILKGLSYLHALKIVHRDIKPANLLLNSRNEVKIADFGVSKILVRSLDSCNSYVGTCAYMSPERFDSESSGGSSDIYAGDIWSFGLMMLELLVGHFPLLPPGQRPDWATLMCAVCFGEPPRAPEGCSEEFRSFVECCLRKDSSKRWTASQLLAHPFLREDL >scaffold_202283.1 pep chromosome:v.1.0:2:15862139:15862757:-1 gene:scaffold_202283.1 transcript:scaffold_202283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKQDINESQIGLNLFSKRRHNKTDYDKLCFLNSPTFNSLGSLSSTDGIIIIDDDEEVTLEPKRKKPRLGSWWDNVEPFDELSCVVKGSPEAKEDNDVASRADSCFSSTDPHETKKNDDERSLSNKKENDESVGESRTRNRGYEHVTLEDLGVSVEDLKSVPWEALHPTWEIRSDPWLNGYIEDLGLSLV >scaffold_202293.1 pep chromosome:v.1.0:2:15902975:15903566:1 gene:scaffold_202293.1 transcript:scaffold_202293.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 64 [Source:UniProtKB/TrEMBL;Acc:D7KRJ9] MAKATSSLVVPIIFLVIFALVEQNMGCNDYDVGGNGCDHCRLRCLKYYPPTRKAECQLNHCICIGPCPDDRPHKRFQMLNSSKMYKQKS >scaffold_202294.1 pep chromosome:v.1.0:2:15904862:15906589:-1 gene:scaffold_202294.1 transcript:scaffold_202294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRIFNHKLQKNFVLRLILRFGQIPAVTYRRALNRRLGGRGGRVFEQGLKLEKENFSEEKNKNRNYGSIFVYCFFCFVLVVEVARFAKPYYNLQNLMETEAIVEEGFLDVENSGRLPCHSSGSGSVSVPARSHQKLSDTIRQESRARPPTSYCVKIQSFATLSKLVKDNGDMYESRPFSVGGYNWTFLIYPNENKPQGSGGYVSLYVRIDNSSLIANPEDVYAEITFLVYKSTIDKYHILKETKAQRFHLFRQQWGQLNFLEIGYFLNPVHGFIFNGGQSVFGVDIFVAKPFENWEVFSYEKNIRDPIFDWRLNNFSTLDRDSYTSGSFSSGGRKLVTSLSLSLHSRSNHVEKQVRGWPNATENGWGFEKFIPLADIKDTSKGFLVNDSLKLQIEILSFSKTDSL >scaffold_202295.1 pep chromosome:v.1.0:2:15909674:15910031:-1 gene:scaffold_202295.1 transcript:scaffold_202295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMRKALYNVGFWIRETGQALDRLGCRLQGKKHFREHRTNYNGYYERRGI >scaffold_202304.1 pep chromosome:v.1.0:2:15947074:15950376:-1 gene:scaffold_202304.1 transcript:scaffold_202304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPSSSWFLHPQLHHVVSEMSYFDAFIFYIVHLVDKLGLWHRFPVLLGVAYLGLRRHLHQRYNLVHVGPINGQGYDNDEFCYRTADGKCNHPSDDSIGSQGSFIGRNMPPSTSQYGILDPHPSVVATKLLARKRFIDNGDQFNVIACSWIQFMIHDWVDHLEDTHQIELEAPEEVASGCPLKSFKFLRTKKVPTGDHHKSGAVNTRTPWWDGSVIYGNDEAGMRRVRVFKDGKLKISGDGLLERDERGVPISGDIRNSWSGFSLLQALFVKEHNSICDMLKERYPDFDDEKLYRTARLVTAAVIAKVHTIDWTIELLKTDTLTAGMRINWYGFLGKKVKDMVGARFGPIFSGLVGLKKPKDHGVPYSLTEEFVSVYRMHCLLPETLILRDMKSENVDKANPAIEREIPMTELIGKEAGLKGSKIGFEQLLVSMGHQSCGALTLWNYPNWMRNLVAQDIDGEDRPHLIDMAALEIYRDRERGVPRYNEFRKNLLMSPISKWEELTDDEEAIKVLREVYEDDIEKLDLNVGLHAEKKIKGFAISETAFFIFLLVASRRLEADRFFTTNFNEKTYTKEGLEWVNTTETLKDVIDRHFPSLTDQWMRCSSAFSVWGSDPNPNTWVPLYLRSAP >scaffold_202309.1 pep chromosome:v.1.0:2:15975722:15977710:-1 gene:scaffold_202309.1 transcript:scaffold_202309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLAVSVADIRMENEPDDLASDNVAEIDVSDEEIDAEDLERRMWKDRVRLKRIKERQKVGSQGAQTKETPKKISDQAQRKKMSRAQDGILKYMLKLMEVCKVRGFVYGIIPEKGKPVSGSSDNIRAWWKEKVKFDKNGPAAIAKYEEECLAFGKSDGNRNSQFVLQDLQDATLGSLLSSLMQHCDPPQRKYPLEKGTPPPWWPTGNEEWWVKLGLPKSQSPPYRKPHDLKKMWKVGVLTAVINHMLPDIAKIKRHVRQSKCLQDKMTAKESAIWLAVLNQEESLIQQPSSDNGNSNVTEAHRRGNNADRRKPVINSDSDYDVDGTEDASGSVSSKDSRRNQTQKEQPTAISHIVRDQDKAEKHRRRKRPRIRSGTNNRQEEEQPEAEQRNILPDMNHVDAPLLEYNIDGTNHEEDVVDPNIALGPEENGLELVVPEFHNNYTYLPPGNGQDMMPVDERPMLYGPNPNHELQFGSGYNFYNPSAVFVHNQEDDILHTQIGMNAQAPPHNSGFEAPGGVLQPLGLLGNEDGVAGSELSQYPSDIMSPFNDLSFDYGIDDFSWFGA >scaffold_202310.1 pep chromosome:v.1.0:2:15979407:15979630:-1 gene:scaffold_202310.1 transcript:scaffold_202310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHK7] MKNLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCSVSRSSRRVF >scaffold_202311.1 pep chromosome:v.1.0:2:15980671:15980879:1 gene:scaffold_202311.1 transcript:scaffold_202311.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRL8] MESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCSVSRSSRRVF >scaffold_202317.1 pep chromosome:v.1.0:2:15996195:15996441:1 gene:scaffold_202317.1 transcript:scaffold_202317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEAAETARESLELVFRMSNILETGLDRHTLSVLIALCDIGLNPEALATLVKELRRDSATTTTATVD >scaffold_202318.1 pep chromosome:v.1.0:2:15997313:16001015:-1 gene:scaffold_202318.1 transcript:scaffold_202318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRLFQDLDSDQENKSEKRIKSVPSLASVFGALITENTLKSFSSALEPVLRKVVRQEVEYGISKRFRYSRSSSFRIEAPEESTPTFKLMFRKSLSTPIFTGSKISDVDNNPLEIILVDDSNIPVNLDRSIKLDIVALHGDFPSGDKWTSDEFESNIVKERDGKRPLLAGEVSVTVRNGVATIGELEFTDNSSWIRSRKFRIGAKVAKGSSGQGVTVCEAMTEAIVVRDHRGELYKKHHPPMLEDEVWRLEKIGKDGAFHKKLSSKHINTVQDFLKLSVVDVDELRQILGPGMSDKKWEVTSKHARECILGNKLYISRGPNFFMILNPICEVMKALIDGHVLSSQESLNQPYVKNLVRDAYSKGNLLEVGEGTANEAALLTQGDNLDQQYVAGHYDNIEINRSSQQNGYVQERTTNNLEIVNEGYITTQAEFNICFTGSSSQNHINPF >scaffold_202319.1 pep chromosome:v.1.0:2:16009149:16011992:-1 gene:scaffold_202319.1 transcript:scaffold_202319.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7KRM6] MKKSQETQEDSLQQESRYQLHQIFISKIFKSHRFFQALVLYSLLIGFGFGLGFILNVHIRNVSFDPQLFRLSALPPSLSSSFSTPLQPQPEKVVSLNDTVVATDDGDEGQKGHSLVKPENVMHNMTEEELFLRASKIQEKTLKKTKKVAFMFLTRGKLPLAKLWERFFKGHDGLFSIYIHTSDPFYVDDDIPETSPLYRRRIPSKEVGWGMVSMVEAERRLLANALLDAGNHRFVLLSESDIPLFNFSTIYSYLTNSQHSYVDVYDLPGPAGRGRYNRRMSPVISRRNWRKGSQWFEIDREVALAVVSDTIYFPVFKKHCLSNCYSDEHYLATLVHVMFPGKNANRSLTWTDWSRRGPHPRKYTRGSVTGEFLRRVRNREQGCVYNGKKSENCYLFARKFDGGCLDKLLYFAHRVLGF >scaffold_202320.1 pep chromosome:v.1.0:2:16015403:16016825:-1 gene:scaffold_202320.1 transcript:scaffold_202320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRYAMVCSSNQNRSMEAHALLKRQGLDVASYGTGSHVKLPGPSVREPNVYDFGTPYKQMFDELRRKDPELYKRNGILQMLKRNLSVKLAPQRWQDNAGDGVFDVVMTFEEKVFDSVLEDLNNREQSLMKTILVMNLEVKDNHEEAAIGGRLALELCQEIEGNETWEDTIDDIVAGFEKQHRRKLVYSISFY >scaffold_202324.1 pep chromosome:v.1.0:2:16030367:16032867:1 gene:scaffold_202324.1 transcript:scaffold_202324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNTSYHVISRDQNPDLIADIGDDGESLMFYNNNSQDGLCTQHMKEEFNNVYQTHEHEEEDDQDSNTSSTEEHFSSANVSPYRSESSIEEEDDGVEDLPDDRYDDDDEDEEVGGVSRYDVVEDLVRKQPNTNTSTRGPSRFQSGLVFNDKSYNAGELVSNGGIKNDVDEIQPSSGFSMREIKAEELEEEEEEERGEIFGESYTNGSTSKSSSEWRNSVKTDDPFSTSSRRSCPKWESYTVFQKYDEEMTFLSRITAQKLHETESLKSIMVEPRSISERIVHKLSSNGSHKKKQQRYPGGNGSRPNPYVELESAYVAQICLTWEALSWNYKNFERKRSSRLRSFNDVGCPAGIADQFRTFHILLQRYVENEPYEHGRRAEIYARMRTLAPKLLLVPEYQDYEEDEKEEEKEEGFRSRISSASFLMIMEECIRTFMNFLKADKEKPCQKILKAFFGRSKRGSVDPTLVHLMKKVNKKKKTKLKEMRRGGKYMRKKKMSIEEEMEILMGLIDLKVVSRVLRMNEMNEENLHWCEEKMSKVKIIQGGKVLQRDSTPLFFPPH >scaffold_202328.1 pep chromosome:v.1.0:2:16047950:16049413:1 gene:scaffold_202328.1 transcript:scaffold_202328.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KRN4] MTGNEENNKPTKTHVLIFPFPAQGHMIPLLDFTHRLALRGGAALTITVLVTPKNLPFLSPLLSAVSNIETLILPFPSHPSIPSGVENVQDLPPSGFPLMIHALGNLHAPLLSWITSHPSPPVAIVSDFFLGWTNNLGIPRFDFSPSAAITCCILNTLWIEMPTKINEDDDNEILQFPKIPNCPKYPFNQISSLYRSYVHGDPAWEFIRDSFRDNAASWGLVVNSFTAMEGVYLEHLKREMGHDCVWAVGPILPLSDGNRGGPTSVSVDHVMSWLDAREDDHVVYVCFGSQTVLTKEQTLALASGLEKSGVHFIWAVKEPVEGESPRGNILDGFDDRVAGRGLVIRGWAPQVAVLRHRAVGAFLTHCGWNSVIEAVVAGVLMLTWPMRADQYTDASLVVDELKVGVRACEGPDTVPDPDELARVFADSVTGKQTERIKAVELRKAALDAIQERGSSVKDLDGFIQHVVNLRLNN >scaffold_202334.1 pep chromosome:v.1.0:2:16064250:16068732:-1 gene:scaffold_202334.1 transcript:scaffold_202334.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KRP0] MNCGRVLKSVQALVAHCFLFSFTLALMLKLDHSLSYSWWVVCLPLWAFHAVVARGRFSLPAPIAPRNRHWAPCHAIVSTPLLIAFELLLCVFLETAYADSPPAVSLKIVFLPLLAFEVIILVDNARMCRALMPGDEESVNDEAVWEALPHFWVAISMVFFLAATVFSLLKLSGDVAALGWWDLFINFGIAECFAFLVCTKWSNPVIHRSSRDRETGSSSTNIRYLDWNSGLGVFSEDDRNQDTTCGLQDFGGHIMKIPLIVFQVVLCMHLEGTPEAAKYIPVPVLFSPLFLLQGVGVLFAASKLIEKVVLLMRGEDDAGLYFRFSSRAHDCLGFLHHGSRLLGWWSIDEGSREEQARLYFDQDSGYNTFCGHPPEIVKKMPKKELAEEVWRLQAALGEQTEITKFSQQEYERLQNEKVLCRVCFEREISVVLLPCRHRVLCRNCSDKCKKCPFCRINIEERLPVYDV >scaffold_202338.1 pep chromosome:v.1.0:2:16086591:16090064:-1 gene:scaffold_202338.1 transcript:scaffold_202338.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase/uridine kinase [Source:UniProtKB/TrEMBL;Acc:D7KRP4] MVLDSSVALSPRRRHGLLRDQVQLIKRKDSGRYEIVPIEDPLSFEKGFYAVIRACQLLAQKNDGLILVGLAGPSGAGKTIFTEKILNFMPSIAIINMDNYNDGTRVIDGNFDDPRLTDYDTLLDNIHGLRDGKPVQVPIYDFKSSSRIGYRTLEVPSSRIVILEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLKTAQIKILNKFNPFSGFQNPTYILKSTKAVTPEQVKAALSEDFKERTEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDRPFIISPRITFEVSVRLLGGLMALGYTIASILKRKSHIFDGDKVIVKTDWLEQLNRTYVQVQGKDRTFVKNVADQLGLEGSYVPHTYIEQIQLERLVNDVLALPDDLKTKLSLDDDTVSSPKEALSRASVDSRMKYLHGGVSKSYTNPRHKALPNLTRLAVNNRMLDARAPASPATLPNQGFITQLSDQISTLNERMDEFTSRIEELNSKIPNRIAASGSQHNLALPIENGNGSVLSLSSSASQLVRESPLMEEVILIARGQRQIMLQMDTLSNLLREYVGERSRIERLDSNRTNSKTQNLESSTVPILLGLGIGCVGIFAYSRLK >scaffold_202343.1 pep chromosome:v.1.0:2:16107195:16107434:-1 gene:scaffold_202343.1 transcript:scaffold_202343.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRP9] MRLRSCVDSRTSTPKISNVYVDCNYLTFCSMCKLNLSRNNYSNLSINKIIRMRSY >scaffold_202344.1 pep chromosome:v.1.0:2:16108727:16111208:-1 gene:scaffold_202344.1 transcript:scaffold_202344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTKPHHLQRSFLSPSRVSGQRYLESAPSCLRFRRSGVQCSVVAKECRVKGVKARQIIDSRGNPTVEVDLITDDLYRSAVPSGASTGIYEALELRDGDKSVYGGKGVLQAIRNINELVAPKLIGVDVRNQADVDALMLELDGTPNKSKLGANAILGVSLSVCRAGAGAKGVPLYKHIQEISGTKELVMPVPAFNVINGGSHAGNSLAMQEFMILPVGATSFSEAFQMGSEVYHTLKGIIKTKYGQDACNVGDEGGFAPNVQDNREGLVLLIDAIEKAGYTGKIKIGMDVAASEFFMKDGRYDLNFKKQPNDGAHVLSAESLADLYREFIKDFPIVSIEDPFDQDDWSSWASLQASVDIQLVGDDLLVTNPKRIAEAIKRKSCNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLSKYNQLLRIEEELGNVRYAGEAFRSP >scaffold_202348.1 pep chromosome:v.1.0:2:16123313:16124123:1 gene:scaffold_202348.1 transcript:scaffold_202348.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRQ3] MNAEEMQSKITGYEQRINDYERKRVRYERTIGRLQDDLLDRDNEILRAKFTVVQALPELNPPDLDPVLDLQRVPGYLDIRIFERACLDASLRDPSSDSDDQKRKDEAVFDAGSLRSLWRERIYGGWMLYIVQEGIGDIQMERPEILDVKEKYGLELYNTIKKAWFEYQERRRTGVVFKPWNYDAGREQTLTELLVPLAGTIDNLRELNPPQ >scaffold_202357.1 pep chromosome:v.1.0:2:16144622:16145678:-1 gene:scaffold_202357.1 transcript:scaffold_202357.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:D7KRZ2] MESKTTQNGSEVVELTEFEKTQKKYHDFIATLPKSKGWRPDEILTQYGGHWWQECLLEGLFHAKDHFEARPTDFLVCSYPKTGTTWLKALTYAIVNRSRYDEATNPLLKRNPHEFVPYVEIDFAFYPTVNVLQDRKNPLFSTHIPNGLLPDSIVNSGCKMVYIWRDPKDTFISMWTFLHKEKSQEGQLASLEESFDMFCKGLSVYGPYLDHVLGYWKAYQENPDRILFLRYETMRANPLPFVKRLAEFMGYGFTDEEEEKGVAEKVVKLCSFETLKNLEANKGDKEREDRPAVYANSAYFRKGKVGDWANYLTPEMAARIDGLVEEKFKDTGLLQHDS >scaffold_202363.1 pep chromosome:v.1.0:2:16178407:16179151:1 gene:scaffold_202363.1 transcript:scaffold_202363.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KRZ8] MARRRFVAVIIFTGQRSSYPRLKASSVFRQRLRFGKTNSLFKRLDWVMFGGRKMIPTA >scaffold_202374.1 pep chromosome:v.1.0:2:16235232:16236931:1 gene:scaffold_202374.1 transcript:scaffold_202374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTEKDVENKQYRLPREVKEALQAIASEWEDVIDSKALQVIPLKGAMTNEVFQIKWPTREKGPSRKVLVRIYGEGVEIFFDREDEIRTFEFMSKHGHGPLLLGRFGNGRIEEFLHARTLSACDLRDPEISGRIATRMKEFHGLEMPGVKKALLWDRLRNWLTACKRLASPEEANSFRLDVMEMEIHLLEKSLFNNDENIGFCHNDLQYGNIMMDEETKAITIIDYEYSCYNPVAYDIANHFCEMAADYHTETPHIMDYSKYPGVEERQRFLKTYLSYSGEEPSNTMVEKLLEDVEKYTLASHLTWGLWGIISEHVNEIDFDYMEYARQRFEQYWLTKPRLLEASEHK >scaffold_202378.1 pep chromosome:v.1.0:2:16249370:16252975:1 gene:scaffold_202378.1 transcript:scaffold_202378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRVIMADNDSESLCFVCFLLFVLITAIAVAGDSLDNDREVLLSLKSYLESRNPQNRGMYSEWKMENQDVCQWSGIKCTPQRSRVTGINLSDSTIAGPLFRNFSALTELTYLDLSRNTIQGEIPDDLSRCHNLKHLNLSHNILVGELSLSGLSNLEVLDLSLNRIAGDIQSSFPMFCNSLVVANLSTNNFTGRIDDIFNGCRNLKYVDFSSNGFSGEVWAGFGRLVEFSVSDNHLSGNISASMFRGNCTLQMLDLSGNNFGGEFPGQVSNCQSLSVLNLWGNNFIGNIPAEIGSISSLRGLYLGNNTFSRDIPETLLNLSNLVFLDLSRNKFGGDIQEILGRFTQVKYLVLHANSYVGGINSSNILKLPNLLRLDLGYNNFSGQLPAEISQIQSLKFLILAYNNFSGDIPQEYGNMPGLQALDLSFNRLTGSIPASFGKLTSLLWLMLANNSLSGEIPRDIGNCTSLLWFNVANNQLSGRFHPELTRMGSDPSPTFEVNRQNNDKIIAGSGECLAMKRWIPAEFPPFNFVYAILTKKSCRSLWDHVLKGYGLFPVCSAGSTVRTLKISAYLQLSGNKFSGEIPANISQMDRLSTLHLGFNEFEGKLPPEIGRLPLAFLNLTRNNFSGQIPQEIGNLKCLQNLDLSYNNFSGNFPASLNDLNELSKFNISYNPFISGVIPTTGQVATFDKDSFLGNPLLRFPSFFNQSGNNTRKISNQVLGNRPRTLLLIWISSALALAFIACLVVSGIVLMVVKASREAEIDLLDGSKTRHDTTSSSGGSSPWLSGKIKVIRLDKSTFTYADILKATSNFSEERVVGRGGYGTVYRGVLPDGREVAVKKLQREGTEAEKEFRAEMEVLSANAFGDWAHPNLVRLYGWCLDGSEKILVHEYMGGGSLEELITDKTKLPWKKRIDIATDVARGLVFLHHECYPSIVHRDVKASNVLLDRQGNARVTDFGLARLLNVGDSHVSTVIAGTIGYVAPEYGQTWQATTRGDVYSYGVLTMELATGRRAVDGGEECLVEWVRRVMTDNMTAKGSPFTLSGTKPGNGAEQLTELLKIGVKCTADHPQARPNMKEVLAMLVKISGKAELFNGLSSPGYIEM >scaffold_202388.1 pep chromosome:v.1.0:2:16300648:16302297:-1 gene:scaffold_202388.1 transcript:scaffold_202388.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7KS22] MKFCAIFILFIILAINGYDCKIVQFIFGDSLSDVGNNKNLPRSLATANLPFYGIDFGNGLPNGRFTNGRTVSDIIGDKIGLPRPVAFLDPTMNEDVILENGVNYASGGGGILNETGGYFIQRFSLWKQIELFQGTQDVVVAKIGKKEADKFFQDARYVVALGSNDFINNYLMPVYSDSWKYNDQTFVDYLMETLESQLKMLHSLGARKLMVFGLGPMGCIPLQRALSLDGNCQNKASNLAKKFNKAATTMLLDLEAKLPNASYRFGEAYDLVNDIITNPKKYGFDNSDSPCCSFYRIRPALTCIPASTLCKDRSKYVFWDEYHPTDKANELVANILIKRFDFMRSDDGTSHAPSPAPAISPSPDTN >scaffold_202397.1 pep chromosome:v.1.0:2:16333202:16334718:1 gene:scaffold_202397.1 transcript:scaffold_202397.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP98A9 [Source:UniProtKB/TrEMBL;Acc:D7KS31] MDLLLISLTTIIIAAFMQNLRRRRSNIPPGPPTRFLIGNLHQLKPLWTQSFSEWSHTYGPIISVWLGSQLAVVVSSSDLAKQVLRDKDYQLCNRHRTARMTQNGSDLIWSDYGAHYVKMRKLCTLELFSLKSIECFRLMREMEVSSMVKSVFNDLMTDDQKPVVLRNYLGSVALNIVSRLVIGKTFEPKEGREFKSIVDRETRLPGATKMLDYTIWLKRVSSWFTSDNAFMKHMARKRKWFKRAVMDEVYGGRDQKCFVQSLLELKEKNELTEETVMGLVWNMLTAGADTTAITIEWAMAEMVRCPTVQEKVQHELDSVVGFGRLMSDTDIPKLPYLQCILKEALRLHPPTPLMLPHKASESVQIGGYRVPKGATVYVNVQAIARDPANWSNPDEFRPERFLVEETDVKGQDFRVLPFGSGRRVCPAAQLSLNMMTLALGNLLHCFSWTSSTPREHIDMTEKPGLVCYMKTPLQALASSRLPQELYLLTFH >scaffold_202399.1 pep chromosome:v.1.0:2:16337892:16340223:1 gene:scaffold_202399.1 transcript:scaffold_202399.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KS33] MGPPLLPKHVTTVIRCQKDPMKALEMFNSMRKEDGFKHTLSTYRSIIEKLGLYGKFEAMEEVLVDMRQNVGNHMLEGVYVGAMKNYGRKGKVQEAVNVFERMDFYDCEPTVFSYNAIMSILVDSGYFDQAHKVYMRMRDRGITPDVYSFTIRMKSFCRTSRPHAALRLLNNMSSQGCEMNVVAYCTVVGGFYEENFKDEGYELFGKMLASGVSLCLSTFNKLLHVLCKKGDVKECEKLLDKVIKRGVLPNLFTYNFFIQGLCQKGELDAAVRMVGRLIDQGPKPDVVTYNYLIYGLCKNSKFQEAEVYLGKLVNEGLEPDSFTYNTLIAGYCKGGMVQLAERILVNAVFNGFVPDEFTYRSLIDGLCHEGETNRALALFNEALGKGIKPNVILYNTLIKGLSNQGLILEAAQLASEMSEKGLIPEVQTFNILVNGLCKMGCVSDADGLVKVMISKGYFPDIFTFNILIHGYSTQLKMENALEILDVMMDNGVDPDVYTYNSLLNGLCKTSKYEDVMETYKTMVEKGCAPNLFTFNILLESLCRYHKLDKALGLLEEMKNKSVNPDAVTFGTLIDGFCKNGDLDGAYTLFRKMEEVYMVSCSTPTYNIIIHAFTEKLNVTMAEKLFQEMVDRCLGPDGYTYRLMVDGFCKTGNVDLGYKFLLEMMENGFIPSLTTLGRVINCLCVEDRVYEAAGIIHRMVQKGLVPEAVNTIFDHDKKEVAAPKLVLEDLLKKSCITYYAYELLFDGLRDKRLRKKKGFTVVAI >scaffold_202407.1 pep chromosome:v.1.0:2:16386072:16386600:1 gene:scaffold_202407.1 transcript:scaffold_202407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFIPFLLLATIFFSFICLTMSKEAEYQPESYGPGSLKSYQCGGQCTRRCGNTKYHKPCMFFCQKCCAKCLCVPPGTYGNKQVCPCYNNWKTQQGGPKCP >scaffold_202409.1 pep chromosome:v.1.0:2:16389196:16391728:-1 gene:scaffold_202409.1 transcript:scaffold_202409.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 31 [Source:UniProtKB/TrEMBL;Acc:D7KS42] MGKSTKWLKNVLLGKKTSKSSGSKGKERVVSGNEVLVTSKVEESDVVSDLPSFAVAETNTVDRSSGMLETQNVEPEEISDDEIELPEGKPTDSQNVAPVQDNSVCDAERIQQDIAATSVQAAFRGYLARRAFWALKGIIRLQALIRGHLVRRQAVATLFSVMGIVRLQAFARGREIRKSDIGVQVYRKCRLQLLQGSKLANPTDAYLGIKKLTANAFALKLLASSPKVLPVHAYDSSDPDSNLIWLENWSASCFWKPVPQPKKTISRKPQNKLLVEAESAKPKKSVRKVPAANFESSSVQTSFEFEKPKRSFRKVSSQSIEPPAVEDPQIELEKVKRSLRKVHNPVVESSIQPQRSPRKEVEKPKLGVEKTMESSYPMVHETAEEPVNVCDEKKKQEMPEQPEEEVHVLEMEVHTPGPLETNEALDSSLVNHIDSNEKAMVEEKPSMEKDTKEEKTPKPNNKENSAGKENQKLRKKGSAISKTEREESNGHHQTSPSIPSYMQATKSAKAKLRMQGSPKSAEPDGTEKASVPRRHSLPSPGNGIGRITSQSPRTTRLANSGDKTRNKKEKPLLSSQEGNAKTTPTERKR >scaffold_202419.1 pep chromosome:v.1.0:2:16440439:16443263:-1 gene:scaffold_202419.1 transcript:scaffold_202419.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7KS52] MKKPKLSKVEKIDKLDLFSSLWKQRSVRVIMAIGFLYLIIVSVEIPLVFKSWSSSSVPLDSLSRLEKLNSEQEPHVVKIPDPPLKPVSNPVSDPTIVNRTDQNKVREHHRGLLSSLRFDSETFDPSSKDGSVELHKSAKEAWQLGRKLWKELESGRLEKLVEKPEKNKSDSCPHSVSLTGSEFMNRENKLMELPCGLTLGSHITLVGRPRKAHPKEGDWSKLVSQFVIELQGLKTVEGEDPPRILHFNPRLKGDWSKKPVIEQNSCYRMQWGPAQRCEGWKSRAEEETVDSHVKCEKWIRDDDNYSEGSRARWWLNRLIGRRKRVKVEWPFPFVEEKLFVLTLSAGLEGYHINVDGKHVTSFPYRTGFTLEDATGLTVNGDIDVHSVFVASLPTSHPSFAPQRHLELSKRWQAPVVPDGPVEIFIGILSAGNHFSERMAVRKSWMQHVLITSAKVVARFFVALHGRKEVNVELKKEAEYFGDIVLVPYMDSYDLVVLKTVAICEHGALAFSAKYIMKCDDDTFVKLGAVINEVKKVPEGRSLYIGNMNYYHKPLRGGKWAVTYEEWPEEDYPPYANGPGYVLSSDIARFIVDKFERHKLRLFKMEDVSVGMWVEHFKNTTNPVDYRHSLRFCQFGCVENYYTAHYQSPRQMICLWDKLLRQNKPECCNMR >scaffold_202421.1 pep chromosome:v.1.0:2:16451646:16452372:-1 gene:scaffold_202421.1 transcript:scaffold_202421.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin family protein [Source:UniProtKB/TrEMBL;Acc:D7KS54] MAHISQISSFLSRVLIFLALCITLFTNPTLALPALKLNPLQEFCVADLQATPTNSGFPCKSQVTSEDFFYSGLNTPLNTSNPKGIAANPANLLTFPGLNTLGISMYNVAIAPGGYNQPHSHPGATEAGVVIEGWVLVGFLTTNNTLYSKVIGPGDMFVIPPGLIHYEGNVGKTQCRLLTVVADDLPSEVGVPHTLFATKPAIPEAVLMAAFKADSKTIDMLRSKFTT >scaffold_202425.1 pep chromosome:v.1.0:2:16462378:16462584:1 gene:scaffold_202425.1 transcript:scaffold_202425.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KS58] MAEKWKKKEGLEEDTWILIVDNSREEPTGFYWLTRYYSVEQFWRRLELQEKEY >scaffold_202432.1 pep chromosome:v.1.0:2:16476414:16479277:-1 gene:scaffold_202432.1 transcript:scaffold_202432.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase family protein [Source:UniProtKB/TrEMBL;Acc:D7KSI6] MGSSMEEKVVAVIMVGGPTKGTRFRPLSLNIPKPLFPIAGQPMVHHPISACKRIPNLAQIYLVGFYEEREFALYVSAISNELKVPVRYLREDKPHGSAGGLYHFRNLIMEDSPSHIFLLNCDVCCSFPLPEMLEAHRGYGGIGTLLVIKVSPESASQFGELVADPATNELLHYTEKPETFVSDRINCGVYVFTPEIFNAIGDVSTQRKDRATLKRVSSFEALQPATRIPTDFVRLDQDILSPLAGKKQLYTYETMDFWEQIKSPGMSLRCSGLYLSQFRLTAPQLLASGDGTRSAIVIGDVYIHPSAKVHPTAKIGPNVSISANARVGPGVRLISCIILDDVEIMENAVVTNAIVGWKSSIGRWSRVQAEGVYNSKLGVTILGDSVAVEDEVVVTSCIVLPNKTLNVSVQDEIIL >scaffold_202433.1 pep chromosome:v.1.0:2:16479989:16483555:-1 gene:scaffold_202433.1 transcript:scaffold_202433.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALDH10A8 [Source:UniProtKB/TrEMBL;Acc:D7KSI7] MAIPVPTRQLFIDGEWREPILKKRIPIVNPATEELIGDIPAATTEDVEAAVNAARRAFSRNKGKDWAKAPGAVRAKFLRAIAAKVNERKTDLAKLEALDCGKPLDEAVWDMDDVAGCFEFYADLAEGLDAKQKAPVSLPMDSFKSYVLKQPLGVVGLITPWNYPLLMAVWKVAPSLAAGCTAVLKPSELASVTCLELADICREVGLPPGVLNVLTGLGSEAGASLASHPGVDKIAFTGSFATGSKVMTAAAQLVKPVSMELGGKSPLIVFDDVDLDKAAEWALFGCFWTNGQICSATSRLLVHESIASEFIEKLVKWSKNIKISDPMEEGCRLGPVVSQGQYEKILKFISAAKSEGATILHGGSRPEHLEKGFFIEPTIITDVTTSMKIWREEVFGPVLCVKTFASEDKAIELANDSHYGLGAAVISNDTERCDRVSEAFEAGIVWINCSQPCFTQAPWGGVKRSGFGRELGEWGLDNYLSVKQVTLYTSNDPWGWYKSPN >scaffold_202434.1 pep chromosome:v.1.0:2:16484245:16485129:1 gene:scaffold_202434.1 transcript:scaffold_202434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTRIFSFVLMMMSFTVLMGCCSSAKTYKVGDSEGWKTANVAQVSGALEYQYCDSTSPKAVYNTGNDVVTLKEPGYHYFITSNHIQCVYGQRLNVLVVHDPSRLIPPPPPRKILPFGNIYKVGDSNEWRVPEVADFYYKWSEGKQFHVGDSLLFYYDYEVDDVLEISGDLKFKACDPTSPVSVHNQGQDLIRLTKPGIHYFISSKTVNCEAGLKLRVVVQPLPKVVPEKMNMSPLDRLIKWLQNFRPQPHH >scaffold_202436.1 pep chromosome:v.1.0:2:16488972:16489841:1 gene:scaffold_202436.1 transcript:scaffold_202436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSKRPHLMIRKLSEMLVPRSRSAAIKPEEYTASPRSPLDLNFPSPVNSKRFGSGGVGLGIVAALEETSNGINRHDPVRYSGRFRCPEIDLSDEEEYTYVTSPNGPTKVYYNDDGFKLCENDYRRVHKPMVIADEPPVIKRQSFGDPTEFLSSCCLCKKKLQGKDIYMYKGEMGFCSAECRSVQIMNDERKEQCKTQVSRNVDVSTSPCAAGQTLSAGIFVF >scaffold_202442.1 pep chromosome:v.1.0:2:16508226:16513117:-1 gene:scaffold_202442.1 transcript:scaffold_202442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISMELPVFSTLRVPLLSRLALVPTFGIPFSSLGASARLNCTARKARRICVMCLVRDSAPMETCERAGEDGSEFIEVVVIGSRKESIIDSCLDSPFPSLPLRFWSISKDSSGDLVLQQRLSQQENASKTMNPIELIESRPRAFILVATAGYGSDQAEAINILSAVRTGGNLAVAVLLKPFSFEGRKRLEEVNELARKLQQHTNFCIDIDIEVLLQKDLVTLDEALRNANNAVSMAVNAASALISGMHVNFIDAMHKDLKELEGSEVKMILESYKEAKVGFGVGHNLKTSILRAIYDCPFFRPGLKDLNAIICVVASSAPLQKKDVKTILRTFRQTMEYSGDIIVSTVHEPDLEPKVRVTTFFILSSSEEDTSIKGNIFSGLVPFVLNIFTRYRSQLQKETNSGLRETPVSIKGSADSIDVKSSNQNVEEFEIDSEDLLEISENGDSEYSLKEGGPSRNSRLDLEDENMEDFGAIQREPIANWSMDPGYQIEQKWPADSGDSAVLSLGIVNLPVGVRPSKKLNSTLGVASQPSRKADSREESFFNPNGSTKDSSDNASTLLSEKYADFTKQRNLSARAASMLEAERDSSKRWSPILEMQYRGGLFKGRCQGGLPEGKGRLVLGDGSIYDGMWHNGKRSGLGTFYFKNGDVFQGTWREDLIHGKGWFYFHKGDRWFANFWKGKASGEGRFYSKSGEIFFGHFKEGWRHGQFLCIDVDGTRYSETWDDGVLIDRKQMDAGD >scaffold_202445.1 pep chromosome:v.1.0:2:16531893:16532806:1 gene:scaffold_202445.1 transcript:scaffold_202445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSSIHILFFVFITAGIAVSATDFTIKNNCPNTVWAGTLAGQGPKLGDGGFELTPGASRQLTAPAGWSGRFWARTGCNFDASGNGRCVTGDCGGLRCNGGGVPPVTLAEFTLVGDGGKDFYDVSLVDGYNVKLGIRPSGGSGDCKYAGCVSDLNAACPDVLKVMDQNNVVACKSACERFNTDQYCCRGANNKPETCPPTEYSRIFKNACPDAYSYAYDDETSTFTCTGANYEVTFCP >scaffold_202457.1 pep chromosome:v.1.0:2:16577444:16579479:1 gene:scaffold_202457.1 transcript:scaffold_202457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRKLCPNLDREDGLETVLEVPVPEEMFTKMGSNAAGRWRNMHALMKAHAVVTAVATDVKTPASSSSMSNVNMHLQSKSDNEFVALLKIVGSPLIPFHVPLEFCLSRPINDTSIEASTAKYIVQQYVAACGGPAALNAVKSMYAVGQVRMQGSEMVAGEDQGTGTPVRLGKGSFEVGGFVLWQKNPNLWFLELVVSGFKISAGSDGKVAWNQSSTQPSQAHRGPPRPLRRFFQGLDPRCTASLFLDAVCIGEQSVNGEDCFVLKLETPSDILKAQCSPNTEVIHHTVWGYFSQRTGLLVKFGDTKLVRVKSGRGKSDGVFWETSMESIIDDYIFVDAVNIAHGGQTVTTLYRYGGAVNHRRRIEEKWRIEEVDFNICGLCLESFLPPSDINNDH >scaffold_202458.1 pep chromosome:v.1.0:2:16580023:16580452:1 gene:scaffold_202458.1 transcript:scaffold_202458.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSL1] MHLFDLPTPLTGRVKSLKSGRGVRTDADSEKFYPFFFVAIKNNDEILADGRSELMPCRLSAIATIS >scaffold_202459.1 pep chromosome:v.1.0:2:16582079:16583475:1 gene:scaffold_202459.1 transcript:scaffold_202459.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein [Source:UniProtKB/TrEMBL;Acc:D7KSL2] MFRWKTSSQTEQEHNETALREAKMKELKALIGQLSGRSSLYCSDACLKRYLEARNWNVGKAKRMLEETLKWRSTFKPEEIQWNEVSGEGETGKVYKAGFHDRHGRTVLILRPGLQNTKSLENQMKHLVYLIENAILNLPEDQEQMSWLIDFTDWSMSTSVPVKSARETINILQNHYPERLAVAFLYNPPRIFEAFWKIVKYFIDAKTFVKVKFVYPKNPESVELMSTFFDEENLPTEFGGKALLQYNYEEFSKQMNQDDVKTANFWGLGHSNNNQLHVSNGFSGAEIAPEPIQTHP >scaffold_202461.1 pep chromosome:v.1.0:2:16588573:16591332:-1 gene:scaffold_202461.1 transcript:scaffold_202461.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavodoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7KSL5] MSTTSSVRARIAFVALLSATTFYCIHKYRRLKRLKNLSLNPSSTSKPSRGKIFFISQTGTAKALAQRLHELCASNDIAFDLVDPRSYEPEDLPKENLVLFIASTWDGGKPPKNGEFLVNWLGESAEDFRVGSLLLSDCKFAVFGVGSRAYGESYNAVAKELSSRMIGLGGLEMIPVGEGDVDDGELDRAFQDWCGGVIGVLKGGSAEETNGVSQQIGAVENDLEYYDSTDDEDEDNDADGGIVDLEDIAGKAPSKRNGVVKVTKVDGKKEMVTPVIRASLTKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMETTPSLACANKCVFCWRHHTNPVGKSWQWKMDEPSVIVKGALDLHTKMIKQMKGVPGVTPEKLQEGLNPRHCALSLVGEPIMYPEINALVDELHGRRISTFLVTNAQFPEKILMMKPITQLYVSVDAATKESLKAIDRPLFADFWERFIDSLKALQEKQQRTVYRLTLVKGWNTEELDAYFNLFSIGKPDFIEIKGVTYCGSSATSKLTMENVPWHADVKAFSEALSLKSNGEYEVACEHAHSCCVLLGRTEKFKVDGKWFTWIDYEKFHDLVASGESFTSTDYMAQTPSWAVYGAQEGGFDPEQLRYKKERHHHPKPQAVLA >scaffold_202462.1 pep chromosome:v.1.0:2:16591680:16596566:1 gene:scaffold_202462.1 transcript:scaffold_202462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWLISTNPTFSTAFPSSCFTVVKPNFILLSSEFDSYTPQCLFNSLTTTMRLSKRQLSLPFSLCVSTFSPRFRFHHFPGKFPAPRREFLHTTGTSQLCFKSSMEDQKPTALSENALQFHTVGSRDGCEVPHKWSSPEGGKKIDISNQIFCNRSLNMKNIIAVGFDMDYTLAQYKSETFESLAYEGTVRKLVYDLGYPNELLEWTFDWNYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFRELSKEDKVDIYGSSLVRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDNNPEKVPKDVDYARMYKDVRAAVDLCHRDGTLKQMVAKEPNRYINEDTTIVPLIKMIRDSGRSTFLVTNSLWDYTNIVMNFLCGGRTVHGPHTCNFDWLQYFDVVITGSAKPGFFHEESRANLFEVEPQSGMLINTDNGTPMAQVGDPSPKFLLKSKDKGCRVFQGGNVGHLHSLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEKEVELLWELRNMRKELILMRNERDSVEDKIHHLNWSLKFEDINENDKQEMLSALKDLESKRDQVRLSHQQAQRECHQKFHKVWGQLMKTGYQGSRFAHQVERFACLYTSQVSNLRLYSPDKYYRPSEDFMSHEFHLLPL >scaffold_202463.1 pep chromosome:v.1.0:2:16597458:16600655:-1 gene:scaffold_202463.1 transcript:scaffold_202463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRDDNEEGRNDLRRPFIHTGSWYRMGSRQSSMMGSSQVIRDSSISVLACVLIVALGPIQFGFTCGYSSPTQAAITKDLGLTVSEYSVFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLCISFAKDTSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNMRGGLGSVNQLSVTIGIMLAYLLGLFVPWRILAVLGILPCTVLIPGLFFIPESPRWLAKMGMTDDFETSLQVLRGFETDITVEVNEIKRSVASSTKRNTVRFEDLKRRRYYFPLTVGIGLLVLQQLGGINGVLFYSSTIFESAGVTSSNAATFGVGAIQVVATAISTWLVDKAGRRLLLTISSVGMTISLVIVAAAFYLKGFVSPDSDMYNWLSILSVVGVVAMVVSFSLGMGPIPWLIMSEILPVNIKGLAGSIATLANWFFSWLITMTANLLLAWSSGGTFTLYGLVCAFTVVFVTLWVPETKGRTLEELQALFR >scaffold_202465.1 pep chromosome:v.1.0:2:16614058:16615028:1 gene:scaffold_202465.1 transcript:scaffold_202465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRSHEMIERRREDNGNNNGGGVISNIISTNDDNCNGNNNNNNTRVSCNSQTLDHHQSKSPSSFSISAAAKPTVRYRECLKNHAASVGGSVHDGCGEFMPSGEEGTIEALRCAACDCHRNFHRKEMDGVGSSDLISHHRHHHHHHNQYGGGGRRPPPPNMMLNPLMLPPPPNYQPIHHHKYGMSPPGGGGMVTPMSVAYGGGGGGGAESSSEDLNLYGQSSGEGAGAAAGQMAFSMSSSKKRFRTKFTTEQKERMMEFAEKLGWRMNKQDEEELKRFCGEIGVKRQVFKVWMHNNKNNAKKPPTPTTL >scaffold_202467.1 pep chromosome:v.1.0:2:16620833:16622429:1 gene:scaffold_202467.1 transcript:scaffold_202467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFRFRIAQPSKPEKRSKVSPSLSKSRSNVAALAASSPASQLPSSGAATPTRLVKQTNQQSASPSKKLDSLRIEEQKVTTKEKPPGETKNVAGENINPVKEKPPIARLEEHLERKETEAVQEQRKKTEAERLVMQENKKVLPEGNGEKSASDIGQQKSKEIEKLVLQERKKVLHEGSGEKLETDQGQQKSKETEKLASQETKRPLQVVGREDTTRSKTTRHIAAASETTRGPRDLPEKKTETQNRTEIHTDDNHQKPKGALTSNLGNPRVTTGQGSSSSMSRKIKEDIRDGISKLTWGKGNGDEKSVNVYTLTGENKGATMGIGSEKDKKDGEVHIRRGYKSNPDESPNTTTMETENPKDDEAEEEARLKAYINGNTQGINNSIVVESSISENDPGVHMSLKLEISKKEVINPAENVEEEKKPETVTATKNEPRVRRRCLRGLLAESSGSDPDNPLKPRRHGCRFTCKDKDIEKTSKYM >scaffold_202468.1 pep chromosome:v.1.0:2:16622636:16623877:1 gene:scaffold_202468.1 transcript:scaffold_202468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIFVIQQIKMSSEVLVIGGTGYIGKFIVEGSAKSGHQTFALVREASLSDPIKAISQVGGIPYTYVTNNCFDVLMTNLPYTCSVAQCESRLTSPPRDKATIYGDGNTKAILNKEEDIAAYTMRAIDDPRTLNKTLYTNPPKNIVSHNDIVALWESKIGKTLKKTYVSEEQLLKKIPESPHPLDLLLALNHAIFLKGDQTYFTIEPSFGVEASQLYPDIKYTSVDEYLSQFV >scaffold_202475.1 pep chromosome:v.1.0:2:16643102:16643842:1 gene:scaffold_202475.1 transcript:scaffold_202475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVLHLGWEKAAALKQQLDASTSKVSSLEDRNSHLDSSACELQAIQDVTTASVHEDLYPRLEAFSDNEMQSPSEKTIGKTSMEKLAALPKVEMVEVEKLHVEMGFEWIQRQSRLKEIEGKLSEMKKLEAENQELGLLLGESGKQMEDLQRQLNKAQVRTRD >scaffold_202477.1 pep chromosome:v.1.0:2:16648960:16649523:1 gene:scaffold_202477.1 transcript:scaffold_202477.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L31 [Source:UniProtKB/TrEMBL;Acc:D7KSN1] MAVSLPNSFLQINPCVPSLELRKPVMAAVKGGKQSARRSSNTVVQITCRKKDLHPEFHEDAKVYCNGELVMTTGGTKKEYVVDVWSGNHPFYLGNRSALMVDADQVEKFRKRFAGLSEIMEIPVLKGEIVMPTKKSKGPGKGKKK >scaffold_202482.1 pep chromosome:v.1.0:2:16671183:16672276:1 gene:scaffold_202482.1 transcript:scaffold_202482.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KSN6] MRTLVDLDVQTFMSPVISEILRSGLTIDSSLRRRTHLVQSFSVVFLYWFYVFS >scaffold_202483.1 pep chromosome:v.1.0:2:16672383:16672945:1 gene:scaffold_202483.1 transcript:scaffold_202483.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7KSN7] MNNKTEMGSSTSGNCSSVSTTGLANSGSESDLRQRDLIDERKRKRKQSNRESARRSRMRKQKHLDDLTAQVTHLRKENAQIVAGIAVTTQHYVTIETENDILRAQVLELNHRLQSLNEIVDFVESSSSGFGMETGQGMIDGGFYDGVMNPMNLGFYNQPIMASASTAGDVFNC >scaffold_202484.1 pep chromosome:v.1.0:2:16678928:16680955:1 gene:scaffold_202484.1 transcript:scaffold_202484.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7KSN9] MGSVCCVAVKDRKVPPSSGPASASVHRNSACSPQWSFRRDNRRRVADEIEGSPYYSPYVASRGISMDKMSLGSERGTLSEGGTPPDGHLGTPASHKSATPEMSTNSMVQPSSGSSLASSDLIEVKNLIDSPGNVPSALPKPLFSTPSLPNPVGDLSSAHTRLLPPKSTPSRRARRSPGHQLCRQVSDSQILGLKSPNNNYSISEGRSSFVLSTCSNDFATGSQYASSEGGWSMNTFSELVAYSQRERWSFDSEHLGSGRRKLSGGSSRFSFSPSVVDQQICGACSKLLTERSSIANFELPIAAVLACGHVYHAECLETMTTEIEKYDPACPICTIGEKRVAKITRKALKAEAEAKAKQYKRCKNRVVDSYGESECDEFVFQKMEKREGKALKLEASCSSKSSSNKSFLKWHFASISSKWNKPSSKDSALKKGFWSRHRNNQSSSSIEGLNQTSQL >scaffold_202487.1 pep chromosome:v.1.0:2:16689655:16691300:1 gene:scaffold_202487.1 transcript:scaffold_202487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLEALKKEFKETEDDLKYLTTTRVERIGEIVKPLDKKRFIVKGNDGGRYVVNCCNKVDQSKLVSGTRVTLEKKSLTIMRILPPMVNPLVYNMVYRGDVRYSDLGGLSKQIRDLRDYIELPLTNPEVFQRVGVKLPKGVLLYGPPGTGKTLLARAIASNIDSTFMTVVSSALLSKYLGDSSLLVREMFRYARDHQPCIIFMDEIDAIGRRRGSSEGEVKTSECDRVLIELLSQLDGFNELDKVKVIMATNRPDVLDPALLRPGRIDKKIEIPLPNGDSRMRILKIHASGITKHGDIDYDKVVKLSEGFNGADMRNICTEAGMLAIRAERDYVVPNDFINAVTKLGEAKKLESSAQYNADFRKE >scaffold_202493.1 pep chromosome:v.1.0:2:16724129:16724504:-1 gene:scaffold_202493.1 transcript:scaffold_202493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADDYLSAHYLKGIKHVFFIYVSYFQVVQQKTAACLCLTTVKNEDKLEFSKFLEAIKANFNDKYKEYRKKWRGGIMGSY >scaffold_202497.1 pep chromosome:v.1.0:2:16740472:16741224:1 gene:scaffold_202497.1 transcript:scaffold_202497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGGKRKRGRGGGGRAPKVSPNRPVANRPTASGTSNRRPSTLPSQYTFTPANPEALETQQSPVNPIALESQPPTHRDYPPPTQLFQSGDDSPRGSGSHQFRESGSTQVRGSGSVQGRGSVGSIHRLASRSNQPPAPVQPPASTQPAASNRQIPSRQQRPSPQPRASVSHHSSQAQNSHEESEDEEAEGESEEDVLRDSTLPEDVLADLRATLLIPGREKYTTVISPNLEPKTTW >scaffold_202500.1 pep chromosome:v.1.0:2:16753524:16753806:-1 gene:scaffold_202500.1 transcript:scaffold_202500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KSQ5] MANTRLGKGEVQGNFLSDVKQVQFCWVLSSDLSTWSWMLLLIWILEQRMLRK >scaffold_202501.1 pep chromosome:v.1.0:2:16766728:16767965:1 gene:scaffold_202501.1 transcript:scaffold_202501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLPPTRGSSSQAKGNGIINSYGFGDCAITTRASCSSAFNYSFTEKATFTIAALIKLKQDGCFEIKNLGKFSIRMKEEEIGHREVVILKNSCLIQI >scaffold_202506.1 pep chromosome:v.1.0:2:16798999:16799504:-1 gene:scaffold_202506.1 transcript:scaffold_202506.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7KSR0] MAGGLGKCSKIRHIVRLRQMLRRWRDQARMSSSFSRRVPSDVPSGHVAIYVGSSCRRFVVRATYLNHPILRNLLVQAEEEFGFVNQGPLVIPCEESVFEESIRFISRSDSTRSRRFTCPDDFQKNRQVVGIRSKIDLWIESRPLLHGVTEKAVW >scaffold_202509.1 pep chromosome:v.1.0:2:16813778:16815465:-1 gene:scaffold_202509.1 transcript:scaffold_202509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKATIQIFSDVNHLRYFALFFLLSCHVASGDEGTWELLLPNVGISAMHSQLLHNDRVIMYDRTNFGPSNISLPNGACRSSPGDAVSNIDCTAHSVEYDVALNRIRPLTVQSNTWCSSGGVTPDGTLQQTGGDKDGERKVRLFAPCDDNICDWTEVDNGLAARRWYATNHVLPDGRQIIIGGRGQFNFEFFPKTNAPNLYSLPFLSETNDPDENNLYPYVFLNTDGNLFIFANNRAILLDYSRNTVVKTYPEIPGGDPRSYPSTGSAVLLPIKNLVLEVLVCGGAPKGSYDLSQRRNTFVKALDTCARININDVNPQWIVEKMPRARVMGDMMLLPDGNVLLINGGGSGTAAWELGREPVFNPDIYHPDKPVGLRFEAQNPSKIPRMYHSTATLLRDGRVLVGGSNPHAFYNFTGVLFPTELRLEAFSPSYLDPKYSSIRPSIVDPRSQSTINYGRILRLRYTVTGRVKSPVKVTMLFPSFTTHSFSMHQRLLVLDHVISFRLGISRIYEVRVRTPSSPILAPPGYYMVFVVNQDIPSEGLWVRLQ >scaffold_202511.1 pep chromosome:v.1.0:2:16821202:16824667:-1 gene:scaffold_202511.1 transcript:scaffold_202511.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KSR4] MAATVIFFLHFTAVFFSRFHHTSAVSSEILALTSFKLSLHDPLGALESWNQSSPSAPCDWHGVSCFSGRVRELRLPRLRLTGHLSPRLGELTQLRKLSLHTNDINGAVPSSLSRCVFLRALYLHYNSFSGDFPPEILNLRNLQVLNVAHNSLTGNISDVTVSKSLRYVDLSSNALSSEIPANFSADSSLQLINLSFNRFSGEIPATLGQLQDLEYLWLDSNQLQGTLPSALANCSSLIHFSVTGNSLTGLIPATFGKIRSLQVISLSENSLTGTVPASLVCGSSGYNSSMRIIQLGVNNFTRIAKPSSNAACVNPNLEILDIHENRINGDFPAWLTDLTSLVVLDISGNGFSGGFPDKVGNFAALQELRVANNSLVGEIPTSIGDCRSLRVVDFEGNRFSGQIPGFLSQLGSLTTISLGRNGFSGRIPSDLLSLHGLETLNLNENHLTGTIPSEITKLANLSILNLSFNRFSGEIPSNVGDLKSVSVLNISGCGLTGRIPVSVGGLMKLQVLDLSKQRISGELPVELFGLPDLQVVALGNNALDGVVPEGFSSLVSLRFLNLSSNLFSGHIPKNYGFLKSLQVLSLSHNRISGSIPPEIGNCTSLEVLELSSNRLKGHIPVYVSKLSRLRKLDLGHNSFTGSIPDQISKDSSLESLLLNSNSLSGRIPESFSRLTNLTSLDLSSNRLNSTIPSSLSRLHSLNYFNLSRNSLEGQIPEVLAARFTNPSVFVNNPRLCGKPLGIECPNVRRRRRRKLILLVTLAVAGALLLLLCCCGYVFSLWRWRHKLRLGLSRDKKGTPSRTSRASSGGTRGEDNNGGPKLVMFNNKITLAETLEATRQFDEENVLSRGRYGLVFKATFRDGMVLSVRRLMDGASITDATFRNQAEALGRVKHKNITVLRGYYCGPPDLRLLVYDYMPNGNLSTLLQEASHQDGHVLNWPMRHLIALGIARGLSFLHSLTIIHGDLKPQNVLFDADFEAHLSEFGLDRLTALTPAEEPSTSSTPVGSLGYIAPEAALTGEPSKESDVYSFGIVLLEILTGKKAVMFTEDEDIVKWVKRQLQKGQIVELLEPGLLELDPESSEWEEFLLGIKVGLLCTGGDVVDRPSMADVVFMLEGCRVGPAISLSADPTSPTSPAATAAS >scaffold_202514.1 pep chromosome:v.1.0:2:16834970:16837004:-1 gene:scaffold_202514.1 transcript:scaffold_202514.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7KT57] MGEKSKSRGWCGWFIAIIVLASVVLAVVYTVKLRMKKSGDDGGGGPVPGPPGAIDKKYADALKLALQFFDIQKSGKLANNKISWRGDSGLKDGSEANLDLSKGLYDAGDHIKFGFPMAFTATVLSWSILEYGDQMNVVNQLDPAKDSLRWTTDYLIKAHPSDNVLYIQVGDPKVDHPCWERPEDMKEKRPLTKIDADTPGTEVAAETAAAMASASLVFKDSDPQYSATLLKHAKQLFDFAETKRGSYSVNIPEVQKFYNSTGYGDELLWAASWLYHATEDKTYLDYVSNHGKEFASFGNPTWFSWDNKLAGTQVLLSRLLFFKKDLSGSKGLGNYRNTAKAVMCGLLPKSPTATASRTNGGLIWVSEWNSMQQSVSSAFLASLFSDYMLTSRIHKISCDGKIFKATELRDFAKSQADYMLGKNPLGTSFVVGYGDKYPQFVHHRGASIPADATTGCLDGFKWFNSTKPNPNIAYGALVGGPFFNETFTDSRENPMQNEPTTYNNALLVGLLSSLVTTSSALQSLK >scaffold_202518.1 pep chromosome:v.1.0:2:16853811:16854137:1 gene:scaffold_202518.1 transcript:scaffold_202518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFHGLRKIFMRLIDLTLPSSSRRQKNFVRTNDEERYEPPKISCSSSYYSSHVHYSEAIADCIEFFNKSSAMSCEDHEARLVHDHDRDCFYV >scaffold_202521.1 pep chromosome:v.1.0:2:16865677:16866216:-1 gene:scaffold_202521.1 transcript:scaffold_202521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKALIASLLISLLVLQLVQADVFENSQKKKGYAKKIDCGSACVARCRLSRRPRLCHRACGTCCYRCNCVPPGTYGNYDKCQCYATLTTHGGRRKCP >scaffold_202523.1 pep chromosome:v.1.0:2:16873526:16873836:-1 gene:scaffold_202523.1 transcript:scaffold_202523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSLPPEIILKILSFLPGKTLQQLRLVSKQFNSLISEPYLLRLHHRHALNSFSILTTFISYQRSLTIDLKLLLFTRSVIGLITFNTF >scaffold_202527.1 pep chromosome:v.1.0:2:16885110:16886762:1 gene:scaffold_202527.1 transcript:scaffold_202527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLPLIFLIFSNLFVSGVRSTSFIMVNKCEYTVWPGLLSNAGVPPLPTTGFVLQKGEERTINAPTSWGGRFWGRTQCSADTDGKFTCLTGDCGSGTIECSGSGAAPPATLAEFTLDGSNGLDFYDVSLVDGYNVPMLVAPQGGSGLNCSSTGCVVDLNGSCPSELKVTSLDGGGKLSMGCKSACEAFRTPEYCCSGAYGTPDTCKPSSYSLMFKTACPRAYSYAYDDQSSTFTCAKSPNYVITFCPTPNTSQKSSQDQSSDPKQTTPPGTSSTTPAGDSSATWSPVDTSMIYEGALDQSKGTPSTCHLSLCGITVTLALAFCRMWRLF >scaffold_202530.1 pep chromosome:v.1.0:2:16895995:16896559:1 gene:scaffold_202530.1 transcript:scaffold_202530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIVSLTISHANLSGIIPKSFHSNLTFIDLSDNLLKGSIHTSITLFSNLKSLNLSKNSISGDIPDSIDDLISLEYLSLSFKKVSGPIPDSISSIQELTHLDLSGNQLNGTVPRFISKVKYLTHLNLANNAFHGVLPVMQASSKTSKCSKSEVFFNASFTMEMFCFVKNEEEEL >scaffold_202531.1 pep chromosome:v.1.0:2:16900614:16900988:1 gene:scaffold_202531.1 transcript:scaffold_202531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFASIITLIVAALVLFAAFEAPTTVEAQKLCEKPSGTWSGVCGNSGACKNQCINLEGARHGSCNYVFPAHKCICYFPC >scaffold_202533.1 pep chromosome:v.1.0:2:16908013:16909478:1 gene:scaffold_202533.1 transcript:scaffold_202533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVAKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFIDHPGAVPITTNQGEELKKLIGSPIYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKKNKNRCVFL >scaffold_202534.1 pep chromosome:v.1.0:2:16910115:16916224:-1 gene:scaffold_202534.1 transcript:scaffold_202534.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 35 [Source:UniProtKB/TrEMBL;Acc:D7KT77] MRTLAGVEDEDKWLAEGIAGIQHNAFFMHRALDANNLREVLKYSALMLSELRTSKLSPQKYYDLYMRAFDQLRQLEIFFKDESRHGLPVVDLYELVQHAGNILPRMYLLCTVGSVYIKSKQAPSKDVLKDLVEMCRGVQHPIRGLFLRSYLAQVSRDKLPEIGSDYEGDANTVMDAVEFVLQNFTEMNKLWVRIQHQGPGTVREKQEKERNELRDLVGKNLHVLGQIEGVDLEMYKETVLPRVLEQVVNCKDKLAQYYLMECIIQVFPDEYHLQTLETLLAACTQLMPTVDTKIVLTQLMDRLSNYAASSPDVLHEFLQVEAFAKLSNAIGKVIDTQLEMPIVGAMTLFVSLLTFTLRVHPDRLDYVDQVLGACVVKLSSVPKLEDARAMKQVVALLSAPLEKYSDIVTALTLSNYPRVMDHLDDGTNKVMAMLIIQSIMKTDSCISTADKVEVLFELIKGLIKDLDETNAEELDEEDFQEEQNSVARLIHMLDNEEPEEMLKIICVVRRHLMTGGPRRLPFTVPPLVFSAVRLVRQLESQGGDIAGEDVPATPRKIFQILNQTIEVLSSVPCPELALRLYLQCAEAASDCDLEPVAYEFFTQAFILYEEEIADSKEQVTAIHLIVGTLQRINVFGIENRDTLTHKATGYSARLLKKPDQCRAVYACSHLFWVDDPDGIKDGERVLLCLRRALRIANAAQQMASATRGSSGPVTLFVEILNKYIYFFEKGNPHITPSDIQSLIELINNEMQSDNGNTTIHSDPFFTSTLRYIRFIKQKGGLMGEKYDPIKL >scaffold_202547.1 pep chromosome:v.1.0:2:16958231:16959037:-1 gene:scaffold_202547.1 transcript:scaffold_202547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYPRPFSITPWFPLPQFSYRPVFDLAHLPELRRLALDSNLSSFMVFQIDYYFSDENLAKDNYLRSQMDNQGWVNIFIIAEFPRIKSMTNDIEFILRSMRSSATVEIQNHKLRKRYGWQRWIQ >scaffold_202551.1 pep chromosome:v.1.0:2:16970727:16972283:-1 gene:scaffold_202551.1 transcript:scaffold_202551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTILLFLVVFVTETRVQAQLVPPVKQDGFVYPPGHRFDPDTILIEAYFDPVCPDSRDSWPPLKQALRHYGSRVAFLLHLLPLPYHDNAYVTSRALHIVNTVNANATFSLLEGFFKHQPLFYNAQTNLLTRAAVVEKIVELGTVTLGKSYQSVLKSGFSDKKSDRATRVSFKYSGSRGVYGTPTFYVNGFVLSDAASPSNFGGWKKIIDPLVQAHKVEGNFIPYLRRS >scaffold_202559.1 pep chromosome:v.1.0:2:16986696:16988067:-1 gene:scaffold_202559.1 transcript:scaffold_202559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVANFLAKPIATVVPRPSSAVASTSSFVFFNHKTNSLFRRENLPKRLFSAVKVKAGAASPGKVGTPPAKDEKVQKIHSGEEFDEALKNAKSKLVVAEFATSKSDQSNKIYPFMVELSRTCNDVVFLLVMGDESDKTRELCRREKIEKVPHFSFYKSMEKIHEEEGIEPDQLMGDVLYYGDNHSAVVQLHGRADVEKLIDENRTGGKLIVLDVGLKHCGPCVKVYPTVLKLSRSMSETVVFARMNGDENDSCMEFLKDMNVIEVPTFLFIRDGDICGRYVGSGKGELIGEILRYSGVRVTY >scaffold_202560.1 pep chromosome:v.1.0:2:16989542:16990664:-1 gene:scaffold_202560.1 transcript:scaffold_202560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KT96] MDSVALYCTAGLIAGALYWFICVLGPAERKGKRALDLSGGSINAENVKDNYNQYWSFFRRPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPHIPGKSHKDATRIHEEMAVDLINVKPGQKILDAGCGVGGPMRAIAAHSKAQVTGITINEYQVQRAKLHNKKAGLDSLCNVVCGNFLKMPFDENTFDGAYSIEATCHAPKLEEVYSEIFRVMKPGSLFVSYEWVTTEKYRDEDEEHKDVIQGIERGDALPGLRSYIDIAATAKKVGFEVVKEKDLAKPPSKPWWNRLKMGRLAYWRNHVVVVILSAIGVAPKGTVDVHKMLFKTADYLTRGGETGIFSPMHMILCRKPEKASE >scaffold_202561.1 pep chromosome:v.1.0:2:16996096:16996652:-1 gene:scaffold_202561.1 transcript:scaffold_202561.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin [Source:UniProtKB/TrEMBL;Acc:D7KT97] MAAITSATVTIPSFTGLKLAVSSKPTTVSTTIRSSSATRTAPKLSVKSSLKDIGVIAVATAASIVLAGNAMAMEVLLGSDDGSLVFVPSEFTVAKGEKIVFKNNAGFPHNVVFDEDEIPSGVDTSKISMDETELLNGAGESYEVTLTEPGSYSFYCAPHQGAGMVGKLTVK >scaffold_202566.1 pep chromosome:v.1.0:2:17018213:17019026:-1 gene:scaffold_202566.1 transcript:scaffold_202566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIYLMGVAHYGELDAELSIWNSSPGKAQAEADPLGNIPKKEGEVQQESSNGESLAPSVVSSNPERQGSSRGQEGSGGKDQGEDGEDCHDDDLSDADADEDESDLNMAWKMLDIAMAITDKQPTDTMEKFDILCSLAEISLEREGRKMRIG >scaffold_202567.1 pep chromosome:v.1.0:2:17021839:17024350:-1 gene:scaffold_202567.1 transcript:scaffold_202567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDSEFNSDLLLAHKLPETRYTYNERDVAIYALGIGACGQDAVDSDELKYVYHRNGQDLIQVLPTFASLFTLGSLTEGLDLPGFKYDPSLLLHGQQYIEIYRPLPSKASLVNKVSLAGLQDKGKAAILELETRSYEEGSGELLCMNRTTVFLRGAGGFSNSSQPFSYKNYPSNQGLAVKIPQRQPLTVCEERTQPSQALLYRLSGDYNPLHSDPEFAKLAGFPRPILHGLCTLGFAIKAIIKCVCKGDPTAVKTISGRFLTTVFPGETLITEMWLEGLRVIYQTKVRERNKTVLAGYVDIRGLSSSL >scaffold_202568.1 pep chromosome:v.1.0:2:17025097:17028047:-1 gene:scaffold_202568.1 transcript:scaffold_202568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANASFAAALLIGLSLLFAVVAEDPYRFFEWNITYGDIYPLGVRQQGILINGQFPGPDIHSVTNDNLIINVYNSLDEPFLLSWNGIQQRRNSFVDGVYGTTCPIPPGKNYTYILQMKDQIGSFYYFPSLGFHKAAGGFGGIRILSRPRIPVPFPDPAGDTTVLIGDWYKSNHTDLRAQLDSGKKLPLPDGILINGRSSGATLNVEQGKTYRLRISNVGLQDSLNFRIQDHKMKVIEVEGTHTLQTTFSSLDVHVGQSYSVLVTADQTPRDYYVVVSSRFTSNVLTTTGILRYSNSAGAVSGPIPGGPTIQIDWSLNQARAIRTNLTASGPRPNPQGSYHYGMINTTRTIRLASSAGQVDGKQRYAVNSVSFKPADTPLKIADYFKIDGVFRSGSIQYQPTGGGIYLDTSVMQVDYRTFVEIVFENSEDIVQSWHLDGYSFWVVGMDGGQWSPDSRNVYNLRDAVARCTVQVYPSSWTAILIALDNVGMWNLRSEFWARQYLGQQLYLRVYTPSTSLRDEYPIPKNALLCGRASGRRTRPL >scaffold_202570.1 pep chromosome:v.1.0:2:17033462:17034104:-1 gene:scaffold_202570.1 transcript:scaffold_202570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIKNVPEQEVPKVATEESSSAEVTDRGLFDFLGKKKDETKPEETTIDSEFEQKVHISEPEPAPEVKHESLLEKLHRSDSSSSSSSEEEGEDGEKRKKKKEKKKSVAEVEVKTEEEKKGFMEKLKEKAAAPVEEAHPAEKKGILEKIKEKLPGYHPKTTVKEEKKDKE >scaffold_202571.1 pep chromosome:v.1.0:2:17036711:17037556:1 gene:scaffold_202571.1 transcript:scaffold_202571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSNLAEQLREYQIRSKHDWASVSFFSSTSNFSSSRVDVVVFVIWELVILAFLVFSAVSFYFRRLQLAFILVCVTLLLFVCMKITKQVRQARKKKRRMLLPLSM >scaffold_202573.1 pep chromosome:v.1.0:2:17042888:17043613:-1 gene:scaffold_202573.1 transcript:scaffold_202573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQINFEGLRELHDCVNYLLDHCPKTRESLSQQGQEKCTEQVSEASLRMLDICNVSKDVMTLVKHSLQDLQLTLRGSESSDVNEKIAAYNRYKNKLKKETLKCLNCLKNMKGSEGRVAMPIEQNLLFVAEVLKEVRRVVVTMVESLFSLGCIPWLEKRSSKGSLSSIFTIRSSYLLDDVWDETAVQSATTRLEAAEIAVEELEIELESIFRRLIQTRVSLLNILTN >scaffold_202578.1 pep chromosome:v.1.0:2:17063223:17065700:-1 gene:scaffold_202578.1 transcript:scaffold_202578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSRKISDVDRRLLLLLIIPSLSLLVLISFSSLSLDPFPTLAPLRNLIYTHPLTVTTETSDFTVDSNPSDVIPAEEMENQRRRKREELEKSKIAVCLVGGARRFELTGPSIMEKILRVYPNADLFLNSPLDQNSFKLRLLKDAPRLAWVRIFEPKPINETEPMVRVLTPMNSPNGIKGLLQYFNLVEGCITMIKAYQNENNFTYEWIVRTRVDGYWADPLDPEYFIPGQYLVPPGSSYGGLNDRFGVGDLNTSTVALSRLSLIPDLDSAGLTHLNSESAFKAQLTTHRVPYVTKPLPFCIMTDRTYDFPPARYGVPVAALSSHGPLNGAKCRPCTVACNGSCVAEVMGKLNKEWSWTEWENGAVELCDGHGEWEEGWEKIFDKTAGENLALARKRVGGLDSRRCVEEFENMRGMTVKWEAPASEQICMLGLRPN >scaffold_202597.1 pep chromosome:v.1.0:2:17150007:17151406:-1 gene:scaffold_202597.1 transcript:scaffold_202597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDAGGGETRRRRDFASTISECSHRASLMARVSSLGPSDAPCPMESSTAHGESIFWVEITRLSACASSEKMVRPLTHSLGSSRSSARLRALIHWFPATALYSDLRSETYLSFSHGSARFEAISSVHRIAVSELATSFSPPSSLAIFIHRCNRGLGFQILGFSMSGPFISYWTCLRIDRPKCPIARPRSVSLQSLIRSSILMLRHNTKTGQGHLLRLVSTSLQDLNEFHLSGLKKLQLPITHTLRIRIQLLLLIYDQFQNDPKPDFVEKILLLFDLKDLRRPSSSTMERFSAPPSSLVERTCPSLLAISKLYAPATTEANEPFPTSRTLLIVTNVNFPEVFAEVSLTHHDLVCGMLRCSLCLRASMDLSTKLKASRIYLSMFVVSLFLISLLYPTIRLMILYSLSPFEIE >scaffold_202598.1 pep chromosome:v.1.0:2:17156705:17156975:1 gene:scaffold_202598.1 transcript:scaffold_202598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSSAIVLCFDLALSAASFSFTVSHNYSIVGYAPKDLEFHEKLFNLFENWISNSKSFTRALRRSLLGLISSKTT >scaffold_202601.1 pep chromosome:v.1.0:2:17170855:17173093:1 gene:scaffold_202601.1 transcript:scaffold_202601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYEEKNRGIEGKVVAVTGGLGLVGSNICLELLRRGALQVRSLDCRTTSPWSDLLKESGIQCINGDVVSGEDVEEALEGVDCVIHLASYGGSGKEMVRTHRIEEVNVEGTRNVLETCMKKGITRLVYLSTHGVVFGGKEIESGDETLPYVASDQYVSSYDRTKSIAEQLVLENNGRPVENGRGSLLSTCAIRCPIVYGPAEEKYLDRIISDARLGLFLFKIGDASSKTDWIYVDNIVFALMLATTDLLNEHSKASGKAYFVSDDNPINFFEFLQPLLKNLDYDLPKLSLSVSLAVLLGTICEAIYTMLTPVLNQRWIPQPLILPPEVYKVGVTHYYSIRKAKEELGYEPTTQPKEAMSETFTYFKDKKRREVDGPSIYAWIFCVIGLPSIISVAWLPDIGPIPLFRAIALFIFRSMLVLRIASGIVVTTHVSEAVYALWLAKRVDPKNAKAWFWRTLLLATFSLRLLLKRAKEVKQSSTLREGLLTNSGSSIA >scaffold_202604.1 pep chromosome:v.1.0:2:17178808:17180310:-1 gene:scaffold_202604.1 transcript:scaffold_202604.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamoyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:D7KTU0] MAEKQKVCVTGAGGFIASWLVKFLLSRGYTVHGTVRDPCDEKNDHLRKLDNAAQNLKLFKADLFDYEGLFSAIDGCSGVFHIASPVPFEGVPLAEEELIKPALTGTKNVLKACTETKVQKVVVVSSIAAVVYNPKWPQDVAKDEGCWSDTQYLHSLEGYWPYYYLAKTLTEREALEWRKRNFAEVVTLCPSVTIGPRLQSTLNSSSLGLLKFIKGGIKSLLSDQLYLVDVRDVADALLLVYENREAKGRYICNSHSLHIDTLMEKLKNMYPKRNFPESFTEVKEKEVRPLSAEKLKNLGWKFRPLEETIDDSVVSFEVAGNLPKA >scaffold_202610.1 pep chromosome:v.1.0:2:17219359:17221275:1 gene:scaffold_202610.1 transcript:scaffold_202610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLELFITSSKPVVEILLITSVGFYMALDGVNLLGQDARKYLNNIVFYVFGPSLIGSRLADSVTYESLVKMWFMPVNVLLTFIIGSLLGWIVIVITKPPSHLRGLILGCCAAGNLGNMPLIIIPAVCKEKGGPFGDPESCQKYGMGYVALSMAMGSIYIWTYVYNLMRVLSNSPVETPPSVESNYDSYKVPLISSKEEEEDNQKAGRWEIVKRRLVSLSEKVNLKTIFAPSSIAAMIALVIGLITPLRKLIIGTEAPLRVLQDSVTLVGDGAVPAMTMIIGGNLLKGLRSSGMKMSSIIGVLVARYVLLPMSGVLIVRGAYKLDLVTSEPLYQFVLLLQYAVPPAMNLGTITQLFGTGESECSVIMLWTYSLASISLTVWPTFFMWLVA >scaffold_202613.1 pep chromosome:v.1.0:2:17230051:17230502:1 gene:scaffold_202613.1 transcript:scaffold_202613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSATAKVMHGRVLLPSHRERRTISTGSEILRLRETVPKRFRLMMVTTATAKYKGTKMREEKLSEMIEEKVKEATEVCEAEERSEECRVAWDEVEEVSQARADLRVKLKLLNQDPLESFCQENPETDECRIYED >scaffold_202615.1 pep chromosome:v.1.0:2:17237129:17241077:1 gene:scaffold_202615.1 transcript:scaffold_202615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGPQVAAPIPVHHPMRKKRELYSQPHWIVSSQLPREDDWHINKWKWDSQRFEAIELQGETLQLGGNNNNGGLSYKGEERGLDLNLPSGFNDLEDTPVDLTRPSKKVRSGSTGSGGGGGGGNYPKCQVDNCKEDLSIAKDYHRRHKVCEVHSKATKALVGKQMQRFCQQCSRFHLLSEFDEGKRSCRRRLDGHNRRRRKTLPDVITSQVVALENRDNTSNNANMDVMALLTALVCAQGKNEAITNGSPGVPQREQLLQILNKIKALPLPMDLASKLNNIGILARENPDQPSAMNPQNSMNGASSPSTMDLLAALSATLGSSAPEAIAFLSQGGFGNKENNDSTKLTSSDHGVTTNVEKRTLEFPSFGRGERTSSSKHSPSQDSDSRGQDTRSSLSLQLFTSSPEDESQPTVASSTKYYSSASSNPVEDRSPSSSPVVQELFPLHTSPGTRRSNNYKNTSPIPRTSCLPLELFGASNRGATANPNSNVSRHQSGYASSGSDYSPPSLNSDAQDRTGKIIFKLFEKDPSQLPGTLRTEIYRWLSSFPSEMESYIRPGCVILSVYVAMSASAWEQLEENLLQRVSSLVQDSDFWRNTRFLVNTGRQLASHKHGRIRLSKSWRTLNSPELITVSPLAVVAGEETALIVRGRNLTNDGIRLRCAHMGNYTSIEVTGTEHRRTKFDELNVSSFKVQSASPVSLGRCFIEVENGFRGDNFPLIIANATICKELNCLEDEFHPKDVIEEQTQNLDRPRSREEVICFLNELGWLFQRKWTSDIHGESDFSLPRFKFLLVCSVERDCCSLIRTVLDMMVERNLGKDGLMNKESLDMLAETQLLNRAVKRRNTKMAETLIHYSVNPSTKNFIFLPNIAGPGDITPLHLAASTSGSDDMIDVLTNDPQEIGLSCWNTLVDASGQTPFRYAAMRNNHSYNTLVTRKLADKRNGQISLNIENGIDQIGLSKRLSSELKRSCNTCASVALKYQKKVSSSRRLFPTPIIHSMLAVATVCVCVCVFMHAFPIVRQGSHFSWGGLDYGSI >scaffold_202620.1 pep chromosome:v.1.0:2:17268980:17274111:1 gene:scaffold_202620.1 transcript:scaffold_202620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KTV6] MELDQLKKSVEENPDDSSLQFELGLHLWDNGGDSEKAAEHFVLSAKLNPNNAAAFKYLGHYYSRVTLDLNRAAKCYQRAVLINPNDSDSGEALCDLFDRQGKEILEIAVCRDASEKSPKAFWAFCRLGYIQLHQKKWSEAVQSLQHAIRGYPTVSDLWEALGLAYQRLGMFTAAIKAYGRAIELDETKIFALVESANIFLMLGSYRKVTFLSGVELFEQALKISPQNISVLYGLASGLLSWSKECINLGAFGWAASLLEDARKAAKASSELASSMSCIWKLHGDIQLTYARCFPWSGGTENSEFTLKTFSDSILSWRSICYSAALSAKASYQRALHLAPWQANVYTDIAIACDLVSSLSDDSDTSSSWKLPEKMALGALLLECENSEFWVALGCMSDNSALKLHALIRALHLDVSLAVAWAFMGQIFRESDEMKFAKQAFDCARSIDPTLALPWAGSADTYARESTSDEAFESCLRAAQISPIFACIEQAVQRTPYYPESHNLHGLVCEARHNYHTAIASYRLALAAMSIYPDNLVKSHAGKVSINLIRSLSKAGRFKESVMECANLKSKGLLDAGGLQIYAFSLWKTGQNDSALSVIRDLADSAITSIQKMPKDFFQSSKISFIVSAIHSLDLSDRLQSIVASTRSYITSQEEIVAMHYLIALSKLLKTGAGDFLGFEKGIAHLRKAIHMYPHSNLIRNLLGYILLAGEGMKDACTASRCCIINVSDCANKEGLKSALEVLGGGSVACNVIGNTAPRFSFPTCHCQYLNAPVVVVELQRFLHQEPWNSDVRYLLILNLVQKAREQRFPRQLCSAIERLISVALSDETCSKECEYQKFQLLLCASEISLQKGNIAESIAHAGKASSLSLPRSYLFLGHLQLCRAYAAKGSTRNMQEEYRACLELKTDSNIGWICLKLIESQYDLEPDANLLEMSLQECSSQKKNSWKEWMGVYSLARGLDSNGKKDFSSAEEFLAQACSLLNSESCLLLCHGAVCMELARQSNDSQFLSLAVKSLSKVQASSLIPLPIVYTLLAQAHGSLGSKEKWEKNLRLEWFCWPPGLTAIVLIFSSFSPNFAHN >scaffold_202621.1 pep chromosome:v.1.0:2:17274587:17275107:-1 gene:scaffold_202621.1 transcript:scaffold_202621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTQRQLSSSFMKFLEDKNRDLEAVFAYMDANRDGRISAEELKKSFKTLGEQMSDEEAEAAVKLSDIDGDGMLDFHEFAQLIKGNDEFTEEEKKRKIMEAFRMYIADGEDCITPGSLKMMLLKLGESRTTDDCKVMIQAFDLNADGVLSFDEFALMMR >scaffold_202628.1 pep chromosome:v.1.0:2:17299981:17304850:-1 gene:scaffold_202628.1 transcript:scaffold_202628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKPSSSRGGDDEQPPLVGAKSNKKAVQIDDDDDGEYSIKTEEPRVEEEKVVITGKKKSIKKGTQQQEEDDDFAEVVPENAFVGKKKSKGKNGGGSVSFALLADKEEEDDNESNGDKDDEPVISFTGKKHASNKGKKGFAVSAFDALGGDKDEEKVDGDEEQVYPIIFSGKKKKSSKSSKKTTNKVALLDEEEGTDASTSRLGKNTMGDEESLEITFSGKKKGSSVLASVGDDSVAYETSQAKTPDTKSVEVIETGKSKKKKKKNKSGRTAEEEYDFDNVLEEFGETPAAGRPASSTPGEEKVQAQPGPVAPVENADEKEGEEETVKTAAAKKKKKKKEKEKEKKAAAAAATSSVEAKEGKQEESVTEPLQEKKKDAKGKAAEKKIPKHVKEMQEALARRQEAEERKKKEEEEKLRKEEEERRRQEELEAQAEEAKRKRKEKEKEKLLRKKQEGKLLTAKQKSEALKREAFKNQLLAAGGGLPVADNDGDATSSKRPIYANKKKSAHQKGIDTYVQREDEVEPKENKADEPDTLGEVGLTDTGKVDLIESANTDEKSEPADVAQENGIEEDDEWDAKSWDTVDLNLKGEFDDEEEEPQPVVKKELKDAVSKAHDSGMSKPRTAVVKAMSEVEHATPTKRAKKGKGLAPSEFIEEGGENLRSIICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTRELKADAKIKVPGLLVIDTPGHESFTNLRSRGSSLCDLAILVVDIMHELEPQTIESLSLLRMRNTEFIVALNKVDLLYGWKTCKNAPIVKAMKQQSKDVTNEFNLRLTRIITQFKEQGLNTELYYKNKEMGETFSIVPTSAKSGEGIPDLLLLLVQWAQKTMFEKLTYVDKVKCTVLEVKVIEGHGTTIDVVLVNGELHEGDQIVVCGLQGPIVTTIRALLTPHPMKELRVKGTYLHHKEIKAAQGIKITAQGLEHAIAGTSLHVVGPDDDIEAIKESAMEDMESVLSRIDNSGEGVYVQASTLGSLEALLEFLKSPAVNIPVSGIGIGPVHKKDIMKAGVMLEKKKEYATILAFDVKVTTEARELADEMGVKIFCADIIYRLFDQFKAYIENIKEEKMKESAGEAVFPCVLQILPNCVFNKRDPIILGVKVHDGILKIGTPICVPGREFIDIGRIASIENNHKPVDYAEKGEEVAIKIVASNREEQKMFGRHFDMEDELVSHISRRSIDILKADYMKEMSTEKWKLLVKLKRIFKIP >scaffold_202630.1 pep chromosome:v.1.0:2:17312102:17316582:1 gene:scaffold_202630.1 transcript:scaffold_202630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHGMKRKDLQALCKKHGIPANLKNTEMANRLVSVLEKETLTDTISLSEDSVEVLEDDIVVKRVRFSPENEVFEFTRSVKKCQRKNVRTLSKGNVQDLQESGIELRRSTRIVAKGNKTVAGSNVNESTARRGRWFDVTKGLKVPRPLKRIGSSGTSQEDCKVTELMALEQDGVKFEKIGRPPTPLISKTGDLLPVKPSKLLVDIYKEAKLVKNNVKEVLQGDPKFEKVSRRSKQLVNGIDVQTNTQDQRRSIRLKARAANPSMEGESSDGRPLCYARESKEEIKTTKQSKRSTVVNSREGELVKYKAKEPLIDCKVQTTEDYRRLKVQDASKVENVPRRSKRGCNDINVLMDKRPIKAVKRDDLGVKKAPKHSRQRVKSLSERLVDGQAQKGDKQPKRTFGNDREGKKLLQTSKHTASDKMLGQALSDSLKSCSVIDCERDGVGNVKKSNVNDEMQVRVRVSRSSLRHHSFKVPIEVERNLIDEKTDETLDSLVKSSKRVTRMTKRDRSAEPGKGIETASTQSNLTPKKGLDEYIQFDQEEGGGANVESRGSSKKSKTRNQECVKDMPQGMIENSPFFSETKAAESVVMTTENVLDSTLEKSVDSSQRTNIQELNSELMEGNSEEKLERDTVSMVESAVSEHSSIEAILENSAECWKEIHPSKDGEKGSVEKEAQGANLHGNCIEYKTEKNSAEEEMEITKVGCVNLTPEKLVDQYTQLEPEEAEGVNIEARGSFKKMKTVNQESVKDKPQGMTEEASPSTSETNATEHVMISEDVLNSTLKVSGDFSPVRNTQELDSEPLDEQHEEKHEQETVLMAAIEEKEETSSLSVLQVDCGIFTTPEKHLLLETDEGVKEDNITAKSHVVNDVLAAAVPQSLMGDSEPDEAEKYREIKDVERLGESNTSTHPERQIMFGNSVLDETKDYSAATCEVAFVLTSAERQLLVNSKPYSDGKQEQKEVDDSVVQENTIVDSSGSIASKVSYNHELNAGQETAGAEDVVGLDATQGTSKKSREHSPHVDTEEAETIMEAEKNISSSSFVALPAEGNNSETIGEISSYLEVAGTCSMVSVESGPSTDIQNQINDALEEWAITDNNEVDEVVEAKVTENIQKGFEGNLLLNSSGGGSNVSEETCILGETEDEDEAAVPIAIAVEKAISLTPDELTVQNHINEKAADEEMITRESTPIPEETMNESSENRKEYLNIDESATLSKRQVRREPTLIFRTQVKPTKHDMKENAPNSKSVHNLNVTAPRTSKRPPLQDLSKN >scaffold_202638.1 pep chromosome:v.1.0:2:17347030:17347381:-1 gene:scaffold_202638.1 transcript:scaffold_202638.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KTX4] MGEVFSDFANLNHRRIVPRSTPYCLLSLAAEQWPRDNVTLGSFFLLSSTLLWRVGDGLVSPSPFGSRHPGSSVVLCRRGSAARRMAAPIRFSGLEIRVRLSPV >scaffold_202639.1 pep chromosome:v.1.0:2:17347431:17347776:-1 gene:scaffold_202639.1 transcript:scaffold_202639.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KTX5] MPVKEGTIYNIFKRRGEGVDETKVPILFCEKRASSDYDLVHRVKGPPVIPAPRRSPWLMVLHPQSVALSVEDTRTESTGSCLGRSFCETEPVCLTGGVATR >scaffold_202641.1 pep chromosome:v.1.0:2:17354656:17355662:-1 gene:scaffold_202641.1 transcript:scaffold_202641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEDATVREPLDLIRLSLDERIYVKLRSDRELRGKLHAFDQHLNMILGDVEETITTVEIDDETYEEIVRTTKRTIEFLFVRGDGVILVSPPLRTAT >scaffold_202642.1 pep chromosome:v.1.0:2:17359162:17360411:1 gene:scaffold_202642.1 transcript:scaffold_202642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENCSQGGIVRGENAHGRFDSQVGSSMRDLRPNAMHQTQNHPNSRHDSGCDITMEARHNNVDRGKKSMSEEDELCLSCDGQNKSKNNSPWQRVKWMDKMVKLMITALSYIGEDSSTSEVGCGRKFAVLQKKGKWRSVSKVMDERGYRVSPQQCEDKFNDLNKRYKKLNEMLGRGTSCEVVENPALLDKIDYLNEKEKEEVRKIMSSKHLFYEEMCSYHNGNRLHLPHDPAVQRSLHLITLGSRDDHDNDEHGQHQNEDLDDEDDGEEHHLSHGDCRGVHDDRPLKRLRQSLSHEDPNKGYDVPLLPRSQADVSGGMSLDSEKGTGLQRQRIESKSLELEGRRLQIQAELMELERQQFRWEVFSKKRDQKLEKMRMENERMKLENERMTLELKRIELGAKL >scaffold_202643.1 pep chromosome:v.1.0:2:17369669:17372074:1 gene:scaffold_202643.1 transcript:scaffold_202643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLGGTPTTTAAATTATAPPQSNNNDSAATEAAAAAVGAFEVSEEMNDRGFGGNRWPRQETLALLKIRSDMGIAFRDASVKGPLWEEVSRKMAELGYIRNAKKCKEKFENVYKYHKRTKEGRTGKSEGKTYRFFDQLEALESQSTTSLHHPQPQSQPRPPQNNNNIFSTPPPVTTVMPTVANMSTLPSSSIPPYTQQINVPSFPNISGDFLSDNSTSSSSSYSTSSDMEIGGGTTTTRKKRKRKWKEFFERLMKQVVDKQEELQRKFLEAVEKREHERLVREESWRVQEIARINREHEILAQERSMSAAKDAAVMAFLQKLSEKQPNQPTAAQPQPQQVRPQMQLNNNNNQQQTPQPSPPPPPPPLPQAIQAVVPTLDTTKTDNGDQNMTPASASSSRWPKVEIEALIKLRTNLDSKYQENGPKGPLWEEISAGMRRLGFNRNSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYRERNKFHTNNVNIAAASSSASGLVKPDNSVPLMVQPEQQWPPAVTTATTTAAVAAAQPDQHPQPSDQNFDDEEGSDEEYDDEDEDEENEEEEGGEFELVPSNNNNNKTTNNL >scaffold_202644.1 pep chromosome:v.1.0:2:17377722:17379782:-1 gene:scaffold_202644.1 transcript:scaffold_202644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNSSGLLESSGGGVGGSGEEERDMKLEETGEGAGGGGNRWPRPETLALLRIRSEMDKAFRDSTLKAPLWEEISRKMMELGYKRSSKKCKEKFENVYKYHKRTKEGRTGKSEGKTYRFFEELEAFETLSSYQPEPESQPAKSSAAVTTAPATTSLIPWISSSNPSIEKISSPLKHHHQVSSQPITTNPTFLAKQPSSTTPFPFYSNNNTTTVSQPPISNDLMNNVSSLNLFSSSTSSSTASDEEEDHHQGKRSRKKRKYWKGLFTKLTKELMEKQEKMQKRFLETLENREKERITREEAWRVQEIARINREHEILIHERSNAAAKDAAIISFLNKISGGQPQQPQQHNHKPSQRKQYRSDHSITFESKEPRPVLLDTTMKMGNYDNNHSVSPSSSRWPKTEVEALIRIRKNLEANYQENGTKGPLWEEISAGMKRLGYNRSAKRCKEKWENINKYFKKVKESNKKRPLDSKTCPYFHQLEALYNERNKSGTMPLPLPLMVTPQRQLLLSQETQTESETDQRDKVGDKEDEEEEGESEEDEYDEEEEGEGDNETSEFEIVLNKTSSPMDINNNLFT >scaffold_202647.1 pep chromosome:v.1.0:2:17396827:17397991:1 gene:scaffold_202647.1 transcript:scaffold_202647.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KTY2] MESSPINRLPPDSLHQIFSSLPLRDIMVCRSVCKFFNQLLTSQCFIEIISTRPPLNLLALRPPHHHHSHRHSGNGHATNIRPYIHVYDPEQNQWFRFNLDFLPFRSPQPVASSSGLIYLWGDSIDLAESSKSLVACNPLTRQFKVLPQLGSAWSRHGTVLVDSVNRVMVLTELAALYYSGTVVANQWFKFSSNLPSKPRSPVLMSSSVFALCDVGSPWRSQWKLFSCKLTNLTITHTNWVCLEKHEWGDIFDIIKRPRLLRGNGDSKLLMIGGLKSTFSLNPACSTILILRLDLESLEWEEAGRMPLEMYRGFQESSKFKVFGGGDRVYFSAKRMGKLAMWDCWEGWRWIDGVPGYADGLCRGFVFDAKLTMMP >scaffold_202654.1 pep chromosome:v.1.0:2:17427504:17427842:-1 gene:scaffold_202654.1 transcript:scaffold_202654.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KTY8] MPSSSKLLVIIIFSFLALFIISHAQSLPGTPYGGPPPGSGYRACFLPPCRP >scaffold_202659.1 pep chromosome:v.1.0:2:17456968:17459035:1 gene:scaffold_202659.1 transcript:scaffold_202659.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KTZ4] MEVDCRRYYVRLLQSCSNRNRETLWRQTNGLFLKKGFISSIVIVANHLLQIYSRSGKMGIARNLFDEMPERNYFSWNTMIEGYMNSGDKGTSLRFFDMMPERDGYSWNVVISGFAKAGELSVARRLFDAMPEKDVVTLNSLLHGYILNGYSEEALRLFKELKFSADAITLTTVLKACAELEALKRGKQIHAQILIGGVECDSKMNSSLVNVYAKCGDLRMASYMLEQIGEPDDHSLSTLISGYANCGRVNESRRLFDRKSNRCVILWNSMISGYIANNMKFEALVLFNEMRNETWEDSRTLAAVINACIGLGFLETGKQMHCHACKFGLVDDIVVASTLLDMYSKCGSPMEACKLFSEVESYDTILLNSMIKVYFSCGRIDDAKRVFERIENKSLISWNSMTNGFSQNGCPVETLEYFSQMHKLDLPTDEVSLSSVISACASISSLGLGEQVFARATIVGLDSDQIVSSSLIDLYCKCGSVENGRRVFDTMVKSDEVPWNSMISGYATNGHGFEAIDLFKKMSIAGIRPTQITFMVVLTACNYCGLVEEGRLLFEAMKLDHGFVPDKEHFSCMVDLLARAGYVEEAIDLVEEMPFDADASMWSSVLRGCVANGYKAMGKKVAEKIIELEPENSVAYVQLSAIFATSGDWESSALVRKLMRENNVSKNPGSSWADC >scaffold_202660.1 pep chromosome:v.1.0:2:17459260:17461141:-1 gene:scaffold_202660.1 transcript:scaffold_202660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KTZ5] MVKETVYYDVLGVTPSASEEEIRKAYYIKARQVHPDKNQGDPLAAEKFQVLGEAYQVLSDPVHREAYDRTGKFSAPKETMVDPTAVFALLFGSELFKDYIGHLAVASMASTQMASEIETPDHFQDKLKAVQKEREENLARFLKDLLSQYVHGDKEGFISRAKSEAKRLSDAAFGADMLHTIGYVYTRQAAQELGKRALYLGVPFVAEWVRNKGHSWKSQISAAKGAFQLLQLQEESNRRLKKDGASPANELESHIQTNKETLMGSLWKLNVVDIEVTLLHVCQMVLRENNLRKEELKSRAMALKILGKIFQQEKQSRNGTTSRKEDEDSDDDDSSSDDDSSQPLSYRTPLLTQGIGRLFRCLCNPAFDVDDDEIVYKGK >scaffold_202664.1 pep chromosome:v.1.0:2:17473729:17473919:-1 gene:scaffold_202664.1 transcript:scaffold_202664.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCU5] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_202667.1 pep chromosome:v.1.0:2:17483006:17484004:-1 gene:scaffold_202667.1 transcript:scaffold_202667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKHFFLLFICFSVLVTSGLADSALVDCSPDFNDKDCDKECKEFGHPGGYCGPDRAQPLLSTCYCKDR >scaffold_202669.1 pep chromosome:v.1.0:2:17491346:17492640:-1 gene:scaffold_202669.1 transcript:scaffold_202669.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumenal 29.8 kDa protein [Source:UniProtKB/TrEMBL;Acc:D7KU03] METALLRYCFNFSSHPYHKKISAHHRVDGETQRDERCATALSRRSVLASGFSLVSSTALVFPGDGLAVVKQGLLAGRVPGLSEPDDEGWRTYRRPDEKSGGHGVGWSPIIPYAFSVPQDWNEVPVSIADLGGTEIDLRFASPKEGRLSVIVAPVLRFADNLGDDVKIENIGPPAKVINAFGPEVIGENVEGKVLSSNVAEHEGRLYYQFELEPPHVLITATAAGNRLYLFSVTGNGLQWKRHYKDLKRIASSFRIV >scaffold_202671.1 pep chromosome:v.1.0:2:17500293:17503918:1 gene:scaffold_202671.1 transcript:scaffold_202671.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:D7KUF9] MITGNEFYTVMCAMAPLYFAMFVAYGSVKWWKIFTPTQCSGINRFVSVFAVPVLSFHFISQNNPYKMDTMFILADTLSKIFVFVLLSLWALFFKSGGLDWLITLFSIATLPNTLVMGIPLLQAMYGDYTKNLMVQLVVLQCIIWYTLLLFLFELRAARLLIRAEFPGQAAGSIAKIQVDDDVISLDGVDPLRTETETYANGRIRLRIRRSISSVPDSVMSSSLCLTPRASNLSNAEIFSVNTPNRFFNGGGGSDLGGFGFTRPGFGASPRRLSGYASSDAYSLQPTPRASNFNELDVNGNGTPVWMKSPAAGRIFRQSSPKMMWESGQRHAAKDINVLPEKEISFRDALKAVPQATAAGGAASMEEGAAGKDTTPVAAIGKQEMPSATVMVRLILTVVGRKLSRNPNTYSSLLGLAWSLISFKWNIAMPNIVDFSIKIISDAGLGMAMFSLGLFMALQPKMIPCGAKKATMGMLIRFISGPLFMAAASLLVGLRGSRLHAAIVQAALPQGIVPFVFAREYNLHPDLLSTLVIFGMIVSLPVTILYYVLLGL >scaffold_202672.1 pep chromosome:v.1.0:2:17504883:17506646:1 gene:scaffold_202672.1 transcript:scaffold_202672.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7KUG0] MPTTGQIIRCKAAVAWEAGKPLVMEEVEVAPPQKHEVRIKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTDLQPGDHVLPIFTGECGDCPHCHSEESNMCDLLRINTERGGMIHDGESRFSINGKPIHHFLGTSTFSEYTVVHSGQVAKINPDAPLDKVCIVSCGLSTGLGATLNVAKPKKGQSVAIFGLGAVGLAAAEGARIAGASRIIGVDLNSQRFDQAKEFGVTEFVNPRDHDKPVQQVIAEMTNGGVDRSVECTGSVQAMIQAFECVHDGWGVAVLVGVPSKDDAFKTHPMNFLNERTLKGTFFGNYKPKTDIPGVVEKYMNKELELEKFITHTLPFSEINKAFDYMLKGESIRCIITMGA >scaffold_202680.1 pep chromosome:v.1.0:2:17534462:17536479:-1 gene:scaffold_202680.1 transcript:scaffold_202680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGALTDEGALKRAHLYEHRITSYFIFACIVGSMGGSLFGYDLGVSGGVTSMDDFLKEFFPGIYKRKQMHLNETDYCKYDNQILTLFTSSLYFAGLISTFGASYVTRIYGRRGSILVGSVSFFLGGVINAAAKNILMLILGRIFLGIGIGFGNQAVPLYLSEMAPAKIRGTVNQLFQLTTCIGILVANLINYKTEQIHPWGWRLSLGLATVPAILMFLGGLVLPETPNSLVEQGKLEEAKAVLIKVRGTNNIEAEFQDLVEASEAARAVKNPFRNLLARRNRPQLVIGAIGIPAFQQLTGMNSILFYAPVMFQSLGFGGSASLISSTITNAALVVAAIMSMYSADKFGRRFLLLEASVEMFCYMVVVGVTLALKFGEGKELPKSLGVILVVLICLFVLAYGRSWGPMGWLVPSELFPLETRSAGQSVVVCVNLFFTALIAQCFLVSLCHLKYGIFLLFAGLIFGMGSFVYFLLPETKQVPIEEVYLLWRQHWLWKKYVEDGDENGQSVNE >scaffold_202681.1 pep chromosome:v.1.0:2:17538627:17541018:1 gene:scaffold_202681.1 transcript:scaffold_202681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRGILCSLLFIISAGESSSRFGLMWHPNLGVDSGQYLTWPILSASVFVVIAILLPMYLIFEHLASYNQPEEQKFLIGLILMVPVYAVESFLSLVNSEAAFNCEVIRDCYEAFALYCFERYLIACLDGEERTIEYMEQQTVITQSTPLLEGTCSYGVVEHPFPMNCFLKDWSLGPEFYHAVKIGIVQYMILKMICALLAMILEAFGVYGEGKFAWNYGYPYLAVVLNFSQTWALYCLVQFYNVIKDKLAPIKPLAKFLTFKSIVFLTWWQGIIVAFLFSMGLFKGSLAKELKTRIQDYIICIEMGIAAVVHLYVFPAAPYKRGERCVRNVAVMSDYASLDVPPDPEEVKDSERTTRTRYGRHDEREKRLNFPQSVRDVVLGSGEIIVDDMRFTVSHVVEPVERGIAKINRTFHQISENVKRFEQQKKTTKDDSYVIPLNPWTKEFSEIHENLYDGGSVSDSGLGSSKRHHQSRVSGLWTRMRR >scaffold_202686.1 pep chromosome:v.1.0:2:17553960:17557171:1 gene:scaffold_202686.1 transcript:scaffold_202686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKSKKKSAWAKTILTKEIIEIESDTDDTDEVGARRKLDFCGEENAKSSLNKEIKTESHIDEVCGRMLDLCAERNALRDDALAKNPKKSAVAKKTIVDKEIIEIDSDTDTDELGATKLPFCDEENASWDNTLAKNPNKSAVAKTTVKKEIKTESNIDEVCGRMLPLGEVNASGDGSLAKKSVVAKTIVKKEIIEIESDTDTDEVAGRMPALFRAENASGDDVLAKNSNMSAVAETIVKKEIVEIESATDTDEVGGRMLVLCGEVNASGDDVLAKNSKKSAVAKSIVKKEIIEIESATDTDTDTDTDEVDGRMLALCGKVNASGDDLLAKDGKNNMQLVCVDDCDSGLVHDLEYKKYLAHFSEIGNLYLLEDNVRDSSPVRIMHNVSYDYEESDRSKGKAVKTEKSKAGRKAKSPTISSVSKRLKTEKGRVDHKSTSRTVLRTKETQQKKGDARRGRKSSVAKNSIELKPRSYVIDTKQQNGDARRGRKIFVSKNTIKLMPASYVRDSQEKNGDVRRGKKISVASKNVKLKHTRNDESQTIFRASKRLKTEIGRADNNSISKTIPVLVNTKDIQRKEREALRCRKIPVTKHNNDSKYRANVKESLFHSCKHAKQENKESLSSMDKTYSYYVAYLRDSITIFKSDRQVKPMKDEVCLSDPDIIAISDHPFPDGGKSPFEATNDGKVIDLEDGIKPDDIFNSWFSKKLMEILRNPYDEKEFLRLYNEASLKRPLTKSRQLRDGREIEYNDQHKLALSYLEKYTDFNKKYHRYQKDLPRALNLLRGFFFYLENIVLEGAFKPWLHEKRLTNLCVDARGCKDMVGNK >scaffold_202690.1 pep chromosome:v.1.0:2:17572956:17573220:-1 gene:scaffold_202690.1 transcript:scaffold_202690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAIEVPRPDRATVFEFSFVAATSFRRSRTLSLGDSSRRRQRCSTVSRRLLKNQLINL >scaffold_202694.1 pep chromosome:v.1.0:2:17592857:17599072:1 gene:scaffold_202694.1 transcript:scaffold_202694.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI1 protein [Source:UniProtKB/TrEMBL;Acc:D7KUI0] MKTTQLFRGANVFMSRNLVPPEVFDTLLDAFKLNGAEIFLCCDPSRSGPSDFHVIASPDHEKFKDLKAKGCNLIGPQCALSCAKEGRPLPQGGFTCCLAMDGLKVLASGFLIDEKAMIKELVTSMGGVLLSKASSDVNFVIVKNVLAAKYKWALNKKPIVTLNWLHRCWNEHRVVPQEPYKIPPFSGLTICVTRIPADERKGMEKVISEYGGNYSAELTKSCTHLILCDKYKVARKWGHIQIVTRKWFQQSIDRKVCLNEELYPVLSSIPLTRGVQDLGVHNGQEKFPSVATASAADSYASCAQSRDSDIEASGSQNVFSTSTNPSTDVKEPSGGPTTRPQEQNIDGCTARDSESEDNDLYLSDCRIFLLGFEASEMRKLAKLVRRGGGSRYMLLNERMTHIVVGTPSESEKREARSVAASGVIQVVIPSWLEDCDREKKEIPVHKIYTANHLILPRDSACLTKGSFVGMSSTEQSKHTSGQTMAYDSSSRSINVSNGTATLLGKNKEAMQEFGRKDEIHTGRKIVSPKQKETLISLVTSKSEEQQSTQCEFSGQNKQERKSSVFKGETFCFSHSFPEDRRPDIVEWVNQGGGEVVNDPLINNARFTIECHGGFRSAGTTQTIYVSSHWVRYCLEVGCLLAVSSHILYSPLPCQTPLPGFESLCICSSQHKEKDIPLLKNLCVVLGAKYVKKLTRKVTHLLCISADGHKYETASNWGIVSVTPDWLCECVRQNQVVCPDNFHPKESTTQEAGSGFASHFHTQFVPMASRDNVSLLVSHSEDREKNQSFAGKSGCGKGALNNRLGEIGKEQAFPSKKAKLLRDGQENNVYPVRELPSSCDRPSHSGEGIVSGYDVGSGREVPDVADTIEDLLEQTSKIQDQKSPGMISEKTHFSTSEQYNTGNHCVTGLSRHWINRVQKNDDMGSPPGDVTTGTYGNFSETQTESQVVGYEEDLSGRQMLIDRVRTRSSLT >scaffold_202700.1 pep chromosome:v.1.0:2:17613169:17613913:1 gene:scaffold_202700.1 transcript:scaffold_202700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQSPRRVAVAALLLLVVISDLSNSAGAANSVSAFVQNAILSNKIVIFSKSYCPYCLRSKRIFSQLKEEPFVVELDQREDGDQIQYELLEFVGRRTVPQVFVNGKHIGGSDDLGAALESGQLQNLLAAS >scaffold_202704.1 pep chromosome:v.1.0:2:17627776:17629196:1 gene:scaffold_202704.1 transcript:scaffold_202704.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7KUI9] MKPSQPLCNRIIDQLIAAMIQNRPFDAVLASSTVANPWTQQLVSDVLRSIPRFFFISPRSIGRQKGFRHRSPLKQRNLSDESQRRRSEVLVLGPGAYIDPKKISLGLQKALEFFFWIEIHFGFGHNEITCRDMACLLAKGNDFKGLWDFLRQVSRRENGKNVVTTASITCLMKCLGEEGFVKEALATFYRMKEYHCKPDVYAYNTIINALCRVGNFKKARFLLDQMQLPGFRYPPDTYTYTILISSYCRYGMQTGCRKAIRRRMWEANRMFREMLFRGFVPDVVTYNCLIDGCCKTNRIGRALELFEDMKTKGCVPNQVTYNSFIRYYSVTNEIEGAIEMMRTMKKMGHGVPGSSTYTPLIHALVETRRAAEARDLLVEMVEAGLVPREYTYKLVWDALSSEGMAGTLDEELHKRMREGIQQRYRRVMKIKPVMARKDVVQKSDFHEIDMNQNYAMEEI >scaffold_202707.1 pep chromosome:v.1.0:2:17637686:17639492:1 gene:scaffold_202707.1 transcript:scaffold_202707.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:D7KUJ2] MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTIATDFQRISKIHDHLFIGLSGLATDVQTLYQRLVFRHKLYQLREERDMKPETFASLVSAILYEKRFGPFLCQPVIAGLGDDNKPFICTMDSIGAKELAKDFVVSGTASESLYGACEAMYKPDMEAEELFETISQALLSSVDRDCLSGWGGHVYVVTPNEVKERILKGRMD >scaffold_202708.1 pep chromosome:v.1.0:2:17641337:17642292:1 gene:scaffold_202708.1 transcript:scaffold_202708.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC032 [Source:UniProtKB/TrEMBL;Acc:D7KUJ3] MMKSGADLQFPPGFRFHPTDEELVLMYLCRKCASQPIPAPIITELDLYRYDPWDLPDMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGRPKPVGIKKALVFYSGKPPNGEKTNWIMHEYRLADVDRSVRKKNSLRLDDWVLCRIYNKKGVIEKQRSENELRAVNDTCPPESVERLIAGSEQVVSQEFTCSNGRLSNALDFPFNYVDAIADNEIVSRLLSGNQMWSTLDPLVVRQRTF >scaffold_202718.1 pep chromosome:v.1.0:2:17690302:17691592:1 gene:scaffold_202718.1 transcript:scaffold_202718.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic Fe-S cluster assembly factor NBP35 [Source:UniProtKB/TrEMBL;Acc:D7KUK4] MENANENCPGPESETAGKSDSCAGCPNQEACSTAPKGPDPDLVAIAERMATVKHKILVCSCKGGVGKSTFSTQLSFALAGMVHQVGLMDIDICGPSIPTMLGLQGHEIYQSNLGWSPVYVEDNLAVMSIGFMVHPSESDEPAIWRGPRKNGLIKQFLKDVYWGEIDFLVVDSPPGTSDENITIVQSLAHTGIDGAIIVTTPQEISLIDVRKGVNFCKKIGVPVLGVVENMSGLSQPLTDIKFMKLVTETGSSIDVTQDMISCIRDNAPELLNVVACSQVFDSSGGGAERMCQEMGVPFLGKVPLDPQLCRAAEQGKSCFEDNKCSVSAPALKSIIEKVVASIKMKEDVLGGEEED >scaffold_202722.1 pep chromosome:v.1.0:2:17706052:17715900:-1 gene:scaffold_202722.1 transcript:scaffold_202722.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7KUK8] MEKTPTQIVSELGSRLLQLSRPNKDSLVKLLREVANTLSQIDQPSATNKEKGLKLLEAELRPLKKSIIKHGLLKNRDNDVSLLVTVCVSELFRILAPNRPFEDKYLRDIFTLFLAEFSELSDTVSPYFSKRAKILETVSRLKCCLLMLDEDCLDLAHEMFNMFFSLVREHHQQSLINQKNIKTQQRKANMQQTQQSLFNNILNIMTDILEEEANSSFVVAILENLVKEGEDTTSASAKLATSLIQSCTDRLEPFICSFLTSCFMEKDSIQTNLKDSYHEIIFKISLNAPQMLLAVIPKLTQELLTDQVDVRIKALNLAGRIFAQPKHCLSSYGETYQDLYAEFLRRFSDKSAEVRMAALKCGKQCYFANPSGNKASGVLTAIQERLLDFDDRVRTQALVVACDIMKFDMKYVPLNLISEASERLRDKKISVRKKALQKLTEVYQDYCDKCSEGDMTINDHFEQIPCKILLLCCDKNCDEFRSQNLELVLSDDLFPRLLPVEERMRHWVQCFAIMNHIHIKSLNSILSQKRRLQNELRQCLTLWRKAKDDNIEEVQRKKKSYFVKLSACFPDASEAEDFFQKLDQMRDASIYDVLTLLLDELSSTKAQIIKEKFLNMFGAKHSLFEFLRILSTKCSPNIFSSEHVQCLLNQLCGSTSVNTQLKAPSIKLLLVILNIFPSYLRGSEKQFLKLLEENYSAADELTVVLSKAAPYISANFGDYYPVLERVCLEGTRSQAKCAVSAIDSLAGSSDKSVFSELCEMLMDSLLGGRNIPTTLQSLACVGQYSVLAYDNIYEDITSYIYQVFQIYGLKTLVKSFLPRHGQVVRKIDDLLNILKKTLKSQGQDGIKSWCLFALEICSEDTGANVRLAAAKAVLLLSRKWDLHISPELFGLTILMAKSLRYINGFINNATRESRTCRDLDQGESLTDSPAYMIVFLIHVLAHDPEFPSEDCRDEHVYARFCGPLFSVLQVFLSINNNGFTIKETTPFLFCIFRAIKRAEDAVDSRKTPNKAKSRTQNALEQSFIERVVHIFQSQISTHDQRCQKDSLAVGSQDKVLPPLSGNQIETSITGSTEASKNNTRCSRKRTHLGEHISCNSLSLRTVESEIPIKKLERHNTCSKESVEASLSNKITSSKHSGVVSALKDISNHGEAIIGKRIKLLSHTDGCFYPGTVEKFNSKSNSHKIIFDNGDVELVCLDSESWETLSHESMGQEEILGKETESFGSRNCVPEICHTLAKADAQKQKTRTKQQNKKLPAKLNPPAAKSKKGNSVSGEGSVSEVTDTSDNIGLRRSRRQRTS >scaffold_202730.1 pep chromosome:v.1.0:2:17746822:17750812:-1 gene:scaffold_202730.1 transcript:scaffold_202730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIS3-like exonuclease 2 [Source:UniProtKB/TrEMBL;Acc:D7KUL5] MKSASSVEQSVERIENGHKKKRNRPQKHIRRSKHSSVPIEDTHVEESSDGRTSTRSKAKDSTSSSKQQRSDNSNELEAMRASNVAFNSMPPMRFEGRAETGYPGRSASPLLSSPQVSKQLLSKSCPDPRACEHSPRMDGDLFQQIEGSSQRKIFSSHWSLDAVSQALEKGEAFKALFRVNAHNRNEAYCKIDGVPTDILINGNVGQNRAVEGDIVVIKLDPLSLWPKMKGFVTESVAKLEGTNSPPEKDDKNARQKNAIDVVEGFEDGFLANNSSVIGQGAKNGVTRSSSPLLDSCLGSFCEQKGNCSVVEKLCGILSSFPHKRPTGQVVAVVEKSLVRDSIVGLLDVKGWIHYKESDAKRCKSPMSLSDDEYVQLMPADPRFPKLIVPFHVLPGSIRARLENLDPTLEAELVAAQIVDWGEGSPFPVAQITHLFGRGSELEPQINAILYQNSVCESDFSPGSLTSLPRVPWEVPEEEVQRRKDLRDQCVLTIDPSTATDLDDALSVQSLPGGFFRVGVHIADVSYFVLPETALDTEAQFRSTSVYLRQRKVSMLPPLLSENIGSLTPGADRLTFSIFWDLNREGDVIDRWIGRTVIRSCCKLSYDHAQDIIDGKSDGAENGWPALHGSFEWSDVIRSVEQLSEISSTLRQKRLRNGALQLENSKPVFLFDEHGVPYDFVMCSRKGSNFLVEEFMLLANMTAAEVISQAYPASALLRRHPEPNTRKLKEFEGFCSKHGMDLDISSSGQLQESLEKITGNLQDDSVFVDILNNYAIKPMQLASYFCTGNLKDSVAEWGHYALAVPLYTHFTSPLRRYPDIVVHRAIAAALEAEELYSKLKQTSIDEVGSCFTGIHFNKDAAESMEGKEALSVAALKHGVPSTEILSDVAAYCNERKLAARKVRDACDKLYTWFVLKKKEVFPCEARVMNLGSRFMTVYISKLGIERRIYYDQIEGLCADWLDATSTLILDKLYSKRGGRGYFKPLKEAVYLVSPCDQCVAKCSALSVHDTESSEAVSKDEVAPAVFPLTIQLFSTIPVVLHAVGGDDGPLDIGARLYMSSYYY >scaffold_202734.1 pep chromosome:v.1.0:2:17762452:17763607:1 gene:scaffold_202734.1 transcript:scaffold_202734.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-fold modifier 1 [Source:UniProtKB/TrEMBL;Acc:D7KUL9] MATGGGKVSFKVTLTSDPKLPFKVFSVPEGAPFTAVLKFAAEEFKVPPQTSAIITNDGIGINPQQSAGNVFLKHGSELRLIPRDRVGAAFVMDP >scaffold_202739.1 pep chromosome:v.1.0:2:17800609:17804069:-1 gene:scaffold_202739.1 transcript:scaffold_202739.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate reductase [Source:UniProtKB/TrEMBL;Acc:D7KUM4] MATSVDNRHYPRLNTAMNGVVHAFKPPLVPSPRSFDRHRHQNQTLDVVTEKIVKETEVITTTVVDSYDDSSSDDEDESHNRNVPYYKELVKKSNSDLEPSILDPRDESTADSWIQRNSSMLRLTGKHPFNAEAPLPRLMHHGFITPVPLHYVRNHGAVPKANWSDWSIEITGLVKRPAKFTMEELISEFPSREFPVTLVCAGNRRKEQNMVKQTIGFNWGSAGVSTSLWKGVPLSEILRRCGIYSRRGGALNVCFEGAEDLPGGGGSKYGTSIKKEMAMDPARDIILAYMQNGELLTPDHGFPVRVIVPGFIGGRMVKWLKRIIVTPQESDNYYHYKDNRVLPSLVDAELANSEAWWYKPEYIINELNVNSVITTPGHEEILPINAFTTQKPYTLKGYAYSGGGKKVTRVEVTLDGGDTWSVCELDHQEKPNKYGKFWCWCFWSLDVEVLDLLSAKDVAVRAWDESLNTQPDKLIWNLMGMMNNCWFRIRTNVCKPHRGEIGIVFEHPTRPGNQSGGWMPKERQLEISSESNQTLKKSVSSPFMNTASKMYSMSEVRKHNSADSAWIIVHGHIYDCTRFLKDHPGGTDSILINAGTDCTEEFEAIHSDKAKKLLEDYRIGELITTGYDSSPNVSVHGASNLGPLLAPIKELAPQKNIALVNPREKIPVRLIEKTSISHDVRRFRFALPVEDQQLGLPVGKHVFVCANINDKLCLRAYTPTSAIDAIGHIDLVVKVYFKDVHPRFPNGGLMSQHLDSLPIGSMIDIKGPLGHIEYKGKGNFLVSGKPKFAKKLAMLAGGTGITPIYQIIQSILSDPEDETEMYVVYANRTEDDILVREELEGWASKHKERLKIWYVVEIAKEGWNYSTGFITEAVLREHIPEGLEGESLALACGPPPMIQFALQPNLEKMGYNVKEDLLIF >scaffold_202746.1 pep chromosome:v.1.0:2:17833783:17834592:-1 gene:scaffold_202746.1 transcript:scaffold_202746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFAKGIWKIVTQPLRRQTRDSVLSVEDARTRLVPIAPPTTEIVDCYQSSELCCLCEKGYPKENEIVHKTKCNHMFHATCISRYLLHTPRCPVCSVELPPADIRTLLFF >scaffold_202748.1 pep chromosome:v.1.0:2:17840002:17842202:1 gene:scaffold_202748.1 transcript:scaffold_202748.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:D7KV47] MSPPSATAGDINHRQVDPRIWRACAGASVQIPLLYSRVYYFPQGHVEHCCPLISTLPSSTSPVPCLITSIQLLADPITDEVFAHLVLQPVTQEQFTPTNYSRFGRYDGDVDDNNKVTTFAKILTPSDANNGGGFSVPRFCADSVFPPLDFQIDPPVQKLYITDIHGAVWDFRHIYRGTPRRHLLTTGWSKFVNSKKLIAGDSVVFMKKAADEMFMGVRRTPISSSGGGSSYYGGDEYNGYYSQSSVAKEDDGSAKKTFRRSGKGKLTAEAVTEAINRAAKGLPFEVAYYPTAGWSEFVVRAEDVESSMSVFWTPGTRVKMAMETEDSSRITWFQGIVSSTYQETGPWRGSPWKQLQITWDEPEILQNVKRVNPWQVEIVANATQLHATFPPAKRLKYPQPGGFLSGDDGDIPYSQRGLSSAAAPDPSPYMFPYSTFPAGMQGARQYDFGSFNPTGFIGENPPQSCTNNFFSPLPTGLGKVSTEMNFGSPPSDNLSPNSNTTNLSSGNDLVGNRAPISAKPNSIRLFGKTINVQEHSESGPAESGLCEEDGSKESSDNETQLSLSHGRGPPSV >scaffold_202751.1 pep chromosome:v.1.0:2:17859178:17859796:1 gene:scaffold_202751.1 transcript:scaffold_202751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLEMERLVAFPETLDGSGKSGPESVTGEAVVGLKEELESEVKCNREEAGIQIKNSLAAEVEVLTCRIKQLEVKLEKLEAEKDELKREVKCNREVESTLRLELEAIACDKMEQDEVLES >scaffold_202758.1 pep chromosome:v.1.0:2:17883393:17883820:-1 gene:scaffold_202758.1 transcript:scaffold_202758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSHYQETSTLSHNGVYIGTESCFNDFSNKTGDVGLPCERREDTKTVAREILSPPTLPSYVPSVLKREYTSDGRLLLKEEKVRRHEYFQAHRSNGRLTLKLVSLDDHHHHHASDVKDGVYDHYDPA >scaffold_202765.1 pep chromosome:v.1.0:2:17918343:17921116:-1 gene:scaffold_202765.1 transcript:scaffold_202765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLLSFRSVGVHLLRHATPIITRGGRISKPFSKNLCFVLFSSSPKTSLLKPRAASSEDKSLVFRGDERVVGLVGKVVDDAFDNVDRFQSSSTIVAIVTPIGGPPGAVGIVRLSGPKAVEVARRVFRSAKKRKKKDSDSDSWQPKSHFVEYGVVVDSHGNVVDEVLAVPMLAPRSYTREDVVELQCHGSEVCLRRVLRTCVEAGARLAEPGEFTLRAFLNGRLDLSQAENVEKLISAKSSAAADAALEGIQGGFSSLVKSLRAQCIELLTEIEARLDFEDEMTPLDIESVINKINSMSEDVESALDTANYDKLLQSGLQIAIVGRPNVGKSSLLNAWSKSERAIVTEVAGTTRDVVEANVTVRGVPITVLDTAGIRETNDIVEKIGVERSETAAKVADVIIMAVSAVEGWTEEDTELLRKIQSDKPMILVMNKIDSAPPSSCDQLEDQRKKEEVFHKSVFTSAVTGQGLEELEDAILEILGLDRVPTGGHQWTVNQRQCEQLVRTKEALARLREAIEDEIPIDFWTIELREAALALAQISGQDVSEEVLSSIFAKFCIGK >scaffold_202766.1 pep chromosome:v.1.0:2:17921797:17924904:-1 gene:scaffold_202766.1 transcript:scaffold_202766.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SULTR1_2 [Source:UniProtKB/TrEMBL;Acc:D7KV65] MSSRAHPVDGDLSPATVGGGLPMKSPPHRHKVGIPPKQNMFKDFMYTFKETFFHDDPLRDFKDQPKSKKFMLGLQSVFPVFDWGRNYNFKKFRGDLISGLTIASLCIPQDIGYAKLANLDPKYGLYSSFVPPLVYACMGSSRDIAIGPVAVVSLLLGTLLRAEIDPSTNPDEYLRLAFTATFFAGITEAALGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGFLGIKKFTKKTDIIAVLESVFKAAHHGWNWQTILIGASFLTFLLTSKFIGKKSKKLFWVPAIAPLISVIISTFFVYLTRADKQGVQIVKHLDQGINPSSLHLIYFTGDNLAKGIRIGVVAGMVALTEAVAIGRTFAAMKDYQIDGNKEMVALGMMNVVGSMSSCYVATGSFSRSAVNFMAGCQTAVSNIIMSIVVLLTLLFLTPLFKYTPNAILAAIIINAVIPLIDIQAAILIFKVDKLDFIACIGAFFGVIFVSVEIGLLIAVSISFAKILLQVTRPRTAVLGNIPRTSVYRNIQQYPEATMVPGVLTIRVDSAIYFSNSNYVRERIQRWLHEEEEKVKAASLPRIQFLIIEMSPVTDIDTSGIHALEDLYKSLQKRDIQLILANPGPLVIGKLHLSHFADMLGEDNIYLTVADAVEACCPKLSEEV >scaffold_202769.1 pep chromosome:v.1.0:2:17945642:17946541:1 gene:scaffold_202769.1 transcript:scaffold_202769.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen ole e 1 allergen and extensin family protein [Source:UniProtKB/TrEMBL;Acc:D7KV68] MSTPVGKNTMVVQGRTYCDLCKFGFETPESSYFIPGATVKLSCRDRKTMEEVYTDEAVSDKQGNYKFIVHDEHKDEMCDVLLVKSAVKGCSKISVGREKSRVILNHYSGIASQIRHANNMGFEKEVSDVFCSALYHKYMVDEDEDDIKSHL >scaffold_202772.1 pep chromosome:v.1.0:2:17956644:17959916:-1 gene:scaffold_202772.1 transcript:scaffold_202772.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 3 protein [Source:UniProtKB/TrEMBL;Acc:D7KV70] MAKLLLLLLLFIFHGVESAPPPHSCDPSNPTTKLYQFCRTDLPISQRARDLVSRLNIDEKISQLGNTAPGIPRLGVPAYEWWSEALHGVAYAGPGIRFNGTVKAATSFPQVILTAASFDSYEWFRIAQVIGKEARGVYNAGQAQGMTFWAPNINIFRDPRWGRGQETPGEDPIMTGTYAVAYVRGLQGDSFDGRKTLSIHLQASACCKHFTAYDLDRWKGITRYVFNAQVSLADLAETYQPPFKKCIEEGRASGIMCAYNRVNGIPSCADPNLLTRTARGLWRFRGYITSDCDAVSIIHDAQGYAKTPEDAVADVLKAGMDVNCGSYLQKHTKSALQQKKVSETDIDRALLNLFSVRIRLGLFNGDPTKLPYGNISPNDVCSPAHQALALEAARNGIVLLKNNLKLLPFSKRSVSSLAVIGPNAHVAKTLLGNYAGPPCKTVTPLDALRSYVKNAVYHNGCDSVACSNAAIDQAVAIARNADHVVLIMGLDQTQEKEDMDRVDLSLPGKQQELITSVANAAKKPVVLVLICGGPVDISFATNNDKIGSIMWAGYPGEAGGIALAEIIFGDHNPGGRLPVTWYPQSFVNVQMTDMRMRSATGYPGRTYKFYKGPKVFEFGHGLSYSTYSYRFKTLGATNLYLNQSKAQLNSDSVRYTLVSEMGEEGCNIAKTKVIVTVENQGEMAGKHPVLMFARHERGGENGKRAEKQLVGFKSIVLSNGEKAEMEFEIGLCEHLSRANEVGVMVVEEGKYFLTVGDSELPLTINV >scaffold_202783.1 pep chromosome:v.1.0:2:18049423:18050235:1 gene:scaffold_202783.1 transcript:scaffold_202783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRQEGTLYSRKRDFTVYGEEFHNSFKKIKQEDQSQSTLFNERPNSESMRSITFDFELHLHTPLPSDWQTKGYSRTSDDHRAYTKDPVIVGQPKMSLDLELNLSPSGSPSRTATIKKDEYSSNHNETVTSKGKELTNPSKKRISRSPSWLAFEGGGDDDVDHKGQEMVTTVCMKCHMLVMLCTSTPVCPNCKFMHPHDHSSTKLFKPSNLLRLLC >scaffold_202786.1 pep chromosome:v.1.0:2:18056803:18057222:-1 gene:scaffold_202786.1 transcript:scaffold_202786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIVHNMLSCNIKGVVNKFPLRIEPEKIIVKKVDFNPDFLKHMFAKIDWKALVYGARSMEHTELPDNAPDIKTLESDESFLRKFHHALLELHLEEGSLVCPETGRKFPVNKGIPNMLLHEDEV >scaffold_202790.1 pep chromosome:v.1.0:2:18066778:18068800:-1 gene:scaffold_202790.1 transcript:scaffold_202790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENERENLIYLAKLACLAGRYDDMMKSMRKVCEHDIELSEEERDLLTTGYKNVMETKRASLRVISSIEKLEDSKGNDQNVKLIKGQQEMVKYEFFDVCNDILSLIDSHLQGRPGLTNARTGTAHLIPSTTNVESTVFFIRMKGDYFRYMAEFGSDAERKENADSSLEAYKVAMEMAENSLAPTNMVRLGLALNFSIFNYEILKSIESACKLVKKAYDEAIAELDGLDKKKCEESIYIIEMLKYNLSAWTLGDGNGNIRLVRSERFLNFFFHDLFFILIKIVILQNWILKSRKTRQTIRTCLLRR >scaffold_202792.1 pep chromosome:v.1.0:2:18074403:18077098:-1 gene:scaffold_202792.1 transcript:scaffold_202792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPLQRGISGVRVSDSSDDLSDSQMKDKTERPRSSDNHLTLRFPFGFLFTNQSSSKHGGGGENGFSADPYSARSRHRLMLMFLKISLVLIVVIALAGSFWWTISISTSSRGHVYHNYRRLQEQLVSDLWDIGEISLGPNRWKELEYCNIESENFVPCFNVSENLALGYSNGDENDRFCGPGSKQECLVLPPVKYRVPLRWPTGKDIIWYSNVKITAQEVVSSGSITKRMMMMEDDQISFRSASPMSDEVEDYSHQIAEMIGIKKDNFIEAGVRTILDIGCGYGSFGAHLLSKQILTMCIANYEASGSQVQLTLERGLPAMIGSFISKQLPYPSLSFDMLHCLRCGIDWDQKDGLLLVEIDRVLKPGGYFVWTSPLTNPRNKDHLKRWNFVHDFAESICWTLLNQQDETVVWKKTINTKCYSSRKPGVGPSVCTKGHDVESPYYRPLQMCIGGTRSRRWIPIEGRTRWPSRSNMNKTELSLYGLHPEVLGEDAENWKITVREYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNAQFGGLNSALLEARKSVWVMNVVPTAGPNHLPMILDRGFVGVLHDWCEPFPTYPRTYDLVHADNLLSLQTSQRRKSCRLIDIFTEIDRLLRPEGWVIIRDTVQLVESARALVTQLKWEARVIEVESSSEQRLLICQKPFTKRQSI >scaffold_202795.1 pep chromosome:v.1.0:2:18117944:18122703:1 gene:scaffold_202795.1 transcript:scaffold_202795.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KV95] MTTLGQRDRRPEALGSLSVLPDETICVLLEYLAPRDIANLACVSSVMYILCNEEPLWMSLCLRRAKGPLEYKGSWKKTTLHLEGVAQENVAYRKPFHFDGFMSLYLYKRFYRCNTSLDGFSFDNGNVERRRDISLDEFSKEYDAKKPVLLSGLADSWPASKTWTIDQLSEKYGEVPFRISQRSPNKISMNFKDYISYMKTQRDEDPLYVFDDKFGEAAPELLKDYSVPHLFQEDWFEILDKESRPPYRWLIVGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGKVPLGVTVHVNEDDGDVSIDTPSSLQWWLDYYPLLADEDKPIECTLLAGETIYVPSGWWHCILNLEPTVAVTQNFVNKENFGFVCLDMAPGYHHKGVCRAGLLALDDENSEDLENDTHDEDDNTLSYSDLTRKEKRIRMNGGGETENQEEDANGVLKRYNMWKNGFSYNIDFLASFLDKERDHYNFPWSMGNSVGQREMRAWLSKLWALKPEMRELIWKGACIALNAEKWLRCLEEVCTFHNLPLVTEDEKLPVGTGSNPLEFYDILGRADSPLKTHIPEVLESGILFFEKGSYKVVPWDGKRIPDIISSSNFDFDASMLNSEFPFGIWNKTLREHRNQGKPAPDSFGSLSSHVWPYIITKRCKGKIFAQLRDDLTWNDAQNLASFLGQQLRNLHLLPYPPVTRPELLNMNAVHEELNIPAEWKVFVDALCQKKKDVTSRLENWGNPIPRALMTKIDEYIPDDFFVDLLNVFKDPNGGDESKPCTWIHSDVMDDNIHMEPYSDDSVDGQHNLWRPSHILDFSDLSIGDPICDLIPIYLDVFRGDADLFKKLLESYGLPLIRSRSPEENGTTKTTDSTRKKVLCPSYRTIIWDELRTAESWEQVEQTVWSLLKTY >scaffold_202799.1 pep chromosome:v.1.0:2:18137310:18137517:-1 gene:scaffold_202799.1 transcript:scaffold_202799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEEVANLSIVAKFPKLISWAQRSLKRESVAKALPDSDEVLKSVSDHRKIVLGID >scaffold_202800.1 pep chromosome:v.1.0:2:18137568:18138127:-1 gene:scaffold_202800.1 transcript:scaffold_202800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIILLDYWASMFGMRTRIALEEKGVKYEYREEDLSNKSTLLLEMNPIHKKIPVLIHKGKPICESIIQVQYIDELWPDTNPILPSDPYQRAQVRFWADYIDKKTYVPCKALWSETGEKQEAAKMEFIEVLKSQDT >scaffold_202808.1 pep chromosome:v.1.0:2:18152145:18154139:1 gene:scaffold_202808.1 transcript:scaffold_202808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNVNEYEAIAKEKLPKMVFDYYASGAEDQWTLQENRNAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMVAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRRESDIKNRFTLPPYLTLKNFEGLDLGKMDEANDSGLASYVAGQIDRTLSWKDVQWLQTITKLPILVKGVLTAEDARMAVQAGAAGIIVSNHGARQLDYVPATIIALEEVVKAAQGKIPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMMREEFELTMALSGCTSLKEITRNHIITDWDAPQARLVPKL >scaffold_202809.1 pep chromosome:v.1.0:2:18157159:18157566:-1 gene:scaffold_202809.1 transcript:scaffold_202809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRNLLGKKTRFAYLALTEPWPKVSGFVKVDLSTGDIQKYIYGDGKYGGEPLFLPSGDGEEDGGYIMVFVHDEEKVKSELQIINAVNMKLEATVTLPSRVPYGFHGTFISKEDLSKQALC >scaffold_202813.1 pep chromosome:v.1.0:2:18177282:18179426:1 gene:scaffold_202813.1 transcript:scaffold_202813.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7KVA8] MGNKLGRKRQVVEERYTKPQGLYVNKDVDVKKLRKLIVESKLAPCYPGDDESCHDLEECPICFLYYPSLNRSRCCMKSICTECFLQMKNPNSARPTQCPFCKTPNYAVEYRGVKTKEEKGIEQVEEQRVIEAKIRMRQKEMQDDEEKMQKRLDSCSSSTSAMTGEMEYGSASAISYNSPMEDGAIAPSQNAAVVRQHSRPRGNRDDEVDVDLEELMIMEAIWLSVQETGTQRNSASGEMTSSRQYVTDNHSYVSSPPRMAPIVEPATPSSSAGGLACAIAALAERQMVGESSNHNHNHNVNVSSYSMLPGNCDSYYDIEQEVDDIDNHHHRHHHYHNNTEMGETGSSNSYVSSYMTGESFHNFLPPPPPLVIVPESFEEQMMMAMAVSMAEVHATTTSAPTEVTWQ >scaffold_202814.1 pep chromosome:v.1.0:2:18181580:18182740:1 gene:scaffold_202814.1 transcript:scaffold_202814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPSIRGSELPQRQSPRLRTSLVSTSSDRHHLSRPITDRSPKLGLDRRSPRSGGPHTDPLTQKKLGSRISGLESQLGQAQEELSLLKQQLAKAEAAKKRAQEELLRKKSKKSDPPAPERDDIPGDGHQETDVFEVLVEKAKESEKTKNDELASKEDQINVLKARLYDLEKERVSLGQENEGLKNQLKKTDSEMSSAKAKEDEIASKVSQIGEELEESNETTAKLKKKLESVEEAKESLEAEMKKLKVQTEQWRKAADAAAAVLAEGVEMNGRFSEQYGSMEKHFAGRFVGSPGMADDSDDGLGFGKRKGSGMKMFGDLWKKKGQK >scaffold_202817.1 pep chromosome:v.1.0:2:18202187:18203078:-1 gene:scaffold_202817.1 transcript:scaffold_202817.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soul heme-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7KVB2] METGLNILKLSLCLSLAVVGSYAQAPVPFNGFRPGTCDHYECPTYKLVEAGYGFEIRMYDAALWISTSPIPAPSMTQATKTGFRRLFSYIQGDNKSKVKMNMTAPVITQATPGKSVYTISFYLPKKNQQSPPPADDLHVQSWKPTYVAVRQIGGYVSDDVAKKEAAALMESLQSSDWILPVEKSRGKSPAYIVADYNPPSQTTARVNEIMVPFNM >scaffold_202825.1 pep chromosome:v.1.0:2:18249959:18251708:-1 gene:scaffold_202825.1 transcript:scaffold_202825.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solanesyl diphosphate synthase [Source:UniProtKB/TrEMBL;Acc:D7KVB8] MMTSCRNIDLGTMMMACGCGRRQFPSLAKTVVCKFGSSNRSYGGGILCKAVPTKSKEISLLNGIGQAQTVTFDLRQASKQPISLVNLFELVADDLQTLNDNLLSIVGAENPVLISAAEQIFGAGGKRMRPGLVFLVSHATAELAGLKELTTEHRRLAEIIEMIHTASLIHDDVLDESDMRRGKETVHELFGTRVAVLAGDFMFAQASWYLANLENLQVIKLISQVIKDFASGEIKQASSLFDCDAKLDDYLLKSFYKTASLVAASTKGAAIFSRVETDVTEQMYEFGKNLGLSFQIVDDILDFTQSTEQLGKPAGSDLAKGNLTAPVIFALEKEARLREIIESEFCEAGSLEEAIEMVREGGGIRRAQELAREKADDAIKNLQCLPRSAFLSALEEMVMYNLERID >scaffold_202831.1 pep chromosome:v.1.0:2:18264382:18266375:-1 gene:scaffold_202831.1 transcript:scaffold_202831.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bile acid:sodium symporter family protein [Source:UniProtKB/TrEMBL;Acc:D7KVC3] MAAISLSLVNGATPLKSNSLHKSKLTPLHLRTISFSRLSYSSSSREISLKTQSTVPISCRRRFDFVPRSGISSNDLPTEKKKSFGEWVEFVGEAVSTAFPIWVSLGCLLGLMRPSTFNWVTPNWTIAGLTITMLGMGMTLTFDDLRGAFSMPKELFAGFVLQYSVMPLSAFFVSKLLNLPPHYAAGLILVGCCPGGTASNIVTYIARGNVALSVLMTAASTVSAVIMTPLLTAKLAKQYITVDALGLLMSTLQVVLLPVLAGAFLNQYFQKLVKFVSPVMPPVAVGTVAILCGYAIGQNASAILRSGKQVVLASCLLHISGFLFGYLFSRLLGIDVASSRTISIEVGMQNSVLGVVLATQHFGNPLTAVPCAVSSVCHSILGSALAGIWRRSAPKQIED >scaffold_202836.1 pep chromosome:v.1.0:2:18288611:18291545:-1 gene:scaffold_202836.1 transcript:scaffold_202836.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KVT2] MAVDSADRREVIVKIDGDNNGVSGETVGRIWRDGSYDFWTDGEGNLNKGHNAAAVDSDRSAATTEEQQDEGFEFRRGEDPPTKLIGQFLHKQQASGEICLDMDLGMDELQSRGLTPVSESPTSAKVPTKPPDPVGRRDSRSNNNNNDDGEVVKCSGNAPIQRSSSNLLKMRTKSRLSDPPTPQLPPQTADMKSGRIPKSGQMKSGFFGKSPKNQGEEEEDDPFAAEDLPEEYRKDKLSLWIVLEWLSLILIIAGFVCTLAIPSLRRKKLWELQLWKWESMVLVLICGRLVSSWIVKIVVFFIERNFLLRKRVLYFVYGVRKAVQNCLWLGLVLLAWHFLFDEKVAKAANTKALRVVTKIFVCLLVGFLLWLVKTLLVKVLASSFHMSTYFDRIQESLFTQYVIETLSGPPLIEIQKNEEEEERISVEVKKFQNPGGVEIQSGAQKSPMKTGKSPLISRVLSNGGGGGGGGENKGITIDSLHKLNPKNVSAWKMKRLMNIIRNGSLTTLDEQLEDPNLDDDKGNQIRSEFEAKLAARKIFHNVAKPGSKFIYANDIMRFLPDDEALKTLSLFEGASETNRISKSSLKNWVVNAFRERRALALTLNDTKTAVNRLHKMVNIVVGIIILVIWLIILGITSTKFLVVMSSQVVVVAFIFGNMCKIVFESIIYLFVIHPFDVGDRCEIDGVQMVVEEMNILTTVFLRFDNQKVVYPNSLLWTKSIGNYYRSPDMGDGIEFSIHITTPAEKIILIKQRITSYIEGKKDHWYPAPMIVFKDMESLNSVRIAVWPTHRMNHQDMGEKWARRSQLVEEIAKICRELDIEYRLYPLDINVRNMPTSTVLPVSDRLPPNWSAPASGSN >scaffold_202839.1 pep chromosome:v.1.0:2:18297081:18297781:-1 gene:scaffold_202839.1 transcript:scaffold_202839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEESRVPSKKTEVRLFGVSIPLSSASSTNSDEELKKNHCLEDPKKGFVSTTLCLYDDTWHCRNADYPNVTCAPGNEELTRKIKQYETGPWVIKKKLNIFDIRRQLYLSKADVECHILRYLSEDDQKKLVQGDGIIVHMYDHESDTSQFAQCASVEVDFENELLSKGYLPPRFCSQKRLKAGDMIGLAWDRFNYKLHFRVLSRATAKAPTEELKLFH >scaffold_202840.1 pep chromosome:v.1.0:2:18298437:18299282:-1 gene:scaffold_202840.1 transcript:scaffold_202840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFVLSKSSATKSQTFMSQEQQGTSPMNTDEALKGHHCFWKTPNYLESTLSRRYQSNFSLGSQRFSGQFLMIPNPGFVDISSMTNSQDTNPASFQPTNPKIILKEEKENEEQQYWTIKKELTKSNVAYGTCRRLTLSKSSVEEHILKHLLPEDSKKIDKGKPGITVMVYDHDTDTEHELCLAFQRSYVLKNGWVKTFIKRRGLEEGDKIGLFWECSTYKLHFSVLSRVKTKAPA >scaffold_202843.1 pep chromosome:v.1.0:2:18305852:18308154:1 gene:scaffold_202843.1 transcript:scaffold_202843.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyl hydrolase 3 [Source:UniProtKB/TrEMBL;Acc:D7KVT9] MWRFYFCLPFLLFDISAATSAESIFLPSQIRVDSSRVFDTRSSHVCSSPDPNLNYKPVIGILTHPGEGRWDAGRHSLSYAYSTNISYIAASYVKLAETGGARVIPLIYNEPEELLFQKLELVNGVIFTGGWAKTGLYYDIVEKIFNKVLEKNDAGEHFPVYAMCLGFEILSMIISQNRDILERFDSVNYASSLQFFENVNIEATVFQRFPPELLKKLSTDCLVMQNHYFGISPDNFQGNPSLSSFFNILTTSADKDSKTFVSTIRSKGYPVTAFQWHPEKNAFEWGSSEIPHSEDAIQVTQYAANYLVSEARKSMNRPSSEKVLSNLIYNYKPTYSGYKGSGDDEVYIFM >scaffold_202846.1 pep chromosome:v.1.0:2:18323209:18324929:1 gene:scaffold_202846.1 transcript:scaffold_202846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRMPTWRERENNKRRERRRRAIAAKIFTGLRMYGNYELPKHCDNNEVLKALCNEAGWIVEPDGTTYRKGCSRPVERMEVGGGSATASPCSSYQPSPCASYNPSPGPSNFMSPASSSFANLTSGDGQSLIPWLKHLSTTSSSSASSSSRLPNYLYIPGGSISAPVTPPLSSPTARTPRMNADWQQLNNSFFVSSTPPSPTRQIPDSEWFSGIQLAQSVPASPTFSLVSQNPFGFKEEAASAAGGGGGSRMWTPGQSGTCSPAIPPAADQTADVPMSEAVAPPEFAFGSNTNGLVKAWEGERIHEESGSDDLELTLGNSSTR >scaffold_202851.1 pep chromosome:v.1.0:2:18350186:18350847:1 gene:scaffold_202851.1 transcript:scaffold_202851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFLFFRVLSYINSGNTCFIASALQCFTHTVPLLESLHSYKCQSPCNRGSETFCVMHALQDHHIKLINNQEDAHEFLQSVLDKLKRCLNPRNRPGSVSSQDVNIVDHVFGAVS >scaffold_202855.1 pep chromosome:v.1.0:2:18359108:18360197:-1 gene:scaffold_202855.1 transcript:scaffold_202855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKKLITCCLRTERTTSYESSCSELSSLKNGGLLVEELIRISNGDYNPFYIFSEHELKQATKDYDQDLVLLLDDNYRLFQGVLENRGTVLIKKTNDHDELVEYCIREIAIAAYVSMNRNLVKLLGCCLESKVPIIVFEYVPNGNLSAYLQEENMILPWKWRVRIAAQVAAAIVYLHVGKSRPLIHRHVKTGNVLLDNNLNAKLFDFGLSLEIPLGETYVEALVEGTIGFIAPESVDTGKFNEKTDVFAFGATLIEILTGREPHDVFIEASRDRFPSLTPVSEFSLMPIPLPTRRDLLVFLKSNLIKDGKQNAAEASAELAASCVEVLPEKRPTIEEVAKKLRQIQNM >scaffold_202856.1 pep chromosome:v.1.0:2:18362359:18362975:-1 gene:scaffold_202856.1 transcript:scaffold_202856.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g78815 [Source:UniProtKB/TrEMBL;Acc:D7KVV4] MASTSNKGKGIAEGSSQPQPQPHQPQSPPNPPALSRYESQKRRDWNTFCQYLRNQQPPVHISQCGSNHILDFLQYLDQFGKTKVHIHGCVFFGQVEPAGQCNCPLKQAWGSLDALIGRLRAAFEENGGLPERNPFAGGGIRVFLREVRDSQAKARGVPYKKRKKRKKRNPMKSHDGEDGTTGTTSSSNLPS >scaffold_202857.1 pep chromosome:v.1.0:2:18364820:18366228:-1 gene:scaffold_202857.1 transcript:scaffold_202857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFDFLVITALAISTVSVVMAQVPPEKQFRVLNEPSYAPYITEYDASYRFLDSPNQDFFTFPFQLMFYNTTPSAYVLALRVGSRRDMSFTRWIWDANRNNPVGDNSTLSLGRNGNLVLAELNGHVKWQTNTANKGVTGFEILPNGNMVLHDKHGKFVWQSFDHPTDTLLVGQSLKVNGVNKLVSRTSAMNGSDGPYSMVLENKGLTMYVNRTGKPLVYGGWPDHDFRGTVTFAVTREFDNLTEPSAYELLLEPAPQPATNPGNNRRLLQVRPIGSGGGTLNLNKINYNGTISYLRLGSDGSLKAYSYFPVATYLEWEESFSFFSTYFVRQCGLPTFCGDYGYCNRGMCVGCPTPKGLLAWSDKCAPPKTTQFCGGGKGKTVNYYKIVGVEHFTGPYVNGGQGPTSVNDCKAKCDRDCKCLGYFYKEKDKKCLLAPLLGTLIKDANTSSVAYIKY >scaffold_202859.1 pep chromosome:v.1.0:2:18371759:18373124:-1 gene:scaffold_202859.1 transcript:scaffold_202859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSITLALCLTLSIFLIGAQAKVPVDDQFRVVNEGGYTDYSPIEYNPDVRGFVPFSDNFRLCFYNTTPNAYTLALRIGNRAQESTLRWVWEANRGSPVKENATLTFGEDGNLVLAEADGRVVWQTNTANKGAVGIKILENGNMVIYDSSGKFVWQSFDSPTDTLLVGQSLKLNGRTKLVSRLSPSVNTNGPYSLVMEAKKLVLYYTTNKTPKPIAYYEYEFFTKITQLQSMTFQAVEDSDTTWGLHMEGVDSGSKFNVSTFLSRPKHNATLSFIRLESDGNIRVWSYSTLATSTAWDVTYTAFTNDDTDGNDECRIPEHCLGFGLCKKGQCNACPSDKGLLGWDETCKTPSLASCDPKTFHYFKIEGADSFMTKYNGGSSTTESACGDKCTRDCKCLGFFYNRKSSKCWLGYELKTLTRTGDSSLVAYVKAPNANQKFTL >scaffold_202860.1 pep chromosome:v.1.0:2:18377294:18379255:1 gene:scaffold_202860.1 transcript:scaffold_202860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 1 [Source:UniProtKB/TrEMBL;Acc:D7KVV8] MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGA >scaffold_202864.1 pep chromosome:v.1.0:2:18385298:18386742:-1 gene:scaffold_202864.1 transcript:scaffold_202864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMGKAMGLLISGTLVYYHCAYRNATLLSLFSDVFIVLLCSLAILGLLFRQLNVSVPVDPLEWQISQDTASSIIARLANTVGAAEGVLRVAATGHDKRLFVKVVICLYFLSALGRLISGVTVAYAGLCLFCLSMLCQTSQSLGNCVLKRGNGEILEQEAHSDT >scaffold_202874.1 pep chromosome:v.1.0:2:18427107:18431381:1 gene:scaffold_202874.1 transcript:scaffold_202874.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:D7KVW9] MWKLKIGEGNGEDPYLFSSNNFVGRQTWEFDPKAGTPEERAAVEEARRKFLDNRSRVKGCSDLLWRMQVIFERGEIRASDPAGEDRRRRRHNLRKRDECATESSFFLLGFASDGHWPAEIAGTLFFLPPLVFCLYITGHLEKIFDAEHRSEMLRHIYCHQNEDGGWGLHVEGNSVMFCTALNYICLRMLGEGPNGGRDNSCKRARQWILDHGGVTYIPSWGKFWLSILGIYDWSGTNPMPPEIWLLPSFIPIHLGKTLCYTRMVYMPMSYLYGKRFVGPLTPLIMLLRKELHLQPYEEINWNKARRLCAKEDMIYPHPLVQDLLWDTLHNFVEPFLTSWPLKKLVREKALRVAMEHIHYEDENSHYITIGCVEKVLCMLACWIENPNGDHFKKHLARIPDFMWVAEDGLKMQSFGSQLWDTGFAIQALLACDLSDETDDVLRKGHNFIKNSQVRENPSGDFKSMYRHISKGAWTLSDRDHGWQVSDCTAEALKCCMLLSLMPAEVVGQRIDPEQLYDSVNLLLSLQGEKGGLTAWEPVRAQEWLELLNPTDFFTSVMAEREYVECTSAVIQALVLFKQLYPDHRTKEIIKSIEKGVQFIESKQTPDGSWYGNWGICFIYATWFALSGLAAAGKTYKSCLAMRKGVDFLLTIQEEDGGWGESHLSCPEQRYIPLEGNRSNLVQTAWAMMGLIHAGQAERDPTPLHRAAKLIITSQLENGDFPQQEILGVFMNTCMLHYATYRNTFPLWALAEYRKAAFLTHQDL >scaffold_202880.1 pep chromosome:v.1.0:2:18458525:18460325:-1 gene:scaffold_202880.1 transcript:scaffold_202880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase 23 kDa subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7KVX4] MASILARRSLNTLRARHLVLSGQALQGSHLSRLQSRGISYGSNKDDEEAEQLSKEISKDWNTVFERSINTLFLTEMVRGLSLTLKYFFDPKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAVCPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >scaffold_202887.1 pep chromosome:v.1.0:2:18482735:18484517:-1 gene:scaffold_202887.1 transcript:scaffold_202887.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KVY0] MSTLLNSVLSMAANPSPESSPRKSLGFVSHIPTGFLHFSSVNKGVARVLASTQITLSPKDSAFTITGSSWKPDLGSGSFSDDPRSDEPSLSDTFSHLECLVTDGHKPNVAHSTQLLYDLCKANRLKKAIRVIELMVSSGIIPDASAYTYLVNQLCKRGNVGYAMQLVEKMEDHGFPSNTVTYNALVRGLCMLGSLNQSLQFVERLMQRGLAPNAFTYSFLLEAAYKERGTDQAVKLLDEIIAKGGQPNLVSYNVLLTGFCKEGRTDDAMKLFRELPVKGFKANVVSYNILLRCLCCDGRWDEANSLLAEMDGGDRAPSVVTYNILINSLAFHGRTEQAMQVLKEMSKGHHHQFRVTATSYNPVIARLCKEGKVDLVVKCLDEMIYRRCKPNEGTYSAIGALCEHNGKVQEAFYIIQSLSNKQKCCTHDFYKSVITSMCRKGNTFAAFQLLYEMTRCGFDPDAHTYSALIRGLCLEGMFIGAMEVLSIMEESEYHKPTVDNFNAMILGLCKIRRTDLALEVFEMMVGKKRMPNETTYAIIVEGIAHEDELELAKEVLEELRSRKVIGQNAVDRIVMQFNLD >scaffold_202889.1 pep chromosome:v.1.0:2:18489252:18489944:1 gene:scaffold_202889.1 transcript:scaffold_202889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASASSSSPRSSLGSSLSWSISRSRSRSRSPSSACSSTTSHRKKVDPPIGDAYVEFKARADAEKPQLYMDAQSSHYYAKKSDEVGADIEKDDDQISL >scaffold_202892.1 pep chromosome:v.1.0:2:18505041:18506473:-1 gene:scaffold_202892.1 transcript:scaffold_202892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSGVVRGGRSDIELPDEILSLIPTDPFEQLDLVVGLRQKLQEMEMGIHELKGKASRFERDFREADSRLKIIRHDNMNLTKERDSLATTVTDLNREMAKLETFKRKLIQSFSNENDQQTEPVDIRTCDQSVPDSYPDKVNISLCYLKADQRKNVHCFQHSYSKSSDLTHPLDQGPRFSVSPWIRPTRTPDIISPRSSFSAGPPKKTSGAMSPTYTTLRYSEQRTEIFTCLS >scaffold_202894.1 pep chromosome:v.1.0:2:18508628:18512933:-1 gene:scaffold_202894.1 transcript:scaffold_202894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRRKQKVIPPPQLPPDVPDEDIEFSDEDLKYVEENTEYARFVSRLDTAAINKQCGGRVKTVEDKYEEERSKKKTLQEEKGNGEILVDPVDVLPVKTLDGKLHYRTESKKSKLAEADTDEAEKDVLDDENLLNKSQRREKAKKSKREAKKHDKDFPNEILQEEETPQAAVLAEVKEELSAAETFENKKNKLAELGMLLLSDPEANIKSLKEMLDICKDENTKIVKLGLLSLLAVFKDIIPGYRIRLPTEKELEMKISKEVKKTRFYESTLLKAYKSYLQKLIIFETKSVYNQIANRCLCTLLEAVPHFNYRDNLLIAVVRNISSPDEVVRRLCCSTIRSLFSNEGKHGGELTVQAVRLIADHVKAHNCQLHPNAIEVFMSIRFDEDIGKRDKEDEHNKKYKKNNKRKAQEEQNQVQENERKKSKQEMMSKIRDEVSADYRGVTYEPDAKERRKMQTETLSAVFETYFRILRNTMYTIGERTEENPTSNPGAFGSHPLLAPCLDGLAKFTQQLDLDYIGDLMNYLKKLASSSSVSNNTKQKNSKLLTVSERLRCCLVAFKVMRSNLNALNVDLQDFFVQLYNLILEYRPGRDSGVVLAESLKIMLCDDRHQDMQKAAAFVKRLATFALCFGCAESMSALVTLKTLLQKNVKCRNLLENDAGGGSVSGSIAKYQPYATDPNLSGALATVLWELSLLSKHYHPAISTMATTVSNMNTSQSQTFLSAVTPQQAFADFSLVKESFELKNESRKLNKRKRESLPEEAKNVPEIDMVKLSKKLKENFTILRDIKEDKRVRMELQSEKKKPMKKQINVVKKKLKNPKSKKKI >scaffold_202898.1 pep chromosome:v.1.0:2:18532417:18533771:1 gene:scaffold_202898.1 transcript:scaffold_202898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSERSPKEKKRSRARSEDSSSSDYEGKVKRHRGTDKDDERKSRRSEKKDKKSHKHHKSSSSKKSKDDKPKKKHAEGDHKLKEGIPELSMEDYFSKNNEFATWLKEEKRTYFNDLTTEAARELFSRFVKIWNRGKLESRYYEGISTAPRTAHNWMIKHR >scaffold_202899.1 pep chromosome:v.1.0:2:18534277:18536334:-1 gene:scaffold_202899.1 transcript:scaffold_202899.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:D7KVZ2] MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKASNGVVIATEKKLPSILVDEASVQKIQHLTPNIGTVYSGMGPDFRVLVRKSRKQAEQYLRLYKEPIPVTQLVRETATVMQEFTQSGGVRPFGVSLLVAGYDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGFEGEISSKNIEIGKIGADKVFRVLTPAEIDDYLAEVE >scaffold_202901.1 pep chromosome:v.1.0:2:18538831:18541784:1 gene:scaffold_202901.1 transcript:scaffold_202901.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfurtransferase [Source:UniProtKB/TrEMBL;Acc:D7KVZ4] MASTLFSRSFLAASHRMITPSLPQKIFSPATFLSRSFYSQLGTASTAYKSSTWARRAMASTGVETKAGYSTSSISTSEPVVSVDWLHANLREPDLKILDASWYMPDEQRNPIQEYQVAHIPGALFFDLDGISDRRTSLPHMLPTEEAFAAGCSALGIDNKDGVVVYDGKGIFSAARVWWMFRVFGHEKIWVLDGGLPRWRASGYDVESSASGDAILKASAASEAIEKIYQGQTVSPITFQTKFQPHLVWTLDQVKKNMEDPTYQHIDARSKARFDGTAPEPRKGIRSGHIPGSKCVPFPQMFDSCNTLLPAEELNKRFEQEDISLDKPIMSSCGTGVTACILAMGLHRLGKTDVPVYDGSWTEWATQPDLPIESEESSS >scaffold_202914.1 pep chromosome:v.1.0:2:18621123:18622684:1 gene:scaffold_202914.1 transcript:scaffold_202914.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase 7 [Source:UniProtKB/TrEMBL;Acc:D7KWG9] MAKKAVLIGINYPGTKAELRGCVNDVRRMYKCLVERYGFSEENITVLIDTDESSTQPTGKNIRRALADLVGSAESGDVLVVHYSGHGTRLPAETGEDDDTGFDECIVPCDMNLITDDDFRDLVDKVPQGCRMTIISDSCHSGGLIDEAKEQIGESTKKEAEDEDESEESSSRFGFRKFLRSKVEGAIESRGFHIGGDKKDEDEAEEIETKEIELEDGERIHAKDKSLPLQTLIDILKQQTGNDNIEVGKIRPSLFDAFGDDSSPKVKKFMKVILGKLQAGNGEEGGLMGMLGKLASGFLEGKLNDEDYVKPAMQTHVGSKEEVYAGGSRGSVPLPDSGILISGCQTDQTSADATPAGKPTEAYGAMSNSIQKILEETDGEISNREMVTRARKALKKQGFTQQPGLYCHDGYANAPFIC >scaffold_202916.1 pep chromosome:v.1.0:2:18632838:18634458:-1 gene:scaffold_202916.1 transcript:scaffold_202916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTQPLLTDSNSSSPRSFDDTIESYIGSFGWAQFLQAALVSFSGVFDAQQTFISVFTDSEPTWHCTDSDSICHESISNICILPKTAWSWDYSPHVSVISEWGLQCAGSFVKGLPESSFFVGCLIGGLVLSTLADSSLGRKNMLFLSCLVMAISTMLTVFSPNIWVYAVLRFVNGFGRATIGTCALVLSTELVGKKWRGRVGIMSFFGFMLGFLSLPLMAYINRGSSWRILYVWTSIPTIIYCVLVRFFVCESPRWLFVRGRKEEAISILKRVASIPSTDVNIGGAISMSFSSLPFEDEEEKPNNVNIFTTMKVLVEKRWALKRLSAVMAIAFGIGLVYYGMPLALSNLDFNIYLSAAFNALMDLPANLITLFLVDKLSRRNALIGFTALGGVSSVLIFALQNMRIGNHGALQLALELISYFSACSAFNMEMIYTIELFPTCVRNSAIAMARQALVLGGVFSPIMVAAGRKNAFWSFGLFGLAIALLGLFAVGLPETRGSDLCDTMDEEECKDRRSKVAIDSVIA >scaffold_202937.1 pep chromosome:v.1.0:2:18721678:18727230:1 gene:scaffold_202937.1 transcript:scaffold_202937.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1047/FTSH12 [Source:UniProtKB/TrEMBL;Acc:D7KWJ2] MEIAISYKPNPLISSSTQLLKRHESSGLVRFPSKYGLGATRKKQLFRVYASESSSGSSSNNDGGFSWVRLAQSIRVGAERIGEKIGESVKKGIGFDSEEASARVDEYVGRVKDSVHELNRFKTETVPSFIDWNKWEHWKDIRNWDGKRVAALFIYAFALLFSCQRVYVAIQAPRVEQERRELTESFMEALIPEPSPGNIEKFKRNMWRKTTPKGLKLKRFIEAPDGTLVHDSSYVGENAWDEDLETTEGSLKKIIDRNARIQTEAKKKLSQDLGVSGEIGDSVGTWRERLATWKEMLEREKISEQLNSSTAKYVVEFDMKEVEKSLRKDVIERTSETEGTRALWISKRWWRYRPKLPYTYFLQKLDSSEVAAVVFTEDLKRLYVTMKEGFPLEYIVDIPLDPYLFETICNAGVEVDLLQKRQIHYFMKVFIALLPGILILWFIRESAMLLLITSKRFLYKKYNQLFDMAYAENFILPVGDVSETKSMYKEVVLGGDVWDLLDELMIYMGNPMQYYEKDVAFVRGVLLSGPPGTGKTLFARTLAKESGLPFVFASGAEFTDSEKSGAAKINEMFSIARRNAPAFVFVDEIDAIAGRHARKDPRRRATFEALIAQLDGEKEKTGIDRFSLRQAVIFICATNRPDELDLEFVRSGRIDRRLYIGLPDAKQRVQIFGVHSAGKNLAEDIDFGKLVFRTVGFSGADIRNLVNEAAIMSVRKGRSYIYQQDIVDVLDKQLLEGMGVLLTEEEQQKCEQSVSYEKKRLLAVHEAGHIVLAHLFPRFDWHAFSQLLPGGKVYMVDQGYTTFGYMKMQMVVAHGGRCAERVVFGDDVTDGGKDDLEKITKIAREMVISPQNARLGLTQLVKKIGMVDLPDNPDGELIKYRWDHPHVLPADMSVEVSELFTRELTRYIEETEELAMNALRANRHILDLITRELLEKSRITGLEVEEKMKDLSLLMFEDFVKPFQINPDDEELLPHKDRVSYQPVDLRAAPLHRS >scaffold_202940.1 pep chromosome:v.1.0:2:18737811:18738734:1 gene:scaffold_202940.1 transcript:scaffold_202940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGFPAESLDLYKKFRKKDVEPDKLLLLSVASVCFVGKSINNQGGFESGAKTKSVTFAVVLSGCSHSQLVDEARLIFDLAETEHLVKPM >scaffold_202941.1 pep chromosome:v.1.0:2:18740094:18740602:1 gene:scaffold_202941.1 transcript:scaffold_202941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLLYLAIVVFVGLRRLLQFLRKSEDSPSRSLWKYDVFLSFRGTDVRKSFVSHLYEALNNGGVKTFHDDRELQKGTFIWEGLEKEIDQSRFATVNFKKFEIEHPLKRCRVNAKLCSFNHINLSVLKCKVGENKIESSSTFVMMRSSERQNRRS >scaffold_202942.1 pep chromosome:v.1.0:2:18740694:18742664:-1 gene:scaffold_202942.1 transcript:scaffold_202942.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC033 [Source:UniProtKB/TrEMBL;Acc:D7KWJ6] MEIGSSSTVAGGGQLSVPPGFRFHPTEEELLYYYLKKKVSYEPIDLDVIREVDLNKLEPWELKEKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKSIHLNSSKKIGLRKTLVFYTGRAPHGQKTEWIMHEYRLDDNENEIQEDGWVVCRVFKKKNHFRGFHQEQEQDHHHHHHQYISTNNDHDHHHHIDSNSNNQTSLIPHPLDHHHHHHHIGRQIHMPLHEFANTLNHGSMHLPQLFSPDSAAAAAAAAASAQPFVSSINTTDIECSQNLLRLTSNNNYGGDWSFLDKLLTTTNMNQQQVQNHQAKCFGDLSNNDNNDQAVTHDHLGNNNGGSSSSPVNQRFPFHYLGNDANLLKFPK >scaffold_202944.1 pep chromosome:v.1.0:2:18750669:18752191:1 gene:scaffold_202944.1 transcript:scaffold_202944.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KWJ9] MKNKGKGEYVRWMESAKSYVEFDWHVVQFINVCLPSLDLSVLAIDSVISVYLVAQYARPKMKIMDAELGEKRKEDEKKEKEEAQHKALKEEAATMSYGELMEMKERLAIKIITSFNFLTDESNA >scaffold_202945.1 pep chromosome:v.1.0:2:18752220:18754323:-1 gene:scaffold_202945.1 transcript:scaffold_202945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGAQYKYELLWIILLASCASFVIQSLAANLGVVTGKHLAEQCRSEYPKVPNFMLWIIAEIAVVACDIPEGINTSLLFCYLIGTAFALNMLFSMPVWIGVPLTGLSTMVLLKIQKYGVRKLEFLIAILVFTMAISFFVELHYSKPDPGEILHGLFVPQLKGNGATGLAISLLGAMVMPHNLFLHSALVLSRNIPRSTTGIKKACRFYLIESGLALMVAFLINVSVISVSGAVCNAPNLSPEDRANCENLDLNKASFLLRNVLGKWSSKLFAIALLASGQSSTITGTYAGQYIMQGFLDLKLKPWLRNLLTRCLAIIPSLIVSLIGGSAGAGKLIIIASMILSFELPFALVPLLKFTSCKTKMGSHVNSIWITALTWIIGLLIMGINIYYIVTSFIKLLLHSHMKLVAVIFCGIIGFAGIAIYLASIAYLVLRKNREATPLVETLPRQDIVNMQSDVTL >scaffold_202951.1 pep chromosome:v.1.0:2:18779049:18783254:-1 gene:scaffold_202951.1 transcript:scaffold_202951.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase [Source:UniProtKB/TrEMBL;Acc:D7KWK7] MEKKKYPIGPEHYSLYEVIGQGVSALVHRALCIPFDEVVAIKILDFERDNCDLNNISREAQTMMLVDHPNVLKSHCSFVSDHNLWVIMPYMSGGSCLHILKAAYPDGFEEAIIATILREALKGLDYLHQHGHIHRDVKAGNILLGARGAVKLGDFGVSACLFDSGDRQRTRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITGLELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSRSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSSDYIARKLLDGLPDLVNRVQAIKKKEEDMLAQEKMADGEKEELSQNEYKRGISGWNFNLDDMKAQASLIQDMDCGFSDSLSGSTTSLQALDSQDTQSETQEDVGQITNKYLQPLIHRSLSIARDKSDDDSSLASPSYDSYVYSSPRHEDLSLNNTTVGSNHAINGKPTDSTSIPTNQPTEILAGNSALADRNGIPNKGESDKTQDHLQNGSNCNGAHPTVGGDEVPTELAVKPPKAASSLDESDDKSKPPVVQQRGRFKVTSENLDIEKVVAPSPILQKSHSMQVLCQHSSAPLPHSDVTLPNLTSSYVYPLVYPVLQTNILERDNILHMMKVLTNRELTDGRAVEQGSVQQPTVPPTEKSMLEAAHEREKELLHDITDLQWRLICAEEELQKYKTEHA >scaffold_202953.1 pep chromosome:v.1.0:2:18790346:18790667:1 gene:scaffold_202953.1 transcript:scaffold_202953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDGIVSSPLRRPHALKKQWDDLGSCSTVVQRHRFLLTAMLLLAFLCTIYIYFAVTLGARHLSCSGMTGKDKAMCQLGHVQATFSKGKLKFL >scaffold_202955.1 pep chromosome:v.1.0:2:18793239:18793478:1 gene:scaffold_202955.1 transcript:scaffold_202955.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KWL1] MASLILFQPSFAFRLPALGGFLSAGAGSSPLFLVFVALGLQSFGLSFGEPSVLFELWFGFSCGSG >scaffold_202960.1 pep chromosome:v.1.0:2:18810150:18812094:-1 gene:scaffold_202960.1 transcript:scaffold_202960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7KWL6] MLKKATYLPLNLSLAPLLLVLIFLLLCVVHGVGEKKILSVHNNIWSPKKSYEASSSCFSRSLGKGRESTTLEMKHRELCSGKTIDWGKKMRRALLLDNIRVQSLQLRIKAMTSSTTEQSVSETQIPLTSGIKLETLNYIVTVELGGKNMSLIVDTGSDLTWVQCQPCRSCYNQQGPLYDPSVSSSYKTVFCNSSTCQDLVAATGNSGPCGGFNGVVKTTCEYVVSYGDGSYTRGDLASESIVLGDTKLENLVFGCGRNNKGLFGGASGLMGLGRSSVSLVSQTLKTFNGVFSYCLPSLEDGASGTLSFGNDFSVYKNSTSVFYTPLVQNPQLRSFYILNLTGASIGGVELKTLSFGRGILIDSGTVITRLPPSIYKAVKTEFLKQFSGFPSAPGYSILDTCFNLTSYEDISIPTIKMIFEGNAELEVDVTGVFYFVKPDASLVCLALASLSYENEVGIIGNYQQKNQRVIYDTTQERLGIAGENCMPTP >scaffold_202963.1 pep chromosome:v.1.0:2:18820038:18823730:1 gene:scaffold_202963.1 transcript:scaffold_202963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHEYDSSSEEEERARRRDRRIRERSPDVRRSRTETDDVARRVRVSDDEDHKSSRRDLEIGGGERRRGDKVRKETSSDEELSRRSRKDRKEVNSGSEDDRGKKIEAGSDADGERRVSKGKNKDRVRADTSSDEEADDSKGNKKETMEVEDDYGRSGRRRSPKVMEKHGRERSHRGSRVIADKPSDEEDDRQRSRGGRRESERKRRDHRASDDDEEGEIRSERKGKERNDRGNEGLLKRDRRERDLTDGHENGSRRREVERKDRSRRDDGVRDEKERRHNDRYDDSQRDKARKEDSRKREEKIEVPKPKLAELNPSENNAMALGKTGGVYIPPFKLARMMKEVEDKSSVEYQRLTWDALRKSINGLVNKVNASNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVINAKFPEVAELLLKRVILQLKRAYKRNDKPQLLAAVKFIAHLVNQQVAEEIIALELVEVLLDEPTDDSVEVAVGFVRDCGAMLQDVTPKGLNGIFERFRGILHEGEIDKRVQYLIESLHATRKAKFQGYPAVRPELDLVEEKYSHDLSLNQEIDPETALDVFKPDPDFVENEKKYEALKKELLGDEESEDDDGSDASSEDNDEDEDESDEEDEEQMRIRDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKIHQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLQDPTMQESLESIFPKDNPKNTRFAINFFTSIGLGGITENLREYLKNMPSLIMQQQKQVAESESGSSSGSDSSGSESESESDSSSSSSSDESDREKRKRRRRS >scaffold_202965.1 pep chromosome:v.1.0:2:18826051:18828406:-1 gene:scaffold_202965.1 transcript:scaffold_202965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMASMSSGSESLRLCVFDLRRGQHEGQELDKILFFYPPDLTFSTQLSVIGLSEGLITFTRLFSPEAACEVIEAERHSHVFYEAEPDIWMVMVVEKNKEIEAVWRIDALRRVLKEVHSLFVMFQGSIRALLEKEPTGGLIRSHLYPFITDYLNDLFVCKKHQLPSFRETLTERGTVQMLTLARDAALEVQSLVGVLDSCAGTVRCHSVILFHDLLVSTTLSPDDTVDLFAFSVMRLTTNALSSGTSSWSYLRKGSVTPQISSRSTSVLPLGSGGTLPSGNGSSTGRVIRPLQQDKWSKGKDGFLVTDIWGIDATPTILIQKTQERFYFLTYQYKSLTLVLLVPTAAIVNGELDISFVKQQVIENASAKILKVEEKLSKGWGGENAYHVSGYRYLLVDNDMEVSRASPAGKVATLAKESLLALNKLREEVDTEKSRSKQEKDMETCIRAKNNTWVIARLNRGKELYMALEKASETLLDATDSVQRFSNRYCSGAFSMD >scaffold_202966.1 pep chromosome:v.1.0:2:18829682:18831298:1 gene:scaffold_202966.1 transcript:scaffold_202966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELKERLLPPRPASAINLRGDAGSRPSPSGRQPLLGVDVLGLKKRGQGLKSWIRVDTFANSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDNYVLRYVVELQQRLKASSVTEVWNQDTLELSRRRSRSLDNVFQNSSPDYLPFEFRALEVALEAACTFLDSQASELEIEAYPLLDELTSKISTLNLERARRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKKRMEGSLYGDQSLPVYRSNDCFSLSAPVSPVSSPPGSRRLEKSLSIVRSRHDSAKSSEGATENIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFEIDFFEQPGAFKWVLTITGVCGLVVFLAFVWFYKRRRLMPL >scaffold_202974.1 pep chromosome:v.1.0:2:18865019:18867793:1 gene:scaffold_202974.1 transcript:scaffold_202974.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NRAMP1 [Source:UniProtKB/TrEMBL;Acc:D7KWN0] MAATGSGRSQFISSSGGNRSIENSDSNQIIVSEKKSWKNFFAYLGPGFLVSIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLAANLGVVTGKHLAEQCRAEYSKVPNFMLWVVAEIAVVACDIPEVIGTAFALNMLFSIPVWIGVLLTGLSTLILLALQKYGVRKLEFLIAFLVFTIAICFFVELHYSKPDPGEVLHGLFVPQLKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSASGIKEACRFYLIESGLALMVAFLINVSVISVSGAVCNAPNLSPEDRANCEDLDLNKASFLLRNVVGKWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRLEPWLRNLLTRCLAIIPSLIVALIGGSAGAGKLIIIASMILSFELPFALVPLLKFTSCKTKMGSHVNPMAISALTWVIGGLIMGINIYYLVSSFIKLLIHSHMKLALVVFCGILGFAGIALYLAAIAYLVFRKNREATPLLASRNSQNVETLPRQDIVNMQLPCRVSTSDVD >scaffold_202986.1 pep chromosome:v.1.0:2:18899920:18907031:-1 gene:scaffold_202986.1 transcript:scaffold_202986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKNKQMKRAEPESDLCFAGKPVSVVESMIRWPHRYPSKKTKLEAATATKGDKKEKIKLAKRHYEQALVDGVLINLNDDVYVTGLPGKLNFIGKVIEMFEADDGVPYSRIRWFYRPNDTLIERFAELVQKKRVFLSNVEDDNPLTCIYSKVNIAKVPLPKITSRIEQRVIPPCDYYYDMKYEVPYLNFTSADDDTAASSTLSSDSASNCFETLHKDEKYLLDLYSGCGAMSTGFCMGASIAGVKLITKWSVDINKFACDSFRHNHPETEVRNEAAEDFLILLKEWKRLCERFSLSSSTEPMESISELEDEESDENDDIDEASTGMELSAGEFEVEKFVGIVFGDPKGTGEKTLHLKGTVYSVCGGPPCQGISGYNRFRNKQAPLEDKKNQQLLVFLDIIDFLKPSYVLMENVVDLLRFSKGYLARHAVASFVAMNYQTRLGMMTAGSYGLPQVRNRVFLWAAQPTEDLQVGLIQKELLQLDNALTLADAISDLPPVTNSEANDVRNYNDAAPKTDFENFISLKRSETLLPVCGGDPARRLFDHQPLELRDDDLERISYIPKKKGANFRDMPGVLVHNNKAQLNLRVKRAKLKSGKNVVPAYAVSFIKGKSKKPFGRLWWDEIVNTVVTRAEPHNQCVIHPMQERVLSVRENARLQGFPDCYKLCGSIKEKYIQVGNAVAVPVGVALGYAFGMASQGLTDDEPVIKLPFKYPECMQGTNFEFLSALGNVSKDTGIVASMILNSTRDFEARVQYNEVEKKRCSTMIATLCRFKPPQTPEEVEGDLCAAFDKLSVDDFFKGDMPDWVPEDALTASDNLQYYEEKLQWAQPFELRKIIVQTREDVESKKWAKAENAILHCLQKPWLPSRLQCYHKENNG >scaffold_202994.1 pep chromosome:v.1.0:2:18931699:18935929:-1 gene:scaffold_202994.1 transcript:scaffold_202994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSIQPFPEISSMARNLDSRKKRRISLDGIAALCEHSKEIIDSLPMLNSPDYFLKPCMNDLVEREIKNPDYCSRVPDFTIGRIGYGYIKFLGNTDVRRLDLDQIVKFRRHEVIVYDDESSKPVVGEGLNKAAEVTLIVNIPNLTWGKERVDHIAYKLKQSTERQGATFISFDPDNGLWKFLVPHFSRFGLSDDEADDIAMDDAPGLGDPVGLDGNKVADIDEEDQMETSELELSHSLPAHLGLDPGKMKEMRMLMFPHEDVDESEDFREQTSHNMTSLTKRNVRPSQKISQRNSHQDPPPVVRKTPLALLEYNPGNDKSSLGSILMVQQNKNLAVRKSKTGGFELDISHVTPLTDNYSRNVVDAALFMGRSFRAGWGPNGVLFHTGKPICISSSQMVLSSVINKEKIAIDKVVWDRKGKVRKELIDSAFEAPLSLHKELDHVEEDVRFGSFSLKLQNVVTDRVALSDVCRNYIGIIEKQLEVAGLSTSAKLFLMHQVMVWELVKVLFSERQSTERLNHAASDNEEEMMQDVKEDSAEIDTEALPLIRRAEFSCWLQESVSHRVQEDVSDLNGSGYLEHLFFLLTGRELDSAVELAISKGDVRLACLLSQAGGSTVNRNDILQQLHLWRRNGLDFNYIEKERIKLYELLAGNIHDALQDFTIDWKRFLGLLMWHHLPPDSSLPVIFRSYQLLLDQAKAPWPVPIYIDEGPADGFVSNKHSDLLYYLMLLHSKEQEEIGFLKTMFSAFSSTDDPLDYHMIWHHRGILEAVGAFTSDDLHTLDMGFVAQLLSQGLCHWAIYVVLHIPFREDHPYLHVIVIREILFKFCETWSSVESQRQFIKDLGIPSEWMHEALAVYYNYHGDFVKALDHFIECTNWQKAHSIFITSVAHLLFLSANHSEIWRIATSMDDRKSEIENWDLGAGIYMSFYLLKSSLQEDADTMVELEPLDSTNESCRSFVGRLNESLAVWGDRLPVEARVAYSKMAEEICDLLLSDLSLDPSRETQLKCFETAFDAPLPEDVRSTHLQDAVSLFSLYLSETSQISA >scaffold_203000.1 pep chromosome:v.1.0:2:18951893:18952689:-1 gene:scaffold_203000.1 transcript:scaffold_203000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLLRSKQRFHLNPILIRIFSTSSSNLFSQLVDRPRKYSGDVFDRFAIRPYRREKADEIQSRVSITDQPKEEKRRRPGRPKKKQLVEKKPPMDEKKSRAASIGRKTFTALGSSSWNALKNHSDYICSVMHAMDVLNGLPRVKKWSPLYRASMDHLMADVTHRQAFLTFSDPEDMIRYLRYKTKKTERE >scaffold_20300002.1 pep scaffold:JGI8X:scaffold_203:8291:8717:1 gene:scaffold_20300002.1 transcript:scaffold_20300002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTECNVIKSQIKHCEEHNRQRWLLSQLLNVVSPDGPNTLFFFFCQHSNVV >scaffold_20300003.1 pep scaffold:JGI8X:scaffold_203:12528:12794:1 gene:scaffold_20300003.1 transcript:scaffold_20300003.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWU0] MEHDRGKPYSVLTRNEISVKMKKQINEISDIFCISKSDATVLLMYLRWDSLRVSEFGISCD >scaffold_203007.1 pep chromosome:v.1.0:2:18972366:18973048:-1 gene:scaffold_203007.1 transcript:scaffold_203007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGVDKTVEALLHGQDCANHLKMQLENHETRSLQTEVLVETVLDSFSIALSFFMSITSRHHESSSQNMFSKSLKRCYYRCAYADDQNCHATQRVQMIKDNPYCL >scaffold_203008.1 pep chromosome:v.1.0:2:18975274:18978015:-1 gene:scaffold_203008.1 transcript:scaffold_203008.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7KX43] MMMPSTSTIIAGEESVVLPPVASVSGESSMSDMTQTVHFSSGNPRIGETRGVMHLFSDDAVSSSSSSSSLNLPIGRNPLVCVLGVPNHMTYADFCQFCGSFIQHILEMRTVRNDGIENRYSILIRFDSQESTDTFYQHFRGKQFNSLEEDVCHLLFTLDVQFTGYSGSIDHTQPSAAGPIEQPTCPVCLERLDQDTGGILTTMCNHSFHCSCISNWPDSSCPVCRYCQQQSENSVCCICQTTENLWMCVICGVVGCGRYKEGHARRHWEETDHCYSLELETQRVWDYAGDNYVHRLIQSKTDGKLVELNSHGRLSKDSCGSCEYSDSGMTDALLNSKVDMYFEKLLQNVKEETEQKVSEAGSKAISQRLQKLQTRFDRCLKEKQFLEDLNENLVKNKDVWSTKITEMEEREKKAVRVKDEKIEGLEEQLGKLMAQMDGESEVSETKEVQDATVLPLPTTSNSSSASGNVIHANKKKSNRRKG >scaffold_203010.1 pep chromosome:v.1.0:2:18980924:18983181:-1 gene:scaffold_203010.1 transcript:scaffold_203010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFVHVSSTQIPVAFMSATKRSFRRRASVIRCAAITPKKRYTIAVLPGDGVGNEVIPVAVDVLCLAGSLEGIDYSFKELPIGGVALDLVGVPLPEETLTRAKQSDAVLLGAVGWPKWDNNPKHLKPITALLQLRAALKVFANLRPITVLPQLVDSTYLRKDTAEGVDLLIIRELTGGIYNAHPRGFTTNEQGDEVGFCTESYSASEVDHIARVAFDIAKQRRGKLCSVDKATILEGSMLWRKRVTAMAASEYPEVEVSHLLIDTAAMDLVRHPRQFDTILTGVMFGDILSDLAAMIPGSVGLLPSAALGDSGPGIFEPVHGSAPKHYGKDTVNPMAAVLSAAMLLRYGLKEENAAKRIEEAVSDVLDRGFRTRDIHTCGTTLVGCNRMGEEILRSLDGSCKKL >scaffold_203013.1 pep chromosome:v.1.0:2:18992921:18994925:1 gene:scaffold_203013.1 transcript:scaffold_203013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLAEKSGSRPPWVGLAAAAWVQVSAGSGSTFPLYSSALKSVLGFSQQQVTILGVACDLGENMGLLPGYASNKLPPWSMLLIGASSCFLGFGVLWLSVSQIVHGLPFWLLFIALALATNSNSWFGTASLVTNMRNFPMSRGPVAGLLKGYIGISGAAFTVLFSMVLHHSATNLLLFLTVGIPVICLTVMYFIRPCIPATGEDPSEPMYFAFLLATSILFAAYLVVTTVVSEVFILPSILKYVLVAIMVLLLLSPLAVPIKMTLFRSNAKSSPLGSSDSLAKEEGTHEEPLLTPSTSASNLGPIFEGDDESDMEILLAEGEGAVKKKRKPRRGEDFKLGQVFVKADFWLLWFVYFLGMGSGVTVSNNLAQIGFAFGIKDTTILLCLFSFFNFIGRLASGAISEHFVRSRTLPRTIWMGAAQLVMVFTFLLFAMAIDHTMSIYVATALIGIGMGFQFLSISTISELFGLRHFGINFNFILLGNPLGATIFSAFLAGYIYDKEADKQGNMTCIGPDCFRVTFLVLAGVCGLGTLLSVILTVRIRPVYQALYASGSFRLQPQSAGH >scaffold_203014.1 pep chromosome:v.1.0:2:18995479:18996062:-1 gene:scaffold_203014.1 transcript:scaffold_203014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSRTNLEASLIYEYGLSFSNNELEFEDIAYFNHEFLQSMGISIAKHRLEILKLARRDRKPSPLTARSIFRVLIAIKKTGKCFSEYVRSWIRREESSRALVLVSRSSGNGRWKGARKKRSVMPMSNGNGGKEERLLLTNGTPYRLDYEDDNTTHWGNKDVEAIKWDSMFQNLKPT >scaffold_203017.1 pep chromosome:v.1.0:2:19006202:19011714:1 gene:scaffold_203017.1 transcript:scaffold_203017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRPKAVEILVKDLKVFSTFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPTLRNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCRLPNDARAPSPVNNPLLGSLPKAGGFPPLGAHGPFQPTPSPVPTPLAGWMSSPSSVPHPAVSGGAIALGAPSIQAALKHPRTPPTNSAVDYPSGDSDHVSKRTRPMGISDEVSLGVNMLPMTFPGQAHGHNQTFKVPDDLPKTVARTLSQGSSPMSMDFHPIKQTLLLVGTNVGDIGLWEVGSRERLVQKTFKVWDLSKCSMPLQAALVKEPVVSVNRVIWSPDGSLFGVAYSRHIVQLYSYHGGEDMRQHLEIDAHVGGVNDIAFSTPNKQLCVTTCGDDKTIKVWDAATGVKRHTFEGHEAPVYSICPHYKENIQFIFSTALDGKIKAWLYDNMGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKDGESFIVEWNESEGAVKRTYQGFHKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDTIQLLTAIDADGGLQASPRIRFNKEGSLLAVSANDNMIKVMANSDGLRLLHTVENLSSESSKPAINSIPVAERPASVVSIPGMNGDSRNMVDVKPVITEESNDKSKVWKLTELGEPSQCRSLRLPENMRATKISRLIFTNSGNAILALASNAIHLLWKWQRNDRNATGKATASVPPQQWQPASGILMTNDVVETNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMATFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSNVLNVLVSSGADAQLCVWNTDGWEKQKSKVLQIPQGRSTSALSDTRVQFHQDQVHFLVVHETQLAIYETTKLECMKQWPVRESSAPITHATFSCDSQLIYTSFMDATICVFSSANLRLRCRVNPSAYLPASLSNSNVHPLVIAAHPQESNMFAVGLSDGGVHIFEPLESEGKWGVAPPPENGSASAVTATASVGASASDQAQR >scaffold_203019.1 pep chromosome:v.1.0:2:19021106:19021352:1 gene:scaffold_203019.1 transcript:scaffold_203019.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KX54] MVSHSLSQSKYHFQIGRLSSLRQGIDSMIASCIGSQKLSGPTGTCCRRGMWGNRIVLIVRCWFVKFLR >scaffold_203022.1 pep chromosome:v.1.0:2:19035827:19036016:-1 gene:scaffold_203022.1 transcript:scaffold_203022.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KX57] MKTSAWYEYNRGEGFENSKCGFMKSRITVVGILELSDEDDQTVQSGMCA >scaffold_203025.1 pep chromosome:v.1.0:2:19045814:19047645:1 gene:scaffold_203025.1 transcript:scaffold_203025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKRNLPSWMSSRDPQTSPGKSHCKKPKDEEHNSRNAPSNKSEHAEPSSSTTEFSKLMEGVVFVLSGLVNPERSTLRSQALTMGATYQPDWNSDSTLLICAFPNTPKFRQVETNGGTIVSKDWITECYTQKKLVDIEQYLMHAGKPWRKSNVRQNAIQENSEHRSKKPEKQVEKKTETRGTPSTSSKNRSACNLVKEPFSVTEVKKWARDDLTQTISWLESQEEKPEPAEIKRIAAEGVLTCLQDAIESLEQKQDIGSVTELWSFVPRVVKELGKIESSSKKENSTASKEELCKQAKSWKKMYEVELANTSEAESSRTKSRETSRVAGGYDSDETVEMTEEEIELAYRNVSLECL >scaffold_203032.1 pep chromosome:v.1.0:2:19075374:19077268:1 gene:scaffold_203032.1 transcript:scaffold_203032.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase class I and II family protein [Source:UniProtKB/TrEMBL;Acc:D7KX66] MGSFGMLSRRTLGTDMPVMAQIRSLMAELTNPMSLAQGVVHWQPPQKALAKVKDLVWDPMVSNYGPDEGLPELRHALLNKLREENKLTQSQVMVTAGANQAFVNLVITLCDAGDSVVMFEPYYFNSYMAFQMTGVTNIIVGPGQSDTLYPDADWLERTLSESKPTPKVVTVVNPGNPSGTYVPEPLFKRISQICKDAGCWLIVDNTYEYFIYDGLKHSCVEGDHIVNVFSFSKTYGMMGWRLGYIAYSDRLDGFATELVKIQDNIPICAAIISQRLGLYALEEGSGWITERVKSLVKNRDIVKEALEPLGKENVKGGEGAIYLWAKLPEGHRDDFKVVRWLAHRHGVVVIPGCASGGPGYLRVSFGGLQEVEMRAAAERLRKGLEELLHHGMVE >scaffold_203033.1 pep chromosome:v.1.0:2:19077854:19080468:1 gene:scaffold_203033.1 transcript:scaffold_203033.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p60 ATPase-containing subunit A1 [Source:UniProtKB/TrEMBL;Acc:D7KX67] MVGSSNSLAGLQDHLKLAREYALEGSYDTSVIFFDGAIAQINKHLNTLDDPLARTKWMNVKKAIMEETEVVKQLDAERRAFKEAPTGRRAASPPINTKSSFVFQPLDEYPTSSAAPMDDPDVWRPPTRDVSSRRPARPGQTGTRKSPQDGAWARGPTTRTGPASRGGRGGATSKSTAGARSSTAGKKGAASKSTKAESMNGDAEDGKSKRGLYEGPDEDLAAMLERDVLDSTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNSRGGSGEHESSRRVKSELLVQVDGVSNTATNEDGSRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPDFESRKALININLRTVEVASDVNIEDVARRTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMSKDDISNDPVAMCDFEEAIRKVQPSVSSSDIEKHEKWLSEFGSA >scaffold_203037.1 pep chromosome:v.1.0:2:19091700:19093121:-1 gene:scaffold_203037.1 transcript:scaffold_203037.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter [Source:UniProtKB/TrEMBL;Acc:D7KX71] MDTTTTPLLPGDRSRCGWLRRRLRLKNPLSSELSGAVGDLGTFIPIVLTLTLVSNLDLSTTLIFTGFYNIATGLLFDIPMPVQPMKSIAAVAVSESPHLTPSQIAAAGASTAATLLLLGATGAMSFLYNLIPLPVVRGVQLSQGLQFAFTAIKYVRFNYDAATLKPSSSPRTWLGLDGLILALAALLFIILSTGSGNDRDLQDGDFAETSSNESQSRRRRLRLLSSIPSALIVFAIGLVLCFIRDPSIFKDLKFGPSKFHILRITWDDWKIGFVRAAIPQIPLSVLNSVIAVCKLSNDLFDKELSATTVSISVGVINLIGCWFGAMPVCHGAGGLAGQYRFGARSGLSVIFLGIGKLIVGLVFGNSFVRILSQFPIGILGVLLLFAGIELAMASKDMNSKEDSFIMLVCAAVSMTGSSAALGFGCGVVLYLLLKLRTLDCSSVTLFSRSSDGSQVPRDV >scaffold_203050.1 pep chromosome:v.1.0:2:19133020:19133474:1 gene:scaffold_203050.1 transcript:scaffold_203050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSTISFRRQGSSGIVWDDRLIAELSQQAANDQKGETLQQDEQAKLITSEGQEQIAGDGLKPIRTDGGAGGMERSRSNGGGAIRHHRNTGRVSPAVDPPSPRLSAFGCCSAFGKKQPGKKVNQRKRPTKRRSR >scaffold_203052.1 pep chromosome:v.1.0:2:19137578:19137836:1 gene:scaffold_203052.1 transcript:scaffold_203052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLQQLSVHSTISNVITEKRKSVTIPTNGSHHLESKPTIHDVDKCAEAFIQNFRRQLSLQCDNILPRGSL >scaffold_203054.1 pep chromosome:v.1.0:2:19140134:19141367:-1 gene:scaffold_203054.1 transcript:scaffold_203054.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KX87] MLSLRLIRRFCHFSSSAATGIAYVSTDSNQIQGLKPLEEPALVKLKSERDPEKLFKLFQANATNRLVIENRFAFEDTVSRLAGAGRLDFIEDLLEHQKTLPQGRREGFIVRIIMLYGKAGMTKQALDTFYNMDLYGCKRSVKSFNAALQVLSFKPDLHTIREFLHDAPAKYRIDIDAVSFNIAIKSFCELGILDGAYMAMREMEKSGLKPDVVTYTTLISAFYKHERCVIGNGLWNLMVLKGCRPNLTTFNVRIQFLVNRRRAWDANDLLLLMPKLQVEPDSITYNMVIKGFFLARFPHMAERVYTAMHGKGYKPNVKIYQTMIHYLCKAGNFDLAYTMCKDCMRKKWYPNLDTVEMLLKGLVKKDQLDQAKSIMGLVHKRVPPFRSKQLLSLKSIL >scaffold_203058.1 pep chromosome:v.1.0:2:19153689:19154542:-1 gene:scaffold_203058.1 transcript:scaffold_203058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGLLVDDEFIHEEERSLTVRKTSLFFAGDGFTVYDCKGSLVFRVDSYGGPNTRDTDEVVLMDAHGRCLLTLRRKRPSLRRRWEGYLGERSDGQKPIFGVRRSSIIGRNSVTVEVYGDYQCSEYLIEGSFGARSCTVVEAETRLKVAEIRRKMDASTNVMLGKDVFSLNVKPGFDGAFAMGLVLVLDQIYGDDLLEVGEEQVHPSAEDL >scaffold_203060.1 pep chromosome:v.1.0:2:19159243:19160403:-1 gene:scaffold_203060.1 transcript:scaffold_203060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLPEDCVAIILSLTTPLDVCRSSAVSRIFRSAADSDDVWNHFLPTTDFPAGFAAPAGLPTRKQLFFSLVDNPLLLNDTDLSFSLERKSGNKCYMMAARALSIVWGHDPRYWHWISLPNTRFGEVAELIMVWWLEITGKINITLLSDDTLYAAYLVFKWNPSPYGFRQPVEASLVFLADTDSTDDVQPSMISLMQDPSGDEAQSAELRRDGWYEVELGQFFKRRGDMGEIEMSLKETKRPFEKKGLILYGIEIRPKP >scaffold_203064.1 pep chromosome:v.1.0:2:19169958:19171455:1 gene:scaffold_203064.1 transcript:scaffold_203064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYELFRQIFIVLSIISPLVRSFTVITSDSTAPSALIDGPQTGFTMTNDGARTEPDEQDAVYDIMRATGNDWAAAIPDVCRGRWHGIECMPDQDNVYHVVSLSFGALSDDTAFPTCDPQRSYVSESLTRLKHLKALFFYRCLGRSPQRIPAFLGRLGSSLQTLVLRENGFLGPIPDELGNLTNLKVLDLHKNYLNGSIPLSFNRFSGLRSLDLSGNRLTGSIPGFLLPELNVLDLNQNLLTGPVPSTLSTCGSLIKIDLSRNRVTGPIPDSINRLNQLVLLDLSYNRLAGPFPPSLQGLNSLQALMLKGNTKFSTTIPENAFKGLKNLMVLVLSNMNLQGSIPKSLTRLNSLRVLHLEGNNLTGEIPLEFRDVKHLSELRLNDNSLTGPVPFERDTVWRMRRKLRLYNNAGLCVNRDSDLDDAFGSTSGSSVSLCVGETPRPGPSGSVQHLSREEDGGMPGLSSSSKTLGFSYLSAFVLVFLVR >scaffold_203066.1 pep chromosome:v.1.0:2:19181904:19183184:1 gene:scaffold_203066.1 transcript:scaffold_203066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEHSPGRDGRRSGGLRSLIEPRFLSSFALNDGGSISGRRSSYLKLPPQGRIKLSVVKLNGSLFDVEVAKDCSVAELKRAVEQVFTISPLEAHGMISWSHVWGHFCLSYRDQRLVNDKTSIRYLALSDGDQLRFVRHLSIDHSPMNKRSKSPSCKRYLELEVESNVNEIQLQNQNHNGVDDVADKCYPGAQDELPAAEFRLVNFIKGWLPYAGRWGVSRKGPDSRSGPSRFSLKHFGGRPKM >scaffold_203067.1 pep chromosome:v.1.0:2:19191027:19192651:1 gene:scaffold_203067.1 transcript:scaffold_203067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVENGLQGDPRLKAISDAIRVIPHFPKTGIMFQDITTLLLDPVAFKHVVDIFVDRYEHMNISLVAGVEARGFIFGPPIALAIGAKFIPLRKPGKLPGKVISEEYELEYGRDRLEMSVEAVKSDERALVIDDLVATGGTLSASISLLERAGAEVVECACVVGLPKFKGQCKLKGKPLYVLVEPSQFDELTTL >scaffold_203069.1 pep chromosome:v.1.0:2:19195619:19198885:1 gene:scaffold_203069.1 transcript:scaffold_203069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLIPSSLCFAAAPAPAADGPRSLSSNFDGGTNLRYHKSFLSLSSSSPYRNRRCRSLVVFAASGDYYATLGVPKSANNKEIKAAYRRLARQYHPDVNKEPGATDKFKEISAAYEVLSDEQKRALYDQYGEAGVKSTVGGASGTYTTNPFDLFETFFGASMGGFPGVDQADFGRTRRSRVTKGEDLRYDITLELSEAIFGSEKEFDLTHLETCEACAGTGAKAGSKMRICSTCGGRGQVMRTEQTPFGMFSQVSICPNCGGDGEVISENCRKCSGEGRVRTKKSIKVKIPPGVSAGSILRVAGEGDSGPRGGPPGDLYVYLDVEDVRGIQRDGINLLSTLSISYLDAILGAVVKVKTVEGDTELQIPPGTQPGDVLVLAKKGVPKLNRPSIRGDHLFTVKVSIPNQISAGERELLEELASLKDTSSNRSRTRAKPQQPSSLSSAPSSLENRTDEVEENEEAEQENDLWKNIKDFAGSVANGALKWLRDNL >scaffold_203073.1 pep chromosome:v.1.0:2:19211823:19217901:-1 gene:scaffold_203073.1 transcript:scaffold_203073.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta' [Source:UniProtKB/TrEMBL;Acc:D7KXM9] MRKFAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQTQTMVKSFDVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKIKVFEAHADYIRCVAVHPSLPHVLSSSDDMLIKLWDWDKGWLCTQIFEGHSHYVMQVTFNPKDSNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVSFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGHIKGSRRVVIGYDEGSIMVKLGREIPVASMDSSGKIIWAKHNEIHTVNIKSVGADEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSGLEFVWSSDGEHAVRESSTKIKIFSKNFQEKKTVRPTFSAERIFGGTLLAMCSSDFICFYDWVECRLIRRIDVTVKNLYWADSGDLVAIASDSSFYILKFNRDIVSSYFDGGKQIDEEGIADAFELLNETNERVRTGLWVGDCFIYTNSSWRLKYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLEQANEILPSIPKEHHNSVAHFLESRGMTEDALEVATDPDYRFELAVQLGRLAVAKDIAVEAQNESKWKQLGELAMSTGKLDMAEECMRHAMDLSGLLLLYSALGDADGLMKLAALAKEQGKNNVAFLCLFMLGQVEDCLHLLVESNRIPEAALMARSYLPSKVSEIVALWRNDLTKISPKAAESLADPEEYPNLFEEWQVALSLENRAAETRGVHPPAGDYCSHADRDHTTLVEAFRIMQIEEEGGLEHGDVVDEFGEEGEEEEDGDHQEESSDGRQQNVEEEAVVVDADSTDGAVLVNGNESEEQWVLTPPQE >scaffold_203075.1 pep chromosome:v.1.0:2:19222052:19222967:1 gene:scaffold_203075.1 transcript:scaffold_203075.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOFP14/OFP14 [Source:UniProtKB/TrEMBL;Acc:D7KXN1] MPNPLQKSLHGYLSKIKRETGKLQLSSSNSFSSSKNWVLGKHPKKLSFSFKHRRRSSKTRFSKDDPVHQQDSAHAATLSDIDRFLEENFKSLCIRDDQEDEHQVRLTKNKEKRESSSDDSDDDDDDYRHRFERTWGQAVYDSPKQPPDLLRTERLSPPPGSSEGRPSMETTSTSEDRQSRSTLVLPENCIAVLRYTDEPQEDFRLSMVEMMESKLGMPDSEVDWDLMEELLFCYLDLNDKKSHKFILSAFVDLIIALREKEKRITRKGLVRSLSTRAARERLRKRMIMSDN >scaffold_203078.1 pep chromosome:v.1.0:2:19234454:19234971:1 gene:scaffold_203078.1 transcript:scaffold_203078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTLVQSDLRFGVVYSDAVSGSAAGIGCVGEIVKHERLVDDRFFLICKGMERFRVTDLVRTKPYLVAKVTWLEDRPSGEENLDELANEVEVLMIEVIRLSNRLNGKPDKESQDLRKNQFPTLFSFFVGSTFEGAPMEQQALLELEDTTAIKPKTTSF >scaffold_203079.1 pep chromosome:v.1.0:2:19235729:19239056:1 gene:scaffold_203079.1 transcript:scaffold_203079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDFGNENCLVAVARQRGIDVVLNDESNRETPAIVCFGDKQRFIGTAGAASTMMNPKNSISQIKRLIGRQFSDPELQRDIKSLPFSVTQGPDGYPLIHANYLGEKRAFTPTQVMGMMLSNLKGIAEKNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLHPLRLIHETTATALAYGIYKTDLPENEQLNVAFIDIGHASMQVCIAGFKKGQLKILSHGFDRSLGGRDFDEVLFNHFAAKFKDEYKIDVSQNAKASLRLRAACEKLKKVLSANPVAPLNIECLMDEKDVRGVIKREEFEEISIPILERVKRPLEKALSDAGLTVEDVHMVEVIGSGSRVPAMIKILTEFFGKEPRRTMNASECVSRGCALQCAILSPTFKVREFQVHESFPFSISLAWKGAASDAQNGRAENQQSTIVFPKGNPIPSVKALTFYRSGTFSVDVQYGDVNDLQAPPKISTYTIGTFQSSKGERAKLKVKVRLNLHGIVSVESATLLEEEEVEVPVTKDQSVETTKMDTDKASAEAAPASGDSDVNMQDAKDTSDAAGSDNGVAESAEKPVQMETDLKAEAPKKKVKKTNVPLSELVYGALKSVEVDKAVEKEFEMALQDRVMEETKDRKNAVESYVYDMRNKLSDKYQEYITDAEREAFLAKLQEVEDWLYEDGEDETKGVYVAKLEELKKVGDPVEVRYKESLERGSVIDQLGYCINSYREAAMSNDPKFDHIELAEKQKVLNECVEAEAWLREKKKQQDTLPKYATPALLSADVKSKAEALDKFCRPIMTKPKPAKAEAPQAKGGEPADEGNSEAEQPASAEPMETENPAEGSA >scaffold_203081.1 pep chromosome:v.1.0:2:19244223:19245087:-1 gene:scaffold_203081.1 transcript:scaffold_203081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCLWNSVPLDYGYSSTPSHLARVLNWMSDWPIQDSRGDCRDPENFCWSKQDFEQVKSASFIFAAAHVIYSDDLTIALFRMLRRVMTFGCDKDWRNDTTSALMIVANGYTCFRSYVKEDATGEQEENRSFVGKRIDVTQIPQYLKGYDRGDDVELWEIKYVL >scaffold_203083.1 pep chromosome:v.1.0:2:19248927:19250021:1 gene:scaffold_203083.1 transcript:scaffold_203083.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMBAC2/BAC2 [Source:UniProtKB/TrEMBL;Acc:D7KXN8] MDFWPEFMATSWGREFVAGGFGGVAGIISGYPLDTLRIRQQQSSKSGSAFSILRRMLAVEGPTSLYRGMAAPLASVTFQNAMVFQIYAIFSRSFDSSVPLEEPPSYRGVALGGVATGAVQSLLLTPVELIKIRLQLQQSKSGPLTLAKSILRSQGLKGLYKGLTITVLRDAPAHGLYFWTYEYVRERLHPGCRKTGQENLRTMLAAGGLAGVASWVACYPLDVVKTRLQQGHGAYEGIADCFRKSVKQEGYTVLWRGLGTAVARAFVVNGAIFAAYEVALRCLFTQLPSPDVVKGE >scaffold_203099.1 pep chromosome:v.1.0:2:19298613:19302151:1 gene:scaffold_203099.1 transcript:scaffold_203099.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:D7KXQ0] MISLSPSSFLNKTVVPGCSTHLFLRQPRTLITPPASLRVFSSLGSNRDPNGSLLIETTATSSSSLETSAADIVPKSTVSGGVQDVYGEDAATEDMPITPWSLSVASGYTLLRDPHHNKGLAFSHRERDAHYLRGLLPPTVISQDLQVKKMMHTLRQYQVPLQRYMAMMDLQETNERLFYKLLIDHVEELLPVVYTPTVGEACQKYGSIFLRPQGLFISLKEKGKIHEVLRNWPEKNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPVTIDVGTNNEKLLNDEFYIGLRQRRATGEEYSELMHEFMTAVKQNYGEKVVIQFEDFANHNAFDLLAKYGTTHLVFNDDIQGTASVVLAGLIAALRFVGGSLSNHRFLFLGAGEAGTGIAELIALEISKKSHIPLEEARKNIWLVDSKGLIVSSRKESIQHFKKPWAHDHEPIRELVDAVKAIKPTVLIGTSGVGQTFTQDVVETMAELNEKPIILSLSNPTSQSECTAEQAYTWSQGRAIFASGSPFAPVEYEGKTFVPGQANNAYIFPGFGLGLIMSGTIRVHDDMLLAASEALAEELMEEHYEKGMIYPPFRNIRKISARIAAKVAAKAYELGLATRLPQPKELEQCAESSMYSPSYRSYR >scaffold_203104.1 pep chromosome:v.1.0:2:19318644:19319129:1 gene:scaffold_203104.1 transcript:scaffold_203104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSVKCLLCPHAQTEIRTPPLLAVAHIGIFHWHNRNIPLTSSSGSLNAEILWDLSEAEYENNGPEPIRRFFVVVVVNSEITLRIGDVDRKRDTSSSSWRVSKTERFSGTCWLTTKAQFSNLAIV >scaffold_20600002.1 pep scaffold:JGI8X:scaffold_206:10237:10450:1 gene:scaffold_20600002.1 transcript:scaffold_20600002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MWU4] MPCSKLSNLALSFDSDGLRPPETPFSRCVIVVRRCSGQWSRSFSLFAESHRSSSMSP >scaffold_20600003.1 pep scaffold:JGI8X:scaffold_206:10648:11218:1 gene:scaffold_20600003.1 transcript:scaffold_20600003.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MWU5] MAAPNPPPHIGPIGPLRRPGWYNLQTIHENHGTLDGVRYTESTNFVNEAYRRRVHRRSQLHGTLAVVHYRIV >scaffold_21200001.1 pep scaffold:JGI8X:scaffold_212:7822:10002:-1 gene:scaffold_21200001.1 transcript:scaffold_21200001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:D7MWV1] MSRHHDPNPFNEEEEEEIVNPFNEEEEVNPLLKGAGGVGFGSNLYSTVHIPLDRVNDSKQIELSDWEAQLRNREMDVKRREDAIAKSGVQINDKNWPSFFPIIHHNEIPLHAQKLQYLAFASWLGLVFCLIFNVIAVIVCWIEGGGVKIFFLATIYASLGCPVSYVLWYKPLYLAMRTDSALKFGWFFFFYLIHIGFCIFAAIAPPIIFHGQSLTGVLAAIDVISASLLAGIFYFVGFGLFCMELLLSLWVLQKTYLYYRVNR >scaffold_21300003.1 pep scaffold:JGI8X:scaffold_213:7849:8271:1 gene:scaffold_21300003.1 transcript:scaffold_21300003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRLYDVTRNDLETLEILRRLKPNLITVVEQKLSYDDGGSFLGRFVEALQYYYSARGDGLGEESGERFTVEQIVSSCAVVIMGSRLALAMALFHGLSLLPNPNQVISFTFFFLFGFKISLSIVKF >scaffold_21900003.1 pep scaffold:JGI8X:scaffold_219:10661:12318:1 gene:scaffold_21900003.1 transcript:scaffold_21900003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSNQTRFSFHPNNLFLSENRRLGISGVNFPRKINVKITCFAAERPRQEKQKKKSQSQSTSDAEAGVDPVGFLTRLGIADRIFAQFLRERHKALKDLKDEILKRHFDFRDLASGFELLGMHRHMEHRVDFMDWGPGARYGAIIGDFNGWSPTENAAREGLFGHDDFGYWFIILEDKLREGEEPDELYFQQYNYVDDYDKGDSGVSAEEIFQKANDEYWEPGEDRFIKNRFEVPAKLYEQMFGPNSPQTLEELGDIPDAETRYKQWKEEHKNDPPSNLPPCDIIDKGQGKPYDIFNVVTSPEWTKKFYEKKPPIPYWLETRKGRKAWLKKYIPAVPHGSKYRLYFNTPDGPLERVPAWATYVQPGMIASGISMVRSSIRNITNCIAFINKMKQAFSFPFI >scaffold_2200004.1 pep scaffold:JGI8X:scaffold_22:39311:40520:1 gene:scaffold_2200004.1 transcript:scaffold_2200004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNKKSDNSLSRETLQIKKRKKTTMAQNDSNTTVKLIGTWASPFALRAQVALHLKSVEHEYIEETDVLKAKSDLLIKSNPIHKKVPVLIHGDISICESLNIVQYVDESWPSDLSILPSLPSDRAFARFWAHFVDGKLFESIDAVAGAKDDAARMALAGNLMENLAALEEAFQKSSRGGDFFGGENIGFVDIAVGAIAGPISVIEAFSGVKFLRPDTTPGLLHWAEKFRAHEAVKPYMPTVAEFIEFAKKKFNV >scaffold_2200008.1 pep scaffold:JGI8X:scaffold_22:57469:58664:1 gene:scaffold_2200008.1 transcript:scaffold_2200008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFNQAKAVRMRNVHDKYLTADEDEETVTQDRNGSDKRARWTVEPVRGSFEVIRLRSCYGNYLTASNERFLLGATGRKVVLSKPARLDSSVEWEPAREGSKMKLKTRYGNLLRANGGLPPWRNSVTHDTPHVSDSFLWDVDVVEILVGTATPAPAPAPAPVPVTTPPPHRRPSYSYSPVSRTFSEKSEEELTVPPPKSEGRIIYYHIADEEGHVEEESAVGYALNFNGNSVEQLTQALQEETSMYDVVVCTRNPLNGKLFPLRLQLPPNSGTMHVVLVPSGTS >scaffold_22200002.1 pep scaffold:JGI8X:scaffold_222:4857:5415:-1 gene:scaffold_22200002.1 transcript:scaffold_22200002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSAFTTTALKSTLPTGGHKEINIWSPFVYGTSVPIAPFNGVGLDEDKDNGAVMLIIRADGRVRWKVGTFITGKYHLHVKCPAYINFGNKAAGVIIGDNAVKYTFTSSCSVSV >scaffold_22500001.1 pep scaffold:JGI8X:scaffold_225:9565:10396:-1 gene:scaffold_22500001.1 transcript:scaffold_22500001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRPNDQSRKQPSMNLTSSSRERNMILGRFGGEFGSGSTRKPAERKRTSEERVLKSIVGHFKGGVLDVRHLLHSGSSRTNDTYFKMKKHSKSENRGGGCMKSKGKKKGGKKKKGWGKKKGK >scaffold_23000001.1 pep scaffold:JGI8X:scaffold_230:17:539:-1 gene:scaffold_23000001.1 transcript:scaffold_23000001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRILKAARTSGSLNLSNRSLKDVPIEVYQCLETTGEGENCSGGDPNFPSFQSFSNAFSCDQVVS >scaffold_23400001.1 pep scaffold:JGI8X:scaffold_234:6:428:-1 gene:scaffold_23400001.1 transcript:scaffold_23400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGNSHLSSSTCFTFAEINHDRTTKLSKRDLVYPKRNPPHQAKAYGELLLRDTISSTGTAMFLWFSSPASHPDQTRQTLPLPSPEMHVLLQNLSPSPYSGRRTQDLLLPVKKKLGQLVDFFISGP >scaffold_23400002.1 pep scaffold:JGI8X:scaffold_234:4912:5263:1 gene:scaffold_23400002.1 transcript:scaffold_23400002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFSTKRIHPWVSHLPEEVKYEVKYEKVVGHGLNAQELARNPRLDYFFVKDLNEDQKFEFEDKSFDAVLCAVGVQYLQQPDKVHDRKPKPISKNCRRSTAEG >scaffold_23400003.1 pep scaffold:JGI8X:scaffold_234:7087:7438:1 gene:scaffold_23400003.1 transcript:scaffold_23400003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFSTKRIHPWVSHLPEEVKYEVKYEKVVGHGLNAQELARNPRLDYFFVKDLNEDQKFEFEDKSFDAVLCAVGVQYLQQPDKVHDRKPKPISKNCRRSTAEG >scaffold_23900002.1 pep scaffold:JGI8X:scaffold_239:7888:8137:1 gene:scaffold_23900002.1 transcript:scaffold_23900002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNFGTLPEDLQKEILLRLPLKSLGVCIGVSKQWRSLIRSQEFRDLYSSRWKTPHDLRQALIYLLLW >scaffold_23900003.1 pep scaffold:JGI8X:scaffold_239:10533:10803:1 gene:scaffold_23900003.1 transcript:scaffold_23900003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNFDTLPEHLQMEILSRLPMISLVTCAWLCVSKKLASLIRSQEFKALYLSRWTDLDEDTFDLVYQKKICWLHD >scaffold_24000001.1 pep scaffold:JGI8X:scaffold_240:1724:1988:-1 gene:scaffold_24000001.1 transcript:scaffold_24000001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWZ1] MLDRLCASRSCRLFSFFFDAQDWDPPASSSSSSSLPLMFSASHIPKEYSKANSSLNSKTSLILNYIHSKIHDK >scaffold_24400001.1 pep scaffold:JGI8X:scaffold_244:6181:6377:-1 gene:scaffold_24400001.1 transcript:scaffold_24400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWZ7] MEDFHWQFHPYSMTTAAFQSFSMKLIQSIEYEISQSDNTSYILDECLVLMF >scaffold_24700001.1 pep scaffold:JGI8X:scaffold_247:100:2546:-1 gene:scaffold_24700001.1 transcript:scaffold_24700001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7MWZ8] MEKGFSLVPKEEEEEGEDCRDEKSEDQSSYYLSIEMMKKVSSMAAPMVAVAASQYLLQVISIVMAGHLDELSLSAVAIATSLTNVTGFSLLFGLAGALETLCGQAFGAEQFRKVSAYTYGSMLCLLLFCFPISLLWVFMDKLLELFHQDPLISQLACRYSIWLIPALFGYSVLQSMTRFFQSQGLVLPLFLSSLGALCFHIPFCWLLVYKLRFGIVGAALSIGFSYWLNVGLLWVFMRKSALYRENWNLRAQEIFLSMKQFITLAIPSAMMTCLEWWSFELLILMSGLLPNSKLETSVLSICLTMSSLHYVLVNAIGAAASTHVSNKLGAGNPKAARAAANSAIFLGVIDAAIVSITLYSNKSNWAYIFSNESEVADYVTQITPFLCLSIGVDSFLAVLSGVARGTGWQHIGAYANIGSYYLVGIPVGSILCFVVKLRGKGLWIGILIGSTLQTIVLALVTFFTNWEQDEFVSCFDLGFRSVNG >scaffold_24700002.1 pep scaffold:JGI8X:scaffold_247:10144:10663:-1 gene:scaffold_24700002.1 transcript:scaffold_24700002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDFIDFIMKRSLAQPPRDAYFITSDDNRECFLAFLSTDKETVLIPKRFRHYLRGLVCCWSKSRQVVLYNPTTRQSLYFLKKKTMHMETSFIGYDPLENQYKVLFLPKYNPEQPCLVFTLGETATKWKTIQGVESHHPLQGALCINGRIYYQAGVVDQ >scaffold_24800001.1 pep scaffold:JGI8X:scaffold_248:2126:3249:-1 gene:scaffold_24800001.1 transcript:scaffold_24800001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFTLQAQLNLLAAFDDPLPIVNCEGDFVKRVESLYWMGNNSTKLENGRTPHCWTFFSSKQSSSVRAGMLQGVEIALGLPEGSIPKPVYTRLIDY >scaffold_24900002.1 pep scaffold:JGI8X:scaffold_249:2146:2558:1 gene:scaffold_24900002.1 transcript:scaffold_24900002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTMTATFLPAIAKLPSATGGRRLSVVRASTSDNTPSLQVKEQCSTTMRRDLMFTAAAAAVCSLAKVAMAEEEEPKRGTEAAKKKYAQVCVTMPTAKICRY >scaffold_2500001.1 pep scaffold:JGI8X:scaffold_25:32233:32641:-1 gene:scaffold_2500001.1 transcript:scaffold_2500001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFVFSSLPPTFLGRVVFSSSPQTVIGRVVFSFLLPTGLAVFDGGSLQGDYMTTTTNLEKKKAAATMVSGFDAEDGKEGSDGEDVIKLLNX >scaffold_2500004.1 pep scaffold:JGI8X:scaffold_25:57402:58069:1 gene:scaffold_2500004.1 transcript:scaffold_2500004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRQQDRRHPRNSGSELNHRRVPPGAVPNGTVPPGATPSPSATSSHANNCSQRTLDALLSAPERESQPHLHPRKLNGALWFGIDPSVYKFIRTTWQSNFMGPWKNW >scaffold_25100001.1 pep scaffold:JGI8X:scaffold_251:2293:3450:1 gene:scaffold_25100001.1 transcript:scaffold_25100001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESSYLANKRHVRYPSPKLLVLRSEISSDRCSRTILLETISKDHRENNKIFICSYKFPSYPHSEYHFNNLGRIAGYCDGLVCIYQSENIYIINPTTRKLRILSSNLLQKCTCLPVKTEVFNLNNGEQRCICFPILFNELGNDKSSIFANGSLYWQNIYNLKIAAFDLHTEMFSEVLPPSWYTNYSCGVYLWSLKDRLCLSDVLQYPDVDIWGLQQEGPNVMKWEKILSVTILSMDCLDPNFWKLGLAACYFRPIGQKPSRSFLEQVPADQCSTTLYMEDLVSSV >scaffold_25100002.1 pep scaffold:JGI8X:scaffold_251:3564:4486:-1 gene:scaffold_25100002.1 transcript:scaffold_25100002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEKRWREAEQLVIEQFRLITTTLLSLLLPLSFLLLSRLSSAAFLLSLSSTPQNSDYFFLNTIPALLYAVVSIITVHTLIHCLITKIRTSEDTNRSIGFYYPRVSIAWLILLILQFSVGLGLQVTMSKGVNGIVNGNNHNFLTRLLFFFGLLEMILQWYRVIVKTVVESGFGGREEETVVERVALAASCGALWWWKLREEVEALVGVMEVKRALLLLSIDVDYNLNSIVDLGTIDFLNWLLYYLIVTIGVVRIVKGCFGFGMILLFEQVRRIPRGISSSDVNHINQEEDKV >scaffold_25100003.1 pep scaffold:JGI8X:scaffold_251:5561:6444:1 gene:scaffold_25100003.1 transcript:scaffold_25100003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCNGLVCIYQSETIYIINPTTRKLRILSPEFLRDCTDLPTVDLRTESFRYVLLPSWYINISESVNLWSLKDRLCLSDVLQCQYPSVDVWSLQQEDPSVKWEKIHSIKILSIDSLDVNFWKLGLAACSVRPVGEKPSKSGLEDVPDNHYRTRLFEENLVGFGLVS >scaffold_25100005.1 pep scaffold:JGI8X:scaffold_251:8510:8855:1 gene:scaffold_25100005.1 transcript:scaffold_25100005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRCSRTLFLDSYSKDHHDHIKIFIHSYTSPVPYPIYSIYDTVGQIMGYCNGIVCIYDLGYIYLINPATRKLRILSPEFLRENSPDWYETFLFIILRVS >scaffold_25200001.1 pep scaffold:JGI8X:scaffold_252:4686:4972:-1 gene:scaffold_25200001.1 transcript:scaffold_25200001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYHMMTRNFDSVSRSDYFSTESNFHFTKDSFTKTITNRYTAIVSTNTCNTVQYDIEHVKRVTDSANISNLLIFLIISARF >scaffold_25300001.1 pep scaffold:JGI8X:scaffold_253:10358:11475:1 gene:scaffold_25300001.1 transcript:scaffold_25300001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDEDKPKEVAKTRRFAPGRAGKSKPKPKPEPPQSQTESVSKSEHDVDAKGAGPKVETEVYNGSVKMEIDSKVDKEPEFMDTELIEEDEQLPLQEEEEEEEDVVVREIDVFFNPSFDANTKLYVLQYPLRPSWRPYEMDERCEEVRVNTSTSQVEIDLSMDVHSKNYDSNFGLNMTKQTLKTTWKQPPTLDYAVGVLSGDKLHLNPVHAVAQLRPSMQYLSSNGKKKQEESTEESVGTSKKQV >scaffold_25500001.1 pep scaffold:JGI8X:scaffold_255:7309:7516:-1 gene:scaffold_25500001.1 transcript:scaffold_25500001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MKP9] MDEEARRYRKRKKDEKMGRENSRVGNALAKRCGTQEYCVAFVCGLKATIVGIFVR >scaffold_25800001.1 pep scaffold:JGI8X:scaffold_258:762:2503:1 gene:scaffold_25800001.1 transcript:scaffold_25800001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINAKYIRIIIGIIGNVLSTSIFLSPMPEFIQAYKKKSVEGVKLAPHLVLLIKCSLWVLYGLPLVHKDNILVTTSNGVGFVIQVIYVVVFWINCDEESRKDLVYICLTFEFCFVAAVYIITIWGFESSVKHTFVGVVCNVYNIGIIYLFFTIDKMPEGTKTFKYMPFWLSLVSFINAGIWTAYSLIYTIDIYVLISSGLETFLCAFQLLVYASSYTLGKIDVIV >scaffold_2600002.1 pep scaffold:JGI8X:scaffold_26:3595:5845:-1 gene:scaffold_2600002.1 transcript:scaffold_2600002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein [Source:UniProtKB/TrEMBL;Acc:D7MVS6] MAMLGAQQVPTAACTPDMVGNAFVPQYYHILHQSPEHVHRFYQEISKLGRPEENGLMSITSTLQAIDKKIMELGYGVVSAEIATVDSQESYGGGVLVLVTGYLTGKDNVRRMFSQTFFLAPQETGYFVLNDMFRYSDEAAIVHGNQIPVNNIQVPVNTYQDTDASKDIPDDFVQEKYVQENHAVKQTEVLSKSINGPEVFTPSEDEQVSATEEVPAPEIVNEAPIEAQKVGESDSRTGEVPKRSYASIVKMKENAVPMSASRTPTKVEPKKQEEQAIHIPLPTPLSEKSDSGANVAVNENNQDNERALGPSIYLKGLPLDATPALLETEFQKFGLIRTNGIQVRSQKGFCFGFVEFESASSMQSAIEASPVLLNGHKVVVEEKRSTARGNYRGRSAFGVNTGYRNEGGRGRGSFGGGRGGYGRTDFNGYGNNRGNNRGGYANRANGDGGGFPRANGNNGRVRRGGGIDANRATKPVDDAPRVSVTA >scaffold_2600003.1 pep scaffold:JGI8X:scaffold_26:113883:114573:-1 gene:scaffold_2600003.1 transcript:scaffold_2600003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIISDLPWDLVEEILSRTSIISLRAIGSYLSVRRLIVIRHFDNLGLVVWNLYLGQARWIEPKNNYHESDRYAIGYDNKKNHKVLRFFDEFDYNVKRHESTILSLILGEFLISLLTVVCYRVFKEVCP >scaffold_2600008.1 pep scaffold:JGI8X:scaffold_26:144674:146859:-1 gene:scaffold_2600008.1 transcript:scaffold_2600008.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP82G1 [Source:UniProtKB/TrEMBL;Acc:D7MVT2] MIFLFSTLQFSLFSLALVIAGYIFLGKKLSKGEVDTSTIPEPLGALLLFGHLHLLRGKKLICKKLAAISEKHGPIFSLKLGSYRLVVASDPKTVKECFTTNDLALATRPNIAFGRYVGYNNASLTLAPYGDYWRELRKIVTVHLFSNQSIEMLGHIRSSGKIPIFIKHLYKGSGGTSIVKIDMLFEFLTFNIILRKMVGKRIGFGEVNSEEWRYKESLKHCEFLAVIPMIGDVIPWLGWLDFAKISQMKRLFKELDSVNTKWLQEHLKKRSRNEKDQERTIMDLLLDILPEDIVISGHVRDVIVKATILALTLTGSDSTSITLTWAVSLLLNNPATLKAAQEEIDNCVGKRRWVEESDVQNLKYLQAIVKETHRLYPPAPLTGIREAREDCFVGGYRVEKGTRLLVNIWKLHRDPKIWLDPKTFKPERFMEEKLQCEKSDFEYIPFGSGRRSCPGINLGLRVVHFVLARLLQGFELRKVSDEPVDMAEGPGLALPKD >scaffold_2600009.1 pep scaffold:JGI8X:scaffold_26:161961:163322:-1 gene:scaffold_2600009.1 transcript:scaffold_2600009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQLKHLPSIIKGGLLSSSQRPEEATETLRKLKEGIIKVLFVSPERLLNLEFLSMFRLSLSVSLVVVDEAHCISEWSHNFRPSYMRLKASMRFSELKAECILAMTATATTMTLEAVMSALEIPSTNLIQKLLQAFGMGLYKGDVGAVSLTQSLRMLEHISSLSCKYQFNLGS >scaffold_26200002.1 pep scaffold:JGI8X:scaffold_262:1913:4204:1 gene:scaffold_26200002.1 transcript:scaffold_26200002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyl hydrolase 3 [Source:UniProtKB/TrEMBL;Acc:D7MX14] MWRFYFCLPFLLFDISAATSAESIFLPSQIRVDSSRVFDTRSSHVCSSPDPNLNYKPVIGILTHPGEGRWDAGRHSLSYAYSTNISYIAASYVKLAETGGARVIPLIYNEPEELLFQKLELVNGVIFTGGWAKTGLYYDIVEKIFNKVLEKNDAGEHFPVYAMCLGFEILSMIISQNRDILERFNSVNYASSLQFFENVNIEATVFQRFPPELLKKLSTDCLVMQNHYFGISPDNFQGKPSLSSFFNILTTSADKDSKTFVSTIRSKGYPVTAFQWHPEKNAFEWGSSEIPHSEDAIQVTQYAANYLVSEARKSMNRPSSEKVLSNLIYNYKPTYSGYKGSGDDEVYIFM >scaffold_26200003.1 pep scaffold:JGI8X:scaffold_262:5218:7539:1 gene:scaffold_26200003.1 transcript:scaffold_26200003.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyl hydrolase 2 [Source:UniProtKB/TrEMBL;Acc:D7MX15] MWSFAWLVPLVLSLLKDSIIMAKSAAILLPSQTGFDISRSPVCSAPDPNLNYRPVIGILSHPGDGASGRLSNATDASSIAASYVKLAESGGARVIPLIYNDPEEILFQKLELVNGVILTGGWAKEGLYFEIVKKIFNKVLERNDAGEHFPIYAICLGFELLTMIISQNRDIFEKMDARNSASSLQFLENVNIQGTIFQRFPPELLKKLGTDCLVMQNHRFGISPESFEGNVALSSFFKIVTTSVDNNSKVYVSTVQSKKYPVTGFQWHPEKNAFEWGSSKIPHSEDAIQVTQHAANHLVSEARKSLNRPDSKKVLSYLIYNYKPTYCGYAGNGYDEVYIFTQQRSLL >scaffold_26400001.1 pep scaffold:JGI8X:scaffold_264:757:1191:-1 gene:scaffold_26400001.1 transcript:scaffold_26400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKY1] MDGVVHQAQARDQDSRRRTNQNGRLIEATILVSLITSLMIRRSSYLSDLNPETNTTSVARYVAVLGLVLTHVGKIAYGFALMVMTSIGLVQLDLPDSLERIQRAQVITGKFLIVTLISQSCLLCFILFFR >scaffold_26400002.1 pep scaffold:JGI8X:scaffold_264:1714:2088:-1 gene:scaffold_26400002.1 transcript:scaffold_26400002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MX18] MDAHHRQAAAHENRFRLMNPALFIVLLTVVPFLSSPVGLNPTNPPPGMTPATFQAIKITSQCFYPLSYLAAIIVLVQVQFTVLVWLSFGIPFLMFAISSSSLISGYYLTF >scaffold_26700002.1 pep scaffold:JGI8X:scaffold_267:9295:10259:1 gene:scaffold_26700002.1 transcript:scaffold_26700002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSRSSSSGVMTIKSEQKSRRVLCGSVVVPLEPSNPNRWKTLVHTSSTSPQQRRGRPVPQELQDRVLAMFVCLSVG >scaffold_26900003.1 pep scaffold:JGI8X:scaffold_269:2675:4513:-1 gene:scaffold_26900003.1 transcript:scaffold_26900003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCGGSRNEASGSAYQRAHEHKERREYRNLWRRRGRSGGNEFRDDWNLMRRWLLHLRRPNRRRYYSLKKSSRNISNREYARRSRMRKKKQIEELQQQVKQLMMLNHHLHEKVINFLESNHQILHENSQLKEKASSFHLLMADVLLPMRIAESNINDRNVNYLRGETSNRPTNSPFDNG >scaffold_26900004.1 pep scaffold:JGI8X:scaffold_269:5036:5280:-1 gene:scaffold_26900004.1 transcript:scaffold_26900004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKKQIEELQQQVEQLMMLNHHLHEKVINLLESNHQILHENSQLKEKASSFHLLMADVLLPMRNA >scaffold_26900006.1 pep scaffold:JGI8X:scaffold_269:6900:7361:-1 gene:scaffold_26900006.1 transcript:scaffold_26900006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKKQIEELQQQVKQLMMLNHHLHEKVINLLESNHQILHENSQLKEKASSFHLLMADVLLPMSNAESNINDRNVNYLRGETSNRPTNSPFDNG >scaffold_27800003.1 pep scaffold:JGI8X:scaffold_278:11266:11558:-1 gene:scaffold_27800003.1 transcript:scaffold_27800003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAKDEQLEDNIGFACANGVDCRPILPSGACFKPNTTISHASYLMNSYYEQHGRTNNSCFFFFPNSAMLTSTDPSYNHCIYK >scaffold_27900002.1 pep scaffold:JGI8X:scaffold_279:2952:3345:-1 gene:scaffold_27900002.1 transcript:scaffold_27900002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPSAGRELSNPPSVGFSNLQFSITRVRLYDVSTNSLKGEFLHGGVVLDCCPTVLSLISTPCFFVWFMLCSLK >scaffold_27900003.1 pep scaffold:JGI8X:scaffold_279:4123:4433:1 gene:scaffold_27900003.1 transcript:scaffold_27900003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGEASFCVLVLFLSLVSADTDNRQDNQVYVVYMGSLPSQPDYKPVG >scaffold_28100001.1 pep scaffold:JGI8X:scaffold_281:379:1297:1 gene:scaffold_28100001.1 transcript:scaffold_28100001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MT21] MSQHGNFDTTFTKIFVENLPWTTRQEGLVNFFKRFGEIIRANVVFYKKTDRSQGYGFVTFRDAESARNACKNPNPTIDGRVTNCKLASLGAKVKPNQSKIIPLAHDDLCFRSPSFCQNLQQPSNFPQPVTTNPRPQRNQVCPQHPQQYCCNSPQHYLQYNPQYVPVSYKHYHLVDDTNQCYWLHQSINAVNGESSSLQAMGMLTEFLSTQGSSLSRSRDRQS >scaffold_28500001.1 pep scaffold:JGI8X:scaffold_285:224:2518:1 gene:scaffold_28500001.1 transcript:scaffold_28500001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MX55] MEGVVSVFPSKNYKLQTTASWDFMGMKEGKNTKPNLAVESDTIIGVIDSGIWPESESFSDKGFGPPPKKWKGVCSGGKNFTCNNKLIGARDYTSEGTRDLQGHGTHTASTAAGNAVVDTSFFGIGNGTARGGVPASRVAAYKVCTMTGCSDDNVLSAFDDAIADGVDFISVSLGGDNPSLYEEDTIAIGAFHAMAKGILTVHSAGNSGPNPSTVVSVAPWVLSVAATTTNRRLLTKVFLGNGKTLVGKSVNAFDLKGKKYPLVYGDYLKESLVKGKILVSRYSTRSEVAVASITTDNRDFASISSRPLSVLSQDDFDSLVSYINSTRSPQGSVLKTEAIFNQSSPKVASFSSRGPNTIAVDILKRRWLVHGLKPDISAPGVEILAAYSPLSSPSDDRSDERHVKYSIMSGTSMACPHVAGVAAYIKTFHPEWSPSVIQSAIMTTAWRMNATGTEAASTEFAYGAGHVDPVAALNPGLVYELDKTDHIAFLCGLNYTSKTLKLISGEAVTCSGKTLQRNLNYPSMSAKLSGSKSSFTVTFKRTVTNLGTTNSTYKSKIVLNHGSKLNVKVSPSVLSMKSVKEKQSFTVTVSGSNLDPELPSSANLIWSDGTHNVRSPIVVYSDSY >scaffold_28500003.1 pep scaffold:JGI8X:scaffold_285:6477:6690:-1 gene:scaffold_28500003.1 transcript:scaffold_28500003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMIPSSPCRIIPLDEFGFTHIGRLELDASKISLSNPNPDLDLSKVGFFRDAWVHV >scaffold_28600002.1 pep scaffold:JGI8X:scaffold_286:1874:2691:-1 gene:scaffold_28600002.1 transcript:scaffold_28600002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSKNTFTIGFEIYHHSSNSWRVVDVTPDWNVEYYQRGEGLDDVDTTHLPVILLCFDFTAERFGPRLPLPFHSHDEEIVSLSCVKEEQLLGVFFIDDEKKVAVVFDLDGYKKTETCRYQTAHIIGQYGYFKSVNIREAPNLGKPDQIWILLSPFIVSHLCALLMFQV >scaffold_28700001.1 pep scaffold:JGI8X:scaffold_287:3271:3636:1 gene:scaffold_28700001.1 transcript:scaffold_28700001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVDLLFFYTLSIGRTTGPTCLHMDGAFAAVVTCGFNSHVASIYVSRDSLVVGCGKLVWDPRAIASYYARFHPPCLSSDFSKQPSNYYNIMKITLNVLLVSRKPP >scaffold_28800002.1 pep scaffold:JGI8X:scaffold_288:2178:2552:1 gene:scaffold_28800002.1 transcript:scaffold_28800002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MX64] MIMMKLKPLVIVFFSMFLVLHYLTNQANSDSSLEHTGITTPLPPPPPRKTYCSRSSSSPPPPKYMYVTGVPGELYRTEPDDQWGYYSSVNRNLVKCLMVIVIVGFGVINL >scaffold_28800004.1 pep scaffold:JGI8X:scaffold_288:5541:5742:-1 gene:scaffold_28800004.1 transcript:scaffold_28800004.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MX65] MNDDDQPNKVKNSNSIPTFILNGLFVYKTMYAPNMMLWWLLQAILVCGAEARF >scaffold_28800005.1 pep scaffold:JGI8X:scaffold_288:9384:9714:1 gene:scaffold_28800005.1 transcript:scaffold_28800005.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MX66] MDRPPSFPHYQIPNPNLFHHVPPPNPNPNFFVRPPLAHLQNPNNYSIAVSAADLRALRYNLFFTIPVVGISFDKSSLINTLPFSRRTKMAILIKIT >scaffold_2900001.1 pep scaffold:JGI8X:scaffold_29:54141:54451:-1 gene:scaffold_2900001.1 transcript:scaffold_2900001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVU2] MAWWRTCKGRAGARPVGEGHDRSEQKGHPGHGLRGWSSSGFCGTVRSAQSLQWWLLRASEGECGMHRGSAHTYQVLVRTSDRPKALVML >scaffold_2900002.1 pep scaffold:JGI8X:scaffold_29:62417:63727:1 gene:scaffold_2900002.1 transcript:scaffold_2900002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKISNIANSELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDPTDDIKEAAPAAPKPADEQQS >scaffold_29300001.1 pep scaffold:JGI8X:scaffold_293:8875:10258:1 gene:scaffold_29300001.1 transcript:scaffold_29300001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYEKFDHSFHFPQKEQKPVCGSILYISKLAQHIKIRQRVIATAVTYMRRVYTRKSLSEYEPRLVAPTCLYLACKAEESVVHAKLLVFYMKKLYADEKFRYEIKDILEMEMKVLEALNFYLVVFHPYRSLH >scaffold_29400001.1 pep scaffold:JGI8X:scaffold_294:113:914:-1 gene:scaffold_29400001.1 transcript:scaffold_29400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKADASEIIIYVRQLKGLLNHIGMAEANIEKRSRELDLKEEELQILSSELEQKSQTFEAEKSEAGDLKKLVEECTEELRSKRNLLTVRLDSLTRVQRELESKDNQLGQVMAEIKRRCTEARNVQERKREVEDETASKKKELSLIVEQIEESDKQLENKSREVELKEKDIEENRKELDLVKSQVKAWERKLIQLRKLVDDDCTRELRPRKDHVDSSNNTHVKLTKTVLVKHSGVEEENHGKLSSLFPCSL >scaffold_29400002.1 pep scaffold:JGI8X:scaffold_294:5314:9243:-1 gene:scaffold_29400002.1 transcript:scaffold_29400002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKKQVHAYSGRHCAATNNDAENIASVYTFWTRCRHCGQWYKYLRKYINTVMYCSSCRKSYVACNMRCDGVPPSSSTAGRKEFQYQGMYNTSRQKSRQNASTGAESGGSAAEMGKNGTAGKKLNKKNQEKQKKGAANREPKKDEGCTENDAEGRNPQNSETGTNNSAEIPKADILKPQHQVKEPLIRAKSIPDLSAPKKNQAAKKKRKAVEESSKSFEVDSSAGAKTDTHEYNKRKSSRKKPQVFCTKGGSDGDFVSPPKKKTKSGCEFESEFDTKQTAEDNQSSELADSPYKGKAKKNVHSGNEEILSCKNKVCEGCDGNGEDAALLSKIGRVEKGYKVNENPNPLDIPDPEFSAFKVERNTGDFAVNQVWSTTTDSRDGMPRKYARVKKVLNGEFKLWITYLDPVLDKNDESIPVACGKFKNGKTEEVEDRFIFSVQMHHLSCNKTVSIYPRKGEIWAIFREWDAEWNTSLEKHKLPYKYDFVEIVSDFHDLKGVGVAYLGKLKGSVQLFHWEPQNGICQIQFTPKDMLRFSHKVPAVKMTGKEKECVPPNSYELDPAALPKDIFQVDAVDMEMDSEILKGKPDGPVPEASKVGAKAKPVPETAPSPRKRRKSDDDMGVCSNVGEVIGGTNRSHIFSSCEVDEKKNTPNKSREDGEATDVFKLGKSPRLQTIPSQQEDEKKRAKQGNKMNTPKKIEQQTNELGVMHRKKRDLALILDKIEESGKQLATVDGQLGSRRKLLKIRSFKLFTANKKLVCVRKSIRLSCSDLKQKERMIHSLNNRVTVCGNTFDSKSKELGEIQKLIDQHTNELVVLRTQRDSIWQLIKGLSEELVAKEMELECVLESSKDFKFDIDVKEKRVQALNNLITISGEQLDIKSKELGEIQRELDLKKKRLRHMSTVLVKHEKQPAAADSAPFSEDALTDHEFSPSLSRDEVAYHLRALPNPAEFVLEDVQEYISGELGLQDDSFLEILVLCLEELIEIQRRDDPQLQNKATQVATIWKGKITIEAPKSSLEALAFLLFIVAYGLKNLINEEEAALLASSIAHYEQAPRLFKSLSLNCEIRKFVKALIKKEQYIPAVRLVCLFKLNEEFSPSHLLEKEIINLRWSVLGKRPTQSSQAKEKDAGRLRAILELVGDYKLEINIPGYLIAKLMIQRENSPPLVRCSVKHGTSSTNPQANSPNPASAHCSPNPASAHCSPNPAYAHRSPNPASAQSSSVNPQVPKPDVKPCLN >scaffold_29500001.1 pep scaffold:JGI8X:scaffold_295:6767:8696:-1 gene:scaffold_29500001.1 transcript:scaffold_29500001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITSKSIALIIIFLLYLVSCVSSQREIKFLNHGFLGANLLKFGSSKVHPSGLLELTNTSMRQIGQAFHGFPIPLSNPNSTNSVSFSTSFVFAITQGPGAPGHGLAFVISPSMDFSGAFPSNYLGLFNTSNNGISLNRILAVEFDTVQAVELNDIDDNHVGIDLNGVTSIESAPAAYFDDREAKNISLRLASGKRIRVWIEYNATEMMLNVTLAPLDLPKPSIPLLSRKLNLSGILSQEHHVGFSAATGTVASSHLVLGWSFNIDGTASEFDITKLPSLPDPPPLLSPSPSPPVSVKKDSNNMKLIIICATSGTFVIVILILLGFWVFRRKQTFFTGGARKFSHQKISSATGGFDNSKLLGERNSGSFYKGQLSPTEIIAVKRITCATRQQKTTLITEIDAISKVKQRNLVDLHGYCSKGNEIYLVYEYVPNGSLDRFLFSNDRPVLTWSDRFCIIKGIASALQHLHGEGQKPLIHGNVKASNVLLDEELNARLGDYGHGSRHSTTGHVAPELVNTGKATRDTDVFEFGVLMMEIVCGRKAIEPTKPPAEISLVNWVLQEFKKGNLLQICDTRVNRENLVAREVLLVLKTGLLCANRSPESRPMMKQVFRFLDGTEPLPHDDYLFYGV >scaffold_29800001.1 pep scaffold:JGI8X:scaffold_298:4567:5756:-1 gene:scaffold_29800001.1 transcript:scaffold_29800001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDDNANGLSSPEVTPTPKRKRLTSNVLGENYVPPSVESHTKTAIAINSVFRRVLTDISNSPRNSSGLSASDQITFSCSTARGQENVSNNPYQAPSSKKARNLSPIPLSGSLFNKSQLTSTIIDDSSYLAESSYSQNDDISGASAANHRNKGEYEDNYQEDLYCSSQEELSTDSSSDEDDYAKECAYNPKEAIHRAKERFDILTMLENAFGKPKETPVIPPKQKNGIIPIFVIYC >scaffold_29900001.1 pep scaffold:JGI8X:scaffold_299:143:430:-1 gene:scaffold_29900001.1 transcript:scaffold_29900001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGESSGKKCGDGDGRVAGKSQRKVGLIYDEAMCKHDTPDGEDHPECPDRIRVIWEKLQLAGVSQRFILSSSLAALLFV >scaffold_30000002.1 pep scaffold:JGI8X:scaffold_300:6452:6819:-1 gene:scaffold_30000002.1 transcript:scaffold_30000002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDADEEDAEFQKAKPDICKDYKETGYCRYRDSCKFLHDHRDYKPGWQIEKDCEEVEKVWKRNKAMGVEDEDDNDTDK >scaffold_300008.1 pep chromosome:v.1.0:3:24468:27198:1 gene:scaffold_300008.1 transcript:scaffold_300008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMQFYGVQTPELALNSKAIEFGSKGLNFSALVSSARVFSRNVDHACKNIVLRVTCEAGRVELLERKGSETFKLNRTEKKLTCVMKFGGSSVASAERMKQVAKLILSYPDEKPVVVLSAMAKTTNKLLMAGEKAVCCGVTNVDTIEEWSYIKELHIRTAHELGVETAVIAEHLEGLEQLLKGIAMMKELTLRSRDYLVSFGECMSTRLFAAYLNKIGHKARQYDAFEIGIITTDDFTNADILEATYPAVSKKLLGDWSKENAVPIVTGFLGKGWRSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYCGAQPVPHLTFDEAAELAYIGAQVLHPLSMRPAQEGNIPVRVKNSYNPTAPGTVITRSRDMSKAVLTSIVLKRNVTMLDITSTRMLGQYGFLAKVFSTFEKLGISVDVVATSEVSISLTLDPSKFCSRELIQHELDQVVEELEKIAIVNLLRHRSIISLIGNVQRSSFILEKGFRVLRTNGINVQMISQGASKVNISLIVNDDEAEHCVKALHSAFFETGTCEAVSERPTGYIAASSRNL >scaffold_300012.1 pep chromosome:v.1.0:3:41924:42520:1 gene:scaffold_300012.1 transcript:scaffold_300012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRRCQVLFPCSSSVDPRLTEYHGVDNSAECADQLTTSSLSQQNMNTNEEEKPKSKKKKKKKKKEREARYAFQTRSQVDILDDGYRWRKYGQKAVKNNPFPRSYYKCTEEGCRVKKQVQRLWGDEGVVVTTYQGVHTHPVDKPSDNFNHILTQMHIFPPFS >scaffold_300016.1 pep chromosome:v.1.0:3:51584:53035:1 gene:scaffold_300016.1 transcript:scaffold_300016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTRERVKSFINNRWLVFVAAMWIQSCAGIGYLFGSISPVIKSSLNYNQKQLSRLGVAKDLGDRLRPVMVSRTMGGFTINIIDVPGLVEAGYVNHQALELIKGFLVNRTIHVLLYVDRLDVYRVDELDKQVVKAITQTFGKEIWCKTLLVLTNAQFSPPDELSYETFSSKRSDSLLKTIRALPNGEAWIPNLVKAIIDVATNQRKAIHVDKKMVDGSYSDDKGKKLIPLIIGAQYLIVKMIQGAIRNEIKTS >scaffold_300017.1 pep chromosome:v.1.0:3:57667:59118:1 gene:scaffold_300017.1 transcript:scaffold_300017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTRERVKSFINNRWLVFVAAMWIQSCAGIGYLFGSISPVIKSSLNYNQKQLSRLGVAKDLGDRLRPVMVSRTMGGFTINIIDVPGLVEAGYVNHQALELIKGFLVNRTIHVLLYVDRLDVYRVDELDKQVVKAITQTFGKEIWCKTLLVLTNAQFSPPDELSYETFSSKRSDSLLKTIRALPNGEAWIPNLVKAIIDVATNQRKAIHVDKKMVDGSYSDDKGKKLIPLIIGAQYLIVKMIQGAIRNEIKTS >scaffold_300018.1 pep chromosome:v.1.0:3:65200:66651:1 gene:scaffold_300018.1 transcript:scaffold_300018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTRERVKSFINNRWLVFVAAMWIQSCAGIGYLFGSISPVIKSSLNYNQKQLSRLGVAKDLGDRLRPVMVSRTMGGFTINIIDVPGLVEAGYVNHQALELIKGFLVNRTIHVLLYVDRLDVYRVDELDKQVVKAITQTFGKEIWCKTLLVLTNAQFSPPDELSYETFSSKRSDSLLKTIRALPNGEAWIPNLVKAIIDVATNQRKAIHVDKKMVDGSYSDDKGKKLIPLIIGAQYLIVKMIQGAIRNEIKTS >scaffold_300019.1 pep chromosome:v.1.0:3:70505:72798:1 gene:scaffold_300019.1 transcript:scaffold_300019.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin family protein [Source:UniProtKB/TrEMBL;Acc:D7L9J9] MARTTRERVKSFINNRWLVFVAAMWIQSCAGIGYLFGSISPVIKSSLNYNQKQLSRLGVAKDLGDSVGFLAGTLSEILPLWAALLVGSVQNLVGYGWVWLIVTGRAPILPLWAMCILIFVGNNGETYFNTATLVSGVQNFPKSRGPVVGILKGFAGLGGAILSQVYTMIHSSDRASLIFMVAVAPSVVVVPLMFFIRPVGGHRQIRSSDATSFTIIYAVCILLAAYLMAVMLVEDFIDLSHLIITAFTIVLFAILLVPIFIPIATSCFASTNPCDTLEEPLVGNQQSQDPGQSTTPDHGPELILSEVEDEKPKEVDLLPALERHKRIAQLQAKLMQAAAKGAVRVKRRRGPHRGEDFTLTQALVKADFWLIFFSLLLGSGSGLTVIDNLGQMSQSLGYDNTHVFVSMISIWNFLGRIGGGYFSELIVRDYAYPRPVAIAVAQLVMSVGHIFFAYGWPGAMHIGTLLIGLGYGAHWAIVPATASELFGLKKFGALYNFLTLANPAGSLVFSGLIASSIYDREAERQAQGSLFNPDDVLRCRGSICYFLTSLIMSGFCLIAAALSMILVQRTKSVYTNLYGKTRS >scaffold_300022.1 pep chromosome:v.1.0:3:74794:77198:1 gene:scaffold_300022.1 transcript:scaffold_300022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIRGPSEYSQEPPRHPSLKVNAKEPFNAEPPRSALVSSYVTPVDLFYKRNHGPIPIVDHLQSYSVTLTGLIQNPTKLFIKDIRSLPKYNVTATLQCAGNRRTAMSKVRNVRGVGWDVSAIGNAVWGGAKLADVLELVGIPKLTASTYLGGRHVEFVSVDRCKEENGGPYKASIPLSQATNPEADVLLAYEMNGETLNRDHGFPLRVVVPGVIGARSVKWLDSINVIAEESQGFFMQKDYKMFPPSVNWDNINWSSRRPQMDFPVQSAICSVEDVQMVKPGKVSIKGYAVSGGGRGIERVDISLDGGKNWVEASRTQKPGKHYISEHNSSDKWAWVLFEATIDVSQTTEVIAKAVDSAANVQPENVESVWNLRGILNTSWHRVLLRLGHSNL >scaffold_300023.1 pep chromosome:v.1.0:3:78232:79769:1 gene:scaffold_300023.1 transcript:scaffold_300023.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP94B2 [Source:UniProtKB/TrEMBL;Acc:D7L9K2] MEASTLILLLILVLLLVSAGKHVVIYPCRNSIPKTYPVIGCLISFYTNRNRLLDWYTELLTESTSRTVVIRRLAARRTVVTANPSNVEYILKTNFDNYPKGKPFTEILGDFLGNGIFNVDGNLWLKQRRLATHDFTPKSLREYVTVLRNEVEKELVAFLNAAAEDSQPFDLQELLRRFTFNIVCIVFLGIDRCSLNPSSPVSEFDRAFHTASAVSAGRGSAPLSFVWKFKRLVGFGSEKELRNAIGQVHSCVDEIIREKKRRPANQDFLSRLIVAGESDETVRDMVISIIMAGRDTTSAVATRLFWLITGHKETEHDLVSEIRSVKETTGGFDYESLKKLSLLRACLCEVMRLYPPVPWDSKHALTDDRLPDGTLVRAGDRVTYFPYGMGRMEEHWGEDWDEFKPNRWVDESSYDKTCCRVLKKVNPFKFPVFQAGPRVCLGEEMAYVQMKYVVASILDRFEIEPIPTDKPDFVAMLTAHMAGGMQVRVRRRNPSLSP >scaffold_300024.1 pep chromosome:v.1.0:3:80296:81686:-1 gene:scaffold_300024.1 transcript:scaffold_300024.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB complex BAF60b domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L9K3] MAPPNFQPQFQGQGIGALGSSPGSSTFNFKRFPPPKPPIGGPPPSSLELTPASRKKKHNKSLQERVAAVLPESALYTQLLEFESRVDAALFRKKVDIQDSLKNPPSIHKTLRIYVFNTFSDQIPGNDPPTWTLKIFGRIMDPDHAGGLVQSSNPLYPKFSSFLKTLKISLDESLYPESHLIEWENARSPAPQEGFEIKRIGCQEFAAKILLEMNYVPEKFKTSPALMQVLGIELDTRPRIIAAIWHYVKARKLQNPNDPSFFNCDAALHRLFGEEKMKFTMLSHKISQHLSPPPPIPLVHEIKLSGNNPAISACYDVLVDIPLPVQRELCNLLANAEKNKEIEACDEAICGALRKIHEHRRRRAFFLGFSQSPVEFTNALIESQTKDLKLVAGEARRNAEKEGRSEFFNQPWVEDAAIRYLNRKPAGG >scaffold_300026.1 pep chromosome:v.1.0:3:85357:87149:-1 gene:scaffold_300026.1 transcript:scaffold_300026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSIGKAFQLFCFLVILIVNHVCWIQAQGNSDVNPTVSRSADPFLLSTSLPVETAFTFPSALPVIPSGGGNFGKGRIDLGGLEVIQVSISTSTSQRVWRTYEGGPNSMGLTIFQPINLPPSFFTLGFYAQPNNRLLFGWVLAARDVSGNSLRPPVGYVEVINTTSMNINQDGAAYFWQPLCPDGYQAVGLYVTTSPLKPSLSQESISCVRSDLTEQSETDTWVWGTNEMTLSSLRPANRGTEATGVHTGTFSCQPLSVPPPPPPLFCLKNTKFDLSSMPSHNQTSVLFQSYSPWIYLHPDEDFLPSSVNWFFSNGALLFQRGNESNPVPVQPDGSNLPQGGSDDGLFWLDYPVDKNAKEWVKRGDLGNTKVYLHIKPMFGGTFTDIVVWIFYPFNGNARLKFLFIKSLSLGDIGEHIGDWEHITLRISNFNGELWRAYFSEHSGGTLVEACDLEFQGGNKPVSYSSLHGHAMFSRPGLVLQGDDGNGIRNDMARSDKFFDAGVAYELVAGPGIEEPPWLNYFRKWGPFVRHDIQKNLDGIAKSLPGLLRKKFRKFINKMPREVLEEDGPTGPKVKRSWTADD >scaffold_300030.1 pep chromosome:v.1.0:3:95297:95772:-1 gene:scaffold_300030.1 transcript:scaffold_300030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSENVNKRDDYQRVFSCFDKSHQGKVSLSSIERCVEAIKSGKRAVPDQDTTNPNPESTDKSLELEEFVKLVEQGDEADKEKDLKEAFNLYEESSEGITPKSLKRMLSLLGESKSLKDCEVMISQFDLNRDGIINFDEFRVMMQ >scaffold_300038.1 pep chromosome:v.1.0:3:115816:117891:-1 gene:scaffold_300038.1 transcript:scaffold_300038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIPDQHFVEDQSFDLEDWLPITASRNANWYYSAFHNVTAIVGAGVLGLPYAMSELGWGPGVVVLILSWVITLYTLWQMIEMHEMFEGRRFDRYHELGQAAFGKKLGLYIIVPLQLLVEISVCIVYMVTGGKSLKNVHDLAVGDDKCTKIRIQHFIMIFASSQFVLSLLKNFNSISGVSLVAAVMSVSYSTIAWVASLRKGATTGSVEYGYKKRTTSVPLDFLSALGEMAFAYAGHNVVLEIQATIPSTPENPSKRPMWKGAVVAYIIVAFCYFPVALVGFQTFGNNVEENILESLTKPKALVIVANMFVVIHLLGSYQVYAMPVFDMIESVMIKKWHFSPTRVLRFTIRWTFVAATMGIAVGLPYYSALLSFFGGFVFAPTTYFIPCIMWLILKKPKRFSLSWCINWFCIILGLVLMIIAPIGGLAKLIYHIQKGTLPNSKCKLPKH >scaffold_300040.1 pep chromosome:v.1.0:3:128071:128489:-1 gene:scaffold_300040.1 transcript:scaffold_300040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIGLIRGSSKDVARRTFAAAASKAKKGGKGGGASDAPKGSSLTKEIKSTTVVGANTLKDGSDPKILPDSDYPDWLWRLLDKRPALSELRRKNVETLPYDDLKRFVKLDTRAKIKENNSIKAKN >scaffold_300049.1 pep chromosome:v.1.0:3:151674:153839:-1 gene:scaffold_300049.1 transcript:scaffold_300049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIATTILLHPLILPPPAPVPAPAPSPSSSYGHPYQMPHSQEGYASQPNYPPPPSQSHDADRKKFDRRYSKISDNYSSLLQVSEALGRAGLESSNLIVGIDFTKSNEWTGAKSFNRKSLHHLSNTPNPYEQAITIIGRTLAAFDEDNLIPCYGFGDATTHDQDVFSFYPEGRFCNGFEEVLARYREIVPHLKLAGPTSFAPIIEMAMTVVEQSSGQYHVLVIIADGQVTRSVDTEHGRLSPQEQKTVDAIVKASTLPLSIVLVGVGDGPWDMMQEFDDNIPARAFDNFQFVNFTEIMSKNKDQSRKETEFALSALMEIPPQYKATIELNLLGGRNGSIPERIPLPPPVQSGSSFSSSRIPSFEPSVPTYPFESKHMSSGSDDNQLCPICLSNPKNMAFGCGHQTCCECGPDLKVCPICRAPIQTRIKLY >scaffold_300053.1 pep chromosome:v.1.0:3:162458:165786:-1 gene:scaffold_300053.1 transcript:scaffold_300053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGRGGGGGGMGGGINRRYLSKVMDSCGKDLATAEDIVDDLRSRYGDFARLTRQVLLLNVRQVLNGRNNKRVKDEDEDDNNGDEEASVAQRKKQRRVDEKEEKLQRAEQSHLRRRNMERSVSSSPSSSSSEDCADVSTSEDAIYGEKLSPPRFDLINDSLRDNYAKLNSSSKKPIGSPVEKNVEVETVSNKGRSKLATMGARKEAKGSLSLSGTTGNGDLEVEGNKGPTFKDFGGIKKVLDELEMNVLFPILNPEPFKKIGVKPPSGILFHGPPGCGKTKLANAIANEVGVPFFKISATEVVSGVSGASEENIRELFSKAYRTAPSIVFIDEIDAIGSKRENQQREMEKRIVTQLLTCMDGPGNKGEKNVPDSSAGFVLVIGATNRPDALDPALRRSGRFECEIALTAPDEDARAEILSVVAQKLRLEGPFDKKRIARLTPGFVGADLESVAYLAGRKAIKRILDSRKSEQSGDGEDDKSWLRMPWPEEELEKLFVKMSDFEEAVNLVQASLTREGFSIVPDVKWDDVGGLDHLRLQFNRYIVRPIKKPDIYKAFGVDLETGFLLYGPPGCGKTLIAKAAANEAGANFMHIKGAELLNKYVGESELAIRTLFQRARTCAPCVIFFDEVDALTTSRGKEGAWVVERLLNQFLVELDGGERRNVYVIGATNRPDVVDPAFLRPGRFGNLLYVPLPNADERASILKAIARKKPIDPSVDLDGIAKMNCEGFSGADLAHLVQKATFQAVEEMIGSSESSEDDVTDITQSTIKSKHFEQALSLVSPSVNKQQRKHYDALSKKLQESVGRNTEEQVTIGPSFTLE >scaffold_300054.1 pep chromosome:v.1.0:3:166190:166420:1 gene:scaffold_300054.1 transcript:scaffold_300054.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L9N1] MTSVAQTFKVFLFIHLPAFSFFSFSPRKRRIRGGSWFSSKNPITAIQSNSANSLEKPTAKPP >scaffold_300056.1 pep chromosome:v.1.0:3:169120:171047:-1 gene:scaffold_300056.1 transcript:scaffold_300056.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase family protein [Source:UniProtKB/TrEMBL;Acc:D7L9N3] MPLNLGNDGDGSSRIILTEPRGSTAEVLLFGGQVISWKNERREELLYMSTKAQYKPPKAIRGGIPVCFPQFGNFGGLERHGFVRNKFWSYDEDPSPLPPANKQSSVDLILKSTEDDLKTWPHSFELRIRISISPGKLTLIPRVRNIDSKAFSFMFALRNYLYVSDISEVRVEGLETLDYLDNLIGKERFTEQADAITFDGEVDRVYLNTPTKIAVIDHERKRTIELRKEGMPNAVVWNPWDKKAKTIADMGDEDYKTMLCVDSGVIEPPVLLKPREEWKGRQELSIVSSSYCSGQLDPRKVLYGDN >scaffold_300074.1 pep chromosome:v.1.0:3:230689:239491:-1 gene:scaffold_300074.1 transcript:scaffold_300074.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG-binding domain 9 [Source:UniProtKB/TrEMBL;Acc:D7L9P7] MELTDSTTEQLGDTRTAALNEENRSFLGIDLNEIPTGPTLGGGCTGGQEDDGEYEPVEVVRSIHDNPDPAPGAPAEVPEPDRDASCAACGRPESMELVVVCDACERGFHLSCVNDGVEAAPSADWMCSDCVTGGERSKLWPLGVKSKLILDMNASPPSDAEGYGGEETSDSRKHMLASSSFMGNSFDYAMMHSNFSSPGRGLASLEASGLIARNTKMSMDALATHNLGFGFPLSLNNSSLPMRFPSLDPSELFLQNLRHFISERHGVLEDGWRVEFKQPLNGYQLCAVYCAPNGKTFSSIQDVACYLGLAVNGNYSCMDADIRNESSLLQERLHMPKRRKTSRWPNNGFPEQKGSSVSAQLRRFPFNGQTMFPFVVKSGTHLQAGDSLNSGNNGCGCEEANNGLPMQYEDFFVLSLGRIDIRQSYHNVNVIYPIGYKSCWHDKITGSLFTCEVSDGTSGPVFKVTRSPCSKSFIPVGSTVFSCPKIDEMVEQNIDKQSDRRDSTLEHDDDANIETLLSDHSPPLGDDILSCLREKNFSKTFNCLRSEVGSSQVESDKVLSYNQDRGVEIGEIVVEEDSLSAAWNKVSQKLVDACSIVMKQKGTFNFLCKHVDRETREINWDTMNEKDNVFLSLSRFCCTLGPHSVTCGEKDKSEIATLVDALSRWLDQNRFGLDADFVQEMIEHMPGAESCTNYRTLKSRSSSSVPVTVAEGALVVKPKGGENVKEEVFGEISRKSKKPKLNGDFGVRNLHPPPGRPMCLRLPPGLVGDFLQVSEVFWRFHEILGFEEAFSSEKLEQELINPVFDGLFLDKPGKDDKRSEMNFTNKDCSGTEFFSLFDESRQPFPAKNTSASVLKETKAEDSSDFAISYSSHGPCVGALLTRTHISLLQVLICELQSKVAAFVDPNFDSGESRSRRGRKKDDSTLSAKRNKLHMLPVNEFTWPELARRYILSLLSMDGNLESAEISARESGKVFRCLQGDGGLLCGSLTGVAGMEADSMLLAEAIKKISGSLTSEHDVLSVEDDDSDGLDATETNTCNGDIPEWAQVLEPVKKLPTNVGTRIRKCVYEALERNPPEWAKKILEHSISKEVYKGNASGPTKKAVLSLLADVRGGDLVQRSVKGTKKRTSIGVSDVIMKKCRAVLRGVAAADEDKVFCTLLGRKLLNSSDNDDDGLLGTPAMVSRPLDFRTIDLRLAAGAYDGSTEAFLEDVLELWSSIRVMYADQPDYVELVATLSEKFKSLYEAEVLPLVQKLMEYRKLECLSAEMKKEIKDIVVSVNKLPKAPWDEGVCKVCGVDKDDDSVLLCDTCDAEYHTYCLNPPLIRIPEGNWYCPSCVIAKRMAQEALESYKLVRRRKGRKYQGQLTRTSMEMTAHLADVMEEKDYWEFSAEERILLLKLLCDELLSSSLVHQHLEQCAEAIIEMQQKLRSLSSEWKNAKMRQEFLTAKLAKVEPSILKEVGEPHNSGHFADQMGCDQRPQEGVGDGVTHDDSSTAYLNKNKGKAPLETDSQPGEFQDSQPGESHVNFESKISSPETISSPGRHEKPIADTSPHVTDNPSFEKYTSETLHKSVGRNHETHSLNSNAVEIPTAHDASSQASQELQACLQDLNATSHEIHNLQQSIRSIESQLLKQSIRRDFLGNDASGRLYWGCCFPDENPRILVDGSISLQKPVQADLMGSKVPSPFLHAVDHGRLRLSPWTYYETETEISELVQWLHDDDLKERDLRESILCWKRLRFGDVQKEKKQAQNLSAPILARGLETKAAMSMEKKYGPCIKLETETLKKRGKKTKVSQREKLCRCECLESILPSMIHCLICHKTFASDDEFEEHTESKCIPYSLATEESKEISDSSKAKESLKSDYLNVKSSAGKAVGEISNVSELDSGLIRYQEEESISPYHFEEICSKFVTKDSNRDLVKEIGLIGSNGIPTFLPASSTHHNDSVLINANPNKLDGGDSGDQVIFAGPETNVEGLNSESNLSFDGSVTDNHGGPLNKLTGLGFGFSEQKNKKSSGSGLKSCCVVPQAALKRITGKALPVFRFLKTNLLDMDVALPEEALRPSKSHPDRRRAWRVFVKSAQSIYELVQATFVVEDMIKTEYLKNEWWYWSSLSAAAKISTLSALSVRIFSLDAAIIYDKPITPSDHNDETKPIISSPDQKSQPVSDSQEKSSRVNRRSGKKRKEPEGS >scaffold_300075.1 pep chromosome:v.1.0:3:240332:240608:-1 gene:scaffold_300075.1 transcript:scaffold_300075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLKITSNPHSYTYTEEGNRSNGVPEWNTATGDSDGGRDDERTQKIRNQRWKMANSTTRCRSATEIRLWRVCTRQHR >scaffold_300076.1 pep chromosome:v.1.0:3:240619:241628:1 gene:scaffold_300076.1 transcript:scaffold_300076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQTQNTSLPRVQNVEKGVSDLELGEGVMEKLVSPSGEDMNSRAQLNVKDNRKNVTTGVTSFDSRITFYSVATLVVITILVGSIFVLWFDTRNIGTLHKLLYVFLSAATIPYIGLLFICLCNDVPVPSYRLGAEGRFGIYLATMVVIYCISYCIEDFDVMMEVSFLAIMSISGAVAIVQLHSPAEDLNSSRTTFILVLGTMSAILGCVAKDSWMSLSGSLCFFMVVMCLIKINCLAADHFEEQQRERRRRRHRHPDCSKDVGE >scaffold_300079.1 pep chromosome:v.1.0:3:245319:245895:1 gene:scaffold_300079.1 transcript:scaffold_300079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQSPPISEVDATDDMHEALFAKRGCCFLMPCLASSQPSTRGGSVWWQRITTVDKLEPDERWWIRGWRRMREWSELVAGPRWKTFIRRFGRNHCCGGGGGRVGNSSGGCGAMTNRSDQGKFRYDPLSYSLNFDDGKQTGHFDDEFPYRDYSMRFAAPSLPVSTKCSIDFDSATMDK >scaffold_300098.1 pep chromosome:v.1.0:3:319227:319599:-1 gene:scaffold_300098.1 transcript:scaffold_300098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLQRSAVSFRRQGSSGRIWSDQSILDQKNGAILQNQEQTCQQSGSTSNDLEEKIPSRKSSSSSSSSSSPPLYFSEIRRGPDISSSTRNKPGCGLSSLFRQCIGSSARA >scaffold_300101.1 pep chromosome:v.1.0:3:332493:335180:1 gene:scaffold_300101.1 transcript:scaffold_300101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDSVKVMENWQSKTSNESDKKKKRRRKKKNNVRNTEHVDEEEEEANGCWVKFRFIVCCVSSASDVDSSLSLSTSTAGSQSAIVKSNDQPVGPVSSTTTTSNAESSLSTPMISEELKIYSHLKKFTFIDLKLATRNFRPESLLGEGGFGCVFKGWVEENGTAPVKPGTGLTVAVKTLNPDGLQGHKEWLAEINYLGNLLHPNLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLSFLHEEALKPVIYRDFKTSNILLDADYNAKLSDFGLAKDAPDEGKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLLDKRRFYRGAQKVTQLAAQCLSRDSKIRPKMSEVVEVLKPLPHLKDMASASYYFQTMQAERLKAGSVSGSSGRGFGSRNGQPPVFRTLSSPHGQAGSSPYRHQIPSPKPKGATT >scaffold_300105.1 pep chromosome:v.1.0:3:344416:346178:1 gene:scaffold_300105.1 transcript:scaffold_300105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRISSLVCLVLLVTIGVMVSVSLADNNEEKSTDLKKVKGGVTDRSISKKTLGVHELKKGNLTAKFTNRGASIMSLLFPNKNGKIEDIVLGYDSVNDYMNDTVFCGVTLARVANKREKTVVNDGKHGVTKESGDVIWTVKKHNNNGKKPYIVFRYTSPDGDHQGKLEVTVTYKLVGDNKLKMVMEAKAKEKATPVNLVHRSYWNLGGHNNEDILSEEIQILGSGYAHLDHNLTPTGKILAVKGTPYDFRQLRPIKDNINELKTGKVVELVDKKSKIKMELSTDQSGLKFYTGGRLKKNKNGSVHKAYSGLCLESHAINNQYRPSQIVEPGKNYKHTMLFKFSIVPSTL >scaffold_300108.1 pep chromosome:v.1.0:3:349563:349983:1 gene:scaffold_300108.1 transcript:scaffold_300108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISFVLLVVTLIVFQQVCEAHRPIDFNEEVLEKDLHEAKDLIEEDLKEKETSIRNLESEVSLLTKSEMMLTQLEHAYKNGKSLERFGKRLKKFNRRIKRAPEEVRYVSIIQSILKDLGLNGGRN >scaffold_300109.1 pep chromosome:v.1.0:3:351895:353669:-1 gene:scaffold_300109.1 transcript:scaffold_300109.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHB20 [Source:UniProtKB/TrEMBL;Acc:D7LAH8] MYVFDPTTEAGLRLEMAFPQHGFMFQQLHEDNSQDQLPSCPPHLFNGGGNYMMNRSMSLMNVQEDHNQTLDEENLSDDGAHTMLGEKKKRLQLEQVKALEKSFELGNKLEPERKIQLAKALGMQPRQIAIWFQNRRARWKTRQLERDYDSLKKQFESLKSDNDSLLAYNKKLLAEVMALKNKECNEGNIIKREAEASWSNNGSTENSSDINLEMPRETTTTHVNTIKDLFPSSIRSSAHDDQNHHEIVQEESLCNMFNGIDETTSAGYWAWSDPNHNHHHQFN >scaffold_300112.1 pep chromosome:v.1.0:3:358998:360317:1 gene:scaffold_300112.1 transcript:scaffold_300112.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LAI1] MAASKRLVVSCLFLVLLFAQAKSQGLKVGFYSKTCPQVEGIVRKVVFDAMKKAPTVGAPLLRMFFHDCFVRGCDGSILLDKPNNQGEKSAVPNLSLRGFGIIDDSKAALEKVCPGIVSCSDVLALIARDAMVALEGPSWEVETGRRDGRVSNINEVNLPSPFDNITKLINDFRAKGLNEKDLVVLSGGHTIGMGHCPLLTNRLYNFTGKGDSDPSLDTEYAAKLRQKCKPTDTTTALEMDPGSFKTFDVSYFTLVAKRRGLFQSDAALLDNSKTRAYVLQQARTHGSMFFSDFGVSMVKMGRIGVLTGQAGEIRKTCRSAN >scaffold_300113.1 pep chromosome:v.1.0:3:360633:361125:-1 gene:scaffold_300113.1 transcript:scaffold_300113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNNCVYMAFLLITTLVLFACPSLAFEGNEKPLIDPNIDLDSTFARSPSTSEYDRDVLNKQSKELVEYAGTCGEMMGGIKCNDEVMSEILQNKPTSRYCCMKMMIYGQECHMVLRNLFFETYYYKPFASKGRPRIPKVWNRCSAEVGGF >scaffold_300116.1 pep chromosome:v.1.0:3:369069:369802:-1 gene:scaffold_300116.1 transcript:scaffold_300116.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of ribosome [Source:UniProtKB/TrEMBL;Acc:D7LAI5] MVYLHSSISVCNSVDQAPTLIMSNSVHSSDLHPKSRNTRNKSSYTSSPITCSKFPVCDGSQSAAIDVVILIAVITACGFLFFPYVKLITLKSIEVFSDLSVLVKEEILQNPIVYGSLALSIFCAAISTWLVILLCTMQRCGKPNCKGLRKAVEFDIQLETEECVKSSNNNSNKRGMIELPRVHHRELEAELKKMAPPNGRAVLVFRARCGCSVRRLVVSGPKKQQRKIKK >scaffold_300118.1 pep chromosome:v.1.0:3:373810:376515:-1 gene:scaffold_300118.1 transcript:scaffold_300118.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding [Source:UniProtKB/TrEMBL;Acc:D7LAI7] MSSSGQTQFRYTQTPSKVVHLRNLPWECVEEELIDLCKRFGKIVNTKSNVGANRNQAFVEFADLNQAISMVSYYASSSEPAQIRGKTVYIQYSNRHEIVNNQSPGDVPGNVLLVTFEGVESHEVSIDVIHLVFSAFGFVHKIATFEKAAGFQALVQFSDVETASAARNALDGRSIPRYLLPEHVGSCNLRMSYSAHTDLNIKFQSHRSRDYTNPYLPVNHTAMDGSMQPALGADGKKVESQSNVLLGLIENMQYAVTVDVLHTVFSAYGTVQKIAIFEKNGSTQALIQYSDIPTAAIAKEALEGHCIYDGGYCKLRLSYSRHTDLNVKAFSDKSRDYTLPDLSLLAQKGPGVSASAPPTGWQNPQVQTQYSGYGGSPYMYPSSDPNGASPSGHPPYYG >scaffold_300122.1 pep chromosome:v.1.0:3:384827:385726:1 gene:scaffold_300122.1 transcript:scaffold_300122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGLYSGTSTLALVARASAFGLGLIYGNIKLKALKIKKNSQIKAEAKAHH >scaffold_300130.1 pep chromosome:v.1.0:3:415917:417094:1 gene:scaffold_300130.1 transcript:scaffold_300130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRKKKANRRRQEEEEANWSALCPDLLRCVFKRLSFTALNRAKSVCSTWHSASRGCVPNQNQIPWLILFPHEEESNKNSSSCVLFVPEDQDKVYTSKDLGVDFSQSRCLTTCGSWLLLLNRRRDLYILNPLTGERIDLSNNQHLPSDLRCDQVCFRIDNKTKDYLVVMLQYCSVFTKKGYKKWHDFPHLDCYGDFAYNQKDQKLYVHYPNDIVNIWDLSGDNPHKDRSLSLDPFYINHYDFPSFLNDNEDELYWDKLRGFATRTAVTVSGHVLMVSSIVQDCKTWYFRIYKTNLYGNWVKVKSLGNEALIYDMGITVVANGIPGIKRNSIYFSGVDHGRDDPHHIFVYDLTTQKMEPLPQCAFSTIRFSDARWFFPC >scaffold_300131.1 pep chromosome:v.1.0:3:418068:418912:-1 gene:scaffold_300131.1 transcript:scaffold_300131.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:D7LAJ7] MAEVHNQLEIKFRLTDGSDIGPIAFPDATTVSALKETVISEWPREKENGPRTVKEVKLISAGKVLENNKTVKDYRSPVSNLAGAVTTMHVIIQAPVAEKEKKPKGDPKMNKCVCSVM >scaffold_300135.1 pep chromosome:v.1.0:3:429997:431482:-1 gene:scaffold_300135.1 transcript:scaffold_300135.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7LAK1] MVKICCIGAGYVGGPTMAVIALKCPSVEVAVVDISVPRITAWNSDQLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVREADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSVSDKIVVEKSTVPVKTAEAIEKILMHNSKGIKFQILSNPEFLAEGTAIEDLFYPDRVLIGGRETPEGFKAVKALKDVYAQWVPEDRILTTNLWSAELTKLAANAFLAQRISSVNAMSALCEATGANVSEVSYAVGKDSRIGPKFLNSSVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKTRFVNRIVSSMFNTVSNKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKARISIYDPQVTEEQIQRDLTMNKFDWDHPIHLQPMSPTTVKQVSVVWDAYAATKDAHGICLLTEWDEFKTLDYERIFENMQKPAFVFDGRNVVDAEKLRKIGFIVYSIGKPLDQWLKDMPALA >scaffold_300138.1 pep chromosome:v.1.0:3:445554:448707:1 gene:scaffold_300138.1 transcript:scaffold_300138.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein [Source:Projected from Arabidopsis thaliana (AT3G02090) TAIR;Acc:AT3G02090] MAMKNLLSLARRSQRRLFLSQATRSSSSFSAIDTAPASTSPATPSPPPPHVMPYDHAAEIMKNKIKKLENPDKRFLKYASPHPILASHNHILSAPETRVTTLPNGLRVATESNLSAKTATVGVWIDAGSRFESDETNGTAHFLEHMIFKGTDKRTVRALEEEIEDIGGHLNAYTSREQTTYYAKVLDSNVNQALDVLADILQNSKFEEQRINRERDVILREMQEVEGQTDEVVLDHLHATAFQYTPLGRTILGPAQNVKSITREDLQNYIKTHYTASRMVIAAAGAVKHEEVVEQVKKLFTKLSSDPTTTSQLVANEPASFTGSEVRMIDDDLPLAQFAVAFEGASWTDPDSVALMVMQTMLGSWNKNVGGGKHVGSDLTQRVAINEIAESIMAFNTNYKDTGLFGVYAVAKADCLDDLSYAIMNEVTKLAYRVSDADVTRARNQLKSSLLLHMDGTSPIAEDIGRQLLTYGRRIPTAELFARIDAVDASTVKRVANKYIYDKDIAISAIGPIQDLPDYNKFRRRTYWNRY >scaffold_300141.1 pep chromosome:v.1.0:3:456637:457030:1 gene:scaffold_300141.1 transcript:scaffold_300141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPSAGRELPNPPSDGFSNLRFSITRVRLYDVSTNSLKGEFLHGGAVLDCCPTVLSLISTPCFFVWFRLCSLK >scaffold_300145.1 pep chromosome:v.1.0:3:468523:468763:1 gene:scaffold_300145.1 transcript:scaffold_300145.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAL1] MLAHLEDHPDIYLSACEQEQTLNRDHAESEFCRCTGKSLIVMNNQSSFGQASSSTHRLCRTVGLTA >scaffold_300149.1 pep chromosome:v.1.0:3:481009:484248:-1 gene:scaffold_300149.1 transcript:scaffold_300149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLLYNLSDENPNLNKQFGCMNGIFQVFYRQHCPATPVTVSGDVDKSLPPGERRGSVGEINMESDKETERSSTKKKKSAAKEKHRVSSESSSRPSFSSSPRSSSFSSAEVSTTASQFDPPGENLIREHPNGGLMMPYDLKELVKGSINREMRTRGEAAAFTQQQQPNSARTSMLLLKESSLRSPYRSSNEWNEGRGMAMKFKESHRLSYDEREIRNNGFKVGSKLKETPRLSLDSRSNSFRSPRADAARSSCPEEPATMNHRRSSSSVVAKLMGLEVTADNSDTEQRRENRFCDSPRPMSRVEPALQRSRSVDSIKRIPASAASKFPMEPAPWKQMKTGDSALTVYGEIQKRLTQLEFKKSGKDLRALKQILEAMEKTQQLIDESRDDSTLNTTTLLQRTHQLVPTATSPARNFKSSSIVVMKSAAPVSTSPLPQNVTLPNVKVGNSRQTRKVTSGKQSAMDLTPRPGLCKGQLDSTKSNSPKTLWSRQASAADAGSMIKSGRSQQHNVSPRTQPKKLGFEKQSRPTTPKSEPGKRQLGKQQTEVASPSRKQLIKPHSTLQQPDDRLSDASSDLRSLRSDSNISLGSNVDIEVTSRHRLERNCDFPEQHTPKQRNPDFGIKQDRPSLKPLKVTIEQPSPVSVLDAVFDEEESPSPVRKISLSFKEEDALRSEESEWINKPTSFCRSILFPQSNRAPTKRSSDLFECFPEEGADFKSGNHKYILEILLASGILRDLEYSMISFQLHQTRLPINSGLFFILEQNKASNVTLPDNKHRGRVFRQQQTNPTEKIRRKLVFDTVNEILARKFTAEGCIKPRLTANPLKKVEKISKEEQLLQALCSEIDRLQQNNSNCILEDDEEDIIWEDLQSQCMNLKEFEGETPGIVLDIERMIFRDLVNEVCFC >scaffold_300154.1 pep chromosome:v.1.0:3:498421:500617:1 gene:scaffold_300154.1 transcript:scaffold_300154.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit M [Source:UniProtKB/TrEMBL;Acc:D7LAL8] MTTIVPTSEEDPFLAVVRFTSQLAWADAGPEAAEPEITRLCREAEESIVKEKWLELSTLMVTSAELVSSKISEKDLECTYTIICSIVKKVESPEDVLAIVKAIASEVAQQPSDKASLRLKILFNLYNLVDHPYARFQVYMKALTLAVDGKVTEYIVSSFKKIDNFLKEWNIDIKDQRELFLAIANVLKENKSLVNESLKFLTKYLATFSNEDTQVLDEAKEEAVRAVIEFVKASTIFQCDLLDLPAVAQLEKDAKYAPVYQLLKIFLTQRLNAYTEFQNANPEFLQSYGLADEDCVSKMRLLSLVDLASDESGKIPYASIKDALQVNEEDVELWIVKAITAKLIDCKMDQMNQVVIVSRSSEREFGTKQWQSLRTKLATWKDNISNIITTIESNKVTEEGSQASSSSAAAIQGLSVR >scaffold_300160.1 pep chromosome:v.1.0:3:515979:518202:-1 gene:scaffold_300160.1 transcript:scaffold_300160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKADKFLYHRKLWEMKVKFLGDSKIDKFKNSLLSRSRMSLWMIRAMTILLLWSCFVHLVAMGEMWGPRLLKGWPSCFNHHDLPMAAQMTSLPMKIALPPKRIYQNNGYLMVSCNGGLNQMRAAICDMVTIARYMNVTLIVPELDKTSFWNDPSEFKDIFDVDHFISSLRDEVRILKELPPRLKRRVRLGMYHTMPPISWSNMSYYQDQILPLVKKHKVVHLNKTDTRLANNELPVEIQKLRCRANFNGLRFTPKIEELGRRVVKILREKGPFLVLHLRYEMDMLAFSGCSHGCNRYEEEELTRMRYAYPWWKEKVIDSELKRKEGLCPLTPEETALTLSALGIDRNVQIYIAAGEIYGGKRRLKALTDVFPNVVRKETLLDSSDLSFCQNHSSQMAALDYLISLESDIFVPTYYGNMAKVVEGHRRFLGFKKTIELNRKFLVKLIDEYYEGLLSWEVFSTTVKAFHATRMGGPKRRLVIPNRPKEEDYFYANPYECLQLLHENNGNSLEETI >scaffold_300163.1 pep chromosome:v.1.0:3:549560:553068:1 gene:scaffold_300163.1 transcript:scaffold_300163.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:UniProtKB/TrEMBL;Acc:D7KZF3] MGEKPRKLLVMYASQTGNALDAAERIGREAERRGFPASIVSTDEFDASSLPHEEAVVFVVSTTGQGDSPDSFKAFWRFLLQRNLGNYWLQQVRYAVFGLGDSGYQKYNFVAKKLDKRLSDLGATTIIEKGLGDDQHPSGYEGTLDPWMLSLWSTLYQINPKYFPRGPDVKIPQDELIDQPKYRILYHKREKLEPKLLTESDIIQRARGMSPGKLFKDKSKPDCFLKMTRNEVLTKAGSTKDVRHFEFQFVSSNIEYEVGDVVELLPSQNPSAIDAFIERCDLDPESFITIGPRETENSSFNEEMITQLPIKLKTFVELTMDVTSASPRRYFFEVMSFYATAEHEKERLQYFVSPEGRDDLYNYNQKERRSILEVLEDFPSVQIPFEWLVQLVPPLKPRAFSISSSPLAHPAQVHLTVSIVSWITPYKRTRKGLCSTWLASLTPEQEVNIPVWFHKGSLPAPSQSLPLILVGPGTGCAPFRGFIAERAVQAQSSLIAPVMFFFGCRNKDTDFLYRDFWESHAREGGMLSEGKGGGFYTAFSRDQPMKVYVQHKIREMSKKVWDLLCDGAAVYVAGSSTKMPCDVMSASEETGGSKEVASRWLKALEKAGRYEVQC >scaffold_300166.1 pep chromosome:v.1.0:3:560073:562202:-1 gene:scaffold_300166.1 transcript:scaffold_300166.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEPALLATA2 [Source:UniProtKB/TrEMBL;Acc:D7KZF6] MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVSLIVFSNRGKLYEFCSTSNMLKTLERYQKCSYGSIEVNNKPAKELENSYREYLKLKGRYENLQRQQRNLLGEDLGPLNSKELEQLERQLDGSLKQVRCIKTQYMLDQLSDLQGKEHILLEANRALSMKLEDMIGVRHHHIGGAWEGGDQHNVAYGHPQAHSQGLYQSLECDPTLQIGYSHPVCSEQMAVTAQGQSQPGHGYIPGWML >scaffold_300167.1 pep chromosome:v.1.0:3:564991:565195:1 gene:scaffold_300167.1 transcript:scaffold_300167.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZF7] MVAPRSKESIATPAIAFHLKDLHVFLITYYYHLVIKVAREANMRFKLVKQKKRL >scaffold_300168.1 pep chromosome:v.1.0:3:565648:569078:1 gene:scaffold_300168.1 transcript:scaffold_300168.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine(26)-N(2))-dimethyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KZF8] METDLNDYTVIKEGEAEILMHKKNQVFFNKAQVNNRDMSIAVLREFISKRKQEHEAKSSKRTRPASKVIEKDASEASKEETPSKNGMNNGDHEVASEDGPSSASKDPAKTTERFAPREPKPPKVLEALSASGLRALRYAREIEGIGQVVALDNDLASVEACQRNIKFNGSVAISKVESHHTDARVHMLTHPKEFDVVDLDPYGSPSIFLDSAIQSVTDGGLLMCTATDMAVLCGGNGEVCYSKYGSYPLRAKYCHEMALRILLASIESHANRYKRYIVPVLSVQMDFYVRVFVRVYTSASAMKNTPLKLSYVYQCIGCDSFHLQPVGRSLPKNNSVRYLPAIGPLVKQDCNHCGKKYNMGGPIWSAPMHDQEWVTSILNSVKSMKDRYPAYDLISAVLTTVSEELLDVPLFLSLHNLCATLKCISPSAAMFRSAVINANYRISGTHVNPLGMKTDAPMEVIWDIMRCWVKNHPIKAQSPEQPGSVILSKEPSHEVDFSRHIGSLSKAQAKKVARFLPNPEKHWGPKLRAGRQITSKHVSLIGHEAVNGHLNQHPEELKEDEEAEQEDNVQVEVDPKRQKTTTENMSSTS >scaffold_300169.1 pep chromosome:v.1.0:3:569440:572191:-1 gene:scaffold_300169.1 transcript:scaffold_300169.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KZF9] MAENLRFLRMNRAVECAKQGALELGKQAHAHMILSGFRPTTFVLNCLLQVYTNSRDFVSASMVFDRMPLRDVVSWNKMINGYAKSNNMVKASFFFNMMPVRDVVSWNSMLSGYLQNGETLKSIEVFVDMGRAGTEFDGRTFAIILKVCSCLEDTSLGMQIHGVVVRVGCDTDVVAASALLDMYAKGKRFVESLRVFQGIPEKNSVSWSAIIAGCVQNNLLSLALKFFKEMQKVNAGVSQSIYASVLRSCAALSELRLGGQLHAHALKSDFAADGIVRTATLDMYAKCDNMQDAQILFDKSENLNRQSYNAMITGYSQEEHGFKALLLFHRLMSSGLGFDEISLSGVFRACALVKGLSEGLQIYDLAIKSSLSLDVCVANAAIDMYGKCQALAEAFRVFDEMRRRDAVSWNAIIAAHEQNGKGYETLFLFVSMLRSRIEPDEFTFGSVLKACTGGSLGYGMEIHSSIVKSGMASNSSVGCSLIDMYSKCGMIEEAEKIHSRFFQRTNVSGTMEELEKMHNKRLQEMCVSWNSIISGYVMKEQSEDAQMLFTRMMEMGITPDKFTYATVLDTCANLASAGLGKQIHAQVIKKELQSDVYISSTLVDMYSKCGDLHDSRLMFEKSLRRDFVTWNAMICGYAHHGKGEEAIQLFERMILENIKPNHVTFISILRACAHMGLIDKGLEYFYMMKRDYGLDPQLPHYSNMVDILGKSGKVKRALELIREMPFEADDVIWRTLLGVCTIHRNNVEVAEEATAALLRLDPQDSSAYTLLSNVYADAGMWEKVSDLRRNMRGFKLKKEPGCSWVELKDELHVFLVGDKAHPRWEEIYEELGLIYSEMKPFDDSSFVPGVEVEEEDQWCYC >scaffold_300178.1 pep chromosome:v.1.0:3:593905:596568:-1 gene:scaffold_300178.1 transcript:scaffold_300178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEDPQRLKKIAAAAFDYENDARWADYWSNILIPPHMASRPEVVDHFKRKFYQRYIDPDLVVEPMSTSSSSSQSARPSATSASSNANEQVRSRNSGSVPRTSGPSATTGANPSSMRWDQQTIQFSVNAWVFVIAVLAVLPLIPKNLSNRAYRLSFMGTACSSLYSLYSLYGRPRAWNMQGLQIYFQSIVAAKDFIYFIYCLTFVTSHLCLKFALIPILCRALEQVAKFLRRNFGRSTIYRKYLEDPCVWVESNTTTLNILSSQAEIAIGFLLIISLLSWQRNIIQTFMYWQLLKLMYQAPVTAGYHQSTWSKIGRTVTPIIQRYAPFLNTPVSAVQRWWFR >scaffold_300181.1 pep chromosome:v.1.0:3:600045:600747:1 gene:scaffold_300181.1 transcript:scaffold_300181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRLRNANTPAPELDEFSDQTPSDSRVLKRQMSISQRAMSNTLTSAANLSNLLPTGTLLAFQLLTPVFTSNGVCDHATRFLTAVLLFLLAASCFVSSFTDSVKAEDGTIYFGFVTFKGMWVVDYPDPSGLGLPDLAKYRMRVVDWIHATLSVLVFGAVALRDKYITDCFCPSPEAETKHVLDIVPVGVGVMCSLLFMVFPARRHGIGYLVTGSVDRR >scaffold_300183.1 pep chromosome:v.1.0:3:603481:605670:-1 gene:scaffold_300183.1 transcript:scaffold_300183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFNVLCSNRFLFKGGYSPDKSIRKLSSRSCELNVCVARTRRNQSFSCRRLGGFLEFGDTRLGVRNGFVCNSEIKRLVSGDYGDKETRIGENGRNKGKRRRFSLRLRPRLRLVRMRLGRFDFRASMEDFRYFLKRNIKRVILSTGVALIFGLCYLFLRLTAVPSPSIVPYSDFVTNLRGGSVSKVLLEEGSRRIYYNTDENVEVVDDVHKSETLEDPAIQIDGGTVREAVTKDGTPRKVRALTPVWKYVTRKVDHDEKFLLSLMREKGITYSSAPQSALMSMRTTLITIISLWIPLTPLMWLLYRQLSASNSPAKKRRSKNPTVGFDDVEGVDSAKDELVEIVSCLQGSINYKKLGARLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFSVSASEFVELFVGRGAARIRDLFNAARKNSPSIIFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESDTKVIVIAATNRPEALDSALCRPGRFSRKVVVAEPDQEGRRKILAVHLRDVPLEEDAFLICDLVASLTPGFVGADLANIVNEAALLAARRGGEAVAREDIMEAIERAKFGINDKEVRPRTLGNELSKLFPWMPSLARRNGPDQDGLQGPLGYQTLS >scaffold_300185.1 pep chromosome:v.1.0:3:614566:614876:-1 gene:scaffold_300185.1 transcript:scaffold_300185.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZH3] MGQHAILTNHIMTRVIKIRVPLKRTTRGELSRSVAAVGLKESNSISQRLSTAPLNDKIGPPRDSLMTHVPPLVKNQQIKNVSKQSSKPN >scaffold_300189.1 pep chromosome:v.1.0:3:619483:621526:1 gene:scaffold_300189.1 transcript:scaffold_300189.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7KZH6] MRYQWRRLLLFRSYRSSSHPFLSHHSQFQVISNSTRSFSSFLPERFEVGALQQRQCLLALRSPLTSSVSRSFSSDPAIEEKPPAETVVIDIFSRLSAKDDIRKELDSNDVVISHELALRVLRELESSPDVAGSFFKWVLEAYPRKLSSKSYNTMLRILGVNGLVDEFWELVDAMKKKGHGVSANVRNKVGDKFQKDGLESDLERLKEIFASGSMDNSVDKVCNRVCKIVMKEVWSADVEKQLRDLKLEFKTDLVKMVLEKLDVDPRKALLFFRWIDESGSFKHDEKTYNAMARVLGKEKFLDRFQHIIEEIRSAGYEMEMETYVRVSARFCQTKMIKEAVELFEFAMAGSNTPTPHCCSLLLKKIVSAKKLDMDLFTRTVKAYTGNGNVVPDSMLQHVLKSLRSVDRFGQSNEVLKAMNEGGYVPSGDLQSVIASGLSRKGKKDEANELVNFMEASGNHLDDKAMASLVEGHCDAKDLEEASECFNKMIGKEGVSYAGYAFEKLVLAYCNSFQARDVYKLFTELVKQNQLKPWHSTYKIMVRNLLMKKVARDGGFEEALSLLPMMKNHGFPPFVDPFMDYLSNSGTSAEAFAFLKAVTSKKFPSNSMVLRVFEAMLKSARHSEAQDLLSMSPSYIRRNAEVLELFNSMKPDKCSLEKPLPAPAQIEA >scaffold_300190.1 pep chromosome:v.1.0:3:621774:622710:1 gene:scaffold_300190.1 transcript:scaffold_300190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNIIPHVFPRVPSPNQPGKLSDKSDVFSFGVMLLELITGQPPVDLTGEMEYSLVDWARPLCLKAAQDGDYNQLADLRLELNYNHQEMVQMASCAAAAIRHSTRRRPKMSQIVRALEGDMSMDDLSEGTRPGQSKYLRPGSVSSEYNASSYTADMKKFKKLALENKEYQSSEYGGTSEYGLNPLLQVVKK >scaffold_300191.1 pep chromosome:v.1.0:3:625541:625976:-1 gene:scaffold_300191.1 transcript:scaffold_300191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIISPYKNSFEQVSSQSNNSSSKSLICYTSIVISTGFLWTQLDMESIIMSLRRKEKKSQSRRLGKYLKEQKGRIYIIRRCVMMLLCSCD >scaffold_300195.1 pep chromosome:v.1.0:3:644552:647176:-1 gene:scaffold_300195.1 transcript:scaffold_300195.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein [Source:UniProtKB/TrEMBL;Acc:D7KZI2] MVSATSIIAWGSGEDGQLGIGTNEEKEWACVVEALEPYSVRSVVSGSRNSLAICDDGTMFTWGWNQRGTLGHPPETKTENIPSRVKALANVKITQAAIGGWHCLAVDDQGRAYAWGGNEYGQCGEEPLKDEMGRPVRRDIVIPKRCAPKLTVRQVAAGGTHSVVLTREGHVWTWGQPWPPGDIKQIFVPVRVQGLENVSIIAVGAFHNLALEEDGRLLAWGNNEYGQLGTGDTQPTSHPVPVHGLDDLTLVDIAAGGWHSTALTDKGEVYGWGRGEHGRLGFGDNDKSSKMVPQKVNLLAEEDIIQVSCGGTHSVALTRDGRIFSFGRGDHGRLGYGRKVTTGQPLELPIMIPPPEGSFNHADEEEEGKWSAKWIACGGRHTLAIVEWKSDDI >scaffold_300201.1 pep chromosome:v.1.0:3:667801:667991:-1 gene:scaffold_300201.1 transcript:scaffold_300201.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCU5] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_300205.1 pep chromosome:v.1.0:3:679068:680263:1 gene:scaffold_300205.1 transcript:scaffold_300205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSADYNQFVNETSFYNRMVLSHLLPASLWEPLPHFLQTWLRNYLHSLLPAVSEYMIEHGWTQCYSTIDHFNWFHCILYITLYLALVEFGVYWIHKELHDNKFLYKHLHATRHIYNKQNTISPFAGFAFHPLDGIIQSLPHVIALFIVPIHLITHLCLLSSEGIWTANIHDCIHGNIWPVMGAGYHTIHHTTYKHNYGHKTILMDWMFGSLKASLAEEDSFKEKAK >scaffold_300214.1 pep chromosome:v.1.0:3:703559:703934:-1 gene:scaffold_300214.1 transcript:scaffold_300214.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZK0] MNIQKGQRARCMREALDRGAELKDLAAATGKKVAILVDLNVFKPIQAQAVYYDDLPAFSGFPGISFDFPPLPSFGFRFRN >scaffold_300219.1 pep chromosome:v.1.0:3:718452:720167:1 gene:scaffold_300219.1 transcript:scaffold_300219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRTVLILCGDYMEDYEVMVPFQALQAFGVSIHTVCPGKKAGDSCPTAVHDFCGHQTYSESRGHNFTLNATFDEVDLSKYDGLVIPGGRAPEYLALTASVVELVKEFSRSGKPIASICHGQLILAAADTVNGRKCTAYATVGPALIAAGAKWVEPITPDVCVVDGSLITAATYEGHPEFIQLFVKALGGKITGANKSILFLCGDYMEDYEVKVPFQSLQALGCQVDAVCPEKKAGDRCPTAIHDFEGDQTYSEKPGHTFALTTNFDGLVSSSYDALVVPGGRAPEYLALNEHVLNIVKEFMNSEKPVASICHGQQILAAAGVLKGRKCTAYPAVKLNVVLGGGTWLEPDPIHRCFTDGNLVTGAAWPGHPEFVSQLMALLGIQVSF >scaffold_300229.1 pep chromosome:v.1.0:3:748495:750122:1 gene:scaffold_300229.1 transcript:scaffold_300229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPTGCFKCGRPGHWSRDCPSSAPVAGNNSVSSSSGPSQIPNNEFQRSSNKSGNPAAGTSIAPVPKVTKTRVQRPKLTPELLLSEDGLGYVLRYFPKSFKYRGRGKEVSDLGNLIRLYSEWHSHLLPYYSFDHFVHKVQQVASTKRVKNCINELRERVASGVDPNKLYEKPEENTGPYDDQDMDQPGQEEENIPSKSADADTNADAFEDSMLNEIFDSASQLPSDEQILDKSSELTEEQRARMEANRLKAMEKAQNISEEQRVRMEANRLKALERAKARLQPNQD >scaffold_300236.1 pep chromosome:v.1.0:3:784353:786601:1 gene:scaffold_300236.1 transcript:scaffold_300236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKRKLSLSVVFFFVFYLAAVTSDLDSDRRALLAVRKSVRGRPLLWNMSASSPCNWHGVTCDAGRVTALRLPGAGLFGSLPIGGIGNLTQLKTLSLRFNSVSGPIPADFSNLVLLRYLYLQGNDFSGEIPSFLFTLPNLIRLNLGENKFSGRIPDNVNSATRLVTLYLERNQLSGPIPEITLRLQQFNVSSNQLNGSIPNSLSTWPRTAFEGNTLCGKPLNTCEAESPSGDAGGPNTPPKVKDSDKLSAGAIAGIVIGCVVGLLLLLLILFCLCRKRKKEENVPARNVEAPVAAPTSSAAIPKERVVDVPPAKATASESGVVSKDLTFFVKSFGEFDLDGLLKASAEVLGKGTVGSSYKASFDHGLVVAVKRLRDVVVPEKEFRERLQVLGSMSHANLVTLIAYYFSRDEKLLVFEYMSRGSLSALLHGNKGNGRTPLNWETRAGIAVGAARAISYLHSRDATTSHGNIKSSNILLSDSYEAKVSDYGLAPIISSTSAPNRIDGYRAPEVTDARKISQKADVYSFGVLILELLTGKSPTHQQLNEEGVDLPRWVQSVTDQQSPSDVLDPELTRYQPESNENIIRLLKIGMSCTAQFPDSRPSMAEVTRLIEEVSHSSGSPNPVSD >scaffold_300243.1 pep chromosome:v.1.0:3:808761:812016:1 gene:scaffold_300243.1 transcript:scaffold_300243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIKTGLSETTLGKSCPASLRVPRLTRIVTKPESTSPSPTQQQSRLSFERSSSNSKPSTDKRSPKARTPPEKTQIRAVKGSETQPRSVQIKEDLRKANELIASLENEKAKALDQLKEARKEAEEASDKLVEALNAQKKAQENFEIEKFEVVEAGIEAVQRKEEELKKELENVKNQHASESATLLLVTRELENVNQELAYANDAKSKALSHADDASNLVAVHAEKVEILSSELMRLKALLDSTREKETISKNEIASKLGAEIVDLKRDLEKATSLEAKVKELEMIIEQLNVDLEAAKMAGSYAKELESSKEEEEKIKKAMESLASAMHEVSSDSRELKEKLLSQGDQDYETQIEDLKLVIKATNKKYENMLDEARHEIDVLVNAVEQTKKQFESAMVDWEMREAGLVNHVKEFDEEVSSMVKEMNRLGNLVKRTKEEADASWEKESQMRDSLKEVEDEVIYLQETLREAKAETLKLKGKMLDKETEFQSIVHENDELRVKQDDSLKKIKELSELLEEALAKKHTEENGELSESEKEYDLLPKVVEFSEENGYRNAEEKSSKVKTLDGMNMKLEEDSEKKEKKEHSPDDETVEVEFKMWESCQIEKKEVFHKGKPEQEFAKEEEEDLNVIDQSEKTSPVNGLTGEDELVKEQEKKKKKTLFGKVGNLLKKKGPVNQK >scaffold_300245.1 pep chromosome:v.1.0:3:822911:823751:-1 gene:scaffold_300245.1 transcript:scaffold_300245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKARRISGRLETVVTKVNYAFDPVDDDKIIRNRLLTRTTTTRGEPPLKKLQKKFTSFVLEVDKEEENYNDCGRLAKAFLQELSTFEIPLLKSQAVVEANLREKESFNEVKDETERQIMQAKAEIEDLKKQLEESKIDRQHKEECETIRKLISAQPPRSETEKVIYELNKEIAELEAESTASWRLLELRKKQFALLLHVVDELQNTMEDEQKSLVDEIRTGLEDQRNITEAMCVD >scaffold_300251.1 pep chromosome:v.1.0:3:839356:842971:1 gene:scaffold_300251.1 transcript:scaffold_300251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLIKAWSRFVHPLRLKLGRGSLPSHSDSVNRFPKIHSGSYNRFLKISPLFNGRGFASVPGESMKRRSGLIRAWSRFVHPSRFTIIVSLLSHSDSFNRFPKNHSDSFNRFPKISPLFNGNRGFASFPSKSMKGRSVLFRGSSILIHPMIVSLPMISSLLNGNREFASFPGKSLKVLMASNIYLMDEYGNVKAIVISFFVVTDFQDESHDELPILLEKKDSFPSQGIDLSLKSLVILWVFSVLNGLGSRIHLVGKCYGPLATWFDKLISKITFVEIETKVYGPYDGAERIAKIFGRVILYGLGAAGGYLCMANYGKTFYGILGLEEPISVAKFDALESRLLLLESSKIEEFFTVDQERAKTLKLSTHIAPSELYRRMDFVWLLSVLLLGAARGYYISTLRQTRRIFLSSKSVTVNAGSSGNKKIKAKEPLEIEKLKVHVMEQRQQQMMSFLAKAVQSPGFLNQFSQQSNEANQHISESNKKRRLPVEEQMNSGSHGVSGLSRQIVRYQSSMNDATNTMLQQIQQMSNSPSHESLSSNHGSFLLGDVPNSNLSDNGSSSNGSSGVTLADVSSILAGLYPAMKYHDPCETNQVLETNLPFSQGDLLPPTQVAAASGSSSSDLVGCETDNGECLDPIMAVLDGAIELEADALNELLPEVQDSFWEQFIGESPVIGETDELISVSVENELIMEQLELQSGLGSVWSKNQQMNHLTEQMGLLTSDALRK >scaffold_300252.1 pep chromosome:v.1.0:3:843096:845140:1 gene:scaffold_300252.1 transcript:scaffold_300252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGDLLIKSKEPLEIEKLADLRKNFKMVLVVRIDLKMGKGKIAALNAGLYKKLLQRAPRALNRWEYCAQGKVVVKIESEEEMLVLQERAKSLKLPTHITIAAGKTQIAPSRHRWEEYTSKDRYNAS >scaffold_300254.1 pep chromosome:v.1.0:3:847151:847736:1 gene:scaffold_300254.1 transcript:scaffold_300254.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L0C1] MQNLRSVFAQLYRGGRSTGSRNFSSPPVSGAGGRDLAIVVWTSLVRNCTTMGLVSSTLFGYGCFIASGVVEARKKSNAVKEQLRLRRIRHDELIAKAKRDLRLD >scaffold_300256.1 pep chromosome:v.1.0:3:855504:857026:1 gene:scaffold_300256.1 transcript:scaffold_300256.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L0C3] MSDNLMDKVSSFSERLKIGGSEVSKKVTAGVSSMSFKVKELFQGPNPTDKLVEDATSENLEQPDWAMNLEICDMINQEKIISVDLIRGIKKRIMMKQPRIQYLALVLLETCVKNCEKAFSEIAAERVLDEMVKLIDDPQTVVNNRNKALILIEAWGESTSELRYLPVFEETYKSLKSRGIRFPGRDNESLAPIFTPPRSSSIPEVDTGLAQHVNEHAHVQYNAPPVRTFTAEETKEAFDVARNSIELLTTVLSSSPHQDVLHDDLTRTLVQQCRQSQTTVQRIIETCGEDEALLFEALNVNDELVKTLSKYEELKKPSAPLVAPEPAMIPVATESDDSHIYAKEEESLVRKYSGSAQGGTTHGSGSSSDDMMMDDLDEMIFGKKGLGHSSSSDATTASGNDPKKQQSSKNNDLIRF >scaffold_300262.1 pep chromosome:v.1.0:3:871973:873377:-1 gene:scaffold_300262.1 transcript:scaffold_300262.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] iron-sulfur protein 6, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7L0C6] MASNLLKALIRSQILPSSRRNFSVATTQLGIPTDDLVGNHTAKWMQDRSKKSPMELISEVPPIKVDGRIAACEGDTNPALGHPIEFICLDLDEPAICKYCGLRYVQDHHH >scaffold_300263.1 pep chromosome:v.1.0:3:873909:874443:1 gene:scaffold_300263.1 transcript:scaffold_300263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSGSSSSFRSTNGGRKVCDCGLPAKIYKSKTEKNPNRRFFGCQLYKEGGNAHCKFFRWFEEETVKGLPKIGLIEAEAEINAKNKIIDQLTVTIMELREHLERHKGEISSIDSDDEEKESIDIGLKTKAKIDELEKTVYRQRVIITGLTGLLVCAIGVIVCS >scaffold_300265.1 pep chromosome:v.1.0:3:888639:889195:1 gene:scaffold_300265.1 transcript:scaffold_300265.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L0D1] MARFPRNDRWDMKDCGQATEKYSLCGNCGNKAIPWCSSQLHRFFVDTRNHAVPNTVGDPVESQQKVHQSTTPCFVDKAIRCDLVIELYSILLANGCLLNWGFTTTSTPRTNALGSSDP >scaffold_300266.1 pep chromosome:v.1.0:3:895528:896350:-1 gene:scaffold_300266.1 transcript:scaffold_300266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEASDCLCLSLKKCLFIAQIKEQLDADSVGLCLLKDFGKSSVNRQMMMYKAICEEELQNVVHAVDQMTTKTPSQITHYLSDIFRDEQVLDYVANLSNMKKEELAELSYVNSVRLFSYTGSKFLTDQ >scaffold_300273.1 pep chromosome:v.1.0:3:919983:921817:-1 gene:scaffold_300273.1 transcript:scaffold_300273.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 12 [Source:UniProtKB/TrEMBL;Acc:D7L0D5] MALTVAKSALEAIREKGLGGLMRMIREEGFLRCLPDGNLLQTKIHNIGATLVGVDKFGNKYYQKLGDTQYGRHRWVEYASKDRYNASQVPAEWHGWLHFITDHTGDELLSLKPKRYGLEHKENFSGEGDAYIYHSKGHTLNPGQKNWTRYQSWVPTKTQ >scaffold_300274.1 pep chromosome:v.1.0:3:923006:930828:1 gene:scaffold_300274.1 transcript:scaffold_300274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLRDLSQPIDVVLLDAIVEAFYATGSKEERASADTILRDLKANPDTWLQVVHILQNTSSTHTKYFALQVLEGVIKYRWNALPVEQRDGMKNYISDIIVQLSRDEASFRTERLYVNKLNVILVQIVKHEWPAKWKSFIPDLVIAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRQELIRATLSALHAYLSWIPLGYIFESPLLETLLKFFPVPAFRNLTLQCLSEVASLNFGDFYNVQYVKMYSIFMNQLQAILPINLNIPEAYSTGSSEEQAFIQNLALFFTSFFKLHIKILESAPENISLLLAGLGYLISISYVDDTEVFKVCLDYWNSLVLELFGAQHHAGHPALTPTLFGLQMSFLPITVDGVKPEVTERHQLYSDPMSKLRGLMISRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLSKLSKQLSGEEWAWNNLNTLCWAIGSISGSMVVEQENRFLVLVIRDLLSLCEVVKGKDNKAVIASNIMYVVGQYSRFLRAHWKFLKTVVHKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLSGLATIVGDLQPHQIHTFYESVGSMIQAESDPQKRGEYLQRLMALPNQKWAEIIGQARQSADILKDPDVIRTVLNILQTNTRVATSLGTFFLSQISLIFLDMLNVYRMYSELVSSSIANGGPYASRTSLVKLLRSVKREILKLIETFLDKAENQPHIGKQFVPPMMDQVLGDYARNVPDARESEVLSLFATIINKYKVVMRDEVPLIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATFCFRALIQLSSEQLKLVMDSVIWAFRHTERNIAETGLNLLLEMLKNFQKSDFCNKFYQAYFLQIEQEVFAVLTDTFHKPGFKLHVLVLQHLFSLVESGSLTEPLWDAATVTHPYPNNVAFVLEYTTKLLSSSFPNMTTTEVTQFVNGLYESRNDAGRFKNNIRDFLVQSKEFSAQDNKDLYAEEAAAQMERERQRMLSIPGLIAPSEIQDDMADS >scaffold_300278.1 pep chromosome:v.1.0:3:940043:941814:-1 gene:scaffold_300278.1 transcript:scaffold_300278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSKSFQLITGLKKHLVNPRASPRATASALFPSRRSGHSSAYDKNVEDELHASAVPDEVIKPDSDKYWSPHPKTGVFGPSPTEHSDAAEGARQDTAVLEETAWFRPTSLEDSDKTHHV >scaffold_300280.1 pep chromosome:v.1.0:3:944504:945236:1 gene:scaffold_300280.1 transcript:scaffold_300280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYQEQMESLMLGEERRRGNYVRDADADADADEGVNSPSSFPNSPDDSDRRTSSSSSRRGLSKHYKGKSQSFTTLAEALTVEDLAKPENPFNAKLKQRRESPHCRRLSGCGGASERNLSGHDVFLAGNDGPQRLPGNRPPLRAQTLSAAHISALLTRT >scaffold_300281.1 pep chromosome:v.1.0:3:946059:947247:1 gene:scaffold_300281.1 transcript:scaffold_300281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEMNDLKKIGLGLTGFGVFFTFLGVIFVFDKGLIAMGNVLFLAGVTLTIGINPAIQFFTKRQNFKGTISFGLGFLLVVFGWPIFGLLLESYGFLVLFSGFWPTLAVFLQRIPLLGWLLQQPYIRSLLDRYRGRRVPV >scaffold_300290.1 pep chromosome:v.1.0:3:984171:985250:-1 gene:scaffold_300290.1 transcript:scaffold_300290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein family protein [Source:UniProtKB/TrEMBL;Acc:D7L0F0] MGKARTVGVGMDYSPTSKLALRWAAENLLEDGDTVILIHVQPQNADHTRKILFEDTGSPLVPLEEFREINLSKQYGLAYDPEVLDVLDTLSRAKKVKVVAKVYWGDPREKLCDAVENLKLDSIVLGSRGLGSLKRILLGSVSNHVVTNATCPVTVVKAN >scaffold_300292.1 pep chromosome:v.1.0:3:988507:989049:1 gene:scaffold_300292.1 transcript:scaffold_300292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYVSCALNKTSSSPLAKVILPDGGVRDIHVPMKAAELMMEMPRYFLVDGKSLKIGRKFIPLAADDDLDLGGFHVYVAFPMTRATSAANASDLARLYLAGKKRTKSCDNRRVSPEDEDNDDVRLIGPKLNLEDIEEFSAAEFIHRISVSKSKKPQLETIVEEHVP >scaffold_300293.1 pep chromosome:v.1.0:3:989577:991101:1 gene:scaffold_300293.1 transcript:scaffold_300293.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L0F3] MAESFRYQANFDSLHELSDGDFDLSYEAISSLLITSKNSLSSTTTRTGGYSRIPTRVSEIADTSCNGNVMDTNQHEACETNPTLYSRFWKVASMSYTEIEALVKTKTPTTVREAIDGLEMMTPVSERPTWWDTTTEADADPTFGNLSKVLQFNCDIRDSGYLLTENATVTDTAWSNNVENVPSARLENKLKPYTLQMVAALNLAPVGNYPLGGRIRAYGLGVGIYPSCGSAFQVEAVYTTKMPSTDDETAVVAFERSYGEILMNLCLNILAIFGLFHLRKDKTYVRGNKNLNGIANSYLETLMIADKENLMMTKHKKCLVHIAPRPFGIAQTYWLAKVMYKHQLLMPLLASFYYTTPPRVQRIMVILGAAREWENLTAGQDVLEMFSDKLARLKTQEIAIKEAPPRYSDLYRFYGCDKKMEISDKIWSDVKALMPATYGFAMVAHVSEDGKKKDGIALARCVMNVERDMKKGGIFWKKLWRSKMQASSKERWFI >scaffold_300298.1 pep chromosome:v.1.0:3:1006284:1009118:-1 gene:scaffold_300298.1 transcript:scaffold_300298.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol acyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7L0F6] MDWIPCPCWGTNDDDNAGEVADRDPVLLVSGIGGSILHSKKKDSKSEIRVWVRIFLANLAFKQSLWSLYNPKTGYTEPLDDNIEVLVPDDDHGLYAIDILDPSWFVKLCHLTEVYHFHDMIEMLVGCGYKKGTTLFGYGYDFRQSNRIDLLILGLKKKLETAYNRSGGRKVTIISHSMGGIMVSCFMYLHPEAFSKYVNKWITIATPFQGAPGCINDSILTGVQFVEGLESFFFVSRWTMHQLLVECPSIYEMMANPDFKWEKQPEIRVWRKKSENDDDTSVELESFGLIESIDLFNDALKNNELSYGGNKIALPFNFAILDWAAKTREILNKAQLPDGVSFYNIYGVSLDTPFDVCYGTETSPIDDLSEICQTMPEYTYVDGDGTVPSESAAAAQFKAVASVGVSGSHRGLLRDKRVFELIQQWLGVEPKKAKRKHLRTHKVVDSGQVQSLVN >scaffold_300303.1 pep chromosome:v.1.0:3:1019739:1021273:1 gene:scaffold_300303.1 transcript:scaffold_300303.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDKRLSLAGKTKQLGGTRGIGAGTWIRVLVWLLIGSMIYLFYGRSHSLLNNAVYTTCTRKTTDHLA >scaffold_300304.1 pep chromosome:v.1.0:3:1024633:1024963:1 gene:scaffold_300304.1 transcript:scaffold_300304.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L0G3] MDRRPSFPHYQIPNPNLFHHVPPPNPNPNFFVRPPLAHLQNPNNYSIAVSAADLRALRYNLFFTIPVVGISFDKSSLINTLPFSRRTKMAILIKIT >scaffold_300307.1 pep chromosome:v.1.0:3:1033093:1033375:-1 gene:scaffold_300307.1 transcript:scaffold_300307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRFDVIDLPYVGLPNLPSGRKGLGPINRNMSRSLPPTTQAISYWDSPQVLESMGSLQLVPQTQHLYNSQSSIRSRQNG >scaffold_300308.1 pep chromosome:v.1.0:3:1033940:1037101:-1 gene:scaffold_300308.1 transcript:scaffold_300308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSSFLVVVLSLDMELMDQISQMIPYISYVVLDIGCGVFVGGIDDEDKRVELQPYGLVNLWNSCYANAFLQCLAFTQLLLSYLIRGLHSKTCKRMSWCFVCEFEHLILKARGGEYPLSPIKILSKLQKIGKNLGPGKEEDAHEFLSGVQGKSSVNRQRMIYKAICDEELQNVVHAVDQMTTKTPSEVLKAYTSVTKALNLYLVETSMPTMCYFNLASNYPGVIEENDSIKNQPEEDVSAFIDYVSDRDSPRPVSKNGGRKSKERIPSKHSSNKDRDMNNSLWTGLEVYRLCLAHRTQKAHVAPEDSSGMLEGAKSIGAGAATIASAGAAIGIGNVFSSLIHSVA >scaffold_300309.1 pep chromosome:v.1.0:3:1037242:1037438:-1 gene:scaffold_300309.1 transcript:scaffold_300309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPPDSVELTVFGIVNENGRMSDEFQIGDYDAESAETLGNPPRVELV >scaffold_300311.1 pep chromosome:v.1.0:3:1047301:1047788:-1 gene:scaffold_300311.1 transcript:scaffold_300311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNNMSISGIFERFDKNKDGKISWEEFRDAIHALSPAIPSDKLVEMFIQLDTNGDGQLDAAEFASCMDQTAQSSGGDVEKELKDAFKLYDIDCDGKISANELHVVMTRLGEKCTVESCVGMVQAIDVDGDGYISFEEFKTMMMRSKK >scaffold_300313.1 pep chromosome:v.1.0:3:1050094:1050529:-1 gene:scaffold_300313.1 transcript:scaffold_300313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKRVFEKFDKNKDGKLTLDEFREAAFAFSPNFTQDDIVKFFEEIDVDGNGELNAEEFTSCIEKMLKEVFVFCDVDGDGKIPASESYVTMTSLGKKCTEETCAEKVRAADVDGDGYLNFDEFMSLVIGDI >scaffold_300315.1 pep chromosome:v.1.0:3:1053486:1053777:1 gene:scaffold_300315.1 transcript:scaffold_300315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATEKAEHDRIFKKFDANGDGKISAAELGDALKNLGSVTHEDIKRMMAEIDTDGDGYISYQEFSDFASANRGLMKDVAKIF >scaffold_300318.1 pep chromosome:v.1.0:3:1061567:1061762:-1 gene:scaffold_300318.1 transcript:scaffold_300318.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L0H7] MHDDDVYIKLLKDICNKLMKVTLCCGRLVGYVFLYVAHERLLMCPLQILEP >scaffold_300319.1 pep chromosome:v.1.0:3:1062121:1063579:-1 gene:scaffold_300319.1 transcript:scaffold_300319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFPPNVDAHLRPPGLIPNRPVNPFLQNANPNPNLIQQANKFQQQQQMMMMMQQQQQQKLMRPSNQLEIQFAYQDAWRVCHPDFKRPFASLEDACERLLPYHVVADYEAEEDDSILYSDTTNQALPRCQLWDNNIAAKVAEFTATFEEQIQAFNRITQKRRDGVRAEEMLMMEQFLLQDERNACIELDREMKAQDARLRMAALAQAAGQARAAESQQSHTEMMARNPLRANAIGNHGEQGRNMNPNEMMMLMNGWGNNNSQKEEKEPLEDFLNDEENENGEHENWRRTGDFDLNTR >scaffold_300320.1 pep chromosome:v.1.0:3:1063877:1065694:-1 gene:scaffold_300320.1 transcript:scaffold_300320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP89A9 [Source:UniProtKB/TrEMBL;Acc:D7L0H9] MEITTLIFLIISSVTFVIFLKLIFFSSTHKLPPGPPRFPVIGNIIWLKKNNFSDFQGVLRDLASRHGPIITLHVGSKPSIWVTDRSLAHQALVQNGAVFSDRPIALPTTKVITSNQHDIHSSVYGSLWRTLRRNLTSEILQPARVKAHAPSRKWSLEILVDLFETEQREKGHVSDALDHLRHAMFYLLALMCFGEKLKREEIREIEEAQYQMLISYTKFSVLNIFPSVTKFSLRRKWKEFLELRKSQENVILRYVNARSKETTGDVLCYVDTLLNLEIPTEEDKEGGKKRKLSESEIVSLCSEFLNAATDPTATAMQWIMAIMVKYPEIQRKVYDEMKSVLFAGEEEEREEIREEDLGKLSYLKAVILECLRRHPPGHYLSYHKVTHDTVLGGFLVPRQGTINFMVGEMGRDPKIWEDPLTFKPERFLENGEAYDFDMTGTRDIKMMPFGAGRRMCPGYALSLLHLEYYVANLVWKFEWKCVEGEEVDLSEKQQFITMVMKNPFKANIYPRRRK >scaffold_300326.1 pep chromosome:v.1.0:3:1082576:1085237:-1 gene:scaffold_300326.1 transcript:scaffold_300326.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7L162] MGEETSSGGGSSSSPIKTVVVLVQENRSFDHMLGWFKELNPEIDGVSESEPRSNPISTSDPNSAQVFFGKESQNIDPDPGHSFQAIYEQVFGKPFSDESPYPYPKMNGFVQNAEAITKGMSEKVVMQGFPPEKLPVFKELVQEFAVCDRWFSSLPSSTQPNRLYVHAATSNGAFSNDTNTLVRGFPQRTVFESLEESGFTFGIYYQSFPNCLFYRNMRKLKYVDNFHQYHLSFKRHCKEGKLPNYVVIEPRYFNILSAPANDDHPKNDVAEGQNLVKEIYEALRASPQWNQILFVVVYDEHGGYYDHVPTPVTGVPNPDGLVGPEPYNFKFDRLGVRVPALLISPWIEPKTVLHEPNGPEPTSQFEHSSIPATLKKIFNLKSFLTKRDEWAGTFDAVINRTSPRTDCPVTLPELPRARDIDIETQEEDEDLTDFQIELIQAAAVLNGDHIKDIYPFKLADNMKVLDAARYVEEAFTRFHGESKKAKEGGLDEHEIVDLSKGSTRHSSPKSFVQKFFSCLICDN >scaffold_300327.1 pep chromosome:v.1.0:3:1086511:1086880:-1 gene:scaffold_300327.1 transcript:scaffold_300327.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L163] MPPNLGSVVSQCCRRVGARSIGRPQARGFCSPPGSNGEEKAVATGFKSLGFSAKNIRVFSSWMLSGYVINFVYGNVEIVRILL >scaffold_300334.1 pep chromosome:v.1.0:3:1100992:1101267:-1 gene:scaffold_300334.1 transcript:scaffold_300334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKEEDPQGLHLKECINSIKSVEGCFETIGGIFKGHFGGIRHTCCKTLNGLSDNCWPTLFPRKTLPTYHDQTSMSL >scaffold_300340.1 pep chromosome:v.1.0:3:1120062:1121528:1 gene:scaffold_300340.1 transcript:scaffold_300340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSESEEKTCNDDSKQPTLVLDLIISILQRLSFSYFHRARCVSTEWYYASKSWPSLIVTTPWIIMFPYEDPKNRNNDSSCKFFDPRDNSSYTLRDLGINMATSRCLTSSGSWFLMLDDKLDFHLLNLFTREMIPLPPLESIDGFPMELFRMVDSKAWVTLSYKNKKGDDDNNSWKVLEPLKKQQYVDMVCKESKLYVLSDTRVTVLDFSRDDSPPIKCASFKSLDYPYSSHDKNLVVTLSVQVLIVTSERFSYSDRRFFDVYKMDPKSLEWSVINSIGDEALLFDLGITFAAKDGAMENCIYFYTYQLCEYHIETKKVVQVCPPRSATSPMSFNSARWFLNGCF >scaffold_300344.1 pep chromosome:v.1.0:3:1134537:1136916:-1 gene:scaffold_300344.1 transcript:scaffold_300344.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7L178] MSTQGEMEERLLRVGSNAEGQSNHRESIYLRTKVWSEVSKMWRIALPSSLFRMTSFGSIIVAQAFIGHSSELGLAAYALLQSTFIRFLYGLMGGMSSATETLCGQAYGAEQYHTMGIYLQRSWIVDMVVTSLFLPFIVLAGPILRLLGQNVEITKTVDEIYLWMIPYVYSLIFTMTIQMYLQAQMRNAIVGVLSTLSLALDLVVTWWCVSVMGMGIGGALLGLNVGSWAMVLAEFVYIFGGWCPFTWTGFSIAAFVDLIPMLKLSISSGFMICLEYWYMSILVLMAGYTKDAKIAISAFSICQYIYTWELNICLGFLGAACVRVANELGKGDADAVRFSIKVILTVSTFMGVMFSALCLAFCGQISYLFSNSVEVSEAVDDLSVILAISILLNSIQPILSGVAVGAGMQSIVAVVNLASYYAIGIPLGLILTLVFHLGVKGLWSGMLAGIAIQTMILCYIIYKTDWELEVKRTSERMKVWSLKPFNEESNPIIREESESK >scaffold_300345.1 pep chromosome:v.1.0:3:1139027:1141133:-1 gene:scaffold_300345.1 transcript:scaffold_300345.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:D7L179] MAFSSPSLRLLPQSPFRLIASKRHRFSDAKPSLFSFNHESSSSFILRTPVSSSFVVGAISGKSSTGTKAKSKAKRKPPPPPPVTTVAEEQDIAESETVNIAEDVTQLIGSTPMVYLNRVTDGCLADIAAKLESMEPCRSVKDRIGLSMINEAEDSGAISSRKTVLVEPTTGNTGLGIAFVAAAKGYKLIVTMPASINVERRMLLRALGAEIVLTNPEKGLRGAVDKAKEIVLKTKNAYMFQQFDNTANTKIHFETTGPEIWEDTMGNVDIFVAGIGTGGTVTGTGGFLKMMNKDIKVVGVEPSERSVISGDNPGYLPGILDVKLLDEVFKVSNGEAIEMARRLALEEGLLVGISSGAAAVAAISLAKRAENAGKLITALFPSHGERYITTALFSSIHREVQEMRY >scaffold_300350.1 pep chromosome:v.1.0:3:1165061:1166627:-1 gene:scaffold_300350.1 transcript:scaffold_300350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVTLRLQPLCCDGSILLNSTDSERFVGPNLSVRGFELIDEIKTELEAQCPSNVSCADIMALATRDSVALAGGPNYNITTGRRDGLKTNASVALLGAHTVGVGSCGLFRNRLTNFNGTGLPDPSMDPDLVAKSTPLTFDNAFFGQIRARRGVLQLDQRLATDEATSSVVAQYAADNDLFKRQFAIAMVKLGAVNVYTGEDGEIRTNCWEFNDN >scaffold_300354.1 pep chromosome:v.1.0:3:1184979:1186854:1 gene:scaffold_300354.1 transcript:scaffold_300354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPTSNGHASRVQIQESRTMSPLPPSSSPIAFKEQQGRPPPTTQQTLAGKFFRNLFKGLLFSQLTLISLLVIVLTIRGLISASTHHFHPKKWYPPLLASVAVSGVASLAWQCIIIYNPSRAVKATFWLSPILTCSVGILLVLIGSAVDAGIGAVFVLFAITQSLYGCWITPRFEYTDKLLSLATAFPPARTREVVCLSIIVSVVYSGFLVTGIGGATSTRTNLDLLFISVIMISLAWTMQVLKNVQQVAISRARYVNFAHGEDMDAWNAFRITLKHLTGSICIGSTLVPIIVFIRGSIRSVNLMSGSSDEVMYTGADCYSTIANKLITLGNRWGFVHVGTYDKGFVEASSDTWKKFRSTTGLEKLIDSDLTSSFCFLSAVSVGAVSSLTAGIWMLLIHKDYALEVSLYAFIIGYFVGRVGLAWLQACVLAYYVAYSEDPQSMRFDGTIPNRIQRLQMLSAHRDNRELEVGREREEDSYNNC >scaffold_300355.1 pep chromosome:v.1.0:3:1188049:1193624:1 gene:scaffold_300355.1 transcript:scaffold_300355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSPSNALHSSTPHFWPVRRSRLCRSRNFPRFHSGERSSGGGGKLCPLSLLSASGASKFSVRALVRPDVTEDADSVGDGSLAFPNHVSVKIPFGNREILVETGLMGRQASSAVTVTDGETIVYTSVCLADVPSEPSDFLPLYVHYQERFSAVGRTSGGFFKREGRTKDHEVLICRLIDRPLRPTMPKGFYNETQILSWVLSYDGLHAPDALAVTSAGIAVALSEVPNAKAIAGVRVGLIGGEFIVNPTVKEMEESQLDLFLAGTDTAILTIEGYSNFLPEEMLLEAVKVGQDAVQATCIAIEVLAKKYGKPKMLDAIRLPPPELYKHVKELAGEELTKALQIKSKISRRKAISSLEEKVLTILTEKGYVIDEVAFGTIEAQPDLLEDEDEDEEVVPEGEVDQGDVHIRPIPRKPIPLLFSEVDVKLVFKEVSSKLLRRRIVEGGKRSDGRTLDEIRPINSRCGLLPRAHGSTLFTRGETQALAVVTLGDKQMAQRIDNLEGSDEYKRFYLQYTFPPSSVGEVGRIGAPSRREIGHGTLAERALETILPSDDDFPYTIRVESTVIESNGSSSMASVCGGCLALQDAGVPVKCSVAGIAMGMVWDTEEFGGDGSPLILSDITGAEDASGDMDFKVAGNEDGVTAFQMDIKVGGITLEIMEKALIQAKAGRRHILAEMAKCSPPPTLSLSKYAPLIHIMKVHPSKVYSLIGSGGKKVKSIIEESGVEAIDMQDDGTVKIMAIDVASLERAKAIISGLTMVPAVGDIYRNCEIKSMAPYGAFVEIAPGREGLCHISELSAEWLAKPEDAYKVGDRIDVKLIEVNEKGQLRLSVRALLPESETDKDSQKQQPAGDSTKDKGSQRKYVNTSSKDRAAAGASKVSSGDELVLKKKDVRRATGGSSDKTMKSNSSTNEESLVNGEATIS >scaffold_300358.1 pep chromosome:v.1.0:3:1207297:1208518:-1 gene:scaffold_300358.1 transcript:scaffold_300358.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L191] MSDSNEKKTCNDSKQPILVPDLVRLVLERLSFVDFHRARCVSSGWYSASKSCIGGTNPTAPWIILFPNEHVKTNNDSCKLFDPRDHSSYTIRDLGFDMVRSRCLASSGSWFLMLDHKTDFHLLNLFTRERIPLPSLESIDGWQMKFVRTGDSDFEMSMYYKAHGLVSYGKNSDLRIRGAVLWVDEKTRDYFVVWFHHSTFAYHKKGGDNNSWKVFQPSKHQGCRHMVYKESKLYVLSPARNISVFDFSGGHSPVEYATLPSPKDCYVRNLAVTLSGEVLIISSNPKKCFFTLYKIDPKSSKWRLIKSIGDEALILDLGITVAAKDGVMRNCIYFSHDDLHRYKGVSLCNDDKYGICVHPIKTKKKVQVFEHLTTSSPIPFKDARWFFPTFGGK >scaffold_300359.1 pep chromosome:v.1.0:3:1209569:1212253:-1 gene:scaffold_300359.1 transcript:scaffold_300359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPTTTSLSVTQTINGSHSFTIKGYSLAKGIGIGKHIASDTFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASDGTDVRALFELSLLDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRLMLETSDFLKDDCLKINCTVGVVVSEIDCPRLHSIHVPASDIGSHFGMLLENEDGSDITFNVSGEKFRAHRLVLAARSPVFESEFLDDTGEEDRDIEVTDMEPKVFKALLHYIYKDALIEDAESSSSSGSSVGPSASDTLAAKLLGAADKYKLPRLSLMCESVLCKDISVDSVANILALADRYNASALKSVCLKFAAENLIAVMRSDGFDYLREHCPSLQSELLKTVAGCEEELSGGGGKTRSVWGQFSDGGAETNERNGRQPQTWGDINGGAERSQSVWVEVVNANASGRNNNDNNNSDDPMAED >scaffold_300367.1 pep chromosome:v.1.0:3:1249149:1249419:1 gene:scaffold_300367.1 transcript:scaffold_300367.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L1A1] METDKRLSLAAIVSDVKVSGIVTSSFTMQTTALADGGGDIRHCFRLQSSARLFITFGFLIVESGMSILSFSIRFH >scaffold_300370.1 pep chromosome:v.1.0:3:1260807:1261142:-1 gene:scaffold_300370.1 transcript:scaffold_300370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRGFMAAKKILGGSVAGARKETSAPKGFLAVYVGVSQKKKQRHLVPVSYLNQPLFQDLLIKAEEEFGFNHPMGGLTIPCPEDTFLTVTSQIQG >scaffold_300371.1 pep chromosome:v.1.0:3:1262035:1262423:1 gene:scaffold_300371.1 transcript:scaffold_300371.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L1A5] MEKISMKRAFLIFLVVISAMGIVTIQNVEAKRLLHEEIPQMMLHHEVSTQVIRPQSLLHCEKGCRVKCVPNPFIVCWRYNPNPTSEV >scaffold_300374.1 pep chromosome:v.1.0:3:1267039:1267255:-1 gene:scaffold_300374.1 transcript:scaffold_300374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGIKVRGFEPDVNRTRNLLIWSQTRYHCATDPDEDYILNVKYITIMSLLEKFMLFN >scaffold_300376.1 pep chromosome:v.1.0:3:1271594:1273269:1 gene:scaffold_300376.1 transcript:scaffold_300376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDWELVLPKNSFKDLDLDHDEDHHGAMVMDYFLCPSTKDPLPKTESPPRTIVVPKKLLQVPIAWEAVLDQDNTKKPNNREPDPDPDSKQTLSTDFVSSPRVSFKIMKETEFADMKIDPPARITSPLPQIDDAASKPSDLEGGGDLRNKEEVVLEEVDEDGSGGERLNLWKVGLNGIGAICSFGVAAAAATVCVFFLGHNNIKICKNKNQMLRFQIYSDDNKRMKEVVNHATKLNEAIFGMKGVPVVRAQISFGGHYDGL >scaffold_300380.1 pep chromosome:v.1.0:3:1279360:1280686:-1 gene:scaffold_300380.1 transcript:scaffold_300380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase [Source:UniProtKB/TrEMBL;Acc:D7L1B4] MSTVGNSTNIFWQESPIGKTERQKLLNQKGCVVWITGLSGSGKSTLACSLSRELNNRGKLSYILDGDNLRHGLNKDLGFKAEDRVENIRRVGEVAKLFADAGLICIASLISPYRKDRDACREMMQDSSFIEVFMNMSLQLCEARDPKGLYKLARAGKIKGFTGIDDPYESPLNCEIELKEKEGECPSPVAMAEEVIFYLEDKGFLQNE >scaffold_300381.1 pep chromosome:v.1.0:3:1283225:1285198:1 gene:scaffold_300381.1 transcript:scaffold_300381.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7L1B5] MNALAATNRNFKLASRLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVEPDEVNALAQLMTWKTAVAKIPYGGAKGGIGCDPSQLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEHGKSISGQRFAIQGFGNVGSWAARLISEKGGKIVAVSDVTGAIKNKNGIDIMSLLEHAEENRGIKGFDGADSTDPDSILVEDCDILVPAALGGVINRQNANEIKAKFIIEGANHPTDPEADEILKKNGVVILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNKELKSYMTRGFKDLKEMCMTHSCDLRMGAFTLGINRVAQATIIRGWGS >scaffold_300384.1 pep chromosome:v.1.0:3:1290417:1290758:1 gene:scaffold_300384.1 transcript:scaffold_300384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNCAVMRYLCSDFVLVLKENDKFKFPGGADQYLDQKISKLIPVMYQLCCLFLTLDVVCSSEGSTMRTSG >scaffold_300385.1 pep chromosome:v.1.0:3:1291525:1292375:1 gene:scaffold_300385.1 transcript:scaffold_300385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAYFRIFVKHFSEFNHLCNMIVSNRLFLGCGSFPRFTVSGVSAVILLGSLITLGYIDEDEERHNFEHKGGFLCPFVPYLPVLCILINTYLIINIGWDMDQGLGMATYWKHDLSLLWPISQLTEQCGLRSKDDLYQKNNRSSCLRLVVQCSS >scaffold_300386.1 pep chromosome:v.1.0:3:1294848:1295099:-1 gene:scaffold_300386.1 transcript:scaffold_300386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPCLLSCVLLFFVFFISQISFSCPQDQIQSLVEFKNLLTQNINNQSTAIITLEGLETWRPNSGCCKW >scaffold_300387.1 pep chromosome:v.1.0:3:1295863:1299584:-1 gene:scaffold_300387.1 transcript:scaffold_300387.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L200] MPELRSGARRSRRLDEQPNPQLVEQAENIVLPPQTATRRRGGGRGRGNAALAKAAPPPRPTAAGRGRGIRLTDLEPEPCEVRPAAGAVIGATEPALNRVEGVADKDIAAEGGSAEKVVGMEEDSSMGPVPERVQVGNSPVYKTERKLGKGGFGQVYVGRRVSGGSDRIGADAIEVALKLEHRNSKGCNFGPPYEWQVYNTLNSCYGIPAVHHKGRQGDFYILVMDMLGPSLWDVWNSLAQSMSPNMVACIAVEAISILEKLHMKGFVHGDVKPENFLLGQPGTADEKKLYLIDLGLASRWKDSHSGQHVEYDQRPDVFRGTIRYASCHAHLGRTGSRRDDLESLAYTLIFLMRGRLPWQGYQGDNKSFLVCKKKMSTSPELMCCFCPPPFKLFLEAVTNMKFDEEPNYAKLISIFDTLIEPCAISRPIRIDGALKVGQKRGRLLINLEEDEQPRKKIRIGSPATQWISVYNARRPMKQRYHYNVADSRLAQHVEKGNEDGLFISCVASAANLWAIIMDAGTGFSSQVYELSSVFLHKDWIMEQWEKNYYISSIAGANNGSSLVVMAKGTPYTQQSYKVSDSFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWESGYRITSMAATADQAALILSIPKRKITDETQETLRTSAFPSTHVKEKWAKNLYIASICYGRTVC >scaffold_300391.1 pep chromosome:v.1.0:3:1316058:1317347:1 gene:scaffold_300391.1 transcript:scaffold_300391.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7L204] MATQSSISQPPLPLAGRVAIVTGSSRGIGRAIAIHLAELGARIVINYTSKAADAERVASEINDFPVREEITGKGPRAIVVQANVSEPSQVKSMFDAAERAFEAPVHILVNSAGILDPKYPTIADTSVEDFDRTFSVNTKGAFLCSKEAANRLKQGGGGRIILVTSSTTRSLRQGFGAYAASKTAVETMVKILAKELKGTGITANCVAPGPIATEMFFDGKTPELVEKIAAESPFGRVGEARDVVPLVGFLAGDGGEWVNGQIIPVNGGYV >scaffold_300406.1 pep chromosome:v.1.0:3:1369764:1372177:1 gene:scaffold_300406.1 transcript:scaffold_300406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPVTSSTRAGYLLRRIPFSSTSTLLHTPPEAESDPSHLPHHLLSILSKPNWQNNPSLKSLLPAITPSHVSSLFSLNLDPHTALQFSYWISQTPNFKHNVDSYASLLTLIDHHKIVSDVPKIIVSMIKCCYSAPDALFVSDICRKMSKDDITKLSLKCYNELLTLLARFGLVDEMNQLYTEMLEEFVSMDIYTFNLMINVYCKMGFVKEAKQFMCKMIQAGLSPDYFTSTSFILGYCRSKDVDSAFRVFEEMPNRNEVSYNQLIHGLCEAGRIDEAVSLFVRMKDDCCYPNVYTYTALIKGLCRKNVHKAMGLLDEMLERNLVPDLITYNSLIAGQCRAGHLDSAYRLLSLMKERGLVPDQRTYGCFIDFLCKSNRVEEARRLFDSLTEEGVSANVIMYSVLIDGYCKVGKVDEAGCLFEKMLSKNCSPNAYTFNALIHGLCSAGNLKEALSLFDQMVKMGLKPTVYTFNILIGRMLKQGDFDDAHKCLQKMMSSGEKPVARTYNAFIEVYCSAGKVQEAEDMMVQMKEEGVPPDDFTYTSLIKAYGKLGLTYSAFDVLKSMFDADCEPSHHTFLSLIKQLFDKRYVVEKSGETGVESVSNFGDVPGSNMWKMMEFDIVIELFEEMEKHGCTPDSKCYEKLISGICKVENLGIALKLLDQMQKEGISPSEMVFNAVISCCCKLQKYGEAANIVEDMICSGHSPQLEHCKTLICGLYEEGETERGNSVFKKLRGCGYNDDEIAWKILIDGMLKQGLVEEFSQLFEEMEKNGCNFSPRTYSILTQKLHGKD >scaffold_300409.1 pep chromosome:v.1.0:3:1380913:1381131:1 gene:scaffold_300409.1 transcript:scaffold_300409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYATSPDRESFDGINECSTEISTHSKRKRRGGGGAGARLKLLLRWAKREESEENRR >scaffold_300410.1 pep chromosome:v.1.0:3:1381133:1381412:1 gene:scaffold_300410.1 transcript:scaffold_300410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPSSCNRGRAFPMRTESGDLPSLSVRLKFTQGLIKGVVVQESPRFLFSPPAVSDYSRALSSACSSPFQTVSSEEHLR >scaffold_300411.1 pep chromosome:v.1.0:3:1381956:1382957:1 gene:scaffold_300411.1 transcript:scaffold_300411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTENKLRIEVGSEANRSRKKRGAKEVEEVNVDQTNTKKKQKLDRELSLRSRCHKVLKSLKEEWFGWRFENLVTDNPDYFSAISKPMDFVTIKSKLDKNLYVNTVREFPEDVRLVFANAVRYYPPENMLHKNAKRLKKVFEIRWESVKKKLASEVSRIEQKDNPESKSDQGSKGFAMKMPLSPKKALRAATIRIRFSDAIVKARYRKLIDESSNKADVMMRMKKEKQLLETRERQVKATVEAETRAARLKAVREERLAREKLEEEAKSNFEDHLETEKEIVKLCGGSYLARTRCLKDLGLVLRIDYWPELEEIKEEGEI >scaffold_300422.1 pep chromosome:v.1.0:3:1416232:1416489:1 gene:scaffold_300422.1 transcript:scaffold_300422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVWELSATALPFTAPVLPHTAQLSFCLLTTVRISALSEASVIYVMTHDSIGLGEDGPTHQPIDPFWFK >scaffold_300423.1 pep chromosome:v.1.0:3:1416663:1423255:-1 gene:scaffold_300423.1 transcript:scaffold_300423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKNGAAMISRPVFLSDRVDEVFSRKLDLSVSSSSSSSLLQQFNKTHEGDDDARLALAHQLYKGGDFKQALEHSNMVYQRNPLRTDNLLLIGAIYYQLQEYDMCIARNEEALRIQPQFAECYGNMANAWKEKGDTDRAIRYYLIAIELRPNFADAWSNLASAYMRKGRFSEATQCCQQALSLNPLLVDAHSNLGNLMKAQGLIHEAYSCYLEAVRIQPTFAIAWSNLAGLFMESGDLNRALQYYKEAVKLKPAFPDAYLNLGNVYKALGRPTEAIMCYQHALQMRPNCAMAFGNIASIYYEQGQLDLAIRHYKQAISRDPRFLEAYNNLGNALKDIGRVDEAIRCYNQCLALQPNHPQAMANLGNIYMEWNMMGPASSLFKATLAVTTGLSAPFNNLAIIYKQQGNYSDAISCYNEVLRIDPLAADALVNRGNTYKEIGRVTEAIQDYMHAINFRPTMAEAHANLASAYKDSGHVEAAITSYKQALLLRPDFPEATCNLLHTLQCVCCWEDRSKMFAEVESIIRRQINMSVLPSVQPFHAIAYPIDPILALEISRKYAAHCSIIASRFGLPPFTHPAGLPVKREGGFKRLRIGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFCYALSANDNTEWRQRIQSEAEHFLDVSSMSSDAIAKIINEDKIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGATYIDYLVTDEFVSPLQYAHIYSEKLVHLPHCYFVNDYKQKNQDVLDPNSKPKRSDYGLPEDKFIFACFNQLYKMDPEIVNTWCNILKRVPNSALWLLRFPAAGEMRFRTYAAAQGVQSDQIIFTDVAMKSEHIRRSVLADVILDTPLCNGHTTGTDVLWAGVPMITLPLEKMATRVAGSLCLATGLGHEMIVNSLEEYEEKAVSLALNKPKLQALTKELRASRLTCPLFDTMRWVKNLERSYFKMWNLHCSGQQPQHFKVLENDLEFPHDR >scaffold_300424.1 pep chromosome:v.1.0:3:1428777:1429966:-1 gene:scaffold_300424.1 transcript:scaffold_300424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKITVKRIWKKETIAKNNEENSVSIPLDLIREILTRLPAKPLVKLISIAKLWYSTIRKKDFTDLFLARSLTRPCFLFTFSHAAANRFFFNSFFYKPPSSLHRNTTSYTTLDQDYTISTPVRGLMSCQNGSKVVIFNPSTGQVLALPKVKTRRKSVLSFLGYDPVEDVYKVLCMTMVQVLYQHRPFVWGEHQVFTLGAETKEWRRVDCHIPHFPATLGLCKDGVVYYGAWSDSDRNESLVVCFDVGSEQFRCVKLPNDVEIRTNHRSEVVNYHKRIALVEQSYGGVVDLWVLDDVEKKEWSKKYLVVPCWNDLVGQNYYFRCRGTISTGEFVFTPHSQPNPSFIVYYDLKKDSARRVEPEDGFPITNVFLNHVENPMFL >scaffold_300430.1 pep chromosome:v.1.0:3:1452475:1452939:-1 gene:scaffold_300430.1 transcript:scaffold_300430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTKKLVIFYLLVILVAVSLSTQIAMAAEIKATNGLQCNNPCTKKYPEYACNVDCITSGYATGHCISVHPPEPQYCCCY >scaffold_300434.1 pep chromosome:v.1.0:3:1462446:1463058:1 gene:scaffold_300434.1 transcript:scaffold_300434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLRLMMMISFLLVAIGEGNYVVYDGEGDQVKPNVPYYISFMTSDYSMWICRKKWCPQQPLMVTHPNITAPTPVMFVLSNTSDTVVRESTKLKIKFVDPLQCGESGFWRVVQRNSLEGEVVLNGSESTSDNASTFTIEQTDQYYKLTFGDGDYLTTISLSNDDYPIYRLLSKRFSGEMEIYFYKNLTMG >scaffold_300442.1 pep chromosome:v.1.0:3:1482551:1482783:1 gene:scaffold_300442.1 transcript:scaffold_300442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNEEILNHHITNFEFFFFPEFFVFSSTYKMKPWVIPIKLLLLNFNENINVKKKKKEKKKKL >scaffold_300444.1 pep chromosome:v.1.0:3:1488480:1489550:1 gene:scaffold_300444.1 transcript:scaffold_300444.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L23 [Source:UniProtKB/TrEMBL;Acc:D7KDF3] MSKRGRGGTSGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >scaffold_300446.1 pep chromosome:v.1.0:3:1493767:1496200:1 gene:scaffold_300446.1 transcript:scaffold_300446.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 12 [Source:UniProtKB/TrEMBL;Acc:D7L253] MLFQVGGEGTRPTFFEMAAAQQLPASLRAALTYSLGVFALRRSFLHKILDYEDEFFAALMLILEGHSLRTTDASFAESLYGLRRKSVRLRLRKDSVRKDSGEEVQHSGLEKRQRILSVVFLVVLPYFKSKLHAIYNKEREARLRESLWGAEDQGFDEADFFTGDDSIVSREPSGNEELSVRVQLATKIKKFIAVCYPWIHASSEGLSFTYQLLYLLDATGFYSLGLQALGIQVCRATGQELMDTSSRISKIRNHERERLRGPPWLKTVQGALLSCSYAVLDYAQTGLIAAVFIFKMMEWWYQSAEERLSAPTVYPPPPPPPAPKMAKEGIPLPPNRSLCALCLQKRANPSVVTVSGFVFCYSCVFKYVSKYKRCPVTLIPASVDQIRRLFHDT >scaffold_300447.1 pep chromosome:v.1.0:3:1496910:1498963:-1 gene:scaffold_300447.1 transcript:scaffold_300447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYSKYTHSPAHLAVVLRDHAALRRIVSDLPRLAKAGEVTTEAESMESEARADSVSAVIDRRDVPGRETPLHLSVRLRDPVSAEILMSAGADWSLQNENGWSALQEAVCTREEAIAMIIARHYQPLAWAKWCRRLPRIIASASRIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFKIQRSDQTFLFLGDGYSSEDGKMSLSPGSLIVLSHKEKEMTNALEGAGAQPTDAEVAHEVALMSQTNMYRPGIDVTQAELVSHLNWRRQERTEMVGNWKAKVYDMLHVMVSVKSRRVPGAMTDEELFAVDEERTAATNGAETDGFEDVLTPEERLQLNSALQTGNSEAIGDDECEVTDHQENGALKDKKGWFGWNKKGSNTEDTKLKKGSKSAPEDGNQKGKSQRSSMVSDHANEDLGDAKKGKEKKKKKKGVAGDEVKRESEYKKGLRPVLWLTPDFPLTTDELLPLLDILANKVKAVRRLRELLTTKLPLGTFPVKLAIPIIPTVRVVVTFTKFEELQAAEEEFSTPPSSPVFHDAKSSSSENSSPSWISWMRSGKSSDNDSNRYKDEVDPFLIPSDYKWIDSAEKKRRMKAKKAKSKRKKQAATKAAGASDSGTRSNQVAEE >scaffold_300449.1 pep chromosome:v.1.0:3:1505113:1505904:1 gene:scaffold_300449.1 transcript:scaffold_300449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLPPILSPIQSQGWVVFHNTQTLSLHVGRVDPRAVSFVSFHNPSTGLKFSIQYCFIFLFFRKKFVSGGPYHRRHLRLSTDEVSPNLWFGEVIWVFDPGINRQILLIEGSGIHDNILWSWILSDDGDVHIIPQLDYSGFMEEQIMVTNRQRWIRRYKRHDSEPFQAIYQCVRLFKVMRKAFGNFIYKMLAFYEYMKRGLNRCHLLPIRLSFGKQGYFHFFIEIVFNFLYFIQWLYFNGSCIMYDVTLL >scaffold_300450.1 pep chromosome:v.1.0:3:1506469:1507676:1 gene:scaffold_300450.1 transcript:scaffold_300450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L257] MLSEFQRTWDSNIALEHSSLLQMDENGGFSGGAVNFSELDAKKRSLTLLAELGKCLWDFTWEVRSLIDRVDFCESEAEASLEFLLNEANVTIISAFLVFLRVKGETFEEMSFSYEFLFVKLYCDRVELQPVGLVNLGNSCYANAVLQFLAFTGHLYHTLLGDYTLITCKLHTKMILLLLLKLCGISQIRIPIVFFLRLKPAT >scaffold_300451.1 pep chromosome:v.1.0:3:1508856:1509740:-1 gene:scaffold_300451.1 transcript:scaffold_300451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPCILSCVLVFFVFFIPQISFSCPQDQIQSLLEFKILLTQNTNNHTTAIITLEGLETWRPNSDSCNCNSSDIPGDGFVSLTSFISLDMSDKSFNGSIPPELFSLKTLRRLDLSMNVIGGTLSGDIKELKNLQELILYENFIEGEIPPEIGSLAKLRTLTLWPNMFSGSKPLSVSQLSKLETFDLQNNSLSFEMRS >scaffold_300453.1 pep chromosome:v.1.0:3:1527851:1528318:1 gene:scaffold_300453.1 transcript:scaffold_300453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILKTDVTCFLVIIFTISLSNHNILVSGEKTSFDHCDTLCTDYYGWHECLTDCTIEGYVSGLCASPFPNQPKRCCCQKHPLIIGD >scaffold_300455.1 pep chromosome:v.1.0:3:1531392:1533484:-1 gene:scaffold_300455.1 transcript:scaffold_300455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLCFCLALTLCVTVGFSTTIQSPDGDIVDCTDILAQPAFRHPLLKDHKLQETPKNLPNLAKDRDELTWQTWHRSGSTCPEGTIPIRREVGHENGTTNSRAGAEVTQGHEYAIGYLNSTMQIYGTKVTMSVGHPKVDQVREFSLGQLWLVAGSYKKGDLNSIEAGWQVFPGLYSDFQPRFFIFWTRDAYTRYRCYNLQCAGFVQTSGTVLIEGAISLMSPQITIQIWKDPHLGHWWLSIGSANDTVLTPVGYWPTEIFTCLSDYAERVQWGGEIVNTNFSGRHTTTQMGSGYLPSSAKAAYMRDLEIVTNNRDFQPTDDLIVGTTNPAYYDIKKSSNTSFSYGGPMHAGAVHIIIDSIVFYFCISLFLLF >scaffold_300458.1 pep chromosome:v.1.0:3:1545318:1547533:-1 gene:scaffold_300458.1 transcript:scaffold_300458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPTTIRTVDLRSDTVTKPTESMRSAMANAEVDDDVLGNDPTALRLEKEVAEIAGKEAAMFVPSGTMGNLISVLVHCDERGSEVILGDDSHIHIYENGGISSLGGVHPRTVKNEEDGTMEIGAIEAVVRSPKGDLHHPVTKLICLENTQANCGGRCLPVEYIDKVGELAKKHGLKLHIDGARIFNASVALGVPVKRIVHAADSVSICLSKGIGAPVGSVIVGSKEFITKARWLRKTLGGGMRQIGVLCAAALVALHENVAKLEDDHKKARFLAEGLSRIERLRVNVAAVETNIIYVDIPEDPKFGAEEACKSLEDVGVLIIPQATFRIRIVLHHQISDVDVEYVLSCFEKTF >scaffold_300461.1 pep chromosome:v.1.0:3:1553113:1553534:1 gene:scaffold_300461.1 transcript:scaffold_300461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLSNCNILASEIKPTGRIGNMCKQICSETYGNGYVPRTVGRLASHPDNVLLLLLWKINVVATSDTIRSCFALIYMFSLSSTCHNTQTQ >scaffold_300462.1 pep chromosome:v.1.0:3:1554279:1555656:1 gene:scaffold_300462.1 transcript:scaffold_300462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLKSSISSPFTQSTTHGLFTNPITRPVNPLPRTVTASMIPKKSSANMIPRNPPARQQLYQPFRPPSSPIPTKFRSLDSAGKIEILAGRMALWFEYAPLISSLYTNGFTPPTIEELTGISSIEQNRLIVGAQVRDSILQSIHEPELIAAFDTGGAELLYEIRLLSTTQRVAAATFIIDRNIDSKGAQDLARAIKDYPNRRGDVGWLDFDYNLPGDCLSFLYYRQSRENKNPSEQRTSMLLQALGVVESEKAKNKLNKELYGEKEEEKEKEKKKKEEEVKAIRIPVVRLKFGEVAGASSVVVLPVCKAEEGEKKILEAPMEIIAGGDFKVVEAEKGWKRWVVLPGWNPVAAIGKGGVAVSFRDDRKVLPCDGKEEPLLVVTDRVRNFVEADDGYFLVVAENGLKLEKGSELKAREVKESLGMVVLVVRPPREDDEDWQTSHQNWD >scaffold_300463.1 pep chromosome:v.1.0:3:1555800:1557634:-1 gene:scaffold_300463.1 transcript:scaffold_300463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNLFSGLPPPSSSQQQELPIFPKPDDSKIEISSPAPTLVLKSALKRSKPQESAPNVSAPPVLKSALKRSKPSESTPEPEGTYNSERLQFKTSTDASEEQVIEAMQKITSHIKNPSKFSKASKLAIRLIQAGSVKPETSSYFIAMLEAAMSSKTPCTDRLVRADYHALFSAAQDVAECLDKSQKNLLTIWTFKAVVANDLFTDDSFVFSKTATRIKEAISDLPVSTEEDDVEEAAALEEAAVKENGDGQTTQDAAEAASAGNNEDVESDPFGLDAWIPSSGKKNGKTKIKRTNEDTDAEENKRFLRSKREALITCLEIAARRYKVPWCQTVIDILVKHAFENVSRFTSQQRQAVEKLWASVREQHLRRKQGKSVTGKLDVTAFESLQDKYANEKMSIRSSVGASGERRAQQWLG >scaffold_300476.1 pep chromosome:v.1.0:3:1602990:1604210:1 gene:scaffold_300476.1 transcript:scaffold_300476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAMKRVRKEETIENNGQCSKKQDESRHKKDPFSILPLDLIVEILFKLPAAKSIARLVFVSKLWSSIIRGKDFTKLYLTRSFTRPRLLFVVFCHYIPTQFLQSFSQEDPSSDPHHRVNISPHRCRLWSFSPPVRGLICRQNDTTVIIGNPSTGQFLTLPRVKSRRRGLFSFFGYDPVNDEYKVLCMTVLQVRQRRESRVVAEEHQVFTLGAKQKWRRIECNHDHLPPSLTKGVCINGVVYYYAWIKSEGSLISFDLISEVFNVIKLPEDIQCLVNYNGKIALASFCKLGTLDLWVLEDASKQEWSKVSLLVPSWTDLVVDENLYFKVRGTLSTGELIFTPTRPVRPFYFISFDLKENSAKKVVVEEIGDNFASLEIYFDHVESPMVLSNVS >scaffold_300477.1 pep chromosome:v.1.0:3:1605011:1606212:1 gene:scaffold_300477.1 transcript:scaffold_300477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVRTNEMTVNSRKCSKKQDESDETHQFPILPLDLILEILLKVPGRSLARFIIVSKQWLSIIRGKDFTKLYLTQSSTRPRLLFTSVYRNLGQSKLFLQSCSQQDPSSAHHRLNVSMHTNHLFGFTPPVRGLICGQTDTIVMIGNPSTGQFLTLPRVKTKRRGLLSLFGYDPVNDVYKVLCMTVLRGHPNRGSRYVSEEHQVFTLGAKQKWRRIECKYRHLPPPYTKGLCINGILYYYAWIQNEGSLISFDLNSEDFNVIKLPQDIPFLVNYNGKIALTRQYSKLGPLYLWILEDARKQEWSKVSIVVPSWTELAGNIFFNFRGTHSTGELIFAPVREIKPVYLISYDLKEDNAKQVEVGGGLVAGQNPSLIVYLNHVESPMFLSK >scaffold_300479.1 pep chromosome:v.1.0:3:1612433:1614832:-1 gene:scaffold_300479.1 transcript:scaffold_300479.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLP1 homolog [Source:UniProtKB/TrEMBL;Acc:D7L2X0] MAYGGPMNPPALSGPVSGSANLKQVKLERESELRIEVSEEPLRLRVVNGTAEIFGSELPPEIWRTFPARMKFAVFTWYGATIEMDGVTETDYTADETPMVSYINVHAILDARRRFAKASTSNDPESSQGPRVIVVGPTDSGKSTLTKMLLSWAAKQGWRPTFVDLDVGQGSITIPGCIAAAPIEMPLDPVEGFPLDMALVYYYGHASPNINVELYKALVKELAQVLERQFVGNPESRAAGMVINTMGWIEGIGYELLLHAIDTFNASVVLVLGQEKLFSRLKDVLRSKSNVDVVKLHKSGGVVARVKEVRKRSRNFKIQEYFYGLSKELSPYANTSSFSDLQVFRIGGGPQAPKSALPAGSTSVSNPLRVTPVNIDDRDLLHSVLAVSYAEEPDQIISSNVSGFVYVTEVNVQKKKITYLAPSPGTLPSKLLVAGSLTWLESV >scaffold_300480.1 pep chromosome:v.1.0:3:1615857:1618449:-1 gene:scaffold_300480.1 transcript:scaffold_300480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L2X1] MNGKTRILFFLSCLSILLAFPTRSNGQDFALSCGASEASADQDKKKWEPDTKFLKTGNSIHATATYQDPSLLSTIPYMTARIFTAPATYEIPIKGDKRHLLRLYFYPSTYTGLNISNSYFNVQANDVTLLSNFSAAITCQALTQAYLVKEYSLAPIEKDVLSITFTPSDKYRDTFAFINGIEVIQMPELFDTAALVGFTDQTVDAKTANFQSMFRLNVGGQDIPGSQDSGGLTRTWYNDAPYIFSAGLGVTLQASNNFRINYQKMPVSIAPADVYKTARSQGPNGDINLKSNLTWMFQIDKNFTYILRLHFCEFQLSKINQKVFNIYINNRTAQADTNPADILGWTGEKGIPTYKDYAIYVDANNGGEEITLQMTPSVFGEPEYYDSSLNGLEIFKMDTLKNLAGPNPEPSPMQAEGEVKKEFKNEKRNAFIIGSAGGVLAVLVCALCFTAYKKKHGYQGGDSHTSSWLPIYGNSTTSGTKSTISGKSNNGSHLSNLAAGLCRRFSLPEIKHGTQNFDDSNVIGVGGFGKVYKGVIDGTTKVAVKRSNPNSEQGLNEFETEIELLSRLRHKHLVSLIGYCDDGGEMCLIYDYMAFGTLREHLYNTKKPQLTWKRRLEIAIGAARGLHYLHTGAKYTIIHRDVKTTNILVDENWVAKVSDFGLSKTGPNMNGGHVTTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEILCARPALNPSLPKEQVSLGDWAMNCKRKGNLEDIIDPNLKGKINSECLKKFADTAEKCLNDSGLERPTMGDVLWNLEFALQLQETADGTRHRTPNSGGSSEDLGGGGMAVNVAGRDDVSDLSSEDNTEIFSQIVNPKGR >scaffold_300482.1 pep chromosome:v.1.0:3:1620676:1620982:1 gene:scaffold_300482.1 transcript:scaffold_300482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDASTALAVVVGPLTKAITFPSVPTKMELSLMDRFNRWLRTFRPEPHH >scaffold_300492.1 pep chromosome:v.1.0:3:1654516:1656352:-1 gene:scaffold_300492.1 transcript:scaffold_300492.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L2Y3] MTPFSSELVGFTIPFYSKTQKHYSNSSHGLSHNRSLLTFSNSNPNHDNGKSFSSSGARNLQATTTDAAIPTERRQQHSQSLGFRDTQMLKIFHRSCRSGNYIESLHLLETMVRKGYNPDVILCTKLIKGFFTLRNVPKAVRVMEILEKFGQPDVFAYNALINGFCKMNRIDDATRVLDRMRSKDFSPDTVTYNIMIGSLCSRGKLDLALKVLDQLLSDNCQPTVITYTILIEATMLEGGVDEALKLLDEMLSRGLKPDMFTYNTIIRGMCKEGMVDRAFEMIRNLELKGCEPDVISYNILLRALLNQGKWEEGEKLMTKMFSEKCDPNVVTYSILITTLCRDGKIEEAMNLLKLMKEKGLTPDAYSYDPLIAAFCREGRLDVAIEFLETMISDGCLPDIVNYNTVLATLCKNGKADQALEIFGKLGEVGCSPNSSSYNTMFSALWSSGDKIRALHMILEMVSNGIDPDEITYNSMISCLCREGMVDKAFELLVDMRSCEFHPSVVTYNIVLLGFCKAHRIEDAIDVLDSMVGNGCRPNETTYTVLIEGIGFAGYRAEAMELANDLVRINAISEYSFKRLHRTFPLLNVLQRSSQTFGH >scaffold_300494.1 pep chromosome:v.1.0:3:1664998:1666055:1 gene:scaffold_300494.1 transcript:scaffold_300494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIPSLPADIIINFIARVPRRYYPTLSLFSKLFRSVIASHELYVTRSLLDRTAPNVVSMLPFLNPRTTMVVNVCILFIGNPTQLTIIIAWSLSHQSLLYLQVASTSPLIQRSLWSEDLTMRISHLLIRYSIDSRFHTAQRLPSMPSEMASSVSNIIDKKIYIRECESETESETWFSWRPAMKVCKWVCNSVVTPDRIYFKTSKNCFVYDPDEDNWEKDDILNSKMWNAGECVIEGIQSCYYLPSLEMLFAYDTKQRFWKGVKGVGRLTDDVFSSRTMSYSGKVFVLLQKQLQEKNEIWCAEIKLERDKEGVVCGNVEWCCCVLEGQTVASPKKLSTC >scaffold_300496.1 pep chromosome:v.1.0:3:1668249:1669122:1 gene:scaffold_300496.1 transcript:scaffold_300496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFVSSSHLTLRTPSISLRSTGSSPRTSVSFSVKAQSVALSQDDLKKLAAEKAVEAIKPGMVLGLGTGSTAAFAVDQIGKLLSSGELYDIVGIPTSKRTEEQARSLGIPLVALDTHPRIDLAIDGADEVDPNLDLVKGRGGALLREKMVEAVAEKFIVVADDTKLVTGLGGSGLAMPVEVVQFCWNFNLIRLQDLFKEFGCDAKLRVDGDGKPYVTDNSNYIIDLYFKNPLKDGFAAAKEIGKFQGVVEHGLFLGMATSVIIAGKNGVQVMTK >scaffold_300497.1 pep chromosome:v.1.0:3:1669359:1669965:-1 gene:scaffold_300497.1 transcript:scaffold_300497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTNPSTGDQQHQKYRQYNPYQQVNLPYRQLYELPTSPEFLFEEEATKKRLTWGENLTFFTGWGYVTGSVLGAVKGTINGIGAAERGESLKIRTNRILNSGGLVARRGGNCLGSIGLMFAAMESGVTYLRDGDDGSRTTVIAGLATGVLYRAASGPRSAVVAGAVGGVTALAAVAGRRIVKRFVPI >scaffold_300520.1 pep chromosome:v.1.0:3:1770765:1772702:1 gene:scaffold_300520.1 transcript:scaffold_300520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:D7L305] MEEDRCSIKDDATQLIGNTPMVYLNNIVDGCVARIAAKLEMMEPCSSVKERIAYGMIKDAEDKGLITPGKSTLIEATSGNTGIGLAFIGAAKGYKVVLTMPSTMSLERKIILLALGAEVHLTDPSKGVQGIIDKAEEICSKTPDSIMLEQFKNPSNPETHYRTTGPEIWRDSAGEVDILVAGVGTGGTLSGSGRFLKEKNKDFKVYGVEPTESAVISGGKPGTHLIQGIGAGIIPDNLDFSVLDELIQVTSVEAIETAKLLALKEGLLVGISSGAAAAAAIKVAKRPENAGKLIVVIFPSGGERYLSTSLFESVRHEAENLPIH >scaffold_300526.1 pep chromosome:v.1.0:3:1781936:1784324:1 gene:scaffold_300526.1 transcript:scaffold_300526.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L311] MAVQWLLVCHGMMTLTVVISFLCGQWPIFKGTPFQWIHYFITFGAYDYFLRFVGLVFGSKGTDVILSVEYFCCDRPNPILQVIYIAIMGSTYFLTAKSSFIYIPGYYIGDVHKYTSFLTVIVGAILFLLTSFSDPGTVNAENVSQYISAYPYDDIIYSKKECSTCKIPKPARSKHCSICNRCVARFDHHCGWMNNCIGERNTKYFMAFLLWHFLLCLYGTVAIGFILAGRVKELRVVHILTVYYGVDKSFRSLAPRVLQWLVGTYNTQILLMVFLAIVSLLLAGFFAYHANLCLTNTTTNETFKWREYISLKKRLSEAKASAAALKAGMSCESKKPTAASKCFGICGRSSAHEEGKAKAEAIVKRNLYDRGSFQNVSEIVFPLSSRPSSSSKSKRKSE >scaffold_300533.1 pep chromosome:v.1.0:3:1807311:1807534:1 gene:scaffold_300533.1 transcript:scaffold_300533.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHK7] MKNLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCSVSRSSRRVF >scaffold_300542.1 pep chromosome:v.1.0:3:1846588:1849096:-1 gene:scaffold_300542.1 transcript:scaffold_300542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLYETAAGFALFKVKDEGKMANVEDLCKEFDTPDSARKMVKLKAFEKFDNTSEALEAVAKLLEGAPSKGLRKFLKANCQGETLAVADSKLGNVIKEKLKIDCIHNNAVMELLRGVRSQFTELISGLGDQDLAPMSLGLSHSLARYKLKFSSDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIISDNILYAKSVKLMGNRVNAAKLDFSEILADEIEADLKDAAVISMGTEVSDLDLLHIRELCDQVLSLSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLISHGGSLLNLSKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASVVGQAAPKHKGKISRSLAAKAVLAIRVDALGDGQDSTMGLENRAKLEARLRNLEGKDLGRLSGSAKGKPKIEVYNKDKKMGSGGLITPAKTYNTAADSLLGESSAKSEEPSKKKDKKKKKKVEEEEPEKEEPSKKKKKKKAEAETEAVEVAKEEKKNKKKRKHEEEETTETPAKKKDKKEKKKKSKD >scaffold_300550.1 pep chromosome:v.1.0:3:1877147:1878515:1 gene:scaffold_300550.1 transcript:scaffold_300550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGIRPMDSVTFSSLLKSCIQARDFWLGKLVHARLIEFEIDAMMACFGNNGRQSDAIKLFVEFLELGLRKTRHFESDVCVGCSLIDMSVKGENSFENTVGDVRFVADMHKRKAETAQKLMPSLHSLVGLLNVDGYYNNLLAFFDTSFEEGFIKPGARNILVSAPTANGEAGAIARSSSYDAFIGWIQRACEEFQFFLNAVRDPQKFDVFEYLFKVNQ >scaffold_300554.1 pep chromosome:v.1.0:3:1889960:1890221:1 gene:scaffold_300554.1 transcript:scaffold_300554.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3R0] MHATTRYVDAAWNASSGFCGMGWVIQDSSNSTRSRSFSSHRRFVSSEFVAECYFTEALALRSALSLLAANTDD >scaffold_300558.1 pep chromosome:v.1.0:3:1906083:1908568:-1 gene:scaffold_300558.1 transcript:scaffold_300558.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLFHTLLTLLLFVAISQTLPPLAGSFPISNIFPAVFNFGDSNSDTGELSSGLGFLPQPSYEKTYFRSPTSGRFCNGRLIVDFLMEAIDRPYLRPYLDSISRQSYRRGCNFAAAASTIQKANAASYSPFGFGVQVSQFITFKSKVLQLIQQDEELGRYLPSEYYFKKGLYMFDIGQNDIAGAFYSKTLDEVLALVPTILDIFQDGIKRLYAEGARNYWIHNTGPLGCLAQVVSLFGKDKSKLDEFGCVSDHNQAAKLFNLQLHGLFKKLPQQYPDSRFTYVDIFSIKSDLILNHSKYGFDHSITVCCGTGGPPLNYDDQVGCGKTARSNGTIKTAKPCYDSSKYVNWDGIHYTEAANRYVALHILTGKYSETASSLNL >scaffold_300563.1 pep chromosome:v.1.0:3:1929515:1931369:1 gene:scaffold_300563.1 transcript:scaffold_300563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQDVMKLQTCVLKVNVHCEGCKHKVKKQLQKIEGVYSVKADVEQGRVTVTGNVDPALLVKKLSKSGKHAEILGGGGGKDAKSSGWGLLGFFKKGKSGKGDEKKGAGKKEGHGGNKVKSLGGGGGVQHYDSGPKKGGGGSKGGAHGGFDIDELMKHSSKGGGGGGNKGNHNHSAKGIGGPMGPGGPMGQGGPMGPGGPMGPGGPMGMMGPGGPMGPMGGQGGSYQAVQGLPMSGGGGYYPGPPQASHQMNQQQYMQMMMNQQQQQQQAAAHGGYGGGHGGDMYHPMMYARPYPAVNYAHPPPMPPPHSDSYTHMFSDENPGSCSIM >scaffold_300572.1 pep chromosome:v.1.0:3:1954431:1955842:-1 gene:scaffold_300572.1 transcript:scaffold_300572.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxycytidine deaminase [Source:UniProtKB/TrEMBL;Acc:D7L3S6] MMSGENNTITETQKVSGFVPSVVPDQDHATVEFAAANERDHKYLTQAVEEAYIGVECGDGRPFGAVIVHKNEIVVSCHNMVLKYKDPTAHAEIIAIREACKKLNEIKLSECELYASCEPCPMCFGAIHLSRLKRLVYGAKAEAAVAIGFNSIIADGVRGIGCYHKSNLEIKKLDVSIAEQVFQNTKGKFSI >scaffold_300576.1 pep chromosome:v.1.0:3:1968389:1970457:-1 gene:scaffold_300576.1 transcript:scaffold_300576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTPQKQRKVAMVVPPLNSDLLKETINKVDKCMERLQELQYTIAGGTKVVSGVNLSPRSTRTYLRTSLRCKQETLRIKSATNKKSPVGKFPASSPGDWRKMSLPAMLLGETVNEILQASQVTRDIVEAIAPKKSRKSRRLTMSQEDDGPKTPETERKSREPNQPETVSSNIKARRKKEKQNRRSGSDSPPSQQRARSRIAFRTVSPQKIAGKVQEKGNGENSFRHLANRVSPKHKPWVKKAVLFPNPLFISGTTTQQAKFSRTMSPVIARNEISSIKNNKETPHKFLIKSPPTSASKFQVKIRSPPKVLISPTRNGSNLVRRSPKGSSSPTRNVNIGKKSPTASISPIRNLGKKSPKLSTAAKLRRSFTPTRNGSNLARKSSISPKRVTLQAFLSPTRNGNFCKTSPKALISPTRVNKSQKLSTAAKLRRSFSPSRLAMRLVSPMKSRKSVVKCDDHEMVSGLKQRPVLVPKRFSMGRI >scaffold_300578.1 pep chromosome:v.1.0:3:1976216:1976973:1 gene:scaffold_300578.1 transcript:scaffold_300578.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L3T2] MMRFVRPVTAQFYRRGKSFGCRDFSAARNHQEDDVIEKAKKMGNVDLALFVLYDFCRHSVGLAVGSGAAYLLIGTNSGEAAEIEEEWMEKQQLLKRNEELLEKCMGYYGITSAKRPQSS >scaffold_300579.1 pep chromosome:v.1.0:3:1979701:1980363:-1 gene:scaffold_300579.1 transcript:scaffold_300579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIFSRNSESEEKAKTKFTDSSSGYTANPSGIDMQSPISWAKAIKNDAELQGMKNSHLGTPADILPIPLVWLLTSLLLELASRHIVNTHSVSDMLSFPQTTPAGYYEGHAFGIRIENLLHVRDAETPNRFGGATYLLGFEKLTYFSPFRYGVTYQLIKIIRL >scaffold_300580.1 pep chromosome:v.1.0:3:1980796:1984902:-1 gene:scaffold_300580.1 transcript:scaffold_300580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTPQKQRKVAMVVPPLNSDLLKETINKVDKCMERLQELQYTIAGGTKVVSGVNLSPRSTRTYLRTSLRCKQETLRIKSATNKKSPVGKFPASSPGDWRKMSLPAMLLGETVNEILQASQVTRDIVEAIAPKKSRKSRRLTMSQEDDGPKTPETERKAREPNQPETVSSNFKARRKKEKQNRRSESDSPPSQQRARSRIAFTTVSPQKIAGKVQGKGNGENSFRHLANRVSPKHKPWVQKAVLFPNPLFISGTATQQAKFSRTMSPVIARNEISSIKNSKETPHNCRRNQICLWLTEDNGRKTDSCSFVELCIESALMDMYSKCGSIEDAWKIFESTEEVDEVSMTLILVGLAQNGSEEEAIQFFIRMLQAGVEIDANVVSAVLGVSFVDKLFGSRNYVSWNSMIAAFACHGHGLAALKLYEEMIMQDVKPTDVTFLSLLHACSHAGLIDKGRELLNDMKDVYGIKPRTEHYTCIIDMLGRAGLMKEAKSFIDSLPVKPDCKMWQALLGACSFHGDTEIGKYAAEQLLQTAPDSSAAHILMANIYSSREKWKERAKTIKRMKAMGVTKGTGISWIEIEHKTHCFVVEDKMHPQEEAIYDVLSELFPVMVDEGYRPDKRFILCYTGDGRNGREKVRDSAKECLRVFASVPKISFFSNSRYSTSQGFIPSCRENSREDPLSSSSPYSILGIEPSCSSSELKAAFRAKVCIQESLICTVLMFWLLVEELVLTLEQFFFYADAAEELKEVIAKKNHELVYLCPSISHPQDLHCTRPKPPSKRIRIHDLKYAGLDVASKLHLPL >scaffold_300581.1 pep chromosome:v.1.0:3:1990435:1992508:-1 gene:scaffold_300581.1 transcript:scaffold_300581.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L3T5] MNSRWVIQKLTSHLPSCFSTVLSPSKILIRQSPSYQVSTFLLNHVDMSLLLSICGREGWFPYLGPCLHASIVKNPEFFDPVDADIHRNALVVWNSLLSLYVKCGKLGDALKLFDEMPVRDVISQNIVFYGFLRNRETESGFVLLKRMLGSGGFDQATLTIVLSVCDTPEFCLVTKMIHALAILSGYDKEISVGNKLITSYFKCGCSVSGRWVFSEMAHRNVITWTAVISGLIENELHEDGLRLFCLMRRGLVHPNSVTYLSALAACSGSQMIVEGQQIHALLWKFGIESELCIESALMDMYSKCGSIEDAWKIFESSQEVDEVSMTVILVGLAQNGSEEEAIQFFIRMLQAGVEIDANVVSAILGVSFVDNSLGLGKQLHSLVIKRKFCGNTFVNNGLINMYSKCGDLTDSQTVFRRMPKRNYVSWNSMIAAFARHGHGLAALKLYEEMTTLEVKPTDVTFLSLLHACSHVGLIDKGRELLNEMKEVHGIKPRTEHYTCIIDMLGRAGLMKEAKSFIDSLPLKPDCKIWQALLGACSFHGDTEVGEYAAEQLFETAPDSSAAHILMANIYSSRGKWKERAKTIKRMKEMGVTKETGISWIEMEKKTHSFVVEDKMHPQAEAIYDVLSGLFPVTVDLIRGLFSVIQEKTEKTQVSLFLNAFSLHEYISREEKTHGVL >scaffold_300582.1 pep chromosome:v.1.0:3:1992778:1995713:-1 gene:scaffold_300582.1 transcript:scaffold_300582.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase [Source:UniProtKB/TrEMBL;Acc:D7L3T6] MRAGNLGVPTASEWVADVLAPGGRVGIDPFLFSADAAEELKEVIAKKNHELVYLYNVNIVDEIWKDSRPKPPSKQIGIHDLKYAGVDVASKLLSLRNQIMDAGASAIVISMLDEIAWVLNLRGSDVPHSPVMYAYLIVEVDQAQLFVDNSKVTAEVKDHLKNAGIELRPYDSILQGIDSLAERGAQLLMDPSTLNVAIISTYKSACERYSESEDKAKTKFTDSSNGHTANPSGIYMQSPISWAKAIKNDAELQGMKNSHLRDAAALAHFWAWLEDEVHKNANLTEVDVADRLLEFRSMQDGFMDTSFDTISGSGANGAIIHYKPEPESCSRVDPQKLFLLDSGAQYVDGTTDITRTVHFSEPSAREKECFTRVLQGHIALDEAVFPEGTPGFVLDGFARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISFRYGNMTPLQNGMIVSNEPGYYEDHAFGIRIENLLHVRDAETPNRFGGATYLGFEKLTFFPIQTKMVDVSLLSDTEIDWLNRYHAEVWEKVSPLLEGSTTQQWLWNNTRPLAKP >scaffold_300590.1 pep chromosome:v.1.0:3:2033454:2033676:1 gene:scaffold_300590.1 transcript:scaffold_300590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3U1] MENLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCSVSRSSRRVF >scaffold_300596.1 pep chromosome:v.1.0:3:2056159:2056432:-1 gene:scaffold_300596.1 transcript:scaffold_300596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSSNIEMKDGSSSDPELKEPIETSTGVASFAAREFEFPDAPLVEYQAKAAWSVTNKTYPMFCRPSPIHCVL >scaffold_300607.1 pep chromosome:v.1.0:3:2093198:2095868:1 gene:scaffold_300607.1 transcript:scaffold_300607.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle triple-a 5A [Source:UniProtKB/TrEMBL;Acc:D7L3V6] MATPMVEDTSSFEEDQLASMSTEDITRATRLLDNEIRILKEDAQRTNLECDSYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDDAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDSLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFEKLGVRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >scaffold_300611.1 pep chromosome:v.1.0:3:2104865:2105478:1 gene:scaffold_300611.1 transcript:scaffold_300611.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L22-2 [Source:UniProtKB/TrEMBL;Acc:D7L3W0] MSRGIAAVAKGKKKGVSFTIDCSKPVDDKIMEIASLEKFLQERIKVGGKAGALGDSVTITREKSKITVTSDGQFSKRYLKYLTKKYLKKHNVRDWLRVIAANKDRNLYELRYFNIAENEGEEED >scaffold_300612.1 pep chromosome:v.1.0:3:2106594:2106955:-1 gene:scaffold_300612.1 transcript:scaffold_300612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCRKKTKDDANFLEDVKDHIDEFMSASMDDHKNCFNKTIKKMFGLSKAVAEKQQTEAKGVESYLPLQTTVSD >scaffold_300613.1 pep chromosome:v.1.0:3:2108058:2109484:1 gene:scaffold_300613.1 transcript:scaffold_300613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLTKLVFLVFLLRLLISSSCGESFNSDETRVCISKGGRFLPYETPMPSSLEFKDLNLCNVFHGKTCCSASTMHSASLALENLATYGEATKDCLDLFELLECSIYQPDVGIQSEPLRICASFCDRVFEACSDAYFRRNASNQVIVPCGASEGTIICGKASKWESSGTAFCYALGFTVQTAGDLTEEPCYGSKSSLEPVVESFIKTETFAWFQDLQKLVREMTLVQQISWVVTLFLIGTTVFNRRRYQQEIQAMIERDARRLMGNMNGNA >scaffold_300614.1 pep chromosome:v.1.0:3:2110026:2111690:-1 gene:scaffold_300614.1 transcript:scaffold_300614.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7L3W3] MTTMEGMMEMGVLDDIIRRLLEGKGGKQVQLSEIEIRQLCVNARQIFLSQPNLLELHAPIRICGDIHGQYQDLLRLFEYGGYPPSANYLFLGDYVDRGKQSLETICLLLAYKIRYPSKIFLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDEKILCMHGGLSPELENLRQIREIQRPTEIPDNGLLCDLLWSDPDQKNEGWTDSDRGISCTFGADVVADFLDKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDQSLVCSFEILKPAPASSSNPLKKVPKMGKS >scaffold_300618.1 pep chromosome:v.1.0:3:2121437:2123617:-1 gene:scaffold_300618.1 transcript:scaffold_300618.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7L3W7] MAKNSILFTAISFLLFSTLSHCQTLTSSEALCDSTLYPSVCKSVLPVGSPGTVPGFARIVILKSLEASKDLLASFDQHHPTSGPLNDCQLLTGLTVDHLTRVNAIKENILGNSEVNDLLTLLSAALTNYETCLDSVHEVARKSSENVVNGHEDILRRVSEGIKLTSVSLALSKEAWPITSDASATKPPPRILTEGKKLSLPEISYLKVTEGERMVYEKVMVVGRKLLQSSPVGNGGLKVTKTVVVNPNGGNADAFKTINDAVAAAPTMVESGNGYFVIYVVAGVYEEYVTVPSNKSYVMIVGDGIDKTIITGNRNVIDGSTTFASATLAVMGKGFIAANITLRNTAGPNKHQAVAVRNSADMSAFYKCSFEGYQDTLYVHSLRQFYRECDIYGTVDFIFGNAATVLQNCNLIPRLPLQGQFNAITAQGRSDPNQNTGISIQNCRITPSAELVSSSFSVKTYLGRPWKEYSRTVYLQNFLDGFIDSKGWIEWMGDFALQTLYYAEFKNTGPGSETVNRVNWPGYHVINKTEAVWFTVSNFIVGDSWLPNMGVPYAGGLM >scaffold_300625.1 pep chromosome:v.1.0:3:2171086:2181390:-1 gene:scaffold_300625.1 transcript:scaffold_300625.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2016 [Source:UniProtKB/TrEMBL;Acc:D7L4M0] MSMVGYLNVDNIFVRATSPPSFALEVFVRCEGESKFKRLCNPFLYTPSAPYPLEVEAVVTNHLVVRGSYRSLSLIVYGNIVKDLGQYNIILEGRSVTDIVSSTEGNLEDLPLVLHSVNRTIEECLSSLDIVSLPLATVDVPVEVKRLLQLLLKVFDKLATSDVVNKFVDTVVSGVSSYVTDNVDFFLKNKNCPAVASSVDSGLFHDIIDKVKKDILDLNEIQESDVALGVFSFLESETCLATSQQLVDMLSPYIQFERDSLCTVLPQLSKGKATLLGLSLAFLLCSGREGCLQFVNSGGMDQLVYFFGHDVQNSTTITLLLLGVVEQATRHSVGCEGFLGWWPREDGSIPSGKSEGYCLLLKLLMQKPCHEIASLAIYILRRLRIYEVISRYEFAVLSALEGLSNSHAAATHNLDMLSDAKSQLQKLQNLMKSLGSVEDPSPSAYAERSLVSDHSEGWLSYKATSKLTASWACPFYSSGIDSHMLALLTERGFLPLSAALLSMPGLHSKVGDLMDVFTDIAMFIGNIILSLMFSRTGLSFLLHHPELTATIIQSLKGSVDLNKEECVPLHYASILISKGFTCSLLEIGINLEMHLRVVSAVDRLLKSTQQTEEFLWILWELRDVSRSDCGREALLTLGVFPEALVVLIEALHSAKDMEPAVENSGISPLNLAICHSAAEIFEVIVSDSTASCLHAWIEHAPVLHKALHTLSPGGSNRKDAPSRLLKWIDAGVVYHKHGVVGLLRYAAVLASGGDAQLSSSSILALDLTQAENGAGESTNVSEMNVLDNLGKVIFEKSFEGVNLSDSSISQLTTALRILALISDNSVYAVVFLFKCSEMLCFVQTVAAALYDEGAVTVVYAILGTKEQYRNTKLMKALLRLHREVSPKLAACAADLSSHYPDSALGFGAVCHLIVSALVCWPVYGWIPGLFHTLLSGVQTSSVPALGPKETCSFLCILTDILPEEGVWFWKSGMPLLSGLRKLAVGTLMGPQKEKQINWYLEAGPLEKLINHLTPNLEKIAKIIQHHAVSALVVIQDMLRVFIVRIACQRVEHASILLRPIFSSIRDGILDQSSTRNTEAYMVYRYLNFLASLLEHPHAKGLLLEEGIVQLLVEVLERCYDATYPSENRVLEYGIVSESSVIQWCIPAFRSISLLCDSQVPLLCFQKKELLASLSAKDCALILPFVLKFCQVLPVGNELLSCLGAFKDLISCGEGQDGLVSLLFQLFSGAEEPVSERWCDTHNLSLDQLEMKKNPPFLSCWIKLLNSINSKDGLSSLAIKAVNVLSVGSIRLCLDGKSLNSRKVAGLKSLFGLPSEFSGTDTFREENIGLIEQMVTLLSSTTSGSDSSETAEMKPYLHEASRSLLSLLKDGNIDDIISCKGVLVSPGNFDMDDLDSENIEDDLYQRGLEDKFWWECPETLPERLPQSSLPAKRKLSTLESSSRRAKGENSSVDIPTQNSVHRALGSLSLPPAPTRRDTFRQRKPNTSRPPSMHVDDYVARERSVDTAGNSNAITISRAGSSSGRPPSIHVDEFMARQRERGQNPSTIVVGEAVVQVKNPTPARDSEKVAGKPKQFKADPDDDLQGIDIVFDGEECEGPDDKLPFLQPDENLMQPSPVMVEQNSPHSIVEETESDANGSSQFSHMGTPVASNVDENVQSEFSSRISVSRPDMSLIREPSISSDRKFVEQADESKKMAPLKSAGISESVFVPAYNMPGSSGQNLIDPRVGPQGFYSKSSQQHTGHIHSGFSGRGVYEQKVMPNQPPLPLVPPQSVSPVIPHSSDSLSNQSSPFISHGTQSSGGPIRLTPPLPSAMPQYSSNPYASLPPRTSTTQSFGYSQAGVGTTEQQQSGPVIDHQSGNLSVSGTGMISYPPHTLMSAHNFSRPSSLPVPFYANPSHQGGDKPQTMLSVPSIPQSLNPQSIPQLQSMQLSQLQRPMQPPQHVRPPIQISQPSEQGVSMQNPFQIPMHQMQMMQQTQVQPYYHTPQQQDLSQVQQQPQHHAVQGQQGAGTSQQQDSGMSLHDYFKSPEAIQSLLSDRDKLCQLLEQHPKLMQMLQEKLGQL >scaffold_300627.1 pep chromosome:v.1.0:3:2186132:2187026:-1 gene:scaffold_300627.1 transcript:scaffold_300627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKECAAETTESSKLMEATEPLEKIEESGDDDYVDSDDENDESGDDYVDPDDELLHPTWFKVPEWDVDSFGGLEYDSSEYKNEFPKEEHEKLWRRFKRQLIENKGFYVEPELWPMYNYSDYKVVPNLDLPASFGQTYREYFAEMACLCLKKYNQDKRSNVEFVEVVRGIFTAGSRSKSYITFMAKEKPDGPLVEYQAKVWCTVIRHENYPILCRRAPKANQK >scaffold_300628.1 pep chromosome:v.1.0:3:2189153:2189948:-1 gene:scaffold_300628.1 transcript:scaffold_300628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMKAPKWWVEPEWDLDSFDGLEYDSSEEEDEMSNEEDEQKWRRFKRQLTESKGFYVEPELMPMYNYSRFKPVEDLERSAGCGQTYREFFAEMACLCLKTFNQYKRLNVEFVEVLRGAFTAGSKSKVYISFMAKEKPDGPLVEYQCKVCCTVVRNVNYPILCRPAHKTGEEQ >scaffold_300629.1 pep chromosome:v.1.0:3:2190256:2190543:1 gene:scaffold_300629.1 transcript:scaffold_300629.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4M4] MARFLSSRFARIARAKAKASSTGSSESTYSLSLRGLTVSCCLHQFARVSFCRRLCYVFFVSATYSLRSIDYTGANPRQLAL >scaffold_300642.1 pep chromosome:v.1.0:3:2227417:2229272:1 gene:scaffold_300642.1 transcript:scaffold_300642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNTTTGVDNTFRKKFDEEEFKERAREREKKESDRSKSRSKGPPVQRAPLKHRDYHVDLESRLGKTQVVTPVAPLSQQAGYFCRVCDCVVKDSANYLDHINGKKHQRALGMSMRVERSSLEQVQERFEVLKKRKTPGTFTEQDLDERIRKQQEEEEELKRQRREKKKEKKKGKVMEEEPEMDPEVAEMMGFGGFGSSKKS >scaffold_300648.1 pep chromosome:v.1.0:3:2258088:2260610:-1 gene:scaffold_300648.1 transcript:scaffold_300648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAITFLGNSTMIPSHCILRAFSRISPSKYIRDTAFRSYPSRFSSCNNQYRNADSDRIIKLRNVVPFCTDRQSSVTAQVVSEARSLSASTTCANDTTLDQIYTKNGLNVKPLVVERLKGHEKDEEVVNGDDKSVNRDGFEGVKSNDLEEEAWRLLRDSVVTYCDSPVGTVAAKDPTDTMPSNYDQVFIRDFVPSALAFLLKGESEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTLPLEEDKFEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKITGDYSLQERIDVQTGIKMIANLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRSSREMITVNDSSKNIIKTISNRLSALSFHIRENYWVDKNKINEIYRYKTEEYSMDATNKFNIYPEQVSPWLMDWVPESPDSGFLIGNLQPAHMDFRFFTLGNLWSIISSLGTPKHNQAILNLIEEKWDDLVGHMPLKICYPALESSEWHIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMDRPELAEKAVTMAEKRLQADRWPEYYDTRDGKFIGKQSRLYQTWTIAGFLTSKQLLQNPEIASSLFWEEDLELLESCVCVLTKSGRKKCSRAAAKSQILI >scaffold_300652.1 pep chromosome:v.1.0:3:2275100:2275413:1 gene:scaffold_300652.1 transcript:scaffold_300652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNKRSHSSKRFSLFSFFKTRRSHRVEVDGSWDDVGYTRKAMASDEDKRYWVAEPGIDRKASAFIAKFHATRVSESERQTLSPYRSDKA >scaffold_300656.1 pep chromosome:v.1.0:3:2285959:2286295:1 gene:scaffold_300656.1 transcript:scaffold_300656.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex/cyclosome 11 [Source:UniProtKB/TrEMBL;Acc:D7L4P2] MAFDGCCPDCKLPGDDCPLIWGACNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKE >scaffold_300662.1 pep chromosome:v.1.0:3:2307439:2308282:1 gene:scaffold_300662.1 transcript:scaffold_300662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMIHIFVTFMLVAAHMAIADTNMLQDFCVADLSNGVKVNGYPCKDPAKVTPEDFYFVGLATAAATANSTMGSAVTGANVEKVPGLNTMGVSISRIDYAPGGLNPPHLHPRASEAIFVLEGRLFVGFLTTAGKLISKHVNKGDVFVFPKALLHFQQNPNNAPASVLAAFDSQLPGTQVVGPSLFGANPPIPDDLLAKAFGVGAPEIQKIKGKFPPKK >scaffold_300663.1 pep chromosome:v.1.0:3:2309310:2309712:-1 gene:scaffold_300663.1 transcript:scaffold_300663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSYPEVTVVEEDNEHNERDNDNTIKKKKKKKEAKGNRFLIPFVSKAKKRIVSKITRKPLSPASSGFWKRVCFCGTEPTNSLEWSSSSNPDPEDVNFTLRVLLQTNDFFSKDSNPHLCL >scaffold_300664.1 pep chromosome:v.1.0:3:2311352:2311739:-1 gene:scaffold_300664.1 transcript:scaffold_300664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVVGKYRWRQSYRYKKLTDQQEKLTTTPPTTTTTTRSSAKRQNLGKKNEIRAKGFRVNRSRKLVLKALALPTRIFNIYMRITNQMNKEGLYPNLVFSSHWGFPGLLNSRGGFR >scaffold_300665.1 pep chromosome:v.1.0:3:2313526:2313873:-1 gene:scaffold_300665.1 transcript:scaffold_300665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGVWQSNNGYYSYGYGGGYVEKRQLFLKSYQFSRKQSLTEKIKISVKRVAKKVVWMRLKSARRLKRVVWSRFKTAFFYRRRRFFRLLHPNKPSSYCFY >scaffold_300671.1 pep chromosome:v.1.0:3:2337948:2338560:-1 gene:scaffold_300671.1 transcript:scaffold_300671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRICCIVSAIIIVLFAIFMAALILAQVFKPKHPILQTVSSTVDGISTNISLPYEVQLNFTLTLQMLLKNPNVADFEYKTVENLVYYRDTLVGNLTLPSSTLPAKGSVLLACPLFLQLDKFVANLGDIVQDVLHGKIVMETKARMPGKITLLGIFKIHLDSISHCNLVLGFPSMEVVDQVCDLSTKL >scaffold_300672.1 pep chromosome:v.1.0:3:2341333:2342120:1 gene:scaffold_300672.1 transcript:scaffold_300672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETVSNTESPPLLGPRISFSADLSDGGDFICITPVMCKELEKDVVKGSVKVSDFEFLSSENVSPQRMLTADELFSEGKLLPFWQAKHSEKLKNITLKTNEEEEGEKRKVEVMKKDQEINNRDNRVSWFIDEDPSPRPPKCTVLWKELLRLKKQRNPSSSSVAVRTVSSLSPSSSTSSSSSLEDAAKREEKEKEGKRGKKGLERTRSASMRIRPMIHVPICTPSKSSLPLPPLFPLALKKNRVERRT >scaffold_300678.1 pep chromosome:v.1.0:3:2374119:2375059:1 gene:scaffold_300678.1 transcript:scaffold_300678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVYQSYFESQHFEPRALRLRLSSHTNPHLSTPLKSHFQDSSIAPQDNTTTINAASFSLSGPNPGSNSDANSGSWSFLESLSNSSSNDKEKKTLSLFQSPSARRTLSDESLALCTESLGSETGSDIIREDEDMFSISSKLQTMETRTTSTTSSPSRQDMKRNTMASLPPPLASMRGFDCIEVKSHRENGRLVITATRPPPRNRCLQDRSNGCVRLAILIDSDDHIETETKEEEEETIETVRNTEEEIPVYEEEVEEKKEEEIKVKSVEKAQRSRRCIEGDRENRGFLNWESLCVATS >scaffold_300687.1 pep chromosome:v.1.0:3:2418543:2418838:1 gene:scaffold_300687.1 transcript:scaffold_300687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRKDGHPSLYYHGPLGPAPLHRQDCSHWCLPGVPDTWNELFYALFMKQEAPCFRLYYWALSPSSSCFRLRKETENRLFLVS >scaffold_300688.1 pep chromosome:v.1.0:3:2419038:2421640:-1 gene:scaffold_300688.1 transcript:scaffold_300688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNSNLEENGGAKPICEALKRFKRSRLVFEPSLGVLGFFLVGVCLVCSFFLFDYRNVAKSYGLSDKSDRFVWLKLDNNISSNTSSNSSKRVGFLEESGNGCDVFDGDWVWDESYPLYQSKDCRFLDEGFRCSDFGRSDLFYTQWRWQPRHCNLPRFDAKLMLEKLRDKRLVFVGDSIGRNQWESLLCLLSSAVKNESLIYEINGSPITKHKGFLVFKFEEYNCTVEYYRSPFLVPQSRPPIGSPQKVKTTLKLETMDWTSSKWRDADVLVLNTGHWWNEGKTTRTGCYFQEGEEVKLKMNVDDAYKRALNTVVNWIHTEVDSNKTQVFFRTFAPVHFRGGDWKTGGTCHMETLPEIGTLLASSETWEQSKILRDVLSHYSNRSETVKMKVLDITAMAAQRKDGHPSLYYLGPLSPAPLHRQDCSHWCLPGVPDTWNEVFYALFMKQEAPSSSKRVEEANTTGMS >scaffold_300691.1 pep chromosome:v.1.0:3:2433986:2435449:1 gene:scaffold_300691.1 transcript:scaffold_300691.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7L4S4] MSHIAVERNRRRQMNEHLKSLRSLTPCFYIKRGDQASIIGGVIEFIKELQQLVQVLESKKRRKTLNRPSFPHDHQTIEPSSLGGAATTRVPFSRIENVMTTSTFKEVGACCNSPHANVEAKISGSNVVLRVVSRRIVGQLVKIISVLEKLSFQVLHLNISSMEETVLYFFVVKIGLECHLSLEELTLEVQKSFVSEMIVSTN >scaffold_300697.1 pep chromosome:v.1.0:3:2459196:2461500:1 gene:scaffold_300697.1 transcript:scaffold_300697.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMS membrane family protein [Source:UniProtKB/TrEMBL;Acc:D7L4S9] MACCLASCCASATCGLCSSVASGITRKSARIAYCGLFGASLVVSWILRETGAPLLEKLPWINTSDSYSKEWYQQQAVLRVSFGNFLFFAIYALIMIGVKDQNDRRDSWHHGGWGLKMIAWFLLVVLMFFVPNVIVSIYGTLSKFGAGAFLLVQVVLLLDATHNWNDSWVEKDEKKWYIALLVISIVCYIATYAFSGILFIWFNPSGQDCGLNVFFIVVPMILAFVFAIIALHPAVNGSLLPASVISVYCAYVCYTGLSSEPHDYVCNGLNKSKAVNASTLILGMLTTVLSVLYSALRAGSSTTFLSPPSSPRSGVKDSLLEDPEDGKKKSGEAEARPVSYSYSFFHIIFALASMYAAMLLSGWTDSSESATLIDVGWTSVWVKICTGWVTAVLYIWTLIAPLILPDREFY >scaffold_300698.1 pep chromosome:v.1.0:3:2463409:2465140:1 gene:scaffold_300698.1 transcript:scaffold_300698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSASRSAANHSSSSSLHLCKHSPSATLDLLILILVLFSGAFLLSSYFSYLFHSFSLLSSHFPSLSSLIFSDDEDLSPIPPASYFFAFAVFFAASIAFLDLCCGPRSRKCKNPKCKGLKKAMEFDLQLQTEECVKSGATKEIDRLPWKGGSESNPDYECLRAELRRMAPPNGRAVLLFRSRCGCPVAKLQGWGPKRGRRHKKSQANLALKGGVDHR >scaffold_300699.1 pep chromosome:v.1.0:3:2466211:2468205:-1 gene:scaffold_300699.1 transcript:scaffold_300699.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATBPM2 [Source:UniProtKB/TrEMBL;Acc:D7L4T1] MDTIRVSTEVPGSSKSTSQSLTESTSRTETINGSHEFKISGYSLVKGMGIGKYVASDTFMVGGYSWAIYFYPDGKSPEDNSVYVSLFIALASEGADVRALFELTLVDQSGNERHKVHSHFGRTLESGPYTLKYRGSMWGYKRFFKRSLLESSDYLKDNGLLVRCCVGVVKSRTEGPRCYNIPVPVSDLGQQFGKLLESGKGADVNFKVDGETFPAHKLVLAARSAVLRAQLFGPLRSENTKCIIIEDIQAPIFKMLLHFIYWDELPDMQDLMGTDLKWASTLVAQHLLAAADRYALERLRTICESKLCEGISINTVATTLALAEQHHCFQLKAACLKFIAFPENLKAVMETDGFDYLKESCPSLLSELLEYVARLSEHSLTSSGHRKELFADGCDLNGRRVKQRLH >scaffold_300705.1 pep chromosome:v.1.0:3:2483539:2484824:1 gene:scaffold_300705.1 transcript:scaffold_300705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAANREKQRENDDGEERTNDEASPGLLFLPHEIITEILLRLPTKSIGQCRCVSKLLCSLSPSPGFVKSHLERSNHRKMIVSTYNLYSVDVDWIGDGCEGSRESVAAVELNYPLKDDPSMMDQIGRHSYRRSWVVIVGSSNGLVCLSLGASYKKVPVFLFNPTTGDSKRLPEAPVDTPVESFNFRSYGFGFDDHTHDYKVVKLVATSVSNQHILDASVYSLKANSWRRICILNYKGSNAFHTCGVHFNGAIHWVLTRHEDHRVILVFDLTTEEFREMPFPDEAEDCSHKRGEFMVGCLNGRLCVVNHCNGQHDDIWVMNEYGEAKSWSRMRMSLSYWVMRPQCSTKNDDEVLLDVDGDMVLYNFKTDASRRMSIRGFKVGVGFEADTYVESLIAPSSYGIASRARLLALNVCFL >scaffold_300708.1 pep chromosome:v.1.0:3:2491661:2493152:-1 gene:scaffold_300708.1 transcript:scaffold_300708.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5E8] MTPFPLSFSSHSRPFPKLSVSFLDLRPPTAPPTERPSPSTPPEPPDPLDLRFRVLYGVSYAQPPLAAISSLFIAPIPSPSLDLSSLCVSPVAAFFALLQAAIKVSASDCLGGDLQSFTALCSGVQTPSIVPTAILPSVPPGSLVVVIRFLAFAVNSWDWFGLVQPCVSLCDRYVAFPCAPTAVGISWVGFVMNCVCTWIQTGSLPNGQPRPSWALLSIYMTSEGLVSVTLCYGLHRPSNSLLLVPNYLSMRIYQFQVPHYEDVFKYDQNLVRMVVRSPKGWHFVRQPLEIIISGFGFDLKSAGSRESVGVLSVVDGLCAGLGFTEENICVIKSQLIQPPPQKMEVFLSFSEAAWIQSKIFCGLGWCFKDPLNGKIHHGSFSRPFVLSVLVAEALALKAAFMAALALGVSRLACISDCRELVLLSNTGGHANELDGILADFDLFRSMFLSMFVHFVPRSENYGTETLANASLLSCILSSIGGV >scaffold_300711.1 pep chromosome:v.1.0:3:2507032:2509003:1 gene:scaffold_300711.1 transcript:scaffold_300711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSRLGLLLFVAIFLVLLQSSTSLISSPSSIINPSKVKQVSAKPRAFVYEGFLTDLECDHLISLAKENLQRSAVADNDNGESQVSDVRTSSGTFISKGKDPIVSGIEDKLSTWTFLPKENGEDLQVLRYEPGQKYDAHFDYFHDKVNIARGGHRIATVLLYLSNVTKGGETVFPDAQEYSRRSLSENKDDLSDCAKKGIAVKPKKGNALLFFNLQQDAIPDPFSLHGGCPVIEGEKWSATKWIHVDSFDKILTHDGNCTDVNESCERWAVLGECGKNPEYMVGTPELPGNCRHSCKAC >scaffold_300712.1 pep chromosome:v.1.0:3:2509304:2511274:1 gene:scaffold_300712.1 transcript:scaffold_300712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLENADDENSMMEISEENESRKRKADDTFPVDSSDVGEKEEQVDDEDITISEEEKMDVSSREEGQEWDVDSFDVGHQYTTKKKVDPNDEEAVKMRRYRLQMYQTNGFNVDMENYPGRVAYRELWAVDLDEPFRSSGLTGRAYMQNCVDLAIQRYNRINQKTLTCEHIVRAIICVVDGIKSYITFMARETPDGNLVEYQAKTEKKFWQKHAHALFCRPTPIPKVIQVPKDEDCDPICDGSSRDGSSRESDQEWDVDSLDDESDYKPPDMLAPTDEEIEKMRLYRPHMYRSKGFYVDGESYSGKVVYFSQVVLDEPLWLTGITGREYVQRMIDLSLEKYNEIKGSSVTCESIVRAILTRVTGFKMYITFMARESPGGDLVEYQAKTERKVWQKKTHVIFCRPTPKSKD >scaffold_300713.1 pep chromosome:v.1.0:3:2513769:2515172:-1 gene:scaffold_300713.1 transcript:scaffold_300713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINKSIGAGGETDINEPIGAGGSRRQNRPKTPNPLNDPNRRNAARNEAQQQRPQDQQNQRQEFDGIAYEILKDWRLIVDLLGPIIEQREAICWAIVLARLIEAMYNIWIGDSKCFLRISVDDLVSKIKAEDDDKEITTLNRCIKVIELEGIKKVPTTSHANRDKIKTIKWVIDTNEAADRNFIQTKLEISPVGIIIDIDDEFSALREGFYKVRDVPRTGKTVSRHALMILGRGRTVEGQDFFIVQNSWEKTWGVNGYGRLIIDDGMSCMAFWPRPYRGM >scaffold_300714.1 pep chromosome:v.1.0:3:2525551:2527468:1 gene:scaffold_300714.1 transcript:scaffold_300714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSISAEENWTANPMELSEEIRSTGSRKHKADDGGSPLSPLETSDEFEDDDMGEYDEEQGGDGEESDVSSREEGQEWDVDSFDDGFDYRPKRNLDPNDELGQKMHRYRSQMYRSKGFDVDRENYPGFVVYRPLVPIDLNKPFTYMGCKHTGELTGRVVMQNMVDMALERYNTIKGSTVTCEYIVRVVVSKVCGLKAYITFMAREFAGGGLVEYQAKTDKRIYQRNPHVIFCRPAPKHKDPKDDDFVYTDSSSDEEDSDASSRGSGQEWDVDSLDDQPDYKLPRVHTPSDDEAQKMRLYRPLMIKSKGFNVDGECYSGRMRYFTPVDLNKDIIVPYGITGREYMQNMVDLALEKYNKIKGSTVTCEFVVKANLTKVGGYKSYITFMAREVPGGDLVEYQAKTEKKFSQRKAHAIFCRPSPKSED >scaffold_300722.1 pep chromosome:v.1.0:3:2552849:2555652:-1 gene:scaffold_300722.1 transcript:scaffold_300722.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:D7L5G3] MVIGLTTMLEKSEALFASDHASVVSMNLFVALLCACIVLGHLLEETRWMNESITALIIGSCTGIVILLISGGKSSRILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLISFVIISLGAKHFFKKMNIGDLTISDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQRFDLTHINSAIALEFAGNFFYLFILSTALGVAAGLLSAFVIKKLYIGRHSTDREVALMMLLAYLSYMLAELFHLSSILTVFFCGIVMSHYTWHNVTDKSKVTTKHTFAALSFLAEIFIFLYVGMDALDIEKWDVVRSSPGQSIGVSSILLGLILVGRAAFVFPLSFLSNLTKSSLDEKIDWKKQVTIWWAGLMRGAVSMALAYNQFTTSGHTKVLGNAIMITSTITVVLFSTVVFGLLTKPLVKHLQPSSKRSSTTALQITTGSSFHDPLLTNNEPLLSTQGPSEYNIEQPVSFRMFWNSPSRAIHHYWRKFDNAVMRRIFGGRGVSPVVPGSPIDTSVRQWSEEVENKEQNGEP >scaffold_300729.1 pep chromosome:v.1.0:3:2580295:2581919:-1 gene:scaffold_300729.1 transcript:scaffold_300729.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2750 [Source:UniProtKB/TrEMBL;Acc:D7L5H0] MASMSLSFSSSLCSSRIPEGKRRFRHRDASFVRCVLAASKSSPGSVTKKRLWKDGEFPGITEPVNPRRTPIKNVKKKLDRRSKANGWANTVTETLSDLIAKKQWLQALEVFDMLREQTFYQPKEGTYMKLLVLLGKSGQPNRAQKLFDEMLEEGLEPTVELYTALLAAYTRSNLIDDAFSILDTMKGLPQCQPDVFTYSTLLKACVDASQFDLVDSLYKEMDERLITPNTVTQNIVLSGYGRVGRFDQMEKVLSDMLVSTACKPDVWTMNIILSVFGNMGKIDMMESWYEKFRNFGIEPETRTFNILIGSYGKKRMYDKMSSVMEYMRKLEFPWTTSTYNNIIEAFADVGDAKNMELTFDQMRSEGMKADTKTFCCLINGYANAGLFHKVISSVQLAAKFEIPENTAFYNAVIAACAKADDLIEMERVYTRMKERQCVCDSRTFEIMVEAYEKEGMNDKIYYLEQERQKLMDRAVATKEMENLPAG >scaffold_300732.1 pep chromosome:v.1.0:3:2593667:2594440:-1 gene:scaffold_300732.1 transcript:scaffold_300732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRFFALGFFLSCFVLIVSVAKLFRAQDILGTADSLMAVLITLWIKNNQVILQGFNVGEKICFWVGFYVVLFLVNFFKLTKIQLREPEPAGSDGTSLENPAGVSQGAQATTTAPEGGGEPGNFELARVGGGEIQAPGKEEDEGARDPAGSDGTSLLENPAGVSQGAQATTTAPEGGSEPGKFELARVGGGEKQAPGKEEDGAARDPAGSDGTSLENPAGGSQGAQATTTVGNQLAIGSGE >scaffold_300733.1 pep chromosome:v.1.0:3:2594489:2595698:-1 gene:scaffold_300733.1 transcript:scaffold_300733.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5H2] MADIVERSPERSPRHGLLRIVSVAKLFGHEDIFGTADCLMAIIMMLWNNNDTVILERFKSNATRGFWCGFYAIHFLVNLFKLTEIKLIDEPENAGSNGGSSRGGRGRHGGRGGGGSHGGRGGGGGRGGGGSHGGRGGRGGGEGGEDEAAPITAEPTCCQRCPEGCLRRMESGIQCVFRYPVALMILVVIGYAGAIGCAIKISHWKTLMVTMTVIFSLLVGAAFILLFHRAYISIRACMDGWC >scaffold_300734.1 pep chromosome:v.1.0:3:2596684:2596879:1 gene:scaffold_300734.1 transcript:scaffold_300734.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5H3] MDVSPAITQIDGYGQNVPTGDTDNDEDEDVCRICRSPEEPGNPLRYQCLCR >scaffold_300735.1 pep chromosome:v.1.0:3:2608026:2610957:1 gene:scaffold_300735.1 transcript:scaffold_300735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILCDWYFHKLVYFLGPPRGLIHVPPNAPHMGLSGGCFSLWMTMLLLPLPSAYMCLSSLFFCHSVGWIVLETVGGTYLSGNSPVILGYTMILSFSFAYLGILFTLGHYSFPAIVRWFTFGVHFLTVKLPCLLWVFSVEACKNISVIEDAFVSCFKIDVLPRITGCWLEFCTSRWIGFHFITVKLPCLLWGFSVKACKKLSFIEHAFVLCLKIGVLPWIIGWWLVICTSPLFETTNSQRFEILSHFPGMMILRWCLGFCCLIIADSYRELIQEIIHKRAFWYLLDVTDPDYKITKLNFGYSLFVIAFHGVLLVILTHLPIKAITSISPSFFPLDLWVNHEKPFLGAYSIYFNLLRYGPQWLLKHTIPAMRLIVHNWIITVSAWLQLSDFMLVILRGEDFHRTDQNVRPMMQPRRPYDDNLMFLLYSIAEGSVVTMHEYQNAEDDNQDQRDNRFLPRIGLMLVLAALSLFLMSTAFMALPILAGRVFSDSLSFIMLRLESNMTPVFANLVIVDLFAFWIGCYILRAIYDSTCFAFDHTRRGRTDLLLKYIWIRIRIGLFFSIWISVIPGFLGLLIDLMIIIPSRVPLNESPVYFLIQDWLIGVVLLHIWTFLSQPIQLQAHKIEEQRVDMASFFTASWLANFIGCGLEWIRKAPLTATIQHGSFSRPSVSSVLAAEVLASKVAISAALALGVSRLACYSDCQDLILLLNAGGLANKLEGILADFSELSSKFISISFYFVPRFENTEADSLAKTSLTSCIISSSDRV >scaffold_300736.1 pep chromosome:v.1.0:3:2610974:2611412:1 gene:scaffold_300736.1 transcript:scaffold_300736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPINWLATKAWRLKFERIRNVGINRLPSMWLLGDVIGSIINTLVTTLSIPYLLAKALFPLLGFSQSINLAVERCIWPALLAMITVWFMAKLTRDLIIYLHQLVFNERYLVGERVDNLTEDPEQGIIIHGT >scaffold_300737.1 pep chromosome:v.1.0:3:2611834:2612122:-1 gene:scaffold_300737.1 transcript:scaffold_300737.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L5H6] MKDLVFLINKEKGASSSAMVSNELGRNCPDFVTAFDGDLSGLRHVLLELPCGLIEDSSVTLVGIPDEHSRSFQIQLVGSEQL >scaffold_300738.1 pep chromosome:v.1.0:3:2635245:2636821:1 gene:scaffold_300738.1 transcript:scaffold_300738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVKYIRIIIGIIGTICVSLSFTIDVFIFVPEYIQIYKKKSVEGVKPDRHLLMLIKCSLWVLYGLPVVHKDSILVTTSNGVGFVIEVIYVVVFCISCDDQSRTDVVYVKLYLEFCFVVVSYANTIWAIGSLVAKHTLIGIVCNLFNISIYVSFAKEKMVETKTLKSMPFRLSLLSFINAGLWTAYSLIYKIDIYVLICSGLETLFCAFQLIVHACSYKPHQVGVIG >scaffold_300739.1 pep chromosome:v.1.0:3:2637535:2638306:-1 gene:scaffold_300739.1 transcript:scaffold_300739.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5H8] MSTTLSPDNDCNRSHPKMEDRKPYDEVVTPIGRAIYYVLAVSSLIGLAYSSGALWPSKREDGSLVEIPNSKYLAVVLTSLYAIGLTRTFFFDRPPPSHKIGPHLEWFYPFCVYMTAFVIAFTCHSDFGVVFAISVITCGIAIFQLSHPVEEFNVGKSLCVIMWSVLICLCFTEGKDAIQGFICGFSAVFMGVFMVVVIRDLETAQFCSQCNGRVTSKQ >scaffold_300740.1 pep chromosome:v.1.0:3:2639081:2639730:-1 gene:scaffold_300740.1 transcript:scaffold_300740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSKGKKNRKKKPKIPQRVEVYGVNDPVTRTGWTILAFLCVFGAVSAVNALKIEMYVGYKYLGMVVVSLYVIHVLTSMFSEAPFPVYRAHRWVRMVVPLILFSIIYFTVFNFPTFAVSVLILSIVFGIFVLVQLVFPVDGFHFFYVVVILVFGGFSALYACYYDPKIQPFWFCFFTLYVFELFIYYFNYPGPTHRAFSFY >scaffold_300749.1 pep chromosome:v.1.0:3:2667679:2669641:-1 gene:scaffold_300749.1 transcript:scaffold_300749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKACEMFPKSLIEDVHKWGCMKQTGVSLRYMMEFGSKPTERNLLISAQFLHKELPIRVARRAIELQTLPYGLSEKPAVLKVRDWYLESFRDMRAFPEIKDSGDEKEFTQMIKAVKVRHNNVVPMMALGVNQLKKGMNSGNLDEIHQFLDRFYLSRIGIRMLIGQHVELHNPNPPLHTVGYIHTKMSPMEVARNASEDARSICFREYGSAPEINIYGDPSFTFPYVPTHLHLMMYELVKNSLRAVQERFVDSDRVAPPIRIIVADGIEDVTIKVSDEGGGIARSGLPKIFTYLYSTARNPLEEEVDLGTADVPVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >scaffold_300751.1 pep chromosome:v.1.0:3:2695058:2698279:1 gene:scaffold_300751.1 transcript:scaffold_300751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRSCICVSAMKPCCRFLISFTSSSLFGGKFISSSKLRCTKIDSRSIRSGIHCRRIVLDRDGFCDSDSISWGGGGSRVLRARGSRGRGRGVLAIPRVASDFRNHSTSSLDSHVNDKSFESMFVKPLVFKDVEKTERIPKRESGNGGGQDANFGNVGVRKEPERGLSQTEVEKEAWKLLRGAVVNYCGFPVGTVAANDPVDTQTLNYDQVFIRDFVPSAYAFMLDGEGEIVRNFLLYTLQLQSWEKTVDCHSPGPGLIPASFKVKSGPLEGNDGSFEEFLDPDFGGSAIGRVSPVDSGLWWIILLRAYGKLTGDYTLQERIDVQTGIKLILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCAREMLIVNDGTKNLVAAVNNRLSALSFHIREYYWVDIKKINEIYRYNTEEYSADATNKFNIYPDQIPTWLVDWIPEKGGYFIGNLQPAHMDFRFFTLGNLWAVISSLGNQEQNEGVMTLIEEKWDDLVANMPLKICFPALEKDEWRIITGSDPKNMPWSYHNGGSWPTLLWQFTLACIKMGRLELAKKAVAVAEKRLKEDEWPEYYDTKNGRFVGKQSRLYQTWTIAGFLAAKKLIEQPEKASLLFWEEDYQLLETCVCGLSKSSGRKNKCSRFTPPRS >scaffold_300755.1 pep chromosome:v.1.0:3:2718002:2720491:-1 gene:scaffold_300755.1 transcript:scaffold_300755.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gdp dissociation inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7L5J1] MIDIPPYPPLDPSNYDLIIVGTGVSESVLAAAASSSGSSVLHLDPNPFYGSHFASLSLPDLTSFLHSNSFSSPPPPSSPPLPPSNNHDFISVDLVNRSLYSSVEISSFESEILEEHSRRFNVDLCGPRVVFCADESINLMLKSGANNYVEFKSIDASFVGDSSGELRNVPDSRAAIFKDKSLTLLEKNQLMKFFKLVQSHLASSTENDDTTTVKISEEDMESPFVDFLTKMRLPPKIKSIILYAIAMLDYDQDNMETCRHLLKTKEGIDRMALYIISMGRFSNALGALIYPIYGQGELPQAFCRRAAVKGCIYVLRMPITALLLDKETGGYKGVRLASGQEIFSQKLILDPCVTVGLESLSSLTDQQNETLSVLVPKALINKEKVSRGICVIRGSVKADVSNALIVYPPKSLFPEQLTAIRVMQLGSGLAVCPADMHVLYLSTLCDNDDQGIKSLLSAMSNLIRLPDPENHQSDSVVENDTCEAKPILLWRALYVQELVKGEYGGTISSMPSPDGNLNYNEIVESALKLYEQLMGSEELFKEETSVENTTEENDGGVDIED >scaffold_300756.1 pep chromosome:v.1.0:3:2721492:2721820:1 gene:scaffold_300756.1 transcript:scaffold_300756.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5J2] MAEPPVPPEISVPSEPPVPLTRLERFESWLEQRIVSISHFCRTAHLLTAQILLSFAFAAICGSQTRNQRQNFRAVFITTVAIGLVNLLTLAMCST >scaffold_300757.1 pep chromosome:v.1.0:3:2722065:2722488:-1 gene:scaffold_300757.1 transcript:scaffold_300757.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L5J3] MMRYGLSSLHIFFSSELFSTSSFLLRSLSPESMAEPPVPPETSVPYEPPIPLTRLERFESWLEQRIVSISHFYRTAHLLTAQILLSFASAAICGFQTRNQRQNFRAAAITTAAIGLVYLLTLYGIFV >scaffold_300761.1 pep chromosome:v.1.0:3:2734490:2737163:-1 gene:scaffold_300761.1 transcript:scaffold_300761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPEEVSVPIFSSLEPVYGEGSLLQEATQRFDVLKANFNHVFGASPQLFARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIIAIRKCEDQKQLRIANVNHKYTMCTYPADPDQEIDLKNHKWGHYFICAYKGFHEYAKSKGVNLGSPVGLDVLVDGIVPTGSGLSSSAAFVCSATIAIMAVFGHNFDKKELAQLTCECERHIGTQSGGMDQAISIMAKTGFAELIDFNPVRATDVKLPDGGSFVIAHSLAESQKAVTAAKNYNNRVVECRLASIILGVKLGMEPKEAISKVKTLSDVEGLCVSFAGDRGSSDPLLAVKEYLKEEPYTAEEIEKILEEKLPSILNNDPTSLAVLNAATHFKLHQRAAHVYSEARRVHGFKDTVDSNLSDEEKLKKLGDLMNESHYSCSVLYECSCPELEELVQVCKDNGALGARLTGAGWGGCAVALVKESGVTQFIAAVEEKYYKKRVEKGVVKEEDMELYLFASKPSSGAAIFNL >scaffold_300762.1 pep chromosome:v.1.0:3:2738116:2738336:1 gene:scaffold_300762.1 transcript:scaffold_300762.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L5J8] MESLAEEVDVKEILTLVASSSHKSLFNLSLVCKSLHNLCNDPQVNSRTRLPRRNKNRKR >scaffold_300764.1 pep chromosome:v.1.0:3:2745169:2746114:-1 gene:scaffold_300764.1 transcript:scaffold_300764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIQIPRKNFARSSDLGAKRLKDPEMKNRKITTEKRQSATFSDVSFESTKDSMDFTPISQISGPISDSEAESIIMQGSSPDLLSTPKICLPADDSPVSTITSVEARIDTSSTDRIQSIVDLPASVQSLRAEINELKKLICSAENSAEINWVDGVVTMKFRIVLLSFILWAILAAIVVFFSSGEEVAYRGLLPT >scaffold_300767.1 pep chromosome:v.1.0:3:2755404:2755626:-1 gene:scaffold_300767.1 transcript:scaffold_300767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLKYARSNLLAVKYLEYAFQVETYTLSKANNLLLNVDGAIRYLFSNLLGRSRIRMFT >scaffold_300777.1 pep chromosome:v.1.0:3:2788875:2792652:-1 gene:scaffold_300777.1 transcript:scaffold_300777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSPAICDQNVPAEDDEDEDEDLCRICRSPEEPGNPLRYPCLCRGSIKYVHQDCLRLWLNRRGHKKCEVCGRSYSIVPVYSENAPERLPCNEFLIGVLLRVARYMKLIVPWILLILFNTYCNSLYPLGREFAAEFQSGFWMPPKFASLCAGMLYSLIISCVMGTLTTIRMEVGDLNVRRFPDGVPPENFVGDGLLQGVIGGVVKFLWKYMNILCDWYFHKLVYFLGPPRGLIHVPPNAPLHEFGVIRRLLFFLDDNAFAGLAISVYVPFLFVLLPFSVGWIVLATVGGTYLSGNSPVILGYTMILSFSFAYLGILFSLGHYSFPAIVRWFSFGVNFLTVKLPSLLWVFSVEACKNISVVEDAFVSCFKIDVLPRITGCWLEFCTSRWIGFHFITVKLPCLLWGFSVKACKKLSFIKHAFVLCLKIGVLPWIIGWWLVICTSPLFGTTNSQRFEILSHFPGMMILRWCLGFCCLIIADSYRELIQEIIHKRAFWYLLDVTDPDYKITKLNLGYSLFVIAFHGVLLVILTHLPIKAITSISPSFFPLDLWVNHEKPFLGASSIYFNLLRYGPQWLLKHTIPAMRLIVHNWIITVSAWLQLSDFMLVVPRGEDFHRTDQNVRPMMQLRRPYDDNLMFLLYSIAEGSVVTMHEYQNAEDDNQDQRDNGFLPRIALMLVLAALSLFLMSTAFMALPILAGRVFSGSLSFIMLRFGIKHDDLFAFWIGCYVLRAIYVSTCFAFDHTRRGRTDLLLKYIWIRIRIGLFFSIWISVIPGLLGLLIDLMIIIPSRVPLNESPVYFLIQDWLIGVVLLHIWTFLTMLTPINWLATKAWRLKFERIRNVGINRLPSMWLLGDVIGSIINTLVTTLSIPYLLAKALFPLLGFSQSINLAVERCIWPALLAMITVWFMAKLTRDLIIYLHQLVFNERYLVGERVDNLTEDPEQGIIIHGT >scaffold_300779.1 pep chromosome:v.1.0:3:2797628:2799960:-1 gene:scaffold_300779.1 transcript:scaffold_300779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRGNVLSTSIFLSPMPEFIQAYKKRSVEGVKLAPHLVLLIKCSLWVLYGLPLVHKDNILVTTSNGVGFFIQVIYVVVFWINCDEESRKDLVYICLTFEFCFVAAVYIITIWGFESSVKHTFVGVVCNVYNIGIIYLFFTIDKMPEGTKTFKYMPFWLSLVSFINAGIWTAYSLIYTIDIYVLISSGLETLLCAFQLLVYASSYTLGKIDVIV >scaffold_300788.1 pep chromosome:v.1.0:3:2823635:2823945:1 gene:scaffold_300788.1 transcript:scaffold_300788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRIPTNLSILSVRYQVNFEFFYLIGSIKSNLRCVIYLIFQFSPETATVAPPPPPVPQYISSDSPKIRNFWFPSTIIMTFATSILTRI >scaffold_300793.1 pep chromosome:v.1.0:3:2841647:2842298:1 gene:scaffold_300793.1 transcript:scaffold_300793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLIADTVTVCTAKQGIAFGKSLLQRLDLANHISFADSVVDNQSPGGGIVQASAIIFPVDAIAPPPVRSSSYKTIRRIRKKRRTRRVSFGGDGDDGGDFGRFLLEGDFGGNDGPFGFGGGGNDGGGKGWNYGGGGGGGFGRDNWDGSSFSSWSDPAMEFVYEVICWIALSNCVHFAFKRIVRIVTDGEREKLDITLSPVC >scaffold_300800.1 pep chromosome:v.1.0:3:2857858:2860199:-1 gene:scaffold_300800.1 transcript:scaffold_300800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:D7L604] MIARRIWRSQRFLRPFSSSSVCAPPFRVPEYLSQSSCSPASRPFFVHPPTLMEWCGGSRSWFSNEAMAIDSNSGLIDVPLAQTGEGIAECELLKWFVKEGDPVEEFQPLCEVQSDKATIEITSRFKGKVALISHTPGDIIKVGETLVRLAVEDSQDSLLLTSDSSEIINLGGSKQRTDNLVGALSTPAVRNLAKDLGIDINVITGTGKDGRVLKEDVLRFSDQKGFVTDSVSSEHAVIREDSVSTKASSNFGDKTVPLRGFSRAMVKTMTMATSVPHFHFVEEINCDSLVELKQFFKQNNTDSTIKHTFLPTLIKSLSMALTKYPFVNSCFNAESLEIILKGSHNIGVAMATEHGLVVPNIKNVQSLSLLEITKELSRLQHLATNNKLNPEDVTGGTITLSNIGAIGGKFGSPLLNLPEVAIIALGRIEKVPKFSKEGTVYPASIMMVNIAADHRVLDGATVARFCCQWKEYIEKPELLMLQMR >scaffold_300806.1 pep chromosome:v.1.0:3:2880730:2882978:-1 gene:scaffold_300806.1 transcript:scaffold_300806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMSSYHKRNSGLNPCKNSSFSHSSRAMAPGIYRYPEVKSPLRRQVHAPSRILKSGRDRSTRQGSGNVLGTFLTRNDDMLKRNALDLPLRYRTDREVVDVGDELGDVEMISDDTSREGLGNVAMEVDEVEEKAEMGNGLFSEVVSMKNGSLRVDECSQDNSSSLVVNRPVTDVTSFEAYRKVLQSAENRTSKLKDRGFGDILKERGCALLRSLFSFSFWKQDKEPVEDVQREAFLTLSREEETAVNRAFSANDSNILVAHENSNIEITGKILRCLKPGEWLNDEVINLYLVLLKEREAREPKKFLKCHFFNTFFFTKLFNSGTGYNYSAVRRWTSMKRLGYHLKDCDKIFIPIHMNIHWTLAVINIKDRKFQYLDSFKGREPKILDALARYFVDEVRDKSEVDLDVSRWGQEFVQDLPMQRNGFDCGMFMVKYIDFYSRGLDLCFTQEQMPYFRDRTAKEILQLKAE >scaffold_300815.1 pep chromosome:v.1.0:3:2918312:2920662:-1 gene:scaffold_300815.1 transcript:scaffold_300815.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE320 [Source:UniProtKB/TrEMBL;Acc:D7L613] MNRLRWVEEGDIWDLDMSTPATLEGIARAVPDDPLPLGLSRGTRLSRPKQVEFFHRFMTSPLIPSFSPIRPNTGDGGCGGFSLQRVLTLPFSNNWLVSLLGQFDVQRFVSEIEKTKTFGRGSSSTVASRLNTIGKHLKDKSLYALGFCSEFLLSPDDTLLLSYDTYKGDLEKNPRAKAIFNHEFPLHNLTAEAAWPGLFVDKHGEYWDVPLSMAIDLASLPAESGPSYHVCLHHNSGAPKKLNSDTMEVPPPSLLPGLSLKSAVSYRTNMDLWRGTTPKLETCKPYDVFLSSPHVAVSGIIGSVMTAAFGENSIRSKSENDSEGVGGFSLHFPSVNSGFMADALGRASLTAQYGNFQKPFFDLTRFHARLDYPHGLRFLTGATSVAHDLLNSRQPSLEAFQKICPEVLVSLQQQIVGPFSFKVESGIQIDLKNGANPVTVDKTVFAIEYALQVLLSAKAVAWYSPKQKEFMVELSFFET >scaffold_300821.1 pep chromosome:v.1.0:3:2938850:2939408:1 gene:scaffold_300821.1 transcript:scaffold_300821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVQGRRLLASLGRRFRPRQPKPTEQPERPRIRLRPGDPYGRPRPRPFVMEMIKGMKLLTAGEKLKFGYIFSELLGLPKPLEIKSKDKKFAVKLVKYDPDKRSKVLKEVAWIKSTVVAIKHLAELGLQPSLQCVQDVPYSLIKDDVTKEEANEIIAKIQAAGGVAVMEPME >scaffold_300823.1 pep chromosome:v.1.0:3:2945196:2945567:1 gene:scaffold_300823.1 transcript:scaffold_300823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKIGFMSLLVITSIIFLFLIPDKVEAQTECIAPCTLVGDCTAACIRKGYTVGQCVGWKDDDPFICCCN >scaffold_300825.1 pep chromosome:v.1.0:3:2953598:2957001:-1 gene:scaffold_300825.1 transcript:scaffold_300825.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:sterol glucosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L623] MPEISPAELARVSSSSSSSSSSGRASVKIEEIEGGAAAGGVVIGSEELETNPKTVVASIADETVAESSGSGNKSFSRVWTMPLEGSSSSDRAESSSTNQPRLDKSKTERQQKVTHILAEDAAKIFDDKISAGKKLKLLNRIATVKHDGTVEFDVPADVIPQPIVVDREESKNGLCADESIDGVDLQYIPPMQIVMLIVGTRGDVQPFVAIAKRLQDYGHRVRLATHANFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPIQRNQMKDIIYSLLPACKEPDPDSGISFKADAIIANPPAYGHTHVAEALKIPIHVFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSLIWLGIRDMVNDLRKKKLKLRPVTYLSGTQGSGSNIPHGYMWSPHLVPKPKDWGPQIDVVGFCFLDLASNYEPPAELVEWLEAGDKPIYIGFGSLPVQEPEKMTEIIVEALQRTKQRGIINKGWGGLGNLKEPKDFVYLLDNVPHDWLFPRCKAVVHHGGAGTTAAGLKASCPTTIVPFFGDQPFWGERVHARGVGPAPIPVDEFSLHKLEDAINFMLDDKVKSSAETLAKAMKDEDGVAGAVKAFFKHLPSAKQNLPDQIPEPSGFLSFRKCFGCS >scaffold_300829.1 pep chromosome:v.1.0:3:2968150:2972390:1 gene:scaffold_300829.1 transcript:scaffold_300829.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1974 [Source:UniProtKB/TrEMBL;Acc:D7L627] MSNRSLHLKKISWLSSRILSDNVHGRFRRPITTPATCLAPSLDGDMNIGSKTLVEHCFSRGFASVRRVSRASSSSSPSSPHVDLLSYIKASLDKLEGPSHHWLNRDIGNKQLFKDKGTYVVLAGHLLNETSDLSGFFEKLKLLQQRSPGVCFMCIHFSDQAQIADDRTALAELIVKEYLTFPVLLSEKEFPKTTGEVRYIVFKDFKNPLIYEEKDLDIASVAKALDSLTQYTEKSKSVRIFTNTWSKQAEAIKESHFPSFFQDLLLYFPGCITADEVGERLFLSDTNHHRIIMFEKNGKILDSIGCFPGFEDGEFESAKMLRPTGTLYDEEEDCLYIVDSENHAIRRANINSRVLETVYPKVIKKSGGLWSWIMEKMGLGKDDDTTVDADAKSEEFDARSLLFPWHILKRNDESLLVINKSFSKLWIINIATREIEEVVEGFSKIMEICGQSITEKLSVLKHMPSNWLQQQTEAIISCKEQPSASLLSSFTKLGDDIVMTDIDCQRVLKLNIDSRACSSIQFSNIGILGLPYWLFIPLERVFNLANGVQEAHLSHTQELRLLPGKISLRLNIEIPPCTELVEPIQESCIWRQTRGAISEVSSAGSAVEPSEKVGVSQQWYDELDSLAKEIANPEAVEEEEEEDVNPSEVEREEDGRIHIDCTVKTSPGSSELIVYAALYLRLPRNEETESASQEELARRIAEILKPVRNNTTMKEDLFVKLLSKSKRELRDIVFMKPMHVRIRLDSMDHPKADNSRDVILTDSSVEVDISL >scaffold_300831.1 pep chromosome:v.1.0:3:2976285:2976569:-1 gene:scaffold_300831.1 transcript:scaffold_300831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILESLPGVDIARVSCVDSEFRNLASDNHLWKQKCLGEFANSVIEQTEFLFDFVGWKPKFVECWRLNNRNARIRQRVFW >scaffold_300835.1 pep chromosome:v.1.0:3:2989467:2990120:-1 gene:scaffold_300835.1 transcript:scaffold_300835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGKVLNLSELSQHSSRHDCWLLIEGKVYDVTEFLKDHPGGDDVLLSATGKDATHEFEEVGHSSSAKVMLSEFYVGDIDSTKASDDIATTATPNQTEQNQDNRSFDLWLIKLFQFLVPLLIFVLALGVRFYIKTPSFP >scaffold_300839.1 pep chromosome:v.1.0:3:2999303:2999945:1 gene:scaffold_300839.1 transcript:scaffold_300839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMCDPYTPAGVPIPTNKRHNAAKIFRHQSLPPRSLDSYKLDYCYKKNRITGLILSKEIKPLISTVFEGKDANVIAHGARNCGKTYLLHVLKAIPLRY >scaffold_300844.1 pep chromosome:v.1.0:3:3024637:3026327:1 gene:scaffold_300844.1 transcript:scaffold_300844.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L6H4] MYADKLEAESGSRKVVKDRINGGSGDISSRVRQVTGKRQRQDDKWEHDLFSSDKPQLSNRRVDPRDLRLKLQKRHHVSQSGREAGSGVRDLREKLSGTMNLQPKNSDAPKPKVEAARPSMKSVATETETRKTSTQATRKKSQQADSSVDSFLESLGLEKYSTAFQVEEVDMDALMHMTDDDLKAMLIPMGPRKKILLALGSKP >scaffold_300845.1 pep chromosome:v.1.0:3:3026630:3029813:-1 gene:scaffold_300845.1 transcript:scaffold_300845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSDRLNPGITPEFDPKTMRSTKPGLKRLFITTSVLFSFLLGVPFLWKSVEIYRSPLPFHDIDSLSDQIESTPLRFPCNFHAVFVGFPSTDPDNLRSQIQDGINQLTHQNSQCGSCNFSLSVTVQNRDDQCSETLAHSSTTCSYRCGVIKRNVFGVGVDDTVDESLNDVFSGCSEESGKVYSVVVVNKENANGGDEVKAVVGKRRHAWIVGNGLEERYGDMVARVSEIFVKVFMTGGRDEDSIQGEFMPVGSDGRIVLSFSLLNSNPRDWVYDWDFQRIDEALLAPVTKALAPIANISVESQVLYHTPKSSFSSWDKKLQSYIFRTSDLPFFVNSNEWHLDTSAGASGRSKILQFVVYIPSGKECPLLLQLPNGEISKTNGFISPMWGGVIVWNPGNCDKDSESPSRNTISPQDLEQIVEIFLGQFRQLFGFKSEAIYTSGLGTYKILPSERGFTEWELDVLSRKHTCFNLHSCSTTLGSLSRLVRSLPRMIIKDEIGEQVKYSLKAAKLAQSNASLGGYSSSASSSREARSLAENAFFHPSIMSVSYFSYEHCFAVYSPFFLPVAGHVVLAALREWRRYKQEKAKYLTWLTRKKTA >scaffold_300847.1 pep chromosome:v.1.0:3:3033853:3035247:1 gene:scaffold_300847.1 transcript:scaffold_300847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRPHVPKFGDWNNQDQPFTVVFDNARTNKRQDLYESIEKPETKPQEQAPPPPQPASRNQRPEPPKPVKEDTPRAPPPAEKNRVRAPPADQLYGGGGSGGAGRSGSGGGGGLYGGYGGGSVGNQRQPPAPRPAQPRQNLRGGNNGRGGTTIPPFPGSLGAGENMSYTHIFDKVKEERNEGVRPYGGTAGNTPSRPINSQHDPSPKQTSSSMFCCFSWCRKGSKY >scaffold_300848.1 pep chromosome:v.1.0:3:3036257:3037165:1 gene:scaffold_300848.1 transcript:scaffold_300848.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7L6H8] MTSRVVRTNMRLRRRDGVNVSQNEHQEGTEQAPTVPQIVSTPPRVNIVAIEDDDDVVESTASAFAQAKNKSRSARRGPVVVDVESGGTNRGTRRRSDQTSADSVELNKPRKSKAVAPPVEEPKFNCPICLCPFTEEVSTKCGHIFCKACIKNALSLQAKCPTCRKKITAKDLIRVFLPTAR >scaffold_300849.1 pep chromosome:v.1.0:3:3038040:3040197:-1 gene:scaffold_300849.1 transcript:scaffold_300849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVILDSWCFCKGVGKSEKMKASIFSGKAPAMARISVSGPNGVTSGTGFLIHRNLLLTTHLNLPSISATETAEVRLQNGVAAALFPHRFFITSSVIDLTIVGLDLVDGDSNSQSQTQQPHYLKTCSKPNLDLGSVVYLLGYANQNELKIGEGKLVVATDNLIKLSTDEMIWSPGSAGFDVQGNLAFMICDPRKLSTSPTSTSTSSSSSLKKDNNKPLMMQFGIPIPVICDWLNQHWEGSLDEHSSKPKLPLIRLMSSGQKSERSCTSFTMRRVFKPNDSADVGTPSSSNTRDQTHPSSSIAAEEEKEEETSKTNPQGGGTTTHAQGIPTPEIYESPKLTSGPLRNETGQVHLLDINFPPRIPKATTFLPELNSLQGNHVVEEAEIVSEGSDAQIASTGSVNGDLSEVISSSPPAAAHYVYNNHGYSSEEETMYSAETAESRNYPTPPRKSEFHHERVGRSQSCVSSSRWGTPQKSSNGRREMLEKQRSFVHGKKMHSQGAMSQRSNDYYSPTVSSIMKKRNNSSEQQIFKPVPRPRAISSSPRWAF >scaffold_300850.1 pep chromosome:v.1.0:3:3042163:3042719:-1 gene:scaffold_300850.1 transcript:scaffold_300850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVVKILSLLVAVSTFWLLFCRLQLLLGAIHCWRLPIYFVVSLGCYSLLMVGIGLIQLPTCPKEAVFLQHLIKAKLSYCLVLVLLAVLSVDYVLVCLGAIVILQDMAEAEDFFCAKGKGLAIGFIFVL >scaffold_300853.1 pep chromosome:v.1.0:3:3046947:3051618:-1 gene:scaffold_300853.1 transcript:scaffold_300853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHSYSAKQVGDEFARQYYQTLQNSPENLYTFYKDNSTISRPGLDGTIRVFTLSDVDENDLKMQSSDGFDSVVITSVTSQDSHEQGFLVAVYGCFTFNERPAKHFTQSVFLAPQEDGYFVLTDIFKFVDIPEVNAAIPPANDVTEEKVPETEEAALRVSEPSQGFENVPKLSHASVVSGHSNHQHSSSCGYSPEIKPRNGNSQESRAVSEACIYLHWLPTKTTVALVENAFKQFGKIRRGGVELRSKKRYKGKYAYVEFEEAEAANRAIMASPLSIFGYRITVQKNRSYLKGYCESPSAGPGNIHRGEGVRGSLGNPFYNWQKMMEEVRETDVWQKLMMGSRGTEVYDLHNWQKIMDQSEEQMKFQEEQGRQYNHNRYTSEEVRGTEEFGLEEEEEEENQNWETLTEEQGKIQEEEGRQYNQNRYTREQMKETGGLGDGFAENYYKTLQNSPKLLPRYYKDVSKITRPGLDGTMRSSTLQDMIEDLDMLSSSDFDTVEVTSFISQESHSGGILVVADGYFTSQERPARNFTQNFFLAPQEKGYFVLTDMFKFVDIISEANDAITEGAAICVKKLPPDATITLVEDAFKQFGEIRRGGVEVRHKRSFSYGFVEFKEESAAQAAIEASPVMFDWRSVYVEKKRPDYIDEESLRVYEPEDDDTGNENNQESQALYESCAVHVRNLPPNATTDWVENAFEQFGPIKRGGVQVFNPGLDDWFGFVWFVHADAAESAVKASPLWVGQRKLKVQKKLRRVQEQN >scaffold_300859.1 pep chromosome:v.1.0:3:3074778:3074968:1 gene:scaffold_300859.1 transcript:scaffold_300859.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L6I8] MSSSKHLFIGSRQQVGLFPIESTDTTLIPIFNPTDSFDRNYQGHDPIKP >scaffold_300862.1 pep chromosome:v.1.0:3:3082614:3084357:-1 gene:scaffold_300862.1 transcript:scaffold_300862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSCLSNQTALQFLPSRSRRQSGDGGGGGFVIPAKRKIQYSSMVVVAAAGPSRCEPGSSLNAPLEPRSAQGRFLRSVLLNKRQLFHYAAADELKQLADDREAALARMSLSSGSDEASLHRRIAQLKERYCKTAVQDIMYMLIFYKYSEIRVPLVPKLSRCIYNGRLEIWPSKDWELESIHSCDTLEIIKEHVSAVIGLRVNSCVTDNWATTQIQKLHLRKVYAASILYGYFLKSASLRHQLECSLSDLHGSGYLKSPILGCSFTTSTAQISSKQQLRHYISGFDPETLQRCAKPRTEEARNLIEKQSLALFGTEESDETIVTSFSSLKRLVLEAVAFGTFLWDTELYVDGAYKLKENNGNAEEQEGKRSI >scaffold_300868.1 pep chromosome:v.1.0:3:3114425:3116777:1 gene:scaffold_300868.1 transcript:scaffold_300868.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7L6J6] MAKTGVFDSDPTAIAKAKELKREMKKLLIKIEDEDDFGVQTIDQLQEALSALREATMRKMAKSSSLEMLETVSCPEEFRCPLSNELMRDPVVLASGQQQEARLRNPCVIPLLIDALRRGTVATRSNAAAAIFTLSALDSNKVLIGKSGILKPLIDLLEEGNPLAIKDVAAAIFTLCIAHENRSRAVRDGAVRVLGKKISNGLYVDELLAILAMLVTHWKAVEELGELGGVSWLLKITRESECKRNKENAIVILHTICFSDRTKWKEIKEEENAHGTITKLSREGTSRAQRKANGILDRLRKAMNLTHTA >scaffold_300870.1 pep chromosome:v.1.0:3:3121148:3121814:-1 gene:scaffold_300870.1 transcript:scaffold_300870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEFIRPRSDRRITVKRVQRMCIGYGSDKKIRYYLPNGFKLLMMHNRTYCGEIAHNVSTKKRKEIVERASQLGVVITNRLARLRSHEDDEQRD >scaffold_300872.1 pep chromosome:v.1.0:3:3125944:3126145:1 gene:scaffold_300872.1 transcript:scaffold_300872.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6K0] MEFGSRRLESKGLLIDGDDRVVVLTIASMCGASSCPRPLASLCLEHLWLLLS >scaffold_300874.1 pep chromosome:v.1.0:3:3132571:3133767:-1 gene:scaffold_300874.1 transcript:scaffold_300874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEDDRGEEYLFKIVLIGDSAVGKSNLLSRFSRDEFDTNSKATIGVEFQTQLVEIEGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALIVYDITRSDTFESVKRWLQELNTHCDTAVAQMLVGNKCDLEDIRAVSVEEGKALAEEEGLFFMETSALDATNVDKAFEIVIREIFNNVSRKLLNSDAYKAELSVNRVSLVNNQDGSESSWRNPSCCSR >scaffold_300876.1 pep chromosome:v.1.0:3:3138586:3138922:1 gene:scaffold_300876.1 transcript:scaffold_300876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRNTVHAISSLPSRYLWPQQRRKGKRVVVRLGNRRRGFFVGPPRMVVQRWRMNIGKPMKMMRSIILGILSNGGNVKFLDAYLWSLPILRPQLFPLC >scaffold_300878.1 pep chromosome:v.1.0:3:3141011:3142614:1 gene:scaffold_300878.1 transcript:scaffold_300878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVVRRVGSILPSIRHGAVSQIRLARTEAGQPRRRNKLPSLPLKKKEEKSEWWIVDGEMHEIGDHVPPRERFTIPRDNIPNKRRKQLREQFMRRTRLVLKESEHEPWCKKYMELYNELRENWERLYWDEGYSKKIASDHANYESAEEDDEDFNPYRNRRSYSDQTKEQGFNRTTQGDNWEKVNQIRDKFEYDRERRMRDKAFAPMNAAPASQESRDLNWNAQRRPFDAERFTRD >scaffold_300879.1 pep chromosome:v.1.0:3:3143405:3143597:1 gene:scaffold_300879.1 transcript:scaffold_300879.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6K7] MSDRRYRHHEGDDPCRATLQQLHLRTYIRTIDRRKASMFRRLICMMHPLL >scaffold_300880.1 pep chromosome:v.1.0:3:3149673:3150037:-1 gene:scaffold_300880.1 transcript:scaffold_300880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7L6K8] MILMKKIQVFTVMLLIVMVQSGLVRETLGHPCGRTFLSALIQLVPCRPSVAPFSTLPPNKLCCAAIKILGQPCLCVLAKGPPIVGVDRTLALHLPGKCSANFPPCN >scaffold_300885.1 pep chromosome:v.1.0:3:3158327:3158828:1 gene:scaffold_300885.1 transcript:scaffold_300885.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 11 [Source:UniProtKB/TrEMBL;Acc:D7L6L2] MDQAELARIFQMFDRNGDGKITKQELNDSLENLGIYIPDKDLVQMIEKIDLNGDGYVDIEEFGGLYQTIMEERDEEEDMREAFNVFDQNRDGFITVEELRSVLASLGLKQGRTLEDCKRMISKVDVDGDGMVNFKEFKQMMKGGGFAALGSNL >scaffold_300886.1 pep chromosome:v.1.0:3:3160101:3160975:1 gene:scaffold_300886.1 transcript:scaffold_300886.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7L6L3] MFMCCVATVEGNSLPEEDVEMMENSDGMKTVESFPMVEPFIGMKFESEEAAKSFYDNYAICMGFVMRVDAFRRSMRDGTVVWRRLVCNKEGFRRSRPRRSESRKPRAITREGCKALIVVKREKSGKWVVTKLEKEHNHPLLPLSPNVRRNPPLPQTPDEKDAKIRELSAELSRERRRCTALQQQLDMVLKEMEEHSNHLSVNINNVIQSVRQIESNTFTKPLS >scaffold_300889.1 pep chromosome:v.1.0:3:3167457:3168609:-1 gene:scaffold_300889.1 transcript:scaffold_300889.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagocytosis-associated family protein [Source:UniProtKB/TrEMBL;Acc:D7L6L6] MDSAREVSDGRLTVEGFSVAARTFADRWKIHNQAFPPWSWIPLINRTLLVSNKQEEGYLSLEKIIILSSLDEIPEDESLNVATDCSEKEESIDHTILVPTLENEPHYYDFHIVYSASYKVPVLYFRGYCSGGQPLALDVIKKDLPSCSVSLLLESKWTFITQEEHPYLNRPWFKLHPCGTEEWIKLLSQSSSSSGCHMPVELYLVSWFSVVGQVVGLRTPLQMLN >scaffold_300890.1 pep chromosome:v.1.0:3:3170388:3173949:1 gene:scaffold_300890.1 transcript:scaffold_300890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSKGDGFHYPPCHMLNLCGFRILIDCPLDLSAIKIFSPVISCVASEASKYPSDESLDAQNPIQKKHKLERQLTFADLVCEEPWYKTVKPLHLWDASFIDIVLISNPMGLLGLPFLTQNPGFSAKIYMTEATAKIGQLMMEDLVSMHAEFRCFHGPDNSSFPGWIKNLDREQVPALLKKVVFGESGDDLGSWMRLYSLDDIESCMKKVQAVKFAEEVCYNGTLIIKALSSGLDIGACNWLINGPNGSLSYVSDSIFVSHHARNFDFHGLKGTDVLIYSDFSSLQSAEVTENGCISPDPDNNYISTTSDNKDSLLNTEDSLEEMEKLEFICSCAAESADAGGSTLITITRIGIVLQLLELMSNSLESSSLKVPIFVISSVAEELLAYTNTIPEWLCEQQQEKLISGEPSFGHLKFIKDKKIHLFPAIHSPNLIYANRTSWQEPCIIFAPHWSLRLGPSVQLLQRWRGDPKSLLVLEDGISSGLGLLPFRPIAMKILQCSFLSGIRLQKLPTLLSVLQPKIVLVPDAVNQRINFAAMKTISILNYFENKTLRVPRIADNPSVEITTDLASKLSWRKLRQRENFGIARLKGGLLMEDGKHRLVSGLEQEESSGKARPLRHWGSVAPESLLDALLKIGIKGSLEQSIGETGSDDNSIIHIANPSSGLIEVSEMGTAIITDDENVASQVFQAIDGILDGI >scaffold_300891.1 pep chromosome:v.1.0:3:3174691:3175022:-1 gene:scaffold_300891.1 transcript:scaffold_300891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEEAGAEIAVDSKDLQQHSKAFDKLTDRVEDRQLDSSRVQSAMATIAASRKVDLNAKRLS >scaffold_300893.1 pep chromosome:v.1.0:3:3182797:3184021:1 gene:scaffold_300893.1 transcript:scaffold_300893.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L6M0] MEDVSESDNVETSIIHLPDDCLSFIFQRLDNVADHDSFGLTCHRWLNIQNISRRSLQFQCSFTVLNPASLSQTNPDVNSYHLHRLLTRFQWLEHLSLSGCTVLNDSSLASLRYPGARLHSLYLDCCFGISDDGISTIASFCPNLRVVSLYRCNISDIGLETLARASLSLKCVNLSYCPLVSDLGIKALSQACLQLESVKVSNCKSITGVGFNGCSPTLGYVDAESCQLEPKGIMGIISGGGIEFLNISGVSCYIRKDGLVPIGSGIASKLRMLNLRMCRTVGDASIEAIAKGCPLLQEWNLALCHEVKVSGWKAVGKWCRNLKKLHVNRCRNLCDQGLLALRCGCMNLQILYMNGNARLTPTAIEMFRLHRADITLRTEEMMVIGPDWRLYAQE >scaffold_300907.1 pep chromosome:v.1.0:3:3221111:3226329:-1 gene:scaffold_300907.1 transcript:scaffold_300907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGARVSIPASTRKTIQNIKETTAGNYSEDEILAMLHECNMDPDETAQRLLLQDPFHEVKKKRDKRKENINNKDSAESPWRSGGGGRGSRGGRMNFSSRHASNDVAGAKNSFRKENGPKQVTDPSTSTSQGIKTKDMALVSSHSAVMDKSTVGLTSGSSDVSHSSNLPASAGQLKATGSSVLSNNIDGAPSSVEFSKNRLAVGSRDVHTEQKSVDSLPLPRPSSSEVRFTSSNPKSEQTAHEQHIGESKSHARSRGVGKTAVNDYVLRPASSHSNSTGSRPSSNYSNRSHQTVGPQRGAGSIKEWKPKPVNHNTTQGSGASSTGETLAVPTESSEKSVEDVIPSAEATSRLQRQLEDLQIQRQHVIIPNHILVPEAERTKLSFGSFDAGFSITSSSVASPQSEKRSAPLSHNSQEVEESFEEEEFRHPTVHSTEKEEDNNVYSESPSQVPDNMAGEGISATNAASEYDVTKQENMLESESNQNSFDHVPSSIIGLVPPAPGSQHPQFETADPQARDALRIPNFVVQPPFDTASYYAQFYRPGPDSDGRVSPFVSPGVASKFNGNVTVMPPHSSQTMQEGGNNLVLSTASPPPLVTQAAGLMQSSIPVTQQPVPVFRPPGLHMSHYPPNYVPYGYFSPFYLPPPTMHQYLSNGAFAQQPQASGVYPPPPGAATGGKYTLPHYKPGTNTGNMTHVGMPGGYGPTYGSFPAGYNPTSAASAGNSTSNEDLNSLQLKENNGYSTTGQQSEALPVWITGPGRDVSSSFYGLQHHGQHVTYAPAQAGHVAYPGIYHQGQAVTATGVHHPLLQQSQGVAGAEMVAPAPNVFQQPQQTQMNWPSNY >scaffold_300908.1 pep chromosome:v.1.0:3:3227270:3230545:1 gene:scaffold_300908.1 transcript:scaffold_300908.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L6N3] MAKACVLQSTLLPAYSPLHKLRNQNFTLSFPPLPVSRCRPGIHCSVSAGETTTRSVEEAPEISWGCEIDSLENATSLQNWLSDSGLPPQKMAIDRVDIGERGLVASQNLRKGEKLLFVPPSLVISADSEWTNPEAGEVMKRYDVPDWPLLATYLISEASLQKSSRWYNYISALPRQPYSLLYWTRTELDMYLEASQIRERAIERITNVVGTYEDLRSRIFSKHPHLFPKEVFNDETFKWSFGILFSRLVRLPSMDGRFALVPWADMLNHNCEVETFLDYDKSSKGVVFTTDRPYQPGEQVFISYGNKSNGELLLSYGFVPREGTNPSDSVELALSLRKNDKCYKEKLDALKKHGLSTPQCFPVRITGWPMELMAYAYLVVSPPDMGNNFEEMAKAASNKTSTKTDLKYPEIEEDALQFILDSCETSISKYSRFLKESGSMDLDITSPKQLNRKAFLKQLAVDLSTSERRILYRAQYILRRRLRDIRSGELKALRLFSGLRNFFK >scaffold_300912.1 pep chromosome:v.1.0:3:3240617:3241538:-1 gene:scaffold_300912.1 transcript:scaffold_300912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKKRLSSKDDFSDTYTCDLEFRITNSNGFLPPDSPEKLDSSHAAFDAAEQTPSMLMKSRKKRKVRAFVEQEVVTNVEPENDDGSAKGDSFDKLPSENVDKTIEIGTGGKESEVVEAVTNGEPENHDGSAKKGDSCDDKLPSDNVDKHMENEANGKESEEDGAADELCALQDALERTLFQHLGKYAQKQMLEKLRSLRAKDRKELSGEWKSLLAQEALLKSKKHSFSAKLANATFHN >scaffold_300913.1 pep chromosome:v.1.0:3:3242314:3243927:1 gene:scaffold_300913.1 transcript:scaffold_300913.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L708] MAATPMQGKWVQLKQKGTGPGARSSHAIALVGNKMYAFGGEFQPRDPVDNNLYVFDLETETWSIQEASGDAPPPRVGVAMAAVGPIIYFFGGRDSTHQELNELYCFNTSTNQWKLLSLGETGPQNRSYHSITADSQNVYVFGGCGVEGRLNDLWAYNVVDQKWIKFPSPGEACRGRGGPGLEVVQGKIWVVYGFAGEEADDVHVFDIATGEWKEAETKGEKPSARSVFSTAVVGKQILISGGEIDPSDLGHMGAGCFTGDAYGLDTETLEWRKWEDGVGSVEHPGPRGWCAFAAGSRDGKQGLLVYGGNSPSNDRLDDIFFFTPNTTQVIGN >scaffold_300917.1 pep chromosome:v.1.0:3:3253630:3254881:1 gene:scaffold_300917.1 transcript:scaffold_300917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGELYCDFCAEERMTREHPPEPLDFFIWTVEDVGSWLEEINLGGYRQIFKENGVNGEYLESMSVFTTEQILHFIRRHHMKWGDFITLCKELRRIKVACLKGEQRVRRPWWAPSCLSVVFVKAAKRNRQSRVVSLKLES >scaffold_300918.1 pep chromosome:v.1.0:3:3260360:3264779:1 gene:scaffold_300918.1 transcript:scaffold_300918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLSKRSVSTFLRSGNRSFRVAAAASTSRSSPSATDVKRSNTESRWYSSLINGKSTKSGSLAQLNMKTNWFMGYRNESSAAASDSSSQAPPPAEKFEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRYLSVTNPELSKDAPNLDIRIYADKENGIITLTDSGIGMTRQELVDCLGTIAQSGTAKFMKALKDSKDAGGDNNLIGQFGVGFYSAFLVADRVIVSTKSPKSEKQYVWEGEANSSSFTIQEDTDPQSLIPRGTRITLHLKQEAKNFADPERIQKLVKNYSQFVSFPIYTWQEKGYTKEVEVEDDPTETKKDDQDDQTEKKKKTKKVVERYWDWELTNETQPIWLRNPKEVATEEYNEFYRKAFNEYLDPLASSHFTTEGEVEFRSILYVPPVSPTGKDDIVNQKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSHDLPLNVSREILQESRIVRIMKKRLVRKAFDMILGISLSENREDYETFWENFGKHLKLGCIEDRENHKRIAPLLRFFSSQSENDMISLDEYVENMKPEQKAIYFIASDSITSAKNAPFLEKMLEKGLEVLYLVEPIDEVAVQSLKAYKEKDFVDISKEDLDLGDKNEEKEAAVKKEFGQTCDWIKKRLGDKVASVQISNRLSSSPCVLVSGKFGWSANMERLMKAQSAGDTTSLEFMKGRRVFEINPDHSIIKNINAAYNSNPNDEDAMRAIDLMYDAALVSSGFTPENPAELGGKIYEMMGIALSAKWSSPEVQPQQQQMAHSHDAETFEAEVVEPVEVDGKK >scaffold_300929.1 pep chromosome:v.1.0:3:3294496:3295899:1 gene:scaffold_300929.1 transcript:scaffold_300929.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GDP-dissociation inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7L724] MSLVSGARDMGFDDNNNNNNKDGDDENSSSRTRTRTDDDALARQMSESSLCATEEEEDDDSKLQLGPQYTIKEHLEKDKDDESLRKWKEQLLGSVDVTNIGETLDPEVRILSLAILSPGRPDIVLLVPENGNPKGMWFTLKEGSKYNLKFTFQVNNNIVSGLRYTNTVWKTGVKVDRAKEMLGTFSPQLEPYNHVMPEETTPSGMFARGSYSARTKFLDDDNKCYLEINYSFDIRKEWPAV >scaffold_300930.1 pep chromosome:v.1.0:3:3296912:3299136:-1 gene:scaffold_300930.1 transcript:scaffold_300930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RabGAP/TBC domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L725] MFGIQSRRDLTMELQSQIPILRPSIHARRANIVVKFQDLYGFTVEGNVDDVNVLNEVREKVRNQGRVWWALEASKGANWYLQPEILLIGDGIALKTSLKISTLTNAITLKRLIRKGIPPVLRPKVWFSLSGAAKKKSTVPDSYYSDLTKAVDGKVTPATRQIDHDLPRTFPGHPWLDTPEGHAALRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVRDCYTTNLSGCHVEQRVFKDLLAQKCPRIATHLEDMGFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFYEGAKVLFHAALAIFKMKENELLMTHQVGDVINILQKTSHQLFDPDELLTVAFEKIGSMTTNTISKQRKKQEPAVMAELDQRLRRLNSLKENGKST >scaffold_300932.1 pep chromosome:v.1.0:3:3303861:3304674:-1 gene:scaffold_300932.1 transcript:scaffold_300932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSCLAKITAGVAVGGALGGAVGAVYGTYEAIRYRVPGLMKVRYIGQTTLGSAAVFGLFLGAGSLIHCGKGY >scaffold_300933.1 pep chromosome:v.1.0:3:3304693:3304988:1 gene:scaffold_300933.1 transcript:scaffold_300933.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L728] MVFTVALAAFKVFNLEVFACTMDWFCLSQQGQATTPTCSLTGLTDSNQIFWKTSLLYFQLHPIYYRLQRADLNPPQPAFFFLIH >scaffold_300935.1 pep chromosome:v.1.0:3:3308309:3310031:1 gene:scaffold_300935.1 transcript:scaffold_300935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRRRPDSDIIQVSDENRSLGLFKEDEDEKIRDLGLVDETETRHASTNLLLQCHDGSCCLHKDKSNSFVSCRRNLDDLFSGFVYKGVRRRKMDDFGSKTTSNLLSPQIADDDDSVAESHIERQDCSEFHVEVRRVSPYFQGSTVSQQSKEECDSDSVCSQSGRNCSKVQAKVPIVSPYFQSSTISQCGSDIVSSSQSGKNYRRGSSKRQAKVRRDSPYFQESTVSEQPSQAPPRDLRQYFKVVKVSRYFHADGIQVNESQKEKSTRVRKTPVVSPSLSLSQKTDEAYQRKTPDKTWVPPRSPCNLLQEHHWHDPWRVLVICMLLNKTSGAQTRGVIEDLFALCPDAKTATEVEEREIESLIKPLGLQKKRARMIQRFSLEYLQESWTHVTQLHGIGKYAADAYAIFCNGNWDRVKPDDHMLNYYWEFLRIRYKL >scaffold_300937.1 pep chromosome:v.1.0:3:3313368:3313894:1 gene:scaffold_300937.1 transcript:scaffold_300937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMFMPIIPTILVNGSQGIGAGWNNFIPNYNPMVPMDPWYRGFRGTIEKTASNEHGAIRMWTNDYKKILVFNEDRSWPPLYPSRNACFYQKL >scaffold_300938.1 pep chromosome:v.1.0:3:3313961:3314704:1 gene:scaffold_300938.1 transcript:scaffold_300938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARQEGFLKKFNLTTTSATSNMHLFDKEGVIKKYATPEQILEEFFDLRLEYYEKRKEIMLKNLELELLKLESKVKFIRGFLSGEIRIFNRKKADIAQDLRQRGFTPVPKKATVSGTSDAAEESEDESESDYDYLGKLTMEMFSVEKTEELCAEIDKTKEQLEYLKKAIQDPSGSET >scaffold_300939.1 pep chromosome:v.1.0:3:3315470:3317825:1 gene:scaffold_300939.1 transcript:scaffold_300939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGTSMFTNFTKLCKGLALVLVVGHLVVQFIPATVPYLALIPARTIPFAWNLITSGYFELSVYGVVFSTVSLLFMGKFLEPVWGSKEFLKFIFVVNFLAYLCVFVTAIALYYITRLEIYLYMPFAGFHGVLAGLLVGIKQIIPDQEILLLKIKAKWLPSIMLILSIASSFFTLNSAAYLPTLIFGTYMGWLYLRYLQRRPETKLRGDPSDDFAFSTFFPEFLRPVIDPIALIFHRMLCGRSNATSEDHGYSTSGAPLPGSDSAEASRRRERGARALEERLGTERLVPARNKDELQSDALDSV >scaffold_300940.1 pep chromosome:v.1.0:3:3318789:3321640:1 gene:scaffold_300940.1 transcript:scaffold_300940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L735] MSVAHADDADDYSRPTGESYHAEKALPSGDFYTGQWRDNLPHGHGKYLWTDGCMYVGDWHRGKTMGKGRFSWPSGATYEGDFKNGYMDGKGTYIDSSGDLYRGSWVMNLRHGQGTKSYVNGDCYDGEWRRGLQDGHGRYQWKNENHYIGQWKNGLMNGNGTMIWSNGNRYDGSWEDGAPKGNGTFRWSDGSFYVGVWSKDPKEQNGTYYPSTSSGNFDWQPQQVFYVDLSECVVCTCQRIPVLPSQKMPVWYGSSEQSSSGNRTKNSERPRRRSVDGRVSNGEMELRNNGSGYLQLDDNAESNRSSLGPLRIQPAKKQGQTISKGHKNYELMLNLQLGIRHSVGRPAPATSLDLKASAFDPKEKLWTKFPSEGSKYTPPHQSCEFKWKDYCPVVFRTLRKLFSVDAADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAETKVLIRMLPAYYNHVRACENTLVTKFFGLHCVKLTGTAQKKVRFVIMGNLFCTGHSIHRRFDLKGSSHGRLTTKPESEIDPNTTLKDLDLNFAFRLQKNWFQEFCRQVDRDCEFLEQERIMDYSLLVGLHFREASFKDSATPTSGARTPTGNSDTRLSRAEMDRFLLDASKLASIKLGINMPARVERTSRRSDCENQLVGEPTGEFYDVILYFGIIDILQDYDISKKLEHAYKSMQYDPTSISAVDPKQYSRRFRDFILRVFVEDT >scaffold_300950.1 pep chromosome:v.1.0:3:3362000:3363438:1 gene:scaffold_300950.1 transcript:scaffold_300950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L745] MGRRPKLKSRPKRKTGRKGYFVLKEAVDETKAIIQERREKWESVICSGSTNNDMFCLHMELKGPFSKKMLCKGVVIDQDLSIIWKITKVWHLFGYNL >scaffold_300953.1 pep chromosome:v.1.0:3:3369041:3369272:1 gene:scaffold_300953.1 transcript:scaffold_300953.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L748] MASSYNQYTAMAVFATMTLSSVFTASAQYYDSESQTGASCFPGMSIIMIISSLILSGFAILRW >scaffold_300957.1 pep chromosome:v.1.0:3:3376474:3384773:-1 gene:scaffold_300957.1 transcript:scaffold_300957.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin heavy chain [Source:UniProtKB/TrEMBL;Acc:D7L751] MAAANAPITMKEVLTLPSVGINQQFITFTNVTMESDKYICVRETSPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQVPGTTQDHLQIFNIEAKAKLKSHQMPEQVVFWKWITPKMLGLVTQNSVYHWSIEGDSEPVKMFDRTANLTNNQIINYKCSPNEKWLVLIGIAPGSPERQQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSILISFASKSFNAGQITSKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVAMQVSHKFNLIYVITKLGLLFVYDLETASAIYRNRISPDPIFLTSEASSVGGFYAINRRGQVLLATVNEATIIPFISGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNSYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGSPVDYNTITDLFLQRNLIREATSFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAVLANGMFTHYDRPRIAQLCEKAGLYIQSLKHYSELPDIKRVIVNTHAIEPQALVEFFGTLSSEWAMECMKDLLLVNLRGNLQIIVQACKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSMSEDPEIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSKVVGKYCEKRDPTLAVVAYRRGQCDEELINVTNKNSLFKLQARYVVERMDGDLWDKVLDENNDYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNVRSIERAVEFAFRVEEDSVWSQVAKAQLREGLVSDAIESFIRADDATHFLEVIRVSEDTDVYDDLVKYLLMVRQKVKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLQNVGDRLYDEALYEAAKIIYAFISNWGKLAVTLVKLQQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRYEKLMEHIKLFSTRLNIPKLIRACDEQQHWQELTYLYIQYDEFDNAATTVMNHSPEAWEHMQFKDIVAKVANVELYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKAGHLRLIKPYMIAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDSFDQIGLAQKIEKHELVEMRRVAAYIYKKAGRWKQSIALSKKDNMYKDCMETASQSGEHELAEQLLVYFIEQGKKECFATCLFVCYDLIRPDVALELAWINNMMDFAFPYLLQFIREYSGKVDELIKDKLEAQKEVKAKEQEEKDVMSQQNMYAQLLPLALPAPPMPGMGGGGGYGPPPQMGGMPGMPPMPPYGMPPMGGY >scaffold_300965.1 pep chromosome:v.1.0:3:3406006:3406431:-1 gene:scaffold_300965.1 transcript:scaffold_300965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERYAKAQLSPLLPTLSLTVALPEVKSFVEDLMEVEVAEGYTMAQFCDKIIDLIQVRS >scaffold_300970.1 pep chromosome:v.1.0:3:3418997:3420057:1 gene:scaffold_300970.1 transcript:scaffold_300970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAKLHLSAKSDQSNVRLPRVINLSRYPTTRVSFPRNDSVSSLHTNFSSTHLGVPCAGGGDGGGSIGNHGGGSGSGGGGYGGSEEEGSSSWGPIGIFIQGWRSRVAADPQFPFKVLMEELVGVSANVLGDMASRPNFGLNELDFVFSTLVVGSILNFTLMYLLAPSAVSHGSSNLLPGIFRSCPSSHMFEQGSFTIMNRFGTLVYKGMVFATVGLAAGLVGTAISNGLIMLRKKMDPSFETPNKPPPTLLNSLTWATHMGVSANVRYQTLNGAEFLLEKSLPPLVFKTSVIVLRVVNNVLGGMSFVILARMTGSQSVEEEKKIEMSEISEKEKED >scaffold_300972.1 pep chromosome:v.1.0:3:3423195:3425575:-1 gene:scaffold_300972.1 transcript:scaffold_300972.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7L766] MMHSSCKRLLLLLFLFVVVFIRNTDANSQWEISHKVRASPHENMGRNVIDGSGVEKTLHDIGMGEKRGTHNKVSVSTVALFTLAMAAATGLGALPFFFVELDPQWAGICNGMAAGVMLAASFDLVKEGQEHGSGNWVVTGILAGALFIWLCKQILEQYGEVSMLDIKGADATKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSIITSLPQPLVAVPAFLCADAFSKFLPFCTGFAAGCMIWMVIAEVLPDAFKEASPSQVASAATISVASMEALSTLFESFTHDYNSEDASGFFISLLFGLGPLLGGVFLVASAVTFRLQHALLMGVASGIAFVLGLWRPLQLLLSAKMGFIPLVSLLAVGAGLSYFTSSTILNVTCRKKSRAGSLINPVTNFPTSVITLQSLLACGAVGFHALAEGLALGVAAPNAYGLGRHMVLPVSLHGLPRGTAVASCVFGATDSWHAALAAAALIGFVGPISAIGSILAGIDYSGLDHVMVVACGGLLPSFWQVIKRAVRLERRKGSVGMVLGLVCAVVCLTFTRLVCLHTPYCNSAPEAVR >scaffold_300978.1 pep chromosome:v.1.0:3:3445811:3446568:1 gene:scaffold_300978.1 transcript:scaffold_300978.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin H-type 9 [Source:UniProtKB/TrEMBL;Acc:D7L771] MGSCVSKGKGDDDSVHNVEFSGGNVHLITTKESWDEKLAEAGRDGKIVVANFSATWCGPCKIVAPYFIELSEKHPSLMFLLVDVDELSDFSSSWDIKATPTFFFLKNGQQIGKLVGANKPELQKKVTSIIDSVPESPQRSS >scaffold_300981.1 pep chromosome:v.1.0:3:3455227:3456645:-1 gene:scaffold_300981.1 transcript:scaffold_300981.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor P (EF-P) family protein [Source:UniProtKB/TrEMBL;Acc:D7L774] MAGRAIFSVSSCSPSLCIPYSTASFSSMNRLALPAVRISPRTNRFPRIHCSLSANDIKAGTNIEVDGAPWRVLEFLHVKPGKGAAFVRTKIRNYVNGSTVERTFRAGISVEEANIYKETKQFTYKDGSQFVFMDLTTYEETRLNESDMGEKTKWLKEGMECILLYWKDKVIDFDLPITVKLKVVDVDPGLRGDTVQGGSKPATMETGAIVAVPLFINVGEEIFVDTRTGAYMNRA >scaffold_300992.1 pep chromosome:v.1.0:3:3496490:3496691:1 gene:scaffold_300992.1 transcript:scaffold_300992.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L784] MRKTLSILEELIFVLTSLVSAITTALRTSPSYSDATTITSPLMTNRFAPQVYH >scaffold_300993.1 pep chromosome:v.1.0:3:3496919:3499432:-1 gene:scaffold_300993.1 transcript:scaffold_300993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLTAINSLLKRNNHLLQRHGFSQTVAQRTTSVCETETKIPKMPPFSYSPPPYDGPSTAEIIAKRREFLSPALFHFYNTALNIVEGKRQYVFDENGRRYLDAFGGIATVSCGHSHPEVVNSVIKQLKLIQHSTTLYLNHTISDFAEALVSTLPGDLKVVFFTNSGTEANELAMMMARLYTGCNDIVSLRNSYHGNAAATMGATAQSNWKFNVVQSGVHHAINPDPYRGIFGSDGGKYASDVHDLIQFGTSGQVAGFIGESIQGVGGIVELAPGYLPAVYNIVRKAGGVCIADEVQSGFARTGTHFWGFQSHGVIPDIVTMAKGIGNGIPLGAVVTTPEIAGVLSRRNYFNTFGGNPMCTAAGHAVLRVINEEKLQENANLVGSHLKRRLTLLKNKHELIGDVRGRGLMLGVEFVKDRDLKTPAKTETLHLMDQMKEMGVLVGKGGFYGNVFRITPPLCFTLFDADFLVDVMDHAMSKM >scaffold_300996.1 pep chromosome:v.1.0:3:3507853:3508959:1 gene:scaffold_300996.1 transcript:scaffold_300996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQILNKVGSYWLGKKANKQLDSVGDDINSLSTSIEGGTKWLVNKIKGKMQKPLPELLKEFGLPVGIFPRDATNYEFNEQTRKLTVFIPSICEVGYKDSSVLRFTTTVTGFLEKGKLADVEGMKTKVMIWVKVTSISADSSKVHFTAGMKKIRSRDAYEVLRDGVEIDKF >scaffold_300999.1 pep chromosome:v.1.0:3:3518052:3519716:-1 gene:scaffold_300999.1 transcript:scaffold_300999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLQVDRNAKDDDLKKAYRKLAMKWHPDKNPNNKKDAESKFKQISEAYDVLSDPQKRAIYDQYGEEGLTSQVPPPGAGGFSGGSDGGASFRFNGRSADDIFSEFFGFTRPFGDSRGAGPSNGFRFAEDVFSSNVTMRKAAPIERQLPCSLEDLYKGITKKMKISRDVLDSSGRPTTVEEILTIEIKPGWKKGTKITFPEKGNEQRGIIPSDLVFIVDEKPHAVFKRDGNDLVMTQKIPLVEALTGYTAQVTTLDGRSVTVPVNNVISPSYEEVVKGEGMPIPKDPSKKGNLRIKFNVKFPSRLTTEQKSGIKRMFSSS >scaffold_301011.1 pep chromosome:v.1.0:3:3561769:3562971:1 gene:scaffold_301011.1 transcript:scaffold_301011.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L7Q2] MASLLMVSMNFPRPHSSARLAYLSLRLSLSLRPDLPSVPEPPDPPEPPDPPDLPSFQICVVLASLLTSSPLNITHLLSPPLTAAHRVLPSVFIPHRVKDPEAHLHLSLVKATPVAKYPPPRSQNLVFPSLPLASLSCALQVVAHAVEFGAACSVFITPSSPSLHCCQYVLLPKFPLGSSGVVARSLKSKVLYTLMLVLSSGSAIWCFVTFASTAEFPIVKSALVAISIMGIRALFVFYISQGFVSLFSTIGAKLRGPLLVFSGLSVVFAPIFIMFVFRLALVAAVVLAGLALLSFGWNMYSSDGE >scaffold_301012.1 pep chromosome:v.1.0:3:3563607:3565518:1 gene:scaffold_301012.1 transcript:scaffold_301012.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L7Q3] MRYNCFGLLAMCKGSDRLGQREAEEICTNNVRVFSYNSLRSATDGFHPTKRIGGGGYGVVFKGVLRDGTQVAVKSLSAESKQGTREFLTEINLISNIHHPNLVNLIGCCIEGNNRILVYEYLENNSLASVLLGSRSRYVPLDWSKRAAICVGTASGLAFLHEEVEPHVVHRDIKASNILLDRNFSPKIGDFGLAKLFPDNVTHVSTRVAGTVGYLAPEYALLGQLTKKADVYSFGILVLEVISGNSSTRAAFGDDYMVLVEWVWKLREEKRLLECVDPDLTKFPEDEVTRFIKVALFCTQAAAQKRPNMKQVVEMLCRKELNLNEDALTEPGVYRGVNKGRNHRGIGLRGGQGGSSQESSSTQRYKGQSSAVPQGSTSTSNISFQSITELDPR >scaffold_301016.1 pep chromosome:v.1.0:3:3573029:3574192:1 gene:scaffold_301016.1 transcript:scaffold_301016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFCSRSKKETLRMGSDMEKNQKQTSFTFEIDNLWEKEDVISSPIFLSGGCEWVVQVYPKGYGTVVEDHLALFLCVANPESLKLGWKRRANNSVLLLDQFGKELYRSNENCRLFCAQFTKWGESRGLPLKDKWILEKNKLIIKVDIKVVEVVDEAQVTGMEMLDLFGFQFFYSQVVSVSRLFEEHPDIKVNFKPKIQLVKNAYMTTLLGLIETLDKPPHSFTETELVSAKRELIELTEAGFKLDWLDTKLDEVFSEWKKANAKNYTLSFGTNADHEVILEEEWLIEGIIRSWNEFKVFSHLDNEKID >scaffold_301018.1 pep chromosome:v.1.0:3:3576892:3580019:-1 gene:scaffold_301018.1 transcript:scaffold_301018.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L7Q9] MYFRVLSTPSSSMFDSFSLVRRLSSSTELGRRVYGHVLPNHDQIHQGLLEICLEQCKLFKSRKVFDEMPHRLALALRIGKAVHSKSLILGIDSEGRLGNAIVDLYAKCAQVSYAEKQFNSLEKDVTAWNSMLSMYSSIGQPGKVLRSFVSLFENLIFPNKFTFSIVLSTSARETNVEFGRQIHCSMIKMGLERNSYCGGALVDMYAKCDRLGDAQRVFDGIVDPNTVCWTCLFSGYVKAGLPEEAVIVFERMRGEGHRPDHLAFVTVINTYISLGKLKDARLLFGEMPSPDVVAWNVMISGHGKRGCEIVAIEYFLNMRKSSVKSTRSTLGSVLSAIGIVANLDLGLVVHAEAIKLGLASNIYVGSSLVSMYSKCEKMEAAAKVFEALEERNDVLWNAMIRGYAHNGESHKVMELFMDMKSSGYNIDDFTFTSLLSTCAVSHDLEMGSQFHSIIIKKKLTKNLFVGNALVDMYAKCGALEDARQIFEHMCDRDNVSWNTIIGGYVQDENESEAFDLFMRMNSCGIVSDGACLASTLKACTNVHGLYQGKQVHCLSVKCGLDRVLHTGSSLIDMYSKCGIIEDARKVFSSMPEWSVVSMNALIAGYSQNNLEEAVVLFQEMLTKGVNPSEITFATIVEACHKPESLTLGTQFHGQIIKWGFSSEGEYLGISLLGLYMNSRRMAEACALFSELSSPKSIVLWTGMMSGHSQNGFYEEALKFYKEMRHDGALPDQATFVTVLRVCSVLSSLREGRAIHSLIFHLAHDLDELTSNTLIDMYAKCGDMKSSSQVFDEMRRRSNVVSWNSLINGYAKNGYAEDALKIFDSMRQSHIMPDEITFLGVLTACSHAGKVSDGRKIFEMMIGQYGIEARVDHVACMVDLLGRWGYLQEADDFIEAQNLKPDARLWSSLLGACRIHGDDMRGEIAAERLIELEPQNSSAYVLLSNIYASQGRWEEANALRKAMRDRGVKKVPGYSWIDVGQRRHIFAAGDQSHSDIGKIEMFLEDLYDLMKDDAVVNPDIVEQASLDCV >scaffold_301020.1 pep chromosome:v.1.0:3:3582203:3584312:-1 gene:scaffold_301020.1 transcript:scaffold_301020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L7R1] MVVFPKSLSPKHVLKLLKSEKNPRAAFALFDSATRHPGYAHSAVVYHHILRRLSEARMVTHVGRIVELIRSQECKCDEDVALSVIKTYGKNSMPDRALDVFKRMREIFGCEPGIRSYNTLLNAFVEAKQWVKVESLFAYFETAGVAPNLQTYNVLIKMSCKKKEFEKARGFLNWMWKEGFKPDVFSYSTVINDLAKTGKLDDALELFDEMSERRVAPDVTCYNILIDGFLKEKDHKMAMQLWDKLLEDSSVYPNVKTHNIMISGLSKCGRVDDCLKIWDRMKQNEREKDLYTYSSLIHGLCDEGNVDKAESVFNELVERKAFIDVVTYNTMLGGFCRCGKIKESLELWRIMEQRNSVNIVSYNILIKGLLENGKIDEATMIWRLMPAKGYAADNTTYGIFIHGLCVNGYVNKALGVMQEVESKGGHLDVYAYASIIDCLCKKRRLEEASNLVKEMSKHGVELNSHVCNALIGGLIRDSRLSDASLLMRGMGKNGCLPTVVSYNILICGLCEAGKFGEASAFVKEMLENGLKPDLKTYSILLGGLCRDRKIELALELWHQFLQSGLEPDVMMHNILIHGLCSVGKLDDAMTVMANMEHRNCTANLVTYNTLMEGYFKVRDSNRATVIWGYMYKMGLQPDIISYNTILKGLCLCHRVSYAIEFFDDARNHGIFPTVYTWNILVRAVVNRKM >scaffold_301027.1 pep chromosome:v.1.0:3:3607851:3612697:-1 gene:scaffold_301027.1 transcript:scaffold_301027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPARLCLLVCLLCLTLSKLSCGENKFRERKATDDDLGYPEIDEDSLLNTQCPKKLELRWQTEVTSSVYATPLIADINSDGKLDIVVPSFVHYLEVLEGADGDKMPGWPAFHQSNVHSSPLLFDIDKDGVREIALATYNGEVLFFRVSGFLMSDKLEVPRRKVHKNWHVGLNPDPVDRSHPDVHDDVLEEEAMAMKSSATRKSECSNHNTKCYTLDVQRVHGGDSNVSSQEDQKRLENNQTEAIVKPTPELHNSSMGAGANNSSANVTTAGSTEKLNGNVTTNEVDQSKISEDKNETVIKLNTSTGNSSESLGTTGNSSTTETVTKSGRRLLEEDGSKESVDGHSDNKDNKEGVRMATVENDGGLEADADSSFELLRENDELADEYSYDYDDYVDEKMWGDEEWVEGQHENSEDYVNIDAHILCTPVIADIDKDGVQEMIVAVSYFFDPEYYDNPEHLKELGGIDIKNYIASSIVVFNLETKQVKWIKELDLSTDKANFRAYIYSSPTVVDLDGDGYLDILVGTSFGLFYAMDHRGNIREKFPLEMAEIQGAVVAADINDDGKIELVTTDSHGNIAAWTTQGVEIWEAHLKSLVPQGPSIGDVDGDGHTDVVVPTSSGNIYVLSGKDGSIVRPYPYRTHGRVMNQLLLVDLNKRGEKKKGLTIVTTSFDGYLYLIDGPTSCTDVVDIGETSYSMVLADNVDGGDDLDLIVSTMNGNVFCFSTPSPYHPLKAWRSTDQGRNNKANRYDREGVFVSHSTRGFRDEEGKNFWAEIEIVDKYRYPSGSQAPYNVTTTLLVPGNYQGDRRITQSQIYDRPGKYRIKLPTVGVRTTGTVMVEMVDRNGLHFSDEFSLTFHMYYYKLLKWLLVLPMLGMFGLLVILRPQEAVPLPSFSRNTDL >scaffold_301029.1 pep chromosome:v.1.0:3:3619840:3620163:1 gene:scaffold_301029.1 transcript:scaffold_301029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSFQKLFPSLSRDSATARFHTHEVDPNQCCSVVIQEISAPISTVWSVVHRFDNPQAYKHFLKSCSVIVIFLIFSHRVIYVFFRFMCEKKLH >scaffold_301037.1 pep chromosome:v.1.0:3:3640176:3641646:-1 gene:scaffold_301037.1 transcript:scaffold_301037.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7S4] MAIKQGDESTLISWVPDESTILAKMKLYLQNPSTRTILFKTINCWNNQVSLPPPPNDPVASRIASDGCKESIDNTRRRNEPLSDLS >scaffold_301038.1 pep chromosome:v.1.0:3:3644133:3646041:1 gene:scaffold_301038.1 transcript:scaffold_301038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLHQSQLLHNSADAANNQSESDAPPKQVAQAMERLNQAARVIADIRLGADRILEAMFVASQPRHTDMPLQLFLREDASMRQHLQDLRLIGKKLEESGVLTESLRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHIDDGLKMEPSSKKHRASHFLLENGREEPVDYKTLPDIQSRLEKLVPNVKVSTYGRLNWLKRANSLPGSGSDDPTEGSKPIFQSSSKLRSGLQTEVVDKIAVIELSFPSLFRAIVSLNPAGSVDPDAVAFFSPDEGGSYLHARGFSVYHVYKHMTEHAATALQYFLGFGTGTALYSLLLWICSFESLFSKPCTKCGRLLAMDKKSALILPPLHRAYQELPLALNLDVCEAYHSSCSQDDT >scaffold_301041.1 pep chromosome:v.1.0:3:3650117:3651546:-1 gene:scaffold_301041.1 transcript:scaffold_301041.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC13 [Source:UniProtKB/TrEMBL;Acc:D7L7S8] MMKLQGGLLQWSRSSLIPSIYTPINKTQFSISACVIERNHQLTAKERRQLRNERRESKSGYSWREEVEEKLIKKPKKRYATWTEELNLDTLAESGPQWWVVRVSRLRGHETAQILARALARQFPEMEFTVYAPSVQVKRKLKNGSISVKPKPVFPGCIFIRCILNKEIHDSIREVDGVGGFIGSKVGNTKRQINKPRPVDDSDLEAIFKQAKEAQEKADSEFEEAQSAEEEEASLLASQQLLASSNSEVIEAVAESKPKRAPRKATLATETKDSKAKKKKLAAGSTVRVLSGTFAEFVGNLKKLNRKTAKATVGFTLFGKETLVEIDINELVPEIQS >scaffold_301043.1 pep chromosome:v.1.0:3:3657816:3659603:1 gene:scaffold_301043.1 transcript:scaffold_301043.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMYB1 [Source:UniProtKB/TrEMBL;Acc:D7L7T0] MDAEIVRRSETTGVRREVEESAEVSGDRGDCADDGGDVGEGTSGRGGRERVKGPWSPEEDGVLSELVKKFGARNWSLIARSIPGRSGKSCRLRWCNQLNPNLIRNSFTEVEDQAIIAAHATHGNKWAAIAKLLPGRTDNAIKNHWNSTLRRRFMDFEKAKNTGTGSLVMEDSGFNRTTTIASSEETLSSGGGGHVTTPIVSSEGKEATSMEMSEEQCVEKSNEEGISRQDGNDPPTLFRPVARLSSFNAYNHMEGLSSPHIQDQNQLQSSKQDTAMLRLLEGAYSERFVPQTCGGGCCSNNPVQQDSLLGPEFVDYLDPPTFPSCELAAIVTDISSLAWLRSGLESSSVRVMEEAAGRLRPQGSRGHRDHYLVSQRGKNITNVLST >scaffold_301051.1 pep chromosome:v.1.0:3:3688233:3688615:-1 gene:scaffold_301051.1 transcript:scaffold_301051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKLIVLCFLLTYSLSMAAASSEAEPPTTRKLGRHDWPGAEAEAPEVSHLEETVRRGHHHSTVERSVAGGGVILGGLATTFLVVVFCYIRATRKHKPNYDEKETETPKVLV >scaffold_301052.1 pep chromosome:v.1.0:3:3693877:3694441:1 gene:scaffold_301052.1 transcript:scaffold_301052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIKNPKNADDCSDSISKNSHQGVDDSLNQSRSYVCSFCIRGFSNAQALGGHMNIHRRDRAKLRQKLMEDNKDDVVAESDSSEVVSLDLNEQQQQQEALTCDDHDDQDQYVEKDLSPKQKLEFWVQESKLDTNDRGKGTEVSIDGSSSRHHREIEGLDLELRLGQSVVEKKTT >scaffold_301056.1 pep chromosome:v.1.0:3:3704035:3704601:1 gene:scaffold_301056.1 transcript:scaffold_301056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSSSPLSSSFLHVPRKLLVHGHCPSQTTLWFSRKQSSLCVRAATLPQGMIVPKKEPKFKPAFLGFTYTAEIWNSRACMIGLIGSFIVELILNKGILQLIGVDVGKGLDLPL >scaffold_301058.1 pep chromosome:v.1.0:3:3712300:3712515:-1 gene:scaffold_301058.1 transcript:scaffold_301058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKYALSLTPIVLSLEELIPSSIKMRSYGVSMFVRTILVLYTLVVALTFPFFGKIP >scaffold_301066.1 pep chromosome:v.1.0:3:3732012:3733289:1 gene:scaffold_301066.1 transcript:scaffold_301066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDDLLFNCLARISRLCYPTLSLVSKRFRRTESCLYVCLRLFTETSQLRWFTVSQGPFNSKKKLVPISSPNFPSALWSEAAIVGSNIHAIGGLVNKNASSSVMVMDCHSHTWREAPSMLMARESHSVCIHDGKIYVTGGCKNLDSTNWMEVFDTSLARRYLDLKYKSVEYEGSVYVKYEAKDATYKLAKGRWRTADLAINKGWGTSSSHYCVIENVFYRYNNRRIEWCDSDKRLWTTLKGLEKLLISHVNLVNYGGKMAVVWVEYAYGKKDEETKIWCAEIAIEKREKREIWGMVKWFDIVFRTNEPYHFAHVLATTV >scaffold_301067.1 pep chromosome:v.1.0:3:3733434:3734180:-1 gene:scaffold_301067.1 transcript:scaffold_301067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCKCGNGCGGCKMYPDLGFSGETTTAETFVLGVAPAMKNQYEASGESNNAENDACKCGSDCKCDPCTCK >scaffold_301071.1 pep chromosome:v.1.0:3:3743388:3746003:-1 gene:scaffold_301071.1 transcript:scaffold_301071.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:D7L7V2] MAIRSLLQCRKWSKSEWLVAAIGLVLIVFSFSFSVDSTSDSSVDRSDLVKLKLSSKAKERGACTVLPPKIHSSEKSFCLDGSLPGYHFHKGSGSGSKSWLLFLEGGGWCNTIESCSSRAMTSLGSSSFFEHKVAFQGVLSSDPSQNPDFFNWNRVLIRYCDGASFAGHPEAEFKNETRLFFRGQLIWEAIMDELLSMGMSHAKHNPSFCLAIPLMFLFVLHIFDKLQAILTGCSAGGLATLIHCDYFRDNLPRDAAVKCVSDGGYFLNVYVNCLLSQVTKFSRDLCLCCSQVSVPDVLGNPTMGSFFHDVVTLQDVDKSLDQNCVAKMEPSKIQNVLVPDSADIDEYWAMCRLNIQECDAAQMKVLHGFRSSLMDAIGEFHENKEGGMFINSCNSHCQIRESSWHSPTSPRIENKTIAESVGDWYFNRKPVKLIDCPYPCNTSC >scaffold_301074.1 pep chromosome:v.1.0:3:3752117:3754343:-1 gene:scaffold_301074.1 transcript:scaffold_301074.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock cognate 70 kDa protein 3 [Source:UniProtKB/TrEMBL;Acc:D7L7V5] MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRYTDSSVQSDIKLWPFTIKSGPAEKPMIVVNYKGEDKEFSAEEISSMILIKMREIAEAYLGTSIKNAVVTCSSFTSMTLSVQATKDAGVIAGLNVMRIINEPSAAAVGYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFDGIDFYAPITRARFEEMNMDLFRKCMEPVEKCLRDAKMDKNSVDDVVLVGGSTRIPKVQQLLLDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIQRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDEIERMVQEAEKYKSEDEEHKKKVDAKNALENYAYNMRNTIRDEKIGEKLAADDKKKIEDSIEAAIEWLEGNQLAECDEFEDKMKELESICNPIIAKMYQGGEAGGPAAGGMDEDVPPSAGGAGPKIEEVD >scaffold_301080.1 pep chromosome:v.1.0:3:3773243:3775170:1 gene:scaffold_301080.1 transcript:scaffold_301080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXO70H4 [Source:UniProtKB/TrEMBL;Acc:D7L7W0] MMTRKAMINICCVATTPSKYPPPPPLTLTPRQSLESAAIYGVIESAADIIERWNTETNTFAKVTSMFYENKQEAMVFIERVKDLQKTMDVLVSEDPNSERLLRAHKLMQIAMKRLQKEFYQILSMNRAYLDPESVSTRSSLTSARSSYSDFPEDVEDLDTIVELEEVSSNVMTDLRSIAECMIGSGYAKECLSIYKSIRKSIIDEGIYRLEVEKTSTGKVKKMSREVMELKIRSWLKAVKVSMETLFKGEKILCDHVFESSDAIRESCFSDISRDGALLLFGFPEIIATKTCKKHSPPEKLFRLLDMYTAIAGNWQAIESIFSFDSISVVRSLALKSLISLSESIRSLLVEFESGIQNDSSKMVVPGGGVHPLTISVMDHLSLLADYSNVLVDILAGSPPPDRSLLPESYFNVSESDDSPSSELTIRFAWLILVLLCKIDRKSIHYKDFSVQYLFLTNNLQHVVSRARSSNLKNLLGEDWITRHFAKMRQFAGSYKRLAWGPVVSSLPENRTVEMTPEEVKERFEKFSESFENAYAKHSVCVVADPNLRDEIKVSIARKLVPIYREFYNTRGSVILAGAGGARNLSSVVRFTPEDIENYLSDMFTEKGSSGNSSASSPSSCRSGQSMS >scaffold_301081.1 pep chromosome:v.1.0:3:3775833:3777789:1 gene:scaffold_301081.1 transcript:scaffold_301081.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXO70H3 [Source:UniProtKB/TrEMBL;Acc:D7L7W1] MRSKSGFSFFSKMTSSRKRPSLSIPSKSYESSDINKTIDNAAEIIEKWNTEYVSSNKFYSLFCESKREAKKFVKRVKELQNAMDMLIREDPNSENLLRAQNLMQIAMKRLQKEFLQILSMNRAHLDPESVSSRSPTSVVSNDDDIWHESRSASDSIIEVEEVSKNSRTELKSIADCMIAAGYAKECASTYKSIRKSIVDESIYRLGVEKISSSKAKKMPCEVVELKMNRWIEAVKVSMKTLFNGEKSLCDDIFESSVSLRESCFRDISKEGALLLFGFPETIALRDKKNPNPEKIFPLLDMYCTITENLLAIESIFSFPSISIVRTQAHSSLSRLSESILSHLVDFESEIRKDSSKTVVRGGGVHPMTISAMNHISRLAEYSTALTDILKGSSSSSSAKSLLPKSYFNVSESEESPASELKVRFAWMILVLLCKIDGKAEMYKDFSMQYLFLANNLQHVVSRARSTNVKDVLGDDWIAKHSEKVRQFARSYERLAWGPLASMCPAISTSEAVEMSPEEAMMQFKKFNETFENTCEAQSECIVLDPKLLDEMRISIGRKLLPVYRDFYNAHRNAVMLAGTEGEWNVRYTPEDIGNHLSELFSGKGILENQYVCTPDFCLVTTATPTPGRKTRSLSCRRF >scaffold_301087.1 pep chromosome:v.1.0:3:3794567:3795179:1 gene:scaffold_301087.1 transcript:scaffold_301087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLSHPSSCLVLLLLLFSGHPSASGTSIPDMVNTAARVLNRARRAKLAREFLQAHNDARVSSGVPTLEWDRDLARFADKWAKQRKPDCSMIHSGGPYGENIFWYRRKNMWSPEKVVTRWYEERFNYDVKTNTCASGKMCGHYTQMVWRATTAVGCARVKCNNGRGYLVVCEYDPRGNYEGERPFDQNS >scaffold_301088.1 pep chromosome:v.1.0:3:3796407:3797232:1 gene:scaffold_301088.1 transcript:scaffold_301088.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L8A3] MEETYLRAKAETIYWYAFVEFEEADAAKRAIKASPLYIDGHTTDVGKKRSAGDNLNRSTIFFP >scaffold_301089.1 pep chromosome:v.1.0:3:3797815:3803626:1 gene:scaffold_301089.1 transcript:scaffold_301089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQENSSLLLSLTLFVSFSASSFSLINPRNRIEVGVADLGDTHIPASLRFQVGDGFAERYYKTLQYYPGLLYRYYNDVSKITRPGLDGTMRSSTLQDMIKDLDMLSSGGFDSVEDLEVTSFMSQESHSGGILVTADGFFTSHERPARKFTQNFFLAPQENDYFALTDMFKFVDIPEANDIKDLSVIPAVPKSTTTNTEKDEVIDRLVALKVETRLIEAVQAVKTSFDQIPPDNTSDIFFRIPKRYYPIVACVSKAFKNLVKSPELQQQRRQLDKDSVYFCFNNGSPNPAESMTWFSLRKSNDNPNDDVFSEALVVPNDHECTRTLRNIFSCGSEIILVGRTFKLTIGPECQVWIFDSICGRLREAPSLPLALHYWNSGLVRDDLYVFGTPLKADTLRERFQVYGIRFNLLSQTWSDPFPTKMQFSASTQSVDKNIYLLTREGLYQVYNISNDVFTSYREPYKSHTAWTTWTMSSVCVFENVFYSYDAVYGLHWYDTKLNQWKAVTGSTMGRIGHGLLGKTWSIALKEYYGKLVLLWTVRPEEIAEDARMTVYYTMIALRRTETEIYGEVDEIRTLGTLPRQEECTVVRRPRNAASKPEASAAKAFKLRSIQSQFMSNHHRKIYTQEAIQLSAKLLAINPEAYTAWNYRKLAVEDNLSRIDDSDPSLVNSILNEELEVVAIALRRNIKSYGAWYHRKWILNKYQKLDLRNFHAWNYRRFVVELTKTSPQDELQYTTDLINDVSFSNYSAWHNRSALLSSLVAKKADGFMPKETIRRELDYVHNAIFTDEDDQSAWFYYLWLLDQTVKMETPLRTSSWPSDGSIIILSGPGCFNGSSSSKFTTFCSESASFPLILYFDQAVSGVSSSTVTIDSELQGNQDLVWEPVSDKNSQLSCVWVARLKFDSTEPCFRKENKVKVSLGKSQGIVSSRGCNLSAPFEFVFTVHIHDTVGEPQEGIVSWTDGFDNWDAQSKDLNSLITSYQLNADTGFEWRKQAIKTEIECFRDLHDSKFGKLILARLLMAEETMISDDAVKGVHYVEILQLYNDLMVLNSSHYQYYKDEHSVALLHKVTSSTESLSRHLFLYRNMNNIVCLRLSNLTLSRIATVEKFLFVQMLDLSYNDLHSAEGLEAMQLLCCLNLCHNRIRSFSALDSLRHLKQLRVLDVSHNDMGGKHPVDTTGYLCSRPLSNSAEIGRQVPCKYWDAYLVLRDLKKLKQLDIIRGNDLIAGEEFSSFVRQVLPKLVWLDGHKLAS >scaffold_301091.1 pep chromosome:v.1.0:3:3805288:3808120:1 gene:scaffold_301091.1 transcript:scaffold_301091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRKAASKPEASAAKALKLRSVQSQFMSNHHRKIYTQEAIQLSAKLLAINPEAYTAWNYRKLAVEDNLSRIDDSDPSLVNSILNEELEVVAIALRRNLKSYGAWYHRKWVLSKGHSSLEKELQLLNKYQKLDLRNFHAWNYRRFVLELTKTPQQDELHYTTDMINDVSFSNYSAWHNRSELLSSLVAKKADGFMPKETIRRELDYVHNAIFTDEDDQSAWFYYLWLLDQTVKMETPLRTSSWPSDGSIIILSGPGCFNGSSSSSKFTTFCSESGSFPLILYFDQAVSGVSSSTVTIDSELQGNQDLVWEPVSDKNSQLSCVWVARLKFDSAEPCFRKENKVKVSLGKSQGIVSSRGCYLSAPYEFVFTVHIHDTVGEPQEGIVLWTDGFDNWDAQSKDLNSLITSDQLNADTGFEWRKQAIKIEIECFRDLHDSKFGKLILARLLMAEETMISDDAVKGVHYNEILQLYKDLMALNSSHDQYYKDEHSVALLHKVTSSTESLSRRLFLYRNMNNIVCLRLNNLTLSRIAAVEKFLFVQMLDLSHNELHSAEGLEAMQLLCCLNLCHNRIRSFSALDSLRHLKQLRVLDVSHNDMGGKHPVDTTRYLCSRPLSNSAEIGRQVPCKYWDAYLVLRDLKKLKQLDIIRGNDLIAGEEFSSFVRQVLPKLVWLDGHKLAS >scaffold_301108.1 pep chromosome:v.1.0:3:3860187:3862238:1 gene:scaffold_301108.1 transcript:scaffold_301108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDILTRVDSICKKYDKYDVDKQREANISGDDAFARLYGAFETQIETALEKAELVTKEKNRAAAVAMNAEIRRTKARLSEEVPKLQRLAVKRVKGLTTEELAARNDLVLALPARIEAIPDGTAGGPKNTSGWTPSSTTSRPDIKFDSDGRFDDDYFQESHESSQFRQEYEMRKIKQEQGLDMISEGLDALKNMASDMNEELDRQVPLMDEIDTKVDRATSDLKNTNVRLKDTVNQLRSSRNFCIDIVLLCIVLGIAAYLYNVLK >scaffold_301109.1 pep chromosome:v.1.0:3:3863518:3864029:-1 gene:scaffold_301109.1 transcript:scaffold_301109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLLPLKDGSYRMSSTWWLSEICVHILGPRLSGHMCSHSYCEIENVLYFAAHRALMWYDFQVKNWRDLKGLVGLPKFPPLAQIRLADYGGKIVAMWDVNVSYGNGSSYKTRIWCAEISLERLNSCEIWGKVEWVDIMHTILDSFILVKVIAATV >scaffold_301115.1 pep chromosome:v.1.0:3:3881935:3884276:1 gene:scaffold_301115.1 transcript:scaffold_301115.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NAD] subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7L8C9] MAAFLARRLIGNRSSQILGTSNSSSGAFISVSRAFFSSTTPIKATLFPGDGIGPEIAESVKQVFTAADVVIDWDEQFVGTEVDPRTNSFLTWENLQSVLKNKVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDDVDLITIRENTEGEYSGLEHQVVKGVVESLKIITRKASMRVAEYAFLYAKTHGRKKVSAIHKANIMQKTDGLFLQCCDEVAKKYPEIYYEKVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLVGGLGLTPSMNIGEDGIALAEAVHGSAPDIAGKNLANPTALLLSGVMMLRHLKLNKQAEQIHSAIINTIAEGKYRTADLGGTSTTTDFTKAICDHL >scaffold_301120.1 pep chromosome:v.1.0:3:3899710:3900570:1 gene:scaffold_301120.1 transcript:scaffold_301120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYFGNAYRGDPGVPHADADRFVNIWIGSAAFSVLTWVNPYMWQLSNQFNYHDKWMLFEQYHWKKARAKKQPYEFKWNKIPKEVRDSYYYNWPVYFP >scaffold_301121.1 pep chromosome:v.1.0:3:3901131:3901514:-1 gene:scaffold_301121.1 transcript:scaffold_301121.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7L8D5] MSKKLKMFMRKIQTCCLFTRFSKRVGNCGEFEEECNAGSMIPSDVKEGHVAVIAVKGERIKRFVLELEELNKPEFLRLLEQTKEEFGFQPRGPLTIPCQPEEVQKILQGSRES >scaffold_301127.1 pep chromosome:v.1.0:3:3916468:3917697:-1 gene:scaffold_301127.1 transcript:scaffold_301127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSKSMWSLETNKKRKTTTTTEKKKLSPNPSLPDDLLLSIVARVSRLYYPTLSLVSKSFRSLLASPELYKARSLSGHTESCLYVCLQCCPGYRWFTLCRKPDQTLSNYTTKKKSSGYVLATVPIPSFQKGSFSSLVAVGSDIYNIGGSNYHGPSYSVSILDCRSHTWREAPRLRVEQLCLSASVLDRKIYVAGCYNDSDSNSLKNFLEVFDTKTQIWDTEPIACSGRIDNFLYSKSTCIDGKFHVVTEREGVIAYDSKKGRWDRTGQSLYYLIYSNSFCEIENVLYFVSDGEFMWYDTELKMWRDMEGLVGRLSRLPPDVSVRLADNSGKLAVLWEEEDLPYCRPGFTGMKKIWCVEIALEKRKSKILGKIEWFDHVLTVPAENDLVKVLAATV >scaffold_301131.1 pep chromosome:v.1.0:3:3932787:3933058:1 gene:scaffold_301131.1 transcript:scaffold_301131.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L8E3] MTTEERRPVNFSRFRSPTSPVTTTEERRPVFTHIGDSSDSSSISRNRRFPEIVEGFSVSRLRERGGERKKRHVDAS >scaffold_301135.1 pep chromosome:v.1.0:3:3944614:3944936:-1 gene:scaffold_301135.1 transcript:scaffold_301135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSNWLLNFLNHANNQENMENLDKNGAVEASSSGFKMPLHYPRYTKEDYEEMEEWRLDLLLSDYGLLAFHDNTLHEKRAFAIDTFLWPHHP >scaffold_301148.1 pep chromosome:v.1.0:3:4001427:4001916:-1 gene:scaffold_301148.1 transcript:scaffold_301148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVSVDETKNTVVIRAVHKNEEGRKSVDKFELKTRNPETIKSVERKLMEKGVQRMDRHPSDGIPLRRPPPKSGHGGKYTWEGPDRMEDYEMQPDPPAIDEGDPNYDEEQAKKIDDDVAVELVKGEVEVAKEAPAGVARVDVDPRLVSR >scaffold_301151.1 pep chromosome:v.1.0:3:4012575:4015209:-1 gene:scaffold_301151.1 transcript:scaffold_301151.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine dehydratase [Source:UniProtKB/TrEMBL;Acc:D7L8V0] MDSVRLPTAPSTLRSHIPLPSKPVIGITHFSRSRIPVAVMSRDETSMAPPPPKAPLPRLKVSPNSLQYPAGYLGAVPERTNEPENGSIVEAMEYLTNILSTKVYDIAIESPLQLAKKLSKRLGVRMFLKREDLQPVFSFKLRGAYNMMVKLPADQLAKGVICSSAGNHAQGVALSASKLGCTAVIVMPVTTPEIKWQAVENLGATVVLFGDSYDQAQAHAKIRAEEEGLTFIPPFDHPDVIAGQGTVGMEITRQAKGPLHAIFVPVGGGGLIAGIAAYVKRVAPEVKIIGVEPADANAMALSLHHGERVILDQVGGFADGVAVKEVGEETFRISRNLMDGVVLVTRDAICASIKDMFEEKRNILEPAGALALAGAEAYCKYYGLKDVNVVAITSGANMNFDKLRIVTELANVGRQQEAVLATLMPEKPGSFKQFCELVGPMNITEFKYRCNSEKEAVVLYSVGVHTAGELKALQKRMESSQLRTVNLTTSDLVKDHLRYLMGGRSTVGDEVLCRFTFPERPGALMNFLDSFSPRWNITLFHYRGQGETGANVLVGIQVPEQEMEEFKNRAKALGYDYFLVSDDDNFKLLMH >scaffold_301152.1 pep chromosome:v.1.0:3:4015723:4017410:1 gene:scaffold_301152.1 transcript:scaffold_301152.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7L8V1] MILTMKLVHPLHHSLSSSIPFPSRKSQSKPYRCSLPSPGCEKVIRPETVLSPAPVSFEGRRVLLGCLLATASGILSTDSAEAVSTSRRALRASKLPESEFTTLPNGLKYYDIKVGNGAEAVKGSRVAVRDNVKWKGITFMTSRQGLGVGGGTPYGFDVGQSERGNVLKGLDLGVEGMRVGGQRLVIVPPELAYGKKGVQEIPPNATIELDIELLSIKQSPFGTPVKIVEG >scaffold_301155.1 pep chromosome:v.1.0:3:4023020:4023272:-1 gene:scaffold_301155.1 transcript:scaffold_301155.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L8V4] MIEMEDQKVRKCKISNVWKEDGRHCTGDAKARDYMCVEAFAALKTIVTNCTCDETSYPDLTCNCLADCEW >scaffold_301156.1 pep chromosome:v.1.0:3:4024998:4025952:1 gene:scaffold_301156.1 transcript:scaffold_301156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRKACDELLYYACDGSKIKKSGLQCVLAFSSTGRFMDDLTEVDDYTISNTSRLSLNASSPTFAFYKTGRISPLVLTYYRLCLGNGNYTVSLHFSEIIITDDNLFYSFGKRVFDIYVHIL >scaffold_301159.1 pep chromosome:v.1.0:3:4032992:4035188:1 gene:scaffold_301159.1 transcript:scaffold_301159.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soul heme-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7L8V8] MMMSFSSSIASSSSLSLLLSSSHKKLPIDLNSFPNPNQRCSVSVRTARVVAAMNRAIRRQRQSQAVSATESRVSLVFALASQASSVSQRLLADLAVETAKYVFPKRFNSSNLEEALMSVPDLETMEFRVVSRTDKYEIRQVEPYFVAETTMPGETGFDFYGASKSFNVLAEYLFGKNTRKEKMEMTTPVVTRKAQSVGEKMEMTTPVITTKAKDQTQWRMSFVMPSKYGSNLPLPKDSSVKILEVPRKIVAVVAFSGYVTDEEIERREQELRRALQNDKKFRVRDGVSVEVAQYNPPFTLPFMRRNEVSLEVESKED >scaffold_301164.1 pep chromosome:v.1.0:3:4066244:4066905:1 gene:scaffold_301164.1 transcript:scaffold_301164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTKLNPKRFFIRSKDPSTVSKSPAASSFGSATSSSGQDCKTSGGGGSVTPTSILPEVPSPYSYIEILQAFKLIDRDNDGAVSRHDLESLLSRLGPEPLTEEEINVMIKEVDGDGDGTIRLEELASRVVSLDPARDSTELKETFEFFDADRDGLISADELLRVFSTIGDERCTLDDCKRMIADVDEDGDGFVCFTEFSRMMDLQR >scaffold_301166.1 pep chromosome:v.1.0:3:4069977:4072524:1 gene:scaffold_301166.1 transcript:scaffold_301166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLFGAARSGQTIMVALVLMVGSFYTGSLFGTNQPIYVSQPSSHPASSKFANKVALTYRRLPLVIPETGMNVCPLEFNEYIPCHNVTYVHQLLPSLNLSRREELERHCPPLEHLVPPPNDYKIPIKWPTSRDYLKGGQNWVHEQGQFWWFPGGGTHFKHGAAEYIQRLGNMMTNETGDLRSAGVVQVLDVGCGVASFAAYLLPLGIQTMSFAPKDGHENQIQFALERGIGAMISAVATKQMPYPAASFEMVHCSRCRVDWHANDGILLKEVHRLLRPNGFFVYSSPPAYRNDKEYPMIWDKLVNLTSAMCWKLISRKVQTAIWIKDENEVCLRQNAELKLISLCDVEDVLKPSWKVTLRDCVQISGQTEERPSSLAERLSAYPGTLRKIGISEDEYTSDTVYWREQVNHYWRLMNVNETEVRNAMDMNAFIGGFAAAMNSYPVWVMNIVPATMNDTLSGIFERGLNGAFHDWCEAFSTYPRTYDLLHSDHVFSHYNKSYGDGCLLEDIMLEMDRIVRPQGFIIIRDEESIISRIRDLAPKLLWEVETHELENKDKKMTETVLFCRKRFWAII >scaffold_301167.1 pep chromosome:v.1.0:3:4072717:4073922:-1 gene:scaffold_301167.1 transcript:scaffold_301167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDFSVVVLASDLGIDARPFLTRSDEVDEQENWHDCPQYLEDEDFSDLDLLQFFTLQGLDKSGNRIFRVVGKYFPARVVSAERLKKYIFQKISNQCSEGPFCLVYMHSTVQRDENSPGITILRWIYEDLPSDIKDRLQLVYFIHPGLRSRLVIATLGRLLLSGGLYWKIKYVSRLQYLWEEIKKGEVEIPDFVKNHDNVLEHRPLTDYGIEPDPFQLAEVQSSSFSLNRYENRWVS >scaffold_301168.1 pep chromosome:v.1.0:3:4074263:4076434:1 gene:scaffold_301168.1 transcript:scaffold_301168.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin folding cofactor B [Source:UniProtKB/TrEMBL;Acc:D7L8W6] MATSRLQLEGDDSVHLHITHANLKSFSADVRFSPQMSVEAVKEKLWKKCGTSVNSMALELYDDSGSKVAVLSDNSRPLGFFSPFDGFRLHIIDLDPSSVTTGGWLEDTSLVEKYNISEEDYAKRTDSFRKFKEKRVSQIPAAAEAKTKENYMEDLCANIKVGDRCQVEPGEKRGMVKYVGRAESLGPGYWVGIQYDEPLGKHDGMVKGTRFFECPPLQGGMVRPDKVKVGDYPERDPFEEDEI >scaffold_301174.1 pep chromosome:v.1.0:3:4094206:4095896:-1 gene:scaffold_301174.1 transcript:scaffold_301174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPLFISSLIILWYTSNIGVLLLNKFLLSNYGFKFPIFLTMCHMSACAILSYVSIVFLKLVPLQYLKSRSQFLKVATLSIVFCASVVGGNISLRYLPVSFNQAVGATTPFFTALFAYIMTFKGEAWVTYGALVPVVTGVVIASGGEPGFHWFGFIMCISATAARAFKSVLQDILLSSEGEKLNSMNLMLYMSPIAVIALLPVTIFMEPDVMSVTLTLGRQHKYMWLLLLVNSVMAYSANLLNFLVTKHTSALTLQVLGNAKGAVAVVISILLFRNPVTVMGIGGYSITVLGVVAYGETKRRFR >scaffold_301176.1 pep chromosome:v.1.0:3:4101124:4102734:1 gene:scaffold_301176.1 transcript:scaffold_301176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPPSSQGYGYGGNPPPPQPPYGSSGNNPPPYGSSGNNPPPYGSSASSPYAVPYGAQPAPYGAPPSAPYASPPGDHTKPHKEKPHGGAYGSPSPGGYGGYGGHGGGYGAPPPQQASYGSPFASLVPSAFPPGTDPSIVACFQAADRDNSGFIDDKELQGALSSYNQSFSIRTVHLLMYLFTNSNVRKIGPKEFTSLFFSLQNWRSIFERFDKDRSGRIDTNELRDALMSLGFSVSPVILDLLVSKFDKSGGRNRAIEYDNFIECCLTVKGLTEKFKEKDTVLSGSATFNYENFMLTVLPFLVA >scaffold_301180.1 pep chromosome:v.1.0:3:4123109:4126081:1 gene:scaffold_301180.1 transcript:scaffold_301180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:D7L8X7] MELCNQNNHITGDPLNWNATADALKGSHLDEVKRMVEDYRKGAVKLGGETLTIGQVAAVARGGEGGATVELAEEARAGVKASSEWVMESMNRGTDSYGVTTGFGATSHRRTKQGGALQNELIRFLNAGIFGTGAGDTSHTLPKPTTRAAMLVRVNTLLQGYSGIRFEILEAITKLLNHEITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPFGETLTASEAFKLAGVSSFFELQPKEGLALVNGTAVGSGLASTVLFDANILAVLSEVMSAMFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYVKEAQLIHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRAATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVAMDNSRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSTTYLVALCQAIDLRHLEENLKKAIKSAVSQVAKRVLTVGVNGELHPSRFTERDVLQVVDREYVFSYADDPCSLTYPLMQKLRHILVDHALADPEREANSATSVFQKIGAFESELKLLLPKEVERVRVEYEEGTSAIANRIKECRSYPLYRFVRNELDTELLTGENVRSPGEEFDKVFSAISDGKLIDPLLECLKEWNGAPIPIC >scaffold_301182.1 pep chromosome:v.1.0:3:4129460:4133362:-1 gene:scaffold_301182.1 transcript:scaffold_301182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDSYMDTRSNLTSVNRGSNVDLEDRFQRELESLLQQHRNRQTFGRERERDIDVHRSGSAPPTVEGLLRAMDNQYWNNNNSDHRDVGNVNSFSTSNGVELLSDDELRWHPEYLSYYYSNEHSNPRLPPPLLSREDWRVAQRFHNSESVFDPVGEWRKKAVEVDNSSSLFSVQPGVPVEQAENDLMELRNAVAQGRSQKVQRLDQGREDFIGLSGYPGLGPRRKSFADILQEGLERDATLGSQLSRPASCNTFRDMKDSAVLSNFSAGGFDSPLAFHESLHATAKNSPNTMLGSTTSSPVPRNRTPDSHLVGRSPASGLPPIGTRVGPVEKKNTFGTAIQNSESYTAADVADTLSRLSMSEMSQVKENHMQSQLQVELENQSDVMRYIPNGHKKALRQQDIAMAESKDHLFSANYGGMSGYGTSLGASTVGSHGQVNIPKRTSSSASLYSTSEHSRLGSLGLSDVNIRNANINGTDFSTAGGYLAKNKLNSLAEHYSAEGSHLTGEGDRQSLNRLINQVASELHSPVMDPLYSQYLHTASSTAAPTDHSLMRNNFGTSNGDTANEYLAMLLAQNRQQLGNLNAANSRFFESPSYDLGNIYLGNHLPSPSKNARNFQNIRMSQSASMMKVPFGGLHGSSHVDIGSMAEASLLEGFKNNKTRSLELSEIVGHVIEFSMDQYGSRFIQQKLETATDEEKNAIFPEILPYGRTLMTDVFGNYVIQKFFEHGTNKQRKELAEQVTGHVLALSLQMYGCRVIQKALEVVELEQQARIVQELDGSVMKCVHDQNGNHVIQKCIERLPQDWIQFIISSFYGKVLALSTHPYGCRVIQRVLEHIDDIETQRIIMEEIMDSVCTLAQDQYGNYVIQHIIQHGKPHERSEIINKLAGQIVKMSQQKFASNVVEKCLTFGGPEERQVLVNEMLGYTDENEPLQAMMKDPFGNYVVQKVLETCNDQSLALILSRIKVHLNALKRYTYGKHIVARVEKLITTGERRIGLSSSLAANATP >scaffold_301184.1 pep chromosome:v.1.0:3:4141644:4150697:-1 gene:scaffold_301184.1 transcript:scaffold_301184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFNGLPVPSDKTYLREELARIDESWAAARFDSLPHVVHILTSKDREADIHILKEQSDVVEEVVDEVVHAYHGGFNKAIQNYSQILRLFSESTEKIGDLKHDLAEAKQSLGARNKQLHQLWYRSVTLRHIISLLDQIEGIAKVPSRIEKLIADKQFYAAIQVYLQSSLMLEREGLQTVGALQDVRSELTKLRGALFFKILDDLHAHLYNRGEYSSVASSIYERDDEVPTTTAVAASRMSSQPLSRRTRTLKGDSQFGVRGLTNGSYRTGSNDESSSFDGHDEEDSVEHDESTADTARNGADSKLLSYQLPPWLSDSTPDEFIEAIRKSDDPLHVKYLQTLVQCLCMLGKVAAAGAIICQKLRPTIHEIIISKIKAHMETTNLSKSACSQGDRNVAAGLHFIKGQSEAYRLSKEKPQNGISNTGIHLAVSPVSPLMAPGGKAQTAAKELLDSILDTIVKIFENHVVIGELLELKASQHDINTPRSLPTDVNWNTESEASQATGGYTISFPLTVLQSECQQLICEILRATPEAASADSAAQTAKLAKKAPKKDKRDSPEDGLTFTFRFTDATVSISNQGADLIRQGWGKRAPNASLEGYGSAAVLPEQGIYLAASIYRPVLQFTDKITSMLPKKHSQLVNDGLLTFTENFVKDHLLPTMFVDYRKGVQQAISSAAAFRPRAHTTTYTATVEKGRPILQGLLAIDLLAKEVLGWAQAMPKFATDLVKYVQTFLERTFERCRTSYMEAVLEKLSYMLIGRHDIEKLMRLDAASACLPSTLGHAVSHSEAVGTEVELSELFLSLRPIKQDNLIRDDNKLILLASLSDSLEYVADSIERLGQAVPRVASQAEGNSRNQAASPRNLASFADEYRKLATDCLKVLRVEMQLETVFHLQEMTNREYLEDEDAEEPDDFVISLTSQITRREEGMAPFISGEKRNYVFGGICGIAANASIKALADMRSINLFGVQQICRNTIAVEQAMAAIPYIDGETVQQNLDRVRTYFELLNMPFEALLAFIAEHDQMFTPTEYSNLLKVNVPGRDTPSDAQSRLLEILSH >scaffold_301186.1 pep chromosome:v.1.0:3:4154599:4155417:1 gene:scaffold_301186.1 transcript:scaffold_301186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKIHQSDDEEDDTFYYRYSSVAAPPPSNPRQPSSSSAKSSAPGGGSGGLAPSKSTLYVSNLDFSLTNSDIHTLFSTFGKVARVTVLKDRHTRQSRGVAFVLYVSREDAAKAARSMDAKILNGRKLTVSIAADNGRASEFIKKRVYKDKSRCYECGDEGHLSYECPKNQLGPRERPPPPKKRGRRKEDEGEAEEIRDWSAAPSLEGFEEENWASVVDNEAGERLRMREAEEEEERRKKRKEKKVSYFSDESDDED >scaffold_301191.1 pep chromosome:v.1.0:3:4164925:4167939:1 gene:scaffold_301191.1 transcript:scaffold_301191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALNSRLVLIDINSSWQASRRLISATATAFSSDSSSSFRRTRGARQRISSSKSPASSPSPVRRPSDGFSFDVRSPSADSSNSASISSRKSPKMAPPTVELDAFLEILPPATRKELVKHEAIEELIEVVMDLGRKPLARFPSGDWVISEQPVTHQDLELAVSKVGDFSDDNRSGIDRSLHRISAIRNRKLQVIGLTCRVGRVVSGSAEIIRDLIEGGGSILVIGSPGVGKTTLIREIARMLADEHRKRVVIVDTSNEIGGDGDVPHSGIGRARRMQVPNVNLQHDVMIEAVENHMPETIIIDEIGTELEALAASTIAQRGVQLVATAHGMTIDNIIKNPSLQILIGGIESVTLGDEEARKRKVQKTILERKGPPTFTCAVEMISRTECRVHQRLDVTVDAILAGKSALFEIRQIRGEDDVPHKLVTPIPLERVEEEPAPLLNRDFASEVLSDDEDEDFLPIRYNKASSNTYKSPRSSPVHVYTYNVLEADLLQVAEVMGLDDEIEVTDDVGEADVILASSSELKQNSSIRRVAKLHKLPIFVIKSTTMAQMVKAVRMILGRESFGSAPENIEKSYVDDIEIKDDAPESKPSLEELDALEEVRLAIEYIVIPGGEPVELLPRRSDIIVRQLELVESYQLAVENLGTHLNPRLQILPRRSTKKTLSSSSPQKAADDSMGTTGTRLPFLKD >scaffold_301194.1 pep chromosome:v.1.0:3:4177082:4177517:1 gene:scaffold_301194.1 transcript:scaffold_301194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLIAFLLIIALSFGLNEACEDCTIIFRNALGPGTLLKVNCESNSKNRVTGTVKFKSMPVRINFREAAFERTTWHCLVQQGKSFQYFRAYRGSAPIPRCGELRVYIAKRDGIYLSANAGPEKLDQRWTTN >scaffold_301195.1 pep chromosome:v.1.0:3:4178453:4178903:1 gene:scaffold_301195.1 transcript:scaffold_301195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVFSFLFFILVLCFGSNEDKCHKNTVVFRNNLFVSHSTLKVHCKSRNDDLGEHFVKFQDPTYNFSFHDHLVLTTIFKCNLWKGARLEYHRNFTAYEGDPIYRCGALYTWDIRDDAIYLAKNDKPEQLMYSWIKG >scaffold_301196.1 pep chromosome:v.1.0:3:4179235:4179698:-1 gene:scaffold_301196.1 transcript:scaffold_301196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNIFFYLFVIIFLYFGSSEAISLKCHQNTITFQNNLFASRGILKVHCKSKNDDLGEHFVNYEGPTYNFSFHDNIIFNTEFDCDLWKGDHMEYHQSFVAYTSIFLINCGELHTWDARDNAIYYSKDGKPEELKFQWIKN >scaffold_301197.1 pep chromosome:v.1.0:3:4181043:4181385:1 gene:scaffold_301197.1 transcript:scaffold_301197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVPFLLFVFVFGLTMMSNTALSDSYTESVWIRNKLSDKNDLIVHCKSTDQDMGYHRVHPSGSYHLLYKEHDYDFFWCHLWQGPNFKHHQVFVVNDGNV >scaffold_301203.1 pep chromosome:v.1.0:3:4204710:4205990:-1 gene:scaffold_301203.1 transcript:scaffold_301203.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-symbiotic hemoglobin 2 [Source:UniProtKB/TrEMBL;Acc:D7L8Z8] MGEIGFTEKQEALVKESWEILKQDIPKYSLHFFSQILEIAPAAKGLFSFLRDSDEVPHNNPKLKAHAVKVFKMTCETAIQLREKGKVVVADTTLQYLGSIHLKSGVIDPHFEVVKEALLRTLKEGLGEKYNEDVEGGWSQAYDHLALAIKTEMKQEDQS >scaffold_301207.1 pep chromosome:v.1.0:3:4222992:4225896:-1 gene:scaffold_301207.1 transcript:scaffold_301207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSRPWNTLYWAIKLDGGFGIRTRDHQVEKGEIGNPKIGDLIDKVFGKIFCDVCSFITNCLGIEMEKNKLKKIGVVVPTELDFVRAIRLEYQIPDCVPLPDRVCDDDISEIREGFAFKVMSAGRRLSHKFTEVLHEFPNVYRLDPLYASLLHQRYNMDHYDRAVSEVSHAQEMVDAISGDYVKLLLKDDCDSRDKCKSLRVTFAKRSIPALGDLIDNGDCDSLEKFKRLEVNALGCMYTVAMRCMPSLAYLEKVRQYIASLPDSCLEFENNAAASTLRKTKCDAILADEDCTFPLAVDVDVHNVADFVHPDVLSWLDELVRENGPRIRDDQLDFAKQSHNPKEHLATVSSMKSLVI >scaffold_301208.1 pep chromosome:v.1.0:3:4226133:4228784:1 gene:scaffold_301208.1 transcript:scaffold_301208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMEKEFDSKLSLQGNSSSNGATISRSKSFAFKAPQENFTYHDFELGKIYGVGSYSKVVRAKKKDNGTVYALKIMDKKFITKENKTAYVKLERIVLDQLEHPGIVKLFFTFQDTQSLYMALESCEGGELFDQITRKGRLSEDEARFYSAEVVDALEYIHNMGLIHRDIKPENLLLTSDGHIKIADFGSVKPMQDSQITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIKFPNHFSEAARDLIDRLLDTDPSRRPGAGSEGYASLKRHPFFKGVDWKNLRSQTPPKLAPDPASQSASPERDGSPWNPTHVGDTSVLQNDGHNGLSESSGSITRLASIDSFDSRWQQFLEPGESVLMISAVKKLQKITSKKVQLILTNKPRLIYVDPSKLVVKGNIIWSDNSNDLNVQVSSPSHFKICTPKKVLSFEDAKQRALQWKKAIETLQNR >scaffold_301211.1 pep chromosome:v.1.0:3:4235504:4235713:1 gene:scaffold_301211.1 transcript:scaffold_301211.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L905] MAKKKRTHYFLHRLPVDSLPALFECPFLNTKFHHFNPNDMSVLICKLLTTLKPN >scaffold_301216.1 pep chromosome:v.1.0:3:4244654:4245293:1 gene:scaffold_301216.1 transcript:scaffold_301216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTEKEFDSKLALQGNSSSNGETISRSKSLAFKIMDKKFITKENKTAYVKLERIVLDPGIVKLFFTFQDTQSLCR >scaffold_301217.1 pep chromosome:v.1.0:3:4245360:4247335:1 gene:scaffold_301217.1 transcript:scaffold_301217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALESCQGGELFDQITRKGRFYSAQVVDALEYIHNMGLIHRDIKRKANASQPNHSASYLMQLLPVLKLMTNADDKACTFVGTAAYFPRSKLLSSNFRGLFSERQPPWSSTISVEITGAISLIDSRYSFEYQFDIDKVFHCDGLLLCTNVYHTRIVVWNPCTGQTRCIQPIDDCDYCALGSYQDHKSHGNSYKILSCNNGSLTKGYASQEFAIHEINSNDSNSWRIIDATRKCHVNHTDYGVSLKGNTYWFASDEEQEQLGVFLLSFDYTTERFRRQCLPYQCPIFETVSLSVIRDEKLSVLLQRESRSNIEIWLTNKIGETKVVSWSMLLLFTLDVPSEFYIWNGISFLVDEEKKVIVCCDKFMVTELDGKNLVYIIGEDNKVTQVDFGLVECWPFLFNYVPSMTQIHQGLLGGKRKRDE >scaffold_301236.1 pep chromosome:v.1.0:3:4311540:4316428:1 gene:scaffold_301236.1 transcript:scaffold_301236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLDVATVMPRLDVASVSAAKFGFQPTNKFLRSLSSVRCLDLALKEVACCSAINFPRLIEFMLRTYYSDNWLKPLMLLLHNAPKLKALKITSCSCEIPDGYEDEKSNPEKSVWDFLDKSLQLHKAPSLESLRINLGQQCPVDVDVGKWVLNAVDRFVRELILQIRFIPTLTYTDLASRDSIDESDRSLVSDSPGLNYVYIFDTGGDDCTIQNMPHLDVAILLVGSYPTNKFLRSLSSVRCLDLSLNEVACCSAINFPRLIEFKLHTGFSDNWLEPFMLLLHNAPKLKALMITSWIAFDDVCYHVQVTVKNPNLLLLVVSLPNPPPEAMSFDGLPLGAIEASQRSTMAITISLSVEHFVFSFITCT >scaffold_301238.1 pep chromosome:v.1.0:3:4324063:4325110:1 gene:scaffold_301238.1 transcript:scaffold_301238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDDSDWFARWEEELPSPEELMPISQSLISPDLALAFDIRSPNHGNGNSNQPHHHQTTPPTPSQLQLPSSQANSSAEFAADSADLGSGAAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSSGGGAGSDPATDRLFASSPVPAHFLHPNRVPSSDHFMPSFVPIATLQQQQQMAAAAAAAAAAANPHLQPPQFHRQIAAAHFGSPTNGGFSSPTNGQFGSPASNGFGSPTANGKFDPSFLAVRQTPQQQQQPIHRMSTPSLHSPVGNYVEDLESANANGGRTVLTLFPTRDD >scaffold_301239.1 pep chromosome:v.1.0:3:4329173:4329434:-1 gene:scaffold_301239.1 transcript:scaffold_301239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIPCIDSCLRIIDTARCPSTRLIACSLKTDKLPLGAAVTLSGGPVVKRSLQKRQVPLIRKPMWSFRVCLFL >scaffold_301240.1 pep chromosome:v.1.0:3:4330442:4332135:-1 gene:scaffold_301240.1 transcript:scaffold_301240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7L9Q8] MAAMTEKEAMVDPFLVEALQNPRHRLTILRMELDIQKFFQNPEQLQFEFRPFPTSYLRLAAHRVAQHYGLVTMALDNGTGAGDGSENRILVTKTAESRFPYVCLSEIPVKQPENGRPEGFKIAIKPRPKRGSGCGGSGSGVQQNLLRSVEERKEEYDKARARIFNSPSSSDSEDSSSLRPPPLEVKNTCINRNETEVAVNNDPVDAVTRDSGRTSRVAIIRDREKDRYDPDYDRSYDRYVVDPAYRYVRVMPSGQSFSPIPIHIPFHDGVFPQMPRGHQANLNYGHPLNPALSPFTHNAASYTPWPNSPAMNYAQPLNGSDTNLFRHPSASNP >scaffold_301247.1 pep chromosome:v.1.0:3:4348920:4349743:1 gene:scaffold_301247.1 transcript:scaffold_301247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAEMVDEMMMFKKMSVLPTEKDFLDAIVSVSLQELKRSSLKSKACPKFDPIHMAYRETVRFVGTTFSAMVSKVFKEFRPLDETHPVFGRLISQRFDISHLSLAVAQLVNAKRLFYSISMRFVQLLNKDDCDSLDKCKRLNVAALGCLLTVAMRILPCLAYLEKVRQYMAQATEKDLEDENAATLTNKKHVALLSKEACTGLHTPRFDIQNVAGFVLPEAVARVEELGLEYVFKLQDNQLDSAKLSQTHKEHLVHYSRL >scaffold_301249.1 pep chromosome:v.1.0:3:4352609:4354484:-1 gene:scaffold_301249.1 transcript:scaffold_301249.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase 2-2 [Source:UniProtKB/TrEMBL;Acc:D7L9R6] MKIFHVPCLQDNYSYLIIDESTGDAAVVDPVDPEKVIASAEQHQAKIKFVLTTHHHWDHAGGNEKIKQLVPEIKVYGGSLDKVKGCTDAVDNGDKLTLGQDVNILALHTPCHTKGHISYYVTGKEGENPAVFTGDTLFVAGCGKFFEGTAEQMYKSLCVTLASLPKPTQVYCGHEYTVKNLEFALTVEPNNEKIQQKLAWARQQRQADLQTIPSTLEEELETNPFMRVDKPEIQEKLGCKSPIDTLREVRNKKDQWRG >scaffold_301250.1 pep chromosome:v.1.0:3:4354735:4355542:1 gene:scaffold_301250.1 transcript:scaffold_301250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQPVKLKAVVYALSPFQQKIMTGLWKDLPEKIHHKVSENWISATLLVTPVVATYWYAQYFKEQEKLEHRF >scaffold_301257.1 pep chromosome:v.1.0:3:4374765:4376643:1 gene:scaffold_301257.1 transcript:scaffold_301257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPPSSTVKGCWHSLFMHHQKCVLVDTHDVGNNCKVTAFIGGIDLCDGRYDTPDLETVFKDDFHNPTFPAGTKDPKQPWHDLH >scaffold_301263.1 pep chromosome:v.1.0:3:4390744:4391657:1 gene:scaffold_301263.1 transcript:scaffold_301263.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L37a [Source:UniProtKB/TrEMBL;Acc:D7L9S8] MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSNYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTES >scaffold_301268.1 pep chromosome:v.1.0:3:4415446:4415912:1 gene:scaffold_301268.1 transcript:scaffold_301268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLTGGVSPSSSSFEFVPLSVVSFGSTVIAEGCDAATSISWIHAWTVANGIITQVREYSNTSLTVTRIGNVVAGRRSAEIAPSHCPSVWESQFSGRAGKSVPGLVLAI >scaffold_301277.1 pep chromosome:v.1.0:3:4448208:4450247:1 gene:scaffold_301277.1 transcript:scaffold_301277.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer membrane OMP85 family protein [Source:UniProtKB/TrEMBL;Acc:D7L9U2] MANPTENLDANLPIREPETEEEVEELNGGEEEEDYEGEDDDTESKSQTREGGSDRIKAESLFRRMRAAPVPVRVHDVIVSGNEKTKDHIIEAEVDAVREATTLQELLEASRVANSNLRALEIFDSVNITLDSGPPELPGTTNVVIEVVESKSPLTGQIGAYTRAEARSSSVEASLKYKNIFGYGDIWDGSVVYGCDNSAEVGLGMYLPRFRGLSTPFTSRLFLSTQDWLKFSSYKERSLGLSLGLLSSKYHELVYTIAWRNLIDPSQAASKSIRRQLGHSLLSALKYTFKFDQRNSNLRPTNGYAFISTSQIGGLAPDSRSLRFLKQEIDLRYAVPFGFYNAALNFGVSGGVTFPWGSGYQNRPSSVPERFFLGGNSSPVCSLGGPSALWGFKTRGLGPNEPKRKGDSERDFVGGDAAVTAFADLSFDLPVRWLRERGIHGHVFACAGNMAKLSENEFRNFTAPKFLETFRTSVGAGIVLPTSLFRMELNYCHILKKQEHDQARSGVFVTFSASS >scaffold_301278.1 pep chromosome:v.1.0:3:4451367:4451785:1 gene:scaffold_301278.1 transcript:scaffold_301278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFCNSTSITPITLSFLFLLICIFRDVFAVPTRHLCRPEQRDALLEFKNEFKIGKPILQCTGVHPKTESWTNTSDCCNWEGITCNAISGVVIELDLSCSCFHGKLVASMASYIPIVVFKTFIL >scaffold_301280.1 pep chromosome:v.1.0:3:4457256:4458175:-1 gene:scaffold_301280.1 transcript:scaffold_301280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7L9U5] METTPETQSKTHQSGSNRLPAGREDWWSEDATATLIEAWGDRYVNLNRGNLRQNDWKEVADAVNSSHGNGRPKTDVQCKNRIDTLKKKYKTEKAKPLSNWCFFDRLDFLIGPVMKKSSGGVVKSAFMNPNLNPTGSKSTGSSLDDDDDDDDDDEEDDDDAGDWGFVVRKHRKVEDVDPSEGSAFRELARSILKLGEAFERIEGKKQQMMIELEKQRMEVAKELELQRMNMLMEMQLELEKSKLGKRRAASGKKL >scaffold_301281.1 pep chromosome:v.1.0:3:4460176:4460696:1 gene:scaffold_301281.1 transcript:scaffold_301281.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7L9U6] MTSSSPSPQASMILYWHENQYDDRNFQIHGRTLFFAMALFSVVIFFALLTLYIHRNCLSRNSLNLHAPSSDQHTRCAGGGLDPATIRSLPVVLCRREAAEEEEEEKECCICLGGFEEGEKMKVLPPCSHCYHYECVDRWLNTESSCPLCRVNIRVDSSS >scaffold_301286.1 pep chromosome:v.1.0:3:4481781:4482537:-1 gene:scaffold_301286.1 transcript:scaffold_301286.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L9V0] MAPNNNLRSVIAQSLYDLLNKMQTFQCRHLSTRSRRNVPSSRSYNGNNNAIIELLEFRRTMMRDVEERNRRLGVRGEPPEILRDMLNWILQ >scaffold_301288.1 pep chromosome:v.1.0:3:4487523:4491344:1 gene:scaffold_301288.1 transcript:scaffold_301288.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7L9V2] MSDQNKNKIETKNKNGLGEKWPEPIVRVQSLAEKNLTTLPDRYIKPPSQRPQTTTINHEPEAAAINIPIIDLDSLFSGNEDDMKRISEACREWGFFQVINHGVKPELMDAARETWKSFFNLPVEAKEVYSNSPRTYEGYGSRLGVEKGAILDWNDYYYLHFLPLSLKDFNKWPSLPSNIREVIDEYGKELVKLGGRLMTILSSNLGLREEQLQEAFGGEDIGACLRVNYYPKCPQPELALGLSPHSDPGGMTILLPDDQVVGLQVRHDDTWITVNPLRHAFIVNIGDQIQILSNSKYKSVEHRVIVNSEKERVSLAFFYNPKSDIPIQPMQQLVTSTMPPSYPPMTFDQYRLFIRTQGPRGKSHVESHLSPR >scaffold_301301.1 pep chromosome:v.1.0:3:4533104:4533928:-1 gene:scaffold_301301.1 transcript:scaffold_301301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGALFVTVLSALILSDGYVTLLDYLPIKSKCSSGYDVAMHVQRILADTLGFECTDFTRKVVN >scaffold_301307.1 pep chromosome:v.1.0:3:4549850:4550088:1 gene:scaffold_301307.1 transcript:scaffold_301307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGFRFKYYSSKVEVRRNSNSTRLNCVECGNRCPRYIYYKNDNSVTVTCSLNCLEKKLIPLKTF >scaffold_301318.1 pep chromosome:v.1.0:3:4596470:4598372:1 gene:scaffold_301318.1 transcript:scaffold_301318.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LAN3] MTVVPSFVRNSAVASTSWNVRLRELAYQSLFTESISLYRSMLRSGSSPDAFSFPFILKSCASLSLPVSGQQLHCHVIRGGCEAEPFVLTALISMYCKCGLVEDARKVFEENPHSSQLGVCYNALISGYTANSKVSDAAFMFRRMKETGVSVDSVTILGLVPLCTVPEYLWLGRSLHGECVKGGTYSEVAVLNSFITMYMKCGSVESGRRLFDEMPVKGLITWNAVISGYSQNGLAYDVLELFEQMKSSGVCPDPFTLVSVLSSCAHLGAKKIGQEVGELVEANGFAPNVFLSNALISMYARCGNLAKARAVFDIMPVKSLVSWTAMIGCYGMHGMGETGLTLFDDMIKRGIRPDGAVFVMVLSACSHSGLTDKGLELFRAMKREYKLEPGPEHYSCLVDLLGRAGRLDEAMEFIDSMPVEPDGAVWGALLGACKIHKNVDMAELAFAKVIEFEPMNIGYYVLMSNIYSDSKNQEGIWRIRVMMRERAFRKKPGYSYVEHKGKVHLFLAGDRSHEQTEEVHRMLDELETSVMELAGNMDCDRGEEVSSTTREHSERLAIAFGILNSIPGTEILVIKNLRVCEDCHVFIKLVSKIVDRRFVVRDASRFHYFKDGVCSCKDYW >scaffold_301319.1 pep chromosome:v.1.0:3:4598451:4600245:-1 gene:scaffold_301319.1 transcript:scaffold_301319.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4'-phosphopantetheinyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LAN4] MRISVISQLQRSFSMEFPSLVSLKLPSPMETHLWYIRPDEVKIPSLLKHYSQLLSPSEIDKVSQLRGDQLKKNALLARTLVRTTIARYQTNNAVDPRSLMFKKNTYGKPEVDWQNYKNCNIPPLHFNISHTDSLIACGVTVHVPVGIDVEDKERKIKHDVLAFAERFYSADEVKFLSTIPDPESQRKEFIKLWTLKEAYVKALGKGFSAAPFNTFTIQSKAGTKGEYNLCKTSEMTTSSLEKTNNCNGEWKFSLLELADSHYAAICIEDDQASGGAPMRVIVRKTIPFVEDELISESILL >scaffold_301320.1 pep chromosome:v.1.0:3:4603033:4605485:1 gene:scaffold_301320.1 transcript:scaffold_301320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl transferase [Source:UniProtKB/TrEMBL;Acc:D7LAN5] MDPRFIDTIPSLSYNDDKCDDEYAFVKALCMSGGDGTNSYSTNSRLQRKVLTMAKPVLVKTTEEMMMSLDFPTYIKVAELGCSSGQNTFLAISEIINTISVLCQHVNKNPPEIDCCLNDLPENDFNTTFKFVPFFNKELMITSKASCFVYGAPGSFYSRLFSRNSLHIIHSSYALHWLSKVPEKLENNKGNVYITSSSPQSAYKAYLNQFQKDFSMFLRLRSEEIVSNGRMVLTFIGRNTLNDPLYRDCCHFWTLLSKSLRDLLFEGLVSESKLDAFNMPFYDPNVQELKQVIRNEGSFEINELETHGFDLGHSNYEEDDYEAGHDEANCIRAVSEPMLVAHFGEDIIDTLFDKYAHHVTQHANCRNKTTLSLVVSLTKK >scaffold_301330.1 pep chromosome:v.1.0:3:4640264:4642445:-1 gene:scaffold_301330.1 transcript:scaffold_301330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNHYHNTLSLHQHHQNDVAISQRESLFEKSLTPSDVGKLNRLVIPKQHAEKYFPLNNNGGDDDVATTEKGMLLSFEDESGKCWKFRYSYWNSSQSYVLTKGWSRYVKDKHLDAGDVVFFQRHRFDLHRLFIGWRRRGEASSSPAVSAVSQEALVNTTAYWSGMTTPYRQVHASTTYPNIHQEYSHYGAVADQAQSIPPVVAGSSRTVRLFGVNLECHGDAVEPPPRPDGYNGQHIYYYSTPHPMNISFAGEALEQVGDGRG >scaffold_301332.1 pep chromosome:v.1.0:3:4656334:4656566:-1 gene:scaffold_301332.1 transcript:scaffold_301332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFMSYPPTRRQLTVSVGFFAAGVSLFVAGAYLSLANIAPQQARVKARNDFVKDRIRKWLDD >scaffold_301334.1 pep chromosome:v.1.0:3:4661886:4664275:-1 gene:scaffold_301334.1 transcript:scaffold_301334.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQNKLMNEAKRHVDSRLCRVSGLMTEIMEIQAENPTFYVLFIPGNPGVVSFYKEFLESLYEFLDGNASVIAIGQISHTSKDWESGRLFSFQEQIDHKIDFIRQELESVKLPIILVGHSIGSYISLEMLRKFSEKVVYCIGLYPFLTLNQQSTKQSLIGKLAASSILSATASFLIASLRLLPMSAARLLVSKSIGASWSDTAVQATCTHLRQYHTMRNVLFMAKSEFRELAAEPDWDFMRENQSKLAFLFGIDDHWGPLQLFEEISKQAPGTSLAIEREGHTHGFCCTVAGSAWVAQHVATLIKNRFSQLQ >scaffold_301335.1 pep chromosome:v.1.0:3:4664741:4666553:1 gene:scaffold_301335.1 transcript:scaffold_301335.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-cys peroxiredoxin, chloroplast [Source:UniProtKB/TrEMBL;Acc:D7LAP9] MASLASSTTLISSPSSRVFPAKSSLSSPSVSFLPTLSSPSASASLRSGFAQRSSLSSTSRRSFAVKAQADDLPLVGNKAPDFEAEAVFDQEFIKVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRHSEFEKLNTEILGVSVDSVFSHLAWVQTDRKSGGLGDLNYPLISDVTKSISKSFGVLIHDQGIALRGLFIIDKEGVIQHSTINNLGIGRSVDETMRTLQALQYIQENPDEVCPAGWKPGEKSMKPDPKLSKEYFSAI >scaffold_301336.1 pep chromosome:v.1.0:3:4667005:4667612:1 gene:scaffold_301336.1 transcript:scaffold_301336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALTNSGAVLLAVLLFFLLVLLAELSYIFCRRTGSLPSPASKEVIFQFLMCCKKNHHSRIEPSTGIVSVQMEEDVVAAAAPEEDLFAVDKWRVSRLLFTIEEEDLELEDEDDDVVSAKEDNDGELRVDIPVEYSGDPTPFLTPCDSPPYFTPSPSPGRDMDDVIDVYDVNSRNRNCCFNFQGSQMC >scaffold_301339.1 pep chromosome:v.1.0:3:4674492:4677952:-1 gene:scaffold_301339.1 transcript:scaffold_301339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETLIPPSSTSMATGTATSSSLSMTLSSTNALSFLSKGWREVWDSADADLQLMRDRANSVKNLASTFDREIENFLNNSARSAFPVGSPSASSFSSEIGIMKKLQPKISEFRRVYSAPEISRKVMERWGPARAKLGMDLSAIKKAIVSEMELDERQGVLEMGRLRRRRNSDRVRFTEFFAEAERDGEGNFGDWEPIRSLKTRFKEFEKRNSLEILSGFKNSEFVEKLKTSFKSIYKETDEAKDVPPLDVPELLACLVRQSEPFLDQIGVRKDMCDRIVESLCKCKSQHLWRLPSAQASDLIENDNHGVDLDMRIASVLQSTGHHYDGGFWTDFVKPETSENKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSAKQSVTLVVPWLCESDQELVYPNNLTFSSPEEQESYIRKWLEERIGFKADFKISFYPGKFSKERRSIFPAGDTSQFISSKDADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFFVNHVNNWVTRAYCDKVLRLSGATQDLPKSVVCNVHGVNPKFLMIGGKIAEERSRGEQAFSKGAYFLGKMVWAKGYRELIDLMAKHKSELGSFNLDVYGNGEDAVEVQRAAKKHDLNLNFLKGRDHADDALHKYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNEFFRSFPNCLTYKTSEDFVSKVKEAMTKEPLPLTPEQMYNLSWEAATQRFMEYSDLDKILNDGDGGRRMRKSRSVPSFNEMVDGGLAFTHYVLTGNDFLRLCTGATPRTKDYDKQHCKDLNLVPPHVHKPIFGW >scaffold_301340.1 pep chromosome:v.1.0:3:4680888:4681787:1 gene:scaffold_301340.1 transcript:scaffold_301340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRQNRCLRSLFWLGDTNRRCGAGVVKGFQPGLYQANGGGANVVAHGYTKGSGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPILVPLPIGFAVFLVHLATIPITGTSSNPARSLGAAIIYNKDHAWDDHVNA >scaffold_301351.1 pep chromosome:v.1.0:3:4719063:4719705:-1 gene:scaffold_301351.1 transcript:scaffold_301351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDGQGFMMVDNSWIQTKAIDVGSTTDISPYLSKILEDSVWNGNRSIVFDVYWDVESVNTKSEWRLCSVKFSTKNFCLFLRLPNPFSDNLKDLYRFFASKFVTFVGVQIQEDLALLKENHGIVIRSSLEIGKLAAVARGTPIVEFLGTRELAHKILWYDMSRLDSIQSKWDEASSNDRLEAAAIEGWLIFNVYDQLQQ >scaffold_301355.1 pep chromosome:v.1.0:3:4726232:4727614:-1 gene:scaffold_301355.1 transcript:scaffold_301355.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP121 [Source:UniProtKB/TrEMBL;Acc:D7LAR9] MNDLFSSSFSRFRGEPSPRRDAPGGGDGLQMANPAGSTGGVNLDKFFEDVESVKEELKELDRLNETLSSCHEQSKTLHNAKAVKDLRSKMDGDVGVALKKAKMIKVKLEALDRANAANRSLPGCGPGSSSDRTRTSVLNGLRKKLKDSMDSFNRLRELISSEYRETVQRRYFTVTGENPDEGTLDRLISTGESERFLQKAIQEQGRGRVLDTINEIQERHDAVKDIEKNLRELHQVFLDMAVLVEHQGAQLDDIESHVGRASSFIRGGTDQLHTARVYQKNTRKWTCYAIIILIIIIIVVVLLVLKPWNNGAGGGAGGGNSGGNQPNSGTPPSPPQARRLLR >scaffold_301356.1 pep chromosome:v.1.0:3:4728686:4732436:1 gene:scaffold_301356.1 transcript:scaffold_301356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMMQPQIILLKEGTDTSQGKAQLVSNINACTAVGDVVRTTLGPRGMDKLIHDDKGSVTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFLKEAKPFIEDGVHSQNLIRSYRTASTLAIAKVKELAVSIEGKSVEEKKGLLAKCAATTLSSKLIGGEKEFFATMVVDAVMAIGNDDRLNLIGIKKVPGGNMRDSFLVDGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCVESGAKVVLSRLAIGDLATQYFADRDIFCAGRVAEEDLNRVAAAAGGTVQTSVNNIIDEVLGTCEIFEEKQVGGERFNIFSGCPSGRTATIVLRGGADQFIEEAERSLHDAIMIVRRAVKNSTVVPGGGAIDMEISKYLRQHSRTIAGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNKLRQKHAMQSGEGASYGVDINTGGISDSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGDAAGAMGRGRGGGRGRGMRRR >scaffold_301358.1 pep chromosome:v.1.0:3:4734553:4737372:1 gene:scaffold_301358.1 transcript:scaffold_301358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWSQSLSYFPLNMSSNGEERLTEMKKKRKTTPRITTKKKKKNKPSTTPQSSPFLSLPDDLVLNIVARVPRLYYPTLSLVSKSFRSLLASPELYKVRSLLGKTESCLYVCINWFPEGFRWFTLCRKPDQTLTNDEKKKSSGYVLASVPMTNTPHADFASVVALGSDIYNIGVPQSSREASSSSVFILDCRSHTWRQAPSLPVELFTVSVGLIDGKKIYAAGFFDANSEDKNSLSVFDPKTQAWDPVPIPCSEPLGVFYRHSACIDGKLHVAAQNMNVAYNFKEARWDSSQRVIYYHMISNSFCVVENVLYSASHGAFKWYDTEAGWRVLQGLVGLPKFPHDSCVRLGNFGGKLAVLWETIPYGNVHCSLIKKMIWCAVIELERRPNCEIWGKVEWFDHVLTVSIYSTLESVVSATV >scaffold_301360.1 pep chromosome:v.1.0:3:4751422:4752071:1 gene:scaffold_301360.1 transcript:scaffold_301360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIESVDYNGFETIKCNSDVDHGSKKVVSRKQKPADQSAKMVAAYVEDEWSGAGEAISKSRSNCDGDEGYDFDNEIATKDVKPNKETKPKVSLAEAAAKIDPWDLADSLAKISKSYHEMRKCLARPLLEVRLLRFVDYLGITLSAVQFPWLETFKPWPKLIDLIDVSSFFP >scaffold_301361.1 pep chromosome:v.1.0:3:4753083:4753552:1 gene:scaffold_301361.1 transcript:scaffold_301361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWSLTENVECCKQWERLNWENQKGSAAVLKKLEDEWNDISLKLSSSPSHTITLIQTMKNIRLKNKKATTEADKSCKVILGRLFRESGCVKGTAIITAVVLAAAVILSSNL >scaffold_301363.1 pep chromosome:v.1.0:3:4761433:4761623:-1 gene:scaffold_301363.1 transcript:scaffold_301363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPLRLADFRYIMGIFEKYTVLKPQPLILA >scaffold_301367.1 pep chromosome:v.1.0:3:4788583:4790358:1 gene:scaffold_301367.1 transcript:scaffold_301367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDLTATTGDSSLPLIKPPPSETTGGDRTSALQTLGNIIVSIVGTGVLGLPYAFRVAGWFAGSLGVIIVGFATYYCMLLLIQCRDKLESEQGEEESKTYGDLGFKCMGTKGRYLTEFLIFTAQCGGSVAYLVFIGRNLSSIFSSYGLSMVSFILILVPIEVGLSWITSLSALSPFSIFADICNIIAMCFVVKENVEMVIEGDFSFSDRTAISSTIGGLPFAGGVAVFCFEGFAMTLALENSMRDREAFPKLLAKVLAGITFVYVLFGFCGYMAYGDQTKDIITLNLPNNWSAIAVQIGLCVGLTFTFPIMVHPLNEIIEQKLKKIDWLQKHHHGYNNETGSVSKCAIFMTRTLLVVGLAAIASLVPGFGTFASLVGSTLCALISFVLPASYHLTLLGPSLNVWNKSVDVFIVICGLLFAVYGTYNTIVGV >scaffold_301372.1 pep chromosome:v.1.0:3:4811732:4814436:-1 gene:scaffold_301372.1 transcript:scaffold_301372.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase [Source:UniProtKB/TrEMBL;Acc:D7KZM5] MELSISQSPCVRFSSLAPRFLAASHHHRSSVHLAGKFISLPRDVSFTSLSTSRMRSKFVSTNYRKISIQACSQVGAAGTDPVLDRITRFQNACWRFLRPHTIRGTALGSTALVARALIENTHLIKWSLVLKALSGLLALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWLLVIFFAIAGLLVVGFNFGPFITSLYSLGLFLGTIYSVPPLRMKRFPIAAFLIIATVRGFLLNFGVYHATRAALGLPFQWSAPVAFITSFVTLFALVIAITKDLPDVEGDRKFQISTLATKLGVRNIAFLGSGLLLVNYVSAISLAFYMPQVFRGSLMIPAHLILASCLIFQTWVLEKANYTKEAISGYYRFIWNLFYAEYLLFPFL >scaffold_301376.1 pep chromosome:v.1.0:3:4838631:4839971:1 gene:scaffold_301376.1 transcript:scaffold_301376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSYSYSPSPPRSYGRRYRSPSPVDYYRGRSRDPPTSLLVRNLRHDCRQDDLRRPFGRFGRLKDIYLPRNYYTGGRTSTRSPHGYSRSPGYSRSPKHRRSYSRSPKYRRSCSRSPNDDRCHSRYCNWGVNSQFRFQRF >scaffold_301378.1 pep chromosome:v.1.0:3:4844399:4844960:1 gene:scaffold_301378.1 transcript:scaffold_301378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVFMVMLVSIVMVCPIFSQEIDPYSQEVPEDVAISPTSDFDIYVESPDEAPFEEAGSPAMEYDMELAHHYSHKQLDFLQACAEKPSVKCGDEVFKNMLDETTPITDECCRDILKMGKDCHLGLVKIIFATYEYKNIASKGIPNSKQTWNDCVRRVGSKIGAPVSLEQ >scaffold_301380.1 pep chromosome:v.1.0:3:4849901:4854826:1 gene:scaffold_301380.1 transcript:scaffold_301380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKNSTTASTLGRILATCSKQAKDYGSCVASKVHEVERDICLKEFLALKSCMQHTASVGSGALSHVYIHHPALRCNIPESRGLFYDDANRLLICTTSSQVFSWETSPFNPDVPPSVDSINEGPILSIRFSLDKKAIAVQRSDCEVQLFNRETKKILNHKCKAGSESILGFFWSDSPLCDLAIVKTSGMDLFACDSVLNSLRLVETKKANVNWYTYTHETRLVLLASGLQCKTFNGFQLSTAGVVRLPRFEMTMARSESNSKPILSAGDLHLVTVYGRIYCLQVDREAMLLHLYRFYRDAVVQQGSLPIYSSTLSVNVVDNLLLVHQIDAKVVIIYDLFVDSRAPVSAPLPLLWRGYQGSDTSSQAANKEIESSESSTSNENIVMYEDGWTFLVPDLILDQTNKVLWKIHLDLEAISASSSDRTSLLEFLQRRKLEANKAKQLCLGIARDIILERRPATQVTQAIDVLVTAYSYSVKAGTYKEIKNEKATASTPTAGASPDNERHRPSGSNIDEDVEMNLSSGSKENMFCANEQQESQLSSPAISPDELYKFVFASVEEMMVEESEYLVAIITEFLRSISAEKLKVDLNIYVMTIRLLAYSKRFAELSLFTTNKIIEPSKEVAFQLLESGRQNQNIRVRKLGLDMLRQLSLHHDYISCLVQDGYYLEALRYAQKHKVTTVRSSLFLEAAFASNDMQHLAAILRVLSELIPGFKETSEYYTFYGLLNDTSSSVGV >scaffold_301389.1 pep chromosome:v.1.0:3:4887337:4890269:-1 gene:scaffold_301389.1 transcript:scaffold_301389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSISFNPRGDNELPNDRSSDVGYVANDRRLAYSRSFQQSHGPRTPAVTEAAKPFLDRTVSSIDMPPEIYSVDGNDVFYGEGKDVEIGKVSVLDMVWEIFGVLRSGNRQMKRLFLLIALNVLYSTTELSIGIFTGRVGLVSDAFHLTFGCGLLTFSLFAMATSRKKPDHAYSYGYKRLEVLSAFTNALFLMFMSFSLAVEALHAFIQDESEHKHYLIVSAVTNLLVNLLGVWFFRNYARVNIAYRKAEDMNYHSVCLHVIADSIRSAGLILASWLLSLGVENAEVLCLGLVSITVFMLVMPLFKATGGVLLQMAPPNIPSSALSKCLRQITSREDVMEVLQARFWEVVPGHTVGSLRLQVKSGIDERPLLQYVYDVYHDLGVQDLTLQTDYT >scaffold_301396.1 pep chromosome:v.1.0:3:4910679:4911880:-1 gene:scaffold_301396.1 transcript:scaffold_301396.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein FLR1 [Source:UniProtKB/TrEMBL;Acc:D7KZQ1] MKLLVHLSLFLSILFVSLPSSYSCTPNDKNALLQIKKSLNNPPLLSSWNPRTDCCTGWTGVECTNRRVTALSVSSGEVSGQIPYQIGDLLDLRTLDFSYLPHLTGNIPRTITKLKNLNTLFFKHTSLSGRIPDYVSELKSLTFLDLSFNQFTGPIPGSLSQMPKLEAIQINNNKLTGSIPNSFGSFVGNVPNLYLSNNKLSGKIPESLSKYDFNAVDLSGNGFEGDGFMFFGRNKTTVRVDLSSNMFNFDLVKVKFARSIVSLDLSHNHIYGKIPPALTKLHLEHFNVSDNHLCGKIPSGGLLQTFEPSAYAHNICLCGTPLKAC >scaffold_301404.1 pep chromosome:v.1.0:3:4937930:4940403:-1 gene:scaffold_301404.1 transcript:scaffold_301404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWIFKLLLLQFVLVLIQHADSGSIIRYLPGFEGPLPFELETGYIGVGEEDEDQMFYYFIKSESNPDEDPLLVWLTGGPGCSSFSGLVYENGPLAFKVETYNGSIPTLVSTTYSWTKVANIIYLDQPVGTGFSYSTNPLADIPSDTGSAKRVNEFVRKWLAKHPEYFSNPFYVTGNSYSGKVIPAIVQEISNGNYICCKPQLNLQGYVIGNPVTDSAHDKDSRIPFAHGAALISDELFESMKRSCKGSYSIVDPLNTECLKLVEDYHKCVSGIYEELILKPQCETTSPDCYTYRYLLSEYWANNESVRRALKIVKGTKGKWERCDWSVLCNKDIKSSIPYHMYNSIKGYRSLVISGDHDLTIPFVGTQAWIRSLNYSITEKWRPWMILDQVAGYTKTYANKMTFATVKGGGHTLEYKPEENSILFKRWISGQPL >scaffold_301411.1 pep chromosome:v.1.0:3:4957313:4958676:-1 gene:scaffold_301411.1 transcript:scaffold_301411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGSKPLQIWDKEVVDGHVKRCHDDDIQSNVLEVVGSNIQSTYITCPADLSATLGIKLPFLVLVVKNMKKYFSFEIQILDDKNVRRRFRASNFQAVTRVKPYICTMPLKMDEGWNQIQLNLADLTRRAYGTNYAETLRVQIHANCRLRRIYFADRLYSEEELPPEFKLYLPVQKA >scaffold_301412.1 pep chromosome:v.1.0:3:4959597:4960866:1 gene:scaffold_301412.1 transcript:scaffold_301412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYAGRNFEELVVPSYQESSSETYPSTGMWGGWSMSSPEAAEKCFDYDGFNGGGMMYSQMGMRTSEEEEESKRSKAFYGASSLHDFEGIEQMDDIFLSSILEDVPEDEGDVHRASSSNNSVGSSSMFGGGREVPMFHCHDMSFKEEAPFTISDLSEENMLDSNYGDELSSEELVLQDLQRASQKLTDETRKCFRDTFYRLARSSQDNSDSVSPNSEELLVQTSRYNYGDGNRLSREEEIETETNSIDRAVANLTFNKMESNISNFPLSERVQ >scaffold_301416.1 pep chromosome:v.1.0:3:4967918:4969464:1 gene:scaffold_301416.1 transcript:scaffold_301416.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7KZR8] MATFALPFCEIPEDLQLRILSFLSPAEISCFACTSKRFASLCQEDGKIWHVMCDQRWGKKTKIQKWGNGQIPYRLLYKTLKGLENLIGFWRLCGRANPAASSPPLVFFDWGPSFVLGSRVLSTGDDTYQVKKTPFLLLGISSEGRTENFLDLVGGNLRSVDDDLKELEASDNLVSVDVNFMGNGHIMVEENRCFINNNLREEQKSSGDESDDLISSPDFSEMYTQLANKTSPGGDRRRQKRKEKERQASRTKWEPEHFLKVADCSPTPTKPLQGLWKGFCEGSMELYLVKYDEVGGIICRKVEDLSLSRYTPPVFWTPKHAFIRSPFSAEEELLLNSRIHISHLAEVHENVVSGILYIKSSYDLVLPGEAANGLGFLRGEGRVWLYENGTFGFGFLRDQFVIDMKRVALEDGCLADEIEASM >scaffold_301418.1 pep chromosome:v.1.0:3:4973992:4974544:-1 gene:scaffold_301418.1 transcript:scaffold_301418.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10 family protein [Source:UniProtKB/TrEMBL;Acc:D7KZR9] MSSLRRALLVAGKTKINLENCHFITGINYNGLSVKQLQELRGILRENTNTKLLVAKNTLVFKALEGTKWESLKPCMKGMNAWLFVQSEDIPAALKTFINFQKEKKLYDNNLGGAVFEEKLYAPQDYKVIETMPSRSDVYGMMLGSLHWPALDLVNTLQAPTATENETVVA >scaffold_301419.1 pep chromosome:v.1.0:3:4974844:4978412:-1 gene:scaffold_301419.1 transcript:scaffold_301419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSRIRRQTDYNTYPSSIPIVIDNGASYFRIGWAGETEPRVVFRNIVQRPRHKATGETVTIVGDQDPAMMKYFDCTRSGPRSPFDSNVVYQFEIMEYILDFAFDRLGANGSGIDHPILITECACNPVQSRSKMAELLFETYGVPAVVFGVDAAFSYKYNQLHGICKKDGIVLCPGFTTTHAIPFVDGEPIYKGSCRTNIGGYHVTDYLKQLLSLKYPFHSSRFTWEKAEDLKLEHCYIAPDYASEIRLFQEGTKEAEEKTRYWQLPWIPPPTEVPPSEEEIARKAAIREKQGQRLREMAEAKRLSKINEMDNQLTSLHFLLKQVDQVEEDDIPTFLSDTGYASRQELESTITKVTQSLRKARGEPKNEPAESEENTDSLNNEKYPLMNVPDDILTPEQLKDKRRQMFLKTTAEGRLRARQKRNEEELEKEKRNELEEERRRENPESYLVEMQAQYKEVLERVEQKKRLKTNGSSNGNNKSGGVGRGERLSAAQRERMRLLTTAAFDRGKGEDTFGTRDEDWQLYKLMSKDNDDDDEQPDSDEAELARLSSRLQEIDPKFVQKVEGESSQTSGEMPRVRPLTEEDYKIVIGIERFRCPEILFHPNLIGIDQVGLDEMAGTSIRRLPHDEKELEERLTSSILMTGGCSLLPGMKERLECGIRMIRPCGSPIDVVRAMDPVLDAWRGASAFAANLNFLGNAFTKTDYYEKGEDWLRKYQIRYNYL >scaffold_301421.1 pep chromosome:v.1.0:3:4981354:4982596:-1 gene:scaffold_301421.1 transcript:scaffold_301421.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATELC [Source:UniProtKB/TrEMBL;Acc:D7KZS2] MVPSPSNPQQIQQFLSSALSQRGPSSVPYEESNKWLIRQHLLNLISSYPSLEPKTASFMHNDGRSVNLLQADGTIPMPFHGVTYNIPVIIWLLESYPRHPPCVYVNPTADMIIKRPHAHVTPSGLVSLPYLQNWVFPSSNLVDLVSDLSAAFARDPPLYSRRRPQPPPPSPPTGYDSSLTRPPSADQSLARPFPPSPYGGGGRVQVQHVHHQQQSDDAAEVFKRNAINKMVEMVHGDLVSMRRAREAEAEELLSLQAGLKRREEELNRGLREMVEEKETLEQQLQVISMNTDILDSWVRENQGKTKNLVDLDVDNAFECGDTLSKQMLECTALDLAIEDAIYSLDKSFQDGVVPFDQYLRNVRLLSREQFFHRATGSKVRAAQMEVQVAAIAGRLHS >scaffold_301427.1 pep chromosome:v.1.0:3:4999556:5000873:-1 gene:scaffold_301427.1 transcript:scaffold_301427.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:D7KZS8] MALLGGVRDVPANQNSGEVESLARFAVDEHNKKENALLEFARVVKAKEQVVAGTMHHLTLEILDAGEKKLYEAKVWVKSWLNFKELQEFKPAASDAPAITPSDLGCKQDEHESGWREVPGDDPEVQHVAEHAVKTLQQRSNSLFPYELLEVVHANAEVTGEAAKYNMLLKLKRGEKEEKFKVEVHKNHEGALHFNRMEQHHD >scaffold_301429.1 pep chromosome:v.1.0:3:5005511:5009492:-1 gene:scaffold_301429.1 transcript:scaffold_301429.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SULTR4_2 [Source:UniProtKB/TrEMBL;Acc:D7KZT0] MSLAVKDLSTASSSSSTAIPVKIIPLQHPDSTSSDPHCHSIPFNDFFSRWTVKIKRMTFFDWIDTIFPCFLWIRTYRWHQYFKLDLMAGITVGIMLVPQAMSYARLAGLQPIYGLYSSFVPVFVYAVFGSSRQLAVGPVALVSLLVSNALGGIVDPSEELYTELAILLALLVGIFECIMGFLRLGWLIRFISHSVISGFTTASAVVIGLSQLKYFLGYSVSRSSKIMPVIESIIAGADQFKWPPFLLGSTILVILLVMKHVGKAKKELQFVRAAGPLTGLALGTMIAKVFHPPSITLVGDIPQGLPKFSFPKSFDHAKLLLPTSALITGVAILESVGIAKALAAKNRYELDSNSELFGLGVANIFGSLFSAYPTTGSFSRSAVNSESEAKTGLSGLVTGIIIGCSLLFVTPMFKFIPQCALAAIVISAVSGLVDYEGAIFLWRVDKRDFTLWTITSTTTLFFGIEIGVLIGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNMKQYPEAYTYSGIVIVRIDAPIYFANISYIKDRLREYEVAIDKHSSKGPDMERIYFVILEMSPVTYIDSSAVEALKDLYEEYKTRGIQLAISNPNKEVLLTLARAGIVELIGKEWFFVRVHDAVQVCVHYVNTPTNVEESSKSSLWRRNGAKNSPSHAEVEPDIVLKEPLLSREK >scaffold_301430.1 pep chromosome:v.1.0:3:5009991:5011282:-1 gene:scaffold_301430.1 transcript:scaffold_301430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein PSF2 [Source:UniProtKB/TrEMBL;Acc:D7KZT1] MAGQTDPHTSLFSPQEVEFMAEDELVEIVPNMNMEQLNFISGDFGRFIPQIPTKVPLWLAVALKRRGKCTFRPPGWMSVDNLTQILEAERESQSTFQALPFSYVEIARLLFDHARDDIPDMYMVRSLVEDIRDVRLHKLETNLGSFQGTSAVKISNVSAMEVNIVRPFVIRALEAFYKHDKPEADVDRDTRSSRQQREANNEPRRPLRQR >scaffold_301435.1 pep chromosome:v.1.0:3:5029369:5031581:-1 gene:scaffold_301435.1 transcript:scaffold_301435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPTNTVFDAKRLIGRRYSDPSVQADKSHWPFKVISGPGEKPMIVVNHKGEEKQFSAEEISSMVLVKMREIAEAFLGTPVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKNSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDEKIASKLDAADKKKIEDAIDQAIEWLDGNQLAEADEFEDKMKELESICNPIIARMYQGAGPDMGGAAGMDDDAPASGSGGAGPKIEEVD >scaffold_301452.1 pep chromosome:v.1.0:3:5091893:5093003:-1 gene:scaffold_301452.1 transcript:scaffold_301452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKWETKRDEMGHRCCGKHKVKRGLWSPEEDEKLLRYITTHGHPSWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGTFNAEEEQIIIDVHRILGNKWAQIAKHLPGRTDNEVKNFWNSCIKKKLLSQGLDPSTHNLMPSHKRSSSSNHNNIPKPNKTTSIMKNPTDLDQSTTAFLITNINPPTSTKPNRLKSPNQTPIPSQTMIPINDTMSSLLDDENMIPNWSDVDGTAIHEAPMLPADKAVVGVDDDDLNMDILFNTPSSSAFDPDFASIFSSAMSIDFNPMDDLGSWTF >scaffold_301453.1 pep chromosome:v.1.0:3:5096355:5097455:-1 gene:scaffold_301453.1 transcript:scaffold_301453.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7L0J8] MMQSRDEMRDESSSGLVLTTDPKPRLRWTTELHERFVDAVTHLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEHSQNHSISIRDTNRASMLDLRRNGVFTTNPLIIGRNMNEMQMEVQRRIEEEVEIERQVNQRIEAQGKYMESILEKACETQEASLTKDYSTLFFDRTNICNNTSSIPIPWFEDHFPSSSSMDSALILPDINSNFSLQDSWSSITKGRTVCLG >scaffold_301455.1 pep chromosome:v.1.0:3:5101906:5103212:-1 gene:scaffold_301455.1 transcript:scaffold_301455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSECGYFSATTMLRICVVLIICLHMCCASSDCTSHDDPVSQDEAEKATKLKLGSIALLLVAGGVGVSLPLIGKRIPALQPENDIFFMVKAFAAGVILCTGFVHILPDAFERLSSPCLQDTTAGKFPFAGFVAMLSAMGTLMIDTFATGYYKRQHFNSNSGSKQVNVVVDEEEHAGHVHVHTHASHGHTHGSTELIRKRIVSQVLEIGIVVHSVIIGISLGASQSIDTIKPLMAALSFHQFFEGLGLGGCISMAEMKSKSTVIMATFFSVTAPLGIGIGLGMSSGFGYRKESKEAIMVEGMLNAASAGILIYMSLVDLLAPDFMNPRLQSNLWLHLAAYLSLVLGAASMSLLAIWA >scaffold_301464.1 pep chromosome:v.1.0:3:5142346:5143103:-1 gene:scaffold_301464.1 transcript:scaffold_301464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTKLQRTKPSAKATSYRRELCWAVGPMFSDDLEFEFLRLAYNLIRNDGIVCDMHLFTVIAERIQGKLAEGYLLDEQWRLTKDNNFVKQDNILRDELEIATDEFEKDRLALRQYCLHYHYGQMAIVFRSFTRQVQTVDVYMATLLVALETRNFGELRHRSVEQIAYCHEKGSTYGFKLDCVKSLADLLENEYHPARKG >scaffold_301466.1 pep chromosome:v.1.0:3:5148268:5148934:-1 gene:scaffold_301466.1 transcript:scaffold_301466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQSTNPPIMEAKTRHPLHQIADTPTHKLLLKQWLKEEELILSRVSHKESQIDSVRREITQLYIFFFLFHSISLLLLFHASSSSSPSSASASSACKRSWIPSLCALLSSLGIIWAVRYKSEVESHLEKLLEREKEDAKLLRKCVEELKKKGIEFDLLKEVDALRRAKSLRVESKPVRKWSARDFVTLFFFSVSCLVLAMIRLILCD >scaffold_301473.1 pep chromosome:v.1.0:3:5186316:5187580:1 gene:scaffold_301473.1 transcript:scaffold_301473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGLTVAGDFRLPAISSLIPRKTSSSLSCLNNRAYNCCWRLSRGRILTSVSKSRRLAVGKEAEDGFLSNVSEDTDEMFDDLFNKYGKVVFRSNDIKSPTAEVDDDAESLAFAVELAKVASDVKAGDIKVLFVKPLVYWTRFFIIATAFSRPQIDAIGSRMRDLAEKKYGKVANGDVKPNSWTLLDFGDVVIHLFLPPQRTFYNLEDFYGNAMQIELPFEDQSPPSS >scaffold_301474.1 pep chromosome:v.1.0:3:5188240:5189886:-1 gene:scaffold_301474.1 transcript:scaffold_301474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGMHTLGRVKVSDLAPSEGLPSDSYKLAVTTLSQSLAQYSAAIIQFPPSDGALLRSGLDSARLYFHQRDSYPATNNMIHTNDSQEWCKTSGYYADPQSWQESYEYRPGLTPTEPTNSIDFPPAGLPDIFALLGKAARVVLDAIGFYLNLRSCPFTEILDNVPLRNGEISSSVLSVCCYARPSFHGAQHHTLTEDEQLILYADHDHQLDKSLISFVKSDKAGFHIRDMHGQWILVDVDLGPQEAVVYPGLALYQATAGYVSPAVHRTDLNSLQGSIEGRFSLAFKLMPKSMTNLSCSEMRAAGHGVEAQFQLPVSVDDFMQRSHSNDELFNRQTLQSFSIPQSQDGSMKQLKKRRKSDSRCKPLPPSKRLRLEAQRVLKERVQEIADKKGIKLRFCNLKECENNHNVMNSPCANIRREIGWPHGVPFVHPHDLPNKAKIGFLETYEPGWSETHDMELSLSETAQGNQHVTNCNQSFTQ >scaffold_301477.1 pep chromosome:v.1.0:3:5201106:5202304:-1 gene:scaffold_301477.1 transcript:scaffold_301477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGCVKNVGTSPSHSWTSSKMSLTRESQPLAPQLENEDPVDNFEFLLEDPVTMLSADELFSDGKLVPLKFSAVTNPEEKPMTSVVHTAVKPCRRLEMKISGVVDPYLFSPRAPRCTVRWRELLGLKRLAKTQEEASASSSSRLSSSSPNPKTASFRHFLNRSSKSTAQPPSHPPPGKDSDILESSSTSISSSRLSLSSSSSSGHELDDLPRLSLDLDNKPGTPNPFARSRAHHHHHLRNQNQQRKPRRNAPADELTESSIETRAMTVTADSPRLNASGKIVFHGLERSSSSPGNFTGGPRMKLHHGMPRSHSANVRITPVLNVPVSSLRSGPKSGLFFGQLFASSSSSSSSSSLASSSGNRAQLQSNNIKNRTNRSRLEPTSEL >scaffold_301478.1 pep chromosome:v.1.0:3:5204173:5206380:1 gene:scaffold_301478.1 transcript:scaffold_301478.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7L0M3] MEEKEEKKGSISMVEANLPPGFRFHPRDDELVCDYLMRRTVRSLYQPVVLIDVDLNKCEPWDIPQMARVGGKKWYFYSQKDRKYTTGHRTNRATATGYWKATGKDRAIQRNGSLVGMRKTLVFYRGRAPKGRKTDWVMHEFRLQGTLLHHSSNSLKEEWVLCRVFHKNSNGADRVDNTKSCSNETAPAFMDPYINFDHHIINQHVPCFSNNLSENQTNQSGLVSKNSSPLFNASPDQMIIRTLLSQLTKNVEKSESYGEGSSESQLTNIGIPSPTWNYYV >scaffold_301479.1 pep chromosome:v.1.0:3:5207602:5215151:1 gene:scaffold_301479.1 transcript:scaffold_301479.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase 5 [Source:UniProtKB/TrEMBL;Acc:D7L0M4] MAQGQNRTVLQPGQMQNSVAASASSVSSQPNMVNGISRDNLLLRQDMLNRIYAWLQQRQPSKTDDASKAKLIEVAKRLESAMLRTAKSKEDYLDFRSFDVRIESNLKQLLSQRRANPSSSVSTMVQTPAVSHGWNQSYTATPMVDTSTFNSSNNLSDATIETGRLLQTNHMNRGSINNGRQQLSTVGQMIPTPGFDNIANADVYQSHRNEEYSGDGGKLLAAGSDIGNPSQLQKQRPTGSNDRMLYNLDHQLGGGFRSNIHQNTSGMTNIPLNAGVGMSGNNVNLANGPRSSEGVLSSTHYSTLSQPLQQPVDQLQVSHVNRYSMSNSGTFGSGNLYGGVTSSGSMETAVDMNSMSQNSMRRVDASFGSQSSLQNNPLLKPQLHHQFENGNFQSSSSSKENLAQVSRRPLERQFNQQAHHGQYQQQELLLNNDGYRRSQLASNLVSQVKNEPRVEYYNEAFQMQAINKGEPSKPQNQYKQNAVKDEYVGAQSAPVSSSELKMSPSFPPQTHQAQQVSQWKDSSNLSAGVQPVSGLGQWHSSSQNLTPILKSSNEEREHFGVRFHMQHEGTNNSSSVRESTNCQTVAPRGTLEVPHLPVGNNALSKQLNGDCGLSYKNQRRWLLFLLHVRKCNAAEDNCESKYCFTAKTLLKHINYCKASACAYQYCRQTRQLIHHYKHCGNEACPVCVFVKNFKEKQKEKFTFLKRAEPSSASLKHGPKESFESMRTSSEKDSEAPFVVDDLQPSPKRQKVEKPSQFAYPDTQGIPVAISAGVSQAHFSMGLQEKDRLQSDVCKPVRSNVPMNAGSSDSSRRLVPASRELEKPVYKDGETVRLSKQEKPKRMTEISAPKEENAEQSLGIVSASNSGKSKIKGVSLIELFTPEQVEEHIRGLRQWVGQSKTKAEKNKAMGLSMSENSCQLCAVERLAFEPTPIYCTPCGARVKRNAMHYTVVAGESRHYVCIPCYNEARANTVSVDGASVPKSRFEKKKNDEEVEESWVQCDKCQAWQHQICALFNGRRNHGQAEYTCPNCYIQEVEQGERKPVSQSVILGAKSLPASTLSNHLEQRLFKKLKQERQERARLQGKSYEEVPGADSLVIRVVASVDKILEVKPRFLDIFREDNYSSEFPYKSKAILLFQKIEGVEVCLFGMYVQEFGTDSASPNQRRVYLSYLDSVKYFRPDVKTVSGEALRTFVYHEILIGYLDYCKKRGFSSCYIWACPPLKGEDYILYCHPEIQKTPKTDKLREWYLAMLKKASKEKVVVECTNFYDHFFVQSGECRAKVTAARLPYFDGDYWPGAAEDLIDQMSQEEDGKKSNRKLMPKKVISKRALKAVGQLDLSVNASKDLLLMHKLGEIILPMKEDFIMVHLQHCCKHCCTLMVSGNRWVCNQCKNFQICDKCQEVEENRVEKEKHPVNQKEKHVLYPVAIDDIPTEIKDNDAILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMILYHLHNPTVPAFATACAICQQELETAQGWRCEVCPDYEVCNACYSKGINHPHSLIGRPSATDSVVQNTQTNQIQTAQLRELLLHVMACCTAQCQYPRCRAIKGLIRHGLACKTRGCSHCKKMWALFRMHSRNCRDPQCKVPKCRELRAHFSRKQQQADSRRRAAVMEMVRQRAADATTSTPD >scaffold_301481.1 pep chromosome:v.1.0:3:5219174:5219540:1 gene:scaffold_301481.1 transcript:scaffold_301481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQNLHLNPSHISSHHHFRQPFTGAPSPIPPISPYSQIPTTLQPRHSRSMSQPSSFFSFDSLPPSNPPVSVSVEEKTGAGFSLSLPPSPFTMCHSSSSRNVEDGENR >scaffold_301489.1 pep chromosome:v.1.0:3:5248108:5250216:-1 gene:scaffold_301489.1 transcript:scaffold_301489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRVQADEMPSRVQEELSCCQDWFHVVLGFSMERVQIMICIASFVSIGRLCIEVTPSSKTTLLPDELCMRCGICVKVFYTTVIKPRHVDYIKKLVQGNLGKMLEKLGERGMLGQICADLDLDPVLDHRAKDFFSRELQRFVIATVFLKKFGIYIFDEPCSFLDVRQKLKAAHVISSLLGPDRVPLTSLNAVRSTCKTWNALSKTLVFGKAAAVKKQLFMGFIMMDYRVCSMKFHLQNEGGDLVVPCIKQVGILNQVEISKVLQCDGLLLCVTKDISSLVVWNPYLGQTRWIQPRNNFEELDNYALGYDKNRNHKILRVFCVSESGLGVFGYEIYSLSSNSWKVFDATPDWDIHFFEPVVSLKGNTYFLPLPMPFESYKTDSFVSLSCVREEHLAVLHQGWKFSDTMELIHTLEIWVTNKIDLGSVSWTRFLTSTSGCRVHPLGGSFFIDEEKKVAVVFDVDAQYYEVKTTKQPT >scaffold_301498.1 pep chromosome:v.1.0:3:5286485:5287699:-1 gene:scaffold_301498.1 transcript:scaffold_301498.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtSerat2_2 [Source:UniProtKB/TrEMBL;Acc:D7L0N8] MFPVTSRRHFSMSLYMLRSSSPHINHHSFLLPSFVSSSTFSPIKDQPLSPPPPMAACIDTCRTGEPQISPRDSSKNHDDESGFRYMNYFRYPDRASFNGTQTKTLHTRPLVEDLDRDAEIDDVWAKIREEAKSDIAKEPIVSGYYHASIVSQRSLEAALANTLSVKLSNLNLPSNTLFDLFSGVLQENPEIVESVKQDLLAVKERDPACISYVHCFLHFKGFLACQAHRIAHELWTQDRKILALLIQNRVSEAFAVDFHPGAKIGTGILLDHATAIVIGETAVVGNNVSILHNVTLGGTGKQCGDRHPKIGDGVLIGAGTCILGNITIGEGAKIGAGSVVLKDVPPRTTAVGNPARLLGGKDNPKTHDKIPGLTMDQTSHISEWSDYVI >scaffold_301502.1 pep chromosome:v.1.0:3:5298636:5300264:-1 gene:scaffold_301502.1 transcript:scaffold_301502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVGSSLYTRLHGIFKKSSIATAKSAKPRSQTKSNKFPSKLKASTASSASVGDGGNLSNDAKESKNSKLTKKVEKFKRSCESEGFRQVHGLYSAFIRRLREAKKFSTIHEVLQYQKKFDDIKSEDFVIRIMLLYGYSGMAEHAHKLFDEMPELNCERTVKSFNALLSAYVNSRKLDEAKKAFKELPEKLGITPDLVTYNTMIKALCRTGSMDDILSIFEELEKNGFEPDLISFNTLLEEFYRRELFVEGDRIWDLMKSKNLAPNIRSYNSRVRGLTRNKKFTDAIDLINVMKTEGISPDVHTYNAFITAYRGDNNLEEVMKWYNEMKEKGLTPDTVTYCLLIPLLCKKGDLDRAVEISEEAIKHKLLSRPNMYKPVVERLMGAGKIDEATQLVKNGKLQSYFRYLPDLSAGKKKTTSSPVSSSSEATSSQVSSISDTSSFLLSLSLASDSSSSDSSSSDSFSSVSSDQDSSSPVSSNSDSSSPVSSSLYSSSPVSSSPVSSSSDYSSSVSSRSDYSSSVSSSGLFDD >scaffold_301509.1 pep chromosome:v.1.0:3:5314679:5315043:-1 gene:scaffold_301509.1 transcript:scaffold_301509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLWNDKHEREMYENFVELYANIKATEKLDKTLSATIKDIVPNIERFAEAYRWIATVEHRGTTITMASTSSSKLKFCWTT >scaffold_301510.1 pep chromosome:v.1.0:3:5315233:5318125:1 gene:scaffold_301510.1 transcript:scaffold_301510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKDSDRNLGYMTLKDAELKLPRTTRVKNKTPAPVQITAEQILREARERQEAEIRPPNQTITDSTELSDFRLRRRKEFEDQIRRARLNTQVWVRYAQWEESQMEYERARSVWERALEGEAYRSHTLWVKFAEFEMKNKFVNEARNVWDRAVTILPRVDQLWRNYIHMEEKLGNIAGVREIFERWMDRSPDQQAWLCFIKFELKYNEIERARSIYERFVLCHPNVSAYIRYAKFEMKHGQVELARKVFERAQKELADDEEAEILFVAFAEFEEQCKEVERARFIYNFALDQIPKGRAENLYSKFVAFEKQNGDKEGIEDAIIGKRMFQYEDEVSKNPLNYDSWFDYLRLEETVGNKDKIREIYERAIANVPPAQEKRYWQRYIYLWINYALYEEIETEDVERTRDVYRACLKLIPHTKFSFAKIWLLAAQHEIRQLNLTGARQILGNAIGKAPKEKIFKKYIEIELQLGNIDRCRKLYERYLEWSPENCYAWRNYAEFEMSLAETERARAIFELAISQPALDMPELLWKTYIDFEISEGELERTRALYERLLDRTKHCKVWVSFAKFEASAAEHKKDEEEEDAIERKKDDIRRARAIFDRANTYYKDKTPELKKERAMLLEDWLNMETGFGMLGDVSVVQSKLPKKLKKRKLSSREDGSTEYEEYIDYLFPEESQTTNLKILEAAYKWKKQKVVKAGECV >scaffold_301517.1 pep chromosome:v.1.0:3:5338746:5339670:-1 gene:scaffold_301517.1 transcript:scaffold_301517.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQKEDGTKLSSWIPLDVTIEILLRLPAKSVVRFRCVSKLWSSMISTPNFIKSFAVHSSARPSILSCSVKKEEGKRVFFSFPHQQDPKNPYTSILDKFDMIIAKTSPIHPSMKTWRCINGVLYYCGVDCSVVSFDVKSEKFLTIKKPEGCVCDMIDDLPNPKHSLISYKGRLAWIYDGFSRYSILRILEDAEKQEWSPSEFEVPFWRIPKNINYWYLLLCGDTGDDELIYAPTSTEGEFCAFFLSQEKSHTKESRIGGTNMYGFRIILRVSSLCTKIID >scaffold_301521.1 pep chromosome:v.1.0:3:5347367:5348055:1 gene:scaffold_301521.1 transcript:scaffold_301521.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7L1C5] MAESTQMEVETATGGTVSLPPKPTFKPLKAHEMSDGKVQFRKISVPPNRYSPLKKAWLDIYTPIYDQMKVDIRMNLKARKVELKTRADTPDISNLQKSADFVHAFMLGFDIPDAISLLRMDELYVESFEIKDVKTLKGEHLSRAIGRLSGKGGKTKFAIENSTKTRIVIADTRIHILGAFSNIKVARGSLCSLIMGSPAGKVYSKLRSVSARLNE >scaffold_301523.1 pep chromosome:v.1.0:3:5352176:5352428:-1 gene:scaffold_301523.1 transcript:scaffold_301523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGRICMLCTCLLLVVIAIGFLFGFGVFRDGFHKIHESVHLECDPRFSCGGGVGRRGYGFPAPGGGIN >scaffold_301527.1 pep chromosome:v.1.0:3:5375359:5377970:1 gene:scaffold_301527.1 transcript:scaffold_301527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCKVPVLIEAQVEMVSANELENKSLFRQEEDAAQTKEASLMEQGSLSTSFPQHTPKAPKNSVLNSIKIVIFCNKLNLLLPFGPLAILVHYMIDSKGWVFLLTLVGITPLAERLGYATEQLACYTGPTVGGLLNATFGNVTELIISIFALKNGMIRVVQLTLLGSILSNMLLVLGCAFFCGGLVFYQKDQVFDKGIATVNSGLLLMAVMGILFPAVLHYTHSEVHAGSSELALSRFSSCIMLIAYAAYLFFQLKSQSNSYSPLDEESNQNEETSAEDEDPEISKWEAIIWLSILTAWVSLLSGYLVDAIEGASVSWNIPIAFISTILLPIVGNAAEHAGAIMFAMKDKLDLSLGVAIGSSIQISMFAVPFCVVIGWMMGQQMDLNFQLFETAMLFITVIVVAFFLQEGSSNYFKGLMLILCYLIVAASFFVHEDPHQDGI >scaffold_301529.1 pep chromosome:v.1.0:3:5393373:5395693:1 gene:scaffold_301529.1 transcript:scaffold_301529.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7L1D2] MSNYQGDDAEYMEDVDDEMEDVEDDMDDEFRGDDLGASDSDVEEFDYSNNKVADTSAEQARKGKDIQGIPWDRLSISREKYRQTRLEQYKNYENVPNSGESSEKVCKVTQKGGLFYDFWRNSRSIKSTILHFQLRNLVWATSKHDVYLMSNFLVTHYSSLTSGKHEVLNVRGHVAPSEKHPGSLLEGFTQTQVSTLAVKDDFLVAGGFQGELICKHLDRPGVSFCSRTTYDDNAITNAIEIYNKPSGALHFTASNNDCGVRDFDMERYQLVKHFRFPWPVNHASLSPDGKLLAIVGDNPEGLIVDPNTGKTLETLSGHLDFSFASAWHPDGVTFSTGNQDKTCRVWDIRNLSQSVAVLKGNLGAIRSIRYTSDGKYMAMAEPADFVHVYDVSNGYETEQEIDFFGEISGISFSPDTEALFIGVWDRTYGSLLEFGRRRNYSYLDSYL >scaffold_301542.1 pep chromosome:v.1.0:3:5452197:5452421:-1 gene:scaffold_301542.1 transcript:scaffold_301542.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1E2] MKRKNLDVSGFSIRHGLPVAAFYKIHHSYIRVLVASHYEVFQSSLTLLNLLLDNLSHFLV >scaffold_301553.1 pep chromosome:v.1.0:3:5488172:5490230:1 gene:scaffold_301553.1 transcript:scaffold_301553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVHFSLVKFNRGFLVCLWLWGMLSLSCAAARYGASRQKFEVKKHLNRLNKPPVKTIQSPDGDIIDCVPISKQPAFDHPFLKDHKIQMRPSYHPEGLFDDNKVSAKPEGKETHIPQLWHRYGKCTEGTIPVRRTKEDDVLRASSVKRYGKKKHRSVPIPKSAEPDLINQNGHQHAIAYVEGDKYYGAKATLNVWEPKIQNTNEFSLSQIWLLGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSDIAMGASISPVSGYRNSQYDISILIWKDPKEGHWWMQFGNGYVLGYWPSFLFSYLTESASMIEWGGEVVNSQSEGHHTWTQMGSGHFPEEGFSKASYFRNIQVVDGSNNLKAPKGLGTFTEKSNCYDVQTGSNDDWGHYFYYGGPGKNKNCP >scaffold_301556.1 pep chromosome:v.1.0:3:5504377:5505659:1 gene:scaffold_301556.1 transcript:scaffold_301556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCGVKKPVSKKTTPCCTKMGMKRGPWTVEEDEILVSFIKKEGEGRWRSLPKRAGLLRCGKSCRLRWMNYLRPSVKRGGITSDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLRKKLLRQGIDPQTHKPLDANNVLKQEEEVSGGQNPIEPISSSHTDDTTVNSGDGDSKNSINVFGGESGYEDFGFCYDDKFSSFLNSLINDDADPFGNIMPISQPLQMDDCKHEIVGASSSSLGHDLQRQEDI >scaffold_301564.1 pep chromosome:v.1.0:3:5547148:5548821:-1 gene:scaffold_301564.1 transcript:scaffold_301564.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease family protein [Source:UniProtKB/TrEMBL;Acc:D7L1G1] MTISETSRSSHELPLTTAESSGNRATAKKLTLVPLIFLIYFEVAGGPFGEEPAVQAAGPLLAILGFLIFPFIWSVPEALITAELSTAFPGNGGFVIWAHRAFGSFVGSMMGSLKFLSGVINVASFPVLCVTYLDKLFPVLESGWPRNVCIFASTVVLSFLNYTGLAIVGYAAVVLGLVSLSPFLVMSAMAIPKIQPHRWGSLGNKKKDWNLYFNTLFWNLNFWDNVSTLAGEVDEPQKTFPLALLIAVIFTCVAYLIPLFAVTGAVSVDQSRWENGFHAEAAEMIAGKWLKIWIEIGAVLSSIGLFEAQLSSSAYQLEGMAELGFLPKFFGVRSKWFNTPWLGILISALMSLGLSYMNFTDIISSANFLYTLGMFLEFASFIWLRRKLPGLKRPYRVPLKIPGLVVMCLIPSAFLVLIIVFATKIVYLICGVMTIGAIGWYFLINYFRKKKIFKFNEVIDDLDNNVNGEHPKVDDHNS >scaffold_301565.1 pep chromosome:v.1.0:3:5550508:5550959:1 gene:scaffold_301565.1 transcript:scaffold_301565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLSEGQPSIKCMAHSLQSLLSGMNLFLSHLNITFRRDPTNFRPRINKLESTKDREQKAAGSYYYLDD >scaffold_301568.1 pep chromosome:v.1.0:3:5556857:5559292:1 gene:scaffold_301568.1 transcript:scaffold_301568.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7L1G4] MQRRRRRCLCRKRQNFAKTIDKNHFGLRKEKLTHFRVYWHDILSGTNPSSVVINPPISNSSFFGAVTVIDNRLTTEVAVNSTLVGQAQGIYAATGQRDSSALMVMNFAFKTGKYNGSTITILGRNAVLTKVREMPVIGGSGLFRFARGYVEARTMWFDLKSGDATVEYSCYVLHY >scaffold_301576.1 pep chromosome:v.1.0:3:5579181:5580462:-1 gene:scaffold_301576.1 transcript:scaffold_301576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTMANLPVVLVEEILSRVPVTSLRAIRLTCTTWNRLSKNQIIGKKAATRNQFLGFMLIDFTICSMRFDLRGISNNDDEDDDDFIDQSVKEVSELYEFSISQIFHCDGLLLCVFNDTSSKTLMVCNMYLGQTRRVKARQLFDRFSKSGVYAFGYDDSEENRNHKILRNETNGGGYEIYDFRSDSWRLLDVVPDGDTNFGEKLSLSLKGNAYFFVTEEYDETLVVEGETLYSRESRLMLLCFDFTSETFGQLLTLPFHSLGDLVVDSSVESWALSCVRDEKLAVLFQHYKTIKIWTTTEIEPNAVSWDEFLEVDLTMFGGVPDDFRAGSFFLDEEKEVAVVFDQETKMDRNQTAYVIGKDGYFKSVNFGDDPPSYRLPLVCSSYIPSLVQLNKQAERKINKQGKRKERDY >scaffold_301577.1 pep chromosome:v.1.0:3:5581112:5582348:-1 gene:scaffold_301577.1 transcript:scaffold_301577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIADLPGDLVEEILSRVPLTSLRATRSTCKKWNALSKNQIFGRKATAARKQFMGFMMKDSRLCSIEFDLQGIRNEDGNFVDPSIKQVSILNQVEVSNVFHCDGLLLCVLEDNSRLLVWNPYLGQTRWIQPRNTFMRHDRYDKNRNHKILRIFYVIDRVSGFEIYDFSSNSWKVLHVTPDWEIMPPRRGVSLKGNTYFPAQKRTIVGVGTRRIIKSEDFLVCFDFTRERFGPLLPLPFHSYNLRLFVSLSCVRDEQLAVLYQRLDTYDGTVEFCVTDKIDPNAVSWSMFLKQVIGFTVKPCAGSFFIDQEKKVAVVFDIDVYKKVKECRYQTAHIIGQDGYLKAVNIGQARDLGRTTGVPSSVVKGFCFPLVCSSYVPSLVQLQINQPQGIRNESYD >scaffold_301578.1 pep chromosome:v.1.0:3:5584334:5587638:-1 gene:scaffold_301578.1 transcript:scaffold_301578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCNHCGSNVPMAFKCTVCKMKLENFNTSTEVRFVNDAAGQNQASGHIVKSVQGGISSSFERKTETGNIVRSAQGGLSSSSERSTKTGELRIDVPQSSNTSFIKHCIKCGLRVEKCPCESVQDFYVVPTHKYGHESFRLLRLPVTRMGKVLGIVGANSIGKTTSVKILAGELKPNLGNVKNPPEWEEIIPHFHDSELESYFTRRQKKTLKVLVKPALKETKVADWKCKNFWANWVLYEVQDRGREVLDKICNDLELHNLERCVEELSADELQRFRIALVAVRKADVYIFDNPSTFLDVRQRHKAAQVIRSLLRPDWFDFFSSLFLHVEYLFRQSWILTRCFCCCSYVIVVENDLSVVDFVADEICLLYGKPGKYGVATLPFSVKEGINVFLSGYLPREQFRFRDDSLSFEVSNNITPQDEYMKVYGRYQYSDISRTGGLNLNIVGGEFDNSQIVVVVGPRYTGKSLFLEFLERMHPRVSYKREHIGYAGCELATVRRHLNCLIPGTIRDCRFRVDVMEPLGIVQLFEQSVSTLSASALQKLAITICLGMHADYYLIDEPGRHLDIEQKICVSKVIKRYTRYIQKPAVVVEHDLMMLYYLADRVVLFEGKPHVTGIGHAPQSLVSGMNQLLQELNITVRRDPANMVPRINKVGSLEDEEQKSAGKYFSED >scaffold_301579.1 pep chromosome:v.1.0:3:5589524:5590771:-1 gene:scaffold_301579.1 transcript:scaffold_301579.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L1H4] MTTMGDLPGDLVEEILSRVPLTSLRAIRSTCKKWNSLSKNQICGKKATAARKQFMGFMMKDSRLCSIEFDLQGIRNDDGNFVDPSIKQVNKLDQFEVSQVFHCDGLVLCIIKDKTGLLVWNPYLGQTRSIQPRNNFQMEDRYALGYDNNRNYKILRIFDLYPSRNRVFGYEVYDFSSNSWKLLDVNPGWDIQSHHRGVSLKGNTYFPAQKKKTEGGIKTTNIEDVLLCFDFTSERFGPPLPLPFHSYNAEIFVSLSCVREEQLAMLYQRWEACETIEICVTNKIDPNTVSWSKFLITFTGFPVDTFSGSFFIDEEKKVAVVFDLDRYKPTETCRYQIAHIIGQDEYFKSVNIGVAPNLAISYKVGFTPTAYCVPLVCSSSYVPSLVQLQINKPGKRKESS >scaffold_301584.1 pep chromosome:v.1.0:3:5606657:5607263:-1 gene:scaffold_301584.1 transcript:scaffold_301584.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7L1H8] MTNYGAIPTSSHASPVVDLESLSRAKHRIKAGLATRRPWRVMFDFHSMGLPHSVSDAFTRIKTNLAYFRMNYAIVVLIVIFFSLIWHPTSLIVFTVLVAVWIFLYFLRDEPIKLFRYQIDDRTVLIVLSVLTVVLLLLTNATFNIVGALVTGAVLVLIHAVVRKTEDLFLDEEAATTETSGLTSYPST >scaffold_301587.1 pep chromosome:v.1.0:3:5625300:5630128:1 gene:scaffold_301587.1 transcript:scaffold_301587.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:D7L1I1] MGLKPNAMKNVVAMAAVSALFLLGFLVCSVSGSVSYDSRAITINGKRRILISGSIHYPRSTPEMWPDLIRKAKEGGLDVIQTYVFWNGHEPSPGKYYFEGNYDLVRFVKLVQQSGLYLHLRIGPYVCAEWNFGGFPVWLKYIPGISFRTDNGPFKAQMQRFTTKIVNMMKAERLFESQGGPIILSQIENEYGPMEYELGAPGRSYTNWAAKMAVGLGTGVPWVMCKQDDAPDPIINACNGFYCDYFSPNKAYKPKMWTEAWTGWFTKFGGPVPYRPAEDMAFSVARFIQKGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLERQPKWGHLKDLHRAIKLCEPALVSGEPTRMPLGNYQEAHVYKAKSGACSAFLANYNPKSYAKVSFGSNHYNLPPWSISILPDCKNTVYNTARVGAQTSRMKMVRVPVHGGLSWQAYNEDPSTYIDESFTMVGLVEQINTTRDTSDYLWYMTDVKIDANEGFLRNGDLPTLTVLSAGHAMHVFINGQLSGSAYGSLDSPKLTFRKGVNLRAGFNKIAILSIAVGLPNVGPHFETWNAGVLGPVSLNGLSGGRRDLSWQKWTYKVGLKGESLSLHSLSGSSSVEWAEGAFVAQKQPLTWYKTTFSAPAGDSPLAVDMGSMGKGQIWINGQSLGRHWPAYKAVGSCSECSYTGTFREDKCLRNCGEASQRWYHVPRSWLKPSGNLLVVFEEWGGDPNGISLVRREVDSVCADIYEWQSTLVNYQLHASGKVNKPLHPKVHLQCGPGQKITTVKFASFGTPEGTCGSYRQGSCHDHHSYDAFNKLCVGQNWCSVTVAPEMFGGDPCPNVMKKLAVEAVCA >scaffold_301588.1 pep chromosome:v.1.0:3:5630631:5632554:1 gene:scaffold_301588.1 transcript:scaffold_301588.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L1I2] MFNLMRLIHRSFSSSPNSVLQTFRPISQLCSNGRLQEALLEMVMLGPEIGFHCYDALLNACLDKRALREGQRVHAHMIKTRYLPATYLRTRLLIFYGKCDCLEDARKVLDEMPEKNVVSWTAMISRYSQTGHSSEALSVFAEMMRSDGKPNEFTFATVLTSCIRASGLALGKQIHGLIVKWNYDSHIFVGSSLLDMYAKAGQIEEAREIFECLPERDVVSCTAIIAGYAQLGLDEEALEMFQRLQSEGMRPNYVTYASLLTALSGLALLDHGKQAHCHVLRRELPFYAVLQNSLIDMYSKCGNLSYAQRLFDNMPERTAISWNAMLVGYSKHGLGREVLELFRLMRDEKRVKPDAVTLLAVLSGCSHGKMEDTGLSIYDGMVAGEYGIKPDTEHYGCIVDMLGRAGRIDEAFEFIKRMPSKPTAGVLGSLLGACRVHLSVDIGEYVGHRLIEIEPENAGNYVILSNLYASAGRWEDVNNVRAMMMQKAVTKEPGRSWIQHEQTLHYFHANDRTHPRREEVLAKMKEISIKMKQAGYVPDISCVLYDVDEEQKEKMLLGHSEKLALTFGLITTGEGIPIRVFKNLRICVDCHNFAKIFSKVFEREVSLRDKNRFHQIVKGICSCGDYW >scaffold_301592.1 pep chromosome:v.1.0:3:5641047:5642204:1 gene:scaffold_301592.1 transcript:scaffold_301592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGKDGTKLFLKARVKAVFGRGAGFNTEDLTVSRSHVSLEFKPSAAGTGRSDRVSFEVLGRNPVWVIPGETGKKIQTLRKSETGEIAAGDRFCVSGNLPIWFTLKRRDEVMEERALAGEIELDIDPVKEFGFLVIGKEFDQYPKSRVRDIKQWEWFLEDSTNGNSDDDEDGDKKVRKGLGKKRGRKNGNEDDDWSVESDEDKELMVKSKRVVTPTYSTRSKKTKKDTNASSSCSGAQTKQRGRVDVEDDDDETLGGFIVSDEEANLEEEDESDVDDDEEDEDEDEE >scaffold_301600.1 pep chromosome:v.1.0:3:5672725:5673980:-1 gene:scaffold_301600.1 transcript:scaffold_301600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISDLPWDVVGEILSRVPLTSLRATRSTCKTWEALSKNQIIGEKAAPARKQFLGFMMKDLRVCSLKFDLQGIRNHSDFVDPSIKKISILDQVEITRVFHCDGLLLCVLNDSSRLLVWNPYLGQTRWIQPRQNFDVLDRYALGYDKNRNHKILRVFGEQQTVFGYEIYDFSSNSWRFVDHKPEWFIWSHQRGVSLKGNTYFAAKKKTTVGGWKIEDILLCFDFTTERFGPSLHLPFCSDDAKDNVALSCVRDEQLAVLHQYKDICLIMDIWVTNKIDPNAVSWNKFLRKWAGYPVDTEGGSFFIDEENNVAVVFDLDQIKPVMASAHLVGQRYFKSVNIGKVLNIVEVDKFGFFKVNYRLPLVCSSYVPSLVPLQINQPGKTKDRGYKTKRKTKKRKKFDRDY >scaffold_301601.1 pep chromosome:v.1.0:3:5674174:5676758:1 gene:scaffold_301601.1 transcript:scaffold_301601.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-beta-lactamase family protein [Source:UniProtKB/TrEMBL;Acc:D7L284] MAAMSAVLSLGLGTLRPSHRSLSCFDSLRHQTSFLRCHQSLISRNTKSPLNKILQACLQSNYANADALVSSSDKSSEIVFMGTGTSEGIPRVSCLTNPLKTCSVCTKATEPGNKNRRLNTSILVRYIRPSGTSNILIDCGKFFYHSALRWFPTFGLRTLDAVVITHSHADAIGGLDDLRDWTNNVQPHIPIYTAMRDLEVMKKTHYYLVDTSVIIPGAAVSELEFKIIHEDQPFVVNDLKITPLPVWHGSNYRSLGFRFGNVCYISDVSDIPEETYPLLKDCDLLIMDALRPDRSSATHFGLPRALEEVRKIKPKRTLFTGMMHLMDHEKVSEELEKIRDTEGLDVQLSYDGLRVPISI >scaffold_301602.1 pep chromosome:v.1.0:3:5676869:5677173:1 gene:scaffold_301602.1 transcript:scaffold_301602.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L285] MAEVGLVRLGTTETVTFLIATVARGRPETENTGTDMFIRASAKSATRLHGSGLVTIQLSHGTCLCRSGTVPSTVRFHACLLPKLTLG >scaffold_301604.1 pep chromosome:v.1.0:3:5688436:5689725:1 gene:scaffold_301604.1 transcript:scaffold_301604.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L287] MPTMPNLPLEVLEEKILSRAPVTFLRAMRSTCKKWNNFSKEKIIPEAARKQQGLMLIKNNICSLSFSFQGIHKDDFVVPSINQVNIPDHIKVDKIFHCDGILLCVIEKNSSLVVWNPYLGQTRRIKVSNDPDRNEKYRKCDMYALGYDNNNRNHKILRIMKDFRHSDGLGYEIYRFASNSWSLLDEEVMKPDWNVWSAQRSSVSLKGNTYFFLQGNDYGNEEDEDAEEYEDDDIVPKDNFLLCFDYTTESFGPRLLLPFNPHVEETVALSCVGKERLAMLYQSSKTSESIEIWVTDKIDPKAVSWSKFLKVDITPLTGFPVDIYADSFFIDEEKKVAAVVDVHYCYQKAHIIGEDGYLKCVNMGRAPDVGNGQPLVFSSYVPSLVKVPIKQPKGKRKGRDLIKPKAKRTKKEER >scaffold_301606.1 pep chromosome:v.1.0:3:5693266:5694502:1 gene:scaffold_301606.1 transcript:scaffold_301606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMSNLPEVLVEEIISRVPITSLGSFRSSCKKWEALSKTHLLGGKAAEVTTRKQLLGFILVDDKICSMKLDLHGITKDGDDFVDTSVNQVSALDLDELSISQIFHCDGLLLCVFSKRLMVCNMYLGQTRFIKPRRLFERFDKSGVYAFGYDDSSKNRNHKILRNKSVGGGYEIYNFRSDSWKVLNVDLEEDTQLDQQGSLSLKGNAYFLVTKTFEETLEVGEDLEEAMVSEETEEFLLCFDFTTERFGQLLSLPFHSEVDDDGVREDNVVLSCVRDEQLAVLHQSDTTIGIWISTEIEPNAVSWREFLEVDLTTVDGFPDDFKAGSFFVDEEKQVAVVFDQETEAGGNHTACIIGKDGYFNSVNVGDIPPPYFTSYVPSLVSLQIKKKGKRKARDY >scaffold_301612.1 pep chromosome:v.1.0:3:5712857:5715158:1 gene:scaffold_301612.1 transcript:scaffold_301612.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L295] MLLQFRAKKTSFNNIAQESLVILITKQVGLGYRFLSSLCQPKNTALDSEAYKKLFQTAAKSGSLVLGKLAHGHMVKSSLNPCLYLLNNLLNMYCKCRELGFARQLFDRMPERNIISFNSLISGYTQVGFYEQAMELFLEARDDNLKLDKFTYAGALGFCGERCDLDFGKLLHGLVVVNGLSQQVFLINVLIDMYSKCGKLDQAMSLFDRCNERDQVSWNSLISGYVRVGAAEEPLNLLAKMHRAGLKLTTYALGSVLKACCINLNEGLMEKGMAIHCYAAKLGMEFDIVVRTALLDMYAKNGSLKEAIKLFSLMPAKNVVTYNAMISGFLQMDDITDEASSEAFKLFMEMQRRGLEPSPSTFSVVLKACSAAKTLEYGRQIHALICKNNFQSDEFIGSALIELYALMGSTEDGMQCFASTSKQDIASWTSIIDCHVQNEQLESAFDLFRQLFSSPIRPEEYTVSLMMSACADFAALSSGEQIQGYAIKSGIDAYTSVKTSSISMYAKSGNMPLANKVFIEVQNPDVATYSAMISSLAQHGSAHDALNIFESMKTRGIKPNQQAFLGVLIACCHGGLVTHGVNYFQTMKNSYGINPNEKHFTCLADLLGRTGRLSDAENLILSSGFQDHPVMWRALLSSCRVYKDSVIGKRVAERLMELEPEASGSYVLLHNIYNDSGVNSSAEEVRELMRDRGVKKEPALSWIVLGNQTHSFAVADWSHPSSQMIYTMLDTMNTVVDFVDHTLVHSRSVYMKI >scaffold_301615.1 pep chromosome:v.1.0:3:5725417:5726219:1 gene:scaffold_301615.1 transcript:scaffold_301615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIHIVSWVGATSRPIASSHVSFHPEITSPQAAEHNSKRPMLVPNENKEIKEEMEKRRRIGSKPPSCDKKCYGCEPCEAIQVPTISSIPHLSPHYANYQPEGWRCHCAP >scaffold_301620.1 pep chromosome:v.1.0:3:5742951:5744892:-1 gene:scaffold_301620.1 transcript:scaffold_301620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7L2A3] MEGEDKNEHRNEEEEEFNTPQQLEKKQRKGKEIASETEDQNEEDKRITLKVTQVSERPDRISPIVAYFSTGYDPCKVDPETGKRVHETPKVTVYKHKDDSKKRIQVVVSPPGARVEFVGTNYTGEQAAMQTNTYRVGVFNREAKTLRILPVAHNKIIRLEPKVKAQETVEEEASGSAVVEELGKLTTGERDRYNTKKAVTRDKKKRALNMGDDAETQKFLDGKLGELDVNTAALESTSSTVARNIPPYDAAATTAKEAYPLEKIIEKGEWSFLEDIYWLLEQGTEAATDAYPVFVRNRLFKLRDIKDDMKKQTVSGALTLLTHLVKFKDRNSMNGFDSAKNHKMPDIFRRKFQSMFKDSESERIPVDKANLLISYVLVLSLHVDNFKTDPEDIAKDLRISTVELRKHFQQLGCKFVKQNSTWVATLPTPLNFPEVNRRRKTRK >scaffold_301621.1 pep chromosome:v.1.0:3:5745692:5746013:1 gene:scaffold_301621.1 transcript:scaffold_301621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCRPIVKSVSVRQPPASYAMVGSSFVSVICRFLFAAMDGLIPVCVGPFGDVMTGFRLSTSCTMHRRLSLHLLHCFSCIVKPPMLFLSKLVLE >scaffold_301626.1 pep chromosome:v.1.0:3:5768879:5769996:-1 gene:scaffold_301626.1 transcript:scaffold_301626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITWEKPISSSHHRHPSFSSTLLDQIYRSIDDSSPPPLESIKKKKHHHQQRNASLHEDREVSPVYHRRSIAADYERSRRKTDFLRHSNSNSSDSSGGGFSSSESDSFYGRSKSSSSPPPGKQPKPIRTSSVDHSSAVQKPKELGGFLRTKSKALKIYSDLKKVKQPISPGGRLATFLNSLFTNAAATNPKKHKKTTTTVEEPHSSSTCSSASSYSRSCLSKTPSSSGKSKRSVRFCPVNVILDEDSSIHMPYAYNNNSLYGSNEAKRDVIEEHRRVIEAAKDLLRTYHNKNKVTTTTNITNVEEDDEDDAASCASSDLFELENLSAIGIDRYREELPVYETTRLDNMNRVIATGLIV >scaffold_301629.1 pep chromosome:v.1.0:3:5789171:5792761:1 gene:scaffold_301629.1 transcript:scaffold_301629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQPIPQSKSSSNGFPLKRGERDEVLNKANTSNTAFNGEVGSLERPSLDRLVYLSACYIGHHVEVHLRNGSVYTGIFHAADVEKDFGIILKMACLIKDGTLRGHKSRSEFVRKPPSKTFIIPADELVQVIAKDLSVSSTNMSNAVQGEKPAELLTDSSISQSYHVDRERQLQPWVPDETIPQGADLENVFDNPWNRKWNQFEVNESLFGVKSTFDEEIYTTRLERGPQTKQLEEQARKIAREIEAETTRDLHVAEERGLQLNENFDFDEEARYSSVRPVTGFGDSGFDEEDNALLDTCNDLTFGGSSTSDGQKPASSGKGCEELRGDSQSSRNNTNVDQSFSTSKEQSKYFPAAGNKISESQLDERRRNNNQESHNNRSAEESTSGHGDIKEGAKFGGGATSVSKAVTEREREASQVSSKTKSESSFGQSASRSSESRPGPSTSSRPGLSPSSSIGSMTSSEKSTLNPNAKEFKLNPNAKSFKPSQPAAVRPQSPVSDASFYYPGPSHVPPVQQMPGMPPVNYGVPPYPGNQPPMMYHPQTYYHPNGQPQYPQQQMMPGQQQQMMPGQQQPRPVYYMQHPPPPYPQDMPYHNKGRE >scaffold_301633.1 pep chromosome:v.1.0:3:5805152:5807773:-1 gene:scaffold_301633.1 transcript:scaffold_301633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATTIALYASPPSSVCSTPHQISCDLDLTSRSSSTSPSMVSSPQKPIVGGLSSLFSGASVKSSASSCSYSTGVDEFSSVRYDRSDDLKDLSLSSSFCYSPAKFVNSSYLRRDLQSPISVLHGPVSCSCSPPMRMSRDRNLDGSFRLGASGLFNGFVRKALGSCVDYELGSDSVLVDELTFPMEDGFGVDTRKPYARDLLRRAQLRHKIFKDESVIKAFYEAEKAHRGQMRASGDPYLQHCVETAMLLANIGASSTVVVAGLLHDTMDDSFMSYDYILRNFGAGVADLVEGVSKLSQLSKLARENNTACKTVEADRLHTMFLAMADARAVLIKLADRLHNMKTLYALSPVKQQRFAKETLEIFAPLANRLGISTWKVQLENLCFKHLYPNQHNEMSTMLEDSFDEAMITSAIEKLEQALKKEGISYHVLCGRHKSLYSIYSKMLKKKLTVDEIHDIHGLRLIVDNEGDCYKALGVVHSLWSEVPGKLKDYITHPKFNGYQSLHTVVMDNGTVPLEVQIRTQEMHLQAEFGFAAHWRYKEGDCKYSSFVLQMVEWARWVVTWHCEAMSKDRSSISSSDSIKPPCKFPSHSEDCPASYKPNSSQDGPVYVIVIENDKMSVQEFPASSTVSDLLSRAGPGSSRWSMYGIPAKEELRPRLNQRPVSDLKWKLKMGDVVELTPTIPDESLTEYREEIQRMYDRGLAFSRPGTMVGWGS >scaffold_301637.1 pep chromosome:v.1.0:3:5817584:5819564:-1 gene:scaffold_301637.1 transcript:scaffold_301637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSFLYSSKNPKFRGAFNGICALVLFCFFFDRSDILRNPLFRNSSLVNGGSGSTSRGKFNNGFTLIRRNVRQIETNDSGNSSLSSTSTVLFSGLHKHIGYADQCDFLKANPICSPDGFFDYLNFFYCSCRDFSILGYMMLGVWLVALFYLLGNTAADYFCCSLEKLSKLLRLPPTVAGVTLLPLGNGAPDVFASIAAFVGTDKGEVGLNSVLGGAVFVTSVVVGIVSLCVADKDVKIDKKCFIRDLSFFLFTLVSLLVILMVGKVTVRIAIAFVSIYVIYAFLVAANVILIKHAKRFKLEALTPLLPMQGSMFSPSVGEDMPMNTPLIETDPEDGPPRLQSLPQWMWASNVAIYSNHFAKVSVHDEDRPPWGWIDDSAEVESSSCTKFTSLLEIPLTIPRRLTIPSVEEDTWSKTYAVASVTLAPVLLASLWSSQDDVSPQACGVAYFIGVAIGSTLGFLAYKNTEPDHPPRRFLIPWVLGGFIMSIVWFYMIANELVALLVTFGEIYGINPSILALTVLAWGNSMGDLVSNIALTMNGGDGVQIALSGCYAGPMFNTLVGLGMSMLFGAWSKSPDTYMLPEDKSLFYTLGFLVLGLVWAMVILPRNDMRPNRTLGMGLIAIYLIFVTFRLSCAMGFIPWAA >scaffold_301656.1 pep chromosome:v.1.0:3:5889361:5891013:-1 gene:scaffold_301656.1 transcript:scaffold_301656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNWRLFSISCLLLTTLALLHYPTASLAQTLFVFGDSYYDAGNKQFLSGNSVDANSPPYGISIGEATGRWSNGLIVPDHLARFMGIPRISPILGSSADFTHGASFATADATVLGSPLETMTLSQQVMKFSQNKNKWTDKTLSEAIYLTYIGSDDYLNYAKNNPNPSDDQKLAFVDQVITSMEASIKVIYDAGGRKFSFQNLAPLGCLPVVKQESGNEKDCMNLPSEMAALHNKNLLKLIERLAQDLEGFQYSFYDFFSSIQNRVFEPDTYIFGTGTAACCGTGPLKGTGCAAKNVCVNPNEYVFFDGKHLTQDANLQVAHLMWNADPQVIEPNNLRELLLFPLDIPFIYSVKRLDQVGKAGSFVM >scaffold_301658.1 pep chromosome:v.1.0:3:5902675:5904192:1 gene:scaffold_301658.1 transcript:scaffold_301658.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7L2E0] MAKNRNLVFFLGLLASFTLASFPVNVSGEPPLLFTFGDSSYDVGNTKFFSSEFDPATTWPYGESIDDPTGRWSDGHIVPDFVGRLIGQREPIPPVLDPKADLSRGASFAIAGAVVLGSQAATVSMNFGQQISKFIELHKRWTDKERAEAIYMVNIGADDYLNFAKAHPNANTVEQVTQVAYVLQRISRELMSIYRAGGARKFAVQNLGPLGCLPITRQEFKTGEKCMEMVNFMAKTHNERLSGVLFSMTVPLLYRGFRYSLFDFNGEILRRINEPSLHGYTDTTTSCCGTGSRNAYGCGYSNVHAKLCSYQKSFLFFDGRHNTEKTDEEIANLFYSGDKHVVSPVNIKDLVGKSVNDLRAQEI >scaffold_301660.1 pep chromosome:v.1.0:3:5907122:5909253:-1 gene:scaffold_301660.1 transcript:scaffold_301660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPPPRSLRVTSEFIWPDLKNKGKKASKKRSNKRPDFFDLDDEFEADFRGFKDDSAFDCEDDFDDDVFVNVKPFVFTATTKPVASSVPVFASTVGSASAKKTVESDGQADTSSKRKRKNQYRGIRQRPWGKWAAEIRDPRKGSREWLGTFDTAEEAARAYDAAARRIRGTKAKVNFPEEKNASVSQKRPTGKTNNLQKQVAKPNKSVTLVQQPTHLSQQYCNNSFDNMGNDSFGDMSFMEEKPQMYNNQFGLTNSFDAEGNNGFQYFSSDQGSNSFDCSEFGWRDHGPKTPEISSMLVNNIQAPFVEETNAAKKLKPNSDDSDDLMAYLDNALWDTPLEVEAMLGADAGAVTQEEENPMDVWSLDEINFMLEGDF >scaffold_301665.1 pep chromosome:v.1.0:3:5947204:5947394:-1 gene:scaffold_301665.1 transcript:scaffold_301665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPLRLADFRYIMGIFEKYTVLKPQPLILA >scaffold_301669.1 pep chromosome:v.1.0:3:5967408:5967707:-1 gene:scaffold_301669.1 transcript:scaffold_301669.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7L331] MTEKCFLIGIIIFFLSQSQTVTCFTEDFEFLKKISCELTPKVTVAAEGSGDFFTITAGLVAISKKNGRVVIHVKAGLYAENVVIT >scaffold_301673.1 pep chromosome:v.1.0:3:5981698:5982559:-1 gene:scaffold_301673.1 transcript:scaffold_301673.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L335] MANAITFFELNTGVKFPSVGLGTWQASPGLIGDAVAAAVKVGEVGELSEIFQWKGEVARGCPDW >scaffold_301674.1 pep chromosome:v.1.0:3:5982571:5982841:-1 gene:scaffold_301674.1 transcript:scaffold_301674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIVAAKDIITLHGSAAIVSEFFFVYFQFLTDIITFRFCDFFSETTNLRRNHKTNLRRNHKTNLRRNHHHLRR >scaffold_301675.1 pep chromosome:v.1.0:3:5983863:5986869:-1 gene:scaffold_301675.1 transcript:scaffold_301675.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L337] MMIGKLCRSVGTVGKCDGRHYGVRTMVGKASNRAGVHGSKLPNAKPKEEDSLNFRLLSLLNVVTTQAYLTPLRSQTINHAKFLQIPWRQFVFKKPLGLCKSTKLDEAVTLIEKSSTSPSNLSTPEAYTDLLHACISAKSLHHGIKICSLVLNNPSLRHDPKLLSKLITLFSVCRRLDLARKIFDDVTDSSLLTEKVWAAMAIGYSRNGSPRDALIVYVDMLCSFIEPGNFSISVALKACVDLKDLRVGRGIHGQIVKRKEKVDQVVYNVLLKLYMERGSFDDARKVFDGMSERNIVTWNSLISILSKKVRVHEMFNLFRKMQEEMIGFSWATLTTILPACSRVAALITGKEIHAQILKSKEKPDVPLLNSLMDMYGKCGDVEYSRRVFDGMLTKDLTTWNTILNCYAINGNIEEVINLFEWMIESGVAPDGITFVALLSGCSDTGLTEYGISLFERMKTEFRVSPALEHYACLVDILGRAGKIEEAVKVIETMPFKPSASIWGSLLNSCRLHGNVSVGEIGTMLWLRTYIAEAKMWDNVDKIREMMKQRGIKKEAGCSWVQVKDKIQIFVAGGGYEFRNSDEYKKVWTELQEAIEKSGYSPDTSVVLHDVDEETKANWVCGHSERLAATYSLIHTGEGVPVRVTKNLRVCADCHSWMKIVSQVTGRVIVLRDTKRFHHFVAGICSCKDYW >scaffold_301677.1 pep chromosome:v.1.0:3:6002609:6004588:1 gene:scaffold_301677.1 transcript:scaffold_301677.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7L339] MHKDNESGSGSNPGQGSNYLIVRPHRGGYRDLFWYGVRDDQTSKAKFLERPDNREWSTMMIDEEADDHRWVIVVSILVRKIIRLLRTPMELTGFVVDFFLNLFSANGGFFGLLLRLIQAKVVIPERGSVTFVSTLGQLDGRISLFKEWNFVEHLEGIDSVNSGSSGKVEIELGSRGLMDLCVMASKLAYENAKVVENVVDLHWKMNLVEFLDCWNDYQKQMSTQVFIFTDKQKDANLIVISFRGTEPFDADDWGTDFDYSWYEIPNVGKLHMGFLEAMGLGNRDDTPTFHYNLFEQTSSEEENSKKNLLDMVERSAYYAVRVILKRLLSEHENAQFVVTGHSLGGALAILFPTLLVLKEETEIMKRLLGVYTFGQPRIGNRDVGLFMKAQLNQPVDRYFRVVYCNDLVPRLPYDDKTFLYKHFGLCLFYDSFYNETKAEDEPDPNPYGLRYEILGHVIAVWELVRGLTMGYTHGPDYKEGWFRILFRLMGLVIPGLSDHCMTDYVNSVRLGPDNELQMSSL >scaffold_301678.1 pep chromosome:v.1.0:3:6005173:6005926:-1 gene:scaffold_301678.1 transcript:scaffold_301678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQLCLPRFLHLNKETLIFDPMAGLKRKFNKGHAFTSKCVSLVKEQRARLYILRRCATMLCCWYIHGDE >scaffold_301679.1 pep chromosome:v.1.0:3:6007739:6009221:-1 gene:scaffold_301679.1 transcript:scaffold_301679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEDFYFPDTDLDLSFTSTTTDRTFASSSARTSLTLSFNDRLSTSSAVTTSSTSSSTVNHRRHDPHWSAIKSAKLLSSDGNIHLRHLKLIRHLGTGNLGRVFLCNLRDSSARFALKVIDRNCLTTEKKLSQVETEAEILSLLDHPFLPTLYARIDESHYTCLLIDYAPNGDLHSLLRKQPGNRLPIQPVRFFAAEVLVALEYLHAMGIVYRDLKPENVLLREDGHVMLSDFDLCFKSDVVPTFKSRRYRRTSSSPSLRRRRSGCFSVAAEKKYEREEIVSEFAAEPVTAFSRSCVGTHEYLAPELVAGNGHGSGVDWWAFGIFLYELLYGTTPFKGESKEQTLRNIVSTTKSASFHVDVDSEEARDLIEKLLVKDPRKRLGCARGAQDIKRHPFFDGIKWPLIRHYKPPEEVRGLVIKKSTRSHAGHVIAVSPRRRKSFLWRALSYLLRGKNSSGGSKNQSNSNYYHYVGKSYASRKRV >scaffold_301684.1 pep chromosome:v.1.0:3:6036996:6038954:-1 gene:scaffold_301684.1 transcript:scaffold_301684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSKGFMRDEFVTYAYILLYIALSSGQIFFNKWVLSSKEINFPYPLGLTLLHMIFSSVLCFLLTKVLKIVKVEEGMTLEIYVTSVIPIGAMFAMTLWLGNTAYLYISVAFAQMLKAIMPVAVFILGVAAGLEMMSCRMLLIMSIISFGVLVASYGELNINWIGVVYQMGGVVGEALRLIFMELLVKRKGIKLNPISLMYYVSPCSAICLFVPWIFLEKSKMDGNGPWNFHFVVLTLNSLCTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLVSALLFADTKLTIINLFGYAIAIAGVAAYNNHKLKKEASKVTTETSGDGESIPLVSQTNTNSER >scaffold_301687.1 pep chromosome:v.1.0:3:6045292:6045668:-1 gene:scaffold_301687.1 transcript:scaffold_301687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRAGTNRVGMRRDDSLLTRFVDSVFYFFRLAEFEILFVLFILITYIIFKDLTSRPEYNRILVEKPGRSDIWPF >scaffold_301695.1 pep chromosome:v.1.0:3:6103497:6104001:1 gene:scaffold_301695.1 transcript:scaffold_301695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQYGFDHQSGVEVINDELIMSFLEEESPVENHSSINKEEEEKLNRVIRSLEVEINMSSPTIEARKMDLQQTITGLEDDFGWLNDFDIGMISLQNDDEMMNWCTELSYMDGVVDSSVLEIEGGDYYSHINYGLSFEEPTLSLWQENNDVVMY >scaffold_301696.1 pep chromosome:v.1.0:3:6106700:6116789:1 gene:scaffold_301696.1 transcript:scaffold_301696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEIVPVDPIDVPSTSYSRPILGPRDDSPIGQFTRSLTFREHVSSEPFDSERLPATLASEIQRFLRIANLVESEEPRIAYLCRFHAFEIAHHMDRNSTGRGDEEFTVRRRKEKSDVRELKRVYHAYKEYIIRHGASFNLDNSQREKLINARRIASVLYEVLKTVTSGAGPQAITDRESIRAKSEFYVPYNILPLDKGGVHQAIMHLPEIKAAVAIVRNTRGLPPPEEFQRHQPFLDLFEFLQYAFGFQNGNVANQREHMILLLSNTIIRQPQKQSSAPKSGDEAVDALMKKFFKNYTNWCKFLGRKNNIRLPYVKQEALQYKTLYIGLYLLIWGEASNLRFMPECLCYIFHHMAYELHGVLTSAVSMITGEKVAPAYGGGHEYFLANVVTPIYRIVEKEAEKNKNGTADHSMWRNYDDLNEFFWSLECFEIGWPMRPEHDFFCVESLDTSKPGRWRGMLRFRKQTKKTDEEMEDDEELGVLSEEQTKPTSRWLGKTNFVETRSFWQIFRSFDRMWSFFVLSLQALIIMACHDVGSPLQMFNANIFEDVMSIFITSAILKLIKGILDIIFKWKARNTMPINEKKKQMVKLGFAAMWTIILPVLYSHSRRKYMCYFTDYKTWLGEWCFSPYMVAVTIYMTGSAIELVLFFVPAISKYIETSNHRIFKTLSWWGQPRLYVGRGMQETQVSQFKYTFFWILVLLTKFFFSYAFEIKPLIEPTRLIMKVGVRNYEWHEIFPEVKSNAAAIVAVWAPIMVVYFMDTQIWYSVYCTIFGGLYGVLHHLGEIRTLGMLRGRFHTLPSAFNASLIPHSVKDEKRRKQRGFFPFNLGTGLYMSFIKTRLLFSQGSDGQKNSMAKFVLVWNQVINSFRTEDLISNKELDLMTMPMSSEVLSGIIRWPIFLLANKFSTALSIAKDFVEKDEVLYRRIRKDEYMYYAVKECYESLKYILQILVVGDLEKKIISGIINEIEESIRQSSLLEEFKMTELPALHEKCIELVQLLVEGSDEQLQVEKSEELHGKLVKALQDIFELVTNDMMVHGDRVLDLLQSREGSGEDTGIFMRVIEPQLFESYGEWRCIHFPLPDSASLSEQIQRFLLLLTVKDSAMDIPENLDARRRLSFFATSLFMDMPDAPKVRNMMSFSVLTPHYQEDINFSTKELHSTTSSVSIIFYMQKIFPDEWKNFLERMGCENLDALKKEGKEEELRNWASFRGQTLSRTVRGMMYCREALKLQAFLDMADDEDILEGYKDVERSNRPLAAQLDALADMKFTYVVSCQMFGAQKSAGDPHAQDILDLMIKYPSLRVAYVEEREEIVLDVPKKVYYSILVKAVNGFDQEIYRVKLPGPPNIGEGKPENQNHAIVFTRGEALQTIDMNQDHYLEEAFKMRNLLQEFLRNRGRRPPTILGLREHIFTGSVSSLAWFMSYQETSFVTIGQRLLANPLRVRFHYGHPDVFDRIFHITRGGISKSSRTINLSEDVFAGYNTTLRRGCITYNEYLQVGKGRDVGLNQISKFEAKVANGNSEQTISRDIYRLGQRFDFFRMLSCYFTTIGFYVSSLISVIGIYIYLYGQLYLVLSGLQKTLILEAKVKNIKSLETALASQSFIQLGLLTGLPMVMEIGLEKGFLIAFQDFILMQLQLAAFFFTFSLGTKTHYFGRTILHGGAKYRPTGRKVVVFHANFSENYRLYSRSHFIKGFELMILLVVYELFKHTSQSNMAYSFITFSVWFMSFTWLCAPFLFNPSGFTWEIIVGDWRDWNRWIKEQGGIGIQQDKSWQSWWNDEQAHLRGSGVGARCLEIILSLRFFVYQYGLVYHLDITQSNTNIIVYALSWVVILATFFTVKAVDLGRQLFSTRKHLVFRFFKVFIFVSILTVIITLSNICHLSVKDLLVSCLAFLPTGWGLILIAQAVRPKIEGTSLWEFTQVLARAYDYGMGVVLFAPMAILAWLPIISAFQTRFLFNEAFNRRLQIQPILAGKKKNR >scaffold_301702.1 pep chromosome:v.1.0:3:6132014:6132759:-1 gene:scaffold_301702.1 transcript:scaffold_301702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCKLFYFAGQETTSVLLAWTMVLLSQHQDWQARAREEVVQVFGDNKPDLQGINQLKVAFHIHTATAVTTTIAAATATAGIFVSLINSLP >scaffold_301705.1 pep chromosome:v.1.0:3:6145320:6145953:1 gene:scaffold_301705.1 transcript:scaffold_301705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDSVRKNKKLVINIALDKEVLASRLEADHDLGSLRDEKERFESSERRRDVVCSKAEDIFDKATNDHSSVRGDGIMREVDVGTGLSGALNRLREQGTFKEESKIVGVKDNHEDDRFKDRFKDIEIQRVDKWGRILTEKEAYRSLCHRFHGKGPGKKKQEKQRKKHEDKSKQMESSERAVERIREVHAISKSPYIVL >scaffold_301711.1 pep chromosome:v.1.0:3:6161128:6161775:1 gene:scaffold_301711.1 transcript:scaffold_301711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWKLEEKLKLTTKEAVVILVGTAAAVTLLCIAAAFLSRNSRGKQVADAEWASETAFASRTMEEKRKCKWSKVKKTLMGSFCWSSAAKWMEMETRRPPPLLAAKERSLNAVDPVWQRPILMGEKCELPRFSGLILYDERGHPRHHPQLQEQVKQISVVRTTLRDLL >scaffold_301712.1 pep chromosome:v.1.0:3:6162469:6163901:-1 gene:scaffold_301712.1 transcript:scaffold_301712.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:D7L372] MDVFAFNASLSMCKDVAGIAGNIFAFGLFVSPMPTFRRIMRNKSTEQFSGLPYIYALLNCLICLWYGTPFVSHSNTMLMTVNSVGATFQLCYIILFILHTDKKNKMKMLGLLFVVFAVVGVIVAGSLQIPDQLTRWYFVGFLSCGTLVSMFASPLFVINLVIRTKSVEFMPFYLSLSTFLMSASFLLYGLFNSDAFVYTPNGIGTILGIVQLALYCYYHRNSIAEETKEPLIVSYV >scaffold_301714.1 pep chromosome:v.1.0:3:6167757:6168204:-1 gene:scaffold_301714.1 transcript:scaffold_301714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPILPEDSPTSQFTRPLAFQEQYVWEPYDSEKLPETLASEIQRFLRVANLVESEEPRVAYLSHRIDRTSNGRGVRRFKDSLLRGLEKASHYPRVENGTLITSS >scaffold_301730.1 pep chromosome:v.1.0:3:6227161:6229036:1 gene:scaffold_301730.1 transcript:scaffold_301730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLPPPIIFFTVFFFVVHSLSAVSDLHETRSRFKPPQFSPLFFSSPLQNVTKSPTRYFEVQKPPVPNLPTAQPPCSYRILHHDFGYTYAKPPVLSNYTLPSHCSSREFSKIVLEFKSTSQGRQFDRIFGVWLDGVMILRSCTAEPRPNGIVWSVEKDVTKYHSLLVKNETQLLSVYLGNIIDKTYTGVYHVDVIFHFYQLESNLEDPSGYSSPRADMILPISRNLPLNDGLWFEIVNSNDSKYKEFEIPRNVYRAVLEVYVSFHENDEFWYGNLPNDFVTANNLSVAGNGPFREVVVSLDGDIAGAVWPFPVVFTGGINPLLWRPITAIGSFDLPSYDIEITPFLGSLLDGKSHKVGFSVTNALNVWYIDANLHLWLDQEKEIVEGKVLEFSRSSLEISSVSDFKGLNGNFTTKAKRSITSIGLVKSSHGDIITNANQEFSYENNMVLGKDGNLQIIDQLIQADDRIHAKRASREIYCAKSIKSFPFYLDSDSLEQQNNTSLEIANVKMAFNEERSESDNGLMRTFKSKLENKQEGQGVMVVKNNLVVNGYGSTQQVYNYVGSDQCYFRNISSSNYTILYDELETVCKKKTLKLPPRLEHLPRQQPLLA >scaffold_301736.1 pep chromosome:v.1.0:3:6254070:6255907:-1 gene:scaffold_301736.1 transcript:scaffold_301736.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L3X5] MPSSPKLFNARPSLFTRRSTPLIVFTSLAIGLTGFLFGLSTILFPGLRLSGRTCLTNLPPKTVKIAWDVVGNSIVNGEVKRHKVMGFVGIQTGFRSAGRRRALRNTWMPSDPEGLRRLEESTGLAIRFIIGKTKDEAKMAELRREIAEYDDFILLDLEEEYSKLPYKTLAFFKAAYALYDSEFYVKADDDIYLRPDRLSLLLAKERSHSQTYLGCLKKGPVFTDPKLKWYEPLADLLGKEYFLHAYGPIYALSADVVTSLVALKNNSFRMFSNEDVTIGAWMLAMNVNHENLHTLCEPECSPYSIAVWDIPKCSGLCNPEKRMLELHNLESCSKTPTLPSDEE >scaffold_301737.1 pep chromosome:v.1.0:3:6257355:6258063:-1 gene:scaffold_301737.1 transcript:scaffold_301737.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7L3X6] MVFDAVNPDPDSFVYYDPIYNDEGDFRRTQNLTFIFHVCYNLAPEVNSDGEEEDVDNLETLIRDQTQEFDKELLFSGDREQIQVIVYHLLDLIKAPRYSEVVRKLIDAIFDLKERDKISNVMKVDVVINVIVWRFPDDDDDDDDVDVKLEVAPASNEAIEQHLETVVVENDGYCVICMDTIRVGSDMAAGRMPCSHVFHRTCAEDWLRSSGICPVCRAMFPF >scaffold_301743.1 pep chromosome:v.1.0:3:6282182:6283706:1 gene:scaffold_301743.1 transcript:scaffold_301743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDSSPSYDDHRRRRRRLDEDADLPQYARPVADDQYLHPPPPSSMRHRATSEAADGGCGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGFDRPSKAVDWLIKKAKSSIDELAELPPWNPADAIRLAAANAKPRRTTAKTQISLSPPPQQQQQQQQLHFGAGFDRGGAEHPSNESSFLPPSMDSDSIADTIKSFFPVVGSSTEAPPNHQLMHNYHHHPPDLLSRTNSQNQDLRLSLQSFPDGPPSLLHHNHHHHTSASASEPVLFYGQSNPLGFDTSNSVSWEQQSSEFGRIQRLVAWNSGGGGAADTGNGGGFVFAPPPSTASFQPVLGQSQQLYSQRGPLQSSYSPMIRAWFDPHHHHQSISTDDINHHHHHHLPPPVHQSAIPGIGFASGEFSSGFRIPARFQGQEEEQHDGLTHKPSSASSISRH >scaffold_301744.1 pep chromosome:v.1.0:3:6285759:6286530:-1 gene:scaffold_301744.1 transcript:scaffold_301744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNPRIDFSSPSSLATISDSADGELNEDDIFAIDISHAPPRHSPVSSPAKQQTPARQLQRSKSGLKNVEASGILAALPESSGNSSYLSHVFHHKPAAALSTSVSSTASSSSSSGGGASAASSSSARAIPTAPKPPQERLPFSASFIGGGKYPQSAPVQVPLVSSTMMNRHKKEFKLTDVVDDDEEEEEEGEMLPPHEIVARSLAQSSLLSCSVLEGAGRTLKGRDLRQVRNAVFRRTGFID >scaffold_301754.1 pep chromosome:v.1.0:3:6324480:6325025:-1 gene:scaffold_301754.1 transcript:scaffold_301754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAFVDPATPVETGKKFCICVKEVLPWVMLPLQVVYVDESRKSRKGPADFGYGSGTLQGHLLAGEEQFSIELDGNGEVWYEITSFSKPAHFLSFLGYPYVKLRQKHFARHSSEAVLSML >scaffold_301758.1 pep chromosome:v.1.0:3:6332193:6334040:-1 gene:scaffold_301758.1 transcript:scaffold_301758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSDGVAGRIQNASLVLVSDNSSTLADIRKAVAMMKNIAVQLEKENQTDKVKDLENSVAELLDLYSDCNHRSSAIQSVANGYQPGEQLTDFKKLLDDEFTKLKATPSVPQNEHLMRQFREAVWNVHHAGEPMPGDDEEDIVMTSTQCPLLNMTCPLSGKPVTELADPVRSMDCRHVYEKAVILHYIVNNPNGNCPVAGCRGKLQNSKVICDAMLKFEIEEMRSLNKQSNRAEVIEDFTEDLDED >scaffold_301761.1 pep chromosome:v.1.0:3:6342948:6343683:1 gene:scaffold_301761.1 transcript:scaffold_301761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFLLLSLYIRILRLRSLKCMVNSVNTVSFYLLLVFTSCIWLRRITVVEYLISEGVDINALNDENNAPLHWACLNGHVEKLENCRCERTPMDEAIGAEKMEIIDAINTTVAQMELENTRVTRLFQTSPSRHRKCGELLYCEIVI >scaffold_301763.1 pep chromosome:v.1.0:3:6350794:6351939:1 gene:scaffold_301763.1 transcript:scaffold_301763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVQCLSSCATLESQFKVLSLKGISSSSSFSNRRGGASATLSSSLSFSQSVSQCVAFSSGNLWVQKPTRQLIVCEAAAPTKKADSAAKRARQAEKRRIYNKSKKSEARTRMKKVLEALEGLKKKTDAQPDEIVTVEKLIGEAYSAIDKAVKVKALHKNTGARRKSRLARRKKAVEIHHGWYVPDAVASEAVTMAA >scaffold_301764.1 pep chromosome:v.1.0:3:6351978:6353572:-1 gene:scaffold_301764.1 transcript:scaffold_301764.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L401] MGGKNKIEILFHALWRRRISSSSSLVDLRSVPNHKNNITLSRFHLLGINQATHFLHSLAAPDKFPNGFNQSAVDVHNIIKHHRGSSPEEIERILDKCGIQLTEELVFEVVNRNRSDWKPAYILSRLIVKHSVYISSSLVYNEILDVLGKMRRFEEFHQVVDEMSKRDGFVDEKTYEVLLNRYAAAHKVDEAVGVFERRREFGIEDDLVAFHGLLMWLCRYKHVEFAETLFCSRRREFGCDIRTMNIILNGWCVLGNVHEAKRIWKDIIASKCKPDVVSYGTMINALTKKGKLGKAMELYRALWETGRNPDVKICNNVIDALCFKKRIPEALEVFKEMSEKGPSPNVVTYNSLLKHLCKIRRTEKVWELVEEMEHKGGSCSPNDVTFGYLLKYSQRSKDVDAVLERMAKNKCEMTSDLYNLMFRLYVQWDNEEKVREIWGEMERSGLGPDQRTYTIRIHGLHTKGKIGEALSYFQEMMSKGMVPEPRTEMLLNQNKTKPRVEDKMLRSNLTSEESESD >scaffold_301765.1 pep chromosome:v.1.0:3:6359033:6359734:1 gene:scaffold_301765.1 transcript:scaffold_301765.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATERF-4/ATERF4/ERF4/RAP2.5 [Source:UniProtKB/TrEMBL;Acc:D7L402] MAKMGLKPDPATTNPTNNNAKEIRYRGVRKRPWGRYAAEIRDPGKKTRVWLGTFDTAEEAARAYDTAARDFRGAKAKTNFPTFLELSDQKVPTGFARSPSQSSTLDCASPPTVVVPSATAGNVPPQLELSLGGGACYQIPMARPVYFLDLMGIGNVGRGQPPPVTSAFRSSVVHVPTKMTCGAQSDSDSSSVVDFEGGMEKRSQPFDLDLNLPPPSEQA >scaffold_301766.1 pep chromosome:v.1.0:3:6369168:6374290:-1 gene:scaffold_301766.1 transcript:scaffold_301766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVAGLREAAGARFSHIELIGRGSFGDVYKAFDKDLNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSSNPLDETSIACITRDLLLAVEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITVIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPVKEFVSLCLKKVPAERPSAKELIKHRFIKNARKSPKLLERIRERPKYQVKEDEETPRNGPKAPVDSSGTVRVAKDERSQGTPGSSYSFQGNTVKNAGWDFSVEGSQSIGAVRALKPPQARERRHEVSSNQISQQTTRDSGNQWSSSTGSTISEASEGGFVRRHPFQNDHEDGFHEEDDSSLSGSGTVVIRASRSSQSSSKFREQSSVSSGRYAPFDDASASGTVIVRGQYDDSGSPRTPKSRLGIQERTSSASEDSNANLAEAKAALDAGFRKGNARERLGMGKNNNDGKVNRRRDQMADDSDYSRNSGDKSSKQKAVPRSEQVSDEEDDSIWESLPASLSILLIPSLKEALGDDSKESIGRTVSRSLVMMEREKPGSCEAFVAKLIELLGSSKEASVKELHDMAVRVFAKTTPDAAENKRKQANKEFSSNTNVSPLGRFLLSRWLGQSSRDL >scaffold_301773.1 pep chromosome:v.1.0:3:6387965:6389432:1 gene:scaffold_301773.1 transcript:scaffold_301773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMKCVGVVGAGQMGSGIAQLAATSGLDVWLMDADRDALSRATAAISSSVKRFVSKGLISKEIGDDAMHRLRVTSNLEDLSSADIIVEAIVESEDIKKKLFKDLDGIAKSCAILASNTSSISITRLASATKRPSQVIGMHFMNPPPIMKLVEIIRGADTSEETFIATKALAERFGKTTVCSQDYAGFIVNRILMPMINEAFHTLYTGVATKEDIDSGMKHGTNHPMGPLELADLIGLDVCLSVMKVLHEGLGDSKYAPCPLLVQYVDAGRLGRKRGVGVYDYRKATQKPSPRL >scaffold_301775.1 pep chromosome:v.1.0:3:6395061:6395601:1 gene:scaffold_301775.1 transcript:scaffold_301775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKIPCTFIKLMPLISLILYSLIIATVNTHSVLAEEVTKEDPEFYILDETPTIHSNLTISSKTRLLVSHYKKIRKGMRCHVAGYNICDGVKADKGTSLLYCCKKHCRNILGDMNNCGRCGHKCRFGQRCCGGICTYVGFNPKHCGKCNKKCKSGIKCEYGYCGYA >scaffold_301776.1 pep chromosome:v.1.0:3:6397263:6398315:1 gene:scaffold_301776.1 transcript:scaffold_301776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKIRRDSLKPARCTSLHQLAYPYRFNFTAKNSLEKAEIERRRKGKQIVVADDGERIEVGNRCEIGEASNAAAIRGRTGEQIIDIASLTGQNREDLCKTDQQMQTDAVYKEALLSARNIVALVVIFLATVGCTTGLNPPGGLNNDGKAVLGKEIAFFIFMLAVYTMVPVCIITLGLLCGVTPRSQKVQRNTLKLCHTFMWVGLIAFAVAFVSGSWLIVPSDRWWLKLIGALATLAGMTILMSFIWSKTARKQLRRFSFCKLDGSSDDDEPAAPMVTTNNPK >scaffold_301777.1 pep chromosome:v.1.0:3:6399306:6400209:1 gene:scaffold_301777.1 transcript:scaffold_301777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPPCPCCVHWIVHNGFCCECKSAVDWYKGRLKNCTRIKDPNCDHSMSYRGYCSRCCRKVDESNGEFFNYISQGQHFSYKYIAYMKRQRFGIGYGQRKLHLVVDLQHVLLDSNGVLVKLRPFAREFLREANELFTIYAYTKSDPKQARSFIKLLDPLKIFFPSRFITIAEEKRKKKSLEFVLAEERGVVILDCKSETWEKDDERNLLLIKSYDYFKGMEYQQGFITKFINFFNKSSSEEKRNEKEEEDDDDGVLVDALNSLKTIHQRFFHGQCKDVRLLLHPSPI >scaffold_301778.1 pep chromosome:v.1.0:3:6400608:6401536:1 gene:scaffold_301778.1 transcript:scaffold_301778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNHRIIVEGICRECRSSVTQPNDDFQHFNNLANGLSLSHEFVGSLKSHVSKNSLEKKKLHLVLNLYGTFFDSQAFPCLSNKEKYLKGKVNSRNDLWQTRIRGHDVLIKLRPFVHEFLREANKLFILHVTTLCIPEYADFVLKLLDPHQLYFGNRIISLSKHVIWEKTLDQVLVGEREVIILDDRYDVWSPENRSNLLQITTYSYFKATKKRNSIDGGMFQNLFKYFLKIFSRDDDNLLSDSNSYSEERKDESVDDGALANALRFLFKIHQDFFNHHYSENDIYKRDVRVFLHS >scaffold_301783.1 pep chromosome:v.1.0:3:6413411:6416667:-1 gene:scaffold_301783.1 transcript:scaffold_301783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNSNSRGFNTSGLSDRNTEFLPVERITARSKPSSHVDEYVRSLFGSTSTHKSGEDDSLGIDPFVSSLEWGDVSLRQWLDKPERSVDVLECLHVFRQIVEIVNAAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGSDSLEDGPISQKEIGSSRREETVSKAIAKEEKGVYNKFLERRIEKLEEEKNQPFPMKHILAMETSWYTSPEEDFGSSSTCASDVYRLGVLLFELFCPVPSREEKSRTMSSLRHRVLPPQILLKCPKEASFCLWLLHPEPSCRPSMSDLLQSEFITEPRDNLEEREAAIELRDRIEEQESLLEFLLLIQQRKQESAYRLRDTVALLSSDIEQVVKRQLILKKKGSSLSDFSKDDHQYPSGQPLISFQANEEPSAFLASRKRFRQGISALEDDVEVDEESQGSTLLESSRLMRNFKKLESVYFLTRRRQMKAAASGKSLTRHSPLSSENGRGSMIVSEKSSVSNPAVPKDFYNHDLRQGGWIDPFLEGLCRYLSFSQLRVKADLKQGDLLNSSNLVCALAFDRDGEFFATAGVNKKIKIFECNSIVNNNRDIHYPVVELAGRSKLSSLCWNSYIKSQIASSNFDGVVQIWDVARCQLVTEMKEHRKRVWSIDISSADPTLLASGSDDGTGVSIGTIKTKANVCCVQFPSDSGRSLAFGSADHKVYYYDLRNPKIPLCTMIGHSKTVSYVKFVDSSTLVSSSTDNTLKLWDLSMSASGINETPLHSFTGHTNLKNFVGLSVSDGYIATGSETNEVFVYHKAFPMPVMSYMFNNTDSVSGLEVDDASQFISSICWRGQSSTLVAANSNGNIKILEMMA >scaffold_301785.1 pep chromosome:v.1.0:3:6420600:6421050:-1 gene:scaffold_301785.1 transcript:scaffold_301785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADPTFNDDVLGLIDGRSEDYDPCNWVGCTCDPASNWVSKLRLDAFSLSGHIGRGLLRLQFLHTLVLSNNNLTRTLNPEFPHLGSLQVGDFSGNSLSGRIPDGFFEQCGSLRSVSLANNKLTGPLPVSLSYCQRR >scaffold_301787.1 pep chromosome:v.1.0:3:6423915:6424391:1 gene:scaffold_301787.1 transcript:scaffold_301787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHLFRVICMLHSIIALTSGTLMMFYTEKASIFGHGSDIANKLKGSTPHDEQLIQISQSFSGLLLFAIGLVLFMVSFVKDREFHSFFAAGSVILYMLMALWRVIFEWKIEDLAFECPKQALGDIALAVSWIFFLVYTWREKYD >scaffold_301789.1 pep chromosome:v.1.0:3:6426770:6427303:-1 gene:scaffold_301789.1 transcript:scaffold_301789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNCGSCDCADKTQCVKKGTSYTFDIVKTQESYKEAMIMDVGAEENDANCKCKCGSTCSCVNCTCCPN >scaffold_301792.1 pep chromosome:v.1.0:3:6434844:6435384:-1 gene:scaffold_301792.1 transcript:scaffold_301792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHVMIKTLQEKKKLHLVLGLRGTLYDYIIISHLSDREKHLIGEVDSRDDLWRITAQSHEGLIKLRPFVAEFLREANNTLHAYSLSRPEHSDYMLKLLHPHQTYFGRRVICSRDTCMKTLDLVLVDERVLVVMDDQCSTWWTDHTNHRSRVTVISKDPRRPNTVD >scaffold_301793.1 pep chromosome:v.1.0:3:6435707:6435912:1 gene:scaffold_301793.1 transcript:scaffold_301793.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L428] MAVTYSWLSCALSEMYSKGFPSSSSDPPLHRIGNRILVEQPSGGIQGNLYLLPL >scaffold_301794.1 pep chromosome:v.1.0:3:6436441:6437942:1 gene:scaffold_301794.1 transcript:scaffold_301794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIDSVTVTRVFSLPIAASISSTSAGPSVSGRRISPVRFLEFRGLKCSRSLVTQSASLGANRRSRAARGGRIACEAQDTTAAVEVPNLSDSEWQTQVLESDVPVLVEFWAPWCGPCRMIHPIVDQLAKDFAGKFKFYKINTDESPNTANRYGIRSVPTVIIFKDGEKKDSIIGAVPRETLEKTIERFLVE >scaffold_301805.1 pep chromosome:v.1.0:3:6471402:6471878:-1 gene:scaffold_301805.1 transcript:scaffold_301805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIVDDISDSTCMVTPIYYSRETTQDRQTFLFDFEVVYTKVPEPNSDGEADYMSNKVKTRTTNQLQRYGRDEFIGQDVERIKATIYEILYEIGVPPYRGIMKTFAEEIECILNSPLEKLEKIKVKIEVVAHTFPEDEIDVEGY >scaffold_301807.1 pep chromosome:v.1.0:3:6474286:6476243:-1 gene:scaffold_301807.1 transcript:scaffold_301807.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brix domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L440] MGRKRKHSETVAAAPVKKDDSAPERPKRTLLGWKDKNEDAEDSKPASVFRNKEKVLVTCSRRISFRYRHLMLNMVSLLPHCKKDSKVEAKSSRGATLNELIELKGSSSCLFFECRKHKDLYMWMVKSPGGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSSNFEKDAHWKLLKEMLTQIFGIPEGHRKSKPYHDHVFVFSIVDDHIWFRNYQISVPHNESDKIARGDLDKMTLIEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRALEKRNKAGKFAKKIKAKTRRKMHELSNPLEPGEFTDMWKDE >scaffold_301808.1 pep chromosome:v.1.0:3:6476655:6479954:-1 gene:scaffold_301808.1 transcript:scaffold_301808.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7L4T9] MSYHNEEEEEGDTNDCFYESLDRLASSSSCSCSASNSDYDSESSPRISSAASHDSEEHNGGGRRRRYPFPVPRFPMGASKFDVWISEPASVSERRSKLLNEMGLSRDPVLSRLKPVSDSSSKETGAGSSDISRSISCNQLARRDHGECFETVGGCASCIVRSKSDITTSQCGDRERRYTSLGNSCSCSVSKLSVRHPSHSEISRTSPPFVNCSLGSVSADSCENSLRLNGDTDCVLSESVVNEEVEVCTIKNLDNGKEFVVNEIQEDGTWKKVKEVGTGTQMTMEEFEMCVGHSPIVQELMRRQNVEDSDKNTSKENEDSGNSNKDNASKSKKKGSWFKSIKSVASSMTGHSKERRSSDDRDTSSERGGRRSSSATDDSQESSFHGPERVRVRQYGKSSKELTALYKTQEIQAHNGSIWSIKFSLDGKYLASAGEDCIVHIWQVVEAEKKGELLLDRPELLLLANNGSPEPTTMSPRRRGRTSISRKSLSLENIFVPDSLFGLSEKPFCSFQGHVDDVLDLAWSKSQYLLSSSMDKTVRLWNLSSQTCLKVFSHSDYVTSIQFNPVDDRYFISGSLDAKVRVWSIPDRQVVDWYDLHEMVTSACYTPDGQGALVGSYKGSCRMYSASDNKLQQKSLINLQNKKKKAHQKKITGFQFVPGSSSEVLVTSSDSRIRVVDGTDLVNKLKGFRNTSSQISASITADGKYVVSASEDSHVYIWKYESPASRPSRSNNNKNVTVTNSYEHFHSQDVSAAISWPGMASTENWGTQNRAGFNGNTNNFDNASTANHPPTPVDQPGAVDRLNSPRNGIISSSTNGYFFDRMSATWPEEKLLFGRNRSGNRLSTDFSSSNGNSGNVSASWGMVIVTAGLRGEIRTFQNFGLPIRI >scaffold_301809.1 pep chromosome:v.1.0:3:6493891:6495071:-1 gene:scaffold_301809.1 transcript:scaffold_301809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIVVIIVFILDLIAVGLAIAAEQRRSVGKVETDREKQYEYCVYGTDIATSYGAGAFVLLFVSQVLIMVASRCFCCGKSLNPGGSRACAIILFLICWVFFLIAEMCLLAASIRNAYHTQYRKMWNVEDPPSCEVIRKGVFAAGAAFTLFTAIVSQFYYVCYSRARDAYQNPSY >scaffold_301815.1 pep chromosome:v.1.0:3:6529204:6531973:-1 gene:scaffold_301815.1 transcript:scaffold_301815.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase TLP38, chloroplast [Source:UniProtKB/TrEMBL;Acc:D7L4U6] MASPLSSSTVVSHRIFFLNPSPLNRKFLFVKPKSPFNRTNSGDFRMQCSKNGTKELIHSCNSSIDSKLNPFEAGSKNLEKLVATILIFVQVWSPLPLLGLDSAYISPAEAVLYSPDTKVPRTGELALRRAIPANPSMKIIQASLEDISYLLRIPQRKPYGTMESNVKKALKVAIDDKDKILASIPADLKDKGSELYATLIDGKGGLQALKTSIKKQDPDKVSLGLAASLDTVADLELLQASGLSFLLPQQYLNYPRLAGRGTVEITIEKGDGSTFSAAAGGDQRKSATIQIVIDGYSAPLTAGNFAKLVTSGAYDGAKLNTVNQAVITEDGSGKVESVSVPLEVMPSGQFEPLYRTPLSVQDGELPVLPLSVYGAVAMAHSETSDEYSSPYQFFFYLYDKRNSGLGGLSFDEGQFSVFGYTTAGKDILGQIKTGDIIKSAKLIEGQDRLILPAQNNNNINSST >scaffold_301817.1 pep chromosome:v.1.0:3:6544223:6544469:1 gene:scaffold_301817.1 transcript:scaffold_301817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFCFLVDQKRKIKGSKPAAGTCSRCGCGARIADMKTSTRFCLIPIYCRSWRAIICCFCGSVLKSYR >scaffold_301823.1 pep chromosome:v.1.0:3:6560712:6562188:-1 gene:scaffold_301823.1 transcript:scaffold_301823.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:UniProtKB/TrEMBL;Acc:D7L4V2] MKKTPSPEPVTIYGNQQETFVGDMEYYTYFDESCIQDMNYFVKTITGIKSKGIRPDLIGSIIAHYASKWLPDLSGNVSAIISTSVESKNHLNDTQPESVTASVMKKRFFVETLIGILPPEKDSVPCNFLLRLLRTAKMVGANTNYLTELETRVSWQLDQASLKELMIPSFSYTSGTLLDVELVTRLVNKFSGLDSEGVKTSAALVKVAKLVDSYLAEAAVDGALALPEFISLITALPSHARTTEDGLYRAIDTYLKAHPQVLKQERKELCRLIDSRKLSPEAALHAAQNDRLPVRAIIRVLFTEQTKLSRHIDWNGSSISSTTKSPTNPSGSHYLEGGSAARCLSKREMNVQQAEIRRLREDVVRLQSQCSAMHLQVERLMEKKSSGGSKGFFRWKRLGLVPSIRGSVSVEKGEEESGDGEGFEPRTPGNMKTRLVKGRTTPSRWRKSMS >scaffold_301831.1 pep chromosome:v.1.0:3:6587175:6588931:1 gene:scaffold_301831.1 transcript:scaffold_301831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKKKKKNDPKKKKNDPTYKPYDSAGVSARSALHGSMVDGKKLFVAKFINKDERAAMSWNQEFTNVYVENLIENVTEDILHRLFSQYGIVSSVVVMRDGMGRSRGLGFVNFCHLENAKKAVEFLCGRQLGSKTLFVGKALRKDERMEMLKQHFRDNSIAKPNMGWSNMYVKNLSESMNDTRLREIFGRYGQIVSAKVMRHENGRSKGFGFVCFSNREESKQAKRYLNVDGKPLVVRVTERKEDTLKRLHQYFHGQPRHYTQAPLVPSPTQPVLSYVPSSYGYLQPFHVGTSYYFMGTQLPQMSGHQNITTYVAAGKAPLKENRSLHLVYKHPAYPVAKSGVNRNWSLRVKNNRTSEAATCSKATTSGEVLKKESRSTLIGMSSLSPKVKAEKSSGKEIAIIEAA >scaffold_301834.1 pep chromosome:v.1.0:3:6594262:6594558:-1 gene:scaffold_301834.1 transcript:scaffold_301834.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4W2] MFWPFIAGVAVTLLLVTKHTASFVEGSERSHCLMTPPSRRRHCDSGGRE >scaffold_301835.1 pep chromosome:v.1.0:3:6597842:6599668:1 gene:scaffold_301835.1 transcript:scaffold_301835.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase/carboxylesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7L4W3] MSYSHQSMGSGSRSTRGYEFGRTYVVRPKGKHQATIVWLHGLGDNGSSSSQLLESLPLPNIKWICPTAPSRPVSLLGGFPCTAWFDVGEISEDLHDDIEGLDASAAHIANLLSAEPTDVKVGIGGFSMGAAIALYSTTCYALGRYGTGHPYTINLRATVGLSGWLPGWRSLRSKIESSNEVARRAASIPIILAHGTSDDVVPYRFGEKSAHSLAMAGFRQTMFKPYEGLGHYTVPKEMDEVVHWLASRLGLEGSR >scaffold_301847.1 pep chromosome:v.1.0:3:6635210:6636160:1 gene:scaffold_301847.1 transcript:scaffold_301847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALSNTTTSSNLNHYSILSPFSSPYHLHRRSIVLLASPCRLRRLAVAGGPPSPPGPDPPPPEDTTQLAGLVGAVTRIQDRVKIFLAVFFWMFLFFWVTVTDGRGKGKGKKSSRFK >scaffold_301848.1 pep chromosome:v.1.0:3:6636935:6638137:1 gene:scaffold_301848.1 transcript:scaffold_301848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEDEVVSVELPAPSSWKKLFYPNKVGSVKKTEIVFVAPTGEEISNRKQLEQYLKSHPGNPAIAEFDWTTSGTPRRSARISEKTKATPSPDKEPPKKRGRTKSSGSKKDAEGEKSEGAEENSHVKDTEMNPPEGNVDNENGSGETGKVNDAKDNMVAKETPSATPVQEAGDRESVKEKASDSVEDSSKERVESQTDKEKEIGSIEKNSVDTEKKTVEASDEQKNSEAETRNHEENGLTTEAEGKEKTAEAEATE >scaffold_301850.1 pep chromosome:v.1.0:3:6641736:6643033:-1 gene:scaffold_301850.1 transcript:scaffold_301850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVEETIPKEGKKMGERIVVDKAYLYQEDKPLTVCKTSLFYTGDGFAAYDCHGDIIFRVDSYGPDTRDNDEIVLMDATGKCLLTVKRKRPTLHQRWEGFLGERSEGQKPIFSVRRSSIIGRCTMEVEVYDGTGEEYIIDGDFSQRSCLIYDTEKRTVAEIKRKVDASTNVMLGRDVFTLEIKPGFDGAFAMGLVVVLDQINGDDPVEIGDEQVHPFVED >scaffold_301851.1 pep chromosome:v.1.0:3:6645095:6647236:1 gene:scaffold_301851.1 transcript:scaffold_301851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAATETDVSLRRRSNSLNGNHTNGVAIDGTLDNNNRRIGDTNTQMEITAKKTDNGYANGVGGGGWRSKASFMTWTARDVVYVARYHWIPCMFAAGLLFFMGVEYTLQMIPARSEPFDLGFVATRSLNRVLASSPDLNTVLAALNTVFVGMQTTYIVWTWLVEGRARATISALFMFTCRGILGYSTQLPLPQDFLGSGVDFPVGNVSFFLFFSGHVAGSMIASLDMRRMQRLRLAMVFDILNVLQSIRLLGTRGHYTIDLAVGVGAGILFDSLAGKYEEMMSKRHLGTGFSLISKDSLVN >scaffold_301852.1 pep chromosome:v.1.0:3:6652838:6653130:-1 gene:scaffold_301852.1 transcript:scaffold_301852.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4Y1] MNSGRRSSVSPSSPSTPFVVGVFGCCIRRGWVAKSARSSRDRQVRCLRRCSHRPYVYIRQQYQYQEIHGQCTKSSLSLSS >scaffold_301854.1 pep chromosome:v.1.0:3:6656876:6658573:-1 gene:scaffold_301854.1 transcript:scaffold_301854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANTAAIFASPSQPLSSKRSFLYSSTIGPILRRFPRKKLVLQVKAVATTLAPLEEIKEYKLPSWAMFEMGTAPVYWKTMNGLPPTAGEKLKLFYNPAASKLTLNDDYGVAFNGGFNQPIMCGGEPRAMLKKDRGKADSPIYTMQICIPKHAVNLIFSFTNGVDWDGPYKLQFQVPKRWQNKPIEFFNVGLANELSQDGACERAIFPDSNVVATRCTMIANLTVEGGDRCNLDLVPGCMDTNSEHFNPYANVDDGSCPLELSDSDE >scaffold_301856.1 pep chromosome:v.1.0:3:6661327:6661876:-1 gene:scaffold_301856.1 transcript:scaffold_301856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNNNNSNIFKTLARWCLLLQAFMISSKTVALKEPDYVNMNITIRNAMTGLLRPEIVYRCQSKHKDYGWHRSTKPGIQFSFPIILIKGENKIPAIHICEFRSVLGTATLVIQNTHLDAEMCPRSSCAHEATPKGIVFRGLEWDFRTVFPKLKPVEYLELPWTPWPNRT >scaffold_301862.1 pep chromosome:v.1.0:3:6685312:6687925:-1 gene:scaffold_301862.1 transcript:scaffold_301862.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7L4Z0] MEDLRLSPLKLGSFKSSLSGKSTPRGSPTSRRVHSGRTPRRDGKGSGGAVQWFRSNRLLYWLLLITLWTYLGFYVQSRWAHDDDNKVEFLRFGGKLREDVLHVEQNKRLDSVANENSHAVVDTTNIVHIGVNKRMHVTLAKKEDDTSQRSLSSRRRTRKASRSSRTRIRSKQKVRKVMETKDLDEQDQELPNTNVTYGKIFGPFGSLEDRVLEWSPQKRSGTCDRKSDFKRLVWSRRFVLLFHELSMTGAPISMMELASELLSCGATVYAVVLSRRGGLLQELTRRRIKVVEDKGELSFKTAMKADLVIAGSAVCASWIDQYMDHHPAGGSQIAWWVMENRREYFDRAKPVLDRVKLLIFLSEVQSKQWLTWCEEDHIKLRSQPVIVPLSVNDELAFVAGIYSSLNTPTLTQEMMKEKRQKLRESVRTEFGLTDKDMLVMSLSSINPGKGQLLLLESVALALEREQEQEQVAKSNQQPKIKNLNGIRKEKISLSVKHRLRGSLRKMKITTPATDNSSVLSATGKRKLLFSGNVTQKQDLKLLLGSVGSKSNKVAYVKEMLSFLSNNGNLSNSVLWTPATTRVASLYSAADVYVTNSQGIGETFGRVTIEAMAYGLPVLGTDAGGTKEIVEHNVTGLLHPVGRAGNKVLAQNLLFLLRNPSTRLQLGSQGREIVEKMYMKQHMYKRFVDVLVKCMRP >scaffold_301863.1 pep chromosome:v.1.0:3:6689640:6691080:-1 gene:scaffold_301863.1 transcript:scaffold_301863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRLSICELTCLCAAELSMTRNMISWKREFMMRGRWYQRKDLERRCLQCSHYMPVERPEGKPLPCVIYCHGNSGCRADGSEAAIVLLPSNITVFTLDFSGSGLSGGEYVTLGWNEMYPIAKNLVHDKTGE >scaffold_301865.1 pep chromosome:v.1.0:3:6699047:6699410:-1 gene:scaffold_301865.1 transcript:scaffold_301865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKQKNVDAELALVKALAERGDLLYVKIFAIKKLVLKLEAEKEEVDMTFEKTVVNLSRVIEEASQAYEEYHVVVRKWKEEQASEEFSREAIERVEMVWVEFLGTL >scaffold_301866.1 pep chromosome:v.1.0:3:6699718:6701267:1 gene:scaffold_301866.1 transcript:scaffold_301866.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMTVGGLDVEDGGDRDDEEDDVRGTSTSEEGKWYFSNVDQNKRSFLWDEGSEAASENAFGTSEDKRKKWIEKYVPGTYLDQKKQQITYSDFVNKELILYSTVQIL >scaffold_301874.1 pep chromosome:v.1.0:3:6740735:6741410:1 gene:scaffold_301874.1 transcript:scaffold_301874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQGGKLKPLKQPKSGKKEYDEHDMELMQKKKDEEKALKELRAKASQKGSFGGTGLKKSGKK >scaffold_301876.1 pep chromosome:v.1.0:3:6744750:6748569:1 gene:scaffold_301876.1 transcript:scaffold_301876.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7L503] MNGRQRSGAAAVHHQRQLSDNPLDMSSSNGRWLQSTGLQHFQSSANDYGYYAGGQGGGQATRGYQNAQRGFNGGNEFFGEQPTTPQYGARPTNQRKNNDESEFSPGLLDLHSFDTELLPEIPVSSQVDGSSLFNPNQGQSFDDFEAYNKQPNRSRVLAENLAAEKERMNSVAKIKVVVRKRPLNKKESTKNEEDIVDTHANCLTVHETKLKVDLTAYVEKHEFVFDAVLDEEVSNDEVYRETVEPVVPLIFQRIKATCFAYGQTGSGKTYTMKPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLYDLLSERKKLCMREDGKQQVCIVGLQEYRVSDTDAIMELIERGSATRSTGTTGANEESSRSHAILQLAIKKSVEGNQSKPPRLVGKLSFIDLAGSERGADTTDNDKQTRLEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFMGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNASKKDVSSSTMNLRESTKIPLSSALPTPSNYDDDVNEMWTEENDEFDASDYEQDKQMWKKNGKLEPSYNGMAQERIPKPTIQMKSRDMPRPDMKKSNSDDNLNALLQEEEDLVNAHRKQVEDTMNIVKEEMNLLVEADQPGNQLDGYISRLNTILSQKAAGILQLQNRLAHFQKRLREHNVLVSTTGY >scaffold_301877.1 pep chromosome:v.1.0:3:6749136:6749629:-1 gene:scaffold_301877.1 transcript:scaffold_301877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKTNSFFHRTVNSLKSIILRTGKKLPRPKTHFSCTFCRSNSLHADNIYASFLNIWESDLKNSIGGPLKLEQMVQEQEQYYQVKKTEACSSHSSRDCDTMEKKINEMFMTETGDIEQALDVEEALHYYSRIRSPVYLNIVDKFFTDLYS >scaffold_301878.1 pep chromosome:v.1.0:3:6752344:6753240:1 gene:scaffold_301878.1 transcript:scaffold_301878.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37 [Source:UniProtKB/TrEMBL;Acc:D7KIR3] MGKGTGSFGKRRNKSHTLCVRCGRRSFHIQKSRCSACAYPAARKRTYNWSVKAIRRKTTGTGRMRYLRNVPRRFKTGFREGTEAKPRNKAAASSA >scaffold_301879.1 pep chromosome:v.1.0:3:6753912:6756469:1 gene:scaffold_301879.1 transcript:scaffold_301879.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7L5K2] MIRLRTYAGLSFIATLAVIYHAFSSRGQFYPATVYLSTSKISLVLLLNMCLVLMLSLWHLVKFVFLGSLREAEVERLNEQAWRELMEILFAITIFRQDFSSGFLPLVVTLLLIKALHWLAQKRVEYIETTPSVSKLSHFRIVSFMGFLLLVDSLFMYSSIRHLIQSRQASVSLFFSFEYMILATTTVAIFVKYVFYVTDMLMDGQWEKKPVYTFYLELIRDLLHLSMYICFFFVIFMNYGVPLHLLRELYETFRNFQIRVSDYLRYRKITSNMNDRFPDATPEELTASDATCIICREEMTNAKKLICGHLFHVHCLRSWLERQQTCPTCRALVVPPENATSAAAGQRGLHQGSQQGTSSSNNQGSEASSAAGVSNDNLSRHHARLQAAASAASMYGKSMVYPSANTVAWSPSIPGTEQVSTEPHQTRPSSFSSSQLPQDNLPGENPQAYANMSETKLEEMRKSLEAHLEILRNRLHYLEKRKPESTGEADNKGKSVADAAGE >scaffold_301882.1 pep chromosome:v.1.0:3:6763862:6765202:-1 gene:scaffold_301882.1 transcript:scaffold_301882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAKSDSETSLAASSSSPSRSSKRTFYYVQSPSRDDSSTSIIQPSPMDSPTHDSSSLGRHSRNSSASRFSGFRSSSSGRKNGRKWRKSNEQECKVILEEGSSYDEMVDATCIRRCQALLAVFTLVVLFIFFCFIVWGASRPYKAQISVQTFELHNFYVGEGSDFSGVSTKVVTLNGTLRIRIYNPAPVFGVHVSSTPINLFFYQLPVATGQLKEYYQLKKSQETESVVIEGRRVPLYGAGASLEATERGGKIPVKLRFEVQSRGDVVGKLVRIWHTKRISCTFVIDVASNKPIPFNKSSCRYS >scaffold_301890.1 pep chromosome:v.1.0:3:6783480:6787007:-1 gene:scaffold_301890.1 transcript:scaffold_301890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7L5L3] MENPPDQTESKEILQQQPIRRRRTKGGLLTMPFIIANEGFEKVASYGLLQNMILYLMSDYRLGLVKGQTVLFMWVAATNFMPLVGAFLSDSYLGRFLTIAIASLSSLLGMVVLWLTAMLPQVKPSPCVATAGTSCSSATSSQLALLYSAFALISIGSGGIRPCSLAFGADQLDNKENPKNERVLESFFGWYYASSSVAVLIAFTVIVYIQDHLGWKIGFGIPAILMLFAGFLFVFASPLYVKRNVSKSLFTGLAQVVAAAYVNRNLTLPDHHDSRDCYYRLKDSELKAPSEKLRFLNKACAISNREEDLGSDGLALNPWRLCTTDQVEELKALVKVIPVWSTGIMMSINVSQNSFQLLQAKSMDRRLSSDSTFQIPAGSFGMFTIIALISWVVLYDRAILPLASKIRGRPVRVNVKIRMGLGLFISFLAMAVSATVEHYRRKTAISQGLANDANTTVNISAMWLVPQYVLHGLAEALTGIGQTEFFYTEFPKSMSSIAASLFGLGMAVANILASVILNAVKNSSKKGNVSWIEDNINKGHYDYYYWVLAILSFVNVIYYVLCSWSYGPTVDQVRNDKVNGMKEEEEEVIKILN >scaffold_301893.1 pep chromosome:v.1.0:3:6802249:6803475:1 gene:scaffold_301893.1 transcript:scaffold_301893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKKKSSGYVLARVPIPDSPCVHFSGLVAVGSNIYNIGESINFSLSSSVSVLDCRSHTWREAPSLPVKLISLSASVFDRKIYVAGAAGRYNDVRSDNLKTSLEVFDTKTQTWDPEPIPCSEIKDSFNCRSIWIDGKFHVATHKDLFAYKSKEGRWDTVEPRQAMHHYLYSDSYCKIDNVLYSASDGAFRWYDTDISMWRNLVGLPKLLHLGYRVRLADYGGKLAVLWEEELPYYSGTSLRYEKMIWCAEISLERRKSWEIWGKVEWVDHMLTVPRTYELNNYGETTLLNDFDDNHDFLDNGIPKLCLDRQ >scaffold_301894.1 pep chromosome:v.1.0:3:6803633:6804764:-1 gene:scaffold_301894.1 transcript:scaffold_301894.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L5L7] MSKFLPEELAIEILVRLSMKDLARFRCVCKTWRDLINDRGFAETYRDISPAKFVSFYDKNFYMLDVEDKHPVMTNPHKLDFPLDQSMIDESTCVLHCDGTLCVTLKNHTFMVWNPFSKQFKIVPNPGIYQDSNILGFGYDPVHDDYKLVTFIDRLDVSTAHVFEFRTGSWRESLRIAYPDWHYRDRRGTFLDQYLYWIAYRSNADRFIIRFNISTHEYRKFPLPVFNRGVTCSWLGVRSQKLCITEYETCKKEIRISVMEKTGSWNKIISLSMSNFISAQDRIYDYQVEFVAYTKKNDLVVTFTGYNDNLEMEPEERTKKKVFLYKTGDETSEQVRFCNSLAGLRFLCECVETPKIINRIFI >scaffold_301898.1 pep chromosome:v.1.0:3:6826955:6828158:-1 gene:scaffold_301898.1 transcript:scaffold_301898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVQLNGSGLVASLPPNHSFSHKTKLSNPKSYFLRSKHNAAGTKTVRAISTAPASQPPAADEPDEPPAVDFAFVHSVLLPDGTPDVHWRRACGGQKLRDIMLDSNIELYGPYSKPLSNCAGVGTCATCMVEIVNGKELLNPRTDIEKEKLKRKPKNWRLACQTNVGNPDSTGLVVIQQLPEWKAHEWNIPKNIPNDDDLETST >scaffold_301899.1 pep chromosome:v.1.0:3:6833701:6834859:1 gene:scaffold_301899.1 transcript:scaffold_301899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVKLPYELEEDILSRLPPLSLARFRSVCKLWNDLFNENRFINDHFGRARPQFILLDNSNIYSIEIIDLDGIDPTIELRELASSGIPYRELDLDHTTITTCDGFLFCNFFVSAKGTALWNPWLRQVKWIEYEDKEFYVFGLGYDNTIPKKYYRIFGYFVRHRQVQRDYHQRVAIYECVSQAFKYIDTPDEDWPIKQATRGNVSLNGNLYWVACNPNTHEYYIQSFDFSRDIVKAFCLTPCRKNRSRDVLFLSVFKGDGFSLLKQCYVTRSVEIWVTKKKIDTSNNGGEDIVWINLMILPTTNLPSLINKFYGISYFIYDKTTLIMCCCDDENRATCIYIVRGDLFKKIQINSGVFQCCHCVYAPNLIPLL >scaffold_301900.1 pep chromosome:v.1.0:3:6838663:6839634:1 gene:scaffold_301900.1 transcript:scaffold_301900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEFEGVEKRLEIVFRKEEDSLREVLTEEVWHDILRSIDCWIVDKLLTASVDSYLLSASSLFVFDDRLIIKTCGEIKLFQAITKILGLSKRTPVGVKFTRGTYFWPERQPEPHGNFPSEVSELRRNFLELDDTVTAEFGTDEKHKFHIFAAAASGSSVSDGVTVEILMTGIEKSKASVFFKDSGIPGSMTSASGIDQIFPESSICDHEFPTCGYSMNGVVGSNSVYTIHVTPEEGFSFASFEVCGCETHSLEKLVQNVLSCFNPSTFTAVLHTRSKLELLDLNIEGRICKEKDCKNLGSYGTMTYLSF >scaffold_301901.1 pep chromosome:v.1.0:3:6841212:6842370:1 gene:scaffold_301901.1 transcript:scaffold_301901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVKLPYELEEDILSRLPPLSLARFRSVCKLWNDLFNENRFINDHFGRARPQFILLDNSNIYSIEIIDLDGIDPTIELRELASSGIPYRELDLDHTTITTCDGFLFCNFFVSAKGTALWNPWLRQVKWIEYEDKEFYVFGLGYDNTIPKKYYRIFGYFVRHRQVQRDYHQRVAIYECVSQAFKYIDTPDEDWPIKQATRGNVSLNGNLYWVACNPNTHEYYIQSFDFSRDIVKAFCLTPCRKNRSRDVLFLSVFKGDGFSLLKQCYVTRSVEIWVTKKKIDTSNNGGEDIVWINLMILPTTNLPSLINKFYGISYFIYDKTTLIMCCCDDENRATCIYIVRGDLFKKIQINSGVFQCCHCVYAPNLIPLL >scaffold_301904.1 pep chromosome:v.1.0:3:6851484:6854340:1 gene:scaffold_301904.1 transcript:scaffold_301904.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7L5M7] MDTSRRAVESYWRSRMIDGVTSDEDKVAPVYKLEEICDLLRSSHVSIVKEFSEFILKRLDNKSPIVKQKALRLIKYAVGKSGSEFRREMQRNSVAVRNLFHYKGHPDPLKGDALNKAVRETAHETISAIFSEENGAKPAAPESINRRIEGFGNTNFQVPSNDNKSFLSEVVGIGSASIKQGISNFAQGHLPKKNENGSSSYRGPNLHRSLTMENENFSRYDPVKLAKDGNYGMSKNTTGGSWGHASGEASESSASVRVESKTREEKLLETIVTSGGVRLQPTRDALHVFILEAVKMDAVALSIALDEKLQSPMWQVRMKALCVLEAILRKKEDDNFSIVHTYFSENMDAIQRCSESPQSSLREKANKVLSLLNGGQSSGLMSSSDNTVKREAAVDLPDLIDTGDSDYIKEDTLNNLNAIDTGSTVTKAAPLMDDDWFGDSSDTILSSSEKKNDDDPFADVSFHPNEEKVSADDLFSGMTVGEKSAAAAGNHVPELFDMFGSTAKLEAEPKDSKNINDLMASFSIDENNSNQKSSSSSTLPEDLFAMPSTTSHQAPENPVGGILGSQNPGFIQNTMLPGGVMPFNFPPGMMMNPAFASQPLNYAAIASLLAQQQQYLGNMSNFQQFGNLNAQGSGNVLSMGTSGGNQSAFPDIFQPNFGNQAPTSTMNGSKKEDTRAFDFISDHLASTRDTKRVS >scaffold_301905.1 pep chromosome:v.1.0:3:6856295:6857048:1 gene:scaffold_301905.1 transcript:scaffold_301905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLNSSSSPTPSSSDQSDATTTTSTGLSEEEAPPRNNNTRKRRRDSSAASSSSSLKQHPVYRGVRMRSWGKWVSEIRQPRKKTRIWLGTFATADMAARAHDVAALTIKGSSAVINFPELASLFPRPASSSPHDIQAAAAEAAAMVVEEKMSDTEATPEEPPSSESSYVAAESGEEERLEEIVELPNIEEGSYDESVTSRADLAYSEPFDCWVYPPAMDFYEEISEFNFVELWSFNH >scaffold_301907.1 pep chromosome:v.1.0:3:6863277:6864185:1 gene:scaffold_301907.1 transcript:scaffold_301907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELNVKIDFFKWTRATTSMFEHDSSSYLALIRCLEEAKHYSEIRTTIQDMLNNEFAIFSTPELSDLMKLLGRAKMVIDALSVLYVMKHRACKPDTSTYNSVNADATRTEVDCFPDTVTYSALISTYDQLGRHVSAIRLVFEMKDKCLQPTEEIYFILLGIYFRVGKYKKASNLFEEIWKQGGFSPTVYTCRQLMVPFGNTGREKETYSLYKDMLRDGFTPDPNFLINLFESKPHISWFDKMVLVKQIEWRDLCCFSRRWMKKVVRVFPPRKRS >scaffold_301908.1 pep chromosome:v.1.0:3:6865017:6865268:1 gene:scaffold_301908.1 transcript:scaffold_301908.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5N1] MWHGESSCGTVWNLWLRHSTWIDQGLNHTHMDSFGLGYKSYNNKGSYKIVANSGRKENPTKSLLTNDNP >scaffold_301916.1 pep chromosome:v.1.0:3:6895437:6896333:-1 gene:scaffold_301916.1 transcript:scaffold_301916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRFCPTILAIDDRFKEEIMVYECVFGLPFTLFEQEVPENKRRLSIVKGLVIARRNAGLNSTFRIRRLFGGVGNGVTSSLCNYFNVSAQWCSLVVMFLVLS >scaffold_301921.1 pep chromosome:v.1.0:3:6919224:6919982:-1 gene:scaffold_301921.1 transcript:scaffold_301921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAGGFIGSHLCEKLMMETPQKVLALDVYNEKIKHLLEPDTVEWSGRIQFHRINIKHDSRLEGLIKMADLTIHLAVICTPADYNTLPLETIYNNFIDARPVVKYCSENNKRLIHFSTCEVYGKTTGSFLPKDHPLA >scaffold_301922.1 pep chromosome:v.1.0:3:6922076:6923939:-1 gene:scaffold_301922.1 transcript:scaffold_301922.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin lectin family protein [Source:UniProtKB/TrEMBL;Acc:D7L5P6] MAKKLEAKGGETGDVWDDVVYDNVRKVYVGQGQYGIAFVKFEYVNGSQVVVGDEHGTKTKLGVDEFEIDADDYIVYVEGYSEKVNDMTSEMITFLSFKTFTGKTSHPIKKRPGVKFVLQGGKVVGFHGRSTDVLHSLGAYVSLSSTPKLLGNWIKVEENGEGPGLRCSHGIAQIGNKIYSFGGEFTPNQPIDKHLYVFDLETRTWSISPATGDVPHLSCLGVRMVSIGSTLYVFGGRDASRQYNGFYSFDTTTNEWKLLTPVEEGPTPRSFHSMAADEENVYVFGGVGARERIKTLDSYNIVDKKWFHCSTPGDSFSIRGGAGLEVVQGKVWVVYGFNGCEIDDVHFYDPAEDSWTRVETFGVRPSERSVFASAALGKHIVMFGGEIAMDPLAHVGPGQLIDGTFTLDTETLQWERLDKFGEEETPSSRGWTASTTGTIDGKKGLVMHGGKAPTNDRFDDLFFYGIDSV >scaffold_301923.1 pep chromosome:v.1.0:3:6927588:6928826:1 gene:scaffold_301923.1 transcript:scaffold_301923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGGAKLIGFHGRSGDAIDAIGANFFASSPPLKQLEPQGGNGGSAWDDGVYDGVKKILIGQDGNRISYVRFEYVVKGSTSIPHSHGKRKQEPKETSKGKTSATFGNAIGTKFVFAEKDFVLVGFRGRSSDLIDALGAHFAPAPLNVPVPAPAKKLEAKGGNGGAAWDDGFYEDVRKIYVGQGDSGVSFVKFEYVNGKELVAGVGHGKMSLLGTAEGCYDNVFGIEAELVTMLRFMTNKRTSPPFGLDAGTPFTLEMKDHKIVGFHGKAGDFVHQVGVYVSPISSLKPLRALSRLTKIK >scaffold_301926.1 pep chromosome:v.1.0:3:6936860:6938061:1 gene:scaffold_301926.1 transcript:scaffold_301926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVEAQGGKGGNQWDDGSVHDAVTKIQVGAGGLGIQYVQFDYVKNGQTEEAPLRGIKGRSIAADPFVINHPEEHLVSVEGWYNPEGVIQGLKFKSNKKTSDVIGYDDGTQFTLQVQDKKITGFHGFAGDNLNSLGAYFAPLTTSAPLIPAKKLPALGSDEGTAWDDGAYNGVKKVHIGQGLDGVAAVKFEYVNGSQIVVGDERGKTTLLGFEEFDIDYPSEYITAVDGTYDKIFGSDSAVITMLRFKTNKQTSNPFGLEAGVAFELKEEGHKIVGFHGKVSDILHQIGVHVLPITN >scaffold_301933.1 pep chromosome:v.1.0:3:6968706:6970150:-1 gene:scaffold_301933.1 transcript:scaffold_301933.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7L5Q6] MEGNREMGPKLLDLIPQGRKWYQEDKNNTDQEKKLELRLGPPGGDEEDHSAIKKKNTETRNIKKETEDKSFHCFNGNHFSSSNKTTYVPHISHKRTAPGPVVGWPPVRSFRKNLASTSSSKLGNESSLHGGQINKSDDGEKQVEPKKEGMFVKINMDGVPIGRKVDLNAYNSYEQLSFAVDKLFRGLLAAQRDTSGGEGEEKPIIGLLDGKGEFTLTYEDNEGDKMLVGDVPWQMFVSSVKRLRVIKSSEISSALTFGWSKQEKMKH >scaffold_301941.1 pep chromosome:v.1.0:3:6998904:7000112:1 gene:scaffold_301941.1 transcript:scaffold_301941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLPRELEEDILSRLPAQSLVRFRSVCKRWNALFYEKGFINHHFARARPQFICMTNSNIYSIDIIGLDGVDPTINLLDLPSKPYYRKLDFNCISITTCDGFLFCNSFPIAFVFFCPGMTKGTALWNPWLKQVKWNDFEDKDFDACVAIYDCTSQAFKFIDTPNEDWSITDVQRSSVSLNGNLYWISPIPVKFDFCEFLIRSFDFSRDIFKPFCLLPCRKMDFCDLLVLQVFNENRLSLLKQCYKTRKVEIWVTKKKIDSSNYNSGEEVVWISLLTFPSSNLPNLCNKRYDISYFIYDKTTLIMCCGDDGGGTPCIYIVRGDFFKKIQINSGVFRCCHCIYAPNFIPVPLGSDCKPNNFITFS >scaffold_301945.1 pep chromosome:v.1.0:3:7012631:7013084:1 gene:scaffold_301945.1 transcript:scaffold_301945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLSRNSGAAAIFAILVILTVQFWSVTVSSQSIEFAGDFPPFETECRGTIAECSVSAALGEDGDLFYGGGEMGAEFEMDSEINRRILATRRYISYGALRRNTVPCSRRGASYYNCRRGAQANPYSRGCSTITRCRR >scaffold_301946.1 pep chromosome:v.1.0:3:7015814:7016197:1 gene:scaffold_301946.1 transcript:scaffold_301946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSGEKPCPRSGFASGVSGENILIFRGETGSEARSGGQVFSGLYSLDTKTLKWERVDKFSARVSPSPRGLCASTTSSLDRYEGMLFFGGKTGIDGISDDFFLLPSCFYLETY >scaffold_301947.1 pep chromosome:v.1.0:3:7018341:7019547:-1 gene:scaffold_301947.1 transcript:scaffold_301947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKAQEKLPMELEEEILSRLPPLSLARFRTACKQWNTLIVDKRFIDNHLARARAHPQFILTTKSKLCSVSVNLSDAIKIEVCDLTLDHIHGLESEMTPRKIHHCDGLLLCCWNNGATATVWNPWLRQSRWIELDTTETLTCFAGIGYDNRKPENCDYEIFASQYSYKYVWKFFNFASNAWKEQNSNTCYSSIRGFTKGANLRASSSVSLNGNLYWVASCIAFKNLFIICMDFSQKRFELFCNLPCKKICRFDTQVLAVFRGDRFSVLDQCNETKKIEIWVTKNKITRDGKDVEWMKFMEMSCPNLPDLVQSRPYSRPSYFIEDKTLIVCSCDKAGQAWIYVVRENKLSTIRIDYVVDPWPSHCTCFPSLVPVPRGQGEEVELRV >scaffold_301949.1 pep chromosome:v.1.0:3:7024084:7025723:1 gene:scaffold_301949.1 transcript:scaffold_301949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTPISHVLLRRKRRLLAPDIKFYPYATGRPSSGKKDMKEEVVRLGVDLSLSVAESMFLLSDDIHTLLWFCYKLWRCTIPHWELVSERLLRVIYHVYSKDIKPKKNAVHQNGANSAQFHLIRATWKDFSDGIIVLHRLVKVLRRNDWSFDDPLLSSAIAKCKQVLKRLEDELRSAKHVSESNGFAREIVESNTSALWESLFDEEAVDLWKSSLFDEEAGEEPANEIKIRILRDLFHPIMGGNSWHGYANPKAPLGHIQMQSLPVYSPYIPPVYSPYILGKDFAKQELKEEVVRLGVELSLHVAGSMLYLCDDIRSMLRFCYKFLEDARKDLAPDSPVMKSLLGVIHYVNSKYIKPKNGVCQNGGHSVQSVTLESFGTGIRDLDLLVSILRSGVSYLDAREYTSTIEAALKEVDETLRCAKDVLEANGFARDAMESKILDLWKPLFDKETEESWSLSAVRLGIFSDLFHPLVEEKSSKT >scaffold_301963.1 pep chromosome:v.1.0:3:7101497:7104918:-1 gene:scaffold_301963.1 transcript:scaffold_301963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHGGGGVRGERIHTVQPERDLVANWEVDLSEKLEEYLLKICSGEITGNEEDEQIPVNFAEAALLLQGSVQVYSKKVEYLYNLVLRTLEFLSKQRDQEQSKGTSNEAEASSSRQVDEEENDLFWNVDDIPVDTKNRLDSSVGGDTCPSQFVKPPANLVVLEGDCLDTSGDGGELESYLLATTHLYRDFILLDPCDAVAVNEFLGDDYAGKGKNNAHRGSSVRKSFHSSVGRSGGSARKSSVGKNQGTNVNLSPIGGNGPNVQNCDQGSQPPVFGDNDHGFDMDNEYGGTMDFSDTDADEDDPWKPLNPYEPGKLKVKPFKKVKNFKKIGWSITKDHMTSMFPLARPDGPISSELIGIWKMRGCASKGEQESQDIPYYEKLREMLVNGGNQPCGANGNYKDNDKDNHDEANNGDFHDCGEHDGDDAEHPFMDEDVLNMNDGGAAEFHNYDGFENGESNCQESLEDLCRSHLDALLANIAKSEKQTDLAARVSTWKQKIEQNLEEQELHPPFDIQEYGERIINKLTVEESGNVETFTDLMKDQEKHEVARAFSALLQLVNNGDVDLEKPGNSTNEPMCYTAVKPFSVRLLKDHNRKNENSAMQLPQKRAKSPVTKGKSHESPPPKKPNTCSVSSQTRKVSLKISKINSVGTRWTPNSKKRRKGRCEDVAEVTEISSIGKSLGKL >scaffold_301965.1 pep chromosome:v.1.0:3:7109907:7111856:1 gene:scaffold_301965.1 transcript:scaffold_301965.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L668] MNSNFGKRSSGPINSFDFDLGLGSSQGRPLNGQKSQTSSYTSSNSQPRPAWQPGKPSWTHQPAPKQSTIRSEIGSGPTSMVGDIHGKTWGSASGSGSGIGIVNKDPSLFGDLVGSAIGQGKSSRNVPLKNAPPVSASGSSKSPYSMGNFADSLPKSGNSMKPGGGMGYSSNPSGFSGGYKSSGGMNSGVNANSSPNLGGPSMKNMSGGNLSGSGLSSNSDPFGSLVGFGSKPSGSINSGIKTGKVNNNAQSDAFGNFQGVSNVNSSGFASKSNTFSSGGGFNASNVDFCVQPSGPQSSSANDDPLGMFSSSKPTAAAATPQTEDWGFESFDGGAGSTTELDGLPPPPPGVSATSAKSKGIDNQRQGQYADAIKWLSWAVILMDRAGDEAGSAEVLSTRASCYKEVGEYKKAVADCTKVLDHDKKNVTILVQRALLYESMEKYKLGAEDLRMVLKIDPGNRIARSTVHRLTKMAG >scaffold_301966.1 pep chromosome:v.1.0:3:7112846:7113841:1 gene:scaffold_301966.1 transcript:scaffold_301966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFTPLVTKAKGRKLTAEELWSELDASAADDFWGFYSTSKLHPTNQVNVQEEPAKEQATEPATEKRRKRKNVYRGIRKRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAAMAYDVAAKQIRGDKAKLNFPDLHHHPPPTTSTSPSPPSRSTDQPPAKKVCVASQSESELSLPSFPVECVGFGNVEEFQNLSYEFEPDYDLKQQISSLESFLELDGTTAEKPSQLDESVSEVDMWMLDDVIASYE >scaffold_301968.1 pep chromosome:v.1.0:3:7120082:7128014:1 gene:scaffold_301968.1 transcript:scaffold_301968.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase [Source:UniProtKB/TrEMBL;Acc:D7L671] MASEQLMSPASGGGGRYFQMQPEQFPSMVSSLFSFAPAPTQESNRIFEELPKAVIVSVSRPDAGDISPVLLSYTIECQYKQFKWQLVKKASQVFYLHFALKKRAFIEEIHEKQEQVKEWLQNLGIGDHAPVVQDEDADEVPLHQDESAKNRDVPSSAALPVIRPLGRQQSISVRGKHAMQEYLNHFLGNLDIVNSREVCRFLEVSMLSFSPEYGPKLKEDYIMVKHLPKFSKSDDDSNRCCGCCWFCCCNDNWQKVWGVLKPGFLALLEDPFDAKLLDIIVFDVLPVSNGNDGVDVSLAVELKDHNPLRHAFKVTSGNRSIRIRAKSSAKVKDWVASINDAALRPPEGWCHPHRFGSYAPPRGLTDDGSQAQWFVDGGAAFAAIAAAIENAKSEIFICGWWVCPELYLRRPFDPHTSSRLDNLLENKAKQGVQIYILLYKEVALALKINSVYSKRRLLGIHENVRVLRYPDHFSSGVYLWSHHEKLVIVDNQVCFIGGLDLCFGRYDTFEHKVGDNPSVTWPGKDYYNPRESEPNTWEDALKDELNRKKHPRMPWHDVHCALWGPPCRDVARHFVQRWNYAKRNKAPYEDSIPLLMPQHHMVIPHYMGRQEESDTESKKDEDSIKGIRRDDSFSSRSSLQDIPLLLPQEPVDQDGSSRGHKENGTNNRNGPFSFRKLKIEPVDGDTPMRGFVDDRNGLDLPVAKRGSNAIDSEWWETQEHDYQVGSPDETGQVGPRTSCRCQIIRSVSQWSAGTSQVEESIHSAYRSLIDKAEHFIYIENQFFISGLSGDDTIKNRILEALYKRILRAHNEKKSFRVVVVIPLLPGFQGGIDDSGAASVRAIMHWQYRTIYRGHNSILTNLYNTIGAKAHDYISFYGLRAYGKLSEDGPVATSQVYVHSKIMIIDDRAALIGSANINDRSLLGSRDSEIGVLIEDTEFVDSRMAGKPWKAGKFSSSLRLSLWSEHLGLRTGEIDQIIDPVSDSTYKEIWMATAKTNTMIYQDVFSCVPNDLIHSRMAFRQSLSYWKEKLGHTTIDLGIAPEKLESYHNGDIKRSDPMDRLKSIKGHLVSFPLDFMCKEDLRPVFNESEYYASPQVFH >scaffold_301972.1 pep chromosome:v.1.0:3:7141144:7142188:-1 gene:scaffold_301972.1 transcript:scaffold_301972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDVTQDLVEDVISRVPLTSRRSVRSTCKNWNTLSKNLSFRKINLAKARAETKQEFPAIMILEYKVYLMSFDLRGIHNDDEDVESSIKREAVCCYASPKNLIRGLWFGTLEPRDYYHNEDNYALGYEMKNKSCRPSHKILRFLDTYDMRVNKRLYEFEIYNLDSNSWKILNVTRVGKIPYYQRGVSLKGNTYWFVQSKYPGGEIWSFGPGLKMPIYPVAEDTVTLSSVRDEQLAVLFHRRDSLHMEIWITTEIEPKEVWWGSKLFLTVNINPLTCYQFPFERGSFFVDEEKKVAVIFG >scaffold_301973.1 pep chromosome:v.1.0:3:7142714:7143906:-1 gene:scaffold_301973.1 transcript:scaffold_301973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDLTQDLVEEVILRVPLTSHKAVRSTCKNWNTLSKNLMSLKGINLAKARAATKQEFLTIVIMDFKVYLMSFDLRGLHNDNDVELSIKREGKLISLNDTEGVRVSRVLHCSGLLLCITKEYNPRLVVWNPCSGQTRWIEPRGSYHKKNNYALGYEMKNKSCRSYKILRYLDAYDISVRILYCEFQIYNFDSNSWKAVQATPRWNIHYYQRGVSLKGNTYWFAQSTNLGGYSFLLCFDFTAERFGPPLPMPIFHVVEDTVTLSSVRDEQLAVLFKRRGALHMEIWITYEIGPREVSWRSKLFLAVNIKPFTYRYPREHGSFFVDEEKKVAVVFGRDLDEPDHIRDAAYITGKDGYLKKVDLGESTFKLDSPYICSSYVPSLV >scaffold_301974.1 pep chromosome:v.1.0:3:7144271:7145672:-1 gene:scaffold_301974.1 transcript:scaffold_301974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSTTAMSDLPQDMVEEVLSRVPLTSLRAVRTTCKSWNSLFKTRSFTRKHIRKSRAATKKRECMAIMMIDSSVYLMSVNFRGIHNNDNVESFINRKGKFISLNNVDGVDISSVFHSSGLLLCTTKEENSRLMVLNPYRGQTRWIQPIDHGGDCRVNMYALGYEKKENSRRRCHKILRSMEMKPIFVDDYEICGKRHEYQIYNFKSDSWNDIDVTPNRDIRFYGHDVSLKGNTYWLVRRAINWEPLRFGRQERCAGRVRLGAQERFGGQERCVRFGGQARYGRVQEQVRTIPEIFLLCFDFTTEIFGPRLRLPFKSRFGDSVTLSSAREEQLSVLCQRYDTLQMEIWISSKIEPEAVLWNKLLVAVNMKTLLTFPFKHGNFFVDVRKKVVVVFAKDRKKPRRCVAYVIGEDGYLKKVDLGESSDEHGDPVLVCPYVPSSVQIKQATPRGNHID >scaffold_301975.1 pep chromosome:v.1.0:3:7147482:7148778:-1 gene:scaffold_301975.1 transcript:scaffold_301975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSTTAISDLPRDMVEEVISRVPLTSLRSVRTTCKNWNSLFKTRSFTKKHIRKSRAATKKREFMAITMIDSSIYLMSVNLRGIHNNNNVESFINRKGKFISLNNVDGVDISSVFHCSGLLLCTTKERLVVLNPYRGQTRWIQPIDHGGDCRRRDMYTLGYEKKENSRRRYHKILRSMETMSRSVDDYGICDERNRMYQIYNFKSDSWKDIDATPNRDMRFYGRDVSLKGNTYWLVRMDTNWFRGQERFEGQERFVRFIERVRDSPDFFLLCFDFTTERFGPRIRLPFCSSIGDRVALSSVREKHLAVLRQQYDTLRMEIWISSKIEPGEVSWSKLFVTKNMTTLFTFPFEHGNFFVDVRKKVVVAFAKDKETPKRWVACVIGEDGYLKKADLGESTDKHGFLVQLVCPYVPSSVKIK >scaffold_301983.1 pep chromosome:v.1.0:3:7202121:7204647:-1 gene:scaffold_301983.1 transcript:scaffold_301983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTPNFISWILLLSIFTAIQLTSCQPNAPPPRSPDSDLCNGVFVSYTYSTGTKIKPNDTKNQPYRFESEITVLNNGRDELKSWRVFVGFAHREILVSATNAVLSDGSSLPVSVENGTTFAGFPSADLKSAIMTAGDVTQMQARVKLVGTQFGVAPPNVPLPKNITLVNDGWSCPKPTQQGRNVLQVCCTPNPNITTGKIGEMFLPRQEGDLTIMYDVTKAYQSNYLAQVTIENHNLLGRLDNWNLSFLWMKDEFIFTTKGAYPSVVDSSDCINGPQAKYYKDLDFSHVLSCARRPHIIDLPLTKYNDTDFGRIPYCCRNGTILPRSMDPEKSKSVFQIQVYKMPPDLNMSAITPPQSWQIKGNLNPDYKCGPPVRVSSSQFPDPSGLPSNRSAFASWQVVCNITQPVTPKCCVSFSSYFNDSIIPCKTCACGGCTSDRVARTCSTTSPALLLPSQALLIPFENRTKLTTAWAELKHRKVPDPLPCGDNCGVSINWHLATDYRGGWTARVTLFNWGETDFVDWFTAVELRNAAPGFEKAYSFNGTTIAINGKNTTVLMEGLPGLNYLLAERDGKNPSKDFRLPGKQQSVISFTKKLTPGLKVGSRDGFPTKVLFNGQECSLPSVLPTNNGHKKHVSTFLLMATPFLALLFLRI >scaffold_301992.1 pep chromosome:v.1.0:3:7233069:7233596:-1 gene:scaffold_301992.1 transcript:scaffold_301992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTKALVTCLLVIIFAVMLSNHNVLASEIENVSYDNCRHLCSDTYGWFECMNDCEAAGFINGGKCASPSPKAPLKCCCQK >scaffold_302001.1 pep chromosome:v.1.0:3:7256720:7258124:-1 gene:scaffold_302001.1 transcript:scaffold_302001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein family protein [Source:UniProtKB/TrEMBL;Acc:D7L6A1] MAESGGRRIGVAVDFSECSKKALSWAIDNVVRDGDHLILITIANDMNYEEGEMQLWETVGSPFIPLSEFSDAAVMKKYAVKPDAETLDIVNTAARKKTVTVVMKIYWGDPREKICAAAEQIPLSSLVMGNRGLGGLKRMIMGSVSNHVVNNVACPVTVVKAHT >scaffold_302005.1 pep chromosome:v.1.0:3:7274299:7276436:1 gene:scaffold_302005.1 transcript:scaffold_302005.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7L6A6] MKPKSKVAESAAASCFLVMSLICSSINGEQAETNYEGLSYSYYEKTCPKVEEIVRSSLSSMFILDPTSPAALLRLMFHDCQVQGCDASILLEPSGDQQFTELDSAKNFGIRKRDLIGSIKTSLELECPKQVSCSDVIILAARDAVALTGGPLIAVPLGRKDSLSTPSKHVADSKLPPSTADVDTTLNLFASKGMTIEESVAIMGAHTIGVTHCNNVLSRFDNANATSENMDPRFQTFLRVACPEFSPTSQAAEATFVPNDQTSVIFDTAYYDDAIAGRGNLRIDSEIGADPRTRPFVEAFAADQDRFFNAFSSAFVKLSSYKVLTGSEGVVRSVCDKAD >scaffold_302006.1 pep chromosome:v.1.0:3:7278164:7278548:1 gene:scaffold_302006.1 transcript:scaffold_302006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASTTVVIYNDLGGGLPLRYHCKSGDDDLGDRSLAPGGSWSFGFTPDIFGRTLFFCSFSWGNESHKFDIYKQSRDKEFQEFGCKKCEWKIRKNGPCKLNGKTGVFDGCFPWD >scaffold_302012.1 pep chromosome:v.1.0:3:7302048:7302645:-1 gene:scaffold_302012.1 transcript:scaffold_302012.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7L6N7] MKRSSTVTSLPSLSLFLLLLLPSVVQSSDDLIDKICQATPFCDLCEASLRPLSPSPSDPKSLAVAMASVVLGNMTDTLGYIQSLIKHSHDPAVERALAQCAELYRPVVKFNIPQAMEAMQGGKFGFAIYVLGDAEKQTDSCQKGITNTGADDESSVAVTARNKLVKNLCDVAISVLKSLMSL >scaffold_302018.1 pep chromosome:v.1.0:3:7313052:7314078:-1 gene:scaffold_302018.1 transcript:scaffold_302018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMSDPSEDLVEEILSKDPARSLKRLRSTCKQWNTLFNDHRFTKKHYDKAPKEFLVLMLKTYKVYLVSVKLSGIHNNIDPVMEVKGELGLLGYDNYTTFHCNGLLLCTTRAKPMEYGARLVVYNPCMGQTKWIELRTAYKASDKFALGYKKNCNSYKILRFSGSCHKPVFGEFEIYEFNSDSWRVLDDAIHDWHLAAQGRGVSYKGNTYWVASDTQDLVDDFLLEFDFTKERFRRLRLPFKDDCQYNTVVPSTVREEKLAVLFQSEYEEKTEIWLTSKIDENNDEVSWRKFFTVKCNYMFSFSMSFMVDEENKLAVCCDTYMGEDDK >scaffold_302021.1 pep chromosome:v.1.0:3:7328526:7328824:1 gene:scaffold_302021.1 transcript:scaffold_302021.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6P8] MEVAEDAGESEKLAAGSPRICSGGTQVAAASVRVAVKEYVKCRFFSSSETAKTIGVRFFSSSESGETEKKVRDCVCRVQQIKKKL >scaffold_302028.1 pep chromosome:v.1.0:3:7349352:7351476:-1 gene:scaffold_302028.1 transcript:scaffold_302028.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7L6Q4] MAMASLARRKAYFLTRNISNSPTDALRFSLSLTRGFASSGSDDNDVVIIGGGPGGYVAAIKAAQLGLKTTCIEKRGALGGTCLNVGCIPSKALLHSSHMYHEAKHVFANHGVKVSSVEVDLPAMLAQKDTAVKNLTRGVEGLFKKNKVNYVKGYGKFLSPSEVSVDTIDGENLVVKGKHIIVATGSDVKSLPGITIDEKKIVSSTGALSLTEIPKKFIVIGAGYIGLEMGSVWGRLGSEVTVVEFAADIVPAMDGEIRKQFQRSLEKQKMKFMLKTKVVGVDSSGDGVKLIVEPAEGGEQTTLEADVVLVSAGRTPFTSGLDLEKIGVETDKGGRILVNERFSTNVSGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKHGHVDYDKVPGVVYTYPEVASVGKTEEQLKKDGVSYNVGKFPFMANSRAKAIDTVEGLVKILADKETDKILGVHIMSPNAGELIHEAVLAINYDASSEDIARVCHAHPTMSEAIKEAAMATYDKPIHM >scaffold_302037.1 pep chromosome:v.1.0:3:7376846:7377957:1 gene:scaffold_302037.1 transcript:scaffold_302037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQTSLPPELANNVVRLYRECLRRAKFIGKQQHNTELVVGMVRQQFKKHMNETDPEKIQKLKDDAARGLINHMLFESAKLTGGKVSQRS >scaffold_302038.1 pep chromosome:v.1.0:3:7378455:7382145:-1 gene:scaffold_302038.1 transcript:scaffold_302038.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7L6R0] MVWNLATLGLLLSFCLESLFQFVFFLSGILQGDLRRRNGNGGSSNHERNEQILFPKPETLDYELPCDTSYPHQIGDNAASSSGSNVKSLLIEMGFCPTLVQKAIDENGEDDIEFLLEILTKSTVTEQPGTSFHGLMEPKPEPDIEYETDGRRIALLTMQFPENLVDFALDRLGKDAPIDEMVDFIVAAQLAEKYAEESEDSLDGAEINEEDEDVTPVTARGPEVPNEQLFETMDKTLHLLEMGFSNDEISMAIEKIGTEGQISVLAESIVTGEFPAVCHDDLEDIEKKVSAAAPAANRACLSKSWRFVGVGAQKEDGCGGSSSGTANIKPDPGIDSFPFPATANVGETSKGKRPKDEDENAYPEEYTDHDDRGKRLRPEYMGDSSSFMETPWMQDEWKDNTYEFPSVMQPRLSQSLGPNVAKRPYFFYGQLGELSPSWWSKISGFLFGIHPEHVDTRLCSALHRTEGYLHNLPTVNRFNILPKPRLTIQDAMPQMKSWWPQWDIRKHFNSGTCSNRKDVTLLCERIGRRIAECRGKPTQQDQTLILRHCHTSNLIWIAPNILSPVEPEHLECIMGYPTNHTNIGGGRLAERLKLFDYCFQTDTLGYHFSVLKSMFPEGLTVLSLFSGIGGAEIALDRLGIHLKGVVSVESCGLSRNILKRWWQTSGQTGELVQIEEIKSLTTKKLETLVQRFGGFDFVICQNPSTPLDLSKEISNSEACEFDYTLFNEFARVTKRVRDMMELS >scaffold_302041.1 pep chromosome:v.1.0:3:7388371:7395023:-1 gene:scaffold_302041.1 transcript:scaffold_302041.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transporter [Source:UniProtKB/TrEMBL;Acc:D7L6R3] MFVGDDDSVQIVRLLDQTLTSIDGVAVREATEALDRLSTELPHFPYRLLSLASGGENSSLRVAAATYLKNFTRRRTGIEGIISEASKDFKDQLLLALLQAEPALLKVLLELLHIVVISEYVKKNAWPELVPELRSAIENSRLISRSNSSWSTVNALMVLLTVVKPFQYFLQPKLAKEPVPQQLESITKEILVPLVSVLHRLIDKALTTHGWDELELEKTLHIICKCLYFSVKSHMPSALSPLLDSFCRDMIRILDSLSFDWSVTPSDGYLIRSKAGKRSLLLFGTLVSRHRKYSDKLVPEIVNCSMKIVKHSSNISKLGCLTERIISLAFDVISRVMEIGPGWRLLSPHFSFLLDFAIFPALVLNERDISEWEEDADEFIRKNLPSELEEISGWRDDLFTARKSAMNLLGVLAMSKGPPVSTTNKASSAACKRKKGEKNRGNNQRCMGDLLVLPFLSKFYVPSKSNKLDASTSAAYFGVLMAYGSLQEFIQEQNPEYVASFVRTRVLPIYSTPDCSPYLVASANWVLGELASCLPEEMNADVFSSLLKALAMPDQVEISCYPVRFSAAGGIGSLLENEYQPPEWLPFLQIITGKIGNEEDEDSMLFQLLKSVVESGNQDIATHIPYIVSSLVSNMLKFMHPSEDPWSQAILGGLETLAAMAQTYESSKPEADEENNQATEIWLTGQGNISKALSALLQHAWLATDVPPTSSIDHLSTMLRFIVIACTNCNVFVELRLSELLIVWADILASWNGWEESEDLSVFDCIEEVVGINNKYGFRSFLFRDMASPPAMPVRPRSVVESIGSFVSKAILEYPSATRRACSCVHTLLYVPNYSSDIEGVGKSLAMVFTESAFSHFLALREKPCTLWRPLLLAISSCYISYSDIVEGVLEKVISGGFELWVSSLAFSYSLMCDASPSVVSEVKLYVMTVVKVIKHLLDVRHGNATDDLARKCFVSLMDASRRLKEVNEETDDDEDDGEPGEEETESEETDSNDEDSESDDECEETEEEFLQRYAKAAAELEESEVIEEADEEDDDHEIDLGSLNEIDPQKLVLTLMEKQHEKVIKLVPSEVISTFLNSFPVYTSLFSKCL >scaffold_302042.1 pep chromosome:v.1.0:3:7398458:7398682:-1 gene:scaffold_302042.1 transcript:scaffold_302042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGDDDSIQYVRLLDQTLTSIDGVADRGVTDALDRLSTELSYRLLSIGIILKICKKTNW >scaffold_302045.1 pep chromosome:v.1.0:3:7406656:7416870:1 gene:scaffold_302045.1 transcript:scaffold_302045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNVPRIEMPESEENEFASLSLFSPSRAPLNSIPDPSQIQKANHLPDFDLVQKLEGTRAQHQRTLGPEKRLEVLEGRAGNSSDSNPKIVNRNGKSRSEPNSAQSTPTRNGARVSLGGGCATGARVVQSFGGRGRIPRGISMAESVSFAETTPHFELNEDHSFWKEHNVQVLIRLRPLSTMERASQGHGRCLKQESPQTLVWLGHPETRFTFDHVASETISQEKLFHVAGLPMVENCLSGYNSCVFAYGQTGSGKTYTMMGEISEAEGSLGEDCGVTARIFEYLFSRIKLEEEGRRDEKLKFSCKCSFLEIYNEQITDLLEPSSTNLQLREDLGKGVYVENLVEHNVRTVNDVLKLLLQGATNRKIAATRMNSESSRSHSVFTCTIESLWETDSLTRSRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSLCSTNETLSTLKFAQRAKLIQNNAKVNEDASGDVTALQQEIRNLKVQLSSLLKNHDSCGALSDCVSSHEESRYSGTCKVAGETRQDKCHCQVKNMKDNLIGALRREKIAETALQKSEAEIERIDCLVRDMEEDAKRIKIMLNLREEKVGEMEFCTPGPLMTKECLIEENKTLKGEIKLLRDSIDKNPELTRSALENTKLWEQLQRYQNFYEHGEREALVAEVTRLRDQLLDVLEAKDESFPKHENEMEKEFEDCRNMNSSLIRELDEIQAGLGRHLNFDQIQSNFDASSTSGAEQAETMPTISETQEEVAISESKNYALVKTDEGIDRSILQFKLGKLMKDLEEARTLNCQYEKEHMSQLSQQEDIEVVREQVETETARTILELQEEVIALQSDFQRRICNLTEENQSMKNTITAREAEIRALNQDWEKGTLELTNFIVDGSKSIKNASTQIESIICSFPQVNAWIGDYVEKAAKNCIKKEETILLLQKSLEDARILVAEMNLKLNSLKGATIALNEFQLGGNAATTEEAFNLNNDVDRMSNEVDTLESDLKAKRYSILKTERHAEAAFAVKKWLADSRKQHQMLEKVQDQSVKEFGTLSSISASPSAEGNADISLLRDGYLSDAIYPKGDELSTSSSEFSNSRWQHDCVLNVKCQGVSSSESDAQESNNKTTSAALIAKNGSAHCVYCGEGRQSVEKPLTIMMGRAETEYKCSDPLSSEVYMGLMQRMDPVKSFFDRFEEVNATMKEADLTICELVKANEKSNNVTEMWLQTHEELMVKEKYLMDDLEQVKSTLSACEEENQILLNQTHSTLADMENSVSLLEEYIQEMKRGVEETLEALFSHVLLAGKELLQLISNSRPSLEQIVSETMEREFTMYATYQCHIGKLIDQILDQRKQVITPHLSGQQSVKINAIGYNAEDEVTGKQNTAEIVTGLENDEVVQSHESLLYENLYLKKEIERKDALFEGLLFDFRLLQESASNKRDIKNEMDELFDALCKVQLELELKASQVHDLFVHNENLENCSIDLKTALFTSQSDLEQAKERIQILVEQNDELRVLVSDLCTEKLAAEEGLDEQKDLVKRLEKEILHLTTTSEKQLLSAVKSIEENLKKTSDEKDQLVDEICSLNNKLELAYAIADEKEAIAVEARQESEASKIYAEQKEEEVKILEISVEELERTINILERRVYDMDEEVKRHRTTQDSLETELQALRQRLFRFENFTGTVVTTNESTEEYKSHISRSTGLQGAHSQIQVLQKEVAEQTKEIKQLKEYISEILLHSEAQASAYQEKYKTLEVMIRDFKLEDSSSSAAETISHKTERSSIRSRGSSSPFRCIVGLVQQMKLEKDQELTMARVRVEELESLLAVKQKEVCTLSTRIAAADSMTHDVIRDLLGVKMDITSYAELIDQHQVQRLVEETQQHAEEILSKEHEIINLKRHIDCLVKERESCMSELNKKDTDVLATQISLDQLQERVQLLSMQNEMLKNDKSNLLRKLAELDRTVHDARASNHRASQTTKDTVSFKLADTDYTKRLENAQKLLSHANNELAKYRKTSNNHPSTRTQGQSSGTRYR >scaffold_302046.1 pep chromosome:v.1.0:3:7417031:7417502:-1 gene:scaffold_302046.1 transcript:scaffold_302046.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6R8] MMLIIGLVVTALRIAMPNKSHYPMSTLCFQFAMKGGVLYVIFLCHLFRSYLGFMFTPLLDEEQNHYFISNKKCSENINIQIQQQANCSNMLYAFLYTTIPI >scaffold_302047.1 pep chromosome:v.1.0:3:7421175:7422901:-1 gene:scaffold_302047.1 transcript:scaffold_302047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETSTQSYSEKWYWDERYTNESEPFDWYQKYSSLAPLINLYVPHRNQRALVIGCGNSAFSEGMVDDGYEDVVNIDISSVVIDTMIKKYSDRPQLKYLKMDVRDMKAFEDASFDAVIDKGTLDSILCGSNSRQYSTQMLEEVWRVLKDKGVYILITYGAPIYRLRLFKESCSWTTKLHVIDKSLTDQPLETPKWELTKPLPLDAEGSSVESAIGKSPDVHYIYVCIKDESLKMDADAA >scaffold_302057.1 pep chromosome:v.1.0:3:7457040:7457683:-1 gene:scaffold_302057.1 transcript:scaffold_302057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEQNQRECIVCREKEPSFIHTVGKTGVFRRLCTDCLLKEYRELFCSVCFNLFDNAVPPQARIICGNCPSSTHLSCSPQPLSSSTAASSSSSAPPPASSFTCQPCSNPNFTFFPKSRVNDDVPEETPLSAESAMALIAAAKISVANMNNAVALLKEEALKKIIAAKAAKLRAKGALANLQDIVIQKNKATGKRKEDER >scaffold_302060.1 pep chromosome:v.1.0:3:7462287:7463425:1 gene:scaffold_302060.1 transcript:scaffold_302060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDLPRDLLEDILYRIQALQAKLKVHREADQVDVSEIFHCDGLLLCTTKDYSLVVWNPCTGQTRWIQHSHAAYPRKEESMFFLGYGNNKSCRSYKILRCWDDGTLFDQVVEFEIYDFSSDSWRVLNNFNCNFVLLVNGMSLKGNGYCLALDNMNHDKLYLLSFDFTTERFERLCLPRRATLIGTSARCRASKVDVWMTNKIDTKQVVWSKFFTVDVYMRRYFFSFCVSFVIDKEKKMAVGCEKSNRHEEKNLVYIVGEDREYTEIHFGERPEFNPCVISCEPFIFDYVPSLVQF >scaffold_302062.1 pep chromosome:v.1.0:3:7482263:7483210:-1 gene:scaffold_302062.1 transcript:scaffold_302062.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L793] MGVTERRVYGLVMVSMVLIAIATMCCVQATIEEEAAKDESWTDWAKEKIGLKHEDNIQPTHTTTTVQDDAWRASEKAEDAKEAAKRKAEEAVGAAKEKVGSAYETATSKAGEGLGSLKEKASQGYDSAGQVKDDVSHKSKQVKDSLSGDENDESWTDWAKEKIGIKNEDINSPNLGETVSEKAKDAKEAAKRKAGDAKEKLEETVEAAKEKASDMTSAAKEKAEKLKEEAERESKSAKEKSKESYETAKSKADETLESAKDKAAQSYDSAAHKSEEAKDTVSHKSKRVKESLTDDDAEL >scaffold_302065.1 pep chromosome:v.1.0:3:7488005:7488936:-1 gene:scaffold_302065.1 transcript:scaffold_302065.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor family protein [Source:UniProtKB/TrEMBL;Acc:D7L796] MFAVENISMEVEPAINESSSSLSSSGSSCGHWYVRYGVCIACKSTVNKRQGRAFDYLVQGLQLSHEAAAFTKRFTTEFYCLNEKKLHLVLDLDHTLLHSIRVSILSETERYLIEEACSTTREDLWKLDIDYLTKLRPFVHEFLKEANEMFTMYVYTMGTRVYAESLLKLIDPKRIYFGDRVITRDESPYVKTLDLVLADERGVVIVDDTRDVWTHHKSNLVEINEYHYFRVNGPEESKSYTEEKRDESKNSGGLANVLKLLKEVHYGFFRVKEELESQDVRFMLQEIDFKLLTKDA >scaffold_302068.1 pep chromosome:v.1.0:3:7498987:7499269:1 gene:scaffold_302068.1 transcript:scaffold_302068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKTSTFSVIRALLPCCSNSGDNWSDEGVIIRSRIMTSDEDGRLWIAEPGVDRRATSFIARFYENRVSDPNYKTLSP >scaffold_302069.1 pep chromosome:v.1.0:3:7502869:7503154:1 gene:scaffold_302069.1 transcript:scaffold_302069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWKKTIATPFKKAATFFNQPQQSPKKGCRGRMDAKAREEHEKRMVQELQGEVMACGYDDVLVMWSILDKSNSSNNLSC >scaffold_302073.1 pep chromosome:v.1.0:3:7512791:7514090:1 gene:scaffold_302073.1 transcript:scaffold_302073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFGEGRRSGGGGMLPLLALSAVAEYYRLPWKPPVTASLLAANTLLYLRPAFIDPVIPHISEVWFNPHLIFKHKDLKRLFLSAFYHVNEPHLVYNMMSLLWKGIKLETSMGSSEFASMVFTLIGMSQGVTLLLAKSLLVLFDYDRAYYNEYAVGFSGVLFALKVVLNSQAEDYSSVYGILVPTKYAAWAELILVQMFVPNASFLGHLGGILAGIIYMKLKGSYSGSDPVTMAVRGVTRLVTWPLRFLNGMVRSRRRRITGRGRVGRGQTGIAGPGIWRCQSCTYDNSGWLSACEMCGSGRARGDGWSLNQGPALSSSNDLPLDELRRRRVERFS >scaffold_302074.1 pep chromosome:v.1.0:3:7514484:7514802:-1 gene:scaffold_302074.1 transcript:scaffold_302074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNFRVYLMSVNRHGIHYNVDPSINRQGKLVSLNNSDRVDISRIYHCHGLLLCIIKDYTRFVVWNPYLCQTLWLKRTSPHPRLDWYSLNKI >scaffold_302075.1 pep chromosome:v.1.0:3:7515107:7515320:1 gene:scaffold_302075.1 transcript:scaffold_302075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVKNSLDADFNSISVVVKDGGVKLIQFSEDCLGIRVRFLHLRVQRSYIFRSNSNL >scaffold_302077.1 pep chromosome:v.1.0:3:7519547:7519750:-1 gene:scaffold_302077.1 transcript:scaffold_302077.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7A8] MSSGAIDLSRVCALQSEVSFNIRSSRDVPWGPCARASLGGTIGIHGSVQLYY >scaffold_302087.1 pep chromosome:v.1.0:3:7543581:7546944:1 gene:scaffold_302087.1 transcript:scaffold_302087.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding transporter 1 [Source:UniProtKB/TrEMBL;Acc:D7L7B8] MASHNENDDIPMLPISDPSSRTRARAFTSRSRSVSLSNPTSSIEGFDNSTVVLGYTGPLRTQRRPPLVQMSGPLTSTRKPEPLFLPHPSSGSSDSVGVSSQPERYPSFAALEHKKSSDDEFVLKHANLLRSGQLGMCNDPYCTTCPSYYNRKAAQIPTSRVSALFDSTFHNALYDDAKGWARRFASSVNRYLPGIMNPHAKEVQTWTKFFALSCLLAIFIDPLFFFLIKVQEQNKCIMIDWPMTKAFVAVRSVTDVIFTMNILLQFRLAYVARESTVVGAGQLVSHPKKIALHYFRGKFFLDLFIVMPLPQILILWIIPKHLGASGANYAKNLLRAAVLFQYIPKLYRLLPFLAGQTPTGFIFESAWANFVINLLTFMLAGHVVGSCWYLFGLQRVNQCLRNACGNFERECQDLIDCGNGNSTVLVRATWRDNASANACFQEDGFPYGIYLKAVNLTNHSSLFTRYSYSLFWGFQQISTLAGNQVPSYFLGEVFFTMGIIGLGLLLFALLIGNMQNFLQALGKRNLEMTLRRRDVEQWMSHRRLPDGIRKRVREAERFNWAATRGVNEELLFENMPEDLQRDIRRHLFKFLKKVRIFSLMDEPILDAIRERLKQRTYIGSSTVLHRGGLVEKMVFIVRGEMESIGEDGSVLPLYEGDVCGEELLTWCLERSSVNPDGTRIRMPSKGLLSNRNVRCVTNVEAFSLSVADLEDVTSLFSRFLRSHRVQGAIRYDSPYWRLRAARQIQVAWRYRRRRLQRLCTPQSSYSL >scaffold_302088.1 pep chromosome:v.1.0:3:7554293:7555115:1 gene:scaffold_302088.1 transcript:scaffold_302088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKLPWDLEEEILSRLPPRSLVRFRSVCKHWNDLFYDKSFVKKHLSRTRTQFIFLTESKKMYSIDIDMGGTIEVRELSYDFHCQPMKTKFTTIMACDGLLFREIWKQGVAVWNSWLRQVGWIEYEDKGFHIFGVGYDSCRPEKGYKILGYINCIRRVSDSLQEGYYKVAIYECASQALKFIDTPFNHWPTKDPLSLNGNLYWLAHNRETLEYFIQTFDFSMEIFKPFCLLPCQMDYCSNEVGNNERKCKNDLDMLKML >scaffold_302089.1 pep chromosome:v.1.0:3:7555627:7559037:1 gene:scaffold_302089.1 transcript:scaffold_302089.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding transporter 1 [Source:UniProtKB/TrEMBL;Acc:D7L7C0] MASLNENEETPLLPISDSSSRTSDFTSRTRTVSLSNLSSSVDGFENSTVVFGYTGPLRTRRHPPLLQLSGPLYSTCKPEPLFLPLPSSDSVGVSSQPERDPSFSALEHKNSSDDESLLKYANLLGSGQLGMCNDPYCTTCPSDYFRKTAQTPTPRVSAIFYSPFHNALYDDAKDWARRFASSVNRYLPGIMNPHAKEVQTWTKFFALSCLLAIFIDPLFFFLIKVQEQNKCIMIDWPMAKALVAVKSVTDIIISVNILLQFRMAYVARESTVVGAGQLVSHPKKIALHYFRGKFFLDLFIVMPLPQILILWIIPEHLGASGISGANYTKNLLRAVVLFQYTSKLYRLLPFLAGQTQTGFIFESAWANFVINLLTFMLAGHVVGSCWYLFGLQLWTCGNGNCNVSVRAAWKDNACFQEDGFPYGIYLKAVNLTNHSSLFTRYSYSLFWGFQQISTLAGNQVPSYFLGEVFFTMGITGLGLLLFALLIGNMQNFLQALGKRNLEMTLRRRDVEQWMSHRRLPNGIRKRVREAERFNWAATRGVNEELLFENMPDDLQRDIRRHLFKFLKKVRIFSLMDESILDAISERLKQRTYIGNSTVLHSGGLVEKMVFIVRGEMESIGEDGSVLTLSEGDVCGEELLTWCLKRFSVNPDGTRIKMPPKGLVSNRNVRCVTNVEAFSLSIADLEDVASLFSRFLRSHRVQGAIRYESPYWRLRQIQVAWRYRRRRLQRVYSAQSSYIR >scaffold_302092.1 pep chromosome:v.1.0:3:7567986:7568203:-1 gene:scaffold_302092.1 transcript:scaffold_302092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPTTNLPKLVNKYCGISYFIFDKTLIMCCGEGETGAACIYMVRGGMYVQEDSD >scaffold_302095.1 pep chromosome:v.1.0:3:7577884:7578263:1 gene:scaffold_302095.1 transcript:scaffold_302095.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L7C5] MVGFRLASLAPLATSASFFFPASVALFSTVGRQNSNTGWLLPQRWLATSPSIRPLAIRMAFVPAFVRICFSSFVLSFLLSFGYFVFDYFDSLLRSRCVILCSSLAEGAYFVL >scaffold_302097.1 pep chromosome:v.1.0:3:7584221:7586168:-1 gene:scaffold_302097.1 transcript:scaffold_302097.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:D7L7C7] MVLATNSDSEEHLHSTFASRYVRAVVPRFKMPDHCMPKDAAYQVINDELMLDGNPRLNLASFVTTWMEPECDKLIMDSVNKNYVDMDEYPVTTELQNRCVNMIANLFHAPVGEGEAAIGCGTVGSSEAIMLAGLAFKRKWQHRRRAQGLSTDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEDYYVMDPAKAVEMVDENTICVAAILGSTLTGEFEDVKLLNDLLAEKNAETGWETPIHVDAASGGFIAPFLYPDLEWDFRLPWVKSINVSGHKYGLVYAGVGWIVWRTKDDLPEELVFHINYLGADQPTFTLNFSKGSSQIIAQYYQFIRLGFEGYKNIMENCMDNARRLREGIEMTGKFHILSKDIGVPLVAFSLKDSSKHTVFEIAESLRKFGWIIPAYTMPADAQHIAVLRVVIREDFSRGLADRLITHIIQVLKEIEGLPSRIAHLAAAAAVSGDDEVKVKTAKMSLEDITKYWKRLVEHKRNIVC >scaffold_302099.1 pep chromosome:v.1.0:3:7589095:7593117:-1 gene:scaffold_302099.1 transcript:scaffold_302099.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroxyacetone kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L7W3] MVFAAKKFINNPNDVVTEFIEGLVETYPGLQYLDGFPEVKVVLRADVSAAKYDKVAVISGGGSGHEPAHAGYVGEGMLTAAICGDVFASPPVDSILAGIRAVTGSEGCLLIVKNYTGDRLNFGLAAEQAKSEGYKVETVIVGEDCALPPPRGIAGRRGLAGTVLVHKVAGAAAAAGLSLEKVAAEAKCASEMVGTMGVALSVCTLPGQVTSDRLGAEKMELGLGIHGEPGAAVVDVQPVDLVVSHVLQQILSPETNYVPITRGNRVVLMVNGLGGTPLMELMIAAGKAVPKLQLEFGLAVDRVYTGFFMTSLDMAGFSISIMKADQSILDRLDAPTKAPNWPVGTDGNRPPAKIPVPVPPSRSIKSTESQSRPLELSKEGQILEAAIQAAATVIISLKDSLNEWDGKVGDGDCGSTMYRGATAILEDLKNYYPLNDAAETVNEIGSSIKRAMGGTSGIIYHLLCKAAYAELKANAQSEVTPKNWSEALKSAIASVSKYGGASAGYRTMLDALIPASQVLEEKLSGGEDPISAFILSAEAATAGAESTIQMQAQAGRSSYVSAEILATVPDPGAMAAAGWYSGAARAVKELCQGSS >scaffold_302108.1 pep chromosome:v.1.0:3:7630560:7631038:-1 gene:scaffold_302108.1 transcript:scaffold_302108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIVDIQKQLVIRMWALDIVCRLVCLISALVYGCFTVYFSAIAYADVRIKPRRFMGQNGSPRLGISQKLERAVVEKNKESTGKDEEEVCPICLEDVTIGFGYVRIRDCMHKFHRNYIDKWLLKSARLSYMHVVLLQNYKQLQGN >scaffold_302111.1 pep chromosome:v.1.0:3:7641259:7642000:-1 gene:scaffold_302111.1 transcript:scaffold_302111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNLPWDVVEEILSRVPVTSLRRLRSTCKGWYHHPLFKDPGFIKKHLDKTERQYNDVLLIEFKVYSLSSNLNGIHVNSRLLFEEGKLSLIDPLCNSSELNIYEAFHCDGLFLCTTISIRHGYRCVVWNPFSGKTRWIRPINQYHKRSDEVYALGYNNKELCHSYKILRFIDRKTLEMYEFSSNSWRNFDVSPLGDLVSRGVSLKGLTIYRLYYLILLGFLHYIKFNLTISIY >scaffold_302114.1 pep chromosome:v.1.0:3:7646827:7650992:1 gene:scaffold_302114.1 transcript:scaffold_302114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLRSASSATHRPPVSEPPATPPQPPPETAKPGVTLEGLIAEESFPQYPSVEEDLDRLANGSRDDDGSGESNAKSGGSGMDRFSDVSEEEGWIAIPYKEIPDNWSESVDIHSLRSLDRSFVFPGEQIQILACLSESKGDTEIITPFKVAEVMSRTGQRKVFDKQNGDMSDGASTPSGDGEMSPDAQFATQNGDSPGKESLDSQKDLSDGESILRMEDHKRRTEDLLSRFQKSHFFVRIAESGEPLWSKKSSLVADTDMDEKRKSTKSRPCVSAFVDRGDFDPNVAGGVARSKAKCCALPNGDIVVSLQVYIVDCPKEPIIEILQFEKHQDKDQNSENDKDPYGNLLKWLIPLDNSISQQPRSLPPPITPSPGISSTAHKPAISSTSGSQLFSFGHFRSYSMSALPPNTAPVTGPIKTQSSKPSFDIEDWDSYSGQTVRNGQKSGTEELLSFRGVALERNRFSVRCGLEGICIPGRRWRRKLEIIQPIEINSFAADCNTDDLLCVQIKNVAPTHAPDIVIYIDAITIVFEEAGKNASPSSVPIACIEAGNEHSLPNLTLRKGEEHSFIVKPAFSVGSNLKPSAARNKLKSSSLSLPTVNFERKGSGLSGDQYAVMVSCRCNYTESRLFFKQRTKWRPRVSRDLMISVASEMSGEPCGPHGRASQLPVQILTLQASNLTSEDLSLTVLAPASFTSPPTVVSLNSTPTTPISPFLGFSEFTERVQNEKRNTTMRKHQSLPPIPLETRTENTNGESSNPSDVVPKSGLGCTHLWLQSRVPLGCVPSKSTATIKLELLPLTDGIITLDTLQIHAKEKGRRYIPEQSLKINATSSISSGIF >scaffold_302116.1 pep chromosome:v.1.0:3:7656218:7661336:1 gene:scaffold_302116.1 transcript:scaffold_302116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTGDRYLETLQKFLEEKADLLIDGTDVLKLNPAGLHYVHLRLESLRELELMLSGAPVDYLRAYVSDIGDFRALEQLRRILRLLPSLKVVSSLPSPARDPTPLSLLPFSKLKVLELRGCDLSTSSAKGLLELRHTLEKLICHNSTDALRHVFASRIAEIKDSPQWNKLAFISCACNRLVLMDESLQLLPAVESLDLSRNKFAKVDNLRRCTKLKHLDLGFNQLRKISHLSEVSCHLVKLVLRNNALTTLRGIENLKSLEGLDVSFNLISDFSELEVLGSLSFLTDLWLEGNPICCARWYRAHVLSYVSLPNDLKLDGKHIGNREFWKRQVVVTRRKSQPASYGFYSPARDEADDEGSCNRKKTKIYRLASIDSEEESTYVNSDQESASCEPETQSKEENIKSDHEADVFGLISKVEQLKKERSVLWLREFKEWIDHPSEDFVDVSKNGSSTDSEQKYDTKNRNGPKHYGETMRYAPGPLPGFQITDLNQKHQAFFLDGKPDENGNMSTSDATQDMTGSFSPSSPPHYQKDVLHRRQNLVEEILQISADSYSVASSDSSCSENENYDSEQSNPEQDMLKDHLSGNRGEDTSLLDSQPEKSSIIKSWRIEDSFKAKTTNFLSGLHNSELASGVNQIYRWFDKRKSKRKPKKRVISPLEENSFINNGETSHRSDANIIDSCEDEYISDHFQEGSLTTGCNSKRSTRFLETEKSLEGMDGLVEEFFTTTLSDSSINETCRIYVSCDCIIQQESTYKQREVVLLLSSQEKLYVLLVGVSSDSQESSLSLLCSHTIGDIQDVSVGLGLQVVRLRFREDAEYIFITASIEKTTVLLNIIKLLDSQVTESKFSLKSLENVQVELFEKEICSGLKLSIFQYNVLHFQSSTLGEVSWLPRSLFVAGGHLFICNEDFRQLSFLPTDASSSPYFSLASSCSISDIFEMVVESRGSSCLSLKIKQMDSTFQSKQKRTVNSVTWKLKLLSNECVLKFVALINGLHPESTECPLLVRHLGEK >scaffold_302117.1 pep chromosome:v.1.0:3:7661577:7662512:-1 gene:scaffold_302117.1 transcript:scaffold_302117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPFGVSNLCRNQEVLSAAVRLHPVGRQTTGFRDAKKVLYRFEGHGLSSSVQVREKQRMRCRVSSNSTETEDDSATKTKTTPFGYTRKDVILIGVGVTALGIGLESGLEYVGVDPLQAGNAVQLILVLGLTLGWISTYIFRVGNKEMTYAQQLRDYESQVMQKRLESLSEAELEALMAQVDEEKTKVE >scaffold_302119.1 pep chromosome:v.1.0:3:7665828:7666717:1 gene:scaffold_302119.1 transcript:scaffold_302119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPHVPSSPTISSVSSSDLDTESTGSFFHDRSITLGTLMGFSFTATMPMPFRASSHRHGHVSPSVAVSRASSSNARRHHQRKRPPLNSAEPHQRHRRRKWWRFCRDDDDDAGIHRATGDSKRSSLGEYLEVERRFGDEAVYNSAEAELEGAVVARYQDQQPVMGERALFSDGRVLPPASVEAVTGEGTPVASALCRFPVSLTGICSGGGG >scaffold_302127.1 pep chromosome:v.1.0:3:7706697:7709417:1 gene:scaffold_302127.1 transcript:scaffold_302127.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:D7L7Z0] MFVQSQVALETEFFTEYGEASRYQIQEVIGKGSYGVVASAIDTHSGEKVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHVMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKFIHTANVFHRDLKPKNILANSDCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDIMTDLLGTPPPEAISRIRNEKARRYLGNMRRKPPVPFTHKFPHVDPLALRLLHRLLAFDPKDRPTAEEALADPYFYGLANVDREPSTQPIPKLEFEFERRKITKEDVRELIYREILEYHPQMLQEYLRGGEQTSFMYPSGVDRFKRQFAHLEENYGKGERGSPLQRQHASLPRERVPAPKKENGSHNHDIENRSTASLATTLESPPTSQHEGSDYRNGVSQTGYNARSLLKSASISASKCIGVKQRNKSEHGESNNDTVDALSQKVAALHT >scaffold_302128.1 pep chromosome:v.1.0:3:7711486:7713180:1 gene:scaffold_302128.1 transcript:scaffold_302128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHAPSSISLIVFFFTILSPVSPNQEPVTVQPFRVKSSPPATIPAFPEQSDFFGCPLDLPEDLFHGIKSACSGKKLHKGRCCPVLGAWLYSAYSTTALSRSISAAARNASSSSAAITTPEEDMPLLPDDSETCVDGLGKSLRQRGIELTRPNETCDVVYCYCGIRLHPLSCSEAFRVNEEGRLVGDERVDRLETDCLSGSHNNADRFSPLLGCNKCLNSLYKLNPKKTSGTRNPSKEDRNRTAKMHNKDCVLMGLTWLLAKNRTAYFPTVTSVLRAVMLNHDGVPRSCALGSDGMPLAVDSSEFSNGSPTSLQYWHHFLLYSVITLVLL >scaffold_302129.1 pep chromosome:v.1.0:3:7718161:7721293:1 gene:scaffold_302129.1 transcript:scaffold_302129.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7L7Z2] MELSETYACVPSTERGRGILISGDSKSDTILYTNGRSVVTLDLNNPLKVSIYGEHAYPATVARYSPNGEWIASGDVSGTVRIWGAYNDHVLKKEFKVLAGRIDDLQWSADGMRIVASGDGKGKSLVRAFMWDSGSNVGEFDGHSRRVLSCAIKPTRPFRIVTCGEDFLVNFYEGPPFKFKLSSREHSNFVNCVRFAPDGSKFITVSSDKKGIIYDGKTCEILGELSSDDGHKGSIYAVSWSPDGQQVLTVSADKSAKVWDISDNGSGTLKTTLNCPGSSGGVDDMLVGCLWQNDHIVTVSLGGTISIFSASELDKSPFQFSGHMKNVSSLAVLKGAADYILSGSYDGLICKWMLGRGFCGKLHRTQNSQIKCFAAHEEEIVTSGYDNKISRISYKDDQCTNEESVDIGNQPKDLSLAPLSPDLLLVTFESGVVFLRDGKVVSTIDLGFTVTALAVTPDGTEAIIGGQDGKLHLYSVNGDSLTEEAVLEKHRGAISVIRYSPDLSMFASGDLNREAVVWDRVSREMKLKNMLYHSARINCLAWSPNSTMVATGSLDTCVIVYEVDKPAASRMTIKGAHLGGVYGLGFADDSHVVSSGEDACIRVWSLTPQ >scaffold_302132.1 pep chromosome:v.1.0:3:7733801:7739071:1 gene:scaffold_302132.1 transcript:scaffold_302132.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:D7L7Z5] MDINEMDIDEIEIAGQMNLSELGETFLQSFCKKAATSFFEEFGLISHQLNSYNFFIEHGLRNVFESFGEMLVEPSFDVIKKKDGDWRYATVKFGEVTFEKPTFFSDDKELEFLPWHARLQNMTYSARIKVNVQVEVFKKTVVKSDKFKTGQDEYVEKEILDVKKQDILIGSIPVMVKSVLCKTSEKGKENCKKGDCAFDQGGYFVIKGAEKVFIAQEQMCTKRLWISNSPWTVSFRSETKRNRFIVRLSENEKADDYKRREKVLTVYFLSTEIPVWLLFFALGVSSDKEAMDLIAFDGVDASITNSLIASIHEADAVCEAFRCGNNALTYVEHQIKSTKFPPAESVDDCLRLYLFPGLQGLKKKARFLGYMVKCLLSAYAGKRKCENRDSFRNKRIELAGELLEREIRVHLAHARRKMTRAMQKQLSGEGELKPIEHYLDASVITNGLNRAFSTGAWSHPFRKMERVSGVVANLGRANPLQSLIDLRRTRQQVLYTGKVGDARYPHPSHWGRVCFLSTPDGENCGLVKNMSLLGLVSTQVLESVVEMLYACGMEELMDDTSTPLCGKHKVLLNGDWVGLCADSESFVAELKSRRRQSELPREMEIKRDKDDNEVRIFTDAGRLLRPLLVVENLHKLKQDKPTQYPFKHLLDQGILELIGIEEEEDCNTAWGIKQLLKEPKNYTHCELDLSFLLGVSCAIVPFANHDHGKRVLYQSQKHCQQAIGFSSTNPNIRCDTLSQQLFYPQKPLFKTVASECLKKEVLFNGQNAIVAVNVHLGYNQEDSIVMNKASLERGMFRSEQIRSYKAEVDTKDSEKRKKMDELVKFGKTYSKIGKVDSLEDDGFPFIGANMSTGDIVIGRCTDSGADHSIKLKHTERGIVQKVVLSSNDEGKNFAAVSLRQVRSPCLGDKFSSMHGQKGVLGYLEEQQNFPFTIQGIVPDIVINPHAFPSRQTPGQLLEAALSKGIASPIQKKEGSSAAYTKLTRHATPFSTPGVTEITEQLHRAGFSRWGNERVYNGRSGEMMRSLIFMGPTFYQRLVHMSEDKVKFRNTGPVHPLTRQPVADKKRFGGIKFGEMERDCLIAHGASANLHERLFTLSDSSQMHICRKCKTYANVIERTPSSGRKIRGPYCRVCASSDHVVRVYVPYGAKLLCQELFSMGITLNFDTKLC >scaffold_302135.1 pep chromosome:v.1.0:3:7749143:7750499:-1 gene:scaffold_302135.1 transcript:scaffold_302135.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7L7Z8] MAEGLVLKGTMRAHTDMVTAIATPIDNSDIIVTASRDKSIILWKLTKDDKSYGVAQRRLTGHSHFVEDVVLSSDGQFALSGSWDGELRLWDLATGVSTRRFVGHTKDVLSVAFSVDNRQIVSASRDRTIKLWNTLGECKYTISEQGDGHKEWISCVRFSPNTLVPTIVSASWDHTVKVWNLQNCKLRNSLVGHSGYLNTVAVSPDGSLCASGGKDGVILLWDLAEGKKLYSLEAGSIIHSLCFSPNRYWLCAATENSIKIWDLESKSVVEDLKVDLKAEAEKTDGGVGTGNQKKVNYCTSLNWSADGSTLFSGYTDGVVRVWGIGRY >scaffold_302139.1 pep chromosome:v.1.0:3:7759049:7759583:1 gene:scaffold_302139.1 transcript:scaffold_302139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESSTAAALKIRSCRRKGLRRNPTKIKEAVLDLISSLPDDILQHILSFIQTKIAIKTSLLSKRWRHVWCGTPSLSFSCNRVEAPFINKTLTRYTSSKMMSFKLHSNLSENVPHLDSWIEFLISRNVENLSMTLWHSDEYKFPDFFYINSSVKQLFVELIRLR >scaffold_302140.1 pep chromosome:v.1.0:3:7759629:7760797:1 gene:scaffold_302140.1 transcript:scaffold_302140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKILSGCPILESLKLCFCDALEVLVLSKSMRLRTLEVERNHWTLGPTQIVAPYIHCLILTSSQVSPCTLVDVSSLAEAKLNIVVCPYERTTKADFLQDMVLKMLAKLQNVEKLAFGEIFIQVLSLAELRGVSFPMFKVKALALKTSISQNDIHGIERILQNSPDSKTLKLHIRNGNTIPEVNLDEYVASQGMNPDLCWRSKDGTYWNRSRCDVEPKHVVSFMKRMVKTTKTLEKMVVKLEDTYGKFKELVSKTLSRNKNVSIVLSTMPMSCES >scaffold_302147.1 pep chromosome:v.1.0:3:7779385:7781038:1 gene:scaffold_302147.1 transcript:scaffold_302147.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit delta [Source:UniProtKB/TrEMBL;Acc:D7L808] MAAVAAPMASKPRGSKAESFVDNKRREDIRFANINSARAVSDAVRTSLGPKGMDKMISTANGEVIITNDGATILNKMEVLQPAAKMLVELSKSQDSAAGDGTTTVVIIAGALLKECQSLLTNGIHPTVISDSLHKACAKAIDVLTAMASPVELTDRDSLVKSASTSLNSKVVSQYSTLLAPLAVDAVLSVIDPEKPEIVDLRDIKIVKKLGGTVDDTHPVKGLVFDKKVSRAAGGPTRVENAKIAVIQFQISPPKTDIEQSIVVSDYTQMDRILKEERNYILGMIKKIKATGCNVLLIQKSILRDAVTDLSLHYLAKAKIMVIKDVERDEIEFVTKTLNCLPIANIEHFRAEKLGHADLVEEASLGDGKILKITGIKDMGRTTSVLVRGSNQLVLDEAERSLHDALCVVRCLVSKRFLIAGGGAPEIELSRQLGTWAKVLHGMEGYCVKSFAEALEVIPYTLAENAGLNPIAIVTELRNKHAQGEINAGINVRKGQITNILDENVIQPLLVSTSAITLATECVRMILKIDDIVTVR >scaffold_302151.1 pep chromosome:v.1.0:3:7793426:7793672:-1 gene:scaffold_302151.1 transcript:scaffold_302151.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L8F5] MAAKRFWKRQETKSTKALRAKLSFTRVNLTNSREDYRYLTRVNTNRQTQVQRTSLSERQRLSLSYDVR >scaffold_302152.1 pep chromosome:v.1.0:3:7793684:7795189:1 gene:scaffold_302152.1 transcript:scaffold_302152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKEESLDLVLVPTGLVVMVAYHVWLVYAILHRPKLTVISLNAESRRQWVFSMMTEPLKNGTLAVQTIRNNIMASTLLATTAITLCSIIGVFVSNSSASKSTPTSLIYGNKSPRLASIKNFAILICFLMAFLCNIQSIRYYAHVSFLVTVPVSRGKREHCEYVSRNLNRASYFWSLGLRAFYFSFPLFLWTFGPIPMFVCCCMMSSILYFLDTTTSFTRHLHSQSFRETADSMDGEIESAVHAL >scaffold_302153.1 pep chromosome:v.1.0:3:7803499:7804986:1 gene:scaffold_302153.1 transcript:scaffold_302153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIMLGSHSVKSHGWKVAREHLCDWLILVVLGLIDIVLNVIEPFHRYIGPDMLTDLTFPFYEDTIPMWAVPIICILVPICIFIVYYYYRRDVYDLHHAILGIGFSCLVTGVTTDSIKDAVGRPRPNFFYRCFPNGKPKFDPDTKDVVCHGVKKIIKEGYKSFPSGHTSWSFAGLTFLAWYLSGKIKVFDRRGHVAKLCLVFLPILISILIGISRVDDYWHHWSDVFVGAIIGLIVASFSYLHFFPYPYDENGWAPHAYFRMLAERSTGRATTMTRTGSRGTLDNDVEPGTSASSPHDRHRESTDSDF >scaffold_302166.1 pep chromosome:v.1.0:3:7846452:7849404:-1 gene:scaffold_302166.1 transcript:scaffold_302166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRAKSKTLSFQKIQRSTKMLPELPKDLVEEILCRVTATSLNRLRTTCRAWNRLIEDDRRFATKHLEKAPKAFIPLMLRKEYRVFPFSVNLHRDDPSVVFKRGVKLLYRLSNYRFRIDRVFHCDGLLLCTSDENESRIVVWNPFTRETRWIEAGLKRRDFTFLLGYSQVTSSKSYKILSFLSGRNDSEIYDLNSDSWRRLLDHDHSLELTVRYAGHSVSLKGNIYRFHGEETGTKSLLRFDFATEKSEILPLPYQCDSYDAKILSVVGEDRLSVLLKRCRKGSKTEIWVTNGIDDTTKAVSWTKVLALNLSRDLQITDRGSFLLDEEKKVAQICERWFDNGDDESYNSDMLYVVGEGKKDLITVYEVPDIRVCWPAILNYVPSFAQIKLAGGKRKRELPHNLLLPAGKCQCGDYVFREVMMKESLPEWINWQKKSVHVLGFGVLNKYLPDQSSAFNFDPVNNPQEILKQSSSVLFV >scaffold_302170.1 pep chromosome:v.1.0:3:7873185:7876258:1 gene:scaffold_302170.1 transcript:scaffold_302170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTRNMLEGLVKDTSFKWLLGKQSSFDEEIEEMGRSPSAGTNWIPELSPIANVVVRRCSKILGVSANELRDSFKQEAFESLKQPSLFARNFLEYCCFRALSLSVGVTGHLADKKFRRLTFDMMVVWEVPAVASQALLSVEEDATVSLEAFSRIAPAVPIIADVIICENLFKMLTSATGGRLQFSVYDKYLYGLERAIKKMRTQSESSLLSGVRSKREKILEIDGTVTTQPVLEHIGISTWPGRLILTDHSLYFEALKVVSYDTPKRYDLSEDLKQIIKPELTGPWGTRLFDKAVSYKSISLSEPVVMEFPELKGHTRRDYWLTIIQEVLYVHRYINKYKITGLARDEALSKAVLGVIRVQALQELSLTNAMRYENLLPFNLCDQLPGGDLILETLAEMSTSRELHRSNKSKDTGTLHSSASDVVSQLGSVFGGSSPRSRRDTNNLVVGEVVVGDVNPLERAVKESRKNYEKVVLAQETINGVKMEGIDTNIAVMKELMLPIMETGNWILSVLYWDDPMKSSVFCLVSTFIIWRGWLVYVFALASLFSAIFMVLTRCFSREKLMIELKVTAPPPMNTMEQLLAVQNGISELEQNIQDANIVLLKFRALLFSLFPQASQKFALAIVVAATMMAFVPGRYLLSVVFVELFTRYSPPRRASTERLIRRLREWWFSIPAAPVVLQHDKNNKKKKN >scaffold_302172.1 pep chromosome:v.1.0:3:7878314:7879234:1 gene:scaffold_302172.1 transcript:scaffold_302172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLLHISLHITDATYDIKYRVRSVEIESNIVRCPHLEFAEKMIAAFVHVRTKGNSVGGVITFIVRNFPRVLPLYH >scaffold_302173.1 pep chromosome:v.1.0:3:7879250:7879600:1 gene:scaffold_302173.1 transcript:scaffold_302173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGALVHLGLGVTCLLCYCSEGDNISEAFLLAEAVSKLTGQVPWGRRWEMADTVFVEEYVMYGAPPDMSMF >scaffold_302174.1 pep chromosome:v.1.0:3:7881715:7885738:1 gene:scaffold_302174.1 transcript:scaffold_302174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRIKRKGLINTEAVREFINHLVAERHSLLVLVPLVLAFWAIERWVFAFSNWVPLVVAVWASLQYGSYQRAILAEDLTKKWRQTVFNASTITPLEHCQWLNKLLSEIWLNYMNKKLSLRFSSMVEKRLRQRRSRLIENIQLLEFSLGSCPPLLGLHGTCWSKSGEQKIMRLDFNWDTMDLSILLQAKLSKPFNRTARIVVNSLCIKGDILIRPILEGKALLYSFVSNPEVRIGVAFGGGGGQSLPATELPGVSSWLVKILTETLNKKMVEPRRGCFSLPATDLHKTAIGGIIYVIVVSGNNLNRRILRGSPSRSSEIGDGSSGNSSSKPVQTFVEVELEQLSRRTEMKSGPNPAYQSTFNMILHDNTGTLKFNLYENNPGSVRYDSLASCEVKLKYVGDDSTMFWAVGSDNSVIAKHAEFCGQEIEMVVPFEGVSSGELTVRLLLKEWHFSDGSHSLNSVNSSSLHSLDSSSTLLSKTGRKIIVTVLAGKNLVSKDKSGKCDASVKLQYGKIIQKTKIVNAAESAWNQKFEFEELTGEEYLKVKCYREEMLGTDNIGTATLSLQGINNSEMHIWVPLEEVNSGEIELLIEAMDPEYSKADSSKGMIELVLVEARDLVAADLRGTSDPYVRVQYGEKKQRTKVIYKTLQPKWNQTMEFPDDGSSLELHVKDHNTLLPTSSIGNCVVEYQGLKPNETADKWIPLQGVTCGEVHVRVTRKVTEIQRRASAGSGSPFNKARLLSNQMKQVMIKFQNLIDDGDLEGLSEALEELESLEVEQEEYLVQLQTEQMLLINKIKDLGKEILNSSPVQAPSHSPSR >scaffold_302176.1 pep chromosome:v.1.0:3:7888740:7892775:1 gene:scaffold_302176.1 transcript:scaffold_302176.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1865 [Source:UniProtKB/TrEMBL;Acc:D7L8H6] MALVPLNFTEMPLRSSLPLTSSSRYCSSPSLHALLFYSLAAKPSRHQIIRPFFSLRTSERSNNRSNNNRRVDQRNHKPTPPWIDKWPPSSAGVGGDHAGKRGGENNGGDKIRSAEEEAEAKLRYLERDKGQNAIERIVLRLRNLGLGSDDEEDVEDEEGGGINGGDVKPVTGEERLGDLLKREWVRPDMMLAEGEESEEEDEVLLPWEKNEEEQAAERVEGEGGVAVMKKGRARAPSLAELTVEDSELRRLRRDGMYLRVRINIPKAGLTQAVMEKIYDTWRKEELVRLKFHEVLARDMKTAHEIVERRTGGMVIWRAGSVMVVYRGLDYKGPPVISNQMAGPKETLFVPDVSSAGDEATNAKDNQSPPSEIKDPIIKNPIRKENMTEEEAEFNSLLDSLGPRFQEWWGTGVLPVDADLLPPTIPGYKTPFRLLPTGMRSNLTNAEMTNLRKIGKTLPCHFALGRNRNHQGLAAAILQIWEKSLIAKIAVKRGIQNTNNKLMADEVKALTGGVLLLRNKYYIVIYRGKDFLPSSVAATLAERQELTKEIQDVEERVRNREIEAVQPVGDKVPAEAGTLAEFYEAQARWGKEITPDHREKMIEEASRVANARVVKRIQHKLNLAQSKFQRAEKLLSKIEASMIPNGPDYDQEVISEEERAMFRKVGLKMKAYLPLGIRGVFDGVIENMHLHWKHRELVKLISKQKNLAFVEDTARLLEYESGGVLVAIEKVPKGFALIYYRGKNYRRPISLRPRNLLTKAKALKRSIAMQRHEALSQHISELERTIEQMQSELTSKTPSYSESEWENDEDDDEEEEKDDVEDNESDWDETDGESTISNIDEADISSR >scaffold_302181.1 pep chromosome:v.1.0:3:7904376:7906901:1 gene:scaffold_302181.1 transcript:scaffold_302181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQGSFRHVILEKRERLLSNNGFSDFRFTDIESNDLLENENYGRTRLCCCCSCGNLSDKISGVYQDAKDVARKAWDMGVSDPRKIVFSAKIGLALTIVALLIFYQEPNPDLSRYSVWAILTVVVVFEFTIGATLSKGFNRALGTLSAGGLALGMAELSTLFGDWEELFCTLSIFCIGFLATFMKLYPSMKAYEYGFRVFLLTYCYILISGFRTGQFIQVAISRFLLIALGAGVSLGVNMFIYPIWAGEDLHNLVVKNFMNVATSLEGCVNGYLRCVEYERIPSKILTYQASEDPVYKGYRSAVESTSQEESLMSFAIWEPPHGRYKSFNYPWKNYVKLSGALKHCAFTVMALHGCILSEIQAPEERRQVFRQELQRVGVEGAKLLRELGEKVKKMEKLGPVDLLFEVHLAAEELQHKIDKKSYLLVNSECWEIGNRAIKESEPQELLSLEDSDPPENHAPPIYAIKSLSEAVLEIPPSWGEKNHRQPLNHRPTLSKQVSWPARLVLPPHPETTNGASPLMDTTKTYESASALSLATFASLLIEFVARLQNVVDAFEELSQKANFKEPEIVTTGTDVEYSGERVGLGQKIRGCFGM >scaffold_302186.1 pep chromosome:v.1.0:3:7918533:7918836:-1 gene:scaffold_302186.1 transcript:scaffold_302186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTSSKAQLATSLPLRKLLPPSLRHISGCFLTIPFFGTVGSKTLDHGLFWKIRPLGSGLPDKSAYLTIPSIVKQAFKASDPAT >scaffold_302188.1 pep chromosome:v.1.0:3:7929769:7932790:1 gene:scaffold_302188.1 transcript:scaffold_302188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGPWPMVVLGHGQAKLTVSSPSLRLDSINVLHRLYLSVPLSCVSDSNPEPSSNRSYSRRWHNPLPRRRHPDQMPSSRIVRDWIDSDTTPVSQASERFTVVSYNILGDRNSSYHRDLYSNVSFPYLKWGYRKRLICEELIRLNPDIICMQEVDKYFDLFSTTEKAGYAGSYKRRTGDNIDGCAMFWKADRFRVLERENIEFSQFGMRDNVAQLAVLELRKSNKSRKILLGNIHVLYNPNKGDVKLGQIRSLCSKAHLLSKKWGDIPIVLCGDFNSTPQSPLYNFLASSELNVMEHDKRELSGQKNCHPAKVLETGSKSSSTITFSSWTNEEIRVATGQENSYWAVHPLKLNSSYASVRGSPNTRDSVGEPLATSYHLKFLGTVDYLWYSDGLVPAGVLDTLPIDVLCKTKGLPCQELGSDHLALVSEFFFEPNG >scaffold_302190.1 pep chromosome:v.1.0:3:7942953:7944507:1 gene:scaffold_302190.1 transcript:scaffold_302190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKSKEEAVKNWDKKKNKPSSPKGVGEFLKKKKGRFYIIGKCITIFLSFNNINGKFQPKVQDDQHGASRCNVINQAAADTKEWLDNTINAAPSIGNHCNRRGRSDRWRKPPRGWIKCNYDVSGLGWIIRNDSGTFLDCGMGQFEGRVTTEEAECTALLWALGYRVVEFEGDNQNVVRIINNKEANPRLQHFLDTIWSWSKRFTAISFLFTHREQNSCADLLARNIVSNSNPWLLYHSCPHFLTQFVNNDI >scaffold_302193.1 pep chromosome:v.1.0:3:7962757:7964607:1 gene:scaffold_302193.1 transcript:scaffold_302193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNTFSTLTTINDDYMLFPYNDHNSSQPLLPFSPSSSINDILIHSTSNNHHHQFLQPSPFSQFEFVPDCALLTTFHPQNNGHDDNQTIPNDNHHPSLHFPLNNTIGDQPAEPSETITHIEDSQRISDPKMKKVKKLGRTDRHSKIKTAKGTRDRRMRLSLDVAKELFGLQDMLGFDKASKTVEWLLTQAKPEIIKIAKTLSYQCSFSSGDESHTRPELRSMDTSSDLCELPSMWTVDDRGSNTNTTETRGNKVDGRSMRGKRKRPEPRTPILKKLSKDERAKARERAKDRTMEKMMMKMKGRSQLVKVVEEEAHHHGEIMKNTISEVNRNSFEMSHCEDEIEKLCKNDRFAVCNEFVMNKKDHISNESYDIVNYKLNSSFPVINHHRSQGAANSIEQHQFTDLHNSLVAKPDLMYNYQNMY >scaffold_302195.1 pep chromosome:v.1.0:3:7974892:7975521:1 gene:scaffold_302195.1 transcript:scaffold_302195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPEHVSSAHKRLSVSFLVSLMVNCARHASRVSKKLKPKTSRKQTHLEDYLESPKSNGNGGEDDRGGGRFGWSPARTFSPMRVRPKELYTTLSNKAMTMVGRKNKAYNGGPTKKTAVEMVIEEDEEEYGVWQREILMGGKCEPLDYSGVIYYDCSGHQLREVPPRSPRASLVPERQTRSYVGSLLNPTGKEI >scaffold_302203.1 pep chromosome:v.1.0:3:7995955:7998249:-1 gene:scaffold_302203.1 transcript:scaffold_302203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSGLGRKRLSKWDSKEDTHHHHSTVNANSGSYYRDNESEPIRFNAESNGEARTRSRVSQNNDNSYFSEQDDTRQQFFPRSGSRSNSSSRSRSRSPVYRGRRDAGSYDRHKTRTQVSPTPIREFNKRGSDHQFDQRSDYGWEDNIRKPRETKYHTENIREEAMMEGARSSDYNTDYPEDNSRREHVNDGGLDPRMRRHRSEFMGEKETQRRGGDGEGGFHRSSNIPCKFFAAGTGFCRNGNYCRFSHHVADRKQPQDNNFYRQDKKNHSSGHNKWNDVERLDNGRVGRIEDSRASKGVSESKGNGSSWIGDMEMSPDWNYGVQALKKPVKEEHGVGIIGPSSQSRVLKDDLVTNYDQRNSGMFSHGDKTMAEKPIAASHQSYSNSVNVAPVQAFNQNHNVLPYQSSLTTGGSQQVVAAATNFSVGSNLSNLESGKVYQDNHHSMVEKPVLVQNTVSREQIDQITNISATIAQFLANGQPIPQLEQALKMPLHSESSVAVQPNQATQSNPVRSNPNQSWGLGMSTGAEGVSAVTASKVSNVDGIQELSLNPKENRDKKTDEASKEVEGKKIGEDTNDAENVVDEDEDGDGSDEENKKEKDPKGMRAFKFALVEVVKELLKPAWKEGKLNKDGYKNIVKKVAEKVTGSMQSGNVPQTQEKIDHYLSAAKPKLTKLVQAYISKIKKT >scaffold_302207.1 pep chromosome:v.1.0:3:8016840:8018972:1 gene:scaffold_302207.1 transcript:scaffold_302207.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L936] MAIPLPLTSCSPISTSSSISRTSFVPLTPRNRTFFSNQNCSRRVLISCSSSDNGSSPDSMNGSGNGSSLNGQTSFPRMPSFDGASKPPLKWRRVLLKVSGEALAGDEEQNIDPKVTMAIAREVAAVTRLGIEVAIVVGGGNIFRGSTWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAIRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVFDDDPKRNPNARLLDSLTYQEVTSKDLSVMDMTAITLCQENNIPVVVFNLSEPGNIAKAIKGERVGTLIGGTWNSIVTTTT >scaffold_302208.1 pep chromosome:v.1.0:3:8019172:8019862:-1 gene:scaffold_302208.1 transcript:scaffold_302208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSEYFAGGNPSDQQNQKRQLQICGPRPSPLSVHKDSHKIKKPPKHPAPPPNRDQPPPYIPREPVVIYAVSPKVVHATASEFMNVVQRLTGISSGVFLESGGGGDVSPAARLASTENASPRGGKEPAARNETVEITMEEAAEFGGYAPGILSPSPAMLATASAGIFSPMYHQGGMFSPAIPPGLFSPAGLMYSPGFASLVASPTFADVFRNIWDP >scaffold_302209.1 pep chromosome:v.1.0:3:8024413:8026545:1 gene:scaffold_302209.1 transcript:scaffold_302209.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L936] MAIPLPLTSCSPISTSSSISRTSFVPLTPRNRTFFSNQNCSRRVLISCSSSDNGSSPDSMNGSGNGSSLNGQTSFPRMPSFDGASKPPLKWRRVLLKVSGEALAGDEEQNIDPKVTMAIAREVAAVTRLGIEVAIVVGGGNIFRGSTWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAIRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVFDDDPKRNPNARLLDSLTYQEVTSKDLSVMDMTAITLCQENNIPVVVFNLSEPGNIAKAIKGERVGTLIGGTWNSIVTTTT >scaffold_302210.1 pep chromosome:v.1.0:3:8026745:8027435:-1 gene:scaffold_302210.1 transcript:scaffold_302210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSEYFAGGNPSDQQNQKRQLQICGPRPSPLSVHKDSHKIKKPPKHPAPPPNRDQPPPYIPREPVVIYAVSPKVVHATASEFMNVVQRLTGISSGVFLESGGGGDVSPAARLASTENASPRGGKEPAARNETVEITMEEAAEFGGYAPGILSPSPAMLATASAGIFSPMYHQGGMFSPAIPPGLFSPAGLMYSPGFASLVASPTFADVFRNIWDP >scaffold_302214.1 pep chromosome:v.1.0:3:8043155:8043473:1 gene:scaffold_302214.1 transcript:scaffold_302214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTSPHHWRKRLSINKHALLLLILCLFFIHHCDASRFSSSSVFYRNPNYDHNNNSMRRGHFLGFLPRHLPVPASAPSRKHNDVGIQALLSP >scaffold_302215.1 pep chromosome:v.1.0:3:8046554:8047970:-1 gene:scaffold_302215.1 transcript:scaffold_302215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRQTYPAATPPIPEFLTARPIVQALPASATRSGNGGSEKKNCIRGLWDKKIPTDLLQEILSPAPTSASCCVISFAHQQNLDVFVVLDTWRPGDSVWTTRRFPNRSYGYGTVKCHFSNGMFYCLSTSGYIGVFEPSGATWNIIPVNPCPVFSRRHHDKLVRPVFMTEHEGDIYVMTTRRKNNSKQLVFKLNLERNVWEEMRVLGGLTVFACNAASLTRAGLSAEERNRIYTLHIGDYGRLGIYYLGCVKCSLPAYTTYLSNRSAWLQPPHNNFFSL >scaffold_302216.1 pep chromosome:v.1.0:3:8048239:8055276:-1 gene:scaffold_302216.1 transcript:scaffold_302216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLDVAAAKRAYRKAAEVGDRREEARWANNVGDILKNHGEYVDALKWFRIDYDISVKYLPGKDLLPTCQSLGEIYLRLEDFEEALIYQKKHLQLAEEANDTVEKQRACTQLGRTYHEIFLKSEDDCEAIQSAKKYFKKAMELAQILKEKPPPGESRGFLEEYINAHNNIGMLDLDLDNPEAACSILKKGLQICDEEEVREYDAARSRLHHNLGNVFMALRSWDEAKKHIEMDINICHKINHVQGEAKGYINLAELHNKTQKYNDALLCYGKASTLAKSMQDESALVEQIEDNIKIVKKSIKVMEELREEELMLKKLSTEMTDAKGTSEERKSMLQVHACLGRLIDKSSMVFAWLKHLQFSKRKKKISDELCDKEKLSDAFLIVGESYQNLRNFRKSLKWFNRSYEGYEAIGNLEGQALAKINIGNGLDCIGEWTGALQAYEEGYRIALKANLPSIQLTALDHMHYSHMMRFGNAQKARELKDKIQNLKESEHTEKAECSTQDECSETESEGHGNISNDRPNACSSPEPQTPNSLRSERLADLDEANDDVPLISFLQPGKRLFKRKQVSGKQDVDTDQTKKDFSIVVDSQQTVVGRKRVRVILSDDESETEYELGCPKDSSHKVLRQNEEVSDESMYFDGAVNYTDNRAIQDNVEEGSCSYTPLHPVKVAPNVSNCRSLSNNIAVETTGCSKRGSRCDAGDSNGTHCKTGAALVNFHAYSKTEDQKIKIEIENEHIALNSCSHNDESVKVELTCLYYLQLPVDEKAKGLLPIIHHLEYGGRVLKPLDLYATLRGSSQNVVIEASINGWVHKRLMKLYMDCCQSLSEKPSMKLLKKLYISEVEDDINVSECELQDISAAPLLCALHVHNTVAMLDLSHNMLGNGTMEKLKQLFASSSQMYGALTLDLHCNRFGPTALFQICECPVSRNRLTDACGSYLSTIVKNCRALYSLNVEHCSLTSRTIQKVANALDSESGLSQLCIGYNNPVSGSSIQNLLAKLATLSSFAELSMNGIKLSSQVVDSLSALVKTPSLSKLLVGSSGIGTDGAIKVTESLCYQKEETVKLDLSCCGLASSFFIKLNQDITLTSSILELNVGGNPITEEGISALGELLRNPCSNIKALILNKCHLKLGGLVCIIQALSDNKNLEELNLSENAKIDETVFGQPVKEHGTCESVTSMDKEQELCETNMECDDLEVADSEDEQIEERTATSSSLSLPRKNHIVKELSIALAVANQLQILDLSNNGFSVEALETLYMSWSSSSSRTGIAQRHVKDEIVHFYVEGKMCCGVKSCCRKD >scaffold_302219.1 pep chromosome:v.1.0:3:8060097:8061396:-1 gene:scaffold_302219.1 transcript:scaffold_302219.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S6 family protein [Source:UniProtKB/TrEMBL;Acc:D7L947] MPLYDCMLLFKPIIRKEGLIDLVARIGKHVYSRNGVLTEIKSFGKVELGYGIRKLDGRHYQGQLMQITMMTTPNMNKELHYLNKEDKLLRWLLVKHRDIKIGQLEKEVSLTEPNRLTTESLYDNSSSDEDDDNILGLSR >scaffold_302222.1 pep chromosome:v.1.0:3:8069655:8070350:1 gene:scaffold_302222.1 transcript:scaffold_302222.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7L949] MISDQLPSSSSQVFQDHFIDSFVSRKLLQQIPFAHNAQQAHVPDKNNLSGNVLMLLSILLCGIICSLGLHYIIRCAFRRSRSFMISDPISIPSTPRDSSVNKGIQKKALKMFPVVNYSPEINQPGLGEECVICLSDFVAGEQLRLLPKCNHGFHVRCIDKWLTQHMTCPKCRHCLVDTCQKVSSDCDAADQVAATSTESIDIRIAPLEPEARVATFR >scaffold_302227.1 pep chromosome:v.1.0:3:8084201:8087328:-1 gene:scaffold_302227.1 transcript:scaffold_302227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWRRGNPRRIHRRPLHHHLRPHPTISNNPLLRLLIPPLLHHQLLLRRMIPPMVPLHHLHRLLQAQNLLHLRKETTTMMATMVITTMTTTMVIATMVITTTMTTTTMGITKTTTTNGQNNNGNNNNDNNNQNNGGGSNNRSPPPPSRISDRNSSSPPRALAPPRSSGGGSNSSSNNEPNTAAIVGIVAGAGLLFLVMILFCVCCCRKKKKKHQMPYYAGNGYATGKGDQYQQQQYNNQSDHVMNLSQQYPGSNGNNNWMNSPPPPPPGSWQPSPPPPPPPVSGGMNGNSSDMSSNYSGPHGPSLPPPHPSVALGFNKSTFTYDELAAATQGFSQARLLGQGGFGYVHKGILPNGKEIAVKSLKAGSGQGEREFQAEVDIISRVHHRFLVSLVGYCIAGGQRMLVYEFLPNDTLEFHLHGKSGKVLDWPTRLKIALGSAKGLAYLHEDCHPKIIHRDIKASNILLDESFEAKVADFGLAKLSQDNVTHVSTRIMGTFGYLAPEYASSGKLTDRSDVFSFGVMLLELVTGRRPVDLTGEMEDSLVDWARPLCLNAAQDGDYSELVDPRLENQYEPHEMAQMVACAAAAIRHSARRRPKMSQIVRALEGDASLDDLNEGGKPGQSSFLGRGSSSDYDSSTYSADMKKFRKVALDSHEYGASSEYGNTSEYGLDPSSSSSEEIRRGGANNNKTTPSREL >scaffold_302228.1 pep chromosome:v.1.0:3:8087708:8089528:1 gene:scaffold_302228.1 transcript:scaffold_302228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFENLNNWREEFLIQASPSDPENFPFVLIGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGTNVEEAFQCIAKNALKSGEEEELYLPDTIDVGTSNQQRSTGCEC >scaffold_302229.1 pep chromosome:v.1.0:3:8092435:8094757:-1 gene:scaffold_302229.1 transcript:scaffold_302229.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPLT5 [Source:UniProtKB/TrEMBL;Acc:D7L956] MTGSTPENQTAPAPPPVKHVPESVLPAKPPKRNKYAFACAILASMTSILLGYDIGVMSGAMIYIKRDLKISDLEIGILAGSLNIYSLIGSCAAGKTSDLIGRRYTIVLAGAIFFAGAILMGLAPNYAFLMFGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINAGIMLGYVSNLAFSKFPLKLGWRLMLGIGAVPSVILALGVLAMPESPRWLVMQGRLGEAKRVLDKTSDSPTESALRLEDIKHAAGIPADCHDDVVQVSKRNSHGEGVWRELLIRPTPAVRRVMIAAIGIHFFQQASGIDAVVLFSPRIFKTAGLKTDHQQLLATVAVGVVKTSFILVATFLLDRIGRRPLLLTSVGGMVLSLAALGTSLTIIDQSEKKVMWAVVVAIATVMTYVATFSIGAGPITWVYSSEIFPLRLRSQGSSMGVVVNRVTSGVISMAFLPLSKGITTGGAFYLFGGIATVAWVFFYTFLPETQGRMLEDMDELFSGFRWRDSKNKPKSNPEMTVSNPEVEIGSNKQRREDTQSS >scaffold_302233.1 pep chromosome:v.1.0:3:8114902:8115773:-1 gene:scaffold_302233.1 transcript:scaffold_302233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIASLHLQPLSSFSSSSSPPPPSSSKPLYLKFQTSHRENLRHLSSLGIVPQNPRLAPPANDLPVIFSAVNFLKSKGISDEDFPRLVFLCPQLFSPTFDISKIDPVFDFLTGELGASTEESKGLIVNCPNILLSDVEYFLRPTLVYLKELGLRNLNRASKMNAHVLNTRVEKLRAKMRFLKSIGFEHEEAARVCGRIPAIFGYSVDDNLRPKFEFLVYDMERELEELKKFPQYFGFSLGKRIKPRHWHLKKKNVRVSLSRMLMWGDQKFYSKWKP >scaffold_302247.1 pep chromosome:v.1.0:3:8182234:8183336:1 gene:scaffold_302247.1 transcript:scaffold_302247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKTNKYLTSILVILISLYYRPISATQHGRAHFVLVHGAGHGAWCWYKLIPILKSQGHNVTAVNLAASGIDLRQAETLRSVAEYIGPLMGLMESLGEDEKVILVAHSLGGLAISKAMEMFYKKVHMAIFVTALMPGPTFNFTLLSQGLVRWQAPQLDLKFVFGDGPNKSPTLSIGGPLFISLTMYDRSPKEDVELAALLVRPQRLFSNADIDTSLVLTPERFGSVNRIFVVSEKDKSLVKEFQLWMIKNNPPNHVEHIQNSDHMVMISRPLDLGACLLSSAKKFA >scaffold_302254.1 pep chromosome:v.1.0:3:8227575:8230907:1 gene:scaffold_302254.1 transcript:scaffold_302254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCTSKPSSSVKPNPYAPKDAVLPNDDSTPAHPGNSPVPSSPAVKASPFFPFYTPSPARHRRNKSRDGGGGVESKSVTSTPLRQLARAFHPPSPAKHIRDVLRRRKEKKEAALPATRQQTEEEEREEVGLDKRFGFSKELQSRIELGEEIGRGHFGYTCSAKFKKGELKDHEVAVKVIPKSKMTSAISIEDVRREVKILRVLSGHQNLVQFYDAFEDNANVYIVMELCGGGELLDRILARGGKYSEDDAKAVLIQILNVVAFCHLQGVVHRDLKPENFLYTSKEENSLLKVIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYTTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEPPWPSLSFEAKDFVKRLLYKDPRKRMTASQALMHPWIAGYKKIDIPFDILIFKQIKAYLRSSSLRKAALMALSKTLITDELLYLKAQFALLAPNNNGLITLDSIRLALATNATEAMKESRIPDFLALLNGLQYKGMDFEEFCAASISVHQHESLDCWEQSIRHAYELFEMNGNRVIVIEELASELGVGSSIPVHTILHDWIRHTDGKLSFLGFVKLLHGVSTRQPLAKTR >scaffold_302257.1 pep chromosome:v.1.0:3:8237343:8237718:-1 gene:scaffold_302257.1 transcript:scaffold_302257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDVLEALGCLVFFAILTVFYCVFRCCLAYCDNGDDDDHPNHPGHSLHVIKAAGIGLSVLQSIPVLSFNAHDFKDNVECVVCLSKLVVIDHCFHVDCIDTWLQSSKYKR >scaffold_302264.1 pep chromosome:v.1.0:3:8265037:8273148:1 gene:scaffold_302264.1 transcript:scaffold_302264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPWNIAKSAEEAFSRWAVKRVVKFLLKKKLGKLILGDIDLDQLDIQLRDGSIQLSDLAINVDYFNDKFDAPLLIKEGSIGALVVKMPWKTNGCQVEVDELELVLAPRLETNASSSNEASTSASTREDSHNIRLERGKHENDMLLNAAKSASIDVHEGVKTVAKIVKWFLTSFHVKIKNLIIAFDPDFGQKLNEAGPRPTLVLRMTEIECGISEDRVSAADVSPDSFLGTSRLANCVKFQGAVVELLNMDDDDGDKTCGKKTSNDVTLIMTGEGGGFSGSLNFSIPWKNGSLDIRKVDADISIDPVEVRLQPSTIRWFLQLWKTFTSFGSDCCPSVSHSDSLTDSPTIPTNAMVTPPATLSVSGGQELEPDTTPNLQFIPDWFPSSFSKKEEDGEVDIGASVDQFFECFDAMRSYQSACGSQGMWNWTSSVFTAINAASSLASGSLLLPSEQQHVETSCKLSFAGVSVVLFFQDEDNWKDVSTRIHYLGAELRDISVSFQVCPHDLRLEGEVNSMEIADYFQAGNVVDTANAESQTCLIKDLQAKVQTSLPPFASSDMHTDSERLSEIVSDGFLFRSKGFAVKTLLVIAAGGSGFQFIVNFQSSKASHRGSNSFSLSLPPTTFWLNLHSVEMLVNLFNDVSESIPIFSHERNQVASSSKSESLRGSVSIWNARVILWFPFESISERLCNSLGEQFIVVDLSSSPQSDKDRRKEGSPGEMYFTSATRSICFRVGDASIYLVTSDHKDSETNSYRRQVEFSAYNILHTNNKTRHQLSTIGMFWQDRPTVSPCLVERAKMLATQEESIQTDKSGGSGLEFAAVATPKDQEDIYSRTRKEIIMASSFCLYLHLLPLAIRLDSWQYSKLCNLVEEAKIWLSRMAANTAEQTGESVVCQTSLVVECDSIDILVRPEPQMGIKIQLQTELPGSWIQFNLRVQKLNLMSVSNLGSISGADFFWLAHGEGTLWGSVTGLADQGLLLLSCNNSAIKRGNGGGSNALSSRFAGLDFLHLQEPGICYDYLAVSVRGCTISAVGGRLDWIEVATSFFTFEDEKKSQEMNSSSSSGSSFILNLVDVGLSYEPHHESTDHLRQASIPWVACLVAASSFSLSKKSLVDSIRNDYRIRIQDLGLLLSVDFDLSKLNGMYSSEHLHETGYVKVANEALIEATLRTNSENGLLWELECSKSHLLIETCSDTTSGLIRLATQLQQLLAPDLEESAVHLQTRWDSIQQANARNDFDISDRLSSSDSSGEMKYLRLESENETGVIGLMDEINEDAFQFDVNLTGQSDSVECQNNYMSPHGVSHGQAYNWVPATPEKLPSNHSICGSSSRINSESSKIFLDRESLPEIFENYCFSDFRPSSEVPQDGDSSGRELFPETDLRRGNSGWYDDASLRILEDHVSEATEEDHEEHILDGEFSSFGQTSYPAVAPNGRILLKNIDLKWRIYSGSDWHDSRKKGENFKHTKGRDTTSCLELELSGVLGYYNSKDHPRDSSSYAFKLELKAVRPDPETPLEENRFFGANSLEKPDVSMGDSGGSTLSVSVKGHNIIEEALLPYFQKFDVWPVSVRVDYSPHHVDIAALTGGKYAELVNLVPWKHHLLITEEYMQGIELQLKHVHAAGIYGWGNVCETILGEWLEDVSQNQIHQLLKGIPTVRSLSALYAAALKLVSSPVESYRKDRRLVKGVQRGTIAFLRSISLEAVGLGVHLAAGAHDILLRAEYIFASAPSLPQPQGKTKTNVRHNQPRNAKQGMLKACESIGDGIGKTASALVRTPLKKYQRGDGAGSAFATVVQGVPTAAIAPASACARAVHSALVGIRNRLVHIKYCGNTAGKICYLQSIKDSWRLLSLLSVLILNIKRNPWKST >scaffold_302267.1 pep chromosome:v.1.0:3:8284903:8286305:1 gene:scaffold_302267.1 transcript:scaffold_302267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLYPNCSLPSHRPLVFLHRLPSRSLRCRAAADIPLGDGIRLPREADSTSDTARSRDVSVAAGGNGEGAKWRKRRLLWSKSGESYLVDDGDALPLPMTYPDTSPVSPDVIDRRLQCDPVVEDCREVVYEWTGKCRSCQGSGTVSYYKKRGKEVICKCIPCQGIGYVQKITSRTDIEVMEDLDNEPS >scaffold_302280.1 pep chromosome:v.1.0:3:8337593:8337892:1 gene:scaffold_302280.1 transcript:scaffold_302280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWPWFREEQSIYEMAEDGKTSLYVGDLEPSVTDSELFDAFSHASHVSSVRVCRDLTTRRSLSYGYVTFDYPQEGNFFTNFYAH >scaffold_302289.1 pep chromosome:v.1.0:3:8382766:8383947:1 gene:scaffold_302289.1 transcript:scaffold_302289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPELPKDLIEEILCYVPATYLKRLRSTCKEWNRLFKDDRRFERKHFDKAAKQCLPLISTKYHKVPCLMSLNLHGIMPSLEVESKIRRVDSDSKYPISTKMENSRVFHCGGLLLCSSQDDESAILVWNPLTGENRWIKTGNRRDKGRTFVLGYYYQEDKKPCYKILSFYSDSNDFEIFEFNSDSWRFIDDICPGWSLPNPCQCVSLKGKTYMFALDETKTCVSLLKYDYSTEKSILVPLPYKSRCFEETSLSVVREEKLSVLLQRDKSCKTEIWVTNETTTKAMVVSWSKVLALDLSPLLEIWYDGSFFLEEEKKVIMISNRWIEEDKSEDNLYIVGEDANKATQVYTIDGCGSAVYNYVPSLVQIERARGKRKRVDS >scaffold_302298.1 pep chromosome:v.1.0:3:8419507:8422931:-1 gene:scaffold_302298.1 transcript:scaffold_302298.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATNHD1 [Source:UniProtKB/TrEMBL;Acc:D7L9Z6] MAVLPIGSHLAPPHQLTKRHAVATSSPISISIRLPQNVSFSKVSGVTGSRLSKHGVLVRAEDKIRSSSSPSSLDEPIDEDLMDSSGSCDPLCSVDEPSSSYFEANYQPKTDIIKALAILAAALTGTAAINHSWVAANQDVAMALLFGIGYAGIIFEESLAFNKSGIGLLMAVSLWVVRSIGAPSTEIAVLDLQHATAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNITTRKPKTLLWVVGFVTFFLSSILDNLTSTIVMVSLLRKLVPQSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQITTLPTMQGLFIPSAVSLAVPLALMSLTSEVNGKGQDSSDVLASEKMAPRGKLVFGVGLGALVFVPVFKALTGLPPYMGILLGLGVLWILTDAIHYGESERQKLKVPQALSRIDTQGALFFLGILLSVSSLEAAGILREIANYLDANIPNVELIASAIGVVSAIIDNVPLVAATMGMYDLTSFPQDSEFWQLIAFCAGTGGSMLVIGSAAGVAFMGMEKVDFFWYFRKVSGFAFAGYAAGIAAYLAVHNLHFEIPTTVAQIPFLTGS >scaffold_302300.1 pep chromosome:v.1.0:3:8427561:8427929:-1 gene:scaffold_302300.1 transcript:scaffold_302300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLRVYGGVLRLVRLLPADTRPYYAKYARENFVNYREVDQSETSLDELFQRAYNHSLWVLKKYSIDESAATKLKEICFE >scaffold_302304.1 pep chromosome:v.1.0:3:8444202:8446176:1 gene:scaffold_302304.1 transcript:scaffold_302304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFSALRDEVVRGLSPSRSRARSRSVSPARSNSPMSALTWGRKNLTGGAGGGGGGGGGYYLAQPEQLIGRSGSLRPVMEGPDPDEGGGGSTIGESKRLGSGLGHWVKGQLSRAPSVAATAAYRRNDLRLLLGVMGAPLAPIHVSSSDPLPHLSIKNTPIETSSAQYILQQFTAASGGQKLQNSIKNAYAMGKLKMITSELETATRTVRNRNPSKAETGGFVLWQMNPDMWYVELAVGGSKVRAGCNGKLVWRHTPWLGSHTAKGPVRPLRRGLQGLDPRTTAAMFAEAKCIGEKKVNGEDCFILKLCTDPETLKARSEGPAEIIRHVLFGYFSQKTGLLVHIEDSHLTRIQSNGGETVFWETTYNSSLDDYRQVEGIMIAHSGHSVVTLFRFGEVAMSHTRTKMEESWTIEEVAFNVPGLSLDCFIPPADLKSSSLTESCEYPQEERGKNNTLALSAAHRAKVAALENGSFEDHRPVWHSDV >scaffold_302308.1 pep chromosome:v.1.0:3:8460239:8460959:1 gene:scaffold_302308.1 transcript:scaffold_302308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCYASLGISRARLVVLNAYSGQNRFSVGYDSNNNHKILWVSHWFDNNEFHIYDFKSNVWRFLDVTTRKRFPWRSVSLKGNTYFTAVEKLKGQEEVKEYSLFCFDFTRERFGGRLPLPFHSGTHGSVILSTVREDKLAVLFNKFDVDETKIWVTTKIEPNAVTWSYFLKLDRKVFSGRRNVFEQNFFVDEKNKVIFLYRHRKEAQLQSLHY >scaffold_302309.1 pep chromosome:v.1.0:3:8461684:8462806:1 gene:scaffold_302309.1 transcript:scaffold_302309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSNLSRDLVEEIHSRVPITSQRAVRSTCKRWNVLSKDQNYTKHLGPASKEIMLIMIRGCRAHLMSVNLHGVHNHKYLVDTSIKELGKLNQVEIFEVLHCDGLLLCVTKDYSRLVVWNPYSGQNRWIQPKSNNFHTLDRFAIGYDINNNQKVKVLRFYYWSDYVEYEIFDFKSNSWTVLDVTTDWKIHRRGVSLKGNTYFIAHERFKVDQEGEFLRSFDFTRERFGPLHPLPFHSCLDDSVILSTLREEKLAVLFKRCDACDMKIWITTKIDANTVSWSNFLKVDMQLYAERFRSPCRSFLVDEKKIVAVIFDIDRKTWTNYKPFMVGEDGYQGEVDLRDSELWMLMCSYVPSSVKIQ >scaffold_302310.1 pep chromosome:v.1.0:3:8463881:8464940:1 gene:scaffold_302310.1 transcript:scaffold_302310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMSDLPHDLLEEILSRVPLTCLRAVRSTCKTWNNLSNKSKFYVTVCSLRIFNFQGILQGEEFVDSSIKPVCNLIYQVKISKIVNCDGLLLCITSVNTEIVVWNPYLGQTRWIKPIKADMTGVKYALGYDDNKNRNHKILRTWRVINGKYLLRYHREKKWEWPKVSEDFLLCFDFTRERFGPHLPLPFYYDVSHDFVGLSSVREEQLAVLFEYFNTSEIEIWTTTEIEPNVVSWIPFLKVDNTDLKLEIDVGSFYIDQEKKLAVVFESREFESRKNKAYIFGENGYMKKWISEKL >scaffold_302313.1 pep chromosome:v.1.0:3:8480486:8483258:1 gene:scaffold_302313.1 transcript:scaffold_302313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPSAGRELSNPPSDGISNLRFSNNSDHLLVSSWDKRVRLYDVSTNSLKGEFLHGGAVLDCCFHDDFSGFSVGADYKVRRIVFNVGKEDILGTHDKPVRCVEYSYAAGQVITGSWDKTVKCWDPRGASGPERTQVGTYLQPERVYSMSLVGHRLVVATAGRHVNIYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEAAQAKKYAFKCHRKSEAGRDIVYPVNSIAFHPIYGTFATGGCDGFVNIWDGNNKKRLYQYSKYPTSISALSFSRDGQLLAVASSYTFEEGEKSQEPEAIFVRSVNEIEVKPKPKVYPNPAA >scaffold_302323.1 pep chromosome:v.1.0:3:8501593:8502133:-1 gene:scaffold_302323.1 transcript:scaffold_302323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFFGVVVLQFIFLTLSLATRDIISFASDYDVAKKVFSSTNNMESLQLPAFYVPNGEARRVDPSVVSDETSLPSPSFFRANIGTKRLVPSGPNNATSPPSPSFSRANIGTKRLVPSGPSNATSPPSPSFSITDIGTKRLVPSGPNNATSPPSPTFSRTDINTNMG >scaffold_302324.1 pep chromosome:v.1.0:3:8503256:8511357:-1 gene:scaffold_302324.1 transcript:scaffold_302324.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FF domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LA20] MANNHQYPGIQPFQHPNASSIDLPRGFAPPMNFQFHPTIQAPQSEQVARLSSQNFQCVGRGGTVLNIGYPPQSYAPQLLQSMHHSHERPSQLNQVQVQHVPLGPPTLVSQPNVSIASGTSLHQPYVQTPDISMPGFGGPRALFSYPSATSYEGSRAPPQVTGPSSHSQAQHRASISQTTAQSSILNPTFEQPKEAFSKPIPSQEALTDWVEHTSADGRKYFFNKRTKKSTWEKPVELMTLFERADARTDWKEHSSPDGRKYYYNKITKQSTWTMPEEMKIAREQAEKASLQGPHAEGIIDVFKVLTRSDTASTAAPTSLPSQTSTSDVSEKLALTSDWKQPASVPGSSSPVENVDRVQMIADETSQLCDTSETDGPSVPQGSGTGPEESQKPMVESERVESQTEEKQINQENFSFNNKSEAGDVFKSLLKSANVGSDWTWEQAMREIINDRRYGALRTLGERKQAFNEFLLQTKRAAEEERLARQRKRYEDFKRMLEECVELTPSTRWSKAVTMFEDDERFKALEREKDRRNIFEDHVSELKEKERVKALEDRKRNIIEYRRFLESCNFIKPNSQWRKVQDRLEVDERCSRLEKIDQLEIFQEYLGDLEREEEEKKKIQKEELKKAERKHRDEFRGLIEEHIATGELTAKTLWRDYLMKVKDLPVYSTIASNSSGATPKDLFEDAVEDLKKRYHELKSQIKDVLKLRKVNLSAGSAFDEFKVSISEDIGFPPIPDVRLKVVFDDLLERAKEKEEKEARKQTRQTEKLVDMLRSFKDITASSSWEESKHLVEGSEKCRTIGDESFRKQTFEDYVSLLKEQSKRIKQNKKVPEDVREEHDKGRDKYGREKDRVRERDSDDHHKKGAAGNYNHDMNESHGKERRRSGRDSHNRHRERHTSVKENDTDHFKESQKAGGGHKKSRHQRGLVPEAEVEGRIKRRRKEEESEHTKEEELEDGECGRY >scaffold_302326.1 pep chromosome:v.1.0:3:8515874:8517247:1 gene:scaffold_302326.1 transcript:scaffold_302326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKSKGRQKIEIVKIKNENNLQVTFSKRRSGLLKKASELCTLCGAEVAIIVFSPGQKVYSFGHPNVNVVMDRFLNFNPPRPHHHNNMQPNETRRNAAVQELNNHLTLLSNQLEAEKKITGDLKQKRKDNKMFGNWWEEPVEELNMTQLTEFQCGLENLRKAVAYKVSKYHQADVDRRNFYAGSSSNFAFGISGDTNINSTELDLFNDQRMASMNLSNYNHNMIVPNQTSPFGNNGNNTQGFAPEYKAEDSQNQSQCVKQENVSEYAHYPHFGRDY >scaffold_302328.1 pep chromosome:v.1.0:3:8527585:8528111:-1 gene:scaffold_302328.1 transcript:scaffold_302328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLKTNILFLLAIALLYGSLAEDLQQEFLEAHNEARNEVGLDPLVWDDEVAAYAASYANQRINDCALVHSNGPFGENIAMSSGDMPAEDAAEMWINEKQYYDYNSNTCNDPNGGTCLHYTQVVWKNTVRLGCAKVVCNSGGTFITCNYDPPGNYIGQNPY >scaffold_302330.1 pep chromosome:v.1.0:3:8532503:8534828:-1 gene:scaffold_302330.1 transcript:scaffold_302330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain aminotransferase4 [Source:UniProtKB/TrEMBL;Acc:D7LA26] MAPSTQPLPTSVSDEKYANVKWEELAFGFVRTDYMYVAKCNHGESFQEGKILPFADLQLNPCAAVLQYGQGLYEGLKAYRTEDGRILLFRPDQNGLRIQAGADRLYMPYPSVDQFVSAIKQVALANKKWIPPPGKGTLYIRPILFGSGPILGSFPIPETTFTAFACPVGRYHKDNSGLNLKIEDKFRRAFPSGTGGVKSITNYSPVWIPLAEAKKQGFSDILFLDAATGKNVEELFAANIFMLKGNVVSTPTIAGTILPGVTRNCVMELCRDFGYQVEERVIPLEDFLDSDETFCTGTASIVTSIASVTFKDKKTAFKTGEETLAAKLYKTLNDIQTGRVEDTKGWTVEIDRYHQG >scaffold_302336.1 pep chromosome:v.1.0:3:8560542:8561073:-1 gene:scaffold_302336.1 transcript:scaffold_302336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSKVEVDNDHASGSKGSSVGENSLDSEEYDDMSDDSTEEESDENSASYGKILMDYLMSEMIADMYDDFTDDLFDILAARIPSLSALRTCNKQPSDDKTSTVFYSMNVLNNLTGVRMWSPLYVASLTHIQAHVTHREAFLAFSDPENKICYLEHKTSKKRDE >scaffold_302339.1 pep chromosome:v.1.0:3:8564880:8567566:1 gene:scaffold_302339.1 transcript:scaffold_302339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFKIFEVGVSESLGEHMRRFNLDIIEKAGLCISTELDYVYELVIGVIDVTRSKERGYQTLVKEGFCAELDELRQIYEELPEFLQEVSAKELEHFPHSHKEKLPPCIVYIFNKLVALLVFLSHIGSFVPADATTIGLTDSKFMTAEQSTFMKDLHQGGMMLRQATSRSVCLLDEFGKGTLTEGEDFSTLCIG >scaffold_302340.1 pep chromosome:v.1.0:3:8570278:8570491:1 gene:scaffold_302340.1 transcript:scaffold_302340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LA37] MPCSKLSNLALSFDSDGLRPPKTPFSRCVIVVCRCSGQWRRSFSLFAESHRSSSMSP >scaffold_302341.1 pep chromosome:v.1.0:3:8573946:8574804:-1 gene:scaffold_302341.1 transcript:scaffold_302341.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LA38] MPCSKLSNLALSFDSDGLRPPETPFSRCVIVVRRCSGQWSRSFSLFAESHRSSSMSPILSLAGVSHQNNYVRPVLTIESLLVIRKWKVKLTLSAALISCCCIYK >scaffold_302343.1 pep chromosome:v.1.0:3:8582013:8582795:-1 gene:scaffold_302343.1 transcript:scaffold_302343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISLSTVMISLSTVMWSLINEKIVYEDDVEYPVQPNYLLCFDFTAESFGQFLPLPFQHHDDFDAGALASLGDQKLAALNQCEDRTEVELWVTTMIEPNAVSWYPFLKVDMEPHYGSHFMFNFYGGSFFIDEEKKVAVVIHFDPSEATRHEDAAYIIGDNGYVKKVHLGEAVPHIPGALDFSHFQNCCPLVTSCSYVPSLVQINQTAGFKKERGAEETNQQAQRRRRLAIN >scaffold_302346.1 pep chromosome:v.1.0:3:8595264:8601115:1 gene:scaffold_302346.1 transcript:scaffold_302346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENTTEQPYTTAASSGQSIFVRPPPIAPVLATASNVSQSEQPSMSIASTGFVSQSVPSSVAAQWASNAAASSNVNPIPQASPMLANAPFGRPGKLAPPGLMTSPPAFPGSNPFSTTPRPGMSTGPAQINPSIHPHMYPPYHSLPTMPGTPQGMWLQPPLMGGIPRAPFISHPTTFPGSYPFPVRGISPNLPYSGSHPLGAIPMGSVGNVHALPGHQLDISPGQKTEALSGIDDRAGSQLVGNQLDAWTAHKSEAGVVYYYNSVTGHSTYEKPPGFGGEPDKVPVQPIPVSMEDLPGTDWALVSTNDGKKYYYNNKTKVSSWQIPAEVKDLGKKPEERAMESVASVPSADFTEKGSDLSSLSAPAISNGGRDAASLKTTNFGSSALDLVKKKLHDSGVPVSSTTTSEANGGKASEVTPSGESGNGTGKIKDAPGAGELSDSSSDSEDEDSGPSKEECFKQFKEMLKERGIAPFSKWEKELPKIIFDPRFKAIPSHSVRRSLFEQYVKTRAEEERREKRAAHKAAIEGFRQLLDEASTDIHQHTDYRAFKKKWGNDLRFEALERKEREALLNERVLSLKRTAEQKAQEIRAAAASDFKTMLHEREVSLNSHWSKVKDSLRNEPRYRSVAHEDREFFYYEYIAELKAAQRGDDHEMKARDEADKLRERERELRKRKEREVQEVERVRQKIRRKEASSSYQALLVEKIRDPEASWTESKPKLERDPQKRASNPDLDPADKEKLFRDHIKTLYERCVHDFKALLVEALSSEAASQQTEDGKTVLDSWSAAKQVLKPDIRYSKMPRRDREVLWRRYAEDIWRKQKQENYQEEKQRDYKT >scaffold_302347.1 pep chromosome:v.1.0:3:8602173:8604842:-1 gene:scaffold_302347.1 transcript:scaffold_302347.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:UniProtKB/TrEMBL;Acc:D7LA45] MSLLCDLQINLNNQFTFFVNQDLISEYSGFLRKMIKQSNKKKKNHKNSRIIIEVEDFPGGSDGFDLVSRFCYGNGGGISIDVSNVSILHCSSVFLEMTEKLCSSNLLLQTDKFLEGMFYWSWNDIVLCLKSCEQVFLHADSYGLVDKLVFGVLAKIAQNSDMSHVFSSSSPSSSASASSHSPETARNRSDSDKRSTSRSLSCRTSNEWWFDDMSILGPKIIEKVMKTLGAHDKNNDSLVLTRFLLHYLKTKVQNKSNNKLEYAGLADTAVRGVVFAAKTAFSCRKMFWVLRVLSGFSISKESRIGLERVIGEMLDQATLDDLLIPAGGKKEKGFYDVDLVIRLLKVFVRTGNTEEEQNLKMRRIGKLIDKYLREISPDQNLKESKFLEVAESLPDSARDWFDGLYRAIDIYLESHPKLSSEDRTKLCRCLNYKKLTLETCKQLAQNPKIPPNIAVQALKSQQLSNETQPHSREDKKRVNKIWNSRKYLEEKPILVHLKGFGMSEKFEDDLKMNLERKQWNSSGKNCKEKKSEVVSSSSFPRLC >scaffold_302348.1 pep chromosome:v.1.0:3:8606930:8608149:-1 gene:scaffold_302348.1 transcript:scaffold_302348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMSDLSQVLVGKILSRVPITSLRAVRSTCKRWNALSKDAVLCKAEAMQPFLGFMMMDYKLCSIRFNIQGILNEDGEDFVDASIKEIGNLINQVDISKVFHCDGLLLCVTRDNTSLVVWNPYMCQTRWIQPRSAYHRLDRYAIGYDNNRNQKILRFVDHHGIDGTHVFGYEIYELKSNSWRVLDITPGWDIEFYQRGVSLKGNTYFFAKEKIVFGEYGEMPEPPDYLLCFDFTTESFGQYLPLPFEHYIEDTGTLSYVKGEKLAALYQNMSTYELEIWVTSMIEPNVVSWRNLFKVDMKPHTGLGFQFEVESGSFFIDEEKKVAVVFDLDESQSYKTAYIIGENGYLKRVNLGEAFVNLEESPYGYPHVSPYYVPSLVQLNQSGKRKEQAY >scaffold_302353.1 pep chromosome:v.1.0:3:8639251:8639479:-1 gene:scaffold_302353.1 transcript:scaffold_302353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMSDLTQDLVEEILCRVPITSLGAVRSTCKGWNALSKERILCIGEPKQQFLLSRVHVVGL >scaffold_302355.1 pep chromosome:v.1.0:3:8642801:8646614:-1 gene:scaffold_302355.1 transcript:scaffold_302355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQGSSPITPSSYNVAVSIASDVPTGLTKSPLSHAIPVSPISAAYPSGDPMLMMSGTPLARVRLSDILPYEGAPSPVYAKAVEALSVSLMRYNASVIEIGSDDTALMRCGLEAARLYFRTRSLNVSGKGNRGLSMYRAGRSVEDLDSSPPCMAEIFRCLGKVARAALSAIARHLRLRSDVFNHMLDDFPLAPNEVSSSVLLASYAHASIQNGKHASGGGNLSAKIEVEKGLLTLFCSDGTGIQVCDPNGRWYTADNGCGVGDLLLITGKALSHATAGLRPAASYRTTTDHLSGTDTRGRASLAFRLMPKSNAILDCSPIEAAGHVIPQSYVPVSVSQFMDNLLAENDTLVNPPVKANVPRDDVCKEPSLRSVLSDPISGAFLEDAMVVSCGHSFGGLMLRRVLEMSRCTLCNAEIESGSLVPNHALRAAASAIKQQDDKRLFHNAAMRRRRKEMSDQMDVENGDPATDDGMHRVVHYPFAVNEKVLIKGNRRTPEKFVGKEAIVTSQCLNGWYLLKIVESGDNVRLQYRSLKKMVNDDRGGGLQVQPVESNSL >scaffold_302360.1 pep chromosome:v.1.0:3:8664924:8666758:1 gene:scaffold_302360.1 transcript:scaffold_302360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFVSQTPGVRNYNYKLTPKVFVTCFIGAFGGLIFGYDLGISGGVTSMEPFLEEFFPDVYKKMKNAHENEYCRFDSELLTLFTSSLYVAALISSLFASTITRVFGRKWSMFLGGFTFFIGSAFNGFAQNIAMLLIGRILLGFGVGFANQSVPVYLSEMAPPNLRGAFNNGFQVAIIFGIVVATIINYFTAQMKGNIGWRISLGLACVPAVMIMIGALILPDTPNSLIERGYTEEAKQMLQSIRGTNEVDEEFQDLIDASEESKQVKHPWKNILLPRYRPQLIMTCFIPFFQQLTGINVITFYAPVLFQTLGFGSKASLLSAMVTGIIELLCTFVSVFTVDRFGRRVLFLQGGIQMLISQIAIGAMIGVKFGVAGTGNIGKSDANAIVALICIYVAGFAWSWGPLGWLVPSEISPLEIRSAAQAINVSVNMFFTFLVAQLFLTMLCHMKFGLFFFFAFFVVIMTVFIYLMLPETKNVPIEEMNRVWKAHWFWGKFIPDEAVNMGAAEMQQKSV >scaffold_302364.1 pep chromosome:v.1.0:3:8682141:8684621:-1 gene:scaffold_302364.1 transcript:scaffold_302364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKLLSESQKLAQEECHSEVSPLHLAATLISDKNGIFFQAITKLGGEGAVSSIERELKRALKQQIQSQPPTLSSDVAQKKGKKKKKKRILENSAVLLLRNGAKSVEDLILGLLEDRCIMEALKETAVQPKMLMRVVKQLREPNFLALHTYGTDFVATSLLIDPVIGRETEIDNVIRVLLKKNKNNPVLVGKTAVIEGLAQRIPGTRNRGDYEERLLGVIKEVEEANGNVVMFFDEILLGAGGDGSNDAANMLKPMLARGSSRCIGATTIEEYQTYIQRDAAFERRFQRVNVREPTISQTIEILRGLRDMYEKYHKVKIEDMALVFAAQLSSRYITDRYFPDKAIDVLDNACVNVRVTLEGSSVGPANISEAVSQMSGIPVSKIGRTEKENLIGLAEKLHERVVGQDEAVNVVADSILRSRTGIGSPGKPIGSFLFLGPTGVGKTELAKAVAEILFQGEKGLIRIDMTEYMEEHTVSRLIGAPPGYVGYEKGGQLTDAVRKRPYCVILLDEAEKAHTAVFNTLLQVFDDGRLTDGQGQTVDFKNAVIIMTSNLGAEKLALALNSEISMSVARGRVMEDVKRFFKPKLLNRLDGVVIFEPLSHKVRRKVAERQMKSLKDRLMQKGITLAWTDSTVDFILKKCHDPGNGARPIQRYIENMIVTHLSKMIVEDKIGENSWVCIDGSDASTELIYRVRDEKMID >scaffold_302367.1 pep chromosome:v.1.0:3:8689366:8691531:-1 gene:scaffold_302367.1 transcript:scaffold_302367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKELRDLQRFAEIVSPPAGRKWVSEELAVISESKEVAADMITDTVLDQMCSYSGFSLFFSGNNQRTKKVRKNPREEAESSLDLSYFVANVTSSNIRYDSLNLPMRFVRANGVDTRCGEMILINENGKSWTVDLKRKKSCGTTYIRRGWRSFCHANGLRAGSSFTFKLIQRGATLCLRLSPRELKEEDCLSEPETNQDERSSQECTKRKFKNCFVTVTLTPYNIEQSKLVLLILHSCLPRLNVSQVLPIPFTKVNDIVKAKKMSLLDKHGVKWSIKLWFEVERKRMRLVGGWKEFCYANGVKIGESIILELIWETEESSVLKFCSKVHF >scaffold_302368.1 pep chromosome:v.1.0:3:8691636:8692301:-1 gene:scaffold_302368.1 transcript:scaffold_302368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGASHFTIVLSQLLNSKDLMLKTTMYPTIPLTSTTPSLNHAPQSDSRNPTPFEGLPVEIEALESPLITENATPADLQKLDPETSSEAQNITVPMNKWYAVEINESLALAWAAKFASKDTIFQKNFSLLGVSEGEDSPLWLKGALNVYTFYASGPRYWLL >scaffold_302369.1 pep chromosome:v.1.0:3:8692823:8693495:1 gene:scaffold_302369.1 transcript:scaffold_302369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGETSFTHEIDYNPKPEDAGTIKIYASIVGVVDHVLTTYPIIYRSADDYDAAYRSGGNQLLKDFLKDAGIDTQVLCDLYLCVDEIVFLSGRYTPDCALSVRMTIDPITPQIEQEEEVVAAIPPVSWNQTNNRPVSKLALMSLDQKIYVKNKNSSKCDDATCSICLEEFTTGKRLVILPCGHLFDAFCVADWFSINHVCPLCRFELPYET >scaffold_302376.1 pep chromosome:v.1.0:3:8736780:8738236:-1 gene:scaffold_302376.1 transcript:scaffold_302376.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pepsin A [Source:UniProtKB/TrEMBL;Acc:D7LAV2] MLLPLFFFFFFLLHLHLSSSSISFPDFQSIDVLQPPLTVTETLPDFNNTHFSDDSNSKYTLRLLHRDRFPSVTYRNHHHRLHARMRRDTDRVSAILRRISGKVVVASSDSRYEVNDFGSDVVSGMDQGSGEYFVRIGVGSPPRDQYMVIDSGSDMVWVQCQPCKLCYKQSDPVFDPAKSGSYTGVSCGSSVCDRIENSGCHSGGCRYEVMYGDGSYTKGTLALETLTFAKTVVRNVAMGCGHRNRGMFIGAAGLLGIGGGSMSFVGQLSGQTGGAFGYCLVSRGTDSTGSLVFGREALPVGASWVPLVRNPRAPSFYYVGLKGLGVGGVRIPLPDGVFDLTETGDGGVVMDTGTAVTRLPTGAYAAFRDGFKSQTANLPRASGVSIFDTCYDLSGFVSVRVPTVSFYFTEGPVLTLPARNFLMPVDDSGTYCFAFAASPTGLSIIGNIQQEGIQVSFDGANGFVGFGPNVC >scaffold_302386.1 pep chromosome:v.1.0:3:8786726:8787080:1 gene:scaffold_302386.1 transcript:scaffold_302386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPDSWEDPNEFKPERFLASFESGQEDALKYIPFGSGRRGCPGVNLSYIFGGTAVGMMVQCFDWKIKGEKVDMEEAIGGLNLTMAHPLKCIPVARTLNPLTL >scaffold_302387.1 pep chromosome:v.1.0:3:8789917:8790301:1 gene:scaffold_302387.1 transcript:scaffold_302387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPDYWEDPDEFKPERFLLASSSRSRQDDERREKALKYIPFGGGRRGCPGENLAYVILGNVVGMMVQGFEWRNEGAKVDLEESIKGLTLTMAHPPKFTPVGRTSDPLNLNQQS >scaffold_302394.1 pep chromosome:v.1.0:3:8810975:8816172:1 gene:scaffold_302394.1 transcript:scaffold_302394.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin motor family protein [Source:UniProtKB/TrEMBL;Acc:D7LAW5] MADNRIAGTLPTSSKWSFLPKSVSSHLKPSSNPRSSKPDIENAPPQDPNIHTPINQSVSKSPALRNQMDSPNRRGEVSASRRRAIAAVKTHNEVEEEEASNPHVKVVVRIKPTKEYCWKVKKVSKDSYSVRDRQFTFDSVLDSNLNQDDVFQQIGVPLVRDALSGYNTSVLSYGQNGSGKTYTMWGPAGSMLEDPSPKGEQGLAPRIFQMLFSEIQREKMKSGGKDLNYQCRCSFLEIYNGQISDLIEQTQRNLKIKDDAKNGIYVENLTEEYVDSYEDVVQILMKGLSSRKVGATSTSFQSSRSHVILSFIVESWNKGASSRCFNTTRTSRINLVDLAGAGTNEREATKHCVEEEKFLKKSLSELGHVVNALTKNVHPGISDRSLHKTSCLTHLLQESLGGNSKLTILCNIFPSDKDTKRTMSTLRFGERAKAMGNKPMINEISEEDVNDLSDQIRLLKEELTRAKADACHSVGSKNDYFGAKNARESLNQLRVSLNRSLMLPKIDNDEEEITVDEDDFKELHQQIKSLRGSFNQKLKKLPVNRESVSSSFVTAFGESELIDDDEIFSEAVEVEEKDFGESLEEHDDDSAATIYKSSVKSRIKEFASENSISINPCRQSINLQEPIQSESPKFRDSLRKSIALSSSCLRNQNSLAQSIKSTCLAESQHIRSSLRGSKIFTGSTESLAASLRRGLDIIDNPLNLASKRCSVSLSSDNLTMQPSTEILLDDKSLTDDQLPLSPLCPSCRICSSKTSSLVERDGYQHMEGVVEKQQELEKLCTEQAAKIEQLTRLVEQHKIQTENETEELVGASNGERFPSANENQRDQAEVITEKYEAKQIPDDDSKKTDFDIGEKEALLKEIEDLKRRLQTPVTMSSNELRSSLLARSFQLRNKNAEKDIEEERLRCTEMESEWISLTDELRVEIESQRTRAEKAEAQLKQEKLSSEELEDALRRAVLGHARFVEHYTELQEKYNDLGSKHKATVEWITELKKAVAKAGKKGCGSRFAKSLASELSALRVERERERDLLKKENVSLKIQLRNTAEAVHTAGEVLVRLREAEQSASAAEEKFNEVEEENEKLKKKMEKLKRRHKLEVVTIKKSLKQNTLPESALQPLNQRNSAIEEGM >scaffold_302396.1 pep chromosome:v.1.0:3:8819173:8820283:1 gene:scaffold_302396.1 transcript:scaffold_302396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQAALVYTLISIFISLQFLFWRFFWPRYTAVMSSRRRQTRHLNVQSHTLTAESYESEFMSYMIRKSKSVNEVLDEAVPLCEPVLKIREAMRYTLLSGGKRVRPMLCLAACELVGGQESTAMPCACAVEMIHASSLIQDDLPCMDDDNLRRGKPTNHKVFGENIAILAVDALIALAIKHTVESTSLDVPPERVLLAILEMARAVGTEGLVAGQAADLDGEGKSFDNDTGLKHLEFIHIHKTAALLEAAAVMGAIMGGGSDEEIERIRSYARCIGLMFQVVDDVLDVTKSSEELGKTAGKDLISEKLTYPKVMGVEKSREYAEKLNREAREHLNMFDPDKAAPLLLLADYIVNRQN >scaffold_302397.1 pep chromosome:v.1.0:3:8820582:8822049:1 gene:scaffold_302397.1 transcript:scaffold_302397.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LAW8] MGSSDTESGSRGFQNPDWETEFNRFENAISSGSAAIRVRSVLKLSALTNRVPERYISLSIPILAGLIRVSDDSNRSVQAAAAHCLKRIACCGGEENGFAVTMGRCGVIASLLGLLLEANTNGNVFRRIWVKCLWSLVTFGSSIRIGLARLGGLEIVIRELNNWEDDGSRWYLLEILSALTTIRESRRVLVHSGGLKFLVEAAKVGNLASRERACHAIGLIGVTRRARRMLVEAGVIPALVDLYRDGFDKAKLLAGNALGIISAQTEYIRPVTEAGSIPLYVELLSGQDPMGKDIAEDVFCILAVAEGNAVLIAEQLVRILREGDNDAKLAASDVLWDLAGYRHSVSVIRESGAIPLLIELLRDGTLEFRERISGAISQLSYNENDREAFSDSGMIPILIEWLGDESEELRDNAAEALINFSEDQEHYARVREAIGHPVFQSMQSRLARIRASHELMVRSMRRVTIEHLAHNHDLP >scaffold_302403.1 pep chromosome:v.1.0:3:8834505:8835575:-1 gene:scaffold_302403.1 transcript:scaffold_302403.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L18 family [Source:UniProtKB/TrEMBL;Acc:D7LAX4] MSCLVSPTCSLVPFDSNLSRSSRLTKLGSSISWQSSFPKLSIEIGSVISSPIVKKDSFVQAAWTRRSRGEAAKRPNRKSWKQRTDMYMRPFLLNVFFSRKFIHAKVMHRPTSKVISVATTNARDIRTNIPSLVDNEACRLIGKLIAERSMEADVYAVSYEPRKGERIEGKLGIVIDTIKEHGIIFVP >scaffold_302410.1 pep chromosome:v.1.0:3:8852500:8852930:-1 gene:scaffold_302410.1 transcript:scaffold_302410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNYLFNKVVSEKTDDAFGFGISMQKLLIGEERFDELCGWKNWRGKNKVPKWLSKSMGKGRMDEIIDSNMLEKMDEVPEEERCLLEVFLNLSERCIGFRGEVPNMVQVAKELKISRKNASPSSGETRI >scaffold_302415.1 pep chromosome:v.1.0:3:8872734:8874740:-1 gene:scaffold_302415.1 transcript:scaffold_302415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNAVIQVPSSLMPSSSMIACPRVSPNGVPYLPPKPRTRHLLVRAASNSDAAHGQPSSDEGKNPLTVVLDVPRNIWRQTLKPLSDFGFGKRSVWEGGVGLFIVSGATLLALSWAWLRGFQMRSKFRKYQTVFELSHASGICTGTPVRIRGVTVGTIIRVNPSLKNIEAVAEIEDDKIIIPKNSLVEVNQSGLLMETMIDIMPRNPIPEPSVGPLHPECGKEGLIVCDRQTIEGVQGVSLDELVGIFTRIGREVEAIGVANTYSLAERAASVIEEARPLLKKIQAMAEDAQPLLSEFRDSGLLKEVECLTRSLTQASDDLRKVNSSIMTPENTELIQKSIYTLVYTLKNVESISSDILGFTGDEATRKNLKLLIKSLSRLL >scaffold_302416.1 pep chromosome:v.1.0:3:8875406:8877004:-1 gene:scaffold_302416.1 transcript:scaffold_302416.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast aspartate carabmoyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LAY5] MSIASSLSSATLCGASVFPKALGYSSEFPSNLPSPSESSKICLTSFPASRDPKKNATWNLTRNVGPIQGIRCHAMQAGTRELKKFELSDVIEGKQFDREMLSAIFDVAREMEKIEKSSSQSEILKGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAARKAAATANIPVINAGDGPGEHPTQALLDVYTIQSEIGKLDGISVALVGDLANGRTVRSLAYLLAKFKDVKIYFVSPEIVKMKDDIKDYLTSNGVEWEESSDLMEVASKCDVVYQTRIQRERFGERLDLYEAARGKYIVDKDLLGVMQKKAIIMHPLPRLDEITADVDADPRAAYFRHADPRAAYFRQAKNGLFIRMVHGR >scaffold_302417.1 pep chromosome:v.1.0:3:8878205:8878596:-1 gene:scaffold_302417.1 transcript:scaffold_302417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLRHESEMHWAGEDWDEFITEDEEDHHYSSKTTRDGKTVVVTRDSKSSVPSHEIKIRLTKKQLHDLLSKVNVHDLTFHQQAAFSCPSLNNRGYEEANHQRLWRPVLQSIPEVN >scaffold_302422.1 pep chromosome:v.1.0:3:8897998:8899482:-1 gene:scaffold_302422.1 transcript:scaffold_302422.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease L-PSP family protein [Source:UniProtKB/TrEMBL;Acc:D7LAZ0] MTWSVFRSINSPTLDLSTALRSTRTPLVAAGVGCATFAGVSLFRMSSRSPPFASLSVSASSVKKEVVATEKAPAALGPYSQAIKANNLVFLSGVLGLIPETGKFVSESVEDQTEQVLKNMGEILKASGADYSSVVKTTIMLADLADFKTVNEIYAKYFPAPSPARSTYQVAALPLNAKIEIECIATL >scaffold_302426.1 pep chromosome:v.1.0:3:8906063:8908585:1 gene:scaffold_302426.1 transcript:scaffold_302426.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-domain protein kinase 9 [Source:UniProtKB/TrEMBL;Acc:D7LAZ3] MGNCFAKNHGLMKPQQNGHTTRSVEVGVTNQDPPSYTPQARSTQQPEKPGSVNSQPPPWRAAAAAPGPSPKTTSKSNSILENAFEDVKLFYTLGKELGRGQFGVTYLCTENSTGKKYACKSISKKKLVTKADKDDMRREIQIMQHLSGQPNIVEFKGAYEDEKAVNLVMELCAGGELFDRIIAKGHYSERAAASVCRQIVNVVKICHFMGVLHRDLKPENFLLSSKDEKALIKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRRYGKEVDIWSAGIILYILLSGVPPFWAETEKGIFDAILEGHIDFESQPWPSISNSAKDLVRRMLTADPKRRISAADVLQHPWLREGGEASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENIDTEEIQGLKAMFANIDTDNSGSITYEELKEGLAKLGSKLTEAEVKQLMDAADVDGNGSIDYIEFITATMHRHRLESNENLYKAFQHFDKDSSGYITIDELESALKEYGMGDDATIKEVLSDVDSDNDGRINYEEFCAMMRSGNPQQQQPRLF >scaffold_302431.1 pep chromosome:v.1.0:3:8922876:8927878:1 gene:scaffold_302431.1 transcript:scaffold_302431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNEIAFTMGDEPLLQKARIQEDIESDKGIGVNDSDGDGPVTLILLFTTFTTLCGTFSYGTAAGFTSPAQTGIMAGLNLSLAEFSFFGAVLTIGGLLGAAMSGKLADIFGRRGALGVSNSFCMAGWLMIAFSQATWSLDIGRLFLGVAAGVVSYVVPVYIVEIAPKKVRGTFSAINSLVMCGSVAVTYLLGSIISWQKLALISTVPCVFEFVGLFFIPESPRWLSRNGRVKESEVALQRLRGNNTDITKEAAEIKKYMEYLQEFKEDGFLDLFNPRYSRVITVGIGLLVLQQLGGLSGYTFYLSSIFKKSGFPNNVGVMISSVVQSVTSVLGIVIIDKYGRRSLLTVATVMMCLGSLITGLSFLFQSYGLLEHYTPISTFMGVLIFLTSITIGIGGIPWVMISEMTPINIKGSAGTLCNLTSWSSNWFVSYTFNFLFQWSSSGVFFIYTIISGVGILFVIKMVPETRGRSLEEIQAAITR >scaffold_302433.1 pep chromosome:v.1.0:3:8950735:8952070:-1 gene:scaffold_302433.1 transcript:scaffold_302433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLFLKAQQNTLSGSALAVVRSFPFPLGRDPSSLPSALTEKLDPNIVSLIDDRRISLRFRVSSLIELSELEKAAQISRLAVLKQYRGWDTKVLMCNEIIGAMCAAERYDDAFALYQFFDSESKLGHSAVSFNHVVKAHCDQNRVDEALKLCHPCRGYFRKDVDTFRSLAKGLVNTGRIYEAVGLIKYIRLYDSVVYSYLIRGFMDLGNHDKAYELFDDFNNKTEPDFTDGRNKILIWPSRTDGQNRRAVVDATFVDYWLKQGEDEKAMEIYRSLIIRKGELVCAATGNTLLEILLQNGKQTEAWDLFNAMITNSKNFDRETFGIMVNACFKLGHFKEALETFKRLEFTRSSSCYANIIAQFCERGMMSEAQDLFVEICSDQYLSPDVPTFRSMINGYAKAGRVDEAIVMLKKMVDATLLKFAVHESH >scaffold_302434.1 pep chromosome:v.1.0:3:8955915:8957234:-1 gene:scaffold_302434.1 transcript:scaffold_302434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHRSFLGLRIQTNNFSSMSRILLGKDPSSLPSTLTKKLDPNALSLIDKRPISLRFRVSSLIELCQLYQAALVSRLAVLENDGGLNKEHMIHNEIIGAMCAAERYDDAFALYQFFDSESKLGHSAVSFNHVVKAHCDQNRVDEALKLCHPCRGYFRKDVDTFRSLAKGLVNTGRIYEAVGLIKYIRLYDSVVYSYLIRGFMDLGNHDKAYELFDDFNNKTEPDFTDGRNKILIWPSRTDGQNRRAVVDATFVDYWLKQGEDEKAMEIYRSLIIRKGELVCAATGNTLLEILLQNGKQTEAWDLFNAMITNSKNFDRETFGIMVNACFKLGHFKEALETFKRLEFTRSSSCYANIIAQFCERGMMSEAQDLFVEICSDQYLSPDVPTFRSMINGYAKAGRVDEAIVMLKKMVDATLLKFAVHESH >scaffold_302449.1 pep chromosome:v.1.0:3:9021378:9026487:1 gene:scaffold_302449.1 transcript:scaffold_302449.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:POLGAMMA1 [Source:UniProtKB/TrEMBL;Acc:D7LB15] MGVSLRHLSPSSFWVSRRPRVSSSILSFLVPRRRILCTRRIAITKGNAGYSTATDCGGSHGFQHSGGHQRSSSVHFSGEWKLNLGSNTARMVPPTVKQAGAVSAWREEVNDKLRGRNGEHANNQDDAFGNYFRGFVPKLDDVQSYGNGQNFDYNLKPGTDITTLGRELNGFMQTNSVRGPVIALPSKDIEVGGKTDVTLKGDEDAHGKRKPLNSDTSLDSASYKEIATISISNVEKCTNLSKVRANLKKIYNRVLVVDNVSSAKETVAMLMNQYRNLVHACDTEVSRIDVKTETPVDHGEMICFSIYCGSEADFGDGKSCIWVDVLGENGRDILAEFKPFFEDSSIKKVWHNYSFDNHIIRNYGIKLSGFHGDTMHMARLWDSSRRISGGYSLEALTSDPRVLGGTETKEEAELFGKISMKKIFGKGKLKKDGSEGKLVIIPPVKELQMEDREAWISYSALDSISTLKLYESMKKQLQAKKWFLDGNLISKQNMFDFYQEYWQPFGELLAKMESEGMLVDRDYLAQIEIVAKAEQEIAVSRFRSWASKHCPDAKHMNVGSDTQLRQLFFGGISNSCNDEDLPYEKLFKVPNVDKVIEKGKKRATKFRNIKLHRISDRPLPTEKFTASGWPSISGDTLKALAGKVSAEYDYTEGVSDTCLEENIGDDDCISLPDEVLETQHSNTTVESDTSAYGTAFDAFGGGESGKEACHAIAALCEVCSIDSLISNFILPLQGSNVSGKDGRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIASPGNSLIVADYGQLELRILAHLASCKSMKEAFIAGGDFHSRTAMNMYPHIREAVENGEVLLEWHPQPGQEKPPVPLLKDAFASERRKAKMLNFSIAYGKTAIGLSRDWKVSIEEAQDTVNLWYNDRQEVRKWQELRKKEAIQNGYVLTLLGRARKFPVYRSRAQKNHIERAAINTPVQGSAADVAMCAMLEISKNQRLKELGWKLLLQIHDEVILEGPSESAENAKDIVVNCMSEPFNGKNILSVDLSVDAKCAQNWYAGK >scaffold_302451.1 pep chromosome:v.1.0:3:9037649:9038812:1 gene:scaffold_302451.1 transcript:scaffold_302451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLDLVRENLYLGDICAAAEILKNGSAEISHLLTVFHCPSISVFEEWRNVKLDSKQIKEMYVGDDDQDDSLQGKEFATESALPSGNLLYSLEHTGKDLKFTRMVVFAYDQEWENLLDLFDICLDFIDAGRKEKGVLVHCFAGQSRSASMVIAYLMRTEKLSREEALASLRQSAQASPNLGFLKQLDLFERMNFKVDRSSAIYKYFRLKALGYLYSKDKKFDRLKLRADPDVSNDESSGGSTYHCKKCRRILLFQEHVIDHTPGEADSEFDDMFKNMIGDVHNKNPGDQNQCTSIFVEPINWMNTVEDVVSEGKLLCPTCKAKVGSFDWSGSYCSCGSKIVPAFQLQMGRVDVITVKDDVKKRKKNKHDKKII >scaffold_302453.1 pep chromosome:v.1.0:3:9043302:9043995:1 gene:scaffold_302453.1 transcript:scaffold_302453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSALSNCVRRTIFSNQQRTIQEGLEESSWTMYFETEDGLGHYDDSSMMSDAASPMGSVEEDTASSPSNRTKGHSEMEDNTIEEKTMNDTKIEEKRLNKNGIMIEEYCAELKKRGLCLVPLSMLSNYIG >scaffold_302458.1 pep chromosome:v.1.0:3:9058563:9059260:1 gene:scaffold_302458.1 transcript:scaffold_302458.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-race specific disease resistance 1 [Source:UniProtKB/TrEMBL;Acc:D7KZT9] MNQDRDTERGGNCCTCCLSFIFTAGLTSLFLWLSLRADKPKCSIQNFYIPALGKDLNSRDNTTLNFMVRCDNPNRDQGIYYDDVHLNFSTINTTKINSSALVLVANYTVPKFYQGHKKKAKKWGQVKPLNNQTVLRAVLPNGSAVFRLDLKTRVRFKIIFWKTKSYGIEVGADVEVNGDGVKAQKKGIKMKKSDSPFPLRSSFPICVLMNLLLFFAIR >scaffold_302460.1 pep chromosome:v.1.0:3:9062039:9062492:1 gene:scaffold_302460.1 transcript:scaffold_302460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7KZU1] MKQRERVSAKWNLASHELHKPRWRKRSFVDFAHEKPFQYHNIELAFSTAFLLLASPLAVLHLYSGLLLPSPSCIPPLLCRYVSLFSPLLCINQEGIYGMFWLQRVILQKLHGLLLLEVSLRVLLLDFSSLIFTPQNV >scaffold_302461.1 pep chromosome:v.1.0:3:9062890:9063500:-1 gene:scaffold_302461.1 transcript:scaffold_302461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWFDFLRRLRQTFLIFNLTIDIINENDLFKHDWRKRSKAQVLQYVFLKWTLACLVGLFTGLIATLINLAVENIAGYKLLAVGHFLTQERYVTGLMVLAGANLGLTLVASVLCIWLDLW >scaffold_302467.1 pep chromosome:v.1.0:3:9099797:9100055:1 gene:scaffold_302467.1 transcript:scaffold_302467.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZU8] MEAVFRFNGPVAPAFKIDIQADGFTVTVPAGFTITVNPVAGSGVQIHPTLGGGFNVNGASPVAEDVKPPVS >scaffold_302468.1 pep chromosome:v.1.0:3:9100377:9104370:-1 gene:scaffold_302468.1 transcript:scaffold_302468.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate transporter/ sugar porter [Source:UniProtKB/TrEMBL;Acc:D7KZU9] MESPDERNGNDVRQPLLEKIPAKKEAEGEERLCIDEMLQRYCGEFGRWQLKHFVLTCIAWALEAFHTMVMIFADQEPEWRCVGSDCRVGSSNCDMDPSSWEWTAGKGSSTVSEWGLICGEKYKVGLVQALFFAGCMIGAGVFGHLSDSKLGRKGSLTVVCIINAIFGIATAFSPNYWTYVVLRFLTGFSTGGVGLTAFVLATEPIGPSKRGVAGMSTFYFFSAGIALLSGIAYVFRSWRELFIVSSLPSLLFLLIVIPFISESPRWYLVRGKVDEAMKLMHLIAKTNGRHIPAGVTLALDDDVENKNGERNTAVEGSLKDVILSPLMRIRLLISVAISFTVSIVYYGLSLNVVNLKTNLYLNVFVNAVSEMPAFAITAVLLDKYGRKPLSIGTQWFSCIFCLVGFAVWGAGPWKSVRMVSGVLGIFGMAGTYNLLFIYIAELFPTVVRNAALGCATQAAQMGAILAPFVVVLGEELPFGVFAVCGLVGGGLAFYLPETLNKPLYDTMSGMHEAESESNRGRGEVIC >scaffold_302469.1 pep chromosome:v.1.0:3:9107579:9108170:-1 gene:scaffold_302469.1 transcript:scaffold_302469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLMANPGSRRAKSREEPSIFSLLVGGSTSLMFWAFLTCYYLYASLFFDINLFASCSGEPDQAISHPWALNIVCRLVCLIAALVSGGFTVYFCAIIYADVRTKLRRFRGQNVSPRLGISQKLERAVVEKNKESLGKDEEEVCPICLEDVTIGFGYVRLRDCMHKFHRDCIDKWLLKSARCL >scaffold_302470.1 pep chromosome:v.1.0:3:9109385:9109933:1 gene:scaffold_302470.1 transcript:scaffold_302470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:D7KZV1] MAGRGKTLGSGVAKKSTSRSSKAGLQFPVGRIARFLKNGKYATRVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHSLLLPKKAGASKPSADED >scaffold_302475.1 pep chromosome:v.1.0:3:9121324:9122459:-1 gene:scaffold_302475.1 transcript:scaffold_302475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSNLPRDLVEEILSRVPLTSLKSVRSTCKKWNALTKYQSFTNKHIRNVARSGEREFLIMIMEYRAYLIGVNLHGIQNNNIDLSIKQKGKLISLDYSDEEYRTSHSQVFHCHGLLLFVNATSLVVWNPYRGKPKYIKRGYVQLGMFAFGYDKSCGSHKILRLFGHYLNNIEIYDLSSNSWMVPSGNLEWGMMYMRDGVSLKGNTYWCGKDKESVDYHLLCFDFTRERFGPRLLLPIKGFDGSLSAVKEEQLAVLIKRYDISAIEIWVTNKIEPDAVSWNIFLKFDMNLCDYAANFLIDEEIKVAVVFDTVRAWGSPKLTLTYTDIAYIIGENGCFRRVDLRKSRYPSPRPLACSYVPSSVQIK >scaffold_302478.1 pep chromosome:v.1.0:3:9138363:9141751:-1 gene:scaffold_302478.1 transcript:scaffold_302478.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fie [Source:UniProtKB/TrEMBL;Acc:D7KZW0] MSKITLGNESIVGSLTPSNKKSYKVTNRIQEVKKPLYAVVFNFLDARFFDVFVTAGGNRITLYNCLGDGAISALQSYADEDKEESFYTVSWACGVNGNPYVAAGGVKGIIRVIDVNTETIHKSLVGHGDSVNEIRTQPLKPQLVITASKDESVRLWNVETGICILIFAGAGGHRYEVLSVDFHPSDIYRFASCGMDTTVKIWSMKEFWTYVEKSFTWTDDPSKFPTKFVQFPVFTASVHTNYVDCNRWFGDFILSKSVDNEILLWEPQLKENSPGEGTSDVLLRYPVPMCDIWFIKFSCDLHLSSVAIGNQDGKIYVWDLKSCPPVLITKLSHNQSKSVIRQTAMSVDGSTILACCEDGTIWRWDVITK >scaffold_302486.1 pep chromosome:v.1.0:3:9174062:9175206:1 gene:scaffold_302486.1 transcript:scaffold_302486.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7KZW8] MNLNVVVLLFLLLISSATCCPPSDRRALLAFRSALHEPYLGIFNSWTGQDCCHNWYGISCDSLTHRVADINLRGESEDPIFERAHRTGYMTGHISASICDLTRLSAITIADWKGISGEIPKCITRLPFLRTLDLIGNQISGGIPYDIGRLNRLAVLNVADNRISGSIPKSLTNLSSLMHLDLRNNLISGVIPSDVGRLKMLSRALLSGNRITGRIPESLSNIYRLADVDLSGNQLYGTIPPSLGRMSVLATLNLDGNKISGEIPQTLMTSSVMNLNLSRNLLQGKIPEGFGPRSYFTVLDLSYNNLKGPIPRSISGASFIGHLDLSHNHLCGRIPVGSPFDHLEAASFMFNDCLCGKPLRACLKN >scaffold_302487.1 pep chromosome:v.1.0:3:9181247:9181503:1 gene:scaffold_302487.1 transcript:scaffold_302487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALTTGLIDPSLLLTAWSVHGFCLKINLDSHSYVRCGLVNMYSRCRCIASAYSVYNSICEPDLVIVIVSV >scaffold_302488.1 pep chromosome:v.1.0:3:9181858:9182102:1 gene:scaffold_302488.1 transcript:scaffold_302488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLSPKTEQPLSNLPKLLKDGNNKQKKGSQFAKFIAETKDKFVNPTKLARDVISSKLYEKIGYFA >scaffold_302490.1 pep chromosome:v.1.0:3:9202728:9205402:1 gene:scaffold_302490.1 transcript:scaffold_302490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNWLGFPLSPNNSSLPPHEYNLGLVSDHMDNPFQTQEWNMINPHGGGGGEGGEVPKVADFLGVSKPDENQSNHLVAYNDSDYYFHTNSLMPTVQSNDVVVAACDSNTPNNSSYHELQESAHNLQSLTLSMGTTAGNNAVDKASPSETTGDNTSGGVLAVAETATPRRALDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEDKAARSYDLAALKYWGPSTTTNFPITNYEKEVEEMKHMTRQEFVAAIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFEINRYDVKAILESSTLPIGGGAAKRLKEAQALESSRKREAEMIALGSSFQYGVGSSTGSGSSSSRLQLQPYPLSIQQPLEPFLSLQNNDISHYSNNNAHDSSSFNHHSYIQTQLHLHQQTNNYLQQQSSQNSQQLYNAYLHSNPALLHGLVSTSIVDNNNNNGGSSGSYNTAAFLGNHGIGIGSSSTVGSAEEFPTVKTDYDMPSSDGTGGYSGWTGESVQGSNPGGVFTMWNE >scaffold_302492.1 pep chromosome:v.1.0:3:9212805:9213043:-1 gene:scaffold_302492.1 transcript:scaffold_302492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKNVTNIFVALFISAVLMSSVSAATMESPAPSPGASSATVAFPVVGSIVAASLSAFLALLLQ >scaffold_302497.1 pep chromosome:v.1.0:3:9233678:9235620:1 gene:scaffold_302497.1 transcript:scaffold_302497.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding transcription factor (CBF-B/NF-YA) family protein [Source:UniProtKB/TrEMBL;Acc:D7KZY1] MGIEDMHSKSDSGNKIDSEVHGTLSSSINSLNPWHRAAAACNANSCVEAGDKSSKSIALALESNGSKSPSNREDNVNKESQVTTSPQSAGSDKNQESLHPGITQPPPHPQLVGPTVGWASSNPYQDPYYAGVMGAYGHHPLGFVPYGGMPHSRMQLPPEMAQEPVFVNAKQYQAILRRRQARAKAELEKKLIKSRKPYLHESRHQHAMRRPRGTGGRFAKKTNTEASPRKAEEKSNGRVTQSPTSSNSDQGEAWNVEYRTPQGDEMQSSAYKRREEGECSGQQWNSLSTNHPSQARLAIK >scaffold_302501.1 pep chromosome:v.1.0:3:9243451:9245101:1 gene:scaffold_302501.1 transcript:scaffold_302501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFISVDFQNCFIFIFLCLFSIVCYSIFFKKPKDSRGCNLPPSPPSLPIIGHLHLLLSSLSHKSLQKISSKYGPLLHLRIFNVPIILVSSASVELFVGGTDTSVQTTQWTMAEIINKPDVLVRLREEIDSVVGTSRLIQETDIPNLPYLQAVVKEGLRLHPPFPLLTRKFEERCEIKGFYIPEKTFLVINAYAWMRDPDSWEDPNEFKPERFLGSSRLGQEDERDEAQKYIPFGGGRRGCPGSNLASIFIGTAIGVMVQCFDWGIKGDKVNMEETFEGLTLTMVHPLKCTPVPRTQLSF >scaffold_302503.1 pep chromosome:v.1.0:3:9260112:9260328:-1 gene:scaffold_302503.1 transcript:scaffold_302503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCIASTDKGRIFLAGHNGLIYELLYTIGSPCRLVCCTPPPPMPVLCPDANEWDERYT >scaffold_302506.1 pep chromosome:v.1.0:3:9274660:9275343:-1 gene:scaffold_302506.1 transcript:scaffold_302506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYQATILAIFMIVLVLDCDDAMCVTMCKQKWKGSGGSCFQNVNLKSCLCAFPCQV >scaffold_302510.1 pep chromosome:v.1.0:3:9294192:9294604:-1 gene:scaffold_302510.1 transcript:scaffold_302510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTMTATFLPAIAKLPSATGGRRLSVVRASTSDNTPSLQVKEQCSTTMRRDLMFTAAAAAVCSLAKVAMAEEEEPKRGTEAAKKKYAQVCVTMPTAKICRY >scaffold_302515.1 pep chromosome:v.1.0:3:9320948:9323561:-1 gene:scaffold_302515.1 transcript:scaffold_302515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCVRSTLNPLRTPHSVTKRVTVKNPAFASVSFLRTLPEFKKYPKPCSLVMSCQGKVQNQQEERPQLSLDDLVTSNRKGEVLGTIKGSLSNCLSETNLLATVPGLKSRIKGKVRDIYDAGDYLILITTDRLSAFDRNLASIPFKGQVLNETSLWWFNNTQHITPNAIVSSPDRNVVIAKKCSVFPIEFVVRGYVTGSTDTSLWTVYNKGVRNYCGNELSDGLVKNQKLPANILTPTTKAADHDVPISPNEIVERGFMTQAEFDEASMKALSLFEFGQRVAKEHGLILVDTKYEFGRSSDGSILLIDEIHTPDSSRYWLAGSYEERFQKGLEPENVDKEFLRLWFKENSNPYEDEVLPAAPAELVTELAWRYIFLYETITGSRIDIIPTQEPIHDRISRNTSQALSSLRQL >scaffold_302519.1 pep chromosome:v.1.0:3:9329439:9329757:1 gene:scaffold_302519.1 transcript:scaffold_302519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGDISWSKFLTVSKFDLRHHLRFCLGMTFLVDQENKVVVSCNNSVFSDNIIQFLGKDKYIHEDQHGAKFPTPRLLTYVPGLVQIQQGI >scaffold_302523.1 pep chromosome:v.1.0:3:9343538:9344190:1 gene:scaffold_302523.1 transcript:scaffold_302523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKGNIVHLPEDLVVEITRVPAVSLRRLRSTSKGWNALIKDGRFAKKHSANAPRQSQVIMLMDSRVYLVSINLHRIDNDKAAPSTKINNRMVVWNPCSGETRWIQPRNSYEKSDSYALGYDNRSSSYKILRMDRFAGDIFQTEYEIFDFTFNLWRFVGVTTHWFIPRY >scaffold_302524.1 pep chromosome:v.1.0:3:9344660:9345089:1 gene:scaffold_302524.1 transcript:scaffold_302524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPNSIMDRATALSAFTEDQLCLLTHLDDNPLDLYVWVTTKIESNEVMSCSKFLTAKTNTKLSRWMNFLSDHENNVLVCCEKYGVPNDVFLHIVGEDKYIQVHYSGESKLTFQLTYYVPSLVQIQQGV >scaffold_302525.1 pep chromosome:v.1.0:3:9345845:9347177:-1 gene:scaffold_302525.1 transcript:scaffold_302525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRNLPEDLLVEILSKVPALSLARLRSTSKKWNALIKYERLLAKKHSANALMHSSSLTLVLIDYRHYVARFNLHGIHSNVAPSVKVTGQFILKGPLSNNSSEEVGIRNVIHYDGLLLCSTNDNRLVVWNPCLGETRWIQPRNSYKESDLYALGYDNQTQQRKMERFLHGIIFQTDIEVYDFTSNSWRVVVVGKSRNWVIIYGETYDISVNGHIVIWGHTHGMSVNGDTYWLASVQILEHEDHYQPFLLSFDFSTERFGSVSLPGDVYTIPLALSVTRQGRQLCMLLHGFFKSNVYDIWIASKSESTGAMSWSKLLTVWDDDIHNHFRFRQFGNGVSFLTDYEKEVLLSCNKPNQYSKNIINIMEKGKYTELEHHGAKPTHVHDSPTPFLFSYVPSLVQIQQGI >scaffold_302531.1 pep chromosome:v.1.0:3:9367691:9369595:1 gene:scaffold_302531.1 transcript:scaffold_302531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQTQSFALNLLPSQNFAKPIERREFISLKRDPSRPISLRCSVSTALDTPATASTHKPFPAEVSRSIMELSSVGTLSTLTHDGWPLGVGVRFAVDKDGTPVLCLNRSFSPDKRSALHVQLEQCGLRTPQCTIQGSIGRPGDDTVLKRLSATWREKFGEEVEEDSLYVVAVDRVLQMEDFMEDGIWVASSDYKNASPDPLRDVAEDIVNNINTNNMEDIFRFCNVYVDLDFVVSETKMIWMDRLGFDLRVWSPRGVYDVRIPFPMEVTDEKGAKSSFNGMSQLAWEVEKSYCPADFNKVKLFKQVVGSSHKGRQ >scaffold_302532.1 pep chromosome:v.1.0:3:9373114:9373625:-1 gene:scaffold_302532.1 transcript:scaffold_302532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCLFSEFWYEEDEFRCIHFRELPIRPPSFSDARIRRFSHPSLRLVQQYKGFHKECIEPISKHPYHPKHSLRLFQRINGFYSRKRCLCCLNYTYRQYVCSICDFTICDDCARELLEISKMCILRTK >scaffold_302539.1 pep chromosome:v.1.0:3:9411131:9415269:1 gene:scaffold_302539.1 transcript:scaffold_302539.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:D7L0Q9] MNGGDEAGASGDLPQPLDWRFSQVFGERSAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDYKNTSGARRDLEGTDYPLRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWSQTANGALFLLSTNDKTIKFWKVQDKKIKKICDINTDPSKTLGNGSVASSSNSNSTNTCLTNGGLPDKVNNSLSNDFSLPPGGIPLLRLPVVTTSHETSPVARCRRVYTHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHSKLFEDTETPSSRSFFTEIIASISDIKFAKEGRYILSRDYMTLKLWDINMDSGPVSTFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVSTGSYSNLFRVFGVSPGSTESTTLEASKNPMRRQVTTPSRPSRSLSSITRVVRRGAAESPGVDGNGNSFDHTTKLLHLAWHPTENSIACAAANSLYMYYA >scaffold_302540.1 pep chromosome:v.1.0:3:9418776:9419419:1 gene:scaffold_302540.1 transcript:scaffold_302540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPAAYYQTMMAKQQPQFAEQEQLKCPRCDSPNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGALRNVPVGGGSRKNATKRSTSSSSSSSPSSNSSQNKKTKNPDPDPDPRNSQNPDIDPTRMLYGFPIGDHDVKGMEIGGSFSSLLASNMQLGLGGGIMLDGSGWDHPGMGLGLRRTEPGTNNNNPWTDLAMNRVEKN >scaffold_302544.1 pep chromosome:v.1.0:3:9437155:9439841:-1 gene:scaffold_302544.1 transcript:scaffold_302544.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA methyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7L0R3] MLATTPVHGLRYVVPTRSWLQRFRCCSSSLASLSPSEFSGGNKTQKKLNDENINGSNEKTAPYYPKRGQTVELVCESLGFKGKGICKVDGTGFVVMCDRALPGERFLGRITRRKGSYAEVTKIKTISPHKDLVEAPCEYASYCGGCKTQNLSYEAQLKAKEEQVHELIRHVGRFSDNNPGLEIVLKPIVACDIQFNYRNKMEFSFGPQRWLPIEMLHEKEDGPKNFALGLHAPGFFDKVLNVDKCLLQSEPGNLVLAAVQDCWRDPALSLSPYNCRSHVGFLKHLMLRTGRNVETGSLELMVNFVTSSYEPELLKPLVDRISSIPQVVSIMNNVNSSVGNTSVGEKEYTLYGKDTITEVLRGLTFQISANSFFQTNTHQAEVLYKLIEESAGLKGDGSEVVLDLFCGTGTIGLTLARRAKHIYGYEVVPQAITDAHKNAQINGIENATFIQGDLNKIGEDFGNNFPKPDIVISDPNRPGMHMKLIKFLLKLKSPRIIYVSCNPATCARDLDYLCHGVEEKNIKGCYKLMSVQPVDMFPHTPHIECVCFLELACDHGCFI >scaffold_302551.1 pep chromosome:v.1.0:3:9471182:9474714:-1 gene:scaffold_302551.1 transcript:scaffold_302551.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7L0S0] MKFPLLGLLLLLSIVGSTTRAEEGPVCPKTETLSRASFPEGFMFGTATAAFQVEGAVNEGCRGPSLWDIYTKKFPHRVKNHNADEAVDFYHRYKEDIQLMKKLNTDGFRLSISWPRIFPHGRMEKGISKEGVQFYHDLIDELFKNDITPLVTVFHWDTPADLEDEYGGFLSERIVPDFVEYANFTFHEYGDKVKHWITFNEPWVFSRSGYDVGKKAPGRCSPYVKDFGHLCQDGRSGFEPYVVSHNLLVGHAEAVDAFRKCEKCKGGKIGIAHSPAWFEPEDVEGGQNMVNRVLDFIIGWHLDPTTYGDYPQSMKDTVGTRLPRFTNAQKAKLKDSTDFVGINYYTSFFSKTGKPDSRNPTWATDALAEFEPKTVDGSIKIGSQPNTAKMAVYAKGLRKLLKYIKDRYNNPEIIITENGYGEDLGDKDTDLSVALNDHNRKYYLQRHLLALNEAICEDKVNVTSYFLWSLMDNFEWQDGYTARFGVYYIDFKNNLTRMEKESAKWLSEFLKPGLKPSKSSKLHEEL >scaffold_302555.1 pep chromosome:v.1.0:3:9481840:9483301:-1 gene:scaffold_302555.1 transcript:scaffold_302555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQLRRAVGKIKEVERSSPSRVVVDRRSLPTEELSAANSSSPSTAAVDGVSDKGRRTSEDNVLEERDPKYDTMLNQMVGRIRAKPGGKAEMGEASVVETSKRPLPKLRNTTPESTRYEENPVPQGTLNVAQVRHIMLLFQGKAQDHHGPMSVNQIAEKYRIDVSQVQKITQFLSLPTEVTDKQKKQYE >scaffold_302564.1 pep chromosome:v.1.0:3:9523480:9527589:1 gene:scaffold_302564.1 transcript:scaffold_302564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKANQNFSAYQETQPIDSNPSSPSDSGEDKDSLLGDCDTQPFEDDAWINDQYMETQVIDIECDNEEFLLCNETQAVDLGFENGEEVFVEGTQLLEASDGLATQVLDLCDDEVVVDSEDDVTDVLEDNSELSDSDDSCSKAETVLSSEENRQDANEKVKSTVALDANWSNEHGVSGKKVARFASVRSSAFRASAVAARAANPNTDCSTLINCHSSGKGATHNSSLENSVGEVGNQQSLTSIFVEEKNDLRTANKTARKLFIEDLPEENCHSTDGNVDLGNLSYIDSQEPGEASQASALNLVDKLISECRLEFDFEVEADYGRKTEEKSKFVQIFKGPQELAKKVSYKSGAVGNDIFDWDDNREDEGGGDIYRRRKDEFFGVASKRREFSSLPREQKRELIPVAVDKRRASDSKLLQHSVTRSRKNIQGAKKNLGKELDEVREAVVLGNYTQVAAEAIDDLSSGACGKFDAEASCLTGKKLSPGEERGFSPGGVVTRQSKGTKRIQAMSKDELLKKRMKKASPSPAKACRRNIEGSLKGDQLDKEGPSEPISKTKSTRKRRDLGSIRVLFSQHLDEDVTKHQKKILARFDISEASSMKEATHFLADNFTRTRNMLEAIASGKPVVTTQWLESIDQVSIYVDEDMYILRDSKKEKEFCFNMGVSLARARQFPLLQGRRVFITPNTKPGLNTITTLVKAVHGLPVERLGRSALSEDKVPENLLVLSCEEDRATCIPFLERGAEVYSSELLLNGIVTQRLEYERYRLFTDHVRRTRSTIWIKDGKGKFQRRSG >scaffold_302565.1 pep chromosome:v.1.0:3:9527905:9528661:-1 gene:scaffold_302565.1 transcript:scaffold_302565.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7L0T3] MEISFSFNEAHAPVTINVPANVGFTINVKPVAGHVPPVGGGGGGLNVNVLPPAGGGGGGVEQVVKANPKVFFYLAVDGRLAGRIVIELFADTTPRTAENFRALCTGEKGMGKLGKPLHYKGSIFHRLVPYQMFCGGDITAGNGSGGECIYEDRFFEDENFIRQHTGPGFISMENRGPDTNESGFLIGLQEDSLLGRETVAFGQVVQGLTLLNALSRELGNRNNKPSKPLVIADCGQIS >scaffold_302569.1 pep chromosome:v.1.0:3:9539048:9540216:-1 gene:scaffold_302569.1 transcript:scaffold_302569.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine-containing phosphotransmitter 3 [Source:UniProtKB/TrEMBL;Acc:D7L0T7] MDLVQMQKSLQDYTKSLFLEGILDSQFLQLQQLQDESNPDFVSQVVTLFFQDSDRILNDLSLSLDQQVVDFKKVDPHVHQLKGSSSSIGAQRVKNACVVFRSFCEQQNVEACHRCLQQVKQEYYLVKNRLETLFKLEQQIVASGGMIPAVELGF >scaffold_302570.1 pep chromosome:v.1.0:3:9543298:9543958:1 gene:scaffold_302570.1 transcript:scaffold_302570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSSLLLPKTNSPASSETMANKSLTGLESLIKLLPTGTLFIYLLLNPVLTNDGECSTGNKVMSSILVALCSFSCVFSCFTDSFKGVDGSRKFGIVTKKGLWTYAEPGSVDLSKYKLRIADFVHAGFVLAVFGALVLLDANTASCFYPRFRETQKTLVMALPPAVGVASAAIFALFPSKRSGIGYAPIAEEVAVEEEAKKGSVSA >scaffold_302573.1 pep chromosome:v.1.0:3:9552439:9553036:-1 gene:scaffold_302573.1 transcript:scaffold_302573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTFKAIRDRTYSGVGDLIKLLPTGTVFLFQFLNPVLTNNGHCLLINKYLTGALIVVCAFSCCFTCFTDSYRTRDGYVHYGVATMKGLWPDSSSVDLSSKRLRVGDFVHSFFSLIVFSVISLLDANTVNCFYPGFGSTGKIFLMVLPPVIGVISGAVFTVFPSRRHGIGNPSDHNEDDASEIEK >scaffold_302575.1 pep chromosome:v.1.0:3:9564164:9564614:-1 gene:scaffold_302575.1 transcript:scaffold_302575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEIIRPQNCLVDRMRDSPATLFNSRKNHFHRKPPLRPAQRRRFGSDEFRTMTKNVVRRRGESFDSFSNIKVRKYSPEVSADDIYAGSSIFVVSPAPSSLPLPSFSRKNAKSQIVVVSVDDSASQDLRRLLRLEF >scaffold_302576.1 pep chromosome:v.1.0:3:9564814:9565434:1 gene:scaffold_302576.1 transcript:scaffold_302576.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L0U4] MTKMEKMASLSELRFLVTTHLSKHEWLGKTLFSSAFVSSGHEDHIKSHKGLPDYRVRLKRSHPRLARDPRRKIVLSGCFLVT >scaffold_302580.1 pep chromosome:v.1.0:3:9574181:9575603:-1 gene:scaffold_302580.1 transcript:scaffold_302580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVMTAADAGSLGGGNRALYGSPPSQNLFPHNLPIFSAFLAFALAQFLKVFTNWYKEKKWDSKRMISSGGMPSSHSATVTALAVAIGLEEGAGAPAFAIAVVLACVVMYDASGVRLHAGRQAELLNQIVCEFPPEHPLSTVRPLRELLGHTPIQVAAGGILGCVVAYLMRSTS >scaffold_302583.1 pep chromosome:v.1.0:3:9587575:9589816:-1 gene:scaffold_302583.1 transcript:scaffold_302583.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7L0V1] MDQSLEHQTQTHDQEDEIVAEGSAVVHGEPSQDGSVPPKVDSEAEVLDEKVSKQIIKEGHGSKPSKYSTCFLHYRAWTKNSQHKFEDTWQEQQPIELVLGKEKKELAGLAIGVSSMKSGERALVHVGWELAYGKEGNFSFPNVPPMADLLYEVEVIGFDETKEGKARSDMTVEERIGAADRRKMEGNSLFKEEKLEEAMQQYEMAIAYMGDDFMFQLYGKYQDMALAVKNPCHLNIAACLIKLKRYDEAIGHCNIVLTEEEKNPKALFRRGKAKAELGQMDSARDDFRKAQKYAPDDKAIRRELRALAEQEKALYQKQKEMYKGIFKGKEEGGAKAMSRNWLIVLWQWLVSLFSRIFRRHRVKAD >scaffold_302584.1 pep chromosome:v.1.0:3:9593813:9595569:-1 gene:scaffold_302584.1 transcript:scaffold_302584.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A B [Source:UniProtKB/TrEMBL;Acc:D7L0V2] MIKQIFGKLPRKPSKSLQNDSNGEGGVNSYYASNSSSSSISKPSSASSKSSSASGSRVANGTLAPNSLSSNKTNQGKKPLGGDAVVQAGPFPPSGGVYEALPSFRDFPISEKSNLFIGKLSMCCVVFDFSDPSKNVKEKEIKRQTLLELVDYVASVGVKFNDVAMQELTKMVAINLFRTFPSANHEGKILEMHDMDDEEPSLEPAWPHIQVVYEILLRFVASPMTDAKLAKRYIDHSFVLKLLDLFDSEDQREREYLKTILHRVYGKFMVHRPYIRKAINNIFYRFISETEKHNGIAELLEILGSIINGFALPLKEEHKLFLLRALIPLHKPKCSSVYFQQLSYCIVQFVEKDFKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQAAEFQRCMVPLFRQIARCLNSSHFQVAERALFLWNNDHIRNLITQNHKVIMPIVFPALERNTRGHWNQAVQSLTINVRKVFCDIDQVLFDECLAKFQVEEENKTEAKAKRERTWQRLEDLATSKTVVTNETMLVPRFVSSVNLASSSESTN >scaffold_302586.1 pep chromosome:v.1.0:3:9599201:9601257:-1 gene:scaffold_302586.1 transcript:scaffold_302586.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter [Source:UniProtKB/TrEMBL;Acc:D7L0V4] MVHVSSSHGAKDGSEEAFDYRGNPPDKSKTGGWLGAGLILGSELSERICVMGISMNLVTYLVGDLHISSAKSATIVTNFMGTLNLLGLLGGFLADAKLGRYKMVAISASVTALGVLLLTVATTISSMRPPPCDDFRRLHHECIEANGHQLALLYVALYTIALGGGGIKSNVSGFGSDQFDTNDPKEEKQMIFFFNRFYFSISVGSLFAVIALVYVQDNVGRGWGYGISAATMVVAAVVLLCGTKRYRFKKPKGSPFTTIWRVAFLAWKKRKESYPAHPSLLNGYDNTTVPHTERLKCLDKAAILKNESSPSSKDLEEKDPWIVSTVTQVEEVKLVMKLVPIWATNILFWTIYSQMTTFTVEQATFMDRKIGSFTVPAGSYSAFLILTILLFTSLNERVFVPITRRLTKKPQGITSLQRIGVGLVFSMAAMAVAAVIENARRESAVNNGTKISAFWLVPQYFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLSTISMGFFVSSLLVSLVDRVTDKSWLRSNLNKARLNYFYWLLVVLGALNFLIFLVFAMKHQYKADVISVVIDDDDLVEKEVKKKESSEFELKDIP >scaffold_302587.1 pep chromosome:v.1.0:3:9606275:9606675:-1 gene:scaffold_302587.1 transcript:scaffold_302587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLRHDNAIAGKEKDDLEPEPLVKLLEEGKTSYNGEEESERSTEEVSKVIRIKVVVTKKELRQILGHKNGINSIEQLVHVLKDSGRNISRANYEEDEKEEGNENWRPSLESIPESHY >scaffold_302592.1 pep chromosome:v.1.0:3:9635150:9635685:-1 gene:scaffold_302592.1 transcript:scaffold_302592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKTLVACFLVIILAVSLSNNNVLASDAGIENFSFDNCHIRCYGRDECMNYCFKIGFKKGGQCGSICITCPMKCCCQM >scaffold_302595.1 pep chromosome:v.1.0:3:9642729:9644200:1 gene:scaffold_302595.1 transcript:scaffold_302595.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L0W3] MKIELVFIPSPGVGHIRATTALAKLLVDSDDRLSVTLIVIPSQFSGDASSSLYAKSEDRFRYILLPAGDQSTEHTFVSYIDSRKPQVTDAVSELARDVSTRSDSRLAGIVVDMFCTSMIDIADDFNFPAYIFYTSNASYLGLQFHVQSLYDEKKLDVSELKDSDVKFDVPTLSQPFPAKCLPSVMLDSKWFPYVVGRARSFRETKGILVNSVAEMEPQALKFFSGENGNTNTPPVYAVGPIIDFETSGDDEKRKEILRWLKEQPKKSVVFLCFGSMGGFSEEQSREIAVAIERSGHRFLWSLRRASLVENMTNAPPEEFTNLEDILPKGFLDRTVEIGKIISWAPQVDVLKSPAIGAFVTHCGWNSILESLWFGVPMAAWPIYAEQQFNAFHMVEELGLAAEVRKEYRRDFLVGEPEIVTAEEIERGIKCAMVQDRRKMRKRVMEMKDKLHVALVDGGSSNCALKKFVQDVVDNVQ >scaffold_302598.1 pep chromosome:v.1.0:3:9661220:9662680:1 gene:scaffold_302598.1 transcript:scaffold_302598.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7L0W6] MTTMKQLNIAVAVAVTVLIGMLGSSEAQLQMNFYAKSCPNAEKIISDHIQNHIPNGPSLAAPLIRMHFHDCFVRGCDGSVLINSTSGNAERDAPPNLTLRGFGFVERIKALLEKVCPKTVSCADIIALTARDAVVATGGPSWNVPTGRRDGRISNVTEATNNIPPPTSNFTTLQRLFKNQGLNLKDLVLLSGAHTIGVSHCSSMNTRLYNFSTTVKQDPSLDSEYAANLKANKCKSLNDNTTILEMDPGSSRTFDLSYYRLVLKRRGLFQSDSALTTNSATLKVINNLVNGPEQKFYEAFAKSMEKMGRVKVKTGSAGVIRTRCSVAGS >scaffold_302601.1 pep chromosome:v.1.0:3:9665266:9666792:-1 gene:scaffold_302601.1 transcript:scaffold_302601.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L0W8] MKFELVFIPYPGIGHLRSTVEMAKLLVDRETSLSISVIILPFMSEGEVGASDYIAALSASSSDRLRYEVISAEDQPTAEMTTMEIHIKNQVPKVRHAVEKLVEGYSTKPNSPRIFGFVLDMFCTSMVDLAKEFSVPSYLFYTSSAGILSLAYHVQMLYDENKYDVSESDYADSEAVLDIPSLTRPYPVKCLPHALASKMWLPMFVNQARKFREMKGILVNTVAELEPHVLKFLSSSDTPPVYPVGPLLHLENQVDDSKDEKRSEILRWLDEQPPSSVVFLCFGSMGGFNKEQVREIAIALERSGHRFLWSLRRASPNIFKEPPREFTNLEEVLPEGFFERTKEKGKVIGWAPQVAVLANPAIGGFVTHCGWNSTLESLWFGVPTAAWPLYAEQKFNAFLMVEELGLAVEIRKYWRGDHLAGVPTVTVTADEIEKAIMCLMEQDSDVRKRVKEMSEKCHVALMDGGSSRIGLQKFIEDVTKNIVSLDKEFVPVRS >scaffold_302603.1 pep chromosome:v.1.0:3:9675133:9678650:1 gene:scaffold_302603.1 transcript:scaffold_302603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSMYKTKLCILFKKTGDCSRPNCSFAHGNAELRRPGESSFTGNDFKDSNSQIGRRHNMDSDLRDRLGRQFSPERRPSLDRSGRRVQRFSGHDNPRPFENRRDNEYRENRRFDERRNYAGGLKAGNRIEDRAEDGRNKFQGYNNVLEEQLKDVEMDVKMLTDDKQRLEASVERKAHEVDILSSRIHELETQLDREKEECRRITSNTKKFVKEYNRFLRAQDDLKRSEARLQKLGNQLSTYLAGSEGNNRDAGVDIVSDEENNGRNLRAACDPQNELQNTSSLSRKKHYVDQYTTKEPVEDGLIGRGEEEKVEKEKKRPCWNMVSSKSYSEEESGAWNDEDTINKSSSKEDNWKRRRISIGTSSTDKVISSTSMAAREFDDDAESEEENPEAAKGSPLISLPPPPPFRDAHVQGDEDDVSVDVMEQKKANDDDSV >scaffold_302604.1 pep chromosome:v.1.0:3:9678937:9681808:-1 gene:scaffold_302604.1 transcript:scaffold_302604.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L0X1] MDSVCKTDEKIAALLSPLPTLQQQEYFNKLITSRRCNGIEVKLNETIGKGVYVNSEFQEDELILKDQILVGIQHSSNKVDCLVCSFCFRFVGSIEKQIGRKLYFKNLGVSGCCDGDSSESGEDECVKYNGNEEQCGGSSSSHNTLPEGVVSSLMNGEMALPYTDMFPLPSPLSCPGGCQEAFYCSESCAEADWESSHSLLCTGEKSESNSREALGEFIKHANDTNDIFLLAAKAIAFTILRYRKLKAEHVDKKAKQSEPKQSLLLEAWKPVSIGYKRRWWDCIALPDDVDLSDEGAFRMQIKNLACTSLELLKTAIFDKECEALFSLEIYGNIIGMFELNNLDLVVASPVEDYFLYIDDLPDAEKEEAEEITRPFLDALGDEYSDCCQGTAFFPLQSCMNHSCCPNAKAFKREEDKDGQAVIIALRRISKNEEVTISYIDEELPYKERQALLADYGFSCKCSKCLEDSSAV >scaffold_302605.1 pep chromosome:v.1.0:3:9683198:9685112:-1 gene:scaffold_302605.1 transcript:scaffold_302605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVCKTDEKIAALLSPLPTLQQQEYFNKLITSRRCNGIEVKLNETIGKGVYVNSEFQEDELILKDQILVGIQHSSNKVDCLVCSFCFRFVGSIEKQIGRKLYFKNLGVSGCCDGDSSESGEDECVKYNGNEEQCGGSSSSHNTLPEGVVSSLMNGEMALPYTDMFPLPSPLSCPGGCQEAFYCSESCAEADWESSHSLLCTGEKSESNSREALGEFIKHANDTNDIFLLAAKAIAFTILRYRKLKAEHVDKKAKQSEPKQSLLLEAWKPVSIGYKRRWWDCIALPDDVDLSDEGAFRMQIKNLACTSLELLKTAIFDKECEARIQPLHFEYDLYIVSTS >scaffold_302606.1 pep chromosome:v.1.0:3:9690146:9690414:-1 gene:scaffold_302606.1 transcript:scaffold_302606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKVMEKDQLTLFDLINAASYLDIQSLLDLACQTASDMSKAKTLDQTREFFNIENDFTPEEEKAVLKDYQKAFE >scaffold_302607.1 pep chromosome:v.1.0:3:9693111:9693617:-1 gene:scaffold_302607.1 transcript:scaffold_302607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKMISLTSSDGQTFEIKEDAARQCQIINHMIEDDCADREIPLPNVTGKILAMVLEYCKKHHVDDANPSTDEDLKKWDEKFMEKDQLTLFDLINAASYLDIQSLLDLACQTASDMSKAKTLDQTREFFNIENDFTPEEEKAVLKDYQKAFE >scaffold_302608.1 pep chromosome:v.1.0:3:9695448:9695946:-1 gene:scaffold_302608.1 transcript:scaffold_302608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKIIKLKSSDGESFEIKEEAARQSQTIFHLIDDDCTDKEIPVPNVTGKILSMVVEYLNKHHVGDANPSTDEDLKKWDAEFMQIDQSTIFDLIMAANHLNIKSLTDLTCQTVADMIKEETPKQIRQRFNIENDFTPEEEKAVLKNYQKAFE >scaffold_302611.1 pep chromosome:v.1.0:3:9702076:9703501:1 gene:scaffold_302611.1 transcript:scaffold_302611.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (B-box type) family protein [Source:UniProtKB/TrEMBL;Acc:D7L0X8] MEPKCDHCATSQAVIYCKSDLAKLCQNCDFHVHSANPLSHRHSRSLICQKCFSQPAVIRCLGEKVSYCQRCHWHASNCSDLGHRVQRLNPFSGCPSPTDFVKMWSSILEPSVSSLVSPFVGSLPLNDPNNTMFGMAKINELDGLIGSPYSMVPHSFNVTQNFSDQLSFFSVESKGYPDLVLKLEEGEEDLCEGLNFDNAPLNFDVGDDIIGCSLEEPIEPDHTVPNCLLIDKNNTSVTASNFTIDKAFEASSPGQQMNINTGLPLPLSPVLFGQIHPSLNISNVTGESNAADYQDCGMPPGFITSEAPWESNLEVSCPQASTQAKLRYMEKKLSAPRADTRKRVKGRFVKAGDNYDYDPSSPTTNN >scaffold_302612.1 pep chromosome:v.1.0:3:9704420:9704835:-1 gene:scaffold_302612.1 transcript:scaffold_302612.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (B-box type) family protein [Source:UniProtKB/TrEMBL;Acc:D7L0X9] MCRGLIFNEEESRRSHGDGCRSLCTRPSVPVRCELCGGDASVFCEADSAFLCRKCDRWVHGANFLAWRHVRRVLCTSCQKLTRRCLVGDHDFHVVLPSVTTVGEATVESRSEQDNHEVPFVFL >scaffold_302613.1 pep chromosome:v.1.0:3:9705747:9705984:1 gene:scaffold_302613.1 transcript:scaffold_302613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKCNYYAISQTVIYCKSDLAKLCQNCDFHVYYANPLSHRYTCSLICQKCFSQPAAIRCIMRI >scaffold_302614.1 pep chromosome:v.1.0:3:9706932:9707124:-1 gene:scaffold_302614.1 transcript:scaffold_302614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVAGDDELDPVVGAEPPTEAATPRVLTIISHVMEKLVARNEWLAKQTTX >scaffold_302617.1 pep chromosome:v.1.0:3:9717113:9717354:1 gene:scaffold_302617.1 transcript:scaffold_302617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKCNYCATSQTVIYCKSDLAKLCQNCDFHVYYANPLSHRYTCSLICQKCFSQPAAIRCIMRI >scaffold_302618.1 pep chromosome:v.1.0:3:9721365:9721830:1 gene:scaffold_302618.1 transcript:scaffold_302618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHTSRKRRPDLSLSRTDYLSSEMLAPTKSPALNRIRIRNLFLLLLFVYVVILLLFSFSPLRRAQFPSLARSLAISPTRRRHLLFSIASSHDSWLRRSSYVCLWYSPKSTRAFVFLDRGGFESDLRNWVSSGKQSLSSSET >scaffold_302621.1 pep chromosome:v.1.0:3:9727937:9728942:1 gene:scaffold_302621.1 transcript:scaffold_302621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSSISKSLVLVPILVVMATQLLLMRTVSSLNMTNAYLHHKCLVSQAMMAFGDEPDMVSVTFLCRGDSYGPKCRSCFATAQSELRKRCPRDKGGLIWYDHCLVEFSSSDTTGQINYDDGFCMPSAKNVSGDRISFEKSLLVLISDLTRIAVTKNQKPALYAAGEKRLGKKKLYVMVQCMLDLSDKGCEECMSHNVVHYQDCYKQKQGARVLGRSCNFRFELYPFVDQKSSPK >scaffold_302622.1 pep chromosome:v.1.0:3:9730292:9733673:1 gene:scaffold_302622.1 transcript:scaffold_302622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLSSESKRFILVPILVVVAAQLLLVHSVSSLNLTNSYLQHKCLVNQGKYKPGSQHEKALNDIIQSFSKDSEGFHTGFSMEAYGKEPDIVAITYQCRIDSRGPKCHSCVVTAGSEIRTYVLVIGHRVLHGVLENVLVTPASGNALSTARHRRNVGSTGSHRVFSLRDFRAVLQGNEANELGICLESCDPTVDQFEGSHLVFVAVGSDPFDVITKAVKAVEQHLQTFSHRERKKERSRVMLRFVDLVEKHSEELASLETWDNGKPYQQSKTVEIPMFARLFRYYAGWADKIHGLTVPVDGNYHVQTLHEPIGVAGQIIPGNFPLLMFAWKVGPTLACGNTTVLKTAEQTPLTAFYAGKLLLELAFTGSTDTVKVILGLAANSNLKPVTLELGGKSPFIVFEDADIDKAGQCCCAGSRTFVHEKVYDEFVEKSKARALKRIYNVFMIVCN >scaffold_302623.1 pep chromosome:v.1.0:3:9736761:9737384:1 gene:scaffold_302623.1 transcript:scaffold_302623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKHLLRKRCPRDKGAIIWYDQCLVDFSSLDTFGQINYDDNFCMPSTKNLIGDSISFEERLRLLDNLTEMAVTKIDRNIKGIKKAVLYAAGERRLGKNNLYGMVQCSGDLSVQGCNECMTYYTVHFQNCWKSKQGVRVLSRSCNFRYELYPFINPKGPYYTKF >scaffold_302625.1 pep chromosome:v.1.0:3:9742002:9742618:1 gene:scaffold_302625.1 transcript:scaffold_302625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSSISKRFVLYLTVVVVTTQLLLVHSVSSLNLTNSYLHHKCLVSLGKYKPGSEYEKSLDDIIQSFSNKDKNSYGFRTGFSMKAYGKEPDMVAITYQCRVDSRGPKCQSCVVTAGYELLRKRCPRI >scaffold_302635.1 pep chromosome:v.1.0:3:9784724:9785170:-1 gene:scaffold_302635.1 transcript:scaffold_302635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKTTILIIFGFYLSCMLLVGIFGVQVESCRTDEECRLLCSDEGAECILRVCNCSKLKVETEPTKAKRCKTDRDCPVSHPCPKDYYYACLNNGECTCIAV >scaffold_302643.1 pep chromosome:v.1.0:3:9812158:9812621:1 gene:scaffold_302643.1 transcript:scaffold_302643.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1K7] MKHVTKGRTHANQPPITKEIMRHLKPGGGVFPQSRRSSRAMGRIRSMLRSLSLMVVHRQTAASRSSKPPKTGQHSSLARLFPSPM >scaffold_302646.1 pep chromosome:v.1.0:3:9840765:9841388:-1 gene:scaffold_302646.1 transcript:scaffold_302646.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L1L0] MANPNEWSQFYNNNQTFFTTSTTASTAVTTTTAGDTTSIDSRLSPETGRVTKPTRRRSRASRRTPTTLLNTDTSNFRAMVQQYTGGPSAMAFGSGSTTSGFSLTSSSDPSAGSSQQALWQYNFQPHAPLQPPQRPYMFSLNNVNPVVGYSNMNNPNTVVSGVFGTVDGSGGGGSAPSSKEATNSNTSSSRFQ >scaffold_302647.1 pep chromosome:v.1.0:3:9847011:9847698:1 gene:scaffold_302647.1 transcript:scaffold_302647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFCRWHSAGTFDCQSRTGGPFGTMRFDAEQAHGANSGIHIALRLLDPIREQLLTISFDKPQPPPEGRLPDATKGFDHLRDVFAKQMGFSEKDIVALSGAHTPISPFQS >scaffold_302651.1 pep chromosome:v.1.0:3:9864141:9866388:-1 gene:scaffold_302651.1 transcript:scaffold_302651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGRWIKALVGFTKSDKSKSSKKDENVKVASKSRFGRKNSVDFDFEKFQDGFEESNTRSMIDTGVSTSNSLQSYGGVAYEERSRENRAATRIQTAYRGFLARRALRALKGLVRLQALVRGHAVRKQAAVTLRCMQALVRVQARVRARRVRLALELESETGQQTLQQQLADEARVREIEEGWCDSIGSVEQIQAKLLKRQEAAAKRERAMAYALTHQWQAGTRQLSAHSGFQPDKNNWGWNWLERWMAVRPWENRFLDSNLRDDAKLGENSMEQSENVPKTQMKSVSKVPNTSNLVSGISSQMTGPCQSDGNSSSPGISSSIPVVSKAKSKPAKDDLAVEVNSRPGAGPRSHSNPKERSREPNRSSKERLSLPNSGKSLGSQTAKGNRAKLTTTSQNVVEEKSAQNQRRRNSDPIKQRLA >scaffold_302653.1 pep chromosome:v.1.0:3:9875658:9876078:-1 gene:scaffold_302653.1 transcript:scaffold_302653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSHIALPVLGIVAVSVVTFYAVSFAEIREKSFKDVYDSENEVGFKTSLSSRERRSRREANKKRPRS >scaffold_302656.1 pep chromosome:v.1.0:3:9885819:9886365:-1 gene:scaffold_302656.1 transcript:scaffold_302656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSEHNDFSGTVQRSSQTSSESSYTSPPPPIGYPTRDAVVGDPPAVATETKSKNLEETAKICGCLGACCNCLTACCNFLTCVSG >scaffold_302657.1 pep chromosome:v.1.0:3:9886770:9887076:-1 gene:scaffold_302657.1 transcript:scaffold_302657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKNKAVILLQGRYAGKKAVIIKSFDDGTSDRRYGHCLIAGLKKYPSKVIRKDSAKNTAKKSIVKCFIKVVNYQHLMPTRYTLE >scaffold_302658.1 pep chromosome:v.1.0:3:9891615:9892191:-1 gene:scaffold_302658.1 transcript:scaffold_302658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSEQNYVSVEKPSETSPGPYTSPPPIGYPTRDAMVGDPPAVALETKSKGAPRPRSHIGCIKSCCNCIAKNLEELDIQDGPGGGGD >scaffold_302659.1 pep chromosome:v.1.0:3:9907084:9907461:-1 gene:scaffold_302659.1 transcript:scaffold_302659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSEHNYFSVQKRSQISSGPDTSPSPPIGYPTTDQVVGDPPAAAVKTKSKGGGRFIVAILQYIHIFL >scaffold_302661.1 pep chromosome:v.1.0:3:9922616:9925465:1 gene:scaffold_302661.1 transcript:scaffold_302661.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L1M3] MKVTQKPKIIFVPYPAQGHVTPMLHLASAFLSRGFAPIVMTPESIHRRISATNVDLGITFLALSDGQDRPDAPPSDFFSIENSMENIMPSQLERLLLEEDLGVACVVVDLLASWAIGVADRCGVPVAGFWPVMFAAYRLIQAIPELVRTGLVSQKGCPRQLEKPIVLPEQPLLSAEDLPWLIGTPKAHKNRFKFWQRTLERTKSLRWILANSFKDEYDDVNNHKASYKISKDFNKENNGQNPQILHVGPLHNQEATNNITITKTSFWEEDISCLGWLQEQNPNSVIYISFGSWVSPIGESNIQTLALALEASGRPFLWALNRVWQEGLPPGFVHRVTITKNQGRIVSWAPQLEILKNNSVGCYVTHCGWNSTMEAVASSRRLLCYPVAGDQFVNCKYIVDVWKIGVRLSGFGEKEVEDGLRKVMEDQNMGERLKKLRDKAMGNEARLNSEMNFTLFKSEIK >scaffold_302662.1 pep chromosome:v.1.0:3:9928457:9928804:-1 gene:scaffold_302662.1 transcript:scaffold_302662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLETESSNSIIGGLADEVTVVNGQSNGSVDLTYQGVPGVYFEATTGKAYPKSEDIRCDQFDVAFQAVELWIADRLVLPIET >scaffold_302669.1 pep chromosome:v.1.0:3:9952587:9954948:-1 gene:scaffold_302669.1 transcript:scaffold_302669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAPENRIFVETIARLVSQKGLELERTLMSIDTNFNGEIFRFFCNSDPSNVFYKQTLNEYLNSKNQEDDDYEEEADFPSPPSRYRYLSFRCPNSITRTDLNIIKLTAQFVARYGMYFVQGLREKVANKSQFEFLKSMNIRFSFYNGLVRAYSTVLRPCSDEIMMKISIAFVGVFEVFFDRLQLEKLEEGDVMAMIDLHAFVSGVDSFAYMDDQDFNDAMREPGRISMMMLQFSDIKPLGSNQLTTITTTTTTQGCDQRRCSRAYRLFEKRVTLKELCIIKLTAQFVARYGPRFRQDLMERVAMNPLFEFLKPTDNRSRFFNFIEYAYSRVLLPSDHLWKSVDGCTGAVLEFFSKCLQLEKLEDGVEMATVDLHALFGGLDCFTHLNDGTYSYIPPPERLSTFMRSQLCNPQNHQVCVQEPVLGPIRLDDTKSRFTAKGITTKELGMIMLTAQFVARYGFHFGWDLRKRVVMNRQLGFMKRADRRHEFYRGLVNAYYLVLRPSTQREERDPCMATFLERFFDILRVMKLEEEEVEGVGLHVFVGVVDCFARMDDEEYSVVMPPTLWRPPGLPPPKRSPAADIHDYCVDLDNPEPHPFRDHRLRPASIQSHAKLCLTHKQLCVIKVTAQFEARYGMDFMRALMTRVAEKTPQQFEFMEATSGRRFDFYSQLVESYSRILMPCKKLDADTVLEGFFRLVDCFQQERVDFPMGLVDAVDCFAHMNDVISPLHNHNITLVYTGQANVQCPPPSMQPEPKRRRTVSGEIHSEGDTGY >scaffold_302674.1 pep chromosome:v.1.0:3:9967978:9969139:1 gene:scaffold_302674.1 transcript:scaffold_302674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSDLPRDLVEEILSRIPATSVKRLRSTCKLWNTLFNNRKFTEKNFRKAPKESMVLMLKECRVRSMSVNLNVAPPSLEFKGALGLKDSHSNTEQVNITKVSHCDGLLLCTTGDDRLVVWNPCLGETRWIQHKTGYERYSRFSLGYENNKSCRSYKILGCWDRIYDYKLNGRGLRFEIYDINSDSWKVLDDLAHDLILPVNCVSLKGNTYWFGSFVNNLLLSFDFTTERIKHFCLPPSRDHGCIALSVVGEERLSVLQPIERSKMEIFVTNKVDSEAALLWSKSFTVDLPIGLSFPEVFASLLIDEEKKVALCCNLALKTGRNLVYTIGEDSEYYSEIPYVESTNEPWWIAAEDKPCWLPFIFSYVPSLVQIL >scaffold_302679.1 pep chromosome:v.1.0:3:10006468:10006694:-1 gene:scaffold_302679.1 transcript:scaffold_302679.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1P2] MVSKGRPSSRSRNHIHQNLLMQGKNHFFYPVKSHTFHSPQQPFELSFLLYQTSCQSTSTMK >scaffold_302686.1 pep chromosome:v.1.0:3:10036667:10036975:-1 gene:scaffold_302686.1 transcript:scaffold_302686.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1P7] MAAVDVAAGAAAGIQSPKLNHRKSRSVEDWLTAEDWQVMFVNWDIFLPINQALIPM >scaffold_302696.1 pep chromosome:v.1.0:3:10062981:10069353:-1 gene:scaffold_302696.1 transcript:scaffold_302696.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATECP31 [Source:UniProtKB/TrEMBL;Acc:D7L1Q7] MSQEQPRRPKEPVKYGDVFEVSGELADKPIAPEDAKMMQSAETHVFGHTQKGGPAAVMQSAATTNIRGGFVHPGDKTELVAERGATVEQTVPATTVTTEFVAGQVVGQHVEPRRIVAAARTDEEALQSTITIGEALEATVKTAGNKPVDQSDAAAIQAAEMRASGTNVIALAGVAASAQSAADHNATVDRDERKIKLSDVLTGAAGKLSADRAVTREDAEGVVSAEMRNNPKLCTHPGGVAASLTVAARLNERVDI >scaffold_302700.1 pep chromosome:v.1.0:3:10082569:10082944:-1 gene:scaffold_302700.1 transcript:scaffold_302700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVRCECCGLMEDCTQDYISEVKSNFDNKWLCGLCSEAVRDEVSRRKMTTVDEAVKAHVSFCGKFKKDNPAVHVADGMRQMLRRRSGDLTTSSTSKKFGRSNTTKLY >scaffold_302702.1 pep chromosome:v.1.0:3:10095401:10095626:-1 gene:scaffold_302702.1 transcript:scaffold_302702.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L1R3] MRQEAVNADVSLYGPYLLHVEPTLSNSEGYIAGPFSDSNRERLDSDLTDGHDDMMDCVHEP >scaffold_302707.1 pep chromosome:v.1.0:3:10104887:10106131:-1 gene:scaffold_302707.1 transcript:scaffold_302707.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:D7L1R8] MECVFGLVGNGFAIVAADTSAVHSILLHKNNEDKIMVLDSHKLVAASGEPGDRVQFTEYVQKNVSLYKFRNGIPLTTAAAANFTRGELATALRKNPYSVNILMAGYDNESGASLYYIDYIATLHKVDKGAFGYGSYFSLSTMDRHYRSDMSVEEAIELVDKCILEIRSRLVVAPPNFVIKIVDKDGARDYAWRQSVKDVTTAAV >scaffold_302715.1 pep chromosome:v.1.0:3:10131947:10132235:-1 gene:scaffold_302715.1 transcript:scaffold_302715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIEAMLDEISQRASSLGHVPDLSNALMDVDEKEKIFMLSRHSEKLAVANGLISSSKGTTVQIVKNLRVCSGDYRNISKR >scaffold_302718.1 pep chromosome:v.1.0:3:10137732:10138774:-1 gene:scaffold_302718.1 transcript:scaffold_302718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDLPLDLEEEILSRVPATSFKRLRSTCRRWDALLKDQKFTEKHSRKAPKESMVLMLKEYRVCPISVNLNVTPPSIEFKGALGYSHSSSEQVEITEVIHCDGLLLCTTNDNRLLVWNPCLGETKCIQLKVDYGRNYSSFALGYIQNNESCRSYKILWSWSSKDYESSPPERGLGFEIYEFSSDSWRVLDDINHDSLVKHNSVLGSGVSLKGNTYLFAYDVEENSRFLLMFDFTTERLKRLCLPHFQDVGHMVLSVVREEHLSILHWTRTTSKMEIWITNNIDTDATLLWRLHLHTRCNCVRIFSSLLIDEEKKVVLCCNVNDDETSKNMDIT >scaffold_302726.1 pep chromosome:v.1.0:3:10156872:10158627:-1 gene:scaffold_302726.1 transcript:scaffold_302726.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRNETKASPENNLRNRGAVGNNSKKDMIFRADKIDLKNLDIQLEKHLSRVWSRSIEKHPKPKEEWEIELAKLEMRNVIARGAYGIVYKGIYDGQDVAVKVLDWGEDGYATTAETSALRASFRQEVAVWHKLDHPNVTRFVGASMGTTNLKIPSSAETENSLPQRACCVVVEYIPGGTLKQYLFRNRRKKLAFKVVVQLALDLSRGLSYLHSERIVHRDVKTENMLLDYQRNLKIADFGVARVEAQNPKDMTGETGTLGYMAPEVLDGKPYNRRCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVRQNLRPDIPRCCPTSLATIMKRCWEANPEKRPEMEEVVRLLEAVDTSKGGGMIPEDQRPACFCFVSGRGP >scaffold_302727.1 pep chromosome:v.1.0:3:10173130:10173677:1 gene:scaffold_302727.1 transcript:scaffold_302727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPQKSVTQIGTPVSKLKVEDSPVFNYICSLSPIKTLKSIPITQTLSSLNFTSPPSVFTSPHAVSHKDLVSVWVX >scaffold_302728.1 pep chromosome:v.1.0:3:10181391:10184241:1 gene:scaffold_302728.1 transcript:scaffold_302728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPQKSVTQIGTPVSKLKVEDSPVFNYICSLSPIKTLKSIPITQTLSSLNFTSPPSVFTSPHAVSHKESRFRSQKDGSASKEVASIGEEGNEEALVENSEPAQSHKNDCNTPRVSNDVRGNGCCEDSGKDLQKMMDNVKKKSDTPDWETLISATTELIYGSPRESEAFSCLLKKTSNSEARLRGGTMPTSKPVSNTNVGNNESESVDALSILHRGVRRRCLDFEIPGNNQQTVGESSSSCVVPSIGLHLNAVAMPSKDNNVANEYSFSVNMKVGLQSSTTPLLQSQHDSVRENETGKDASKVIEVVPKSSGLVELTPISPKKKRRKSEQSGEGGSSCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCIDCFNKPIHEDVVLATRKQIESRNPLAFAPKVIRNSDSIIEVGEDASKTPASARHKRGCNCKKSNCLKKYCECYQGGVGCSINCRCEGCKNAFGRKDGSLFEQDEENETSGKSGTMKKQQNVELFIPAAQPSTPMPIRQPLAQLPLSSNNRLLPPQSHFHHGAIGSSSSGIYNIRKPDMSLLSHSRIETITEDIDDDMSENLIHSPIANINSLSPNSKRVSLAHLDSSESSPWRRTGGRNLIRSFPTFPSLTPHH >scaffold_302731.1 pep chromosome:v.1.0:3:10199793:10203422:-1 gene:scaffold_302731.1 transcript:scaffold_302731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSDQSPSHNVFVYGSFKEPAVVSLILECSPVIVSAQLHGYHLYRLKGRLHPCISPSENGVINGKKLKVNRGVVAAFTYDTPPINPCYAHAPFLPPIANPRLLKAYAALQAWKFTITSDPNGFTSNWCGPHVCNYTGVYCAPALDNPYVLTVAGIDLNHANIAGYLPIELGLLTDLALFHINSNRFQGQLPKTLKCLELLHELDVSNNKLSGEFPSVIFSLPSLKFLDIRFNEFQGDVPDQLFDLNLDALFINDNKFQFRLPKNIGNSPVSVLVLANIDLQGSCVPPSFYKMGKTLHELIISNSQITGCLNREIGMLNQLTVFDVSYNNLVGSLPETIGDMKSLEQLNIAHNKFSGYIPESICRLPSLENFTYSYNFFSGEPPACLRLQEFDDRRNCLPSRPMQRSLAECKSFSSYPIDCASFGCSPPSPPQMKIEGRYV >scaffold_302732.1 pep chromosome:v.1.0:3:10212315:10215524:1 gene:scaffold_302732.1 transcript:scaffold_302732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPVLPPWRSDPIFRPPETPLEPMEFLSRSWSVSAHEVSKALTPSQQILSKNTIESTTVILEEEQPITAGETETEENSFVSGNPFSFACSETSQMVMDRILSQSQEVSPRTSGRLSHSSGPLNGSLTDSPPISPHEVDDIKQFCRSNNNFNSQFRSTGTTPGPITATTTQSKTVGRWLKDRREKKKEETRAHNAQIHAAVSVAGVAAAVAAIAAATAASSSSGKDENMAKTDMAVASAATLVAAQCVEAAEVMGAERDHLASVVSSAVNVRSAGDIMTLTAGAATALRGVATLKARAMKEVWNIASVIPMDKGINPGGCSNVNGNGNGSNVSSSSSHSGEFLVEDNFLGHCNREWLARGGQLLKRTRKGDLHWKIVSVYINRLNQVILKMKSRHVGGTFTKKNKNVVIDVIKNIPAWPGRHLLEGGEDLRYFGLKTVPRGIVEFECKSEREYEMWTQGVSRLLAVAAERNNRYRI >scaffold_302736.1 pep chromosome:v.1.0:3:10246265:10247296:-1 gene:scaffold_302736.1 transcript:scaffold_302736.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early light-inducable protein [Source:UniProtKB/TrEMBL;Acc:D7L2F8] MATASFNMQSVFAGGLTTRKINGNKLFFAGTFPSLKRNYPVGVRCMAEGEPKNEDSAPTSAAPPPTKPKVSTKFSDLLAFSGPAPERINGRLAMVGFVAALAVELSKGENVFAQISDGGVSWFLGTTAILTLASLVPLFKGITAESKSDGIMTSDAELWNGRFAMLGLVALAFTEFVKGGTLV >scaffold_302738.1 pep chromosome:v.1.0:3:10261541:10262741:1 gene:scaffold_302738.1 transcript:scaffold_302738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFDKNVAKTPEALQSQEGGSVCALKDRFLPNHFSSVYPDAVTINLGSSGFIACSLEKQNPLLPRLFAVVDDMFCIFQGHIENVPILKQQYGLTKTATEVTIVIEAYRTLRDRGPYSADQVVRDFQGKFAFMLYDCSTQNVFLAGDVDGSVPLYWGTDAEGHLVVSDDVETVKKGCGRSFAPFPKGCFFTSSGGLRSYEHPSNELKPVPRVDSSGEVCGVTFKVDSEAKKEAMPRVGSVQNWSKQI >scaffold_302743.1 pep chromosome:v.1.0:3:10286510:10287077:1 gene:scaffold_302743.1 transcript:scaffold_302743.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase Rpb7 N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L2G5] MFIKVKLPWDVTIPAEDMDTGLMLQRAIVIRLLEAFGTKKATKDLGYLITPTILENIGEGKIKEQTGEIQFPVVFNGICFKMFKGEVVHGVVQKVHKSGVFLRSGPYEIIYLSHVKMPGYEFIPGEKPIFMNQNMSRIQIGARVRFIVLDTEWREAEKDFMALASIDGDNLGPF >scaffold_302744.1 pep chromosome:v.1.0:3:10287189:10290303:-1 gene:scaffold_302744.1 transcript:scaffold_302744.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7L2G6] MRRNVSDHAEKKNKVGVEVLLELPKTLSKSNKKWQLAFIKIYCSRTLLNCAKHAIRKPGLFPRSLSYTAINLDHHQDDHHGDDHFKIDTETLNDLVKNKNQEKLESLGGPNGLVSALKTNTRLGINEEGDEIQRRRSTFGSNTYTRQPSKSLFYFVVEAFKDLTILILLGCATLSLGFGIKEHGLKEGWYDGGSIFVAVFLVVAVSAVSNFRQNRQFDKLSKVSSNIKIDVVRNGRRQEISIFDIVVGDIVCLNIGDQVPADGVFVEGHLLHVDESSMTGESDHVEVSLSGNTFLFSGTKIADGFGKMAVTSVGMNTAWGQMMSHISRDTNEQTPLQSRLDKLTSSIGKVGLLVAFLVLLVLLIRYFTGTTKDESGNREYNGKKTKSDEIVNAVVKMVAAAVTIIVVAIPEGLPLAVTLTLAYSMKRMMKDNAMVRKLSACETMGSATVICTDKTGTLTLNQMKVTDFWFGLESGKASSVSQKVVELFHQGVAMNTTGSVFKAKAGTEYEFSGSPTEKAILSWAVEELNMDMEEVIEEHNVVHVEGFNSEKKRSGVLIKKKNGENTENNVVHWKGAAEKILAMCSTFYDGSGVVREMKEDDKIQFEKIIQSMAAKSLRCIAFAYSEDNEDIKKLKEENLSLLGIIGIKDPCRPGVKKAVEDCQFAGVNIKMITGDNIFTARAIAVECGILTPEDEMNREAVLEGEEFRNYTQEERLKKVERIKVMARSSPFDKLLMVKCLKELGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLKWGRCVYNNIQKFIQFQLTVNVAALVINFVAAVSAGDVPLTAVQLLWVNLIMDTLGALALATEKPTNDLMKKKPIGRVAPLITNIMWRNLLAQSFYQISVLLVLQFRGRSIFDVTEKVKNTLIFNTFVLCQVFNEFNARSLEKKNVFKGLHKNRLFIGIIVVTVVLQVVMVEFLKRFADTERLNLGQWGVCIAIAAASWPIGWLVKSVPVPERHFFSYLKWKKRS >scaffold_302751.1 pep chromosome:v.1.0:3:10320792:10322007:-1 gene:scaffold_302751.1 transcript:scaffold_302751.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor C1 [Source:UniProtKB/TrEMBL;Acc:D7L2H2] MGAFMSRFWFMMFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTHPTVGSNVEELVYKNIRFEVWDLGGQDRLRTSWATYYRGTHAVIVVIDSTDRARISFMKDEFARLLGHEDLQNSVILVFANKQDLKDAMTPAEITDALNLHSIKNHDWHIQASCAVTGEGLYDGLGWIAQKVTGKATS >scaffold_302753.1 pep chromosome:v.1.0:3:10333394:10333842:-1 gene:scaffold_302753.1 transcript:scaffold_302753.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2H5] METMTVEGLDVEDGGDGDDEDDDIKCGFVPQFGNSFTITGEFGYSLTISIVYWNAHVFRSCLVRVMDHLR >scaffold_302755.1 pep chromosome:v.1.0:3:10350915:10354002:-1 gene:scaffold_302755.1 transcript:scaffold_302755.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Tu family protein [Source:UniProtKB/TrEMBL;Acc:D7L2H7] MDDSEGRKVRNICILAHVDHGKTTLADHLIASSGGGVLHPRLAGKLRFMDYLDEEQRRAITMKSSSISLRYKDYSLNLIDSPGHMDFCSEVSTAARLSDGALVLVDAVEGVHIQTHAVLRQAWIEKLTPCLVLNKIDRLISELRLSPMEAYTRLIRIVHEVNGIVSAYKSEKYLSDVDSILASPSGELSAESLELLEDDEEVTFQPQKGNVVFVCALDGWGFGIAEFANFYASKLGASATALQKSLWGPRYYIPKTKMIVGKKSLSAGSKAKPMFVQFVLEPLWQVYEAALDPGGDRTVLEKVIKSFNLSIPPRELQNKDPKNVLQSVMSRWLPLSDAVLSMAVKHLPDPIAAQAYRIPRLVPERKIIGGDDVDSSVLAEAELVRKSIEACDSSRDSPCVVFVSKMFAIPLKMIPQDGNHRERMNGLNDEDSKSESDECFLAFARIFSGVLRAGQRVFVITALYDPLKGESSQKYIQEAELHSLYLMMGQGLTPVTEVKAGNVVAIRGLGPYISKSATLSSTRNCWPLASMEFQVSPTLRVAIEPSDPADMSALMKGLRLLNRADPFVEITVSARGEHVLAAAGEVHLERCVKDLKERFAKVNLEVSPPLVSYRETIEGDGSNLLESLRSLSLNTSDYIEKRTPNGRCIIRVHVMKLPHALTKLLDENTELLGDIIGGKGSHSVKILESQNPSLGENVDPIEELKKQLIEAGVSSSSETEKDREKCKTEWSKLLKRIWALGPREKGPNILFAPDGKRIREDGSMLVRGSPHVSQRLGFTEDSTETPSDISETALYTEALTLESSIVSGFQLATASGPLCDEPMWGLAFTIESHLAPAEDFETDKPENFGIFTGQVMTAVKDACRAAVLQTNPRIVEAMYFCELNTAPEYLGPMYAVLSRRRARVLKEEMQEGSSLFTIHTYVPVSESFGFADELRKGTSGGASALMVLSHWEMLEEDPFFVPKTEEEIEEFGDGASVLPNTARKLINAVRRRKGLHVEEKVVQHATKQRTLARKV >scaffold_302758.1 pep chromosome:v.1.0:3:10364978:10365236:-1 gene:scaffold_302758.1 transcript:scaffold_302758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGIQPDDSTFKYLEVRTILMKLWNVENIVFVKSKKPEKKRLREGGLDLWISTLTSVLGIATTTSFKVS >scaffold_302759.1 pep chromosome:v.1.0:3:10365326:10365629:1 gene:scaffold_302759.1 transcript:scaffold_302759.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2I1] MPSLFHRFYIAHRFLNVSSILKSIDLDSIYNNIMNSTVSLYTFSAILSWPSTVASGFMRHTVCRIHIRACKDQDESIICSLTRCDPN >scaffold_302760.1 pep chromosome:v.1.0:3:10380421:10380914:-1 gene:scaffold_302760.1 transcript:scaffold_302760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKAEAIFESMKQRREANEFTFAMMLLLCMYKKNGRFEEATQIAKQMREMKILTDPLSYNSVLGLFALDGRFKEAVETFKEMVSSGIQPDDSTFKSLGTILMKLGMSKKAVRKIEEIRKKEMKQGLELAVVGLEIATTTSFSVEKFW >scaffold_302761.1 pep chromosome:v.1.0:3:10384463:10384694:-1 gene:scaffold_302761.1 transcript:scaffold_302761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIFESMKQRREANEFTFGMMLCMYKKNGRFEEATHIAKQMREMKIRTDPLSYNTFSFYTL >scaffold_302762.1 pep chromosome:v.1.0:3:10394266:10394497:-1 gene:scaffold_302762.1 transcript:scaffold_302762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIFESMKQRREANEFTFGMMLCMYKKNGRFEEATQIAKQMREMKIRTDPLSYNTFSFYTL >scaffold_302765.1 pep chromosome:v.1.0:3:10403254:10404619:-1 gene:scaffold_302765.1 transcript:scaffold_302765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGTEEEYDSAESKLLEEFMCIPNNPTLTKLGFFKSDSENCRGEVSRVSPSDLNHSWNILALDGDDIVAGAKKPIEAIYVSCSKSEKCDPLVVVIHGGPHSLSPTSFSNNLAYLSSIGNSLLIVNYRGSLGFGEDALQSLPGKVGSQVCMQPAVSFFFFYLSVYLFLMDLKTA >scaffold_302766.1 pep chromosome:v.1.0:3:10407190:10407842:-1 gene:scaffold_302766.1 transcript:scaffold_302766.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7L2I7] MAYEKVNELNLKDTELCLGLPGRTEEIKEEQEVSCVKSNNKRQFEDTREEEESTPPTKTQIVGWPPVRSSRKNNNSVSYVKVSMDGAPYLRKIDLKTYKNYPELLKALENMFKVTIGEYCEREGYKGSGFVPTYEDKDGDWMLVGDVPWDMFSSSCKRLRIMKGSDAPALDSSL >scaffold_302767.1 pep chromosome:v.1.0:3:10420460:10420712:1 gene:scaffold_302767.1 transcript:scaffold_302767.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2I8] MATCGELCLCWPLLLKDNSRTIRSMVSCGWLSFFVDSDALCLLCVAATVFFLLWL >scaffold_302768.1 pep chromosome:v.1.0:3:10421018:10421239:1 gene:scaffold_302768.1 transcript:scaffold_302768.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2I9] MSQGEYVIVALEFQTVDGSVSGLKRKASKAFGPSQFGVSQSVLALLLLHLMREGKN >scaffold_302771.1 pep chromosome:v.1.0:3:10438055:10441807:1 gene:scaffold_302771.1 transcript:scaffold_302771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPSLHFYPTTVTKKFVYSFQSSFCSRFIRYSSSISIGSCKGVVFSSRNYQIPSRRFSFSRDGNNGEWLENWNRIQKRNQPKPPKVVVNYRKEGGIVSGDDNRSRDGEGSTMEKIVEKLKKYGYMEKGEEVQNKEIEQERRIEKGSVEDIFYVEEGNLPNTRGGFTEESLLGRDDVFGSNGEVGFPWEKMSAKEKKELEAEWTAKKENRYSLAEMTLPESELRRLRNLTFRTASKMRIRGAGVTQVAVDAIKEKWKSAEIVRLKIEGASALNMRKMHEILEKKTGGLVIWRSGTSISLYRGVSYELPSGKWNKQRREETPPSSLPETTTMVDNSDGKVHLPQLEQVTTSVEKKDQTSQPDVEYEDEIDELLDGLGPRFMDWPGDNPLPVDADLLPGAIPGYEPPFRVLPYGVRSSLGPKEATALRRLARSIPPHFALGRSRQLQGLATAMVRLWEKSMLAKIAIKRGVQSTTSERMAEDLKKLTGGILLSRNKDFLVFYRGKNFLSREVADALVEQERFVRTLQDEEEQARLRGSSALIVPCIEPPKKLVSAGTLGETLDATGKWGKNLDDDDHSDEVKQEVEILRHENLVRKLERKLAFAERKLLKAERGLAKVEECLKPAEQREDPDSITDEERFMFRKLGLKMKAFLLLGRRGVFDGTVENMHLHWKYRELVKIIVKAKTFDGVKKVALALEAESGGILVSIDKVTKGYAIIVYRGKDYKRPTMLRPKNLLTKRKALARSIELQRREGLLKHISTMQAKAEQLRAEIEQMEKVTDKGDEELYNKLDMAYASSDEETDEEEDDAFPETYAVGDDGEILAEGELSETDDEDWDSNESETGFGDDSVLYAEDLHTKPEDLPSEKVHLQHQS >scaffold_302776.1 pep chromosome:v.1.0:3:10461066:10463603:-1 gene:scaffold_302776.1 transcript:scaffold_302776.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7L2J6] MIRSQSQCFLGIIITIYFFFCLLPLPNTFASPTQSLCRSDQRDALLEIQKEFPIPSVTLGNPWNKSIDCCSWGGVTCDAILGEVISLKLYYLSTASTSLKSSSGLFKLKHLTHLDLSDCNLQGEIPSSIENLSHLAHLDLSSNHLVGEVPASIGNLNQLEYIDLRGNQLIGNIPTSFANLTKLSLLDLHKNQFTGGDIVLANLTSLAIIDLSSNHFKSFFSADLSGLHNLEQIFGGENSFVGPFPSSLLIISSLVHISLGGNQFEGPIDFGNTSSSSRSIWKLVNLERLSLSQNNFGGRVPRSISKLVNLEDLDLSHNNFEELFPRSISKLANLTSLDISYNKLEGQVPYLIWRPSKLQSVDLSHNSFNNLGKSVEVVNGAKLGGLNLGSNSLQGPIPQWICNFRFVFFLDLSDNRFTGSIPQCLKNSTDFNTLNLRNNSLSGFLPELCMDSTMLRSLDVSYNNLVGKLPKSLMNCQDMEFLNVRGNKIKDTFPFWLGSRESLMVLVLRSNAFYGPVYNSSAYLGFPRLSIIDISNNDFVGSLPQDYFANWTEMSTVWDINRLNYARNTSSRTIQYGGLQTIQRSNYVGDNFNLHADSIDLAYKGVDTDFNRIFRGFKVIDFSGNRFSGHIPESIGLLSELRLLNLSGNAFTGNIPPSLANITTLETLDLSRNNLSGEIPQSLGKLSFLSNINFSHNHLQGFVPRSTQFGSQNCSSFAGNPGLYGLDEICGESHHVPVPTSQQHDESSSEPEEPVLNWIAAAIAFGPGVFCGLVIGHIFTSYKHLWFIAR >scaffold_302778.1 pep chromosome:v.1.0:3:10487030:10487354:-1 gene:scaffold_302778.1 transcript:scaffold_302778.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2K0] MDVIMKSASSSLIPDVVKNPNMPYLSRPSITGCEIRTVLHKRPLRDPSPSSRMDITTPTLTKPLFLIPAPSLDGISVGNAPEKRDEQEQRPRSP >scaffold_302779.1 pep chromosome:v.1.0:3:10487816:10488045:1 gene:scaffold_302779.1 transcript:scaffold_302779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFFTPSTGGGGGYQRLLMFSYEKFPSWFPLSDRKLLEDSKTKKKADLVVAKDGSSHYTSI >scaffold_302780.1 pep chromosome:v.1.0:3:10488049:10488280:1 gene:scaffold_302780.1 transcript:scaffold_302780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7L2K2] MIYVKASFYRENVVSKKSIKNVMVIGDGINSTIVTGNRNVEDGTTTFQSATFATSSVLYSM >scaffold_302784.1 pep chromosome:v.1.0:3:10515001:10515286:-1 gene:scaffold_302784.1 transcript:scaffold_302784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLALIFLLNFLLLMVVHVPANEAVRFLPKERLGNLQFLQKGEVTPSNPSSCTHIPGGHGPPCPFQERHFAGRAAVLQQ >scaffold_302785.1 pep chromosome:v.1.0:3:10517865:10518070:-1 gene:scaffold_302785.1 transcript:scaffold_302785.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2K7] MAAAEGRSKHQIWIKNTSLNRTKKRGRGRPEEDGGKKSTGETRYKRPSPSKIH >scaffold_302786.1 pep chromosome:v.1.0:3:10518265:10518974:1 gene:scaffold_302786.1 transcript:scaffold_302786.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2K8] MAASRLVRLCSQPMLMWRSYLVIAFGSLLLWRFFVEHPFHYSSGELPRYQNSFCRGQERSFSPSSFSKERTLSSLSSFVLFWSLYRCWCVARTTVVCERRSEASLSVISKGYAIWCYVAFAPSAGFRRAFSAFVAESSSNFRSPLVFFVLHGVYSLLVSNIVKVQGRQDNVFGLSVRFACIYLCFFGVYVLCSAYVAVMMVALLAWISLPVTFSPLAGEF >scaffold_302793.1 pep chromosome:v.1.0:3:10572681:10572932:1 gene:scaffold_302793.1 transcript:scaffold_302793.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2L2] MTVTISSPPGLLVEVCSTHHGVAYSDLLVRFGLQAFMDHKSNFSIFLYVVFEPLISFLLYLDLFCLDA >scaffold_302801.1 pep chromosome:v.1.0:3:10600045:10601088:1 gene:scaffold_302801.1 transcript:scaffold_302801.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:D7L2M0] MIDIPGTPGTLTGLVLRISQCVFAAGSISYMVTSGGFFSFTAFCYLIAAMGLQVIWSFGLAILDTFALVRKKTLLSPVLVSLFVVGDWVTSTLSLAGASSSAGITVLYFGDLGSCSFEAECWKYQLSVALAFLCWITIAISSLTTLWLLASA >scaffold_302802.1 pep chromosome:v.1.0:3:10601753:10602710:-1 gene:scaffold_302802.1 transcript:scaffold_302802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFSNETTGKGWDQNVSSANSFVSMNLFFKIFFENGNFVAFLQECRGIMEFYLVMHLFIMLYPLLSLVFGSCVTTVSQFTLYGFLKGDKPDFHVAMPPDKAKPFYASLVEKFQKAYNPDAVKDGVFGATMQAEKNELWEEKLVLKADKEKVVQQLKSMAFPSPGFIPSQHSAAFHPNNMPVYSSYSYYPPNMAMWSPLPPADRDTVS >scaffold_302803.1 pep chromosome:v.1.0:3:10602770:10605652:-1 gene:scaffold_302803.1 transcript:scaffold_302803.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate lyase [Source:UniProtKB/TrEMBL;Acc:D7L391] MVHLTQFIFDGFNKHQNLIPTRSFLNPSKSLCHHQLPRVSFSVSSPYSLKLMTSRKVIAMAGASSRDLEMSNLTALSPLDGRYWGKVKELASSMSEFGLIYFRVLVEIKWLLKLSNIPQVTEVPSFSKEAQIYLHGIIDGFSMDDALEVKKIEKVTNHDVKAVEYFLKQKCESHPEIAKVLEFFHFACTSEDINNLSHALMLQEALSSVILPAMDELIKSISLMAKSFAYVPMLSRTHGQPASPTTLGKEMAIFAVRLSEERRYLSETKIKGKFAGAVGNYNAHISAYSNIDWPHVAEEFVTSLGLTFNPYVTQIEPHDYMARLFNTISQFNNILIDFDRDIWSYISLGYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGKANAELAFLSMKLPISRMQRDLTDSTVLRNMGGALGHSLLAYKSAIQGIGKLQVNEARLKEDLDHTWEVLAEPIQTVMRRYGVPEPYEKLKELTRGRAVNEESIRKFITGLELPEEAKDQLLKLTPHTYVGAAAALALAVDEAVHLGH >scaffold_302806.1 pep chromosome:v.1.0:3:10631126:10631822:1 gene:scaffold_302806.1 transcript:scaffold_302806.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATERF1/ERF1 [Source:UniProtKB/TrEMBL;Acc:D7L395] MDPSFLQSPLSSFSPEYSTGSSPESFSSSSSNNYSLPFNENDSEEMFLYGLIKQSTQRNFIESNLQDLPVKSVSSRNSEKSYRGVRRRPWGKFAAEIRDSTRNGIRVWLGTFESAEEAALAYDQAAFSMRGSSAILNFSPERVQESLSEMKYTYEDGCSPVVALKRKHSMRRRKTNKKTKDSDFDHRSVKLDNVVVFEDLGEQYLEELLGSSENSGTW >scaffold_302811.1 pep chromosome:v.1.0:3:10664027:10666621:1 gene:scaffold_302811.1 transcript:scaffold_302811.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7L3A1] MGQQQSKGELLYQQVSYGNSEGIRTLHRDGADLEWMDREGKTALILACMNSELYDVAKTLIELGSNVNAYRPGRNAGTPLHHAAKRGLENTVKLLLSHGANPLVLNDDCQTPLEVARVKGFSNVVRAIEKHICLFSGWMREFYGPTFLDLFAPQLLSRRVWVVIVPTGSRNPTKPFKLELVVYASLQDAQPRTMMPLWKANLEEPKAKQSDTSVMIVDNSTIPSRRMKKRRVYASHGRRRPQVVRQTRLKLAPATEGDSQQLKWFCDACKGIPQPMRPPVFLQTAPSAPPPPSEDELAMAMNASLHTTMSDPSNLNHHSIGQASSSSVPSSSTAPPSGKASAFVFNSHGIGIVLESSPSAPPLTDDNISTVDEGPIHYPSIDSTPVDLPSASSLPAPTEGERKEDGSTGTCAICLDAPSEAVCVPCGHVAGCMSCLKEIKSKNWGCPVCRAKIDQVIKLYRV >scaffold_302812.1 pep chromosome:v.1.0:3:10670770:10671882:1 gene:scaffold_302812.1 transcript:scaffold_302812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPILGFMGTTNMSHNTNLMIAAAATTTTTSSSSSYSSGGSGTNQLSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTHLCPFFGHPNPPAPCACPLRQAWGSLDALIGRLRAAFEENGGSPETNPFGARAVRLYLREVRDSQAKARGISYEKKKRKRPPPPLPPAQPAISSSPN >scaffold_302814.1 pep chromosome:v.1.0:3:10687245:10690860:1 gene:scaffold_302814.1 transcript:scaffold_302814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARAWLNKLKSIGKEKSSKKKETSRSNVKEGSKTVGGEEAVSNVTKQKAAAAKQYIENHYKKQVQSQQQRKERRDMLENKLAAAEVSEEEQKNLLKDLEKKETEYMRRQRHKMGTDDFEPLTMIGKGAFGEVRICREKTTGNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRSGHMKLSDFGLCKPLDCSILQEKDFVVAHNLSGALQSDGRPVASRRTPSQMEQLQNWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGFPPFYSDEPMTTCRKIVNWRNYLKFPDEVRLSPEAKDLICRLLCNVEQRIGTKGANEIKDHPWFRGVEWEKLYQMKAAFIPKVNDELDTQNFEKFEETDKQVPKTPKSGPWRKMLSSKDINFVGYTYKNVEIVNDDQLPGIAELKKKSTKPKRPSIKSLFEDESASSTTSHQGSFMKLLPPQIEVPEKEGNSSSSSETLSSSTTRFDNATA >scaffold_302821.1 pep chromosome:v.1.0:3:10705283:10705742:1 gene:scaffold_302821.1 transcript:scaffold_302821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTFILYMLMFMLLVNKPTSSQPNPTCSETKGFYDLYICGGPLLLDTPWESPSQECCNSLKIDKMYCLCQGVTKVFMQYFEVNKLPKLSQACGNLLTPGSYCGIYKIPGGAA >scaffold_302823.1 pep chromosome:v.1.0:3:10712540:10712751:-1 gene:scaffold_302823.1 transcript:scaffold_302823.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3B2] MSSKKLVLKSSDGQLVEIDQAEAVQSTLIKELAAEMTDETQFEVPNVCGSVTPVLP >scaffold_302828.1 pep chromosome:v.1.0:3:10747919:10750091:-1 gene:scaffold_302828.1 transcript:scaffold_302828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMDSRTVKRSKVQNQQDNSTSQSFAKKHLGRQAKVAAKKREFTVVMMMGFRVYLMRINLHNNVELFMKREGKLIFPDASYQIYVRQVFHCDGLLLCILKDNPRLVVWNPYCGQTRWIETSNNSHRLDAYSMQCRLRNTYWFAEEAYSETPSQGDHIGFLLCFDFTRETFRPRLPLPVESYLEDTLLLSSVRDEQLAVLFQHMDTLQMEIWVTTKIEPNTVSWSSKFFLSVDMRELTGIYSMFSFMAASFFTDEEKKVAVVFDKGKKRKMMRNAAYIVGEDGSLKEVDLGESPNKNLRPLVCSYVPSSVQLE >scaffold_302831.1 pep chromosome:v.1.0:3:10779641:10780424:1 gene:scaffold_302831.1 transcript:scaffold_302831.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3B9] MGIRESTALGWPLFTSVGDFHGRQLRWRFHLVLVVLNSIGNNIDLLWTKMVWCGGVGDRLLGLMEFLITNRSVGLSTAMGYAAMDVASLLMHESQQVSLFRRRSTCPCDDYVVHSRCFSGYARRFRSGDNNCRQRFSENLQSSMHMGSRNYIEGICREDLQRRLWCGMYNRMGLHRYQAKLFAS >scaffold_302835.1 pep chromosome:v.1.0:3:10807802:10812957:1 gene:scaffold_302835.1 transcript:scaffold_302835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVIGSGISGLGSAYVLANQGVKEVVLYEKEESLGGHAKTVRFDGVDLDLGFMVFNRVTYPNMMEFFENLGVEMEVSDMSFAVSLDNGKGCEWGSRNGISGLFAQKKNVLNPYFWQMIREIVRFKEDVLKYIEELEGNPDIDRKETLGEFLNARGYSELFQKAYLVPICGSIWSCPSDGVLSFSAYSVLSFCCNHHLLQIFGRPQWLTVAGRSQTYVAKVRAELERLGCTIKTSCDIKSVSTSEDGCVTVTSGDGSEEVFDRCILAMHAPDALRLLGEEVTFDESRVLGAFRYVYSDIYLHHDIDLMPRNQAAWSAWNFLGSTEKKVCVTYWLNILQNLGENREPFFVTLNPDETPKKTLLKWTTGHPVPSVAAWTASQELHKIQGKRNLWFCGAYQGYGFHEDGLKAGMAAARGLLGKETALLNNPRHMVPSLTETGARLFVTRFLGQFISTGSVTILEEGGTMFTFEGKDSTCPLKSILKIHSPQFYWKVMTQADLGLADAYINGDFSFVDKDSGLLNLIMILIANRDTKSNLTKKRGWWTPMFLTAGLASAKYFLKHVSRQNTLTQARRNISRHYDLSNELFGFFLDDTMTYSSAVFQSDDEDLRTAQMRKISLLIDKARIEKNHEVLEIGCGWGTLAIEVVRRTGCKYTGITLSIEQLKYAEEKVKEAGLQDRITFELRDYRQLSDAHKYDRIISCEMLEAVGHEFMEMFFSRCEAALAENGLIVLQFISIPEERYNEYRLSSDFIKEYIFPGGCLPSLARVTSAMSSSSRLCIEHVENIGIHYYQTLRVWRKNFLERQKQIMALGFDDKFIRTWEYYFDYCAAGFKTLTLGNYQLVFSRPGNVAAFADSYRGFPSAYYVS >scaffold_302836.1 pep chromosome:v.1.0:3:10814580:10819097:1 gene:scaffold_302836.1 transcript:scaffold_302836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDAEHDLLEKEFMMKGRWYQRKDLEVKNSRGDVLQCSHYMPVERPEGKPLPCVIYCHGNSGCRADGSEAAIVLLPSNITVFTLDFSGSGLSGGEYVTLGWNEKDDLKAVVEFLRQDGNISLIGLWGRSMGAVTSLMYGAEDPSIAGMILDSPFSDLVDLMMELVDTYKFRLPKFTVKFAIQFMRRAILKKAKFDIMALNTIKVAKSSFVPVLFGHALDDDFIRPHHSDRIYEAYVGDKNIIKFLGDHNSPRPPFYFDSINIFFHNVLQPPEVVGPTFYDPLDDYFANGSWGTMHDTNIPQSSVQKSLAAGSISEAINEVRKKRPMSRTDVPSNVTSNGSPSESKEKENPDGRGSSSSPDMISFDLSNGNQHPPHLRMALDDDQYVEYQMEDMADFPSNAEEEERMLMKAVMESLKDLEVQSQQKKEPPETRVHGSSAFLTAAQCLSSREESTSTRANQSESDSASSPVTGSQDQLPSSSESNPPSETSTSLARPITASIPGSLSQKESETGDMSGVTKATVTVERSSSAPGKVLDGLIRKWDLNFFKNNK >scaffold_302839.1 pep chromosome:v.1.0:3:10828925:10829306:-1 gene:scaffold_302839.1 transcript:scaffold_302839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHKIELTDSGLLQAQEARARLHTLIGSNPSSPEWRVYFYVSPYDRTRSTLREIGRSFSNRRVIGIREEGNRILGIFLSVLGGRISRRRFLSCLKYVSLPCLFKNFLLYLYC >scaffold_302844.1 pep chromosome:v.1.0:3:10853128:10853587:1 gene:scaffold_302844.1 transcript:scaffold_302844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSPFMDIASLEIESLRRIKRPKRFSEESETETMTSSNAVFGFPHLPEEPNRDLDQSVLCRIRVRLPDGRRIQRSFLKSESVQLLWSFCYSQIDESERKRFKLIQAFPGEYKNLYFGSNTTFEESGLANSLVSVTWV >scaffold_302845.1 pep chromosome:v.1.0:3:10854674:10856193:1 gene:scaffold_302845.1 transcript:scaffold_302845.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7L3D2] MQLSSRDRGSPSSSSSSSSLPGIDKYNEKVKNQIQALVRVIKVARTYRDDNVPSFIEQGLYLGSVAAACNKNVLKSYNVTHILTVASSLRPAHPDDFVYKVVRVVDKEDTNLEMYFDECVDFIDEAKRQGGSVLVHCFVGKSRSVTIVVAYLMKKHGMTLSQALQHVKSKRPVASPNAGFIRQLQDLEKSMQGKQEPIAQCQA >scaffold_302847.1 pep chromosome:v.1.0:3:10874801:10875828:1 gene:scaffold_302847.1 transcript:scaffold_302847.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin synthase [Source:UniProtKB/TrEMBL;Acc:D7L3D4] MKFSISTLKQVQPILSFKNKLCKVNVNSFLHPKEKVVFVMGATGSGKSRLAIDLATRFQGEIINSDKIQLYKGLDVLTNKVTPKECRGVPHHLLGVFDSEAGNITATEYSRLASQEISKLSANNKLPIVAGGSNSYIEALANHSSGFLLNNYDCCFIWVDVSLPVLNSFVSKRVDRMMEAGLLEEVREMFNPKANYSVGIRRAIGVPELHEYLRNESLVDRATQSKMLDVAVKNIKKNTEILACRQLKKIQRLHKKWKLSMHRVDATEVFLKRNVEEQDEAWENLVARPSERIVDKFYNNNQLKNDDVEHCLAASYGGGTGSRAHNMI >scaffold_302850.1 pep chromosome:v.1.0:3:10900282:10902082:-1 gene:scaffold_302850.1 transcript:scaffold_302850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCSEKFRVHYLTLTLSLSSVRRHSNTSQSPRLLCDRLHRSKSPAESLITQSPNTDPNHLWLDIENCDVPKDLQPELLYHMIKRGLKDRNYTGPLTITAIFANTTEHISLDMQRYACKAADNASKEELKDAADRAIEKEIEAFSNNPVNDPARNVMVMSGDKIFVKTLRDLRGKGYRTLAAFRVSSDEEELNAQVWDSWVFRQLLNLPWTVGEKPREEQDRKRKRSSSSAVLQHYCRMSNEKKFPA >scaffold_302852.1 pep chromosome:v.1.0:3:10915613:10916495:-1 gene:scaffold_302852.1 transcript:scaffold_302852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSWPSNSSLYVAFHLTNQYLNHKCFVSEGKYKHDASVTIILQCRGDSFGSNCGSCYVTAIDGVCRGQYKIARASSYID >scaffold_302854.1 pep chromosome:v.1.0:3:10929594:10929799:-1 gene:scaffold_302854.1 transcript:scaffold_302854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSNISGKLMSQLMEKMKERLEKMRRTVRQQRAKLHIIRICITMLLSSDDNS >scaffold_302856.1 pep chromosome:v.1.0:3:10933219:10940330:1 gene:scaffold_302856.1 transcript:scaffold_302856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSGDSSETVSTDMIFEPILEHGVFRFDSSVDHRKAVFPSVSFKNSKDREVPIVSHSVPAYIPTSVCLQDQQVVTFEFSPGTSFYGTGEVSGQLERTGKRVFTWNTDAWGYGSGTTSLYQSHPWVLVVLPTGETLGVLADTTRKCEIDLRKEGIIRIISPTSYPIITFGPFSSPTAVLESLSHAIGTVFMPPKWALGYHQCRWSYMSDKRVAEIAQTFRDKKIPSDVIWMDIDYMDGFRCFTFDKERFPDPSALTKDLHSNGFKAIWMLDPGIKQEEGYYVYDSGSKNDVWISRADGKPFIGEVWPGPCVFPDYTNSKARSWWANLVKEFVSNGVDGIWNDMNEPAVFKVVTKTMPENNIHRGDDDLGGVQNHSHYHNVYGMLMARSTYEGMELADKNKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHLHMSISMVLQLGLSGQPLSGPDIGGFAGNATPRLFGRWMGVGAMFPFCRGHSEAGTADHEPWSFGEECEEVCRAALKRRYQLLPHFYTLFYIAHTTGAPVAAPIFFADPKDSRLRTVENGFLLGPLLLYASTLSSQGSHELQHILPRGIWLRFDFEDSHPDLPTLYLQGGSIISLAPPHLHVGEFSLSDDLTLLVSLDENGKAKGLLFEDDGDGYGYTKGRFLVTHYIAERHSSTVTVKVSKTEGDWQRPKRRIHVQLLLGGGAMLDAWGMDGEIIHIKVPSESEVSELISTSNERFKLHMENTKLIPEKEVLPGQKGMELSKEPVELNSGDWKLNIVPWIGGRILSMTHVPSGIQWLHSRIDINGYEEYSGTEYRSAGCTEEYNVIERDLEHAGEEESLILEGDVGGGLVLRRKISIPKENPRVFQIASSIEARSVGAGSGGFSRLVCLRVHPTFTLLHPTESFVSFMSIDGSKHEVWPDSEEQIYEGNNLPHGEWMLVDKSLNLRLVNKFNVSQVFKCIVHWDCGTVNLELWSEDRPVSKESPLKIEHEYEVASFP >scaffold_302857.1 pep chromosome:v.1.0:3:10940797:10942315:-1 gene:scaffold_302857.1 transcript:scaffold_302857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLMMKIYTIMLILLVVRTSSFKPFRGCSRTKGYEDLVYCAPSLRKNSQFNFPIPECCKNLKIDKMYCLCDAVNPNFLEVFDVEKLPKLSHACGDLLVPGSYCGCYEDLIYCVTSLRLNSPFIPPIPECCKNLKIDKMYCLCDAVNPTFGERFDVKKLGKLSHACGDLLAPGSYCGGKQ >scaffold_302862.1 pep chromosome:v.1.0:3:10967900:10968691:1 gene:scaffold_302862.1 transcript:scaffold_302862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLNRAGIMVYGGTSKVLSSINLSRAESNWISVVEELRTVLDTNRMLMEKQKRQLGTEKRCEKELREASRWRGMDDVEKAAARVGVKGPELRFINTCNRNFSLEGASKERRTENKSLQSQLRDTAEDVQAAGELLVRLKEAEE >scaffold_302864.1 pep chromosome:v.1.0:3:10981361:10981841:-1 gene:scaffold_302864.1 transcript:scaffold_302864.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3F4] MTTKAVAADPMDATGKLDKPNSNPNQTRTETKITDRRTTKTNRRTFNSTSRSERLTYSSEPESIRGGRRKSKKKMVCRKPTSSSNSGQRQPITPTQIPTKQGKILTTSQKTVETKREKQLLQRETSTGVVEATSHRSQAESTYSGG >scaffold_302865.1 pep chromosome:v.1.0:3:10984827:10985886:-1 gene:scaffold_302865.1 transcript:scaffold_302865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTIFALSENTEKFKITPHPYVIRMTTSTKIYALKDYIPKIPMHKFWVEKKYSQPLIITGNVTELEGGGGLREETAENKSCLTDVEVKLLGFDAMIKILSRRRPGQLIKTIAALEDLHLSILHTNITTMEQTVLYSFNVKGDQASIIGGAIEFVRELEQLLQCLESQKRRRILGETGRDMTTTTTSSSSPITAVANQTQPLIITGNVTELEGGGGLREETAENKSCLADVEVKLLGFDAMIKILSRRRPGQLIKTIAALEDLHLSILHTNITTMEQTVLYSFNVKARYII >scaffold_302867.1 pep chromosome:v.1.0:3:10995863:11001837:1 gene:scaffold_302867.1 transcript:scaffold_302867.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAKRP1L [Source:UniProtKB/TrEMBL;Acc:D7L3F7] MKHFMMPRNAVLRDIGESQSPNTSLTKSKSQRKIRSAKENAPPPDLNSLLPDHRSSPAKLKSPLPPRPPSSNPLKRKLIAEAAGENGVAAGVSDSGVKVIVRMKPPSKGEEEEMIVKKISNDALTINEQTFTFDSIADPESTQDEIFQLVGAPLVENCLAGFNSSVFAYGQTGSGKTYTMWGPANGLLEEHLSGDQRGLTPRVFELLFARLSEEQAKHAERQLKYQCRCSFLEIYNEQITDLLDPSQRNLMIREDVKSGVYVENLTEEYVKNLKDLSKLLVKGLANRRTGATSVNAESSRSHCVFTCVVESHCKSVADGLSSFKTSRINLVDLAGSERQKLTGAAGDRLKEAGNINRSLSQLGNLINILAEISQTGKQRHIPYRDSRLTFLLQESLGGNAKLAMVCAVSPSQSCRNETFSTLRFAQRAKAIQNKAVVNEVMQDDVNFLREVIRQLRDELQRVKDNNGNNPTNPNAAYTTSWNARRSLSLLRSFGLGHPKSLPNGDDDGDTEMEIDEEAVERLCAQMGLSPPAEDNNQDMSRVEKINSSLQTVALKDESYKTSHLRSSDVQSSTGKQFPEDTDVNMEDIKAAVQTMDDGSSVQPASIKNSLNSCISDTNQGNSPSKAENIPSCQDLVLEADVSAIVAVSDTSNDTEQVSVNPVSPCLSISPVSVSPGLIPPTESASPKIRNSRKSLRTTSMSTASQKNIERANQLTKEVVEPSPAMSTEVLNLYSALSTKKSEAFPVPTRQLAASLHRGMKLLDSYRQSTAHRRSTFRLSYKALECKPSTVLSKADVGVQTYPEGDTVAEDNPKEVLCSKCKCRAECDAQEISDTSNLQLVPIDNSEGSEKSNFQVPKAVEKVLAGSIRREMAMEEVCTKQASEISQLNRLVQQYKHERECNAIIGQTREDKIARLESLMDGVLSKDDFLDEEFASLMHEHKLLKDMYENHPEVLQTRIELKRVQEELESFKNFYGDMGEREVLLEEIHDLKAQLQCYTDSSLTSARKRGSLLKLTYACDRDQASPLNTIPESVDECPEKTLEQERLRWTEAESNWISLAEELRTELDTNRLLMEKQKRELDTEKRCAEELTEAMQMAMQGHARMIEQYADLEEKHIQLLARHRRIREGIDDVKKAAARAGVKGAESRFINALAAEISALKVQREKEAQYFRDENKSLQSQLRDTAEAVQAAGELLVRLKEAEEGLTFAQKRAMDAEYEAAEAYKKMDKLKRKYETEISTVNQQQITEPQNPIESLQASCNGDDMAKYDEPSASDGDHQWREEFEPFYKKDEELSKLAEPSWFSGYDRCNI >scaffold_302868.1 pep chromosome:v.1.0:3:11002678:11003818:-1 gene:scaffold_302868.1 transcript:scaffold_302868.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L3F8] MDIGKALPASKEGESQMIVMMGNNLYLTSITLNGEPSIEQIDKLTCLDEQAKISQVFDCEGLLLCILKEDSRFVVLNPYLGQTRWIEPRYSHRPYGCDRFSYALGYVNKESCRSYKLLRFIDYFYNVPEKQFFWYEIYDFDSDLWTTLDISPHWRIAFCNPGVSLKGNTYFCAAERNVDVKEVLANSLICFDFTSERFGPLLPLPFSGGYHDYTTLSCVREEKLALLLQHDESNPYELDLWITTKIETEEVLWSKFVRVATAGFDSYVPFIGGSFFIDEEKKVAIGFDDGDNRHKINIIGEAGYFRALDLVGDFGDQECKTDLCSYVPSLVEIKQPEGGEREQESELEKLRYDENMSRLVLLEGNQ >scaffold_302869.1 pep chromosome:v.1.0:3:11004058:11004792:1 gene:scaffold_302869.1 transcript:scaffold_302869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPDKHFIKIVKVKDLILASHPKQVFAPTNTRPKSDYAAEKNANLEEVFTSSIICFDFTSERFGPLLHLSFSTDGHHDYITLSCVREEKLAVLLHYNKSNPYKLFFWIEIEEVSWRRFLRVATGFGIYVPFINGIFFIDEEKKIVIGFDNDNRHRVIVIGEAKYIRGFDLVRDFGDQECKTDLCSYVPSLVQIKAIWKSVDMMKTF >scaffold_302874.1 pep chromosome:v.1.0:3:11019263:11019754:1 gene:scaffold_302874.1 transcript:scaffold_302874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVTSSILLFFLMLLVTNNVKGREVRRNCPYKLMNPGKCGANKVQFCLDEFKRTTYFPENQKNGSRCRPCKDTRVGNKDVYSCVCLGGRPNPC >scaffold_302875.1 pep chromosome:v.1.0:3:11020063:11020276:-1 gene:scaffold_302875.1 transcript:scaffold_302875.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3G5] MAARPHRHHYRRGHCHRYRHGHMPLLSHPRPSILLNWRLIYEKEALASHHLRKLKNV >scaffold_302877.1 pep chromosome:v.1.0:3:11027033:11027497:1 gene:scaffold_302877.1 transcript:scaffold_302877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSATSLLALCVSMFLVLNHVKEVKTQDVVSICKFFNQYAGTCGANGNQLCQGQMATREIRRYARCDCNNIRWRKKDYHECRCYSRLPCNE >scaffold_302879.1 pep chromosome:v.1.0:3:11033975:11035284:-1 gene:scaffold_302879.1 transcript:scaffold_302879.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3G8] MVELEYEKLEKHCFHCFSLLHEKKDYPVRTEGSRRASPEARCNFNKVNTPHGLENSERREVTKKAISSDARGQRFDSSRRKTHHSPSRRQPRSTPYHSSHKDASRSVFAGILRDHHSGYGNRAPLRRSPQAYQRRDSQAPQRVHYSSRRGHDPEWRRRRSPMASRSYQATNGSPKTSRRSALRSAASQRDRSPMTPPPETLFLPPPPPISPSNSAWLSSPKSRRPALERISTSIQVGNDGQNPLAWVGESSSPSGRLQDVNIQYLGEEEQTMIGLTGSVHVGSSSNPIHPTLSHRLSIGGSCPTEETRLSALQRVEPAQPTITVSIPAKPLKKRTTIKRKTAASGLPRAARSLLQGASTRKRNTSRPEAAVAARKKLCTEQLPCDKDPVTEPQCDTPALVLIPASKKAKSKVDFQILFFSRC >scaffold_302885.1 pep chromosome:v.1.0:3:11071122:11074644:-1 gene:scaffold_302885.1 transcript:scaffold_302885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDEMDIEEIEATAEINLSELGESFLQSFCKKAATSFFDKYGLISHQLNSYNFFIQHGLQDVFESFGDMLVEPSFDVIKKKDNDWRYATVKFGKVTVEKPTFFSDDKELEFLPWHARLQNMTYSARIKVNVQVEVFIKTVVKSDKFKTGQDEYVEKKILEVKKQDILIGSIPVMVKSVLCKTSEKGKENCRKGDCAFDQGGYFVIKGAEKVFIAQEQMCTKRLWISNSPWTVSFRSENKRNRFIVRLSENEKSEDYKKREKVLTVYFLSTEIPVWLLFFALGVSSDKEAMNLIAFDGDDASITNSLIASIHEADAVCEAFRCGNNALSYVEQQIKPWRAGFSRWGNERVYNGRSGEMMRSLIFMGPTFYQRLVHMSEDKVKFRNTGPVHPLTRQQVADRKRFGGIRFGEMERDCLIAHGASANLHERLFTLSDSSQMHICRKCKTYANVIERTPSSGRKIRGPYCRVCVSSDHVVRVYVPYGAKLLCQELFSMGITLNFDTKLC >scaffold_302886.1 pep chromosome:v.1.0:3:11077605:11079011:1 gene:scaffold_302886.1 transcript:scaffold_302886.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3H5] MSYSLGGGWICLLFSLTAGLCSQIVLRWLDMFALLLCTAAAFLDLFLPVHGGRVFWLLGSWWSSKVGWRRMLRLILCGGCRRGPPRLRVSSLGHGSKCGSSVLQFDSECGGLVLLRCGNGFYVHSEKLSPWFVVFRCFVHWLDWVFGGCCCVLCCVAAW >scaffold_302888.1 pep chromosome:v.1.0:3:11083977:11084373:-1 gene:scaffold_302888.1 transcript:scaffold_302888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLALVYLSILLLQTQLSISVTVPIPSVNGEIDAMLNRNGVIGEEEGEEMMPSEISRRVMMMRKRYISYETLRRDMVPCQKPGASYYACRSGQANAYNRGCSVITRCARDTNDIKT >scaffold_302891.1 pep chromosome:v.1.0:3:11105562:11105776:1 gene:scaffold_302891.1 transcript:scaffold_302891.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L444] MLSKRERNKPYVLEVLISFFFFIVSSLPIPYQVSVSYLSLCSSSCCKLIGSEDEDGF >scaffold_302892.1 pep chromosome:v.1.0:3:11106280:11106790:-1 gene:scaffold_302892.1 transcript:scaffold_302892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLGIKRHHSAWKSCSSCYGDTSCVKTLSSSCPLSCKILNNITPLFSFLKCSYVLCSHVTHVGKGLVRDKQPLFVFYSGRIYVIDKKPNSREPSTREVVDNAEVLEKMGLPLPASTPAHCLASEDILVSCRGDEDGNARVSDFLLLDSEFNIKGR >scaffold_302894.1 pep chromosome:v.1.0:3:11119509:11125862:-1 gene:scaffold_302894.1 transcript:scaffold_302894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLGASILVSHCSSVPEFQVSGMRLVFGYKGFGRRTSRKGFRVRCESKIQEKELRRCSPFLERLSLPREAALGSNEWKAVPDIWRSSVEKYGDRVAVVDPYHDPPSTFTYRQLEQEILDFVEGLRVVGVKADEKIALFADNSCRWLVADQGIMATGAVNVVRGSRSSVEELLQIYCHSESVALVVDNPEFFNRIAESFSCKAAPKFVILLWGEKSSLVTAGMPTPVYSYNEIKNFGQERRAKFARSNDAGKYEYEFIDPDDIATIMYTSGTTGNPKGVMLTHQNLLHQIRNLSDFVPAEAGERFLSMLPSWHAYERACEYFIFTCGVEQKYTSIRFLKGDLKQYQPHYLISVPLVYETLYSGIQKQISTSSPVRKFLALTLIKVSLAYTEMKRIYEGLCLTKNQKPPLYIVSLVDWLWARVVAFVLWPLHILAEKLVHKKIRSSIGITKAGVSGGGSLPMHVDKFFEAISVNVQNGYGLTETSPVVSARRLRCNVLGSVGHPIKDTEFKIVDHETGTVLPPGSKGIVKVRGPPVMKGYYKNPLATKQVIDDDGWFNTGDMGWITPQHSTGRSRSCGGVIVLEGRAKDTIVLSTGENVEPLEIEEAAMRSNLIQQIVVIGQDQRRLGAIVIPNKEAAEGAAKQKISPVDPEVNELSKERITSMVYEELKKWTSQCSFQVGPVLIVDEPFTIDNGLMTPTMKIRRDKVVDQYKNEIDRLYK >scaffold_302895.1 pep chromosome:v.1.0:3:11143471:11143770:-1 gene:scaffold_302895.1 transcript:scaffold_302895.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L451] MAFALSFPTEREAGCHQTRRATVVIGRDGEEDPPANVHGGEEKIDKSANEKVRERTGKKDSVAAAATMVDEKLMVAMTLRSVTDL >scaffold_302896.1 pep chromosome:v.1.0:3:11149080:11150507:1 gene:scaffold_302896.1 transcript:scaffold_302896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSATADTSKTVKLERYNSYLRKIHSTKVLNASSKVLFRATLLVALVLVLLFAINYPPLSDSRAAAAHHLHRRSFLSTGLFSSSSSSSSSIGGAAWEKRVRQSSTAKRPHGLSVLVTGAAGFVGSHCSLALRKRGDGVLGFDNFNDYYDPSLKRARQELLEKQQVFIVEGDLNDGPLLRKLFDVVPFTHILHLAAQAGVRYAMKNPQSYIASNIAGFVNLLEVAKAANPQPAIVWASSSSVYGLNTENPFSEEHRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILHGKSIDIYRTQDNQEVARDFTYIDDIVKGCVGALDTAEKSTGSGGKKRGQAQLRVYNLGNTSPVPVGRLVSILEGLLGTKAKKHLIKMPRNGDVPYTHANVSLAYKDFGYKPTTDLAAGLRKFVKWYVGYYGIQPRVKKENSHAEDSA >scaffold_302899.1 pep chromosome:v.1.0:3:11182150:11183726:1 gene:scaffold_302899.1 transcript:scaffold_302899.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:D7L455] MDQMSPDNINGVILAVSSSIFIGSSFIIKKKGLKKAGASGVRAGEGGYGYLKEPWWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIFSAVLAHFILQEKLHMFGILGCILCVVGSTTIVLHAPHEQKIESVKQIWQLAIEPGFLVYSAVIVVVVAILIFYYEPRYGKTHMIVYVGICSLMGSLTVMSVKAVAIAIKLTFSGTNQFKYFNTWIFILVVATCCLLQINYLNKALDTFNTAVISPVYYVMFTTFTIIASMIMFKDWASQSGLKIATQLCGFVTILSGTFLLHKTKDMGNSVGGSGRGSVSMPTRDTPVFTNSGSGRSSSSDKVAS >scaffold_302901.1 pep chromosome:v.1.0:3:11192363:11193133:1 gene:scaffold_302901.1 transcript:scaffold_302901.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7L458] MEMSFTIHVPAGCQFTINVKPVVGLVPPAGGGGGGLNVNVHPPAGGVEQVKDEPLIVETSNLTDPSLKMANPKVFFDMSVGGKPAGRIVIELFAHTTPRTAENFRALCTGEKGMGKLGKPLHYKGSIIHRLVPDQMLCGGDITAGDGSGGESIYGDRFFEDENFINKQTGPGILAMANRGIDTNESQFMICMEGSPIIDTEHVVFAHVVEGLDVIRSIDKEVGTGNCVPSKPVIIADCGQIS >scaffold_302902.1 pep chromosome:v.1.0:3:11195640:11201645:1 gene:scaffold_302902.1 transcript:scaffold_302902.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 2 [Source:UniProtKB/TrEMBL;Acc:D7L459] MATKLPLQNSNNANVAKAPRGAAAGKTIEEMYQKKSQLEHILLRPDTYIGSIEKHTQTLWVYEKDEMVNRSVTYVPGLYKIFDEILVNAADNKQRDPSMDSVQVVIDVEQNLISVCNSGAGVPVEIHQEEGIYVPEMIFGHLLTSSNYDDNVKKTTGGRNGYGAKLTNIFSTEFIIETADGKRLKKYKQVFENNMGKKSEPVITKCNKSENWTKVTFKPDLNKFSMTELEDDVVALMSKRVFDIAGCLGKSVKVELNGKQIPVKSFTDYVDLYLKAANKLRADPDPLPRITEKVNDRWEVCVSLSEGQFQQVSFVNSIATIKGGTHVDYVTSQITNYIVGVVNKKNKNANVKAHNVKNHLWVFVNALIDNPAFDSQTKETLTLRQSSFGSKCELSEDFLKKVGKSGVVENLLSWADFKKSKDLKKSDGAKTGRVEVEKLEDAAEAGGKNSRLCTLILTEGDSAKPLALAGRTVLGENYYGVFPLRGKLLNVREASTTQITNNKEIENIKKILGLKQNMKYDNVSSLRYGHMMIMTDQDHDGSHIKGLLINFIHSFWPSLLKVPSFLVEFITPIVKAKNKAKKKVLSFYSMPEYEDWKESMKGNATGWEIKYYKGLGTSTTEEAKEYFKNLGLHKKDFVWEDEQDGEAIELAFSKKKIEARKNWLSNYVPGTHLDQREPKVTYSDFVNKELILFSMADLQRSIPSMVDGLKPGQRKILFTAFKKIVVKEMKVAQLVGYVSSMSAYHHGEQSLASAIIGMAQDYVGSNNINLLQPNGQFGTRNSGGKDAASARYIFTILSPVTRILFPKDDHILLDYLNEDGQSIEPTWYMPIIPTVLVNGSEGIGTGWSTFIPNYNPREIVANVRCRLNGESMVPMDPWYRGFKGTIEKTASKEGGCTYTITGLYEEVDDTTIRITELPIRRWNDDYKQFLQSLKTDNGAPFFQDVKAYNDDKSVDFDLILSEENMLAARQEGFLKKFKLTTTIATSNMHLFDRKGVIKKYATPEQILEEFFELRLEYYEKRKETMLKNLEIELLKLENKVRFILGVLSGEIIVNNRKKADIVEDLRQRGFTPFPKKAESVEAAVAGAVDDAAEESEEILVDPESSSTYIPGSEYDYLLAMAIGSLTIEKVQELLADRDKMIIAVEDMKKHTPKSLWLRDLESLDKELDKLDRKDAKAEEERKAAQEKIRKKSGAPVKVKRQAPKKPAPKKTTKKASESETTESSYSAMDTDNNVAEVVKPKGRQPAKKKAPAAKEVEEDDMLDLAQRLAQYNFGSAPAESSKTTETSKAIDVDDDDDEVVEVAPAKKGGRKPAASKAAKPPAAPRKRGKQTVTSTEVVAIGVSPEKKVRKMRSSPFNKKSSSVMSRLANNKEEESSENVAGNSSSEKSGDVAAKSRPQRANRRKMTYVLSDSESESANDSEFDDIEDDEDDE >scaffold_302903.1 pep chromosome:v.1.0:3:11202461:11206297:-1 gene:scaffold_302903.1 transcript:scaffold_302903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRGSAAAGKPFWMKHAEDAKIKDEGEKDAAAKAAFEATFKGVDQTTHLIEAVAPAPESAPESDSDSDDDDDESDYLSRKPIGPVDPSKSTASGAGIGGGTACVPSTFVVVTKDSDGRKVPNGGALIRVRVCPGVGVGGTDQEGVVKDVGDGSYAVTYVVPKRGNYMVNIECNGSAIMGSPFPVFFSQGSSSTGLMGSAPASYSNLINQTMPNMPNYTGSVSGAFPGLLGMVPGIASGPSGGAILPGVGASLGEVCREYLNGRCVNSMCKLNHPPQNLLMTAIAATTSMGNMSQVPMAPSAAAMAAAQAIVAAQALQAHASQMQAQAQSNKGSLGSPEKGENGDSLKKFLQVSNLSPSLTTEQLRQLFSFCGTVVDCSITDSKHLAYIEYSNSEEATAALALNNTEVFGRPLNVEIAKSLPHKPSSNNSSSSLPLMMQQAVAMQQMQFQQAILMQQAVATQQAANRAATMKSATELAAARAAEISRKLRPDGVGNDVKEADQKSRSPSKSPGSRSKSKSPISYRRRRRSRSYSPPFRRPRSHRSRSPLRYHRRSTYEGRRRSYRDSRDISESRRYGRSDEHHSSSSRRSRSVSPKKRKSGQEDLELSRHRRDSSSRGDKKSSRAGSRSPRRRKEVKSTPRDDEENKLKRRTRSRSRSVEDSADMKDESRDEELKHHKKRSRSRSREDRSKTRDASRNSDETKRKHRRRSRSKSLENDNGSDENVDVAQDGDLNSRHSRRRSNSLDEDYDMKERRGRSRSRSLETKNRSSGKNKLDEDRNTGSRRRRSRSKSVEGKRSYNKETRSRDKKSKRRSGRRSRSPSSEGKQGRDIRSSPGYSDEKKSRHKQHSRSRSTEKKNSSREKRSKRHERLRSSSPVGDKRRGDRSLSPVSSEDHKIKKRHSGSKSVKEKPRSDYEKVDDGDANSDFSRPERNLEGLLLSLDSMSSQDVEKSKENPPSSSSVKIGDANDDEKFKIEEKRITLKS >scaffold_302905.1 pep chromosome:v.1.0:3:11210817:11212012:1 gene:scaffold_302905.1 transcript:scaffold_302905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADTLIKMMGMKTLSPWEQTRLRSLMQDFNFGILGKTELTRDLTRLKENLLARKIDDEKPKENPILNDPKVRPRIKIRFKLIDNPKEDVERKKKSHLEAKQKLTELLMATEKKLTRSELRVYTNLCKDFRSHKIAYSEFVTSLLRLVEKYKNLYQRFVEIPYGDKEDEAGETLTRVKVDENPQETELDKINLEGKRKRGMLLPHESNQPERPMKKRRTSELLTPNYRLIPKAKQRPVSSSVLNNTCAVKRYNFQGCKNLTDIEEDRYKCEDQMFEADVLMGVLRTAVENAEEVIRGDMVLEDLGEKFYRCVEMMYGGDMYEIVTENHQRAFPVILNRLNQKLREVTALRESLKPVWKQTIEKLSKKQSESIAQDCEVKKTKI >scaffold_302906.1 pep chromosome:v.1.0:3:11213139:11213342:-1 gene:scaffold_302906.1 transcript:scaffold_302906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSENISTGQNFGCESLLGCIFQSWGPSRQKPSLPEKDHRAKVNLPFKSSTK >scaffold_302907.1 pep chromosome:v.1.0:3:11216421:11217349:1 gene:scaffold_302907.1 transcript:scaffold_302907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYVDELFKNISELLNPWEMRTFTSLIQDFRFNRIGETELDTSLQFLFEKHEDLYQRFKQVTSSSVKEDDEDLEEGEIRDGDHPKIQDENPKEEDPIHGESRIEADREVKVEVDENLDGTIERPLKKRRTSKRLEQVTPNYKPLLEEEQWPVSNTVLNNKCVLMKKSVKFEDKKLTGIEEEMKKCEDHMYEVDMLMEALRSAVDSAEKVMTGEMELEDLGGKFYRCVEMLYGGDMFEIVTEDHQRALPVIIRRLNQKMRDLTAARERLKPIWKKLIEENSAKQRDSSAQGNRKK >scaffold_302908.1 pep chromosome:v.1.0:3:11218815:11219269:-1 gene:scaffold_302908.1 transcript:scaffold_302908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMFSSVTKIVLLFAATLLLVHASARTLQQTQDLPLHGGVTAPTKAESPMVNAPLEDQKNFIYGGGIGGVAGVGGFLGMPGGGSGGTGMTLPLPSGTPLLGGAGGLGGLGGAMGFPGGLGGGPSGGGVPSSSGGSP >scaffold_302910.1 pep chromosome:v.1.0:3:11228239:11230868:-1 gene:scaffold_302910.1 transcript:scaffold_302910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7L467] MASQKLACLCFGVLLIFVVCVLTATNLRNKEISRSRKLKTEDSQSFNSSAMATRLDGVKLNEHAVTDPDKVAHEVSKLIHMSEQNITARRKLGFFSCGNGNLIDDCWRCDRNWNKNRKHLADCGMGFGSKANGGRNGSYYVVTDPSDEDVVNPKPGTLRHAVIQVEPLWIIFKRDMVIKLKQELIMNSFKTIDARGANVHIANGACITIQFITNVIIHGLHIHDCKRTGNVTVRSSPSHAGFRGTADGDAINIFGSSHIWIDHNSLSHCTDGLVDVVMGSTAITISNNHFTHHDEVMLLGHKDSYTQDKLMQVTVAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWKMYAVGGSANPTINSQGNRYAAPNNRSAKEVTKRLDTKTNEWMKWNWRSEKDLLVNGAFFTPSGEGASADYAQTLSLPAKSASMVDSITASAGALGCRRGKPCY >scaffold_302911.1 pep chromosome:v.1.0:3:11239586:11239854:-1 gene:scaffold_302911.1 transcript:scaffold_302911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKGLMILASSLLILAFIHHSESASMRSLLMKNGSYEEDEARVLKYDTMGTIANSSALDSKRVIPTGPNPLHNR >scaffold_302912.1 pep chromosome:v.1.0:3:11243794:11244976:1 gene:scaffold_302912.1 transcript:scaffold_302912.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L469] MFQIRQSCLSGKFLGDASLFGDSVPLSAQFRSPLRPLRFLPPFTPPMHLVDCRSSSFSSSKNSRSLFRRASPPSGKTSSYFAPGDFPHFSPCRVDRLIRVMPVIVVPATSLPRDLWPPLVSRIDARVAPVNRRRRRMPSPTLGLGQFSRFRFRRMHLIWVGPIFGSSEFCAGPLSLLGFQLALCCNHAFLACPTFGHKTSSTPTTIPVYLLFPFCHPFAISYFSTQRFTGYFSGFPLPKPDTSPIGPVRFRSRTTFVGSDFVQIRRSLLTGYFSGVSLPVSLAVPGCSQLRTTFVGSNFNGSSSWCFVTHVLTANSRIVMSALVADSISCSIALCVFHVVQGVFSLILSSVIKVQGLHDDVYCLSDRIALIYPSFCFCRH >scaffold_302914.1 pep chromosome:v.1.0:3:11256201:11256727:1 gene:scaffold_302914.1 transcript:scaffold_302914.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L470] MTPILRLVVVQCYRSRVGRSTFPFTARDFRTSRLGKEECHKIEKAKKSKDGKRFG >scaffold_302915.1 pep chromosome:v.1.0:3:11259831:11260246:1 gene:scaffold_302915.1 transcript:scaffold_302915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSILILLVFALDLSNALAEAPTLGGDGLLPLAEKYVVIRNKVKNRQILNVHCRSSEDDFGMIHIPWNDTWDFRFHVNFWKITKFRCHFTWYKSGSHNFNICKVSRDDSPLGHFQFVNNVYGK >scaffold_302916.1 pep chromosome:v.1.0:3:11265648:11267421:-1 gene:scaffold_302916.1 transcript:scaffold_302916.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nine-cis-epoxycarotenoid dioxygenase 6 [Source:UniProtKB/TrEMBL;Acc:D7L472] MQHSFRSDLLPTKTSPHSHLLPQTKNANISRRILINPFKIPTLPDLISPVTSPVKLKPTYPNLNPLQKLAATMLDKIESSIVTPMEQNRPLPKPTDPAIQLSGNFAPVHECPVQTGLEILGQIPSCLKGVYIRNGANPKFPPLAGHHLFDGDGMIHAVSIGSDNQVSYSCRYTKTNRLIQETELGRSVFPKPIGELHGHSGLARLALFSARAGIGLVDGTRGMGVANAGVVYFNGRLLAMSEDDLPYQVKIDGQGDLETIGRFGFDDQIDCSVIAHPKVDATTGDLHTLSYNVLKKPHLRYLKFDTCGKKTRDVEITLPEPTMIHDFAITENFVVIPDQQMVFKLSEMIRGGSPVIYVKEKMSRFGVLSKQDPTGSGINWVDVPDCFCFHLWNAWEERTEDGDLVIVVIGSCMSPPDTIFSESGEPTRIELSEIRLNMRTKESNRKVIVTGMNLEAGHINPSFVGLKNRFVYIAIADPWPKCSGIAKVDIENGTVSQFNYGPGRFGGEPYFVPEGEGEEDKGYVMGFVRDEEKDESEFVVVDAKDMKQVAAVRLPERVPYGFHGTFVSENQLKEQVF >scaffold_302918.1 pep chromosome:v.1.0:3:11287307:11300095:1 gene:scaffold_302918.1 transcript:scaffold_302918.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis monooxygenase COQ6, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7L474] MNRVLARNLSKNVCGTRVLRRTCSTVVTHEVSSSGSNESNAIRNSTDDGPQHDIAIVGGGMVGIALAASLASKPLTKHLNVAIIDNNPLLGRKNIIEKGHPPDPRVSTVTPATLSFLKDIGAWKYIEEQRHAYFDKMQVWDYTGLGYTRYNANDVHQDVLGCVVENKVLQSSQLSCVQESDLQKTVYPARLNAMDMLPSSSLTGLGEVPSSTDLFMRGRLAKLELSDGNHVYAKLVVGADGSKSRVRELAGIKTTGWNYSQNAIICTVEHTVENYTAWQRFLPNGPIALLPIGDKFSNIVWTMDPKEASDRKLMNEDDFIKAVNDALDSGYGPNPETTSSPDSLSWLTGDSTISAKERFETPPKVVKLSSERMMFPLSLRHAKDYVSKRVALVGDSAHTVHPLAGQGVNLGFADACALSKAISEGIALGTDIGESNLLKRYEADRKPANIAMMAVLDGIQKMYSVNFGPLNALRAAAFHGAHYISPLKKRIISYASGDQSLPLFS >scaffold_302922.1 pep chromosome:v.1.0:3:11335569:11340028:1 gene:scaffold_302922.1 transcript:scaffold_302922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLVDGEVDKVTADEANATHYDFDLFVIGAGSGGVRAARFSANHGAKVGICELPFHPISSEEIGGVGGTCVIRGCVPKKILVYGATYGGELEDAKNYGWEINEKVDFTWKKLLQKKTDEILRLNNIYKRLLANAAVKLYEGEGRVVGPNEVEVRQIDGTKISYTAKHILIATGSRAQKPNIPGHELAITSDEALSLEEFPKRAVVLGGGYIAVEFASIWRGMGATVDLFFRKELPLRGFDDEMRALVARNLEGRGVNLHPQTSLTQLIKTDNGIKVISSHGEEFVADVVLFATGRNPNTKRLNLEAVGVELDQAGAVKVDEYSRTNIPSIWAVGDATNRINLTPVALMEASCFANTVFGGKPTKADYSNVACAVFCIPPLAVVGLSEEEAVEQATGDILVFTSGFNPMKNTISGRQEKTLMKLIVDEKTDKVIGASMCGPDAAEIMQGIAIALKCGATKAQFDSTVGIHPSSAEEFVTMRSVTRRIAYKPKPKTNL >scaffold_302923.1 pep chromosome:v.1.0:3:11341621:11344518:-1 gene:scaffold_302923.1 transcript:scaffold_302923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFYVLVVSLLLTLNYRGEASGSVFFIDGSNNQFLRPRSSSEALPMSPSEISSAVSALLGFAPSASLTADGSSKLNKILKPNPFERPRAAFVLEIAGADDTLVETSPSHSFLSNAIRSSIKSDSYKADTELPDSEVVVVSVNEPSSDVTDKDINEFASWLGGSYVAGSAEQLSGLLSVPLAGGANVEFNLEKEAERKFVLNLLGLYQNIRRAVSVHDDLSHGIERTAELTVGRFGGIDALAQEYGQGMAKQGMDVLLSTLSKLFNLLVTSHKGQIVGVIVLDERVNQESTNLLNFGSSRSSARSMAEVEGIPSAAIIAEVILVRLTLAWLTGIILLIATILGVYFLMNMPLTKDTLLYSNVKLD >scaffold_302925.1 pep chromosome:v.1.0:3:11355743:11357413:1 gene:scaffold_302925.1 transcript:scaffold_302925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDYSAPNFLGESSGGNDDNSSGMIDYMFNRNLQHQQKQSMPQQQHHQLSPSGFGATPFDKMNFSDVMQFADFGPKLALNQTRNQDDQETGIDPVYFLKFPVLNDKIEDHNQTQHLMPSHQTSQEGGECGGNIGNVFLEEKEDQDDDNNSVQLRFIGGEEEDRENKNVTTKEVKSKRKRARTSKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRILGETGRDMTTTTTSSSSPITAVANQTQPLIITGNVTELEGGGGLREETAENKSCLADVEVKLLGFDAMIKILSRRRPGQLIKTIAALEDLHLSILHTNITTMEQTVLYSFNVKITSETRFTAEDIASSIQQIFSFIHANTNM >scaffold_302931.1 pep chromosome:v.1.0:3:11394685:11396708:-1 gene:scaffold_302931.1 transcript:scaffold_302931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFIVIFIVFSFLFATQFSNEGGEGSLTIGQCPAACNVRCSATSHKKPCLFYCNYCCNKCLCVPSGTYGHKEECPCYNNWKTQEGSQRERDRERALARTGGKGKTKDDGLTPEQRRERDAKALQEKAAKKAAQAAAAASSGGGKGNNK >scaffold_302932.1 pep chromosome:v.1.0:3:11397571:11398069:1 gene:scaffold_302932.1 transcript:scaffold_302932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTTKNSPIESLKLPTDYSREEFERACEFVKQVKEIDEEQNHRGIFIQYITAMKLYRSGSLDMVEVKNRITTIFKNCDVLLDGFHRIMKDSDCQDHIVVLKRMVGFLKALAKGSERLRLGLMEAFVRFKEHKDGQVLKEQVDLMLRDYPCL >scaffold_302934.1 pep chromosome:v.1.0:3:11401605:11402779:-1 gene:scaffold_302934.1 transcript:scaffold_302934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSHGEIDRFPVVYVGFGSEAKPSQTEINSIALGLELSGLPFFWVLKTRRGPWDTEPVELPEGFEERTAERGMVWRQGRAHGTKFETLVYGILCEEKKFGYMIPRDETEGFFTKENVAKSLRLVMEEEEGKVYRENVKDMKGVFGDLDRQDRYVDSFLDFLVAHR >scaffold_302935.1 pep chromosome:v.1.0:3:11407658:11408556:1 gene:scaffold_302935.1 transcript:scaffold_302935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTRRSPIESLKLPTSYSREEFERACEFVKKVKEIDEEQNHRGIFTQYVTAMKLYRSGSLNMVEVKNRITTIFKNCDVLLDGFYRIMKDSDLDSLRDHIVIDLKRMVEFLKALRKESERLRLGFLEAFVRFKEHKDGQVLNGEVDLMLRNYPFLKEEFRMILLENGIVQDEKRDEITFDREDEMFEKDMYFHSIESAIKFAAAEEDKKRKKPPAGVYGAMRRLYAQRRRPLPRGFMRDPKLAVRRVLSPLQWKHNELLKKKNRRKLLKKVQKVNESLVVFKFD >scaffold_302937.1 pep chromosome:v.1.0:3:11411905:11413514:-1 gene:scaffold_302937.1 transcript:scaffold_302937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLGTLRRNLHRASSDRWEQEQVLPPTTKRKRKAQEKPSKTRTEPQASSSKAPPKNRNDKEKVVEPSDLEDESENEETPSTSPKKTIKKKAPTKPPTSSQRLTKLKSIPFAPTRYPDHGFLQEARLLEDVQDIFTNLGLGQFFTRAYPTYVNPTREFLASLKVQFYDAREARANNDLGYFEFRVGGTLHKMTFKELGDIYNFQAGDELEFSGCSGEYLSFWGQIGTGILKKGATKASTIAHPAIRYAHIVLSHTLFARRETGNVLKEELICLLAGLQPILKELTPGKPIRTSARNTCVAAVFVRQLLHYQEWAWTTTDSTPVLSMGGLVTPILEAKGIALTNIDHEIVRIDPIYLKRRLFLAGRTERNLYVYQGRCSTSRMSAKVLLPNVPITSLRIDGNHHFYVSDVHHYDVARDGVLIAIRKGRRGEIPETSSPPHPPSPVYGQARYDFKPYDEAIADKALREAHTHIHLLQKFVMARENDKEAC >scaffold_302939.1 pep chromosome:v.1.0:3:11419402:11419639:1 gene:scaffold_302939.1 transcript:scaffold_302939.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L494] MEARPLLSVRRMMLTPVKAFAKPRPAMKLEKGRWRLSHDAGKGAGEGDSLRSSEKVAAKLDLR >scaffold_302940.1 pep chromosome:v.1.0:3:11420661:11421011:-1 gene:scaffold_302940.1 transcript:scaffold_302940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKAKLATKKISEYLRTSRGSLDPIPHDLIPDILKKLHVKTLARFICISKQYASIIRNKEFKKSYLIKSYTHPQSLIFTFDDNIYKKRVFFFTFDHHLL >scaffold_302942.1 pep chromosome:v.1.0:3:11429410:11431421:1 gene:scaffold_302942.1 transcript:scaffold_302942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGVGLAPLPARLTSSPPRLADFRYSTGIFEKYTRSSEFEIVSTPVICGHGVKESGAMVISDSDITVIVKLIKIRSNVPD >scaffold_302945.1 pep chromosome:v.1.0:3:11441850:11442152:-1 gene:scaffold_302945.1 transcript:scaffold_302945.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L499] MTKKPFVCFICKKPFVDPIVTKCKYFCEHCALKPFLWKDFVMNNDAASHEEQEVLCVQPTNTRVFQCSP >scaffold_302948.1 pep chromosome:v.1.0:3:11449349:11449833:1 gene:scaffold_302948.1 transcript:scaffold_302948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPKTIILLLLMLIAISLTIIFTLILQPQTMFLGEEFDVRVINSFRDNSSLPLVIWCTSPQGDLGGRALQEGDDFEWTAKIDLWSWMAEYTCTMKWNSKRKRFEAFKVSRDSNRCGSTKKCSWSVREDGFYFSSDEVYWTKDFSWL >scaffold_302955.1 pep chromosome:v.1.0:3:11475689:11478993:-1 gene:scaffold_302955.1 transcript:scaffold_302955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFASNLASKARIAQNTSQVSSRMSWSRNYAAKEIKFGVEARALMLKGVEDLADAVKVTMGPKGRNVVIEQSWGAPKVTKDGVTVAKSIEFKDKIKNVGASLVKQVANATNDVAGDGTTCATVLTRAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLFNELEVVEGMKLDRGYTSPYFITNQKTQKCELDDPLILIHEKKISSINSIVKVLELALKRQRPLLIVSEDVESDALATLILNKLRAGIKVCAIKAPGFGENRKANLQDLAALTGGEVITDELGMNLEKVDLGMLGTCKKVTVSKDDTVILDGAGDKKGIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGILPGGGVALLYAARELEKLPTANFDQKIGVQIIQNALKTPVYTIASNAGVEGAVIVGKLLEQDNPDLGYDAAKGEYVDMVKSGIIDPLKVIRTALVDAASVSSLLTTTEAVVVELPKDESESAGAGAGMGGMGGMDY >scaffold_302956.1 pep chromosome:v.1.0:3:11495529:11496093:1 gene:scaffold_302956.1 transcript:scaffold_302956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKSIYGYIIIVVTLLSVVARVTLAQPPKGHPGADINPQQTLAAHNKARAEDGVGPMVWNDTLAAYAQSFANKRIGDCALTHSSGPYGENIILGRYPDSNLSGPVAVGYWMEEKPNYNYKLNKCDFVCHDYTQIVWRNSVRLGCGSVRCQNDANVWIICSYDPPGNIPGERPY >scaffold_302957.1 pep chromosome:v.1.0:3:11559165:11562318:-1 gene:scaffold_302957.1 transcript:scaffold_302957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSKMKNVFLLLVHLALAIFGSALAKNNCQRNCGSVSIPYPFGIGEACYLSKWHEVQCHRNPASGQLLPFLPGINKTVLQINLPRQRASTPYGSIRIQMDIFSTGCGSPTNVFLKFDGNEVGDVLNLTGTPFVIGRANDVVGIGCNIKASLRKIEPRIVGCVSTCAPEARMDKKGCNGYICCRKKAPDVIGQVTGLSILGDSINTTIGRCKVAFLTDEFDRYPSSKISDPRWFYAMKHTTVQLRWSIQTVNRSSIGCSDHRCKCHNLTEYEYEIGYSTCACSSGYNGNPYLLGGCKDIDECRILNNDGRPRYCRGGSMCVNTPGGYHCVFHKNKALPIIIGVGTSFGVLISVGVAFWLYVIIKRQRQINRKKRFFKRNGGLLLQQQLNSTAGSIDKIIVFTSNDLNRATENFSVNRVLGKGGQGTVYKGMLVDGRIVAVKKSTSVDEHRLEHFINELVILAQINHRNIVKVLGCCLETEVPTLVYEFVPNGDLSNLLHHGSDNSPWELRLAIAVDIAGALSYLHSDASIKIYHRDIKSSNIMLDENRKAKLSDFGISRSVNVANTHLITEVAGTAGYMDPEYFQTMLYTDKSDVYSFGVVLVELITGEKTVTQQNRCLARDFALAVKESRLVEVIDVKLKDNHNIEQVTAVASLARRCVSPRGPKRPTMREVSVELERIRSLQLGAQPMVDSDEENEVLQFDINWDSSASTSQFQTAATSESFLLPPGT >scaffold_302959.1 pep chromosome:v.1.0:3:11587320:11592225:1 gene:scaffold_302959.1 transcript:scaffold_302959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSSRRQEDAEAGRRKLEQFRKQKAAERAKKASQSSTTQPVVDNSQQSVTDSDGVVASMSNGPLNQSAETSSNETHTKSSFSGDVYNLSFSNIAPDDGSKERSKQDDGQESLGKVDFSNSLEVIGSLKDLTVNTRPEVVPYSNIDKQSSESFGLASTLRESDAVPNDTSPFSGTSMQMDGFIHGSGLISSRKDSLQPTTRMAGTFHEVAENQQGSGELGGGSIVQKPTLSSSYLFRSPDTSSRPSESSDFSVNFTSSSPLNSAKSEAIVKRSRPSFLDSLNISRAPETQYQHPEIKADLVTSSGSQLTGSDGFGPSYISGRRDSNGPSLTSGASDSPNPFEKFRSPLYPAANGVMPGFTDFSMPKQNDDFTALEQHIEDLTQEKFSLQRDLDASRALAESLASENSSMTDTYNQQRGLVNQLKDDMERLYQQIQVQMGELESVRIEYANAQLECNAADERSQILASEVISLEDKALRLRSNELKLERELENAQAEMLSYKKKLQSLEKDRQDLQSTIKALQEEKKVLQTMVQKASSGGKSTDLSKTSTSRKNASTSTEGLAISDTTPKSSNQETDSATLLESDSSNTAIIPETGQLTLEGFSLSVPADQMRVIHNINTLIAELAIEKEELVQALSSELSRSAQVQELNKELSRKLEAQTKRLELVTAQKMAIDNVSPEKQQLDSHVVQERTPIADEGDEVVERVLGWIMKMFPGGPSKRRTSKLL >scaffold_302961.1 pep chromosome:v.1.0:3:11595001:11596285:1 gene:scaffold_302961.1 transcript:scaffold_302961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPEVTLVNVLVRFPLKSIARFRTVSKEWKLLIDSDFFRDLYISLNSSSSISWSIIQTKPQKLTLEIVGHHGCERWGLSRSPGSLVSFFAETPINKLNVLACTDGLVSVCAETSDGSPMYYIGNPLLQEWFRIPQPPFRNFERFRKHERFSDSGLVTKMKNGVVVSYKIVWLLTHPAKVEFMIYSSDTGTWDRRNVTCVHTALWTSRDKSIALNGILHWLSNLTSSIIAYDFYGGHDDGFCIIHFPGVGKDDELRRFRRTFTTSEGSIVYFNEFLENVNRTLRVWRLVKYTDGPEAWQLFREVSLVSLMESGINYFPVVMHPLNSEIIYFWNRNKKGLILFNLRTQVFSLHKETEDVRKCMDGCVLSFNRCSEYMESIYTYFLSSFQNGPNHLLFSQYVFPRWLHHLPGPNPT >scaffold_302963.1 pep chromosome:v.1.0:3:11607601:11608126:1 gene:scaffold_302963.1 transcript:scaffold_302963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLQQAYNAGQTKGQAHIWPLQEKAEQWTESAKETAHSARDKTANAAQSTKESAQHGQQQASGFIQQTGESVKNMAQGAVDGVKNTLGINEKK >scaffold_302964.1 pep chromosome:v.1.0:3:11608314:11608598:1 gene:scaffold_302964.1 transcript:scaffold_302964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPSIYTYCLLGGLYFAGMIDTNEIVFLPSQPDYDPYVVYYNIERKTMIRVEIQGTEAFKVSGFHIFLNHVENVKLIQA >scaffold_302965.1 pep chromosome:v.1.0:3:11608816:11612383:-1 gene:scaffold_302965.1 transcript:scaffold_302965.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydratase family [Source:UniProtKB/TrEMBL;Acc:D7L507] MHATILSPRATLFPCKPLLHPHNVNSRNRPSTISCSVQSVTADPSPPLTDSNKLNKYSSRITEPKSQGGSQAILHGVGLSDDDLLKPQIGISSVWYEGNTCNMHLLKLSEAVKEGVENAGMVGFRFNTIGVSDAISMGTRGMCFSLQSRDLIADSIETVMSAQWYDGNISIPGCDKNMPGTIMAMGRLNRPGIMVYGGTIKPGHFQDKTYDIVSAFQSYGEFVSGSISDEQRKTVLHHSCPGAGACGGMYTANTMASAIEAMGMSLPYSSSIPAEDPLKLDECRLAGKYLLELLKMDLKPRDIITPKSLRNAMVSVMALGGSTNAVLHLIAIARSVGLELTLDDFQKVSDAVPFLADLKPSGKYVMEDIHKIGGTPAVLRYLLELGLMDGDCMTVTGQTLAQNLENVPRLTEGQEIIRPLSNPIKETGHIQILRGDLAPDGSVAKITGKEGLYFSGPALVFEGEESMLAAISADPMRFKGTVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLIENGDIITIDIGKKRIDTQISPEEMNDRRKKWTAPAYKVNRGVLYKYIKNVQSASNGCVTDE >scaffold_302968.1 pep chromosome:v.1.0:3:11624520:11624803:-1 gene:scaffold_302968.1 transcript:scaffold_302968.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L510] MARLADYKGQFSAIAIDSALSQQVSALIRLNQASRGELKSVLDEIRRDIHEIAMNQYGSWFLVNLLRSMNLVSEVDGVFS >scaffold_302969.1 pep chromosome:v.1.0:3:11627689:11627947:-1 gene:scaffold_302969.1 transcript:scaffold_302969.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L511] MDPFTEDLWGIILARLPLRSITSSKLVCKQWISIVESPVLLVLPGREHLPRLSNVPIPGVETIWYKPPLCLA >scaffold_302970.1 pep chromosome:v.1.0:3:11633561:11634260:1 gene:scaffold_302970.1 transcript:scaffold_302970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSLFVSVPGGVTKSLEALRAQMEVQRRLHEQLEVQRRLQLRIEAQEKYLQSILEKACKLLLLLGLRLLGRAIRALTDYLKFFNSSQGTTVPYFRCNKNDDDAIFVRACSSSRQQ >scaffold_302974.1 pep chromosome:v.1.0:3:11643282:11643558:-1 gene:scaffold_302974.1 transcript:scaffold_302974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYWRRDPRRPMMQYDFLNEMEYSGSSMPMQMEIDDDDFYPMELFEGGGLLSSPKKPDYTIFFHKFEDDFDDSDIN >scaffold_302975.1 pep chromosome:v.1.0:3:11644399:11645929:-1 gene:scaffold_302975.1 transcript:scaffold_302975.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:D7L515] MSGAITCSAADLSALLGPNATAAANYICGQLGTVNNKFTDAAYAIDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGYAFAFGESSDGFIGRHNFGLKDFPTLTSDYSFFLYQWAFAIAAAGITSGSIAERTKFVAYLIYSSFLTGFVYPVVSHWFWSPDGWASPFRSEDRLFGTGAIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFPDGGHAIALRGHSASLVVLGTFLLWFGWYGFNPGSFTKILIPYNSGSNYGQWSGIGRTAVTTTLSGCTAALTTLFGKRLLSGHWNVTDVCNGLLGGFAAITAGCSVVDPWAAIVCGFMASLVLIGCNKLAELLKYDDPLEAAQLHGGCGAWGLIFVGLFAKEKYVNEVYGASPGRHYGLFMGGGGKLLGAQLVQIIVIVGWVSATMGTLFFMLKKLNLLRISNEDEMQGMDIARHGGFAYIYHDNDDDSIRVPGSPIPRAPNPPDV >scaffold_302979.1 pep chromosome:v.1.0:3:11694886:11695141:-1 gene:scaffold_302979.1 transcript:scaffold_302979.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L519] MADLNNYKGKFAEALLIPEHSRRLSTLVTSATAKELEMTVLELKMFNLHAIVGHEFGSEFFKNLSLIVLY >scaffold_302985.1 pep chromosome:v.1.0:3:11723964:11725552:1 gene:scaffold_302985.1 transcript:scaffold_302985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARHGQSSYRDRTKEFIDIVESLRRSIAPAANIVPYGNNRNDGSRREDLINKSEFNNRASKIGLAINQTSQKLSKLAKLAKRTSVFDDPTQEIQELTVVIKQEISALNTALLDLQVLRSSQNGEENNSRDTSTHSTTVVDVLKNRLMDTTKDFKDVLTMRTENMKIHENRRQLFSSNASKESTNPFVRQRPLAAKAAASESAPLPWANGSSSSSSQLVPWKQGEGESSPLLQQSQQQQQQQQQMVPLQDTYMQSRAEALHNVESTIHELNSIFTQLATMVSQQGEIAIRIDQNMEDTLANVEGAQSQLARYLNSISSNRWLMMKIFFVLIAFLMIFLFFVA >scaffold_302986.1 pep chromosome:v.1.0:3:11726203:11729429:-1 gene:scaffold_302986.1 transcript:scaffold_302986.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase/isomerase family protein [Source:UniProtKB/TrEMBL;Acc:D7L526] MIKTLASARIFFSKTSPSFLTPLSKLSVSHRRKFSVMANGSDEFVKGNVNPNGVAFITLDRPKALNAMNLEMDLKYKSFLDEWESDPSVKCVVVEGSTPRAFCAGMDIKGVVAEILMDKNTSLVKKVFTAEYSLICKIAGYKKPYISLMDGITMGFGLGLSGHGRYRVITERTVLAMPENGIGLFPDVGFSYIAAHSPGEGSVGSYLGMTGRRISTPSDALFVGLGTHYVPSGKLGSLKEAILSADISKDPHQHIQATLSNYSGDPESESHLKMLLPQIESAFSASKSVKETIEELKKYQQSTEASVSEWANEALQGLEKGAPFSLYLTHKYFSKVAGAKGKNNNTMSKLNGVMKTEYRIALRSALRNDFTEGVRAVLIDKDQNPKWKPASLDDVDETEVDALFKPLSPELEELNV >scaffold_302989.1 pep chromosome:v.1.0:3:11735958:11737795:-1 gene:scaffold_302989.1 transcript:scaffold_302989.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7L528] MVVNQKISGLASAMNAKIIGSGERSMVLAHGFGGDQSVWDKIIPVLSQSFKVLVFDWLFSGAIKDQTLYDPSKYNSLVAFSDDLIALMEELKFGPVVFVGHSMSGMIGCAASIKRPDLFTNLLLIAASPRYINSEDYKGGFESKDIDTIISNIGSNYEAWAVEFSSVVVDPRDSLSVQRFEKSLKKMKPETALALAKIVFGSDERELLGQVSVPCHVIQPGNDVVVPVSVAYFMHEKIKGKSTVEIIEDATGHFPQMTSHLELLGVMRRLLEF >scaffold_302994.1 pep chromosome:v.1.0:3:11792278:11792609:-1 gene:scaffold_302994.1 transcript:scaffold_302994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWLFDSSIEIKVNGAALIEMVLTGSKLMDLKLIISGSDSIFEGVLDLLKILISSRRALQIGIKAIFALCLVKQKKHLAISTGAPGILIDHGGFR >scaffold_302996.1 pep chromosome:v.1.0:3:11837046:11838090:1 gene:scaffold_302996.1 transcript:scaffold_302996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSEDETGYPKKFYSLNRQNHPMYSRPIPKRHAYYNEEEDEDEVEGEEEEPQGGYIRGNERFQKRQKPNKPVVSGFEFAGPSDTKVAYDWREQEAFVLLEVWGDRFLQLGRRSLRNEDWNEVAEKVSEELRMEKSETQCRRMIDNLKRKYRKEKIKVEKSGLGSSKWGFFNKLDMLLCVSPKSDLGLACGLDSGEFVFMNTKVYLDKSNGFDEMMDSPGDSEEEEDDEDEVDYERKKVNDAASYKMLADSVERFGKIYEKMEKSKKEQMKELEKMRADFQKDLELQKKQIVDRAQSEIARLREEEENHHGGGDDDESEDEEMENDSDVNLSDE >scaffold_303001.1 pep chromosome:v.1.0:3:11863653:11864828:-1 gene:scaffold_303001.1 transcript:scaffold_303001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFSISASPSPPWPLLSPPLSSPSLNRAEFFFRNGCLSSPSLSRNTQRKAWKQLQVVAMAPEEEKLTRRNPLDFPIEWERPKPGRRPDIFPKFSPMKSPLPPPMPYDPPEEDEEEEEKKEEETEDDPEKEDEDQPDNQ >scaffold_303004.1 pep chromosome:v.1.0:3:11893877:11894438:1 gene:scaffold_303004.1 transcript:scaffold_303004.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7L544] MANPKVFFDMTVDDKLAGRIVMELFADTTPRTAENFRALCTGEKGIGKSGKPLYYKGSRIHFVSRKLMLCGGDIIVGDGSGGESIYGELFEDENYIKKHTGPGVLSMANGGPDTNDSRFMICMQKCFPLDDVHVVFGQVVEGIDVVESIMEDVVTYNGKPSKTVVIADCGQIS >scaffold_303005.1 pep chromosome:v.1.0:3:11904187:11904682:1 gene:scaffold_303005.1 transcript:scaffold_303005.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7L545] MANPKVFFDMTVDDKLAGRIVMELFADTTPRTAENFRALCTGEKGIGKSGKPLYYKGSRIHFVSRKLMLCGGDIIVGDGPGVLSMANGGPDTNDSRFMICMQKCFPLDDVHVVFGQVVEGIDVVESIMEDVVTYNGKPSKTVVIADCGQIS >scaffold_303006.1 pep chromosome:v.1.0:3:11913789:11915545:-1 gene:scaffold_303006.1 transcript:scaffold_303006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAARLSMRMHKELKLLLSDPPHGASFPHLSSAASGSGDFSTFSTIDAQIEGPEDTVYANGIFNVKIQIPERYPFQPPIVAFSTPIYHPNIDNSGRICLDILNLPPKGAWQPSLNISTVLTSIGLLLSEPNPDDGLMCEVSREYKYNRQTFDYKAREMTEKYAKVKPDGSSTSFQIKIHGDEKACESGISVLAGNDDGIESSLSIAHTVNRDTAAKDQQEDRNGKRKAVIGFGEANSFGNDVIKTSRKKLSLALPPQSQKKDLCGEELTNVVSAACKENKKPNSNGKKLSLGLKQPFNDNLAFSSFRTSAAKSDNNRLSRKLSLRQPLRELNEVSKTEVLAQTDMKLEMNQNEDDRSWRGEFENSGLEEASMPESIVVLDSDDSGQEEEETVSLRSRLSLPKRRVLKCRP >scaffold_303013.1 pep chromosome:v.1.0:3:11957925:11958196:1 gene:scaffold_303013.1 transcript:scaffold_303013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMMDFRVYLMRVNLHNNVESCIKREAKLISLDDSVEVDVTRVVHCDGLLLCITKDYTKFVVCNPYLGQTRWIVV >scaffold_303014.1 pep chromosome:v.1.0:3:11958322:11958964:1 gene:scaffold_303014.1 transcript:scaffold_303014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTLIHGGLSMSLQTGLCIFMTVASLSREIHWFAKDQLLEESTFLICFDFTSERFGPHLSMPYELYFEGTASLSSVKEEQLAVLFQRWDTLEIEIWITTKIEPNAVSWNSKVFLAVNMRSFTCFDFQILLTHASFFIDKEKKVAVVFDKNKERNVNPTRTVAYIVGVDGSLKEADLGEYGDKHCYPLVCSYVPSLVQLN >scaffold_303016.1 pep chromosome:v.1.0:3:11967122:11968270:1 gene:scaffold_303016.1 transcript:scaffold_303016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMSNLPSDLGEEVLCRIPLTSLRKVRSTCKKWNTLFKGESFAKKYLGDQAKVAATEKEFMMVMMMDFRVYLMRVNLHNDVKSCIMREGELVSLEDEVNVSQVFHCDGLLLCIMEDNTRVVVWNPYWGQTRLIEPKHDFQKIYSYMYALGYEKSSKSCRIYKILRFIDFSPTYVEFKIYDINSNSWRDLDVITPYCKIYAHRRGVSVKGNTYWFARNRQCNLLCFDFTRERFGPCLPLPFQFYYSDTVSLSSVREEQLAVLFQCSYTLQMKIEPYRVSWNRKVFLAVDMNPLVSFQFQVSAASFFIDEENKVAVVFDKDKEGLMNPTRNVAYIVGVDGILEEVDLGVSAAKFCYPLVCSYVPSLVHL >scaffold_303017.1 pep chromosome:v.1.0:3:11969157:11971414:-1 gene:scaffold_303017.1 transcript:scaffold_303017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSSCSSSSTNKTLSSPARNLTLQSRIEAALEKKAEITTVLEQWRQQQEQGKQLNPSLVRGVVENLRDSQRCREALEVSNWMIVQKICNLIPEDFTTRFHLIENVFGLEEAGNFVESIPENLRNESIYNSLLSSYVRRNDLDKAESTFKKMRELGLLLRASPYNSMTSLYRRIPHGNRCKVDEILREMKESNIKLDRDTVNNALRVYADVTHIATMEKFLAEWEGNTPLDWLTRLDMAKAYLRSGFKGKAKEMLRRTEELRDPKSYEELMRLYGEAGGRKDVYRVWDLYKKLSKKDNEGFRALIGSLLKLDDINGAEEFYYKEWECSGLPFDVRIPTMLVSSYREKGMVEKADKLIKEIMKNEESVKPITPLLESLERKGNVVKPSELRDLIKNLCDSNQFYKALEASKWMSTKTSFNLFPQDYAARLHMIENVLGFEEAEKFFERSIPENMKDDYVYGTLLSCYAKSHKTLDKAEAIFEKMGDLGFLSKPSPFNSMISLYSQLGKREKVENLISKMKCMNIEPDSLTMNNVLRMYADETDIKTMDKYKREWINAEKNTKLEMRTMVAMANAYERAGLLLKAIEITRSKNEVHRLWNEYKEKEKRNYKIDDANLCKCRSAVGDEEYQSVISSLLKLDDLKGAEEIYGEWEPEGPEFNTRIPCLIISRYCKEGDEVKVREVVYSSIKKRKLMQFESFKEDVYVFGAICIVCVGFVGVLLMIITNPLLFFFLLIHLSR >scaffold_303024.1 pep chromosome:v.1.0:3:12003147:12004225:1 gene:scaffold_303024.1 transcript:scaffold_303024.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7L565] MTSLYHNSDHLTASGKDLWLNFCVLVDAAVMLYEEEQRRKIVSEEEEEAQQRIFCLFPRKTRSSLVKRQQKLNGVSTSTSSSLIDLNQFPTDSETEQNHLQLLSSSCFIADSEMKTLQNPSSESCSSLVLFDYKTAESEKTETKDPLNRNFPCSMSLCLTENTSRKRRAVEQRKRSGGVKKAKVACSSGTAPETPEWLVKVMRDMKEAKDAKLIFEKTLFVTDVNPTQNRLSMPFNNLLRNDFLTPVESRIINEDINNNKKIGVGAILVDQRCEKWGVMLKRWEMKKESGKGSWNYNLICGWNDVVEANGLKEGDNISLWSFRCCEILCFAMEQSSSSLALCLC >scaffold_303027.1 pep chromosome:v.1.0:3:12018779:12019529:-1 gene:scaffold_303027.1 transcript:scaffold_303027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIISDLPWDLVEEILSRTLITSLRAVGSTCKRWNTLSKDERYDKKNNHKVLRFVYDFDGNVRHEIYDFKSNSWRVLVITYNSYITFKRGVSLKGNTYFVDRKDEESLICFDFTTKRFGPCLHLPFDFNGYVIPS >scaffold_303033.1 pep chromosome:v.1.0:3:12063689:12066326:-1 gene:scaffold_303033.1 transcript:scaffold_303033.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:D7L573] MAIFSRSFLALSATLIILALCINNASTMAQETELKSHSSSNSSTANTLPNDDGAWNEHAVKNPEEVAAMVDMTIKNSTERRRLGFFSCATGNPIDDCWRCDQNWHLRRKRLANCAIGFGRNAVGGRDGRYYVVTDPSDHDAVNPRPGTLRHAVIQDRPLWIVFKRDMVITLTQELIMNSFKTIDGRGVNVAIAGGACITIQFVTNIIIHGINIHDCRRTGNAMVRSSPSHYGWRTMADGDAISIFGSSHIWIDHNSLSNCADGLIDAIMGSTAITISNNYMTHHNEVMLMGHSDSYTRDKLMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWVMYAIGGSANPTINSQGNRFLAPGNPFAKEVTKRVGSWQGEWKQWNWRSQGDLMLNGAYFTKSGAAAPASYARASSLGAKPASVVSMLTYSSGALRCRIGMRC >scaffold_303037.1 pep chromosome:v.1.0:3:12084091:12090042:1 gene:scaffold_303037.1 transcript:scaffold_303037.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 [Source:UniProtKB/TrEMBL;Acc:D7L577] MVALNPILTGYAVAALSVYALWFYFLSRRLTGPKVLPFVGSLPYLIANRSRIHDWIADNLRATGGTYQTCTMVIPFSWPRRFYTVTCHPKNVEHILKTRFDNYPKGPMWRAAFHDLLGQGIFNSDGDTWLMQRKTAALEFTTRTLRQAMARWVNGTIKNRLWLILDRAVKNNKPVDLQDLFLRLTFDNICGLTFGKDPETLSLDLPDNPFSVAFDTATEATLKRLLYTGFLWRIQKAMGIGSEDKLKKSLEVVETYMNDAIDARKNSPSDDLLSRFLKKRDVNGNVLPTDVLQRIALNFVLAGRDTSSVALSWFFWLVMNNREVETKIVNELSTVLKETRGDDQEKWTEEPLEFDEADRLVYLKAALAETLRLYPSVPQDFKYVVDDDVLPDGTFVPRGSTVTYSIYSIGRMKTIWGEDCLDFRPERWLTADGERFETPKDGYKFVAFNAGPRTCLGKDLAYLQMKSVASAVLLRYRVFPVPGNRVEQKMSLTLFMKNGLRVYLQPRGEVVA >scaffold_303039.1 pep chromosome:v.1.0:3:12134249:12134620:1 gene:scaffold_303039.1 transcript:scaffold_303039.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L580] MWTWSNSWWSWFSHQLILKFSFQVFSGGYGITGAIAGGVAAGAALLFDAPAIAFACRRRRKPQDIFFDVPGEFIIRISFY >scaffold_303041.1 pep chromosome:v.1.0:3:12157814:12158024:1 gene:scaffold_303041.1 transcript:scaffold_303041.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L582] MAAAGSKSINAKLVRLLFLLTSSILMGSLLNFSSLRLYLSFCGFLLLFCLVNAMSE >scaffold_303054.1 pep chromosome:v.1.0:3:12218017:12219577:-1 gene:scaffold_303054.1 transcript:scaffold_303054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPEELVVEILSRVPFISLARLRWTSKRWNAMIKNKILIRHCLLLCGTKDNRLVVCNPLSCETRWIQPGKSYTTIEIFALGYNNKSSCYTILRMYRFHDDFQSRYKYEVYDFTSNSWRVVGVTTGWVIPQSQNRRGMSMKGNTYWLAEEHGRNFLLSFDYTSETFQCLSLPADAKTLYHYLALSVTREEQQLCMLAIENTGALSWTKFLTLARSGMYYRRYFGMISFLIDQENKVVYCNSFFSNTIHIVGEDKYIEVNHDVVESPYESHNLFLLSYVPSFLKIQQGI >scaffold_303055.1 pep chromosome:v.1.0:3:12225811:12226002:-1 gene:scaffold_303055.1 transcript:scaffold_303055.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCU5] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_303058.1 pep chromosome:v.1.0:3:12253473:12254467:-1 gene:scaffold_303058.1 transcript:scaffold_303058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGRAASRSDLSTVRDALEVSAEMFKKDANNVSDYVQRHLISISIWEELRFWEGYFEYLMEQPANESVNYATLVTARLIIVASHMAGLGLPDTEAWNMIETIAEKQKLGYKLLVIRLSVY >scaffold_303066.1 pep chromosome:v.1.0:3:12297303:12298615:1 gene:scaffold_303066.1 transcript:scaffold_303066.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L13A [Source:UniProtKB/TrEMBL;Acc:D7L5T4] MVSGSGICSKRVVVDARHHMCGRLASITAKELLNGQSVVVVRCEEICLSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPSKIFWRTVRGMIPHKTKRGAAALARLKVFEGVPPPYDKVKRMVIPDALKVLRLQAGHKYCLLGRLSSEVGWNHYDTIKELEVKRKERSQAVYERKKQLNKLRAKAEKVAEEKLGTQLDVLSPIKY >scaffold_303074.1 pep chromosome:v.1.0:3:12389338:12390729:1 gene:scaffold_303074.1 transcript:scaffold_303074.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7L5U5] MDYMRIFSVFVVTLWIIRVDARVLGGRGIEKFVTFGQNYVVKWGQSHVSTLHSGEEVDLYIDQSSGGGFESKDSYGSGLFEMRIKVPGGNTGGIVTAFYLTSKGGGHDEIDFEFLGNNNGKPVTLQTNLFLNGEGNREERFLLWFNPTKHYHTYGLLWNPYQIVFYVDNIPIRVYKNENGVSYPSRPMQVEASLWNGDDWATDGGRTKVNWSYSPFIAHFRDFALSGCNIDGRSNNVGACESSNYWWNAGKYQRLSGNEQKLYEHVRSKYMNYDYCTDRSKYQTPPRECY >scaffold_303075.1 pep chromosome:v.1.0:3:12391499:12391961:1 gene:scaffold_303075.1 transcript:scaffold_303075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHRTLLCPNRIKFLLSVSKFKRHITQIHAFVITTGNLLNGSSISRDLIASCGLIGEISYARKVLDELPQRSVSVYNSMIVVYSRGNNPDEVLRLYDQMIAEKVQPDSSTFTMTIKACLSGMALEKGEAVWLKASGIWV >scaffold_303083.1 pep chromosome:v.1.0:3:12452003:12453722:-1 gene:scaffold_303083.1 transcript:scaffold_303083.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor family protein [Source:UniProtKB/TrEMBL;Acc:D7L5V3] MGKRRGVSAVNMVFGWLRQQSNKVKIALGIIISLILVVFLKFTVRNHNHFFIASELIHATGILILIYKLTRQKTCSGLSLKSQEVTAIFLAVRLICSINMEGDIHTVLDFATLVSTLWVIYMIRYKLKASYIKSLDTCYNYYVLVPSAILALVINPSTSYSYFHRILWAFCVYTESVSVLPQLRLMQNAQIIEPFTAHYVFALGIARFLACAHWIIQVVETRGHYLWLLGAGYFWFPVALIAEIVQTFILADFCYYYVKSVMEGQLVLKMPV >scaffold_303084.1 pep chromosome:v.1.0:3:12457336:12457607:-1 gene:scaffold_303084.1 transcript:scaffold_303084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFMIILLVICSILIAGRVEANNPKRKYLNPGILNPCLRPNAHKGCQRPQYKPRTPVNSYSRGCSTINRCRRQTP >scaffold_303085.1 pep chromosome:v.1.0:3:12462782:12463054:-1 gene:scaffold_303085.1 transcript:scaffold_303085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWMIILLVICVAVMVEQSEARKARKYLHPGVLDRCRGPTPPAGCHPHNSHQKPRVPVHKYSRGCGGINRCRRDA >scaffold_303086.1 pep chromosome:v.1.0:3:12479810:12480251:1 gene:scaffold_303086.1 transcript:scaffold_303086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSVKTPTLITPVTVVSSSVINKPQSIRFSLKPTAALAVHNRQPSFYGLKLKPTKFRCSASALTPQLKDTLEKLVNSEKVVLFMKGTRDFPMCGFSNTVVQILKNLNVPFEDVNILENEILRQGLKEYSNP >scaffold_303087.1 pep chromosome:v.1.0:3:12487341:12489922:-1 gene:scaffold_303087.1 transcript:scaffold_303087.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP82G1 [Source:UniProtKB/TrEMBL;Acc:D7L5V7] MFIFFSTLQFSLFSLALVIAGYIFLGKKLSKGEVDSSTIPEPLEALPLFGHLHLLRGKELICKKLAAMSEKHGPIFSLKLGFYRLVVASDPKTVKDCFTNNDMALATRPNIAFGRYVGYNNASLTLAPYGDYWRELRKIATVHLFSNQSIEMLGHIRSSEVNTLIKHLYKGSGGTSIVKIDMLFEFLTFNIILRKMVGKRIGFGEVNSEEWRYKEALKHSEYLAVIPMIGDVIPWLGWLDFAKISQMKRLFMELDSVNTKWLQEHLKKRSRNEKDQERTIMDLLLDILPEDIVISGHVRDVIVKATILALTLTGSDSTSITLTWAVSLLLNNPATLKAAQEEIDNCVGKGRWVEESDLQNLKYLQAIVKETHRLYPPAPLTGIREAREDCFVGGYRVKKGTRLLANIWKLHRDPKIWPDPQAFEPERFMEEKSQCEKSDFGYIPFGSGRRSCPGVNLGLRVVHFVLARMLQGFELRKVSDEPVDMAEGPGLALPKINPVEVVVMPRLEPELYSSL >scaffold_303089.1 pep chromosome:v.1.0:3:12494565:12496689:-1 gene:scaffold_303089.1 transcript:scaffold_303089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNILTESYDKKGGISLAPGPSADDVSDYLVLAAHRTKRPDILRAFKPYHGGWNITNNHYWALLLGSSNGEYVIEDPQNHLQRLTSSCFIAADSETKTLQNPSSELCSSLVLFDYKMAESDKTH >scaffold_303090.1 pep chromosome:v.1.0:3:12510414:12510910:1 gene:scaffold_303090.1 transcript:scaffold_303090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5W0] MIAALANLIFSTISLLSNSISYVIFHVAACSFVLFVQTFKIPAEAINALIKLVRDTAESCVPKLCKIVVDVISEVFTIVFDLAKGRVMRMSDSISLTIGNVREKGMPWFDQFLKEWPKVFEGFIDMLSTVVSGLWNNYKGALYYVYRKLVE >scaffold_303091.1 pep chromosome:v.1.0:3:12511528:12513123:-1 gene:scaffold_303091.1 transcript:scaffold_303091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVQLSETSSPRSQKNCPRVEKEEVDYMQRAQWLRAALLDRSQRWSGHSCFANDGCWFYQRRPGACSMAIGEFVSVCTQRDIETAQMKRAIENKTSLSEIDEQEEEEKKERLPNPGQAAIASALAFSVGAAMPLLAAVFIENHKVRMVVVAIVATIALVVFGVTGAVLGKTSVAKSSVRVVIGGWMAMALTFGLTKFIGSAAMQI >scaffold_303093.1 pep chromosome:v.1.0:3:12528146:12528750:-1 gene:scaffold_303093.1 transcript:scaffold_303093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIISDLPWDLVEEILSRTSIISLRAIGSYLSVRRLIVIRHFDNLGLVVWNLYLGQARWIEPKNNYHESDRYAIGYDNKKNHKVLRFFDEFDYNVKRHESTILSLILGEFLISLLTVVCYRVFKEVCP >scaffold_303094.1 pep chromosome:v.1.0:3:12542661:12542917:-1 gene:scaffold_303094.1 transcript:scaffold_303094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGSTLYVFGGRDASCQYNGFYSDTTPNERKLLSPVEEGPTPRSFHSMTADEENVYVFGGVSFTARLRH >scaffold_303095.1 pep chromosome:v.1.0:3:12550517:12551342:1 gene:scaffold_303095.1 transcript:scaffold_303095.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6B4] MFTLKAPLFPLHVVHSLSISSLPSKPLSLLCKKQTFFPNVFVVNSSKKWSANSGKDYVMMKNKNKMGKKKSRGLSSTKTLQNSRSNPNSHNRSKSNNSHNSRFKMFTLKAPLFPLHVVHSLSISSLPSKPLSLLCKKQTFFPNVFVVNSSKKWSANSGKDYVMMKNKNKMGKKKSRGPVKEITRKMKQRLEKRKINETWKEVESKENLTFTERTAGAVKFILRWRSIIGLPAIDGTKIKIWHIFKKDNGEIVRLILEWIR >scaffold_303096.1 pep chromosome:v.1.0:3:12554853:12555091:1 gene:scaffold_303096.1 transcript:scaffold_303096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTSDSDYFTTIVANKYGSKRVQKLLGKSDDVDALFCAAILRGMSLGISWWSRHCDSPRRCL >scaffold_303099.1 pep chromosome:v.1.0:3:12567336:12570468:-1 gene:scaffold_303099.1 transcript:scaffold_303099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELELPSRLFADREEPAGDRVNMYFKLNTIKAVLKALTPEELDTISFDMTMQCIKSRSLNQLAQATVAIQGFIHALCLVLVEAVPAVLSAVGEITNPESGDEDVFPVISLKLDKVWDLDKDSKVDVFSIIPAPHDVVGLEDCSWADEVRDPGVEVILSKIEEGCEFNRGMFVGGLRGAVLHVEAPPRVVNKGKRKVRSRHSGEHLVRGGSSRDKKLKVRSGKGKHVLSDPNTSLLGALRNEIEAGLKDARGDVYAHVCVDLKEMELRLERSMKRSIFSAVAEALSSMEIVKSVVTEVGVGTSDPYSQPPANGASNPVNPAKVASILVNPADGASSPVNPAATANLNGESEEAEDSSSASEDSTKSQASGGTIDGEGGSVPEAAFESDGTPSSEKFKKLVELLEPRFDFEYGGGIVLKESELRLVASSIPPDNPQVMDACVSVMRESVFINTDPAGVPRADMLTSHFHGSLAVMFSKFKKCRRKESFEFDEDLLSSITHRFSSTGRKWLEAIDYLYSPFNIDKNRWIAVMVDLPSHSLSVFDSTANALRGSRLKPELEFLCEMFPYLVRKIGANDLMINYPLSPLSFTRHTRVTQASDRANTGMLSLLFMEAHAFGGFDKVCQVSEAGLRQRAEQLAVQLYEHCCGDIEV >scaffold_303102.1 pep chromosome:v.1.0:3:12632946:12633420:-1 gene:scaffold_303102.1 transcript:scaffold_303102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEERETKDPQNPNSQSSLSLCLTENTSRKRRAVEQRKRSGGVKKAKVAPSSGTARETPEWLVKVMRDMKEVKDAKLIFEKTLFVTDVNPTQNRLSMPFNNLIRNDFLTSVESIIINEDINNNKKIGVGVILVDQRSEKWGXX >scaffold_303103.1 pep chromosome:v.1.0:3:12633584:12633820:-1 gene:scaffold_303103.1 transcript:scaffold_303103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYYDEVHLTASGKGMWSNLCVLVDAGLCYMKKNNVVRLSLKKKQKARRESFVSSREKQDRLW >scaffold_303105.1 pep chromosome:v.1.0:3:12648620:12649224:1 gene:scaffold_303105.1 transcript:scaffold_303105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIISDLPWDLVEEILSRTSIISLRAIGSYLSVRRLIVIRHFDNLGLVVWNLYLGQARWIEPKNNYHESDRYAIGYDNKKNHKVLRFFDEFDYNVKRHESTILSLILGEFLISLLTVVCYRVFKEVCP >scaffold_303106.1 pep chromosome:v.1.0:3:12652131:12652320:-1 gene:scaffold_303106.1 transcript:scaffold_303106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMYHDYDHLTASGKNLWSNFFVLVDAAVMLYEEEQRRKISPKKKXXXX >scaffold_303108.1 pep chromosome:v.1.0:3:12658748:12659244:-1 gene:scaffold_303108.1 transcript:scaffold_303108.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6C5] MIAALANLIFSTISLLSNSISYVIFHVAACSFVLFVQTFKIPAEAINALIKLVRDTAESCVPKLCKIVVDVISEVFTIVFDLAKGRVMRMSDSISLTIGDVREKGMPWFDQFLKEWPKVFEGFIDMLLTVVSGLWNNYKGALYYVYRKLVE >scaffold_303109.1 pep chromosome:v.1.0:3:12663617:12664612:-1 gene:scaffold_303109.1 transcript:scaffold_303109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIMPCKHKRHVETTFHLHLKLSTSTSLLSITNIRIFDTNTDVLNAFRGNRDIGVMVGVKNQDLEALSVSEDAVNTWFVTNIQPYLADVNITFITVGNEIIPGEIGSYVLPVMQSLTNVVKSRSLPILISTTVAMTNLGQSYPPSAGDFTPQAREQLTPVLKFLSQTNTPILVNIYPYFAYAADPVNIHLDYAIFNTDAVVVQDGPLGYSNMFDVIFDAFVWAMEKEGIKDLPMVVTETGWPSAGNGNLTTPYIASMYNSNFVKHVESGKGTPKRPNNSINGFLFATFNENQKPAGTEQNFGLYYPTDMKPIYKLF >scaffold_303111.1 pep chromosome:v.1.0:3:12708668:12709104:-1 gene:scaffold_303111.1 transcript:scaffold_303111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRSLPMDLQKEILSRVPATSLARLRSTSKQWNALLKSANIHSTNAPKESLIIMLEYFRVCLVRANYLHELDINIAPSVNVTSQFYLEDPQSKSSQVDIRKVFHCDGLLLCNTEDDRLVVCNPCSGETK >scaffold_303112.1 pep chromosome:v.1.0:3:12732449:12733628:1 gene:scaffold_303112.1 transcript:scaffold_303112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATLRRLILLSSANRSINSSPSYLSSTVNHLSLSFSSVSSSPESHTSPPRIRTRTPLETQFETWIQNLKPGFTNSDVVTALRAQSDPDLALDIFRWTSQQRGYKHNHEAYHTMIKQAITGKRNKFVETLIEEVIAGACEMSVPLYNCIIRFCCGRKFLFNRAFDVYNKMLRSEDSKPDLETYTLLLSSLLKRFNKLNVCYVYLHAVRSLTKQMKSSGVIPDTFVLNMIIKAYAKCLEVDEAIRVYKEMALYGSEPNAYTYSYLIKGVCEKGRLGQGLGFYKEMRSKGMVPNGSCYMVLICSLSMERRLNEAVEVVYDMLANSLSPDMLTYNTVLTELCRGGRGGEALELVEEWKKRDPVMGERNYRTLMDEVYFLNKG >scaffold_303113.1 pep chromosome:v.1.0:3:12734917:12736503:1 gene:scaffold_303113.1 transcript:scaffold_303113.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7L6S6] MSSASAMKAVGVLLLLTVLTLAYAKKSGDVTELQIGVKYKPQKCDLQAHKGDKIKVHYRGKLTDGTVFDSSFERGDPIEFELGTGQVIPGWDQGLLGACVGEKRKLKIPSKLGYGDNGSPPKIPGGATLIFDTELVAVNGEPASEGKAKNEL >scaffold_303115.1 pep chromosome:v.1.0:3:12738515:12739021:1 gene:scaffold_303115.1 transcript:scaffold_303115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLASEYTAALNRVGCSSDGGLGLAGATNGVFGFRNATPIFGMIPTLEPFKHAALLPLTQEDKVILNNVQKVIEFTNVRSSMLNIPNQVISLDLLR >scaffold_303116.1 pep chromosome:v.1.0:3:12746051:12746595:-1 gene:scaffold_303116.1 transcript:scaffold_303116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEARIERNVDGITSPYYVAPCFLAFLFIPWIYFEFLVFRDTSSFHFDYAIFGANSF >scaffold_303118.1 pep chromosome:v.1.0:3:12752307:12753195:1 gene:scaffold_303118.1 transcript:scaffold_303118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSRTKRVTDPLDDDAKARIFSSHGCILDQDSPRLYELVHGFFEDGPEETFYDSDSKLSENSVVECPSEDSEEILRMAVSLSDSDPYQNLLLAHVLRAVEAYSGFRSRNKSVFGDKVVSFLRELGYNAAVCVSKWTSSAKLIAGSYQFIDVVYKPSDNDQTAVRYFVDLDFASEFEIARPTREYTRVLQLLPNVFVGKEENLRTIVRESCDAAKRSLKSRGLSLPPWRRSSYLQHKWFSPYKRKVGSSLGVKPLNSDAVSCRSLGYDDGAVNTRLFIRA >scaffold_303122.1 pep chromosome:v.1.0:3:12774397:12774953:1 gene:scaffold_303122.1 transcript:scaffold_303122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASDASIHSTREKNSSSTTSTTSPVKRPSPESKEADEPRRKKLFLQRSEEEDANLIGYSKIPLPVVEFNPTRIRSSRSNAIPEAELVNPESSAANKVN >scaffold_303126.1 pep chromosome:v.1.0:3:12809356:12811384:1 gene:scaffold_303126.1 transcript:scaffold_303126.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7L6T7] MENEMEDKFENWKGKEAISGKHGGIRAASIVCVVVMMENIVFIANGFNFVKYFMGSMHYSPATAANMVTNFMGTSFLLTLFGGFIADSFLTHFTTFIIFCCIELMGLILLTFQAHNPKLLPEKGKTPSTLQSTILFTGLYAMATGTGGIKASLPTHGGDQIDRRNPRLISRFFDWLYFSICFGCLLAVTVVLLIEEKKGWKWSFNISVGILATALCIFTVGLPFYRFKRPNGSPLKKIAIVIISAARNRNRSDLDEEMMRSLIPFDKNIGHNKLKWIDKAMLNKNISETEVEETRTFLGLLPIFGSTIVMSCCVAQLSTFSAQQGMLMNKKLFHSFEIPVPSLNAIPLIFMLFSIPLYEFFGKRISLGSNNRSSSFNLKRIGLGLALSSVSMAVAAIVEAKRKHEVVHNNFRISVLWLVFQYLMLSVSDMLTLGGMLEFFYREAPSNMKSISTALGWCSTAFGFFVSTTLVEVTNAVTGRLGHQWLGGEDLNKARLELFYVLLCVLNTLNLLNYIFWAKRY >scaffold_303128.1 pep chromosome:v.1.0:3:12830173:12830676:-1 gene:scaffold_303128.1 transcript:scaffold_303128.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L6U0] MARKFSPSDGSPPTLGFREEESIHATTAGANKHDEGKEIQRQRALSRYRQGVPVTGEASATTKLGFDGWKNWERKKFGACNAPSLFSATFAQIFSISYQLSNSTILLLYTARTHLHGTYFYYFYMHSLKIIMATYRLTRVRMQKYMEELHSQD >scaffold_303134.1 pep chromosome:v.1.0:3:12849303:12850497:-1 gene:scaffold_303134.1 transcript:scaffold_303134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPELPKDLLEEILCRVPATSLKRLRSTCKRWNLLKNDKRFTRKHFHKAPRQSLILMWNEFGFSSMNINLHRVSPIEVTGELNLIDPHYSLDQFRNSLLCHTSGLLLLLCVCDNNEVEGTRLVVWNPCTGLTKWIQHRKLCYICTYALGSYQDNKSGNKSYKILSRRFYGHQDPEFEIYEINSNSWRRILDVNVDCTDMYIRNVSLKGKTYWFATNEKEKQLGLFLISFDYTTERFERLCLPYPHPYHGYQNESLSVVREEKLSVLLQRTFTSKTEIWVTNKIGETKVLSWIKVLAVDLTPEPKFDIKFLVDEEKKVLVYTQNNGYKSQSIVYIVGKDNKVREVDFGDEFKPFLLNYVPSLTQIQQMENSIQSLFRDIHLFQ >scaffold_303136.1 pep chromosome:v.1.0:3:12857243:12858377:-1 gene:scaffold_303136.1 transcript:scaffold_303136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPELPEDLLEEILCRVPATSLKQLRCTCKLWNRLFNDKRFARKHFRKAPRQSLILMLKNLGFSSMSFNLHRVSPIEIIGELNLIDPHSSSYLFKIYQSYHSSDGLLLCVNNMEGSTRLVVWNPCTGQTKWIQHRKIGYFCTYSLGSYQDNKSGNNSYKILSRRINGYPEFEIYEINSNSWRHLDVTVDCTFMYLQNVSLKGKTYWFARDEKEKQLGLILMISFDYTTERFERLHLPYKYPDFRNIDFSVVRDEKLSVLLQRNLTPKTEIWVTNKIGETKVLSWIKGLTVDLKPELRDGIKFLVDEEKKVLVCTQNNGYKRQTMVYIVGEDNKVREVAFGDEFKPFWLNYVPSLTQIQQGDD >scaffold_303137.1 pep chromosome:v.1.0:3:12859284:12859782:-1 gene:scaffold_303137.1 transcript:scaffold_303137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSLIHLEGKLISVISRGNITMWSLEDIENQEWSYKHLGLPFPYNDPISQTRFSPNFVNDAGEFIYVPTSNNFRFHIIYFDPNGNSFRRVVVDGFVDDHIGAKMDLETNLSISNLYLIQSHRDSHVNLRKTCKIILPFLFSCQYYTQESYH >scaffold_303138.1 pep chromosome:v.1.0:3:12862687:12863784:-1 gene:scaffold_303138.1 transcript:scaffold_303138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIYLKRLRCTCKLWHNLFKDKRFARKHSRKASRQSLILMLKNFGLSSMSINLHRVSPIEVTGELNLIDSHSSLESLDHYRNYQNCHSSDGLLLCVNNGMESSMEDNTRLVVWNPCTGQTKWIQHRTMGYICTYALGSYQDNKSGNKSYKILSRIYDDQEFEIYEINSNSWRILDVTVDSYMSIQNVSLKGKTYWFARDEKEKLLLFLICFDYTTERFERLCLPYPHPYHGYQNEYLSVVREEKLSVLLQRELTSKIEIWVTNKIGETKVLSWIKFVAVDLTPEFRFGINFLVDEEKKVLVCTQNIVLNGKNMVYIVGEDNKVREVAFEDEFKPFLFYYVPTLTQIHQGYD >scaffold_303145.1 pep chromosome:v.1.0:3:12909179:12909449:-1 gene:scaffold_303145.1 transcript:scaffold_303145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGDEISPTLNLAMDDSTASLIILSINYANSSWCLDELALLCDLRSSLKRPMIHILYGVNPSDVRKRESFLTRRR >scaffold_303153.1 pep chromosome:v.1.0:3:12942132:12942358:-1 gene:scaffold_303153.1 transcript:scaffold_303153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIFGKRKLKKDGSEGKMVVIPSVEELQREDREAWISYSALDAISTLKLYESMTKKLQL >scaffold_303154.1 pep chromosome:v.1.0:3:12950051:12950994:1 gene:scaffold_303154.1 transcript:scaffold_303154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERNLLPEFTIDDAVSYFLAVSDALQDDEPAKFQEFLNIFRDFEARRVDTPTVVARMEELLYDHFNLLLGLNTFLPVEFWITIPPVARKESGQFQKVVGKRLPPPKPTMGDATSYIAALKEAFHDEPAKYEEILKLLNNFKARRVDAASVIARVDELLKDHQSLLLGFSLFLSANMNSIRKPKGLFQGDGSHVVNSVLQRGKQVQK >scaffold_303155.1 pep chromosome:v.1.0:3:12953751:12954310:1 gene:scaffold_303155.1 transcript:scaffold_303155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERNLLPEFTIDDAVSYFLAVSDALQDDEPAKFQEFLNIFRDFEARRVDTPTVVARMEELLYDHFNLLLGLNTFLPVEFWITIPPVARKESGQFQKVVGKRLPPPKPTMGDATSYIAALKEAFHDEPAKYEKILKLLNNFKART >scaffold_303158.1 pep chromosome:v.1.0:3:12965271:12965574:1 gene:scaffold_303158.1 transcript:scaffold_303158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNKIVHKETSKIKSLSNGEVLVEKRGVSVLENGGVCKIDRMTGLKFKRRNVFAVRDFPPGCGTGVERFGLRKMEDEEEEFEDE >scaffold_303161.1 pep chromosome:v.1.0:3:12990525:12991468:-1 gene:scaffold_303161.1 transcript:scaffold_303161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERNLLPEFTIDDAVSYFLAVSDALQDDEPAKFQEFLNIFRDFEARRVDTPTVVARMEELLYDHFNLLLGLNTFLPVEFWITIPPVARKESGQFQKVVGKRLPPPKPTMGDATSYIAALKEAFHDEPAKYEEILKLLNNFKARRVDAASVIARVDELLKDHQSLLLGFSLFLSANMNSIRKPKGLFQGDGSHVVNSVLQRGKQVQK >scaffold_303164.1 pep chromosome:v.1.0:3:13010857:13012931:1 gene:scaffold_303164.1 transcript:scaffold_303164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHVLRPPIALRSSLLGFLSKSHNPLLSGRWVRAGDCSTMRCFASATRGKNKKQRLDEACVERFNEYSRTLIQSWIIQGKVLVDGRRVIKAGMPVSNTAAIKITAEVPKFVCRGGLKLEAALEKLDVDVSEKVVLDSGLSTGGFTDCLLRYGAAHVYGVDVGYGQVADKIRNDKRVTVIERTNLRYLPGLPQKVDVVTLDLSFISILKVMPAIMNVMKEDATLVTLVKPQFEARRQQVGKGGIVRDPEVHQEVLEKIINGVERYGFTNKGFIESPIKGAEGNIEFLVCFNRGTVKPEGEEY >scaffold_303166.1 pep chromosome:v.1.0:3:13016057:13017723:1 gene:scaffold_303166.1 transcript:scaffold_303166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECHCHSILSKLIFVEPKYSTLSSSTIYLPEELKVEILSRVSATSLARSQCVSKGWNALINDEKFTKKRFLLRSHATVIMLIENRVYLVNVNLHETHNNMVKVKYQYSLKDQLSKSSEEVDIRNIFHCDGLLLCTTKDNRLVVWNPLSRETRWIQPRRTYKRFDYFAIGKSSCNKYKILRMDQTGNVHNPSLLEFEIYDFTSDSWKAVGEIGDWFILRRNGRVMYVNGNAYWLATTRGYVDFLQSFDFSTERFTRVSLPVDRHSYHIFSLSVTREEQKLCLLTQDEPVGIPTAKGSSINVWMATKIESNGATSWIKFLSVNFANIHKPFCFHNGMNFLVDPENKVFVCPGKNGFSKTFLNILGENKSIQVEHQDAESVCSLLVNYVPTLVQIQQGSLKKVKKYRRK >scaffold_303167.1 pep chromosome:v.1.0:3:13018682:13018976:-1 gene:scaffold_303167.1 transcript:scaffold_303167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSSDPAKPTSIVAVYKRGHNLLKLTKPGYFESLKTGLCQAWIKLRITVQPLTEAVIFPNVPKTLSPIDRVNKWWLHPFRPHH >scaffold_303168.1 pep chromosome:v.1.0:3:13027196:13028568:1 gene:scaffold_303168.1 transcript:scaffold_303168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLRKRFKNFVSSGSRAWASLNNITGPQTQVDEARHINRSDMIGLGLTPRISSLLFPKYSTLISSTMYLPEELVVEILARVSAASLARLRRVSKGWNATINDEKFAKKRFLLRSHATVIMLVEHRVYLVSVNLHEVHNNMVKVKYQLSLKDPLSKSSEEVDIHDIFPCDGLLLCTTKDDRLVVWNPLSRETRWIQPKSTYNILDYFALGKSSCNKYKILRMDQIGNIHPDSLEFEIYDFTSNSWRVVGKTTDWFIQPWQGRVMSVNGNAYWLACREGHGDFLQSFDFSTERFKRVSLPGDHHSYQVFGLSVTREEQQLCLLTQNNSKQSPYAWIEPAEEPRINVWIATKIESNGAASWIKFISFDLDNIHQRFCFLNAMNFLVDPENKVLLCRGKIWVSKTFLNILGENKSIQVDHQDSESVCSLLVNYAPTLVQIQQGSLV >scaffold_303169.1 pep chromosome:v.1.0:3:13030181:13030568:1 gene:scaffold_303169.1 transcript:scaffold_303169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIPEELVVQILTRASAASLARSQCVSKRWNALIKDEKFAKKRFLQRSHATVIMLIENRVNLVSVNLHEIHNNMVKVTNQFSLKEPLSKSSEEVDICDIFHCDGLLLCTTKDDI >scaffold_303170.1 pep chromosome:v.1.0:3:13030649:13031354:1 gene:scaffold_303170.1 transcript:scaffold_303170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFHNFNAYLIEFEIYDFTSNSWRVAFQTRDWFIQPWKSRVMSVNGNTYWLASTKDHGDYLQSYDFSTEKFRRVSLPGDDRSYQVFSLSVTREEQQLCLLTQDKLVHSRNGWISNINVWIATKIESNGAASWIKFLSFDSANIEKRFCFINGMNFLVDPENKVLVFPGKNKVSKTFLNILGENKYIQVDHQDAESVCLLLVNYVPSFVQIQQGSLKKVLR >scaffold_303172.1 pep chromosome:v.1.0:3:13041292:13042448:1 gene:scaffold_303172.1 transcript:scaffold_303172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMMLKKRSTNYLPEDLVVEILSRVPLTSLARLRWACKGWNDLIKDKILAMKPSQIIVLIDSRVYLASVDMHKIDNNKVNLTSQFSLKDPLSHNFSEEVDIQNVFHCDGLLCTTKDDRLVVWNPLSRETRWIQPRSTNKEFEYFALGISSSNKYKILRIVHTGKTHPGLLEFEIYDFTSNSWKVISESRDWLKPLWKSCVMSVNGNIYWLAFREGDGIFLQSFDFSTERFRRVSLPGDHHYYNILSLAVTREKQQLCLLTQDRQVPANNVWIATKIESKRAASWIKFLSFDLPNFHHPFHFCSPMNFLVDRENKVLVCPGKNNGVSNNFLNILGENKFIQVDHQDGKSVCSLLVNYVPTFVQIHQGSLK >scaffold_303179.1 pep chromosome:v.1.0:3:13069945:13071854:-1 gene:scaffold_303179.1 transcript:scaffold_303179.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7L7E6] MEEPFLLQDEQLSPCKATWKSGQVTVELKKVSSLAAPMAAVTIAQYLLPVISVMVAGHNGELQLSGVALATSFTNVSGFSILFGLAGALETLCGQAYGAKQYEKIGTYAYSATVSNIPICVLISILWIYIEKLLISLGQDPDISRVAGSYAFWLIPALFAHAFFIPLTRFLLAQGLVLPLLYSTLTTLLFHIPVCWAFVSAFSLGSNGAAMAIGVSFWFYVVILSCYVRFSSSCDKTRVFVSSDFVSCIRQFFHFGVPSAAMLCLEWWLFELLILCSGLLLNPKLETSVLSICLTTASLHYVIPAGVAAAVSTRVSNKLGAGIPQVARISVLAGLCLWLVESVFSTTLLFTCRNIIGYAFSNSKEVVDYVADLTPLLCLSFVLDGFTAVLNGVARGSGWQHIGALNNVVAYYLVGAPVGVYLAFNREFNGKGLWCGVVVGSAVQAIILSFVTCSINWKEQAEKARKRIVSTENRLA >scaffold_303182.1 pep chromosome:v.1.0:3:13111216:13111522:1 gene:scaffold_303182.1 transcript:scaffold_303182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRETVSPTRTQRVPIETSEYDLETIRVFSDASWRAESHEAGFGWLLIDHLGKKEFQGRSTAKNIGTPRDGGSKRPSPRNPTRFGP >scaffold_303186.1 pep chromosome:v.1.0:3:13148395:13148639:-1 gene:scaffold_303186.1 transcript:scaffold_303186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHRSFAGLLVSLIFHIGSPSSHTNTLFSFESLWPQSNRTLVSPGDVFELGFFSRGTSAIGIRT >scaffold_303188.1 pep chromosome:v.1.0:3:13159326:13161548:-1 gene:scaffold_303188.1 transcript:scaffold_303188.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L7F7] MATMAAIGALKFPSSSTSSSSSNLTRRSSSSLRKPLSFSSSSLTGEKLSTPEKTISNHHRRRQSKRTPSIISPKAVSDSQNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYEKFIQAHRETDADITVAALPMDEKRATAFGLMKIDDEGRITEFAEKPQGEQLKAMKVDTTILGLDDERAKEMPFIASMGIYVVSKNVMLDLLREQFPGANDFGSEVIPGATALGLRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVIGLRSLISEGAIIEDTLLMGADYYETDADRTLLAAKGSIPIGIGRDSHIKRAIIDKNARIGDNVKIINTDNVQEAARETDGYFIKSGIVTVIKDALIPSGTVI >scaffold_303190.1 pep chromosome:v.1.0:3:13168211:13168425:-1 gene:scaffold_303190.1 transcript:scaffold_303190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLIRECVGFQQFPVATQEKLIEYFGVFLVNKGMLFLFLWCLSRFLGFSVVIRR >scaffold_303194.1 pep chromosome:v.1.0:3:13178829:13184339:-1 gene:scaffold_303194.1 transcript:scaffold_303194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTHTFSFLFFLLLLAHTSSKPTLASKDSSSYVVYFGAHSHVGEITEDAMDRVKETHYDFLGIFIGSREIATDAIFYSYTKHINGFAAHLDHDLAYAISKHPEVVSVFPNKALKLHTTRSWDFLGLEHNSYVPSSSIWRKARFGEDTIIANLDTGVWPESKSFRDEGLGPIPSRWKGICQNQKDATFHCNRKLIGARYFHKGYAAAVGPLNSSFESPRDLDGHGSHTLSTAAGDFVPGVSIFGQGNGTAKGGSPRARVAAYKVCWPPVKGNECYDADVMAAFDAAIHDGADVISVSLGGEPTSFFNDSVAIGSFHAAKKRIVVVCSAGNSGPADSTVSNVAPWQITVGASTMDREFASNLVLGNGKHYKGQSLSSTALPHAEFYPIMASVNAKAKNASALDAQLCKLGSLDPIKAKGKILVCLRGQNPRVEKGRVVALAGGVGMVLENTNVTGNDLTADPHVLPATQLTSKDGFAVSRYISQTKKPIAHITPSRTDLGLKPAPVMASFSSKGPSTVAPQILKPDITAPGVSVIAAYTAAVSPTDQQFDPRRLLFNAISGTSMSCPHISGIAGLLKTRYPSWSPAAIRSAIMTTATTMDDIPGPIQNATSMKATPFSFGAGHVQPNLAVNPGLIYDLGIKDYLNFLCSLRYNASQISVFSGNNFTCSSHKTSLVNLNYPSITVPNLSSNKVTVSRTVKNVGRPSTYTVRVANPQGVYVTVKPTSLNFTKVGEQKTFKVILVKSKGNVAKGYVFGELVWSDKKHRVRSPIVVKL >scaffold_303198.1 pep chromosome:v.1.0:3:13199746:13201163:-1 gene:scaffold_303198.1 transcript:scaffold_303198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRDERPPNKILTITSFSVIQGRGEPYESSVFEAGGYKWRLVLYVNGNQNDGGNNHISLYVRIEETESLPRGWEVNVELKLFVYNGKQRKYLTVTDGIVKRYNDAKKEWGYGKLIPLPTFLDTNQGYLEQDTASFGAEIFIGTPVQVQEKVTFISNPPNNVFTWKILHFSTLEDKFYYSDDFLVEDRYWRLGFNPKGTGDGRSQAIPIFLYAQGHKPNAVATNTWGAVNLRLKNQRGSNHKQIYSAAWYPTRSDYGVGVNTIISLAEFNDASKGYMVNDAIIFEAEMVKVSVTNIVSV >scaffold_303199.1 pep chromosome:v.1.0:3:13208548:13209965:-1 gene:scaffold_303199.1 transcript:scaffold_303199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRDERPPNKILTITSFSVIQGRGEPYESSVFEAGGYKWRLVLYVNGNQNDGGNNHISLYVRIEETESLPRGWEVNVELKLFVYNGKQRKYLTVTDGIVKRYNDAKKEWGYGKLIPLPTFLDTNQGYLEQDTASFGAEIFIGTPVQVQEKVTFISNPPNNVFTWKILHFSTLEDKFYYSDDFLVEDRYWRLGFNPKGTGDGRSQAIPIFLYAQGHKPNAVATNTWGAVNLRLKNQRGSNHKQIYSAAWYPTRSDYGVGVNTIISLAEFNDASKGYMVNDAIIFEAEMVKVSVTNIVSV >scaffold_303200.1 pep chromosome:v.1.0:3:13229380:13230797:-1 gene:scaffold_303200.1 transcript:scaffold_303200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRDERPPNKILTITSFSVIQGRGEPYESSVFEAGGYKWRLVLYVNGNQNDGGNNHISLYVRIEETESLPRGWEVNVELKLFVYNGKQRKYLTVTDGIVKRYNDAKKEWGYGKLIPLPTFLDTNQGYLEQDTASFGAEIFIGTPVQVQEKVTFISNPPNNVFTWKILHFSTLEDKFYYSDDFLVEDRYWRLGFNPKGTGDGRSQAIPIFLYAQGHKPNAVATNTWGAVNLRLKNQRGSNHKQIYSAAWYPTRSDYGVGVNTIISLAEFNDASKGYMVNDAIIFEAEMVKVSVTNIVSV >scaffold_303205.1 pep chromosome:v.1.0:3:13306796:13308542:-1 gene:scaffold_303205.1 transcript:scaffold_303205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRSAIRGGFRPENLGQNALTLIGNIGFSLFVFGVLIFTIIAATYEPEDPLFHPSDKITTFLTSTSNATLRSDDSVVKTGEDFMVANQTAFAEFINITDVEATNTNETTSEGEANQLECDVNTPIDCKDQEVFHLMMRATIEKFKDIHFYKFGKPVVGEGVNSCDMAWRYRPKDGKSAAFYKDYRRFVVGKSENCSVSVVGIGEYHSGLNARKRKKNQKAGFEKTGEKKDDFSLPVVGELVNDSLPMVESDSVFKSGKYLVYVGGGDRCKSMNHFLWSFLCALGEAQYLNRTLVMDLTLCLSSIYTSSGQNEEGKDFRFYFDFEHLKEAASVLDEVQFWSKWGKLHKKRRNRLNIHLVEDFRVTPKKLAAVKDTLIMRKFGSVEPDNYWYRVCEGDAESVVKRPWHLLWKSRRLMEIVSAIASRLNWDYDAVHIERGDKARNKELWPNLETDTSPTALLSTLQDKVEEGRHLYIATNEEELSFFNPLKDKYATHFLYDYKDLWDENSEWYSETTKLNGGNLVEFDGYMRASVDTEVFLRGKKQIETFNDLTNDCKDGVGTCNAATS >scaffold_303206.1 pep chromosome:v.1.0:3:13311280:13313721:1 gene:scaffold_303206.1 transcript:scaffold_303206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHPQALLLCVLFFITFGLLHLVEAGGQEELRPLINDTYNTQSGSLKYLFRNYFSSSRRLIRYPDDVNDRHWYPFFDEDVWTELTTNLNVNSSNGYDPPKSIMASAATPISNNAPFNFTWSLIPSTAEFYSYMHFADIQTLRANDTREFNFILNGNVALERYRPKTFAAGTIFLTKPKTCEGGKCIIELLKTSKSTLPPDLSSSHLTGIIAPAFQNLTHLQKLDLSNNNLTGGVPEFLASIKSLLVILEGNIYLNCPGGSCVHKDGNGGAKKKNVLVLVVVSIAVVVVLGTALALFLVFRKRKTPHSEGIYEKLIYLYKHFNIFTI >scaffold_303208.1 pep chromosome:v.1.0:3:13327647:13328463:1 gene:scaffold_303208.1 transcript:scaffold_303208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRQSQLFTLLKRRFHSPSSDAPTETLRKKIADLQKSKKRRNPIKNQFLVEVPESRSYLDTATMPMFLAVVGIALFAKVLMMIDDSKSQEMIERKIKNAPPGQGTVRIIEREEWDEFREVRPRTPFESKLARPNAQIRTGEPVRKDDLTNWTIDVLTNALERTEESVRRRSS >scaffold_303219.1 pep chromosome:v.1.0:3:13396812:13397477:-1 gene:scaffold_303219.1 transcript:scaffold_303219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDINIALDIVSRVGEDSFKALGGMLLASKFYHYLASHPIVLNNVSLQPFLADASLINEDSIYRPFFRLCLDSLNPTAAYLESIRLAAKLGRAEDALRLLYSSGNSPPQAWFSRALLEVCLGFYQESIATIDSFISSVGSFRQADAIGSTVFRHIMQIGPVKIRSHANTWHYGDIPTCFATRCRIDRRCRQCFLYWFSVMYLLLC >scaffold_303220.1 pep chromosome:v.1.0:3:13397779:13398100:-1 gene:scaffold_303220.1 transcript:scaffold_303220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMAQMLPSLLQSLSTDVPATWPSTGFTFMRVPSLAQNDRGGDCGPISLKFIELHSHQLTLPLQHLTQKQVDSIRMHYAMDLYGEYVSFS >scaffold_303221.1 pep chromosome:v.1.0:3:13398606:13399806:-1 gene:scaffold_303221.1 transcript:scaffold_303221.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L812] MSVESVANCSTAPKKGSDPSANLSASGHTVTDSAHAVVSSPIITRSLSTVSSQGCTAFSNCPTASPSNQPASPAVSGNHVPAVSSLCRDNIVLTQKTCRSPSLLHEAAKFTLKASSIPSASDHVPPSNHAPEVSNSHRPHLSPYLSTTPRVIPKDNKKLLPNQVSTSSTQLRDVCAIDSSSGPTPLPSPVKQLPQRTSQKTITRSQARTASLPPAKCTQQLLVSGPAMNLRSKKQAPKPPVGSSSEYILSTLEAINSPTVSKFLLQLSKFQGRCSLFCNPNASVNCLYYTILYIPPLTMFLLQ >scaffold_303222.1 pep chromosome:v.1.0:3:13399922:13400663:-1 gene:scaffold_303222.1 transcript:scaffold_303222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDIAFFLKYPWGRHSFHRILRTAKVGSYILDTASLVAKLRQSSVAIHGFPLAIQLFAFKYVPLLLKYLPHAEEEFNFLDQVIPRLPKCKSYHSSNILHVEYSRHLLVLPPPPADATFLASPHCDPKVKQLEGLIASSFNFDKSIWPGGDSSLPSLRCSRKRKCNHCQSDSSSSAEETDVKKVKRGLRSRSKLKVKRSQTLHPKN >scaffold_303224.1 pep chromosome:v.1.0:3:13409475:13409676:1 gene:scaffold_303224.1 transcript:scaffold_303224.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L816] MEGTSDSKPSKVSLKIISNNETIDKACKSKTAFVFFQALSTVTTFALCLGCSI >scaffold_303227.1 pep chromosome:v.1.0:3:13428267:13428747:-1 gene:scaffold_303227.1 transcript:scaffold_303227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELIFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMSDEARLLKAYGELPENTRLNEGIVGDLEDDDDNNGDDYVEFEDEDIDRI >scaffold_303231.1 pep chromosome:v.1.0:3:13440474:13441905:1 gene:scaffold_303231.1 transcript:scaffold_303231.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-butyric acid response 5 [Source:UniProtKB/TrEMBL;Acc:D7L824] MRKRERENPCSICGHYHKYEEGEVCGICGHCMPVSSDTVAAQQVHVSAFPSEILPEFLYLGSYDNASRSELLKTQGISRVLNTVPMCQNLYRNSFTYHGLDNEKVLQFDDAIKFLDQCEKDKARVLVHCMSGKSRSPAVVIAYLMKRKGWRLAESHQWVKQRRTTTDISPEFYQQLQEFEQSIFGSGMMSAMNINDAPTFGFGFPKIDNQAQAPVFNNAPTSSIFSSPASSIPPQEFTFGATPTKPTTGGDIAMDGS >scaffold_303233.1 pep chromosome:v.1.0:3:13447115:13447340:-1 gene:scaffold_303233.1 transcript:scaffold_303233.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L826] MLKAGFESRFTRGNIDGFLVAFSVRTQNVPAPFVNFFFCGLSCSTIVYYIIFIFMFFFV >scaffold_303234.1 pep chromosome:v.1.0:3:13460077:13461645:1 gene:scaffold_303234.1 transcript:scaffold_303234.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7L828] MGQLKSLFTILFLIAMLPAVTFAGKIPAIIVFGDSTVDAGNNNYIPTVARSNFEPYGRDFVGGKPTGRFCNGKIATDFMSEALGLKPIIPAYLDPSYNISDFATGVTFASAATGYDNATSDVLSVLPLWKQLEYYKEYQTKLKAYQGKERATETIDNSLYLISIGTNDFLENYFAFPGRSSQYSVSLYQDFLAGIAKDFVKKLHGLGARKISLGGLPPMGCMPLERATNIGTGGECVGRYNDIAVQFNSKLEKMVEKLSKELPGSNLVFSNPYEPFMRIIKNPSSFGFEVVGAACCATGMFEMGYGCQRNNPFTCTNADKYVFWDSFHPTQKTNHIMANALMNSTFPHFL >scaffold_303235.1 pep chromosome:v.1.0:3:13475235:13475491:1 gene:scaffold_303235.1 transcript:scaffold_303235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMGTHEYLAPEITRGDCHGSFVDWWIFGIFLYELLTGETPFKGNKNRETLFNVVGQPLKFLQGSISFV >scaffold_303236.1 pep chromosome:v.1.0:3:13479685:13480563:1 gene:scaffold_303236.1 transcript:scaffold_303236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDNALTDNNKTLNNYMLLPLHASSHSPLIPYYSYGSTVAVGSDVYIIGAPDMAKPSLAVRILDCRSHAWRDGPNMKLAREGASAVYLDGKIYVMGGYKKYNESMGWMEVLDIKTKVEREVKGSNLELLLERGTGCFVDICNCGGKLLVVWIPRVDGDEEDTRRINCAKMAFKRNGGEVCGKMEWANTFVPVPNSLDILSCVVVSI >scaffold_303237.1 pep chromosome:v.1.0:3:13482985:13483363:1 gene:scaffold_303237.1 transcript:scaffold_303237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEVFLSGTLLIINPITYRSTSLLANVSPRLVCGYTFSTLKSRVPEEVHAVFLSSRQSQQETLSIFTAIQSIKASWQVSFYFKNIMLDRTLTAWKGKEENTVTAKEAFFRM >scaffold_303239.1 pep chromosome:v.1.0:3:13486064:13487991:-1 gene:scaffold_303239.1 transcript:scaffold_303239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAELSGTVSARVLFGDNSGIGVRSSKVRGFCVLFAGLLLLSISWDRVDCFPFSSSVESWGFWIYPKENCLRVWPLLLPFLSGFLGCYEKVSLNWNEIKQLDQKRVRLLSLFLTTVLLFPLAIWSFLFSGSGDDGVSFGNLGWPLANTVVFGVLLSENYNDDKFSSSKKKDSVREFLVTFLCTIVLELFYFPELSLWGLLLCGLLLYVAVRELDSVYSDYQEIGMESPESFSTMFMKPLRHILSEKKSRKIALFLLINTAYMVVEFVAGFMSNSLGLISDACHMLFDCAALAIGLYASYISRLPANHQYNYGRGRFEVLSGYVNAVFLVLVGALIVLESIERILDPQEISTNSLLVVSVGGLLVNIVGLIFFHEEHHHAHGGSGCTHSHSQHSYGHKHDDHKHEGCNHDHSHNHEHHSGHKLEKSEKKEHRHIDHNMEGIFLHVLADTMGSVGVVISTLLIKYKGWLVADPASSIFISILIIASVIPLLRNSAEILLQRVPRAHRHDLREAMRNILKTKGVCSIQRLHVWSFTNSDVVATLHLLVSADSDKTETKLQVSRLLEDAGVKDLTLQVDSVKS >scaffold_303241.1 pep chromosome:v.1.0:3:13498936:13500129:-1 gene:scaffold_303241.1 transcript:scaffold_303241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDYNEVDDLGYEDEPVEPEIEEGVEEDADIKENDDVNGEPMETEDKVETEPVQRPRKTSKFMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRQRKIPFTIRRYLPDGSFEEWGVDELIVEDSWKRQVGGD >scaffold_303245.1 pep chromosome:v.1.0:3:13512885:13514668:1 gene:scaffold_303245.1 transcript:scaffold_303245.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-thioredoxin reductase, catalytic chain [Source:UniProtKB/TrEMBL;Acc:D7L839] MNLQAVSCSFGFVSSPLGVTPRTSFRRFVIRAKTEPSEKSVEIMRKFSEQYARRSGTYFCVDKGVTSVVIKGLAEHKDSYGAPLCPCRHYDDKAAEVGQGFWNCPCVPMRERKECHCMLFLTPDNDFAGKDQTITSDEIKETTANM >scaffold_303246.1 pep chromosome:v.1.0:3:13514743:13514968:-1 gene:scaffold_303246.1 transcript:scaffold_303246.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L840] MKSHVMEAQALRDVEEKHLALFSKYIHLLKISCKVGSFYIACNRFANKRSY >scaffold_303247.1 pep chromosome:v.1.0:3:13515496:13515758:1 gene:scaffold_303247.1 transcript:scaffold_303247.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L841] MFTNAGKSLKSTSHHQRRSISEQAREDLTATNQTEQHTQREQDHQGESSLRHNHRPQAIRGMRKTTEKMESLL >scaffold_303250.1 pep chromosome:v.1.0:3:13523675:13523967:-1 gene:scaffold_303250.1 transcript:scaffold_303250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVTNLPRDLVEEIVSRVPLKAMRAVRLTSKTFYTLSKSQSFTKLHISKEASLDVKQFFSNKFYILFKKIKKHHQGMGVLR >scaffold_303251.1 pep chromosome:v.1.0:3:13526740:13528302:1 gene:scaffold_303251.1 transcript:scaffold_303251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGKGKASRGRGGGRSGVNEREGKILFAGTQCKKHMDTDPKPENARRDAEQQASQTRIANLERLVMYWKESDPAFAAFVASQPQPTAPANTQAANATATANAPATAPT >scaffold_303257.1 pep chromosome:v.1.0:3:13559074:13560296:1 gene:scaffold_303257.1 transcript:scaffold_303257.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7L853] MNKKKRQKVPKNGDWSKLCPDVLRKIFETLSSPVDSHRAKIVCSGWYSVWKTCAKRPPCPLRIIHQGDSPTLGKGNRKLVGLFQHRSYCMASSGNWLLMVELRLKFYIYNLLTKERIDLPSMESQILGGQVRFKPGREYFHGYLVGPSRRDKVPFDYEAVEWEKSLAVLWVNDTTGDYVVAWTFIQKYLFSYMKGDGFWRDLNSNGKSLVLFDMACEDSMLYLLTIDHHIKIFNFFGDIFTGKQNRYWGRPFNFVAQPWEYVWKRKMVIRRSGEVLIVLSLKEKVKKEEKLLFYIFKMNLESCKWERVYSIGDEMLSFGRGVTVPLALKDLGDGIKSDSIYFVDEDVWPDHKDHDHRVSNCGVFDIATSKIEWPKKIYCFINKTHWFVRGVAY >scaffold_303263.1 pep chromosome:v.1.0:3:13574919:13576707:-1 gene:scaffold_303263.1 transcript:scaffold_303263.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L859] MPERDTVVWNALICGYSRNGYESDAWKLFIVMLQQGFSPSATTLVNLLPFCGQCGFVSQGRSVHGIAAKSGLEMDSQVKNALISFYSKCAELDSAEVLFREMKDKSTVSWNTMIGAYSQSGLMEEAITVFKNMFEKSVEISPVTIINLLSAHVSHEPLHCLVVKSGMVNDISVVTSLVCAYSRCGYLDSAERLYASANQDSIVGLTSIVSSYAEKGDMDIAVVYFSKMRQLCMKIDAVALVGILHGCKNSSHIDIGMSLHGYAIKSGLCRKTLVVNGLITMYSKFDDVETVLFLFQQLQETPLISWNSVISGCVQSGRASTAFEVFHQMKLSGGLLPDAITIASLLAGCSQLCCLNLGKELHGYTLRNNFENENFVCTALIDMYAKCGNEVQAESVFKSIKAPCTATWNSMISGYSLSGLQNRALSCYLEMREKGLKPDKITFLGVLSACTHGGFVDEGKIYFRAMIKEFGISPCLQHYALMVGLLGRACLFTEALYLIWKMDIKPDSAVWGALLSACIIHRELEVGEYIAKKMFMLDYKNGGLYVLMSNLYATEAMWDDVVRVRKMMKDNGYDGYLGVSQI >scaffold_303265.1 pep chromosome:v.1.0:3:13582908:13583997:-1 gene:scaffold_303265.1 transcript:scaffold_303265.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L861] MTAGHRSSVRPSSPWSPVVHGVFDSPKLGGWVAKSGRSSPDLPVSSRNRILIFNYLFFKMRELRGVEMDCEDHKLRASFTV >scaffold_303269.1 pep chromosome:v.1.0:3:13615234:13616547:-1 gene:scaffold_303269.1 transcript:scaffold_303269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVRGSRHNLREVETIMLKPLPDIAESIDDAICHKLSMWPEEANDLLLIVEAISRGDLKLVLVACAKAVSENNLLMARWCMGELRGMVSISGEPIQRLGAYMLEGLVARLAASGSSIYKSLQSREPESYEFLSYVYVLHEVCPYFKFGYMSANGAIAEAMKDEERIHIIDFQIGQGSQWISLIQAFAARPGGAPNIRITGVGDVSVLVTVKKRLEKLAKKFDVPFRFNAVSRPSCEVEMENLDVLEGEALGVNFAYMLHHLPDESVSMENHRDRLLRMVKSLSPKVVTLVEQECNTNTSPFLPRFLETLSYYTAMFESIDVMLPRNHKERINIEQHCLARDVVNIIACEGAERIERHELLGKWKSRFSMAGFEPYPLSSIISATIRALLRDYSNGYAIEERDGALYLGWMDRILVSSCAWK >scaffold_303270.1 pep chromosome:v.1.0:3:13617691:13618859:1 gene:scaffold_303270.1 transcript:scaffold_303270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVRSNLRDIRGRRSMDPRIWHKVAAISGMAALGLGTYGAHVFKPENPSYKQVWQTASLYHLVHTAALVSAPSTKYPNIFGGLLTAGIVAFSGTCYMVALREDRKFSTLAPFGGFAFIAAWATLLF >scaffold_303271.1 pep chromosome:v.1.0:3:13619192:13619775:-1 gene:scaffold_303271.1 transcript:scaffold_303271.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein 17 [Source:UniProtKB/TrEMBL;Acc:D7L8K2] MTIFKLSNLSTSKAEFGQWCVADPQIPDNVIQAALDWACQIGGADCSKIQPDQPCFLPNTVKDHASVVFNDYYQRYKHKGGTCDFHSAAVITQRDPSKQIYINPFVNSYLSSLINQDLEPFVTDYLMI >scaffold_303273.1 pep chromosome:v.1.0:3:13623441:13624379:1 gene:scaffold_303273.1 transcript:scaffold_303273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLPLDLVEELLSRVPATSLKRLRSTCKKWNALLNNRRFTEKHFREASKQSHALLWKDFRVCPMRINLNVAAPSIEFKSVLIALNYYLLESENGVSLKGNTYWFALDDDTSFLLGFDFTTERFNRFSLPSTENLDMMVLSVFREEKLSMSHEKFCTSKMDIWIPNRIDSEAALSWKKSFSIEFKILSICHYFPFCNSFMIDKEKKVVVCTATTLVRDKNMVSIIGEDNAYYTEIPVESTNCSCSPYIFNYVPSLVQIQQCRDKIEE >scaffold_303274.1 pep chromosome:v.1.0:3:13632483:13633614:1 gene:scaffold_303274.1 transcript:scaffold_303274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPHLPAELVEEILSRVPAKSLKRLRSTCKQWTTLLKNRGLSEKHFRKAPKQSLVLISNDYRVCPMSINLNVVAPSIEFKRELSLKDFLYSSIQVDITTVFHCDGLLLCTTNDDRLVVWNPCLGETRWIQLKADYEKHSMFALGYQNNKSCRTSWRFCDNVCVGYVIKPQTGVSFKGNTYWVTFNERSILASFDFTTERFKRVYLPPIENLDWKILSIVREEELSVLIQKEYTSKMEIWVANNIETEATLSWKKSFTVDIRIRTNSHNYTVCHSFLIEEEKKVVVCCNERNYDIMIDIIGEDDDYYTKFPFVQPRCWPWCPCIFNYVPSLVQIRLR >scaffold_303277.1 pep chromosome:v.1.0:3:13670745:13672045:1 gene:scaffold_303277.1 transcript:scaffold_303277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEEGTRMDISVSVPPSDTCSTPEKGQGNYSLFLPPARDSTVIPIGSSHSTAAHENQKEPHPTVGISSISVPYSDTCSTAEKGIGNKSLDLLPAPDRSLIPIGGVHSTQGADDIYVNKYFMNKAELMQKMRTWELEYKFEFRYRWSNKERVVLVSVDDKCTLRMRAIRVDSCDFFVVKSIVMSTHVTLHTEMKIIGKLLRSYKISFYVMMCFVFHRNINVRLYKIL >scaffold_303278.1 pep chromosome:v.1.0:3:13673245:13673753:-1 gene:scaffold_303278.1 transcript:scaffold_303278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTTPSLTGSDFFTGDSKTLPEIRSFVRFIRRYLIRRRLCRKIGFIRRLRRNLERLCWNPLYSGTSTLALVARASAFGLVLIYRNIKLKALKVHLYPLVLFLSDPEELQSNGFLRIVTLVNPI >scaffold_303280.1 pep chromosome:v.1.0:3:13683145:13684024:-1 gene:scaffold_303280.1 transcript:scaffold_303280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFPFLNVVMEGLGILHETRKLFLKNKKMSMMFSVLVFPFLLNCLVFLFNQTFVGFSYIFMAVFPIINLYSDLVTVHASALTLKNENIKIKYFPVMTFKSWKGPLVTKFYIALFILGYGFLYAIIFCPLLVFSSKLFFLVAKSVPLLILLEVYESYLAIVWNLSMVISILEETYGIKALRKAAKIAKGMKPKIFLLNLSFHLLTFGLGQILQLINWRRSFSVTLATGLVFVCLDFALRMFQLVTYTVVYFQCKSLQSKDVESLGDVEYTKLSSNTVMG >scaffold_303282.1 pep chromosome:v.1.0:3:13706036:13706356:-1 gene:scaffold_303282.1 transcript:scaffold_303282.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L8L0] MKQREKRRISENRVGETKRNRELAKQRGNREELAKQRGTVKDSGRGVRDSGRGDEIPAEATRSSVEDERKRDFWVRQRRLGLVSQIFYFLF >scaffold_303283.1 pep chromosome:v.1.0:3:13708542:13708941:1 gene:scaffold_303283.1 transcript:scaffold_303283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSFPTDVTLPKLLYNIGQKPNSDVRINQCARYEYIDKVQTILSSTEFQRIRESFLGPVLKATSRELNLSCKLIHCFLARSLMTVKKNELWFHFGGQPMDTGLISYGYWIEMFSPGS >scaffold_303284.1 pep chromosome:v.1.0:3:13709024:13709526:1 gene:scaffold_303284.1 transcript:scaffold_303284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLTECIFLNMFKGNKFPATHLKRAQDVHQFLNYPRGIDAFKVLLSSIKSIVPSKLLKGKYDIQGYLLALHLWILESIPVLQSSLSRVSLLEPWTAFICERYTSTTTPQIPQIENLEASDNVSSFSAFNLMEELREIQEVFIVIQDGFGKYL >scaffold_303285.1 pep chromosome:v.1.0:3:13714605:13715718:1 gene:scaffold_303285.1 transcript:scaffold_303285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDLPVDLLEDIFNRLPTTSLKGLRSTCKLWNGLIKRNPRFLEAAKKPLVLILRDYRVWRMSVNHDVAAPSKEFDGALSLMDPHFKSQQVDIAGVFHCDGLLLCTTEDDRLVVWNPYLEETRWIQHKTDHKRDSKLALGYEYNKVLDNDPLDCFIEPNTGVSLKGNTYWIASDEVTNFLLSFDFTTERFKSFCLPPIQNFGKIVLSVREEQLLVLLQSYHALEMEIWMTDDIDTESALSWSIFIKVDFKNLSTYYCFPVCWSFLMHEGKEKALCCTIGSYETSRNMVNITGLHDQYYAEIPDEDSTNWQRPIIFSYVPSLVQS >scaffold_303287.1 pep chromosome:v.1.0:3:13738928:13739831:-1 gene:scaffold_303287.1 transcript:scaffold_303287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTTSSSCCGHWYGFHGVCIGCKSIVHKSQWRAFDYIFNGLQLSHEAVALTKSRTTNNSCLNEKKLHLVLDLDHTLLHMKKVPCLSRAEMYLIQEACSVTREDIWKIRLLGDPIDRLIKLRPFVRDFLKEANEMFTMYVYTKGTRKYAKAVLELIDPNRLYFGDRVITKDESPHQKTLDLVLAEERGVVIVDDRRDIWPHHKSNLIEISKYKYFRVSGQGSNSYSEKKTDESEKDGGLANVLKLLKQVHCRFFMVEEEKLESMDVRSLLKELYIDFDTNQESVE >scaffold_303289.1 pep chromosome:v.1.0:3:13748021:13748375:1 gene:scaffold_303289.1 transcript:scaffold_303289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDLSPIFQSLSSSHVSQYILARTSKDASYQKKCEGDHILLWPESYIRSVNKEICEGLWIYEDELMKKWYVFYSSGFLKILDELIMNLVDKKQIDPSMHLVKV >scaffold_303291.1 pep chromosome:v.1.0:3:13760044:13760979:-1 gene:scaffold_303291.1 transcript:scaffold_303291.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7L8M3] MATSAIQQSSFAGQTALKPSNDLLRKAGVSGGGRVTMRRTVKSTPQSIWYGPDRPKYLGPFSENTPSYLTGEYPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCTFPEILSKNGVKFGEAVWFKAGSQIFSEGGLDYLGNPNLIHAQSILAIWAVQVVLMGFIEGYRIGGGPLGEGLDPLYPGGAFDPLNLAEDPEAFSELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHLADPVANNAWSYATNFVPGK >scaffold_303294.1 pep chromosome:v.1.0:3:13774664:13775365:-1 gene:scaffold_303294.1 transcript:scaffold_303294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSDLPADLVEEILSRVPATSLKRLRSTCKQWNALFKNRGLKGSLSLKDFHYNSKQVKIARVFHCDGLLLCITKDDRLVVWNPCLGENRWIPLRTDYKGITMFALGYQNNKSCHSYKILRWWNCYEPTHHVVGLEIYEFSSDSWRVFDKVDLDFYLQTNSCVSLKGNTYWLTLDLSEKFFHSFDFTRERFKRLCLPPNPKSCYTVLSVVREKQLSVL >scaffold_303295.1 pep chromosome:v.1.0:3:13776184:13777349:-1 gene:scaffold_303295.1 transcript:scaffold_303295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDLPADLLEEILYRVPATSHKQLRSTCKLWNVLIKKNRRFTEKHFHEASKQSAVLMLDDRKVRSMNVNLNVSAPSIEFKSALSLKDSHSNLEQVNIARVFHCDGLLLCTTKEDRLVVWNPYFGVTRWIQLKSDYKSDFTYALGYVDNKSCRTYKILRPWEIPSIEGLGLEIYEFSSNSWRVPLSNVALLYTYFDSDTGVSLKGNTYWLNYNSEDFLFPFDFKTERFKCLPPPTWIDGTVVLSVVREEQLSLLYENNRTSKMEIWITTNIDTEAEVLWSKSFIVDSEIQDPYTYHICSSSLIDEEKKVVVCCIVSSDHYNRINRMYTVNIIGEDDEYYTEIPNDESLSAEDDKYLSRRWPIIFNYVPSLVQI >scaffold_303300.1 pep chromosome:v.1.0:3:13821521:13823675:-1 gene:scaffold_303300.1 transcript:scaffold_303300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L8N1] MEAYREEALRVKQIAERRFAEKDFTSARSYALKAKSLFPDLEGVSQMVATFEVYLASQTRSGGQIDYYAVLGLKPSAGKREVKKQYKKMAVLLHPDKNKCIGADGAFHLISEAWSFLSNEFNKSTFYYKRKKHIDSTVVQKHSTEYMPGTGTAVYDRFPPSSERLDTFWTVCTSCKVQYEYLRKYVNKRLSCKNCRGAFIAVETGPAPVSAPFHYTPPSHAPPSHAPPSHAPPSNGYGAHGYDAMSRMPTNSTYFLGHYPGQGHGYDYGTNGSYEWSSYAGTTSPGNLDLKRVSSVSNGYPYKHSNSVVSAGINKVKDGSNGTCSKKSTHGGLIYPNPPSMSAHATVKVGRPGKKSKVFMEAAANGFVENPLKSVSVSKTTNADAKMEQDYKLHLQSSTRRWSAASVLDTRTPLIQKARTDIKQRLEIMRLASEAAAAAEDATPLDEKTVISCKLGDVTGRKTNGPITVPDSDFHDFDKNRSEESFEPRQIWAIYDEDDGMPRLYCVVREVLSVQPFKIDIAYLSSKTDIEFGSMKWVQYGFTKSCGHFRIRNSDIVDQVNIFSHLLKGKKTGRGGCVRIFPTTGEIWAVYKNWSLNWDGSTPDEVRHQYEMVEILDEYTEQYGVCVAPLVKLEGYKTVYHRSTREESKKWIPRCEMLRFSHQVPSWFLKDATSGFPGNCWDLDPAAIPEELLHIGAGTN >scaffold_303306.1 pep chromosome:v.1.0:3:13874953:13875932:1 gene:scaffold_303306.1 transcript:scaffold_303306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTLLLLGLFAFLFIVSEMAAAGAVKSESEETVQPDQHGGRYGDNGGYSGGGGGHGGHGGGGYQGGGGGGGGGGGRRGGGGGYCRHGCCYKGYRGCSRCCSYAGEAVQTQPGH >scaffold_303314.1 pep chromosome:v.1.0:3:13931010:13931727:1 gene:scaffold_303314.1 transcript:scaffold_303314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIVESKSTLSYPSTSHFGDFDTDETVNINGFWICRSQLGQAKLIFKEHPETASNFCLKSFFAKETYLTALLNLIDKMNMLSLQSLSKDDLKEVDNTILDLEAAGFKLDWLKKKFEEIRVIVKKAQDREARMRELDRKIRKKMHELVVLEDKMKKEQLEAKSEELGYYYDFFI >scaffold_303315.1 pep chromosome:v.1.0:3:13953148:13953936:1 gene:scaffold_303315.1 transcript:scaffold_303315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALILLGLFAVLLVVSEVSAASQSGMVKPESEETVQPEGYGGGHGGHGGYGGGGGHGHGGHNGGGGHGLDGYGGGNGGYGGGGHYGGGGGGHYGGGGGGHYGGGGGGHHGGGGHGLNEPVQTQPGV >scaffold_303330.1 pep chromosome:v.1.0:3:14154794:14156984:-1 gene:scaffold_303330.1 transcript:scaffold_303330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKSDEIVAIRNGKYTFKDSKKEKISVLAILASMDKKDDKPKINKGSSSRAKTALKVSSYTDDIDYPPNHDQEDEDQGVFAEKQRQMLAMSNQKGKEKKLDFSVTPKTQMKAKGNQFSALLAAEIGKKEALKDDHDAFTVVMGSKTSVLDGEETGDANVKDIKISSFSVSVRGKELLTNASLMISHGKRYGLVGPNGKGKSTLLKLLAWRKIPVPKNIDILLVEQEVVGDGKSALETVVSANEELFKLREEAAALENDDADGEKLAELYERLHMLGSDAAEAQASKILAGLGFTEDMIAGETKLLSGGWRMRISLARALFVRPTLLLLDEPTNHLDLRAVLWLEEYLCRWKNTLVVVSHGVDFLNAVCTDIIHLHDKSLHLYRGNYDAYETGYLQRRKEMNKKSEIFQKRMEAAKRSGNRVEQEKVKAWAKLAAAKEASKRKAKGKTMDDEGPAPEAPRKWSDYKVVLDFPEPTELPRPLLQLIEVSFCYPNKSDFRLSNVDLGIDMGTRVAIVGPNGAGKSTLLNLIAGDLVPTEGEVRRSQKLRIGRYSQHFVDQLSMWETPVEYLLRLYPDQEGCSKQEAVRAKLGKFGLTGENHSTPTAKLSGGQKARVVLTSISMSKPHILLLDEPTNHLDMQTIDALADALDEFKGGVVLVSHDSRLISRVCEDEEKSEIWVVEAGTVTFFRGTFEEYKEELIGEIKAEVDELTCLGC >scaffold_303331.1 pep chromosome:v.1.0:3:14193633:14194067:1 gene:scaffold_303331.1 transcript:scaffold_303331.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L992] MKSATSLVVLCVLVFFVMTHAKVENRKKKDSIVAFLNGYCADAPLCKDSLGKKDFPYCQCLDVAFPRGHYCYCFNHRI >scaffold_303338.1 pep chromosome:v.1.0:3:14238831:14240812:1 gene:scaffold_303338.1 transcript:scaffold_303338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSRQNLLASQRSRIGEEALSYLIIFCAETWFLTGDDRPLTGCSLSRDGKILATCSLSGVTKLWEMPQVTNKIDVLKDHKEHATDVVFSPVDYDCLATASTDRTAKLWNIDGTLLQTFKGHLDRLARIAFHPSGKYLGTTSFDKTWRLWDINTGIEILSQGGHSRSVYGIAFQQDGALVASSGFDSLARVWDLRTGKSILVFQGHIKPVLSVDFSPNGYHLASGGVDNQCRIWDLRMRKSLYIIPAHVNLVSQVKYEPQEGYFLATASYDMKVNIWSGRDFSLVKSLVGHESKVSSLDIALDSSCIASVSHDRTIKLWTSSGNDEDKGRETMDIEL >scaffold_303342.1 pep chromosome:v.1.0:3:14261796:14262392:1 gene:scaffold_303342.1 transcript:scaffold_303342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVTEENMYPQWSNAEEDKDPALDNLIKDIIHNRLALDAWKGVLAFGVSKNKRKVKATVDEEGSITRKGKKIKKAEFSGEERVKIQKEDEKIVSEDIQVDKDDKKGFSDILLMMEKMNGSIVDKGKNLSSRIDDLENTFDSRIVAIKSR >scaffold_303349.1 pep chromosome:v.1.0:3:14337101:14339286:1 gene:scaffold_303349.1 transcript:scaffold_303349.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:D7L9A4] MSRGSGAGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGKDSVCVVTQKKVPDKLLDQSSVSHLFPVTKYLGLLATGMTADSRSLVQQARNEAAEFRFQYGYEMPADILAKWIADKSQVYTQHAYMRPLGVVAMVLGIDEERGPLLYKCDPAGHFYGHKATSAGMKEQEAVNFLEKKMKENPAFTYDETVQTAISALQSVLQEDFKATEIEVGVVRADDPLFRSLRTEEIDEHLTAISERD >scaffold_303350.1 pep chromosome:v.1.0:3:14349154:14350020:1 gene:scaffold_303350.1 transcript:scaffold_303350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSNWYSVSKQTVPRKSGCPWLMLFPDEGDYVLHNPHEDMVYRRRGIDCSGSRFLASCGKWLLMLDSRSRLYIIHVFSGNRIDLSPLESVLSNDSALKRMVDRDKEFEFESTDGSYYSLLYADEIKGRLWVDEESKEVALVWYFDAPACFVCLYKKGNAHYDLIPITYGVPRKLTGLCGLVLWGYRLYILTTRRVVRVIDFSGQQGFEELTRSYTSPTFSHLGYNCYSSLVVTTAGEFLLVTITTSESSERAFRIYYKDPNAEPETKCPISLS >scaffold_303355.1 pep chromosome:v.1.0:3:14433782:14434036:-1 gene:scaffold_303355.1 transcript:scaffold_303355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVERTTEMAEERCTTPRNMMYKISVASVCLSPSRKKSMVVRKRDPPRNGFFQPPDLETLFYAQPPDL >scaffold_303358.1 pep chromosome:v.1.0:3:14445540:14446366:-1 gene:scaffold_303358.1 transcript:scaffold_303358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLISPSQNLNSPKLKKKKNPQTPRPHPHHPAIVSILTTYIDSASQRQFATSKALQGFISANRLFRYQEDLKRNSVYKLKKFMITSSKRSSQTHCKDSYLQTGYSATKRISSGTLFTS >scaffold_303359.1 pep chromosome:v.1.0:3:14463756:14465559:-1 gene:scaffold_303359.1 transcript:scaffold_303359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKKVSWRSLIVGCLGDPETLMASSKKPKRKDDVIKKQSSFQRLSILDMSNPSSNTLSEDLSISLAGSDLHVFTLAELKVITQSFSSTNFLGEGGFGPVHKGFIDDKLRPGLKAQPVAVKLLDLEGLQGHREWLTEVMFLGQLKHKNLVKLIGYCCEEEHRTLVYEFMPRGSLENQLFRRYSASLPWSTRMKIAHGAATGLQFLHEAENPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPEGDDTHVSTRVMGTQGYAAPEYIMTGHLTARSDVYSFGVVLLELLTGRRSVDKKRSSREQNLVDWARPMLNDPRKLCRIMDPRLEGQYSETGARKAATLAYQCLSHRPKNRPCMSAVVSILNDLKDYNDIPMGTFTYTVPNTPDKSVSDNKEDEGRVGNKPRKSSNHHHQQQSNHPRSSPSPMAKSPSPTTKSPSPTAKSPRNSRENHRRTLRNGVDSPLRSEAGGERY >scaffold_303360.1 pep chromosome:v.1.0:3:14470836:14471310:-1 gene:scaffold_303360.1 transcript:scaffold_303360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFLDLKLKPWLRNLLTRCLAIIPSLIVSLIGGSAGAGKLIIIASVILSFELPFALVPLLKCTSCKTKMGSHVNSIWITALTWIIGLLIMGINIYYIVTSFIKLLLHSHMMLVAVIFCGILGFAGIAIYLASIAYLVFRKINK >scaffold_303362.1 pep chromosome:v.1.0:3:14474891:14475658:1 gene:scaffold_303362.1 transcript:scaffold_303362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNGQRFAYGLGSSLANNHSLTILYSDFNHHPFANSSNAWTLSWLFCEFSKRSKPYALVVKQSSIDYITIVFRIFVVALLWVVHLAPARINASILSSTSQSLMTVTILSSFESFEDDLSINHDLTCVNVLPSSCLTALLVSKSMNFIYLLMALGNVFYCTALKFGSLKSFSLYLFLFG >scaffold_303363.1 pep chromosome:v.1.0:3:14489617:14491890:1 gene:scaffold_303363.1 transcript:scaffold_303363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASSLQIATRRPSISSPCKVLKAGTYIVGANPGNASWDKLSCTRHLSNLGCMRNNTAVPTSRRPFSFSTKAMSESSENKAPSGLPIDLRGKRAFIAGIADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDQSRVLPDGSLMEIKKVYALDAVFDNPEDVPEDVKTNKRYAGSSNWTVQEAAECVRKDFGSIDILVHSLANGPEVSKPLLETSRKGYLAAISASSYSFVSLLRHFLPIMNPGGASISLTYIASERIIPGYGGGMSSAKAALESDTRVLAYEAGRKSNIRVNTISAGPLGSRAAKAIGFIDTMIEYSYNNGPIQKTLTADEVGNAAAFLASPLASAITGATIYVDNGLNAMGVALDSPVFKDLNSKN >scaffold_303364.1 pep chromosome:v.1.0:3:14495832:14497487:-1 gene:scaffold_303364.1 transcript:scaffold_303364.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L9C2] MIRTTFATAIAHFHTHSHGGAQARPIQNNTREKIHCPEAWLVKIVSTLFVYRVPDSDLCFCYLSKNLNPFISFEVVKKLDNNPHIGFRFWEFSRFKLNIRHSFWTYNLLTRSLCKAGMHDLAGQMFECMKSDGISPNSRLLGFLVSSFAEKGKLHCATALLLQSYEVEGCCMVVNSLLNTLVKLDRVEDAMKLFEEHLRFQSCNDTKTFNILIRGLCGVGKAEKAVELLGGMSGFGCLPDIVTYNTLIKGFCKSNELKKANEMFDDVKSSSGCSPDVVTYTSMISGYCKAGKMQEASVLLDDMLRLGIYPTNVTFNVLVDGYAKAGEMHTAEEIRGKMISFGCFPDVVTFTSLIDGYCRVGQVNQGFRLWEEMNARGMFPNAFTYSILINALCKENRLLKARELLGQLASKDIIPQPFMYNPVIDGFCKAGKVNEAIVIVEEMEKKKCKPDKITFTILIIGHCMKGRMFEAVSIFHKMVAIGCSPDKITVSSLLSCLLKAGMAKEAYHLNQIAHKGQINDGAPLETKTANVTLAAC >scaffold_303365.1 pep chromosome:v.1.0:3:14500682:14501439:-1 gene:scaffold_303365.1 transcript:scaffold_303365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDFFDGKEPNKGTNPDEVLAYTTAIQGGVLSGEGGEETENILLLDVAPLRLGIDTVGGVMTHIIPRIPTKKSQVFTTYQDQQTTVTINVYEEISMTKDNPELGNFHLTGLLPMQGTVFGVPQMEVTFEVDANGILQVNVKDKVPKTSQSITITNDKGHLIGEEIDEMIILISYV >scaffold_303368.1 pep chromosome:v.1.0:3:14534985:14535227:1 gene:scaffold_303368.1 transcript:scaffold_303368.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L9C6] MELLIRKSTKVDFVGDRKKNSVTKDLQFKKNYRKTRCIRTHAHGILGFDFLAKKNEATVNKCSATN >scaffold_303369.1 pep chromosome:v.1.0:3:14539778:14540159:1 gene:scaffold_303369.1 transcript:scaffold_303369.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L9C7] MAWQDTRAQQLQHGSTAHGQARPKKIRHGNSRSGTVARCPARQFTNWQGSHDAARQLRRVPRRDMLVRRDKLVRCGAARYVSPARYVNSRGAANSGASGVAHPADRQALGNP >scaffold_303373.1 pep chromosome:v.1.0:3:14573546:14574816:1 gene:scaffold_303373.1 transcript:scaffold_303373.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L9C9] MCTLREPELLVDLQDYDYSLDMWSLGCMFAGMIFLKEPFFYGHANQDQLVKIAKSQERCVLSALSARNETGRQGILVRWRRNGRGRGVHEDQGRGVKSSIRSGGKSYPTTTQRTILRILLVHEGVHIDGWGRMSRPVFLGRFVTVALLPWDHSTRAGERALVRGVQDSGWRVPLELD >scaffold_303374.1 pep chromosome:v.1.0:3:14579625:14579932:1 gene:scaffold_303374.1 transcript:scaffold_303374.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCK4] MQETIKNVRWDSSIRTRTTVAVARECSDAQSKPAKRKLPILTVSSSPEIMTLLLIAYLVASLIDAVCLAWEALRKFNPLYFLDTSGYA >scaffold_303375.1 pep chromosome:v.1.0:3:14586950:14590641:1 gene:scaffold_303375.1 transcript:scaffold_303375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFSFLFIFIFLPIILSTTLTRKQITPKEGKITPCIFYTSDEKARLRWSRDLHDCFVTAVEKLGGPDKATPKSVKETMEVEGIALHHVKSHLQNDLRLRRCREAQRMQTAFAIENQRNMFETQYLKASMNPTLPSQYNNNISTSTTLSQQSSSQEQWFIPLSYHFSEGDTNPFTMNYSQQPSIIIPQNTTSVKDFCNDDMACLSLSELNQNTCNTFSIYEASIHPTQGNLQLNDNQSYDMAQGFVNPYMSIESQSMHGLSTITTQQQLQVNNSCVIHDSYHNATFSSATVPPTINSLHQDTLNNTIVLSTTPQSIQASVPHNQYPPYNTLEVVKAQLNALQDSSTNQTQETLSRGTTLSSSVTRDEVDPVDTYIDWDKVNERDIELDLVEIL >scaffold_303381.1 pep chromosome:v.1.0:3:14619240:14619520:-1 gene:scaffold_303381.1 transcript:scaffold_303381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSDLSGGDEVAEDGWFGGGNRAIPFPVGSLPGTASCGFVVSDALEPDNPIIYVNTVFEIVTGYRAEEVIGRNW >scaffold_303382.1 pep chromosome:v.1.0:3:14630197:14630647:-1 gene:scaffold_303382.1 transcript:scaffold_303382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFVLVFVITLSVGSNNASRVFPKNQLYFRNSFSRTDEVLTVQCKSDDDDLGIHSVQRSYVYTFKFGDSIFGETKFVCTLKHGVNSQYSVTFTAYKQNHSIRFGAIKVWEALDDGIYLTDEDHHFLKMYGWVKS >scaffold_303383.1 pep chromosome:v.1.0:3:14638724:14639176:-1 gene:scaffold_303383.1 transcript:scaffold_303383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFVLVVVIALSVGLNNGSRLFPKNQLYFRNSFSRNTEVLTVHCKSEKDDLGIHTVQRSYVYTFKFGDSFFGSTEFVCTLKHGVRSKYSVTFTAYKENPSFYIRTGVVRVWEALDDGIYLTDEDHHFEKMYDW >scaffold_303387.1 pep chromosome:v.1.0:3:14667233:14667449:1 gene:scaffold_303387.1 transcript:scaffold_303387.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LA63] MTKMESITTGFRLMELLREKRATSSPPLSSSSCNRSETKESKGRGSSDLQLSSQTQFR >scaffold_303388.1 pep chromosome:v.1.0:3:14669084:14669702:1 gene:scaffold_303388.1 transcript:scaffold_303388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILFLEIWTNGSLTPKEALHEASRNLINLFIPFLHVEEETFYLENNQHQVTLPFFPFHNRLVNLRKKNKRTSLSIYFY >scaffold_303389.1 pep chromosome:v.1.0:3:14669961:14671790:-1 gene:scaffold_303389.1 transcript:scaffold_303389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTPCKCLANPLISRGLTNSTADLDESFSSFIIVSTGLAENQSLSEAWAKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPVFRNKEGRELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHALFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVKFGAFQKLGDPTTKRQAV >scaffold_303390.1 pep chromosome:v.1.0:3:14699659:14700031:1 gene:scaffold_303390.1 transcript:scaffold_303390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDTTSRMMGNGVQMDGKILQTFEKSFVQVQNILDHNRLLINEINQNHESKIPDNLGRNVGLIRELNNNVRRVAHLYVDLSNNFSKSMEASSEGDSAGGGGHMRIRPA >scaffold_303391.1 pep chromosome:v.1.0:3:14702010:14704689:1 gene:scaffold_303391.1 transcript:scaffold_303391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSLTPNAITAINDGDVNLKPLLQVLEIKMIGRSQERSQERYRFLISDGVSAQHAMVAVQLNDRVKSGQVEKGSIVQLIDYICSDVKGRKLIVVLNMETIVPHSETIGNPTIFGETDTEAQKPLSAVGNIPPPNRVVFNEPTAQHSVNRAPPRGVNIQNPANSTPSFRPSVQPSYQPPASYRNHGPIMKNEAPARVIPIAALNPYQGRWAIKARVTAKGDIRRYNNAKGDGKVYSFDLLDSDGGEIRVTCFNAVADRFYDVTEVGKVYLISKGSLKPAQKNYNHLKNEWEIFLESTSTVELCPDEDGSIPRQQFSFRPISDIENAENNTILDVIGVVTSVNPSVPILRKNGMETHRRILNLKDESGKAVEVTLWGEFCNRDGRQLEEMVDSAFHPVLAIKAGKVSDFSGKSVGTISSTQLFINPDFPEAHKLRTWFDHGGKDTASFSISRDTMPGGVSRNEIRKSVSQIKEEGLGRSDKPDWITVKATISFIKTDSFCYTACPLMIGDKQCNKKVTRSGTNRWLCDRCNQESDECDYRYLLQVQIQDHTGLTWITAFQETGEEIMGCPAKKLYALKYELEKEEEFAEIVRDRLFHQYMLKLKIKEESYGDEQRVKMTVVKVDKMNYTSESKYMLDLLVR >scaffold_303397.1 pep chromosome:v.1.0:3:14740660:14741015:1 gene:scaffold_303397.1 transcript:scaffold_303397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRTGSTHPIEISTASTETTIQIQDDYDEFKKAEEIFIALDLPKYTRFYWTCISTLKEQALWRKYFIDIAESTDENKLQLLEIITGVLRNNEYMREQLGSNQ >scaffold_303402.1 pep chromosome:v.1.0:3:14792293:14793089:1 gene:scaffold_303402.1 transcript:scaffold_303402.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A2-alpha [Source:UniProtKB/TrEMBL;Acc:D7LA74] MAAPIILFSFLFFFSVSVSALNIGVQLIHPSISLTKECSRKCESEFCSVPPFLRYGKYCGLLYSGCPGERPCDGLDSCCMKHDACVQSKNNDYLSQECSQKFINCMNNFSQKKQPTFKGNKCDADEVIDVISIVMEAALIAGKVLKKP >scaffold_303408.1 pep chromosome:v.1.0:3:14815061:14815527:-1 gene:scaffold_303408.1 transcript:scaffold_303408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSITWFIVFCVFMFIALNHVKETKRCDCQDMLGTFKGWHFCTCYSGRPGC >scaffold_303414.1 pep chromosome:v.1.0:3:14854401:14854994:1 gene:scaffold_303414.1 transcript:scaffold_303414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGVTDLALRGYRLYMASNSRCLRVIDLSGNQGFEDVTGSNPKPMLSPLGKHNYFSITVTTAGEVLLVESTTFENQRTFRVYRKDPNADPDDQIPIFLSWILLVMRPCFSTWVSLCLLTIPLVSNQTLSISPVMTVNVPVSVSASLLIWIFVCSVLQPKPSYASLNSPTCIPRMLDGFSL >scaffold_303415.1 pep chromosome:v.1.0:3:14858220:14859576:-1 gene:scaffold_303415.1 transcript:scaffold_303415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEKFTWVVKNISSLESEFIESDEFVSWSLSHGYVKAGYLSLYLVVADYKSLHCGWRRHTRFRLTIVNQVSDQLSQRREGGFLVNNEVKIVVEVDVLQVIGKLDVSEESLEVNQPLNRIKLNGDGVVTSSVDVNGFQVLPSQAESVKRIFEKHPEMALKFRAKNQHMKTSCINVLLSLIKTLCQSLQDISIDDLGQAEQALTYLNNLGFKVDWLECKLEEVKAKKMEEQIGKTRMQELEKDLKVFKKKCSDIEALLEKEKEELKDLKQKCSDTEALLEKEKTKVLATKSYIMYSRLFKSTHNKI >scaffold_303417.1 pep chromosome:v.1.0:3:14884504:14885641:1 gene:scaffold_303417.1 transcript:scaffold_303417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLPTWSELCPDLLGSIFERLSFADFHRMKLVCSSWNSSSKLAMARKIEPPWLILFPYGEENVCVLYNPDEDRIYKTVRDFSGTQIVANSGKWFLMVDSGCNLYIMDVFSENRIDLPPLESLLQLAYALKSVRDKQCNNFGLASGCYQKEIARNLRGRLWVDEKTGEFVVVWFFDPDIYLFYCKKGDNHYTIISLYHEVPKLLKGLNDLVLRGYRLYIASKRGFVRVIDLSGPQDFEDVTGSNPKQMFSPLGEHNSFSVAVTTTGEVLLVESKTFEDQRTFRLFKKDPNNADPLVHSPKLLEVDSLGDEAMLLDLGITLPANHTIGIKPNSIYFTRHDRACLRIPFDLDICVFNLATKTLNSPT >scaffold_303418.1 pep chromosome:v.1.0:3:14895762:14896952:1 gene:scaffold_303418.1 transcript:scaffold_303418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPGPWSELYPDLLESIFERLCLADVHRAKLVCLNWNTSSKRSVARKIKTPWLIVLFVDDEKDVYVLYNPNEDRIYKPVRDFSGIRFLANSGKWFLMLDSGCNLYIMDVFSEKRIDLPPLESLVSSTYALKPSGYKEYSLILPGGSCCILNTSYLRSRLWVDEKTGEFVLVLFFDPSPYLFYCKNGDNHYTVIPVDDKFPNMLQGVTDLVLWGYRLYIASNRRCVRVIDLSGHEGFEDVTGSNPKPMLSPLGEHDSFNIVVTTAGEVLLVESTTVENQRTFRIYKKNPNADPEDQMPHLVEVDSLGDEALLLDLGTTVPSNHALGIQPNTIYFTRHNRVRFRMSFDLEICVFNLATNTLIKHFPHLANLKPRDALWFLPMT >scaffold_303421.1 pep chromosome:v.1.0:3:15043488:15044759:1 gene:scaffold_303421.1 transcript:scaffold_303421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAASSAISAHWKHHVKVNLSDFLEFIEMLNHSHSEENVCLLFNPEEDRIYKTESDFSKIRFLANSGKWFLVVDSGFSLCIIDVFNENRRIDLPPLEALLSSKYSLKRVRDKEFRWGLTSGSCLEMKTDNLRGLLWVDEKTEDFVVVWFFDPVGYLFFCKKGDTHYTFIPLYDDVPKLLSGFSDLVLRCYRLYIATTHRCVRVLDLSGHQGFEDVTGSNPKPMFSLRKYYASFSISTNFENRRSFRLYKKDPNADLNEYSPDLLEVDSVGDEALLLDLGIIVPANHTIGIKPNTIYFTRHDRARLRIPFDLDICVFNLATNTLKRFPQLAGLNLKDARWFLPFT >scaffold_303423.1 pep chromosome:v.1.0:3:15060710:15062592:1 gene:scaffold_303423.1 transcript:scaffold_303423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSLGPAEVVDERAERYQGKVTGFVIVTCFVAAIGGCIFGYDIGVSGGVTSMDEFLREFFHDVYEKKSHAHENNYCKFNNQGLAAFNSLLYMAGLVATLMASPVTRNYGRLSSIICAGIFYMIGAAVNAGSMNLPMLFFGRIMIGFGVGFENQAVPVYLSEVAPANLRGGLNSMFQLATTLGIFSANMVSYATQTLKPWGWRLSLGSAAFPALLMTLGGYFLPETPTSLIERGLTVRGRQVLEKLRGTRDVNTEFQDMVDASELSNSIRHPFKEILHKRHRPQLVMAILLPTFQILTGVNCILFYAPVLFITMGFGGNALLYSSVLVGAVLVLSTLISIALVDRLGRRALLISGGLQMIICQVIVSVILGLKFGDNKELSKGYSILLVIFVCLFILGYGWSWGPLGYTIPSEIFPLETRSAGQSITVAVNLLMSFIIAQTFLYLLCALKFGIFLLFAASVSVMTIFVYFLLPETKGVPIEEMTLIWRKHWFWKKILPTNLEAESSHAQ >scaffold_303430.1 pep chromosome:v.1.0:3:15144869:15145097:-1 gene:scaffold_303430.1 transcript:scaffold_303430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKVYTNVFDAINLDTLICAMERYSFLGMNMITKCMNVSENEILKSLNPLSKYIETIQQNT >scaffold_303432.1 pep chromosome:v.1.0:3:15148732:15149509:-1 gene:scaffold_303432.1 transcript:scaffold_303432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVGQTMKDDVDYRESINKIKLTPTLVIDYFIFKALHLDDDVVWMLDALGLRRFMESVRREIYEEETRQFLATVSLAFPRMSSPLARDGILYFTIHGEHFNISIPHLGRTLGFDYQDAFDFGPEEHGDTWQRIRKGLFTSGKTKSALISHPAIRCIHKLLANTIFARTTQNSILGDELLVLKTPFVDFPRRVNYASLFVKRMVKIKHDKIYCTDNQASLSFGGVITMILEAAVVDLKDRAFTAE >scaffold_303434.1 pep chromosome:v.1.0:3:15190817:15191066:-1 gene:scaffold_303434.1 transcript:scaffold_303434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSVPVLDETSDLFRRFRQKKRDALFDSKKIEIYEEFDTVAYWKQKALNLEKMLEASTERERRLIENS >scaffold_303435.1 pep chromosome:v.1.0:3:15195824:15196721:1 gene:scaffold_303435.1 transcript:scaffold_303435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLANSTKSYIEKCVLYVISALGACLSCVDLVLFVRTNRRREVMFLPLSRFAMWISIMLSSKFACACCVFASRILCFWWIFRFLTDALHLNMIFTLQVIQLYLLSGHINYHSSHNFEYKY >scaffold_303440.1 pep chromosome:v.1.0:3:15239975:15240736:1 gene:scaffold_303440.1 transcript:scaffold_303440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGRGRGGRGRAPKVSPNRPVANRPTASGTSNRRPSTLPSQYTFTPANPEAPETQQSPVNPVALESQPPTHRDYPPPTQLFQSGDDSPRGSGSHPFRESGSTQVRGSGSVQGRGSVGSIHRLASRSNQPPAPVQPPAPVQPPASTQPAASNRQIPSRQQRPSPQPRASVSHHSSQAQNSHEESEDEEAEGESEEDVLRDSTLPEDVLADLHATLLIPGREKFTTVISPNLEPETTW >scaffold_303441.1 pep chromosome:v.1.0:3:15350872:15351303:-1 gene:scaffold_303441.1 transcript:scaffold_303441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINEPSLMDVAHDTINSTQGPALIEVAYFPSSEGKGQGFFRGNSGRVSFRSKGLRIPNPPHQPRRYVTLISKIDIQLHCRLPPLGCFXX >scaffold_303442.1 pep chromosome:v.1.0:3:15351747:15351996:1 gene:scaffold_303442.1 transcript:scaffold_303442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARNHGGPPRGARVGSAVSLHSNGTRGSFAFAGSREILRVLRPRFHRRGHLVHRYYQKVSCLHYCY >scaffold_303444.1 pep chromosome:v.1.0:3:15359574:15361284:-1 gene:scaffold_303444.1 transcript:scaffold_303444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVLLSSPRPTPLTVCLGPLPGTPVSLRTVVCIFLPCFHSCLLFLYGLGLVKSVPSSKKRNRKLALPSWLLRMSPSSIYSLLCFLLSSP >scaffold_303449.1 pep chromosome:v.1.0:3:15370154:15372494:1 gene:scaffold_303449.1 transcript:scaffold_303449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGIQVVSETSRPAADKKVRVTIAFSIDTAPESTTPFIDYLTSPPMGLGA >scaffold_303450.1 pep chromosome:v.1.0:3:15372594:15372967:1 gene:scaffold_303450.1 transcript:scaffold_303450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFFFLLLLISHREQLLLVQGHQMRDLLPTPRNRSNGRLPSPFSRVINPSTHLSIHKKALPRGERKLQDEYALDSRIHSRPDPLWNFRNLQKHSRKGLVMIFSKITRC >scaffold_303451.1 pep chromosome:v.1.0:3:15373086:15373473:1 gene:scaffold_303451.1 transcript:scaffold_303451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNVHVRFREKGGGQKWPCCTLLSSSMGSALFFLGEYANMILMRCGTLHLTFVGLPSSGACVPAVFVQ >scaffold_303453.1 pep chromosome:v.1.0:3:15376313:15376785:-1 gene:scaffold_303453.1 transcript:scaffold_303453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRGPLVGPDRWWYHTLLKETVRDTLASYGSAPESFYTSPFSRVCFTTSPNKWSSSFVPFL >scaffold_303454.1 pep chromosome:v.1.0:3:15377388:15378187:1 gene:scaffold_303454.1 transcript:scaffold_303454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGSLIRIEVIHLVGGLALPVLGLFVLNAIGGQALPSTGPSGSGSSSMWEEDSFELGVLEESDSPPAGGSRTEEGEPSVNQGSPLPSQTEEGEPSVNQVPQEAGPALPANPVPPGGEEAGPVVPYPYRRDEMIGGDSVEAIERRLLAKYPEGSPSAEIIEMARIEAEDLFEIKAQIIQRMALYDPTGDWMARGARALDNPRTTSGEESLERLYDIWKDLQETGPLSDEFSRLQEKVFLKKGGPGGDPIA >scaffold_303455.1 pep chromosome:v.1.0:3:15378244:15378645:1 gene:scaffold_303455.1 transcript:scaffold_303455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEFAPISIYLVISLLVSLILLGVPFPFASNSSTYPEKLSAYECGFDPSGDARSRFDIRFYLVSILFLIPDLEVTFFFPWAVPPNKIDLFGFWSMMAFLFILTIGFLYEWKRGASDRE >scaffold_303456.1 pep chromosome:v.1.0:3:15378649:15379067:1 gene:scaffold_303456.1 transcript:scaffold_303456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFNQLIRHGREEKRRTDRTRALDKCPQKTGVCPRVSTRTPKKPNSAPRKIAKVRLSNRHDIFAHIPGEGHNSQEHSTVLIRGGRVKDSPGVKSHCIRGVKDLMGIPGRRRGRSKYGAEKPKSI >scaffold_303457.1 pep chromosome:v.1.0:3:15380025:15380676:1 gene:scaffold_303457.1 transcript:scaffold_303457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSPYINITNPIYEWILPFFSSAIFHRVAEARKSANAIRRITSDQGVDLFRTSDIQEEAIRYFSNLFQFSPVNHTRASISSLRSLIDFIRCSN >scaffold_303459.1 pep chromosome:v.1.0:3:15381595:15382445:1 gene:scaffold_303459.1 transcript:scaffold_303459.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome C assembly protein [Source:TAIR;Acc:ATMG00960](projected from arabidopsis_thaliana,ATMG00960) MWNESGNSPLIYQKYRSSSLPGSHCSDNFPFNPTAKRVVRNGKKETTTSPLCWTAGANTVVSDQDQEPIRIWILTCWWFLTVGILPGSWWAYHELGRGGWWFRDPVENASFMPRVLATARIHSVILPLLHSWTSFLNIVTFPCCVSGTFSIRSGLLAPVHSFATDDTRGIFLWWFFLLMTGISMILFYQMKQQASVRRTYKKEMVVARSTLVHLRHSARAQPRPVMLWKN >scaffold_303460.1 pep chromosome:v.1.0:3:15384886:15385380:-1 gene:scaffold_303460.1 transcript:scaffold_303460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGSTVSVRGSISDQGVVTHITGGNFAQSSITINGWLCDFLWAQASQVLILYGLSVSCFYSAGVVIGKNLLNPLFGLIINEKLLLLLSLEP >scaffold_303462.1 pep chromosome:v.1.0:3:15387320:15387616:1 gene:scaffold_303462.1 transcript:scaffold_303462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTDQPSMADNYQFDLGGSDAKATPVVDCLRHLSTVSLKFHSHLLVLKLSAAQKTLKSSASKAVVVGIKALRQVEPHRGGTC >scaffold_303463.1 pep chromosome:v.1.0:3:15387635:15388136:1 gene:scaffold_303463.1 transcript:scaffold_303463.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding [Source:TAIR;Acc:ATMG00940](projected from arabidopsis_thaliana,ATMG00940) MRKSADEMFIGVRRAPISSNVGGTSFYGGDEYCSYYQSNGGVAKEDDGSAKKGFRRTGKGKLTAEAVSEAINRAAQGLPFEVVYYPTAGWSYFVVKAEDVEASMAIFWTPGTRVKMAMETEDSSRITWFQGIVFSTYQETGPWRGSPWKQLQV >scaffold_303464.1 pep chromosome:v.1.0:3:15389101:15389299:-1 gene:scaffold_303464.1 transcript:scaffold_303464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLNRLPSHVPSCYMRLNSQFVYVERDLRELKLTSNLGSDSLILTSFLLFP >scaffold_303465.1 pep chromosome:v.1.0:3:15389608:15390387:1 gene:scaffold_303465.1 transcript:scaffold_303465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKSPLFRGPLVNSSTENPIHILTREKLRHQTSGTNSTEKVILPNILHSYIKNLNLDFTSPYGSTGNNELLSILRSRFKQSIFPSSGLKCLDTTGDFLIKNVLHKRYESVQQNISNALSSSINSRTAVFFCILFSITVLMEIAPGPLLNKPSLLFSDNLPNVLQYTRDVYVNHVCIIHKSLSPCECEEPLNRIIRDMFPQTTFDPLELQKPSPSKVKTITYMLGTILLVITLMDKTGYTQLVQM >scaffold_303466.1 pep chromosome:v.1.0:3:15402834:15403455:1 gene:scaffold_303466.1 transcript:scaffold_303466.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:unknown protein; Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (source: NCBI BLink). [Source:TAIR;Acc:AT2G07669](projected from arabidopsis_thaliana,AT2G07669) MAGPGIPCCCGKRVFLLLGGMCMSRREVGEAVLPLIRGIGEGVYHFISLSSPSPSCRYCFWVVSASRCAASTSFLCSLGISSMKSSSVVRRSLAELSCVAKYDLRSAVS >scaffold_303469.1 pep chromosome:v.1.0:3:15407441:15407703:-1 gene:scaffold_303469.1 transcript:scaffold_303469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRAIVDPYLASDLALFFILTARRIRSTCPPPFPLPLNESFHPLPHLHPNHFDRSDFVQSLLHFFLCLAGFS >scaffold_303475.1 pep chromosome:v.1.0:3:15413859:15415042:1 gene:scaffold_303475.1 transcript:scaffold_303475.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome C biogenesis 382 [Source:TAIR;Acc:ATMG00830](projected from arabidopsis_thaliana,ATMG00830) MSIYEFFHYSLFPGLFVAFTYNKKQPPAFGAAPAFWCILLSFLGLSFCHIPNNLSNYNVLTANAPFFYQISGTWSNHEGSILLWCRIPNFYGFFLCYRGRPQSHNVLKQGGHRESLFFFFVSNFVKNSILSFPRYEQESGLKNQLYTPFVLRTLVDSELRSRRNRTFDGPALFYAPLYPERKMKNPLDAWRSRGSREGKRTHPLLHLARDDKERASSIDEQRIDGALGIALFFSPFLSASSDPFVRNFFVCTEPLAESNPVPQDPISAIHPPCIYAGDVASAEGFGLCRSKMMNGIVALHSPPMRKDAAEKNGTLLRSAGCVGSRITSELFTLKFKHVGAKCYPALLLRSNRSPLMLLRRRFFAFSSFWTGARSHSTKRY >scaffold_303479.1 pep chromosome:v.1.0:3:17418801:17419164:1 gene:scaffold_303479.1 transcript:scaffold_303479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGERKKLGVSAFSIYRVKTAPQNYQTGDCGVYSVKFIECLAIGISFEGLCDSVMSGIRLKLAIEVFDEVPDSGCFIQMSDPRDVDTVGVEFISQNDPSLSRVNM >scaffold_303480.1 pep chromosome:v.1.0:3:17419473:17420140:-1 gene:scaffold_303480.1 transcript:scaffold_303480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIPPPILANILSQIGEQGFRLLGPFIAAGPTMKETVYLREVLVKADLSEFIYNGELVSETSMFKPFLMKCYEKGKITALFVESLQRLTQDGPSQDTLDMLAESSTLTLNAHFAFGMMLLCCGAVEEDSYVVEAFLEKVTDLIEGFLTVDQVELQIKSMGASGAGVFYRHFNLIQLGLICKLVHPTSFDICDHCFAFNYAVRFLNMC >scaffold_303492.1 pep chromosome:v.1.0:3:17518321:17521058:1 gene:scaffold_303492.1 transcript:scaffold_303492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVVSPRTRSDSITEKVFRRVYSNFNISTVEDEYIHRQRSSDYEKESRLRKRGLEEKEEVMEMEQMGAERIKTVLILMSDTGGGHRASAEAIRDAFKIEFGDDYRIIIKDVWKEYTGWPLNDMERQYKFMVKHVGLWSVAFHGTSPKWIHKSYLSALAAYYAKEIEAGLMEYKPDIIISVHPLMQHIPLWVMKWQGLHKKVIFVTVITDLNTCHRTWFHHGVSRCYCPSKEVAKRALVDGLDDSQIRVFGLPVRPSFPRTIIYKDELRRELEIDLNLPAVLLMGGGEGMGPVQKTALALGDALYNSKERNPIGQLIVICGRNKVLASALASHEWKIPVKVRGFETQMEKWMGACDCIITKAGPGTIAEALICGLPIILNDYIPGQEKGNVPYVVDNGAGVFTRSPKETAKIVANWFSNNKEELKKMSENALKLAQPEAVFDIVKDIHHLSQQQQQRIPLFNDFSY >scaffold_303493.1 pep chromosome:v.1.0:3:17550522:17551377:1 gene:scaffold_303493.1 transcript:scaffold_303493.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate cyclase [Source:UniProtKB/TrEMBL;Acc:D7LB43] MEVEVKLRLLTAAAHLRLTTLLTPFHLRTLHQRNIFFDTPKNDLSLRRAVLRLRFLQNAAVSAASSSPPRCIVSLKAKPTLANGISRVEEDEEEIEYGIGKECVDSPAKLSDIGSRVLKRVKEEYGFKDFLGFVCLGGFENVRNVYEWRGVKLEVDETKYDFGNCYEIECETEEPERVKTMIEEFLTENKIEFLNSDMTKFAVFRSGKLP >scaffold_303494.1 pep chromosome:v.1.0:3:17553815:17555316:-1 gene:scaffold_303494.1 transcript:scaffold_303494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAVGSMETQRQETWACSVTESFLILVGKNLACLLLVAESLLIGLIAVDQRLSLKEGYKRGSRAEENKDASDTEDDNDDDEDADEDDDDEDDANDEDFSGGEGDEGEEADPEDDPVANGGGGSDEDDDDDEEGDNDDDDEDNEDEEEDEDEEDDDDVRQPPSKKRK >scaffold_303496.1 pep chromosome:v.1.0:3:17595047:17595823:1 gene:scaffold_303496.1 transcript:scaffold_303496.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB48] MQLAFSGDGFTRIDCLRPFPSLTPVLFSPLFPCVLDLCTVSGGFERSSASELTFNKADDEVIGRAIAIKIFSNSILPLCTGVVIIALD >scaffold_303498.1 pep chromosome:v.1.0:3:17618289:17618958:-1 gene:scaffold_303498.1 transcript:scaffold_303498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYILLPLVTDIVRRIGISGFRHLGPFIAAGPEWSAIVFSAEVLKEVCLDEFVFVASLCIEGSPYRPFLLRCLHSNNNTAKYIEGLRLAALVGPSVQSLDMLGEAAIHNIHSYFAFGIFYALCGNPCEGSMILKKYLEKFSTFQEAVNCADQVMAQISDMGPTGKHLYRGYRGLNVIPDCGLVHYGALDVCPSCFVLFYVFQIHDLC >scaffold_303499.1 pep chromosome:v.1.0:3:17620405:17623282:-1 gene:scaffold_303499.1 transcript:scaffold_303499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKRKRSRAASKIQDPIQLFTNQMQQQTIAIYGFPLGLQLLAYRNISGLLDKIPGSSDDITFLEWHSIGIPKNNLSLNEVHLLERDPDLDAVRHEFAESVKKLRAQNVNLLKKIKALRSLKMPKFQYHKFSRSRQSTCPPSRKVHKAAKHPILSESPVKAAVDSQNIRTPPSSPLTSMHEEDNAVSGEPAMLVDDMTWRRITSQQAGNSGNTNMDSVTSPQNYLPLPSPQLAAVYDTAKKPSSIDGSEEINIGDLAFAHNVDTLVQSICKSISPTIAAADVDSLPSQEEFLAVDDSKITPQDDLPDGIKSDGIETNFVLVKHSEVILIYDVHMANCYFNYSLFIRSVSMWIPGFNNLLTTCHQIETTSIYPPKIDPPVLQDSLNFSIQGPVSPVTKMAADTQQEKDDDIENDDDEDSAVKSGDVVDVSDSSPARERKPTTLSNKEAKLVELVLNLPRNSPTKQYDLLPRLDKTFFKVFMDILRKAPHT >scaffold_303500.1 pep chromosome:v.1.0:3:17626453:17629376:-1 gene:scaffold_303500.1 transcript:scaffold_303500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSRSQRVFILVSLCLLSSFLLVVTGTTSNTGVGNEEVGGVEEWRTSVIERVIAEESGENSSLILAAKRTKRKDPNDNFKFYTGGWNISNSHYLTSVGYTAVPFIIIAVVWFVFFGLSLSLICLCYCCCARQPYGYSRVAYALSLILLISFTIAAIIGCIFLYTGQGKFHASTTDTLEYVVSQANLTSENLRNVSDYLNAAKKVDVQSSILPQDVLSSIDNIQGKINSSATTLSVKTMENQDKIQNVLDSMRLALVIIAAVMLFLAFIGFLLSIFGLQCLVYTLVILGWILVTVTFVLCGGFLLLHNVVGDTCVAMDQWVQNPTAHTALDDILPCVDNATARETLDRTKLVTYKLVNLLDNAISNMTNRNFPPQLRPLYYNQSGPLMPLLCNPFNADLSDHQCQPGEVHLNNATEVWKNFTCQIVTPGTCSTQGRLTPKLYSQMAAAVNVSYGLYKYGPFLADLQGCDFVRSTFTDIERDHCPGLKRYTQWIYVGLVVVSASVMSSLVFWVIYARERRHRVYTKDYNAMHSEDPRSKGQ >scaffold_303501.1 pep chromosome:v.1.0:3:17648986:17650005:-1 gene:scaffold_303501.1 transcript:scaffold_303501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKTDLDPTLSYEYGLIFAQKKLQSEDIALFNHNFLRRLGISVAKHRVEILKLSKRETQALSSYQHRPFSAKLMSVVIKATKSVCNRLNKWLYLGGTAVVEPLKEKQSPTRACRTAAVLTGNNNKVNAEKAEVQVERLPVIKRKRIAKSGPLDLKHGMQEKMTLVSNRSMNLSGPLDRSVQERLILAYRSPVVSGQLDGNLNERLRLSGPLKGRPPSPSVYVEYNKRDDDTRWDAMFHNLKPT >scaffold_303504.1 pep chromosome:v.1.0:3:17765521:17766291:1 gene:scaffold_303504.1 transcript:scaffold_303504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNKTLAFTILISILALMGLKQLGNVEDLTIYDHRMDDGWIAALSYIESLKILKISSRRRIDASPGPEKLLRSCPAMESLQLKRCCLNDKEGMRDCWGLNGDSFSLAKAFRRVRFLSLKGCSVLTSGGLESVILHWGELESMRVVSCKSIKDSEISRRDAQS >scaffold_303506.1 pep chromosome:v.1.0:3:17805809:17806072:1 gene:scaffold_303506.1 transcript:scaffold_303506.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB60] MNTRLLSLIFLRLLRNHHFWLSPSPSSPSDQFSHLTNFSSGQEWFSDHIQLHLPSLVSVVVSPVVNRRQDISI >scaffold_303509.1 pep chromosome:v.1.0:3:17915802:17916364:1 gene:scaffold_303509.1 transcript:scaffold_303509.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB64] MARVIEGGREYESTERSREASTTDVAVPTKAPLDASVPTEDPTDSAVAVFVPRVDASVLELSDREGKDSVRESNEDVRESDKEVSESDKEVSESKEKEKEVRELSIDGQGCDNEEEERVGDAEGGERSGDNEEEDVAGVVKVSNSLYLLYMVLKVSNKHSCTQLYVFEYAEYS >scaffold_303510.1 pep chromosome:v.1.0:3:17926846:17929700:1 gene:scaffold_303510.1 transcript:scaffold_303510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated /TS-N domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LB65] MAKLKVAGTWGGIIEVELENWTLHMLRDEVAKRSGLDPESIKLIFAGKILKDDGGATLTLAQLGIKENSKILSSRGTAPEEGKSIMAEEERSRRLSRIKAAATALSKRHADGSLPIQDYNIELEDQGGHKVQFGTETDQSAVMMGLMLHTKAKSFIEMEMYSDAVEVLAMAEESFLLCDPKILELVDNLPMMEIDIVWCYFLLRDVKCLSDAGVRLERARKGLERAHGKDLSRVRLLQAGQSPEMALYLRLELLEGVVAYHTGQYDKALNALKSAHAKFLQLQIPDETLSLVMGMGFQEKDAKRALRLNNQDIASSVDFLIEERAKRAQKREQDLQRQQEILEQKKYGVTPMKKAVDMQMLERLVSIGYARDLAAESLRRNENDIQKALDILTDPKVNSTIQAYIESRKRKRQEQLVGISVDELVSMGFERGRATSALEAGGNREDIIQRLLSASEANQGTTSAINATSSTNNGGAESSGFGGRESTSNEIDGGAEQDSEMKDETRDDIANREGTSSDVGEEERDSEIEDEIADEIAKVDALSAYDINLDKEIEAINEYLAMLDASQESG >scaffold_303514.1 pep chromosome:v.1.0:3:17949332:17950160:1 gene:scaffold_303514.1 transcript:scaffold_303514.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB67] MPEEVLCFLWYLCVVYTVGIAFDIQWLYHYVNTIQDKRDCFSTFLRWFSPLTVWSGKLQKSAKATNLTFKKLKRGGLNPFFNPHSIYFFHRPIKVNRKTSKILALPNLIHHGDFSRGVRPVFNLQDNEAYENFQTHAFHLNNARNIFPIPLIPTWVHYPVDYQMQLDEDLQYYMMKFDRGRPNSSKEETTSQATSQDYYADHLKDAQSPDAEINVEDIEEKFKFCINVQPGEDARMIEDSYECPDDHYGCCEEHMLEFEMDH >scaffold_303515.1 pep chromosome:v.1.0:3:17952605:17953449:-1 gene:scaffold_303515.1 transcript:scaffold_303515.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB68] MANSSESKTSYYNFEADVPEDVHQLISRNKLHELLHTKIFSYQSTILSNYGVNCHHIAPIGIHHDHPFAHFYRIENSNMPEEVLCFLWYLCAVYTVGIAFDIQWLYHYVNTIQDKRDCFSTFLRWFSPLTVWSGKLQKSAKATNLTFKKLKRGGLNPFFNPHSIYFFHRPVKVNRKTSKIFALPTLIHHGDFSRGVRPVFNLQDNEAYENFQTHAFYLNNARHTFPIPFIPNWVHYPVDYQMQLDEDLQYYMMKFDRGRPNSSKEDK >scaffold_303517.1 pep chromosome:v.1.0:3:17956446:17956641:-1 gene:scaffold_303517.1 transcript:scaffold_303517.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB70] MGARPVTITQPSHSRQGYGESRRRRAAQSERVPAKTSTLSQSNSDCSRSRV >scaffold_303518.1 pep chromosome:v.1.0:3:17959748:17959988:1 gene:scaffold_303518.1 transcript:scaffold_303518.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LB71] MKNEAKRIVRCNYSWETFLEDAISSQPLTGLSTKTSSRLLFSEASPQITLIGLGGIDVSDQERAKR >scaffold_303519.1 pep chromosome:v.1.0:3:17985928:17986453:1 gene:scaffold_303519.1 transcript:scaffold_303519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQWSNAEEDKDPALDNLIKDIIHNRLALDAWKGVLAFGVSKKKRKVKAIVDEEGSITRKGKKIKMAEFSGEEIKIQNEDEKIVSEDIQVDKYDKKGFSDILLMKEKLNGSTVDMGKNLSSRIDD >scaffold_303520.1 pep chromosome:v.1.0:3:17987993:17989924:-1 gene:scaffold_303520.1 transcript:scaffold_303520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQKPAWLDALYAEKFFVGCPYHETAKKNERNVCCLDCCTSLCPHCVPSHRFHRLLQVRRYVYHDVVRLEDLQKLIDCSNVQAYTINSAKVVFIKKRPQNRQFKGAGNYCTSCDRSLQEPYIHCSLGCKVDFVMKRYRDLTPFLKPCHTLTLGPDYIIPQDLLTDDDVAVYETPRSTVVDGDESMSWSSASSDNNNTGAAAAYAATTTHVVRKKRTGFCLCAKSANSYKEVSEDPDDISTCINRRKGVPQRSPLC >scaffold_303521.1 pep chromosome:v.1.0:3:17999345:17999868:1 gene:scaffold_303521.1 transcript:scaffold_303521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPQWSNAEEDKDPALDNLIKDIIHNRLALDAWKGVLAFRVSKKKRKVKATVDEEGSITRKGKKIKMAEFSGEEIKIQNEEEKIVSEDIQEKLNGSTVDMGKNLSSRIDD >scaffold_303523.1 pep chromosome:v.1.0:3:18005379:18005592:1 gene:scaffold_303523.1 transcript:scaffold_303523.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LB77] MNYTQCRIHNVDHHHYHHLTRSIEEAITDRILSLKYKPESKYEQLLSRWSSLRSSPS >scaffold_303530.1 pep chromosome:v.1.0:3:18068675:18069586:1 gene:scaffold_303530.1 transcript:scaffold_303530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSNNITPSGPRLMTQPSPALSLPPLSRPSSSSFRRNSLPSMILSPSAHVESRDSSIRDKKNPSLPPLDVKRRAEKDIEPLRRHNRSVSMDSFFNDLLKLTLSQGNVSSSSLVDGDKNASGLEFGSSDYTDDELNMIAESTKLKKIASDPVKVRRILANRVSMVLSKQRQSQYVIDLEQKIKFLENENASMSEKITLLENDKTMMMNEKKEITIKIESLEQQVQLRDGTSF >scaffold_303531.1 pep chromosome:v.1.0:3:18072942:18073436:1 gene:scaffold_303531.1 transcript:scaffold_303531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESNRSIKVQKFVHHIELVQRKSIMYYQQQKSQNFLKIVLTLPTMESTCLGILHRGIQISGLGMKSFQTYESHVPFDLRFMIDCNIVGGNWGLKCPVGNIKRCQNHCHIANWSLIACILFMILL >scaffold_303533.1 pep chromosome:v.1.0:3:18077504:18077736:1 gene:scaffold_303533.1 transcript:scaffold_303533.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L018] MSSGVCDAIVRRRRDLSSFLICLLSQVVFICFYFCVHLSKNPKLPLHVAFFSDCFRFDGRGNQ >scaffold_303534.1 pep chromosome:v.1.0:3:18078746:18079038:1 gene:scaffold_303534.1 transcript:scaffold_303534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFTKSSYASIARAVYHHMLIGDFTNLAFNLDSLQVLKDLPPLASFLKLCILENSLSFYLYISCNVYNFNLYELTSFEDLDE >scaffold_303536.1 pep chromosome:v.1.0:3:18088354:18088727:-1 gene:scaffold_303536.1 transcript:scaffold_303536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAYTIVSMFMLTLLVTRVTSSQVDASCSWTEISEEYDYCGDSLMRGVPWVFPLKVCCDTIKLNKMKCICQKVTKMFSQNFDFNKLSKLSHACGDLLVPGSYCGGKYH >scaffold_303542.1 pep chromosome:v.1.0:3:18138270:18139568:-1 gene:scaffold_303542.1 transcript:scaffold_303542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILIALVNKIQRACTALGDYGEGSSLPTLWDSLPSSVVTSGLLTVTLAGFRNGKFSTLVATNVAARGLDINDVQLIIQEFQSSIDMESHRSSPENDLLSSVALSGPLFRSTIYHLKVPGSSITSLGPEYTLQNKSYSLYSDKRQCRSLTETTVYEKKIGFYTFYLKVDPSWPLCMYELRGRCNNDECSWQHFKDFSDDSLQKRNSMQETCLVKVIDIKACMSLVV >scaffold_303548.1 pep chromosome:v.1.0:3:18249762:18250427:-1 gene:scaffold_303548.1 transcript:scaffold_303548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDINIALDIVSRVGEDSFKALGGMLLASKFYHYLASHPIVLNNVSLQPFLADASLINEDSIYRPFFRLCLDSLNPTAAYLESIRLAAKLGRAEDALRLLYSSGNSPPQAWFSRALLEVCLGFYQESIATIDSFISSVGSFRQADAIGSTVFKHIMQIGPVKIRSHANTWHYGDIPTCFATRCRIDRRCRQCFLYWFSVMYLLLC >scaffold_303552.1 pep chromosome:v.1.0:3:18281173:18282073:-1 gene:scaffold_303552.1 transcript:scaffold_303552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKAYVTILLVAILTISVCNYNILAMPVIQQYDRCTGACSRFYGNQQCYENCRKVKYDGGQCDFVTKGESLPQCCCYYNKQI >scaffold_303553.1 pep chromosome:v.1.0:3:18282300:18282891:-1 gene:scaffold_303553.1 transcript:scaffold_303553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRLWKKASEYPSSQSQQSIKDGQSVPHHNQQMLVTNTSLYCCVDGSWIDPTSKAGIGWALYNTERQCLIKGSSSIEPTSSALETEALALREAILQIKRLNYCDVTFCGDSKILYTYLETAMQQDQPPPGNLEIQNYLEDILLINKGMYHFKYIPREINAMADKLAREARVMKSPFVVSWVT >scaffold_303554.1 pep chromosome:v.1.0:3:18285188:18285389:1 gene:scaffold_303554.1 transcript:scaffold_303554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINHIAQHIELPNPKPASSQVCDIPNLLIVNIQLPMYPTSMLVTMMVKDLA >scaffold_303555.1 pep chromosome:v.1.0:3:18285856:18286327:1 gene:scaffold_303555.1 transcript:scaffold_303555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEMEKVKGFTRESTVPFRERLKIMAGLVNPEDLQLSSTERKLITAYNDRPVLSRPQRQHEIDLDIHRFSYISRRGLESFRDRIKNGILDLGLTIQVLEIISKTFGG >scaffold_303557.1 pep chromosome:v.1.0:3:18302482:18303163:-1 gene:scaffold_303557.1 transcript:scaffold_303557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEESPEEDHGSPAETNPGSPSSKTNNNKEQDRFLPIANVGRIMKKVLPGNGKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDIIWAITTLGFEDYVAPLKVYLCKYRDTEGEKVNSPKQQQQRQQQQQQQQIQQQNHHNYQFQEQDQNNNNMSCTSYISHHHPSPFLPVDHQPFPNIAFSPKSLQKQFPQQQHDNNIDSIHW >scaffold_303560.1 pep chromosome:v.1.0:3:18317578:18319715:1 gene:scaffold_303560.1 transcript:scaffold_303560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L041] MARKIFLKLAGDLSSFTVSSPFAKLLDSCIKLKLSAIDVRCVHASVIKSGFSNEVFIQNRLIDAYAKCGSLEDGRQLFDKMPQRNVFTWNSVVTGLTKLGFLDEADSLFRSMPERDQCTWNSMVSGFAQHDRCEEALYYFAMMHKEGFVLNEYTFASGLSACSGLNDMNRGVQIHSLIAKSPCLSDVYIGSALVDMYSKCGNVNDAQQVFDEMGDRNVVSWNSLITCYEQNGPAVEALKVFQVMLESWVEPDEVTLASVISACASLSAIKVGQEVHARVVKMDKLRNDIILSNAFVDMYAKCSRIKEARFIFDSMPIRNVIAETSMVSGYAMAASTKAARLMFTKMAERNVVSWNALIAGYTQNGENEEALSLFCLLKRESVCPTHYTFANILKACADLADLHLGMQAHVHVLKHGFKFQSGEEDDIFVGNSLIDMYVKCGCVEEGYLVFRKMMERDCVSWNAMIIGFAQNGYGNEALELFREMLDSGEKPDHITMIGVLSACGHAGFVEEGRHYFSSMTRDFGVAPLRDHYTCMVDLLGRAGFLEEAKSIIEEMPVQPDSVIWGSLLAACKVHRNITLGKYVAEKLFEVETSNSGPYVLLSNMYAELGKWGDAMNVRKLMRKEGVTKQPGCSWIKIPGHAHVFMVKDKSHPRKKQIHSLLDILIAEMRQKQDHAETGSLSSEEMDYSSSLLWDNAM >scaffold_303563.1 pep chromosome:v.1.0:3:18326998:18327861:-1 gene:scaffold_303563.1 transcript:scaffold_303563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSSQISSSSMSTQVPTMKIGWTCFYIGVTAVAFGSSYYHLHPNDAALLWDRLPVRSCSFSSLYDTAFVCFVLFLITGFAWFFDLDDYCIVPFLLAGLVSILYWRFFDDFIPYALV >scaffold_303564.1 pep chromosome:v.1.0:3:18352596:18353426:-1 gene:scaffold_303564.1 transcript:scaffold_303564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRGSKSDENNKSCQRGHWRLVEDENLRKLVVRHGPKNWNFIAQHFKGRSGKSCRLRWYNQLDPNIQKRPFTDEEEDRLLKAHAVQGNRWASIARKFPGRTDNAVKNRFHVIVARCKREGGASNTNYTLPSLNNLNVEHWCYRATHTQDSFPYCNSLLGSSSVSNDRHASHFGNTSLVGLEKNYKFHGTSDKSSSGDGLTSNLADDVGGENENYSVTFIDFLGVGLDSH >scaffold_303566.1 pep chromosome:v.1.0:3:18379909:18380569:-1 gene:scaffold_303566.1 transcript:scaffold_303566.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L047] MEIDRTFVIFDCKPNGSDLFFGGAIVLSQTLGDSLAFGNIDFERNYKAIHSMLNNRMWMVHDKVNCARLTYGCNKIGKPPPTPTSVIDTSLFTSSKTKLGRAAVMFGLGNGPNGYESNLSAILACTEIMSKLDVSC >scaffold_303567.1 pep chromosome:v.1.0:3:18381546:18382223:-1 gene:scaffold_303567.1 transcript:scaffold_303567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSEEFSEVPDLSKWFSSYVYESPMLDTSDGLEFLGESKGTKEMELVSSQAKDMSQSQVEFSEDIMNLVVEDSDIDEDCSIWGKSKRKGSSIFREPKRKEETTTTCEAELTSLRNRVQYLENEVRILHDLINNFLGKSSI >scaffold_303568.1 pep chromosome:v.1.0:3:18382256:18383050:-1 gene:scaffold_303568.1 transcript:scaffold_303568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCVDFCFVVYSGFVHREDYCNLHNCPPLRKSEIEYYAMLAKFGVHHYNGKIESGGRAAKEKD >scaffold_303569.1 pep chromosome:v.1.0:3:18395562:18396099:1 gene:scaffold_303569.1 transcript:scaffold_303569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSGSTSSFGSNSGVRKLCECGLQAKVFKSKTDKNPNRRFFGCQLYKEGGNAHCKFFRWLDEEVIGWPKRALAEAQSVIKEKTKKIEELNATILELRGDLERQNLEISSINTEDEKISIELRLQKRIDEMETIVYRQRIVSRGLTGLLVCVVSAIVFCIVSDV >scaffold_303570.1 pep chromosome:v.1.0:3:18431669:18431873:1 gene:scaffold_303570.1 transcript:scaffold_303570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L052] MPDAKLSHLVSASGESSSLLLRFFVNRREIALTFVFVVFNLQGRGSRSSSHLT >scaffold_303571.1 pep chromosome:v.1.0:3:18432342:18443416:1 gene:scaffold_303571.1 transcript:scaffold_303571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSTSHDSGPQGLMRRPSRSAATTVSIEVFDHEVVPASLGTIAPILRVAAEIEHERPRVAYLCRFYAFEKAHRLDPSSGGRGVRQFKTLLFQRLERDNASSLASRVKKTDGREVESFYQQYYEHYVRALDQGDQADRAQLGKAYQTAGVLFEVLMAVNKSEKVEAVAPEIIAAARDVQEKNEIYAPYNILPLDSAGASQSVMQLEEVKAAVAALGNTRGLNWPSGFEQHRKKTGNLDLLDWLRAMFGFQRDNVRNQREHLVCLLADNHIRLTPKPEPLNKLDDRAVDAVMTKLFKNYKNWCKFLGRKHSLRLPQAAQDIQQRKILYMGLYLLIWGEAANIRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIKPSYGGDDEAFLRKVITPIYRVVQKEANKNANGKAAHSDWSNYDDLNEYFWTPDCFSLGWPMRDDGDLFKSTRDTTQGKKGSLRKPGSTGKSNFTETRTFWHIYHSFDRLWTFYLLALQAMIILAFERVELREILRKDVLYALSSIFITAAFLRFLQSVLDVILNFPGFHRWKFTDVLRNILKIVVSLAWCVVLPLCYAQSVSFAPGKLKQWLSFLPQVKGVPPLYIMAVALYLLPNVLAAIMFIFPMFRRWIENSDWHIFRLLLWWSQPRIYVGRGMHESQIALIKYTIFWLLLFCCKFAFSYFLQVRLLVKPTNAIMSIRHVKYKWHEFFPNAEHNYGAVVSLWLPVILVYFMDTQIWYAIFSTICGGVIGAFDRLGEIRTLGMLRSRFQSLPGAFNTYLVPSDKTRRRGFSLSKRFAEVTAARRTEAAKFSQLWNEIISSFREEDLISDREMDLLLVPYTSDPSLKLIQWPPFLLASKIPIALDMAAQFRTRDSDLWKRICADEYMKCAVIECYESFKHVLHTLVIGENEKRIIGIIIKEVESNISKNSFLSNFRMAPLPALCSKFVELVGILKNADPAKRDTLVLLLQDMLEVVTRDMMQNENRELVELGHTNKESGRQLFAGTDAKPAILFPPVATAQWDEQISRLHLLLTVKESAMDVPTNLEAQRRIAFFTNSLFMDMPRAPRVRNMLSFSVLTPYYSEETVYSKNDLEMENEDGVSVVYYLQKIFPDEWTNFLERLGCKDETSVLESEENILQLRHWVSLRGQTLFRTVRGMMYYRRALKLQAFLDMANETEILAGYKAISEPTEEDKKSQRSLYTQLEAVADLKFTYVATCQNYGNQKRSGDRRATDILNLMVNNPSLRVAYIDEVEEREGGKVQKVFYSVLIKAVDNLDQEIYRIKLPGPAKIGEGKPENQNHALIFTRGEALQAIDMNQDHYLEEALKMRNLLEEFNEDHGVRAPTILGFREHIFTGSVSSLAWFMSNQETSFVTIGQRVLASPLKVRFHYGHPDVFDRIFHITRGGISKASRGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDLYRLGHRFDFFRMMSCYFTTVGFYISSMIVVLTVYAFLYGRLYLSLSGVEEAIVKFAAAKGDSSLKAAMASQSVVQLGLLMTLPMVMEIGLERGFRTALSDIIIMQLQLAPVFFTFSLGTKVHYYGRTILHGGAKYRATGRGFVVKHEKFAENYRMYSRSHFVKGMELMVLLICYRIYGKAAEDTVAYALVMGSTWFLVGSWLFAPFFFNPSGFEWQKIVDDWDDWNKWISSRGGIGVPAHKSWESWWEEEQEHLLHSGFFGKFWEIFLSLRYFIYQYGIVYHLNLTKESRMGKQQSIIVYGLSWLVIVAVMIVLKIVSMGRKKFSADFQLMFRLLKLFLFIGSVVIVGMLFHFLKLTVGDIMQSLLAFLPTGWALLQISQVARQLMKAVGMWGSVKALARGYEYIMGVVIFMPVTVLAWFPFVSEFQTRLLFNQAFSRGLQIQRILAGGKKQK >scaffold_303573.1 pep chromosome:v.1.0:3:18448592:18449464:-1 gene:scaffold_303573.1 transcript:scaffold_303573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSNQLEIQFAYQDAWRVCHPDFKRPFASLEDACESLLGKYICCWSTAKEVTCLCISKDMELSLKLMEMKQRLGKIEEPIKEIVLETNKPSRKAPTKTQEDQSTKFSPKEESKPEKEYKGNVQKPADGLH >scaffold_303574.1 pep chromosome:v.1.0:3:18462390:18467205:1 gene:scaffold_303574.1 transcript:scaffold_303574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSKMEQRSLLCFLYLLILFNFTLRVAANVEGDALTQLRNSLSSGDPANNVLQSWDATLVTPCTWFHVTCNPENKVTRVDLGNAKLSGKLVPELGQLSNLQYLELYSNNITGEIPEELGNLMELVSLDLYANSISGPIPSSLGKLGKLRFLRLNNNSLSGEIPMTLTAVQLQVLDISNNRLSGDIPVNGSFSLFTPISFMNNNLTAPAEPPPTSTSPTPPPPSGSQMTAAIAGGVAAGAALLFAVPAIAFAWWLRTKPQDHFFDVPAEEDPEVHLGQLKRFTLRELLVATDNFSNKNILGRGGFGKVYKGRLADGNLVAVKRLKEERTKGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPEGNPPLDWPKRKHIALGSARGLAYLHDHCDQKIIHRDVKAANILLDEEFEAVVGDFGLAKLMNYNDSHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQKAFDLARLANDDDIMLLDWVKEVLKEKKLESLVDAELEGKYVEAEVEQLIQMALLCTQSSAMERPKMSEVVRMLEGDGLAERWEEWQKEEMPIHDFNYQAYPHAGTEWLIPYSNSLIENDYPSGPR >scaffold_303580.1 pep chromosome:v.1.0:3:18566260:18568772:-1 gene:scaffold_303580.1 transcript:scaffold_303580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHP domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L064] MADDKKKRNKKKKRGSGNKKKMTTEQSEAFKSVTDWLFVGSSPSLSSSSDDFAVTINSSSLRCGEKLVFELHSHSNRSDGFLSPSKLVERAHNNGVKVLSLTDHDTMAGIPEAVEAGRRFGIKIIPGIEISTLFGSRDSGSEEPVHILAYYGTSGPAMYDELEDFLVKIRDGRFVRGREMVLKLNKLKVPLKWEHVTRIAGKDVAPGRMHVARALLEAGYVENLKQAFTKYLHDGGPAYSTGSEPMAEEAVKLICKTGGVAVLAHPWALKNHVGVIRRLKDAGLHGVEVYRSDGKLEVFSELADTYSLLKLGGSDYHGKGGRNESELGSVNLPVTALQDFLNVGRPLWCEAIKATMKAFLAQPSDSNLSNILRFDRARILKGNSAWSCGKELMDRCLAIWLTSDERKSNDFEALRLKLSFVPITSNGSCVTVGP >scaffold_303581.1 pep chromosome:v.1.0:3:18577936:18578241:-1 gene:scaffold_303581.1 transcript:scaffold_303581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFIKTITGETYCVNVEKTDTVSKMKMKICEAMGVEPAYQYLVHGKNVLEVHGGATVGNYGIEARATIQMTNRMRDGGGPANFHI >scaffold_303587.1 pep chromosome:v.1.0:3:18671792:18672681:-1 gene:scaffold_303587.1 transcript:scaffold_303587.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L0Z7] MQASRARLFKEYKEVQREKVADPDIQLVCDDTNIFKWTALIKGPSETPYEGGVFQLAFAVPEPYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDVRGFNSMARMYTRLAAMPKKG >scaffold_303588.1 pep chromosome:v.1.0:3:18674576:18674836:-1 gene:scaffold_303588.1 transcript:scaffold_303588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSRKKPPPPQPPSSSTAAPSLKIPQSQKESVEPDAVEKMTAILAEVGCTLVNPYGPPCLSSDLQTHNY >scaffold_303589.1 pep chromosome:v.1.0:3:18675356:18675830:1 gene:scaffold_303589.1 transcript:scaffold_303589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVNFYITHKHSSLHLQKGEYESMIKCVQVLKNGGNFRDGAHRHAPLHVLAMKSWLGLGRHSEAEEELRGMVGNKDIPKVVWIHTLKW >scaffold_303592.1 pep chromosome:v.1.0:3:18679214:18680515:-1 gene:scaffold_303592.1 transcript:scaffold_303592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSRRIQSGGFAVYRQGLAEVLQIYNKVERKTTLNPYPAIVRFGRDYHNQLCPQGLKLSNSLNAGRYFSNGSNNSNMQPSPPNQWFNFSWGRWVIGSAISLVLSFWNNERIQKLKRIEGEAELVVEGVEAVAEMVEKVATATDEMAEEMAEKLPEKNKLKQVASVLEHISEVAAHEAHLTQDFLHKVEKVTQDIDDLEAMIKPLIDKKVANAETKHQSKEEEANPESPSRH >scaffold_303601.1 pep chromosome:v.1.0:3:18804462:18805182:-1 gene:scaffold_303601.1 transcript:scaffold_303601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVRGGRGSGRGKRGPKSPVKRPTVVPTRPTSSGVSSRRPRSLPSQYEFTPANPKDPNHGTEHPPNRQPSPQLSLRDYPPPLQLFQSGEGSQHAAGGSPRGSRTTPFRASVSSVHRLASGSPRASQSPAPVQPPAPVPSPVVNQQQPPRASLSGHSSQAQNVEEEEAALNEEADDETTSEDEGLRDSTLLEDVLATLHDTLVIPGRELYTTLISPTLEPGTTW >scaffold_303603.1 pep chromosome:v.1.0:3:18811834:18812426:1 gene:scaffold_303603.1 transcript:scaffold_303603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHHYLSDLNVHSTRWLVHVKILSMWKEPLVNGRVETRMILADEKANRIDATIPNRYYNWNFQAYLKPGLWFRLSDFEVLRPQEKKTRYCCFPVVIKCIADTTMWPISVVCPYSFYDFVYPETVEFAQEDEKEFVTGKGFSSLIFTVR >scaffold_303608.1 pep chromosome:v.1.0:3:18820317:18821766:-1 gene:scaffold_303608.1 transcript:scaffold_303608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSMLHNQKTSPLEWSHLEENDKEKNSTTALDKLVVFCGKEDYVDRLCRSRHLASTQLDPFVGSSLVKRIVSGKILSPAAYDPFEPVSPEKMDKLEKFIDHDLDNPLDSTNLSSMFYMKIKIPKEHWPKGEPEYGWLTDVQLAPIMQMLRKRQFTRMIPALIQAMEPVEERKKLGASAFSIYRVKTAPQNYQTGDCGVYSVKFIECLAIGISFEGLCDSAMPGIRLKLAAEVFDEVPDSDCFIQIKDPRGVDTIGVEFISQNDPS >scaffold_303609.1 pep chromosome:v.1.0:3:18822730:18823843:-1 gene:scaffold_303609.1 transcript:scaffold_303609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSEAFKLSKDGFLLLQFAPSAGVRQYNWGKKQVWFYLLTSYGPLSCNLVKTISLDLVLKDSLFSGHLFSLSK >scaffold_303614.1 pep chromosome:v.1.0:3:18847725:18850530:-1 gene:scaffold_303614.1 transcript:scaffold_303614.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline iminopeptidase [Source:UniProtKB/TrEMBL;Acc:D7L1S3] MKLVLASSSLAPCFCVRFFPPNHNNLNPSFSGQRKIKLRAISVSCGMSEVLKSDLMEPEAETLVNKRNLYAPIEPYSTGNLKVSDVHTLYWEQSGKPDGHPVVFLHGGPGGGTAPGNRRVFDPEFYRIILFDQRGAGKSTPHACLEENTTWDLVNDIEKLREHLKIPEWLVFGGSWGSTLALAYSQSHPDKVTGLVLRGIFLLRKKEIDWFYEGGAAAIYPDAWEEFRDLIPENERGSLVDAYHKRLNSDDLETQYAAARAWTKWEMMTAYLRPNLENVQKAEDDKFSLAFARIENHYFVNKGFFPSDSYLLDNVDKIRHIKTTIVQGRYDVCCPMMSAWDLHKAWPEAELKIVYDAGHSANEPGIAAELVVANEKMKALMR >scaffold_303615.1 pep chromosome:v.1.0:3:18855362:18856568:-1 gene:scaffold_303615.1 transcript:scaffold_303615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPVNPKPFLNNLTGKTVIVKLKWGMEYKGFLASVDSYMNLQLGNTEEYIDGQLTGNLGEILIRCNNVLYVRGVPEDEELEDADQD >scaffold_303617.1 pep chromosome:v.1.0:3:18869328:18870302:-1 gene:scaffold_303617.1 transcript:scaffold_303617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKPERDFEGFRFLANSGNWFLVIDSCSNLYIIDVFGKNLIDLLPLESRALVQNILNKIAIGKQSKTFRVFKKDPNPYPNQYYIEVNFLAGVALLHGLRITVPGIEPNSIYFIIHDSPCYRLRKTLIMDICVFNIATKTLKRFPSLSNMKLKVARWFLPVPPAT >scaffold_303620.1 pep chromosome:v.1.0:3:18906685:18906942:-1 gene:scaffold_303620.1 transcript:scaffold_303620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L1T0] MQSDENWRRRATRIRDAERRESEMQSVENRRWRATAKNWRWRTKTKNFDLGNSEKGVISHEYALKLICEII >scaffold_303621.1 pep chromosome:v.1.0:3:18918297:18920241:1 gene:scaffold_303621.1 transcript:scaffold_303621.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:D7L1T1] MASGKTPGLTQEANGVAINRQNDNDVFDDMKQDNLEHYKNLADAQAPKCKQQFLVIACADSRVCPSTVLGFQPGDAFTVRNIANLVPSYESGPTETKAALEFSVNTLISYQDTECGAVNASNGPINTHVYDCSINYNNVGGGWANLDYNHHYSFAPCNFLHRPKPMFGLEGRQEEEECGVNAYLEHRRRLPLFPMHGEDHLNGDSGAIWKYGQSNDRDCFSRDSCASLELRMN >scaffold_303622.1 pep chromosome:v.1.0:3:18948882:18949704:-1 gene:scaffold_303622.1 transcript:scaffold_303622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLNKLLLLACATFSVMSLVKSQNQEAVEEIIHVTRSNILDICLVKTGTSTPMISAIELRPMRYDTYTARTGSLKIIERTYFANSDKLIRYVNYSIQRGY >scaffold_303625.1 pep chromosome:v.1.0:3:18982440:18982664:-1 gene:scaffold_303625.1 transcript:scaffold_303625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMWDKYVTSSRDYMIWCAVIALERHSSEEIWGKIEWVDAVLSTVVLSYKFVCALTATI >scaffold_303635.1 pep chromosome:v.1.0:3:19148822:19149143:-1 gene:scaffold_303635.1 transcript:scaffold_303635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFISYSRFLIVFVALVGALVLPTKAQDSPQDYLRVHNQARGAVGVGPMQWDERVAAYARSYAEQLRGNCRLVHSGGPYGETEILHFYTKHHV >scaffold_303641.1 pep chromosome:v.1.0:3:19181751:19183777:-1 gene:scaffold_303641.1 transcript:scaffold_303641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEESHGAFDYGRCLPKNFWNNLHSFYSIPSTCTCAWSIDASCVSVSWLTLYGSTVPVYKAHGLAQATMSDCVSETFSAIRTGRGLLSGGQRQGVLSLCGVHDAEAKLVFLCASNDNSLHLYDLPSLDSVFSVRFTEKGKVLAEQEIRLIQIGPRGIFFTGDGSGLG >scaffold_303642.1 pep chromosome:v.1.0:3:19192583:19192932:1 gene:scaffold_303642.1 transcript:scaffold_303642.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L2M8] MASSPPSSTVFYRKRDGGDKKEKKFERAAPPARVGRKQHKQKGLEAAARFRP >scaffold_303643.1 pep chromosome:v.1.0:3:19238641:19239784:1 gene:scaffold_303643.1 transcript:scaffold_303643.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2M9] MVVLCSVLVGGSSPSFSSPLAPSVSSCFVTRPPPPLCPSTLNPYRQRPFGYTSSRDSSRAFFPIISDSFGVDASCQPPSPRAFASEPLVARSTEASLLSLGFKHPTRSACLPVGRFGTASKISGSIGVLDLLSMSFLSVTTVFLSMVNPPVLLVFKLQLLVLPPVSSILAPVGSLPIPDYLFGYTSIHCSLWIGYTAILHPLLKLQAAQPRLTQSSSCQFQERSLASFSLLSERSTHPPSLSPWRLCCCACVVRPPHVLKGCSLETSFSGGFNGSSVRCFVTSVLAAKFRNVLYALVADSISSNITPCVFCVVQGVISLIRSSDITIRIALIYPSIWFYIVFWFAFGLGSLLAFAPPLVTVPSLEDV >scaffold_303644.1 pep chromosome:v.1.0:3:19258701:19259244:1 gene:scaffold_303644.1 transcript:scaffold_303644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEEPMTLMMLPNDLVLNCLAHVSRLYYPILSLVSQIPPHNNGSLSAVHQIAPRKFWSQSHLPILLLRTSQIFSNIYAIGGLFIKDDNASSSIMVMDRRSHTWHEAPSMPVARVSPSACILDGKIYVIGGCN >scaffold_303649.1 pep chromosome:v.1.0:3:19300887:19301507:1 gene:scaffold_303649.1 transcript:scaffold_303649.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2N6] MNLSRKIDDLHLSLNRFSAFHDRDSYSTLKDSTARVKTHFRSYFGSHIYISLTTQGVTKISNQANYLSNPLFLAKPISLLKLFLEKLHICFCNLIQFRFLHYIGEKKLDQLKPLFFKNLSKVFQCFKGYFASNTIFIDDGPHY >scaffold_303650.1 pep chromosome:v.1.0:3:19313750:19314133:-1 gene:scaffold_303650.1 transcript:scaffold_303650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C [Source:UniProtKB/TrEMBL;Acc:D7L2N7] MENNNHQQPPQDNEQLKNFWSKEMEGDLDFKNHKFPITRIKRIMKFDPDVNMIAAEAPILFSKANEMFIMDLTMRLWLHAQERKRLKIQRFDIAAAVAQTVIFDFLLDEVTKE >scaffold_303657.1 pep chromosome:v.1.0:3:19356653:19356971:1 gene:scaffold_303657.1 transcript:scaffold_303657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTKEVTFVTILILLCVFICCIDAQKKYIHYPIRRDLGNGCDPRFPTAACYKRIPANPYTRGCSIANRCRRSTFSVRVSSLKKFLEIPPM >scaffold_303661.1 pep chromosome:v.1.0:3:19380359:19384006:1 gene:scaffold_303661.1 transcript:scaffold_303661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLQYYLPWLLLTLLFSSFSEARFVVEKNSLSVTSPESIKGTHDSAIGNFGIPQYGGSMAGTVVYPKENQKSCKEFSDFSISFKSQPGALPTFLLVDRGDCFFALKVWNAQKAGASAVLVADNVDEPLITMDTPEEDVSSAKYIENITIPSALVTKGFGEKLKKAISGGDMVNLNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMEFVKDFKGAAQILEKGGFTQFRPHYITWYCPHAFTLSRQCKSQCINKGRYCAPDPEQDFSSGYDGKDVVVENLRQLCVYKVANETGKPWVWWDYVTDFQIRCPMKEKKYNKECADSVIKSLGIDSKKLDKCMGDPDADLDNPVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGKLERSAVLKALCSGFEETTEPAICLSTDVESNECLDNNGGCWQDKSANITACKDTFRGRVCECPTVDGVQFKGDGYSHCEPSGPGRCTINNGGCWHEERDGHAFSACVDKDSVKCQCPPGFKGDGIKKCEDINECKEKKACQCPECSCKNTWGSYECSCSGDLLYIRDHDTCISKTGAQVRSAWAAVWLIMLSLGLAAGGAYLVYKYRLRQYMDSEIRAIMAQYMPLDSQPEVPNHVNDERA >scaffold_303664.1 pep chromosome:v.1.0:3:19398579:19399990:-1 gene:scaffold_303664.1 transcript:scaffold_303664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCSFTLFILAAIIFLRCLSSTRAATCHPDDEAGLLAFKSGITRDRLGIFSQWKKGTPCCSWMGVICITNDRVTTLRISGSTVLGQSVVSGTISPSLAKLQHLEGVYFTYLQNITGSFPQFLFKLPKLKYIDLTGTRLSGPLPTNIDALSQLEELILEDNQFTGPIPTSISNLTRLTWFSLRGNRISGTIPDIFKSMTQLGFLALSRNRLSGKLPPSFASLAPSLVVLELNQNNLSGKIPNYLSRFKEMSSLYLSKNQYSGVVPKSFANMTKLNHLDLSHNLLTGPFPDLKMIDNIIYALDLSYNRFHLKTIPKWVTSSRSIHSLKLVKCGIKMRFEDWKPEGTKLFSDINLSENEISGSPTWFLNNAENLMEFQASGNKLRFDMEKLKFKKSLRLLDMSRNLVFGKVPATVAGLEKLNLSQNHLCGKLPVTKFKANTFAGNDCLCGSPLSPCKA >scaffold_303672.1 pep chromosome:v.1.0:3:19489853:19490478:1 gene:scaffold_303672.1 transcript:scaffold_303672.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L2Q9] MDAETSKDSEIGEDQNDLVMEDEPEMHDEDPISGSHFSENTDDLLEDGECQDDEEAVVQVTVEESQEDEGTGTSEEIKSGLKDGGNKQKTVSKNKTKEGIKAGGANRTMKKGIVDLPKPPAQT >scaffold_303673.1 pep chromosome:v.1.0:3:19496154:19499658:1 gene:scaffold_303673.1 transcript:scaffold_303673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATKLYCFVHKVPVCGECICFPEHQTCVVRTYSEWVIDGEYDQPKCCQCQATFDEGAGHQVTRLGCLHAIHTSCLVSLIKSFPPHTAPAGYVCPSCSTPIWPPKMVKDAGSRLHALLREVITQTGLEKNLLGNHPVSRSTESRSPPPAFASDALINISSSSHTQEGNNLPDGYSVDGNGEYSKPAVSEIVEIDVPASAGNYMKSSSPGLAAAAARKGVPAVDRQNSETLYYADDEDGNRKKYSRRGPFRHKVLRALLPFWSSALPTLPVTAPPRKDATKADDGSEGRVRHQRSSRMDIRKILIFIALIACMATMGILYYRLALQAIGQELPDEEQR >scaffold_303675.1 pep chromosome:v.1.0:3:19522453:19523044:1 gene:scaffold_303675.1 transcript:scaffold_303675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMWKTVTNLCASKTSVARDDDGERMIACDVCEIWQHTRCCGIDDTDTLPPLFVCSNCCEEFAEQQKKVLQPKYEFPSAENVFLIESGDDYFGGDERSLGMIFPEENFLLQNPFLDQTLWINQFFCK >scaffold_303681.1 pep chromosome:v.1.0:3:19538343:19538769:1 gene:scaffold_303681.1 transcript:scaffold_303681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRTAKDRKNPRLPPEVTRLLYVRNLPFSITSEDMYDLFGRYGAIRQIRIGCDKNTKGTGFVVFEDIYEAKEAVDHLSGFNIANRYLIVQYYQHAKMSKKLDLKKEEEEITKLQEKYGVSTKDK >scaffold_303683.1 pep chromosome:v.1.0:3:19542426:19543991:-1 gene:scaffold_303683.1 transcript:scaffold_303683.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB complex BAF60b domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L2S0] MAVSSGTFSTFLCVKTTSFHNQSTPPSSLRLASHPAAKLRLVRAVTSATASSEPTATNKRVPRGIMKPRPVSPEMQDIVELPEIARTQALKRIWAYIKEHDLQDPQNKREILCDEKLKKIFEGRDRVGFLEIAKLIGPHFL >scaffold_303687.1 pep chromosome:v.1.0:3:19597544:19598081:1 gene:scaffold_303687.1 transcript:scaffold_303687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSGSTSSFGSNSGVRKLCECGLPAKVFKSKTDKNPNRRFFGCQLYKEGGNAHCKFFRWLDEEVIGWQKRALAEAQSVIKEKTEKIKELNATILELRGDLERQNLEISSINTEDEKISIELGLQKRIDEIETIVYRQRIVIRGLTGLLICVVSAIVFCIVSDV >scaffold_303689.1 pep chromosome:v.1.0:3:19615679:19617243:1 gene:scaffold_303689.1 transcript:scaffold_303689.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3I0] MSISILLCVVSICINFSFNSASRSIQSLLFFLNLSLVLRSLSQSFIVTFHRSSSVGDVIARSSQPLFGLMMNMRSLDKVVELNYQWIAFDDVCYHVQVTVKNPNLLLLVVSLPNPPPEAMSFDGLPLGAIEAIKATYKTGFQEVEVLSHITWKPKWGMIFSDIKKKVSRNCEVSQRSTMAITISLSVEHFVFSFITCT >scaffold_303690.1 pep chromosome:v.1.0:3:19618288:19621706:1 gene:scaffold_303690.1 transcript:scaffold_303690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3I1] MRKSKVPKSTSANLEQAYRSLISASRGLSRTLSPSLPESQPPPPQLESQSPSTVVSSFPAPVTPSPPSQEEIQTRSRNREEIRRVHDCYKRLKSSIGQRDGGGCSANLEQLESNPKTKVLIIRRRRKDLELKQNLELYVERVQDPNPELQKAALESMRTVHEVFASYGSSGAKLFSFPYSNNYSTACPFIWIEGISRSGGTIKKVREALFRRVRGLPRLAAAAGPTVGQLGRVCCEACGEACLDYRPCLLSGMRACLPNLNIKDFRCLDSRSGITCSLVVVKMDSEREFLVTFLCTIVLELFYFPELSLWGLLLCGLLLYIAVRELDSVYSDYQEIGMESPESFSTMFMKPIHQPMCKILHLHK >scaffold_303693.1 pep chromosome:v.1.0:3:19644239:19644549:1 gene:scaffold_303693.1 transcript:scaffold_303693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKTVIFSVLVIGLVVIAQIQVEAEFLVCCPSESSRENFDLCISYGASQPACSSITGCVRFLGSTCPPFLPDEIVKNSGKLNSFSYVV >scaffold_303696.1 pep chromosome:v.1.0:3:19676727:19677681:1 gene:scaffold_303696.1 transcript:scaffold_303696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPSSTVKGDRKPDDGDKKEKKFERVSPPARVGRKQRKQKGLEAAAKLKLNDSSTVRRSPVFVVQEVVKEMKSTNSLNLKINSNHYASWMVHLVEPG >scaffold_303697.1 pep chromosome:v.1.0:3:19686843:19687308:1 gene:scaffold_303697.1 transcript:scaffold_303697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFIGLIVIIIMNAWLNEACAYIQIEVINNLPPCTILDVHCRSKNPNADFRVVQLRNATVPKKFLFEEGIVYYKKREIYCRLSYKDTFENYYDIPVHRAASRYRCGQLRRWIAKRDGIYFTKNHDKPPGFVFPWLVKLPN >scaffold_303698.1 pep chromosome:v.1.0:3:19695663:19696134:1 gene:scaffold_303698.1 transcript:scaffold_303698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFIGLMVIIIMNAWLNEACKLNQIEVINNLPPGKILKVHCRSKNPDADLRVVELRNATVPRSFFFEEAIVYYKRREIFCLLSYQDTFENYQDIRVYRAAARYRCGQLRRWIAKKDGLYSTRSNNTPPGFVLPWLVRLPNNS >scaffold_303700.1 pep chromosome:v.1.0:3:19710531:19711170:1 gene:scaffold_303700.1 transcript:scaffold_303700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCHKIFLVISLMLAISLVNAVDFSVVDTTGDSPGGRKFREEIGGASYGEQTLRSATDFVWGLLQQTNPSDRRDIKMITLFMENGNGVAYNSNLGNEIHFNAGYLAGVSGDVKREFTGVVYHEVVHSWQWDGPGGLIEGIAYYVRLKAGYAPSHWVGPGRGDRWDQGYDFTARFLDYCNDLRNGFVAELNKKMRNGYSE >scaffold_303701.1 pep chromosome:v.1.0:3:19723242:19723974:1 gene:scaffold_303701.1 transcript:scaffold_303701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHKIFFVISLVLVVSLVKAVDYSVVDNTGDSAGGRRFRGEIGGITYGTQSLRAATDFVWRLFQQTNPSDRKPVTKITLFMENGDGVAYNSGNEIHFNAGYLAGVSGDVKREFTGVVYHEVVHSWQWNGAGRAPGGLIEGIADYVRLKAGYAPSHWVAPGGGDRWDQGYDVTARFLEYCNDLRNGFVAELNKKMRSDYNDGFFVDLLGKDVNQLWREYKAKYGQGIQTI >scaffold_303703.1 pep chromosome:v.1.0:3:19746630:19746834:1 gene:scaffold_303703.1 transcript:scaffold_303703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVAVLTTFTIFYGETQSHLLHGSPVNSLCADLIDPANYTCTEHNVNINGYSF >scaffold_303705.1 pep chromosome:v.1.0:3:19752381:19755304:-1 gene:scaffold_303705.1 transcript:scaffold_303705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTSRSRSSSSSSRANPMFLQYFRRIVKWQQMDVEYTFWQMLNLCTSPKVVYQHTKYHKQTKNQWARDDPAFIVICSLLLVVATVAYCVTYDHSGSHAVVVVVSVLLTHFLITGAVIATCCWFLTNSYLREETPNSHVVEQRVEWLYTFDVHCNSFFPMFVLLYVVHYFLSPLLITHGFIPLLLSNLLFMVGASYYHYLNFLGYDVLPFLERTTFFLYPIGVVIVLSPILILSGFNPSRYFMNMYFSQRL >scaffold_303706.1 pep chromosome:v.1.0:3:19758024:19758823:-1 gene:scaffold_303706.1 transcript:scaffold_303706.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3J8] MIHASNLQGIIVFAFVMATLGLQVLLESGRQLARSRPTESSVRAYRRAPLDSTELKRSCEAVQSLSSLKELMEQEGAIKRERAMVYAFIHQVISELGVASVSDETPLMMIFVPTIYALPWLFWVLE >scaffold_303713.1 pep chromosome:v.1.0:3:19792171:19792388:-1 gene:scaffold_303713.1 transcript:scaffold_303713.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KUD6] MSNPARSGGFAAFSLGCSQTGFQICGLQQIFIGDYVQSHEKKTCFQHMKIACSRFYM >scaffold_303715.1 pep chromosome:v.1.0:3:19808129:19809671:1 gene:scaffold_303715.1 transcript:scaffold_303715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHVDHVNEENRDATNLVDEENHDSLNHVDEENRDSLNLVDEEIRDSLNPIDEENRRDSPNDSDSPSDSDSPSDLDSCLICHGTLVNDNDVHRTLVTLKCAHKFHPDCIGSAYNAKGFMECPNCRNIEPGQWRFANGTHFNADNMIADDDEQEEDNDPGYFSQLVCPFGCLGQQYPFE >scaffold_303719.1 pep chromosome:v.1.0:3:19842347:19843553:-1 gene:scaffold_303719.1 transcript:scaffold_303719.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7L3K9] MTFSISSGLLPLLLLLFLFSSADTLTSPSDVSALKAFKATVKPNSIPPWSCLASWDFTVSDPCASPRRTHFTCGITCSSDSTRVTQLTLDPAGYTGRLTPLISGLTELLTLDLAENNFYGLIPSSISSLHSLKTLILRSNSLSGSLPDSVTRLNSLESIDISHNSLTGSLPKTMNSLSNLRQLDLSYNKLTGAIPKLPRNLIDLALKANTLSGPISKDSFTESTQLEIVELAENSFTGTLGAWFFLLESIQQVDLANNTLTGIEVLPPKLAGENNLVAVELGFNQIRGNAPASFATYPRLSSLSMRYNMLHGVIPSEYERSKTLRRLYLDGNFLTGKPPARFVKPDAEVMGSLGNNCLRGCPGKAKMCAPSQKPFYICKQAYGGKPKS >scaffold_303722.1 pep chromosome:v.1.0:3:19859426:19860029:-1 gene:scaffold_303722.1 transcript:scaffold_303722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSCVIRHIFSILPLLVILSITPLSSSYSINDKITKELINQLCSQPTIYNHFCVAWVTSVAKTFNLDLQGLVYLLYQKTELLGSKNLEMIKDLERTETEPKLKIPYGSCVKEYELSNRAIEEAKEFASANSKAYLSASKAASRAFDSISMCEAYLEGLKLPDHVSTRNLWFEGMCNIDKIFSDLLI >scaffold_303728.1 pep chromosome:v.1.0:3:19955730:19956753:-1 gene:scaffold_303728.1 transcript:scaffold_303728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNTNTNLILFHHHSPLTSKSSSAVAAANHHRLLFIFFISFFTLLFSFSLFSSSSIHSTTTSHSLSSPPSSLPPPVLAALLHYTSSSPPNTSMSFLELSTISTIIHSHGPTCNLLVFGLTHESLLWRSINFQGRMVFVDESPYSVSKFEQSNPGVEAYEVVYSTKVSQAGKLLGYYKTRPECRPVQNLLFSDCKLGINDLPNFVYEIDWDVILIDGPRGYASDSPGRMAPIFTSAVLAKSKDFGKKTKTDVFVHEFGRKIERVYSEEFLCEENLVEVVGDLGHFVVAAAEERESFGNWFCRNSTRLSETFTAVSGGDEEKVGVDDE >scaffold_303729.1 pep chromosome:v.1.0:3:19970295:19970517:1 gene:scaffold_303729.1 transcript:scaffold_303729.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3M0] MVSAQPKQNCSTPLIFGRRKGECIAGSCALLCELKYKGMGKCISQGKGMQCNCSFSCQRP >scaffold_303730.1 pep chromosome:v.1.0:3:19986273:19987967:1 gene:scaffold_303730.1 transcript:scaffold_303730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7L3M2] MNREVSERIHILFFPFMAQGHMIPILDMAKLFSSRGAKSTLLTTPINAKIFEKSIEAFKNQNPDLEIGIKIFNFPCVELGLPEGCENADFINSYQKSDSGDLFLKFLFSTKYMKQQLESFIETTKPSALVADMFFPWATESAEKFGVPRLVFHGTSFFSLCCSYNMRIHKPHKKVATSSTPFVIPGLPGEIVITEDQANVANEETPMGKFMKEVRESETNSFGVLVNSFYELESAYADFYRSFVAKRAWHIGPLSLSNREFAEKAGRGKKANIDEQECLKWLDSKTPGSVIYLSFGSGTNFTNDQLLEIAFGLEGSGQNFIWVVRKNENQGENEEWLPEGFEERTTGKGLIIRGWAPQVLILDHKAIGGFVTHCGWNSAIEGIAAGLPMVTWPMGAEQFYNEKLLTKVLRIGVNVGATELVKKGKLISREQVEKAVREVIAGEKAEERRLCAKKLGEMAKAAVEEGGSSYNDVNKFMEELNGRK >scaffold_303733.1 pep chromosome:v.1.0:3:20029149:20029350:-1 gene:scaffold_303733.1 transcript:scaffold_303733.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4C9] MEYASKQHKSQVKGNIPRVLMVEVDVELYRILIYLSSLISIQFDTSPFYINNV >scaffold_303734.1 pep chromosome:v.1.0:3:20035087:20038621:1 gene:scaffold_303734.1 transcript:scaffold_303734.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:D7L4D0] MAQVQSQSQSQSQSQTVNGSVPPAMVTGPGAAAAAQFGTTSLYVGDLDPNVTDSQLFDAFSQMGQVVSVRVCRDLATRRSLGYGYVNFTSPQDAARAIQELNYIPLNGKPVRVMYSHRDPSVRRSGAGNIFIKNLDKSIDHKALHDTFSVFGNIISCKVAVDSSGQSKGYGFVQYETEESAQKAMGQLNGMLLNDKQVYVGPFLRRQERDSTGNKTIFTNVYVKNLAESTTDDDLKNIFGEFGKITSAVVMKDGEGKPKGFGFVNFENADDAAKAVESLNGKTFDDKEWFVGRAQKKSEREMELKVQYEQSLKEAADKFQSSNLYVKNLDDSVSDEKLKELFTPYGTVTSCKVMRDPNGMSRGSGFVAFSTPEEATKAMSEMSGKMIENKPLYVAVAQRKEDRRARLQAQFSQMRPVAMPPPVGPRMPIYPPGGPGIGQPMFYGQAPPTMISSQPGFGYQQQLVPGMRPGGAPMHNFFMPMVQQGQQRPGGRHPGGIQQSQQQQQVPMMQQQHQMHPRGRMFRYPQGRGSGGPPDVPGMLPYEMGSNMPLRDPVLSQHVPIGALASSLANAAPELQRTLLGENLYPLVEQLEAESAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMDVLRSVAAGGSAEQLASLNLNDNLVS >scaffold_303735.1 pep chromosome:v.1.0:3:20044624:20048432:1 gene:scaffold_303735.1 transcript:scaffold_303735.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7L4D1] MNPMQGPRATGGSSADVNQADGESIYCTETAMNNMLNPADTVFPNNSTPSGRPTYASSSSHAAQDHNWWRFGESSSISGPSDQVNSIGMKTSHQLPQDGAHHFVGYGSEGRELGLNGMMVDGGVHAGSHIRNGPSFLRGSSSNPMQQHVDMSMDMDSDNCSAQTSGVVIRHNSYGSSLGSSIQAAGESSSGPASPFGGWGSSCKRKALEGSPGHYFSGETPNRIVQTENSASHASLSQYGASSSLSLATPSQSSPNVTNHFGRTEQMFGSGGGRAVAASAFHSARNTDTLSRAGRRLNPRQPQESVAFSVSHAGTSVRPTDSLQQNLPLNSPFVDPLDVRSTSGSSTGENQTNIVHLPALTRNIHQFAWDASFSSRASNSSGIGMPAERLGPQWETPRSNPEQPMFAPATDMRQPVHDLWNFTHGNPGSSIDSPFVPRAGPSSAIHAPQPNPTWIPPQSAPIHNPSRTSELSPWSLFPNIESQSASHGASLPLLPAGPSVSSNEVAMPSGSNSRSHRSRQRRSGLLLERQNELLHLRHIGRSLAADGNGRNQIISEIRQVLHAMRRGENLRVEDYMVFDPLIYQGMTDMNDRHREMRLDVDNMSYEELLALGERIGDVSTGLSEEVILKALKQHKHTISSASSAELHQNIEPCCICQEEYVEGDNLGTLKCGHEFHKDCIKQWVMIKNLCPICKTEALKTP >scaffold_303736.1 pep chromosome:v.1.0:3:20048567:20049365:-1 gene:scaffold_303736.1 transcript:scaffold_303736.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4D2] MLVHISPLCNGVRSVVTLSEKKTVVALTLKLILYTSVTLRKLDCNPLDFFIQHMTTLFYSWSCGHCPVLSNVRPEDVASNIRMATQLHPMISSAAMVIFSPYRDFNAPAGSEKIVKEPHQIVFGKIGRPFSVIPQPSAINCYKGF >scaffold_303737.1 pep chromosome:v.1.0:3:20051580:20051783:1 gene:scaffold_303737.1 transcript:scaffold_303737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGQCTLSLPVGPNGICVPKDCKSLCHQKYKGGGRCTPEKKDECLCFICKRP >scaffold_303738.1 pep chromosome:v.1.0:3:20052051:20052760:1 gene:scaffold_303738.1 transcript:scaffold_303738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSFQLSFTVLTIFIVCVLVSEAWKGQLPNGQCSLSLPVGPNGKCVSKDCDSSCQHKFKDTILMCAFALFADTHALNVFT >scaffold_303740.1 pep chromosome:v.1.0:3:20065012:20065452:-1 gene:scaffold_303740.1 transcript:scaffold_303740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSAFSIIFSVTFVVFLFSGLCKANREVQVDIINDIGSNIQLGLHCKSKHKDLGSHSLAQHQHWGFRESINFWDTTLFFCHFVWENQSKWFDILEANRDKNICEHHPCVWSIRPSGPCRLTGQEKCFPWN >scaffold_303747.1 pep chromosome:v.1.0:3:20098830:20101084:1 gene:scaffold_303747.1 transcript:scaffold_303747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSLTFTSPLLPSSSKPKRSILVAAAQTTAPAESTASVDADRLEPRVELKDGFFILKEKFRKGINPQEKVKIEKEPMKLFMENGIEELAKKSMEEIDSEKSSKDDIDVRLKWLGLFHRRKHQYGKFMMRLKLPNGVTTSAQTRYLARVIRKYGEDGCADVTTRQNWQIRGVVLPDVPEILKGLASVGLTSLQSGMDNVRNPVGNPIAGIDPEEIVDTRPYTNLLSQFITANSVGNPDFTNLPRKWNVCVVGTHDLYEHPHINDLAYMPANKDGRFGFNLLVGGFFSPKRCEEAIPLDAWVPADEVLPLCKAVLEAYRDLGTRGNRQKTRMMWLIDELGVEGFRAEVEKRMPNGKLERGSSEDLVNKQWERRDYFGVHPQKQDGLSFVGLHVPVGRLQADDMDELARLADTYGSGELRLTVEQNIIIPNVETSKTEALLQEPFLKIRFSPEPSILMKGLVACTGNQFCGQAIIETKLRALKVTEEVERLVSVPKPIRMHWTGCPNTCGQVQVADIGFMGCLTRGENGKPVEGADVYVGGRIGSDSHIGEIYKKGVRVTDLVPLVAEILIKEFGAVPREREENED >scaffold_303748.1 pep chromosome:v.1.0:3:20104581:20104827:-1 gene:scaffold_303748.1 transcript:scaffold_303748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVVPNYRPYHGSMMFYPSQIPPYSSAPTGNETNSNVGATDFPEFSTQMALGGISSIHEAIPDAED >scaffold_303749.1 pep chromosome:v.1.0:3:20114901:20116016:1 gene:scaffold_303749.1 transcript:scaffold_303749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNNNSFSSQNSSNYPFNYPKLNNFQFQNQSPNHPQHIPNYGYPPNFFMPSAVPNYRPYHGSMMSYSSQAPCYSSAPMGNETNTSVGAIEIPEFSTQMALGGMSSVHEAIPNEEDSTPARTRSSKWTTEQNLVLLSGWIKIWNRYGWSEDDVLAKAHELYSSAGNGNFKYIKQWLAIRDQPHYGSELRGNTGSGSSGSKRAHESDASDANSVGSSTRPMGRDAAKKKAKKKGKSAALEVVNKEWNEFKQLKTQELDRLNNIAMLQRETNELIKEKTQAKKMKMYLKLTEKEHLDDKGKELLQKLSHDLFGN >scaffold_303750.1 pep chromosome:v.1.0:3:20121528:20123788:1 gene:scaffold_303750.1 transcript:scaffold_303750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQRKPITNNMHLAKKFPMWKVDPQVETLVEATCFKHFLQFHEGKSFTLNLSLFTALAYFYDRKESVFSFGDNKSFFVDFSLEDVLYITGLSIDEDSLPLLGRNIVNNYAWGAAMVGFLKDSLNDTKALLDQRKTGSISRFACVHEELSLTPPAKKIPLMLAWMDVLSKAPVKGTRIETFRDLLQNMKRDEVVWQPYHDFGELSDEFKDQLSMIYLRVPCICFNAVAYNRPDKCFRQLGLKKSELQRLSRSRSKHTKVKFSQHKGQDWRAVRPFYRKVNEEWDNRHNYSVRKSEAPQQGRQPPSHASPSHHNNDGNEKCLMEPAWSIYLDSVFLFSVAKTLLMLSHSLMLSRTYMWSSHSHSLMMLSSHPKISLLMK >scaffold_303752.1 pep chromosome:v.1.0:3:20130724:20132167:1 gene:scaffold_303752.1 transcript:scaffold_303752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQRKPITNNMHLAKKFPMWKVDPQVETLVEATCFKHFSVTGVESVSALVIAQELNILETEVDALYFKRKDAHKNVRLDLNMLRTRFEKVPEGCRDCDAYLKAYLLYLLGTVIMPNNTEGVSPIYLPFLGKTTVNKYAWGAAMVGFLKDSLIDTKALLDQRKTGSISGFVYAIMVFALERFACVRAELSLTPPAKKIPLMLAWMDVLSKAPVKGTRIETFRDLLQNMKRDEVVWQPYHDFGELSDEFKDQLSMIYLRVPCICFNAVAYNRPDKCFRQLGLKKSELQRLSRSRSKHTKVKFSQHKGQDWRAVRPFYRKVNEE >scaffold_303753.1 pep chromosome:v.1.0:3:20135602:20136778:1 gene:scaffold_303753.1 transcript:scaffold_303753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLSIDEDLVTGVENVSALVIAQELNILETEVDALYFKRKDAHKNVRLDLNMLRTRFEKVPEGCRDCDAYLKAYLLYLLGTVIMPNNTEGVSPIYLPFLGKTTVNKYAWGAAMVGFLKDSLIDTKALLDQRKTGSISGFLYAIMVFALERFACVRAELSLTPPAKKIPLMLAWMDVLSKAPVKGTRIETFRDLLQNMKRDEVVWQPYHDFGELSDEFKDQLSMIYLRVPCICFNAVAYNSPDKCFRQLGLKKSELQRLSRSRSKHTKVKFSQHKGQDWRAVRPFYRKVNEE >scaffold_303760.1 pep chromosome:v.1.0:3:20199637:20199893:1 gene:scaffold_303760.1 transcript:scaffold_303760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALTTGLIDPSLLLTAWSVHGFCLKINLDSHSYVRCGLVNMYSRCRCIASAYSVYNSLCEPDLVNVIVSV >scaffold_303761.1 pep chromosome:v.1.0:3:20200229:20200449:1 gene:scaffold_303761.1 transcript:scaffold_303761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLSPKTEQPLSNLPKLLKDGNNKQKKESQFAKFIADTKDKFVNPTKLSRDVISSKL >scaffold_303762.1 pep chromosome:v.1.0:3:20202336:20206067:1 gene:scaffold_303762.1 transcript:scaffold_303762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMDLLSRLFADGEEPAGDRVIVNLKLNMIKAVLKALQPSEIDEFDDDILIFVTVRCNLTSDKEARPVICNPITGRYAILPNRYTYRKAYSFFGFDPNDKQYKVLSMVYPSGPGDSKILTFGDGDLTWRKIKCPLRYDRHDIKSEGICINGVLYYLGSPNDEISDYVIVCFDVRSEKFTFIDVERFCRLINYKGKLAVIYWEDDVDIHELYYQEGIDVDKYVEENLEADAINELRLWILEDVEKQEWSKYAYTWTDDKFFRRLVSIGGVTASGEIVFSMRKYTTKQPFYVFYFNLERNTLQRVEIKGFGEAFKENCRVCTFVNHAEDLNVNDLKQLKSVHPPLVDESDSESD >scaffold_303765.1 pep chromosome:v.1.0:3:20211537:20213859:-1 gene:scaffold_303765.1 transcript:scaffold_303765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGRVYWGKKSDKEIEDAAAVDGGGSNGVVVIFVWSSIYENQLMNFVDLYSSLGWNSLVCRADFLTAFYPEMALSLAFHLLSELVEELKTRPCPVIFLAFSGAPKACMYKVLQVIMGDCEAQIHPDDSQLVRNCLSGHVYDSGPLDFTSDLNVKFALHPTIRRMSGPSRLVSWVAKGISSGLDGLYLTRFESQRSEYWQALYSSVEIGAPYLILCSENDELAPLQVISSFTHQLQELGGEVKVVKWKNSPHAGHYTHNPIQYRAVISNFLEKAMSVHLQKIRQLGERAHTHDEISELICDLQKVAVNSNQSLRRVATGPSDHFFLPSSAPYQSNSSSNDPSSSQEEQRERSSFRPLQPTSINAHSVLGQFLFDSCVPKNIEGWDIRFAGSLNGQPYATSSSRKNSNLGFKKRVFRSRL >scaffold_303767.1 pep chromosome:v.1.0:3:20244470:20245502:-1 gene:scaffold_303767.1 transcript:scaffold_303767.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7L4F8] MSSIPNKFNLHCDDTNNRGFSSKQMNQNSKIVQSMFITSDHTNHGDLFSSSPSLTFFQNSHVSSSSFGFKNSHVKNQMMRRNIISGDNYFPIKNNPHFTRVSFTQSITNRYTAIVPTNTLDIVQYDIQRVKRAMYSKTNIWNPKFFPPNIFDKQCELLNPKPLNVIVPRQDSAYSQHLDMFSLSSKHNYNQRVPQYGSFLKKILKPTKFFGTSTDYIESEENEKSNDDQYDGRTHSLPYEKYGPYTCPKCNGVFDTSQKFAAHMSSHYKNETSEEREHRLRAKNKRKYCKLNHEIHGESQKSKQEDVVNNGEKNDDKAFQHLVIVKEELD >scaffold_303769.1 pep chromosome:v.1.0:3:20281771:20282197:1 gene:scaffold_303769.1 transcript:scaffold_303769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSHIVLLCIVIFSIFALHECGRTNVGKIERSMLDIFKPPCHKSICELITKKECWCCFGPEARQNFCWAYPDYPNAKDLCSTECARSFKNL >scaffold_303777.1 pep chromosome:v.1.0:3:20315340:20315721:-1 gene:scaffold_303777.1 transcript:scaffold_303777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVLCLSPQSNFSNSSFSKNLNYLVSSIHCLTSNTYCFYNLSVGTDSDQERVEAIGFCNRVLFRVDCLKCIAQATKNLTTNCIFRYSNKPIFKKPKTFPVLEALNPEQRHRR >scaffold_303778.1 pep chromosome:v.1.0:3:20316050:20316860:1 gene:scaffold_303778.1 transcript:scaffold_303778.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L4G7] MAKKGPSSLGIVFLLLLALLAPWRINCVFSSRQELHPWRIDGERRKLIPVSASCSLRALGRGGGCRDGGGPRPPRASCSLRALGRGGGCRDGGGPRPPRR >scaffold_303781.1 pep chromosome:v.1.0:3:20350323:20350563:1 gene:scaffold_303781.1 transcript:scaffold_303781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKRIELCIEITKIAMEFLVVVADAVTIFLRQSSPPPPALLRHGLYSYSASLNRPSSHYVIGFLP >scaffold_303782.1 pep chromosome:v.1.0:3:20352286:20352544:1 gene:scaffold_303782.1 transcript:scaffold_303782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACISDCQELVLLSNTGGHAIELDSILADFLFRSMFLSMFAYVVPRSANYGADALAKANMLSCIPSSISGV >scaffold_303783.1 pep chromosome:v.1.0:3:20376473:20377070:1 gene:scaffold_303783.1 transcript:scaffold_303783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAKEFLVVVANTVTIFLRQSSPPPPALLRHGLYSYSASPYHPSSLMDFSVKTFHRNDRILGNRHN >scaffold_303785.1 pep chromosome:v.1.0:3:20384255:20385329:1 gene:scaffold_303785.1 transcript:scaffold_303785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLPTSFSSLPEDIILSCLARVSKFYRPTLSLVSKYFRSLVASPDLEATRSRNGITEDYLCVCLNVGSKPRWFTLAPFPQQQKLKPIPPYYKHPKSSTVVSIGSEIYIIGGSLHQKKGNRVLVLDCRSHLWRRLPNMRLARETPAADVIDGKIYVNGGSTSYKIENWGEVYDLKTQTWEPLLFTTLDLTTHKSVVPGKLVMGGKVYSMNDDLKISLEKNVCLVEIENMMCQISISKGMLVWYNPEENLGWSRVEGLEGVPRDPYFPGYLTSVASYDRGRRVTVWWKLIVSRCWPDWMESKTEIWCAEISFERRDLGKLWGFVEWSKNVFIFDGGVSSDFFLH >scaffold_303787.1 pep chromosome:v.1.0:3:20396374:20396761:1 gene:scaffold_303787.1 transcript:scaffold_303787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANWTRVSFFLALVMTVAILSPAVVSGRNAFSDFKSIEDEAYAVASAAVISDEDGIEILKTYSKEISKGMLEFVKAKTNVASPPPKDGDGIELAADSKLDSSEDFKEDDCKSKA >scaffold_303796.1 pep chromosome:v.1.0:3:20438205:20438445:-1 gene:scaffold_303796.1 transcript:scaffold_303796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFFKVCKFFTSRNSGKISPATISKLSEERLWKEFQEEDHILKAHVRSSIPSPELSGGNRTGSNA >scaffold_303798.1 pep chromosome:v.1.0:3:20440589:20440899:-1 gene:scaffold_303798.1 transcript:scaffold_303798.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L590] MVGRNEDDVTDGVDSINIDGQEAFDANAPKRKHDKSRVRDELGSLGVAVSELGERLERVEHSTTELENTVFTEIGGVKNNLSGFDEQFV >scaffold_303799.1 pep chromosome:v.1.0:3:20446389:20446883:1 gene:scaffold_303799.1 transcript:scaffold_303799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSSRKNLNSYFCSIFTMSIVVISLICSEAFPIQQAKEPPRGHLTRVTIQNDNDYLLGIHCKSRDDDLGFHILAKGELFGWKFHVNFRYSTLYFCGFSQGKIKKGVFEIYRANRDFYRCANCTWKAEKDGVHGYTDIPKKSYLFYSWLK >scaffold_303803.1 pep chromosome:v.1.0:3:20457247:20472053:1 gene:scaffold_303803.1 transcript:scaffold_303803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSFHHQQQQLISLLSSALPTDVSASPLPSTLTPPSSESVSSSATGNNASVPTGQRDSKLENSSDSGRLAALKSLHRAIKYPPNSLLIAHSASYLSSGLWQLLSDKSYDVRQAGVSAYGALCAVVCSIPVESSGRQNHVMLGSLVDRFINWALPLLRHVSVRDGSTVLAVEGVREFVSVGDVNGIDRYALPILNACKGLLEDERTSIPLLNQVLGVLSLISSKFSSLFHPHFLDIVDMLLGWALVQDHADSDRQIVMDSFLHFQKHWVNNLDFSVGLLSKFLGDMDVLLSDGSQGTPEQFHRLLALLSCFLAILRSTASGLLENNLLDKMDEPLSKMLPRLLGCLSTMGQRFGWSKWIEDLWKCLTLLAEILREKFSTYYSPSLEMLFKSLEQIDSVNSAVHGKMTTFQIHGTLKTNLQLLSLQKRGLLSSCVLKLLQFESPISQLRLHPNHLITAGSSATYLFLLQHESEEVVQQAMELLMKELELLKTVLGESSCHKNMTLNVTAYESFSKSEILAIMNFDMKVLLSCVSLGGRKSLSDLPELAVLYLKRSEKLLSFVVEMLNPFEPAIDACLELQVSVVRMLECLATVELLSNCSVLIQPKMKTNQGKTPFKCSFFSMVIEHLRKYSLTMVKALRFSSPLAVKLVSLEWIQKFCENLFAISKSLYMDAYFCETFPYAGAVRDITMAVLDAAFDSEPKVRSQSVMVLELLLEVKLIHPIHFYSLAEILLEKLGDPDTSIKNAFIKLLSHLLPATQYTCGMRSEVGNMALRPQVLMIGNGYLHWKQVFALKQPDQHFHSQQLVSVLNYVSQRWKVPFASWIQGLIYAFRGSKDTGAGHPDENLIKNGWLAIKAEKSSIERICLANNLAGAWWAVHEAARFCVSTRLRTNFGGPAQTFAALERMLLDITTVLQVDSQQTDGTLNILGSSGAHLLPMRLLLDFVEALKKNVYNAYEGTAVLSSAPPQSVLFFRANRKVCEEWFSRISEPMMNAGMSLQSQDATVEYCTLRLEELKSLATLVKKDKSKMQALDNAHNPGARISSDISRILRHMSLALCQNHDTHALLGIHKWVAMNLAPLVAEESDFQKNNGELALFPWITGLVYQSEGRYEKAAAYYAHLLEEEDCLSSMGSDDIQFVIERIIESYTSLSDWKSLESWLLELQALRARHAGKSFSGSLTAAGNEINAIQALAHFDEGDIQAAWTCLDLTPKTSAELSLDPKLALQRSEQMLLQAMLFQAEGNAQKVPQTLQRARTMLDETSLALSFDGLSETAPYATQLHCLFAFEEGHQLRDSEPKQKHNNLMLSSCVWSLQSMVNRIHRDCRPWLKVLRIYRTILPTSWVTLKLCMDLFGFARKQENYLLANHLKNYLNDHVSSCAEVKLRDFLISNLQYQGALLTYAENRVQDAVVDLWSFVQPEVTALEPVCLDAGVAFLKAKACLKLAIWLKGDDISLDLENVVLKMSADFNRTEVPSSVSSKPLLYKSLKPSMKAISEEMIGTVTKVSTQLCSAMGKSWISYASWCFRQATESFYKSNESTLHSFSFSSILAQELKPGRFHLTEDEAESVESAVMQVLQKDDCKDLTNTGQDGNCHTITTDHSEARKNIKTLQQQVIETIENAAAAPAADDCGWDSLSVHLASQLTDLLLSGNDYVEDTDIAPIVNRLIEVWRSLRKRRVSLYGHSALGFTHYLRYSSKVLQTSEFTGVDYDPLNKRTDSHTLRSTLYILHILLNYGVELKDTLRHALSIVPLEPWQELTPQLFARLSSHPDEVVRKEIEGLLIMLAKLCPSSIVYPTLVDVNACDEKPSEELLHVKACLTELYPRLIQDVQLMINELGNVTVLWEELWLSTLQDLHMDVIRRINLLKEEAARVSENVTLSQTEKNKINAAKYSAMMAPIVVALERRLASTSRKPETPHEVWFYEEYIERIKSAILTFKTPPLPSALGEVWRPFDSIAASLASHQKKSSISLKEVAPSMSFLSSCNIPMPGLEKQSPLSESDTPLHGIVTISSLSDHVTILPTKTRPKKLIMFGSDGKKYIYLLKGREDLRLDARIMQLLQAINSFFCSSRATDDGTIGIRYYSVTPISGRAGLIQWVDNVISIYSIFRSWQTRVKLAQMLPSVPGGAKSPDLPPVPRPSDMFYGKIIPALKEKGIRRVISRRDWPHDVKRKVLLDLMSEVPKQLLHQELWCASEGFKAFTTKFKRYSGSVAAMSIVGHMLGLGDRHLDNILMDFCSGDVVHIDYNVCFDKGQRLKVPEIVPFRLTQTMEAALGLTGVEGTFRANCEAVLGVLRKNKDILLMLMEVFVWDPLVEWTRGNFHDDAAIGGEERKDMEVAVSLSLFSSRVQEIRVRLQEHHDLLLATLPAAELSLEFNDGEIVFQRFSEVLNQYEIASSVFLQADQERAELILREASAKKTVAEAACNSEKIRASFEIQAHEFSQAKALVSGKAQETAVWMEQRGRILGALRRNMIPEITAPTVLTDILASLSLTSAVLVAGVPVTVVPEPTQAQCNDIDAEISLLVNNLSDGLSSALTALQTYSLALQRILPLNYHTTSRVYDWAQVLQLAAHALSSDILSLAKRQAGEQFSKIQGGDFNSVRNCYNDLCLKVEKYADDVKKMEVEYAELSASIGMGPESKAKDRLFYGLINYMQSPGLVENTNAGVNLQDSGKKTSKALAVLHTSISSLYDQLKEKVHYILNASMERRERNESLVSKSRSLSSNLEAQVEMCMILVDFLNEVKYYVGQEIPNTEESLTGSARRVEENWALVFHRTLLSSKILVAQMTEVVVPDVLKTYLFCNSDLMDAFGLISQIRGSIDAAFEHLIEIKVERDSLVELEQNYFQKVSNITEGQLALEKAALKSREHLSWEEAEEFAAQEEAFRTQLDQLHQSWGQREFRISSLIKKEAQVKNALILAEKQFQLLTNADECRKPNDLRSSRIMVELVKPFSELEQLDKTLSSLSSSAVSMSDWIPAFGDILSCGQSLSENIWRFRSILKDHSFFIWKLGIIDSFLDLCIHDASPSVDQTLGFEQLILFMKKKFEFQLQERVDCYLAGSVAPAFLSQLDKENERLKHISEENSARRDQVKPDYSHLKQVHAMLEEYCNAHETAREAKSAASRMKKQVKEVRDALRRTSLDIVQMEWMNDATLTPSQTVRTALQQLFASDDNLHPIFLDLKRPKLLETIHSAIPQISRSIERLQACEQNSLAAEGQLERAMGWACGGPSSVSSGNSSAKMSGIPTEFHDHLLRRQQLLWDAREKASNIAKICMSLLEFEASRDGIFRNAHEALDGDARFRGDSRSWQKAYLDLVARLEVTYQSFTHIEQEWKLAQSSLEAASTGLYSATNELSIASVKAKSASGDLQSTILSMRDCTYEVSAALSSFSRVSRGHTALTTETGAMLEEVLAITEDLHDVHSLGKEAATFHRSLMDDLLKANAILTPLDSALSKDVALIAEAMTRESETNIEVSSVHGQAIYQSYGAKIRESYQNLRPLVPSTVYSVKGLYSMLTRLAQIASVHARNLNKALEEPGESEEAKSQESAYSGADLTDNDFKLDELGEENHLESVSKSSQALLSISGFSLEDKGWMSSPDSVYSSGSESNITLAEASSPASLNNSTEMLEQTQMNEEESNAFKSSTPSSQSNCDDISDSDQQVSAEALIESNDDCPRKASVEEPGENTEFKLPASDVALKVTTDVSQPLVESPELESGRKKAMKGKFEVQSDEASPPTQTQTRVTRGKNAYALSVLKCMEMKIDGRGIADNREVSIPEQVDYLIKQATSVDNLCNMYEGWTPWI >scaffold_303807.1 pep chromosome:v.1.0:3:20509960:20511542:1 gene:scaffold_303807.1 transcript:scaffold_303807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASVNLANMTWTSVNSNPAISFSMLSGIRSLGMLPLRRCLKPTVIGIASWPPQRCSSVRAMSSFGSTLEETVKTTVAENPVVVYSKTYCSYSSEVKSLFKSLQVEPLVVELDELGMLTSLQEMFVTSSEGPQLQNVLEKITGQYTVPNVFIGGKHIGGCSDTLQLYNKGELEAMLAEANGKTGQT >scaffold_303808.1 pep chromosome:v.1.0:3:20511864:20512806:-1 gene:scaffold_303808.1 transcript:scaffold_303808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSAATGFILTTNAPAAVGGGSSKSATVVSFLPMRSFGSRLVVRAAEDASPATASSEGSSTTAAPAEAPAAKPKPPPIGPKRGSKVKILRKESYWYKNVGSVVAVDQDPKTRYPVVVRFSKVNYANISTNNYALDEVEEVK >scaffold_303814.1 pep chromosome:v.1.0:3:20536817:20543955:1 gene:scaffold_303814.1 transcript:scaffold_303814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALEMARAKDTKERMAAVERLHQLLEASRKSLSPSEVTSLVDSCLDLLKDSNFRVSQGALQALASAAVLAGEHLKLHLNALVPAVVERLGDSKQPVRDAARRLLTTLMEVSSSTIIVERAGSYAWMHKSWRVREEFARTVTSAIGLFASTELPLQRVILAPILQMLNDPNQAVREAAILCIEEMYMQGGSQFREELQRHHLPSYMVKDINARLERIEPQQRSTDSRSGHHVVNEVKASSVNPKKSSPRAKAPTRENSLFGGDADITEKPIEPIKVYSEKELIREFEKIAATLVPEKDWSMRISAMRRVEGLVAGGATDYSCFRGLLKQLVGPLSTQLADRRSTIVKQACHLLCLLSKELLGDFEACAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKAARVLPRIAESAKHDRNAILRARCCEYALLTLEHWPDAPEIQRSVDLYEDLIRCCVADAMSEVRATARMCYRMFAKTWPDRSRRLFSSFDPVIQRLINEEDGGIHRRHASPSVRERHSQPSFSQTSAPSNLPGYGTSAIVAMDRSSNLSSGGSLSSGLLLSQSKDVNKGSERSLESVLQSSKQKVSAIESMLRGLHISDRQNPAALRSSSLDLGVDPPSSRDPPFHAAVPASNNHTSSAAAESTHSINKGNNRNGGLGLSDIITQIQASKDSGRSSYRGNLLSESHPTFSSLTAKRVSERNERSSLEESNDAREARRFVAGHFDRQQMDTAYRDLTFRESNASHVPNFQRPLLRKNVGGRMSAGRRRSFDDSQLQIGDIANYVDGPASLNEALNDGLNSSSDWCARVAAFNFLQTLLQQGPKGAQEVIQSFEKVMKLFLRHLDDPHHKVAQAALSTLADLISSCRKPFESYMERVLPHVFSRLIDPKEVVRQPCSSTLEIVSKTYSVDSLLPALLRSLDEQRSPKAKLAVIEFAINSFNRYAGNPEISGNSGILKLWLAKLTPLTRDKNTKLKEASITCIISVYNHYDSAGLLNYILSLSVEEQNSLRRALKQYTPRIEVDLLNYMQSKKDKQRIKSYDPSDAIGTSSEEGYAGASKKNIFLGRYSGGSVDSDSGRKWSSSQEPTMITGGVGQNVSSGTQEKLYQNLRTGISSASDLLNPKDSDYTFASAGQNLISRTSPNGSSDNIEILDGLSPPHLEKNGLNLTSADSLEGRHDNEVSRELDLGHYMLASIKVNPTPESGPSIPQILHMINGSDGSPSSSKKSGLQQLIEASVANEESVWTKYFNQILTVVLEVLDDEDFSIRELALSLISEMLKSQKDAMEDSVEIVIEKLLHVSKDTVPKVSTEAEQCLTTVLSQYDPFRCLSVIVPLLVTEDEKTLVACINCLTKLVGRLSQEELMDQLSSFLPAVFEAFGSQSADVRKTVVFCLVDIYIMLGKAFLPYLEGLNSTQVRLVTIYANRISQARTGAPIDANT >scaffold_303819.1 pep chromosome:v.1.0:3:20559752:20560263:1 gene:scaffold_303819.1 transcript:scaffold_303819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKIVLTSSDDESFEVDEAVARKSQIIAHMIDEDCADKAILLQNVTGKILAIIIEYCKKHVDDVDSEAKNELVMTWDAEFMKNIDMETVFKLLNAADYLNVKGLLDLTSNTIADYIKDKKVEEIREIFNIENDYTPEEEEELRKQKAWAFKDQN >scaffold_303820.1 pep chromosome:v.1.0:3:20561140:20561428:1 gene:scaffold_303820.1 transcript:scaffold_303820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5B1] MPSSEAVLLLGRTTLLVPASFSLCSFSPQKSGSSGSGVYCGGSEIMSSTTLNGLLLQLFSSWWIVICTTSSLPEDFGGVGVI >scaffold_303826.1 pep chromosome:v.1.0:3:20589469:20589797:1 gene:scaffold_303826.1 transcript:scaffold_303826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFFPNPPNKIAERNRRIFTAVSSTAASLRLAIHRSMCDWLLSFSEPNLHSLSLLSLYFSRFSL >scaffold_303828.1 pep chromosome:v.1.0:3:20618660:20618873:1 gene:scaffold_303828.1 transcript:scaffold_303828.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5W5] MTTCLVEDFAKPVFMVESAMASIDFQTLQAYVSVAPLWKPHGAFIFISIVLSQIQFV >scaffold_303832.1 pep chromosome:v.1.0:3:20633549:20635373:-1 gene:scaffold_303832.1 transcript:scaffold_303832.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L4 [Source:UniProtKB/TrEMBL;Acc:D7L5W9] MAASISARIFRTFGSLGRCSSPNLSANTSGNGLSSLTSDLKYGMALLSNRKLSTCILTPDDTFPSDLLTKKTVLTPDRTIGQYQDLVIPVTNFQSEEKGFMVLAGDVFDVPIRKDIIHNVVRWQLAKRQQGTHSTKTLSEVSGTGRKPWNQKGTGRARHGTLRGPQFRGGCVMHGPKPRSHAIKMNKQVRRLGLKIALTARAAEGKLLVFDDLALPTHKTKNIVNYYNQMENTKKVLVVEGGPIDEKLKLATQNLHYVNIIPSIGLNVYSILLHDTLVMSRDAVNKIVERMNTPINR >scaffold_303836.1 pep chromosome:v.1.0:3:20685148:20685900:-1 gene:scaffold_303836.1 transcript:scaffold_303836.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5X3] MPQRRRLRGGGNIRRFFYMATLLVNLQLLATSYLQAHSVYHLLKSAWHKWLSPRTCSHKWLNLHSALLEQPQWWSYEGFLRL >scaffold_303837.1 pep chromosome:v.1.0:3:20697249:20700491:1 gene:scaffold_303837.1 transcript:scaffold_303837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPSPFGDPAPNLSDSELRETAYEILVAACRSTGSRPLTYIPQSPKSDRSNGVATASLAPSPSLHRSLTSTAASKVKKALGMKKRIGGGEGDGGESSDQPDRSKKSVTVGELVRVQMRISEQIDSRIRRALLRIASGQLGRRVEMMVLPLELLQQLKASDFPDQEEYESWQRRNLKLLEAGLILYPCVPLSKSDKSVQQLKQIIRSGIERPLDTGKITGETQNLRSLVMSLASRQNNNGIGSETCHWADGFPLNLRIYKMLLESCFDVNDELLIVEEVDEVLELIKKTWPVLGMNQLIHNVCFLWVLFNRYVSTGQVENDLLVAAQNLILEIENDSKEANDPEYSKISSSVLSLVMDWAEKRLLAYHDTFNIDNVETLETTVSLGILVVKVLGEDISSEYRRKKKHVDSGRDRVDTYIRSSLRMAFSQTKRMVEHSKKSNSRQNTNNLPALAILAEDIGHLAFNEKAIFSPILKNWHPLAAGVAAATLHSCYGTELKKFVSGITELTPDAIRVLTAADKLEKDLVQIAVQDAVDSEDGGKSVIREMPPFEAEVVIGNLVKSWIKTRVDRLKEWIDRNLQQEVWNPRSNKLGIAPSAVDVLRMVDETLEAFFLLPILLHPVLLPELTSGLDKCMQHYVSKAKSSCGSRNTFLPALPALTRCTVGSRLHGVFKKKEKPVVASHRRKSQLGTSNDSAEILQFCCRINTLQYIRTEIESSGRKTLNRLPESEIAALDGKGKIFEQSIGYCSKGIQQLSEATAYKIVFHDLSNVLWDGLYLGEVPSSRIEPFLQELERCLEIISSSVHDRVRTRVISDIMRASFDGFLLVLLAGGPSRGFTIQDSAAVEEDFKFLCDLFWSNGDGLPLDLIEKVSTTVKSILPLLRTDTDSLIERFKAVCLENHGSDRGKLPLPPTSGPWSPTEPNTLLRVLCYRYDEPATKFLKKTYNLPRKLT >scaffold_303838.1 pep chromosome:v.1.0:3:20701224:20702447:-1 gene:scaffold_303838.1 transcript:scaffold_303838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQQQRDEDNDDGSITTVGNSNTESIPLDLTIEILKRLPAESIFRFRCVSKQWCSITCSRHFIDSFYVFVSVSTTFTRLLEKKSSTSFVIVSFDVEFERLLLIEAPKEEVKLDYRLKLINYKGKLALFTVNNDYSYILCVLDDIKKQIWLTKSTGVLCPSFCDLSSWNFLVKTCDTDAEEICFVSPSNASELFFYDSKKNNVRRRVTVEGITEEFRRRCFFRSCDHVDNIVSF >scaffold_303842.1 pep chromosome:v.1.0:3:20714023:20714508:-1 gene:scaffold_303842.1 transcript:scaffold_303842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATKCVVLLVIGVCCAIVTARHVEEVSKETKLGTSLPKTTTKGVGAQLSAYGATYSTSDVYSFANAFKNPKGPGSNAYKNGYTGTNGVVYAKGHKARVSSASRSKANGNAEAAVTHKAAAARAKGLVKSDSRVKGSSSGKKKGYKG >scaffold_303843.1 pep chromosome:v.1.0:3:20716452:20716916:-1 gene:scaffold_303843.1 transcript:scaffold_303843.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6E0] MAALKSSSGKEKLSKKLEIAEDGKEENDEEEGSKAIESFLRTVTPSLNLKRHKGQADQYSPSSLDNCFSV >scaffold_303846.1 pep chromosome:v.1.0:3:20720480:20720837:1 gene:scaffold_303846.1 transcript:scaffold_303846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFSVLFCQLFHTWAHGTKSKLPSLVVGLQDIGLLVSRVHHVNHHRAPYNNNYCVVSGVWNKVLDESKVFEAMEMVLYFKMGVRPRSWTESNSEWMEETDIFKC >scaffold_303849.1 pep chromosome:v.1.0:3:20728669:20728898:-1 gene:scaffold_303849.1 transcript:scaffold_303849.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L6E6] MVKLFLLKHLKRRRLSSPITADRVCCQLDLPSEGAPTTTGKFTDSPTNAINHLLLNVARGI >scaffold_303851.1 pep chromosome:v.1.0:3:20741046:20741238:-1 gene:scaffold_303851.1 transcript:scaffold_303851.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L6E9] MSMSEEGRTQIVDLVVPRPPDLQWSSSSWPSNWDYSKQSKTMSFFSEMV >scaffold_303852.1 pep chromosome:v.1.0:3:20741384:20746325:1 gene:scaffold_303852.1 transcript:scaffold_303852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLKAAEDLFEVVDRRAKSVVEELSEEQTDLQLPASGRKGSQGKRTSSKKKARQKLVKEESSNKRDFSGDQSGPGVSQSEVPPSIDEASSSGPVLQTNEIRTDADVQSVQSLPQSVADTKSDDAAVVGSESVVDGDGAESKHADGDIPNDSLVQPSPSLPDKEIEVAVSENLVDAPKNGAQRELVDSSKRDLEKLESVVHVPSVGEGNVAQSTGDEVKVGTSINLEKEQEPEVPDTSTNLKREQDRRADTTSVKIQDQLEEAQGLLKATVSTGQSKEARLARVCAGLSSRLQEIKAENAQLEELLSAEQELTKSYEASIRQLQKDLSAAKSEVTKVESSMVEALAAKNSEIETLVSAMDALKNQAALNEGKLSSLQGDMESILRNRELAETRMMQALREELATTERRAEEEHSAHNATKMAAMERERELEHRAVDASTALVRIQRIADERTAKVADLEQKVALLEAECTSLNQELQDMEVRARRGQKKAPDEANQVIQILANPEELLLIMQIQAWQDEVDRARQGQRDAEEKLSSMEAEMQKLRVEMAAMKRDAEHYSRQEHTELEKRYRELTDLLYYKQTQLETMASEKAAAEFQLEKEVKRLHEAQVEVERSRVSRRPSATWEEDSEIKTLEPLPLYHRHMATASTQLQNAVKLLDSGAVRATRFLWRYPIARIFLLFYLVRRSLIYSISFALLVNLWYIKMTSFMNLQVFVHLFLMYLLHRLQEQAEAQEVAEMTNNVFRP >scaffold_303855.1 pep chromosome:v.1.0:3:20760808:20761021:-1 gene:scaffold_303855.1 transcript:scaffold_303855.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU95] MTEAVATDAAGARNIPSPTPNPTRTEPMKTDQRISTQTENLKLYPQNGRKHPSSNKP >scaffold_303857.1 pep chromosome:v.1.0:3:20778990:20783956:1 gene:scaffold_303857.1 transcript:scaffold_303857.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:UniProtKB/TrEMBL;Acc:D7L6F5] MMNYGSDEVSPRTEIALLGSVETMLEKIYEKHKQHRPIKVETRRRLSSISEELALETLRKVFNMPYVKTLDGIIMYFVNNAATVDGSSVQCPRTPGKKSCRLFQDVSIDLETPSPKFLRREENGGSSKHIPPLLALGELEFKKAFLLLSYIGGESLVEEVISGDEIRKWKDLPMVEYEAEVWNRLGQRYCPPKERRRPLEGDSGKTHYYQCHVAPDGSYKFKGYLLEDTGTHLHKVLGDDNVLTVKFDKVLGVETYCNDLYSTYKGIAKNGIMVGLRRYRFFGANAMSSNTLQIIFIFVFKTAYSSLFTFPLKTVFKDGGKEEKKKDAATKGVKCYFIRTDSTASNDMRNPYIFSGKSMHEARMHFMHVNTLSSLPNYMARFSLILSKTKTLEVDMTGITFEQIDDIHCHDQDNKDVLDKNGKPCIHSDGTGYISEDLARMCPVNIFKGKSMRSNNIQSKIPNIEGQGPCGQEPPLLIQFRMFHNGYAVKGTFLTNKKLPPWTVQVRPSMIKVNEDQTMSNFSTFNSLEVVTTSNPPRNARLSRNLVALLSYGGVPNDFFLNILRNTLEESKTIFYSERAAFKVYRNPGLHFGDIHVLKATYVKALEDYVGNSKYAVFFPQKGPRSLGDEIAGGDFDGDMYFISRNPKLLEYFKPSEPWVSSSKPSKIYSGRKPSELSEEELEEELFKMFLKARFCKRDVIGMAADCWLGIMDPLLTLGDESAKEKYERKKNILKLIDIYYDALDAPKKGAKVDLPPDLEIKNFPHYMERDPKRDFRSTSILGLIFDTVDSHNAEEPPPSEISKLWYFEDEPVPKSHMDKFTSWYENYRAEMSQAMEETDKVKKKQLANEVIQRYKQDFYGAARFEDSNKSLEELYPQALALYNIVYDFAFPEGVSKCAFVWKVAGPVLCRFYLKKTQEKLVVASTSVLKKIWG >scaffold_303858.1 pep chromosome:v.1.0:3:20784357:20787629:1 gene:scaffold_303858.1 transcript:scaffold_303858.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:D7L6F6] MEKVTNSDLKSSVDGGVIDVYGEDSATIEHNITPWSLSVSSGYSLLRDPRYNKGLAFTEKERETHYLRGLLPPVVLDQKLQEKRLMSNIRQYQFPLQKYMALTELQERNERLFYKLLIDNVEELLPIVYTPTVGEACQKFGSIFRRPQGLFISLKDKGKILDVLKNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYSALGGVRPSACLPVTIDVGTNNEKLLNDEFYIGLRQKRATGQEYSELLNEFMSAVKQNYGEKVLIQFEDFANHNAFELLAKYRDTHLVFNDDIQGTASVVLAGLVSAQKLTNSPLAENTFLFLGAGEAGTGIAELIALYISKQMNGSVEESRKKIWLVDSKGLIVNSRKDSLQAFKKPWAHEHEPVKDLLGAIKAIKPTVLIGSSGVGRSFTKEVIEAMSSINERPLIMALSNPTTQSECTAEEAYTWSKGRAIFASGSPFDPVEYEGNVFVSTQANNAYIFPGFGLGLVISGAIRVHDDMLLAAAEALAGQVSEENYEKGMIYPSFSAIRKISAHIAANVATKAYELGLAGRLPRPKDIVKCAESSMYSPTYRLYR >scaffold_303862.1 pep chromosome:v.1.0:3:20804086:20807119:-1 gene:scaffold_303862.1 transcript:scaffold_303862.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:D7L6G0] MGKVAVATTVVCSVAVCAAAALIVRRRMKSSGKWARVIEILKAFEEDCATPIAKLRQVADAMTVEMHAGLASEGGSKLKMLISYVDNLPSGDETGFFYALDLGGTNFRVMRVLLGGKQDRVVKREFKEESIPPHLMTGKSHELFDFIVDVLAKFVATEGEDFHLPPGRQRELGFTFSFPVKQISLSAGTLINWTKGFSIDDAVDKDVVGELVKAMERVGLDMRVAALVNDTIGTLAGGRYTNPDVVVAVILGTGTNAAYVERAHAIPKWHGLLPKSGEMVINMEWGNFRSSHLPLTEYDHSLDLDSLNPGEQILEKIISGMYLGEILRRVLLKMAEEAAFFGDIVPPKLKIPFILRTPNMSAMHSDTSPDLKVVGSKLKDILEVPNSSLKMRKVVISLCNIIASRGARLSAAGIYGILKKIGRDATKDGEAQKSVIAMDGGLFEHYTQFSESMKSSLKELLGDEVSESVEVILSNDGSGVGAALLAASHSQYLELEDDFETS >scaffold_303865.1 pep chromosome:v.1.0:3:20839436:20839694:1 gene:scaffold_303865.1 transcript:scaffold_303865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTLFLLAFGLAQARFPTFPTEPFPPPPNRSVKTSVNQFPGVTPPFFPPGHKKHPPVPRHEAKNAKMSMN >scaffold_303866.1 pep chromosome:v.1.0:3:20854071:20856493:1 gene:scaffold_303866.1 transcript:scaffold_303866.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol oxygenase 2 [Source:UniProtKB/TrEMBL;Acc:D7L6G4] MTILVEHFVSDSRVDEKKVTEERDDELVLDGGFVVPKSKETDAFDAPDMNFLGHSFRDYENGESERQQGVEEFYRMQHIHQTYDFVKKMRKEYGKLNKMEMSIWECCELLNNVVDESDPDLDEPQIQHLLQTAEAIRRDYPDEDWLHLTALIHDLGKVLLLPEFGGLPQWAVVGDTFPVGCNFDSANIHHKYFKGNPDINNPKYNTKNGVYSEGCGLDNVLMSWGHDDYMYLVAKENGTTLPHAGLFIIRYHSFYPLHKAGAYTHLMNDEDRDDLKWLHVFNKYDLYSKSKVLVDVEQVKPYYISLINKYFPAKLKW >scaffold_303870.1 pep chromosome:v.1.0:3:20882058:20883088:-1 gene:scaffold_303870.1 transcript:scaffold_303870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLLNPLEDPPTASSSDDDEVEISSEEDEEQISNSSSKEEDPATIPSTKTLKSPSAAAPSPDSGSETDSDSDKPHVFTKKKEATDSPAVKSVKNKNQFGDKIRGLKNKYFGSRKKKSVESDHDNKCLELAKSIWGRGVVESPVKSKKKKDESVVKANGKEKKLESLVEEDKELGILRGDSESSNWFDKSFLVRVVASLGVDECIVKWKWSKVTMDTKKRIEEKMKLVEGKEFELLSQKIDVLKEVISVIAETI >scaffold_303874.1 pep chromosome:v.1.0:3:20894133:20894932:-1 gene:scaffold_303874.1 transcript:scaffold_303874.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L31 [Source:UniProtKB/TrEMBL;Acc:D7L6H3] MEKGKGRKEEVVTREYTINLHRRLHSCTFKKKAPNAIKEIRKFALKAMGTKDVRVDVKLNKQIWSKGIRGPPRRIRVRVARKRNDDEDAKEEFFSLVTVAEIPAEGLSGLGTKVIEEEE >scaffold_303876.1 pep chromosome:v.1.0:3:20896988:20898014:1 gene:scaffold_303876.1 transcript:scaffold_303876.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPS15AB [Source:UniProtKB/TrEMBL;Acc:D7L6X3] MGRRILNDALRTIVNAEKRGKASVELKPVSTVMSSFLKIMKEKGYIKNFQVYDPHRVGRITVDLQGRVNDCKALTYRQDLKANEIGQYTERTLPTRQWGYVVITTPDGILDHEEAIKRNVGGQVLGFFH >scaffold_303878.1 pep chromosome:v.1.0:3:20904247:20904671:1 gene:scaffold_303878.1 transcript:scaffold_303878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYDDGKMWSKLYALADVAAMLYDDETSAMYPKLFEFEDLMTMKFDEEVEERAKMETTSSVVTQNLSEASTSLSLLDIGADPVTQNPQNPTSSSTLLVDEIKAEAEMMETEDDSPNPSFKSSSITE >scaffold_303882.1 pep chromosome:v.1.0:3:20911387:20911642:1 gene:scaffold_303882.1 transcript:scaffold_303882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGYIYGDFCCNELGCESVFHKQCASATEVPADILEKSNVHDHPIQLSDDILHGNMHAVLQNVQLDSFG >scaffold_303889.1 pep chromosome:v.1.0:3:20924748:20929083:-1 gene:scaffold_303889.1 transcript:scaffold_303889.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATKEA4 [Source:UniProtKB/TrEMBL;Acc:D7L6Y3] MRRCNNNTDKFSVKTMRLLTLLLICSFFFPFAYSAESDNETDSAVVTREINGTVVESNATSAKPKEDSFADMIDRALEKEFPDNDQNEVPDPGSFNNSVADQQAVLETVARVKPKKNETKTKEEKSFFNLDSENGVEDTPRLIDRKDNVFIMSNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSAAKLRVVRAVAIPGGLLQIFLFMCLSGITASLCGGKLTEGIFVGAFLSMSSTAVVLKFLMERNSISALHGQITVGTLILQDCAVGLLFALLPVLGGTSGVLQGVLSMAKSLAILIAFLGALFVLSRTWVPWFLKLMTSLSSQTNELYQLAAVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHMHFLWNHVDILLAAVLLVIVIKTVVVAIVVKVFGYNNKTAVLVGMSLAQIGEFAFVLLSRASNLHLIESKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGVLLRWFSPDSSTEIGFKGELYHSESAKRISLMIQGSLHDS >scaffold_303894.1 pep chromosome:v.1.0:3:20960272:20963507:1 gene:scaffold_303894.1 transcript:scaffold_303894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANNLTAILNLLALLCSIPITASGIWLASKPDNECVNLLRWPVVVLGVLILVVSATGFIGAYKYKETLLAVYLCCMAILIGLLLVVLIFAFVVTRPDGSYRVPGRGYKEYRLEGFSNWLKENVVDSKNWGRLRACLADTNVCPKLNQEFITADQFFSSSKITPLQSGCCKPPSACGYNFVNPTLWLNPTNMAADADCYIWSNDQSQLCYNCNSCKAGLLGNLRKEWRKANLILIITVVVLIWVYVIACSAFRNAQTEDLFRKYKQGWV >scaffold_303900.1 pep chromosome:v.1.0:3:20998516:21001827:-1 gene:scaffold_303900.1 transcript:scaffold_303900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDEAAAVSPQATTPSGGTGASGPKKRGRKPKSKDYSQTPSSQQQSGGKMKESGKKTQQTPSVDEKYSQWKGLVPILYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVETQPNRHAVLGAANSRPDLILTGHQDNAEFALAMCPTEPFVLSGGKDKSVVLWSIQDHITTVGTDSKSSGSIIKQTGEGSDKNESPTVGPRGVYHGHEDTVEDVAFSPTSAQEFCSVGDDSCLILWDARTGTNPVTKVEKAHDADLHCVDWNPHDDNLILTGSADNTVRLFDRRKLTANGVGSPIYKFEGHKAAVLCVQWSPDKSSVFGSSAEDGLLNIWDYDRVSKKSDRAAKSPAGLFFQHAGHRDKVVDFHWNASDPWTIVSVSDDCETTGGGGTLQIWRMSDLIYRPEEEVVAELEKFKSHVLTCASKP >scaffold_303905.1 pep chromosome:v.1.0:3:21022960:21025505:1 gene:scaffold_303905.1 transcript:scaffold_303905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTDVQTNEEVAIKLESVKTAHPQLSYESRIYRVLQGGTGIPNMKWYGVEGDYNVLVMDLLGPSLEDLFGYCHRKFSLKTVLMLADQMINRLEFIHSKSYLHRDIKPDNFLMGLGRRANQVYIIDYGLAKKYRDSSTHRHIPYRENKSLIGTPRYASLNTHLGIEQSRRDDIESLGYILMYFLKGSLPWQGLKAGNKKQKYDKISEKKVSTSIETLCRGHPTEFASYFHYCRSLRFDDKPDYAYLKRLFRNLFIREGFQFDFVFDWTVYKYQQSQSGNPQPRPHDGGVGTSSGLNPAVGNSEKLPDVPNQRTNPDFTMKQKDKNVNESAIAKDKLLPGSLNLGRSEGSSSRRVVDTSSREPFSGGSDNANYETALKGIDGLRINNNAGDETAATPQLNGDDVEPKAKLSD >scaffold_303908.1 pep chromosome:v.1.0:3:21046833:21049087:-1 gene:scaffold_303908.1 transcript:scaffold_303908.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7L703] MLVHAKLSAFLIVMITFSQANSDLVRLEDDNSNGFIATVIRVCKGYDDKKSCQNLLLELKMVSSSLSEMRCRDLLIAVLKNSVSRINIGMLGVREDTKLLEEVESKMLGLREDTNLFEEMMESAKDRMIRSVEELLGGESPNLGSYENVHTWISGVLTSYITCTDEIGEGAYKRRVEPELEDLISRARVALAIFISISPRDDTELKSVVSNGPSWLSNVDKKYLYLYRETLKKIADVVVAKDGSGSYNTVNAAIAAAPKFSRKRFVIYIKTGVYDEIVNIGSTKANLTLIGDSQDSTIITGNLSYSYGKTTFYTATVASNGDGFIGIDMCFRNTVGPAKGPAVALRVSGDMSIIHRCCIEGYQDALYSHKHRQFYRECFITGTIDFICGNAAAVFQFCQIVARKPMRGHSNVITAQSRVSESDNSGFSIQKCNITASSDIDPLKSTVKTFLGRSWRKYSTVAVLQSFNGDLVDHAGWTPWQGEFGLSTLYYGEYQNRGPGAVTSKRVKWTGFRVITDPKEAAKFTVTKLLLGELWLKTSGVPYEKGL >scaffold_303909.1 pep chromosome:v.1.0:3:21050746:21051844:1 gene:scaffold_303909.1 transcript:scaffold_303909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKKKGKERSQQNLYRPKSVPKKTVPSKPKPTPAQLKDPEFSDDDTDYVVDNDDEGRLIVFPKRSVVLSTLPVAVREELQKNSIVSNHEDLANICLSRERSALVNGKECFTLTDSNGRIHLFWIPSKVSSKSVFRNGNMNAAGYIRDQFDHPLCWDFSFCDLLSATCVLYGHMQRYEPLSQIYVCQHVDRSNYKKKKVVTDHDTKQKRCHSCYEDSMYNALKYLTNSKGIPKAEKREIGEFDCNQERSLKRGEELFGKIKNVYRYKQLKDALKRLRTHPVTATLICFEGWQNPGIYKGPYSGPQPPYYMGEHQVVMLDCVKHEGTVVIRCKSSNGVDTGNDGYLFIDPEI >scaffold_303911.1 pep chromosome:v.1.0:3:21069802:21070177:1 gene:scaffold_303911.1 transcript:scaffold_303911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLLAIVVGFGIMGIVVVDGLGVYWGTMATHKLPPKTVVQMLKDNSINKVKLFDADETTMSALAGSGLEVMVAIPNDQLKVMGSYDRAKDWALKNVTRYNFNGGDVLSLD >scaffold_303913.1 pep chromosome:v.1.0:3:21073694:21074272:-1 gene:scaffold_303913.1 transcript:scaffold_303913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRSAIYALCYIVMVIFQISRPNPLLSLQFQSSIWVAYRFNLISPMNLTDSSDRRCFSSRDISILLNRVVCSCIRSVHGGCFPRRYLRFLTDAFSPLHLYGDIIWVFDPGIIGTVSPKGIGLNRTQIGVTQRGNTVTNRQRCVRHYKVHGLEPLQSTYQNFILSRVMRKAFRSLSI >scaffold_303923.1 pep chromosome:v.1.0:3:21130660:21130937:1 gene:scaffold_303923.1 transcript:scaffold_303923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKERMEKEEKKIDLTNEAGKAEDNTTVRAIVRESIVEDRGSNDGDGDKDNDHDARKPEDILAFSRTVRKIDSSLE >scaffold_303928.1 pep chromosome:v.1.0:3:21150020:21150920:1 gene:scaffold_303928.1 transcript:scaffold_303928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYNEFSIDTAVFWLTEEIPNSSDPNLSISRNILLALEKLGILSLGVIRVYAPEEPSSKKAEWCDPRIYYYFEGDKDTRVDTMFFDLITFASCSRTNPANVMVISKSPLKDECLRVLRSLEARGSKVFLVQSDDESEFFRSQDSILDCTRLLDGSIPVVFDGDSVPMELDNASATSSTSWETDTDPENE >scaffold_303929.1 pep chromosome:v.1.0:3:21154342:21154646:-1 gene:scaffold_303929.1 transcript:scaffold_303929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYYLLDLHSSSPLSSTSSTTPHRFKSATTYIATAAAVSGISSAANLIGFVVYETYLSSDPPLCLFVLSHVDNIRRSRILLFLKS >scaffold_303933.1 pep chromosome:v.1.0:3:21243508:21244977:-1 gene:scaffold_303933.1 transcript:scaffold_303933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREKVEREIPRSEVMEIHKNCDENQIHRGITLVYANDHPHNPRRLIVLLYAKLGLHRYNCVHGKDLKLSSISTYIKSSGVTSATSYRITFDAKDPRDTSGSLQTFQTHVNERTYGSLLLSCTIACPLGEVTIGSETKRVNLHSLRPELPPENPFQDDTDRFYVLKKSEVKKNDWIRLYLELAVATTKRHHIKHGVANLKILRVALDTTPDPCRPSEKGLHAYDAIFYIRYTDSCKARAGEDGDRVAIVRRIWDEKPQVFRIVGRTESFRSLGNGESTTSPGSKDGTI >scaffold_303937.1 pep chromosome:v.1.0:3:21279317:21279715:1 gene:scaffold_303937.1 transcript:scaffold_303937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNELKSENVLATLNQTRKNIEEFTEGIKKERDDNLAMLAGIEIKIDEIEKNFKEANKYYLYLEKEREADDTLVNEELRCRLDVLTKSINDIYDFSELLLEKSKTTISLAKNKSEEK >scaffold_303940.1 pep chromosome:v.1.0:3:21305492:21305864:-1 gene:scaffold_303940.1 transcript:scaffold_303940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7L7L5] MAILKKSTKLAQTAMLRQILKRCSSLGKKNGGGYEEDDLPLDVPKGHFPVYVGHNRSTYIVPISFLTNLDFQCLLRRAEEEFGFDHDMGLTIPCDELFFQDLTSMIR >scaffold_303941.1 pep chromosome:v.1.0:3:21311667:21311887:1 gene:scaffold_303941.1 transcript:scaffold_303941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMRFMIPNRKQLFKSQYLKNKYIYSSTPNLGLVPKGHVTVYVGEQVEKRDLWSIVQR >scaffold_303942.1 pep chromosome:v.1.0:3:21314096:21314446:1 gene:scaffold_303942.1 transcript:scaffold_303942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIPLIFILPFCDVELLPCVLPFQVRGHGSKQLPNGCCEKMKKSTSCMCRFLAAKDRNLNAAAHRIFWFCQISVPNCQKI >scaffold_303943.1 pep chromosome:v.1.0:3:21322334:21322679:1 gene:scaffold_303943.1 transcript:scaffold_303943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIISWILIAIIMILASFPAPIKVVGAKKELPFCNLELLPCVEPFLVNGRGGKLPKGCCEKMKKSTSCMCRFLTAKEHNLNAAAHRIFWFCHISVPNCPKI >scaffold_303945.1 pep chromosome:v.1.0:3:21325787:21326137:-1 gene:scaffold_303945.1 transcript:scaffold_303945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIISWILIAIIMILASFPAPIKVVGAKKELPFCNLELLPCVEPFLVNGRGGKLPNGCCEKMKKSTSCMCRFLTAKEHNLNAAAHRIFWFCHISVPNCPKI >scaffold_303946.1 pep chromosome:v.1.0:3:21330711:21331272:1 gene:scaffold_303946.1 transcript:scaffold_303946.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7L7M1] MAPNPKVYFDMTVGGQPAGRIVMELFADTTPETAENFRALCTGEKGIGKSGKPLHYKGSSFHRVIPKFMCQGGDFTAGNGTGGESIYGLKFKDENFVKKHTGPGILSMANAGINTNGSQFFICTEKTSWLDGKHVVFGQVVEGLNVVRDIEKVGSDSGRTSKPVVIADCGQIS >scaffold_303947.1 pep chromosome:v.1.0:3:21334168:21334390:-1 gene:scaffold_303947.1 transcript:scaffold_303947.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7M2] MSFRQASSVVSWRRIWWWMEVEGEGSSGIRSRSSHCSPLKTLQQSEFGSDSMADVTASLR >scaffold_303948.1 pep chromosome:v.1.0:3:21342786:21344263:1 gene:scaffold_303948.1 transcript:scaffold_303948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHHNNLLFVAFFVLCLAANEVTGYATVTGSVFCDQCKDGERSLFDFPVSGIKVSVTCSDENGEVYMSREETTNWLGGYVMRFDGTPDLSNCYAQVSDNGAPQDPSSCSIASGPAQKLKLMFSFFGIETFAADALLAQPLQPRSFCPKPPAAPVMPPPQVPVMPPPQVPVKPPPKVPVISPPPVTSPPQFKLPPLPPMPFVEPSACSHQLWMKPEYRCYWRAIGPDTKVAVAFGLVAGRRYGTDMTVREALDGRGEAYKTLLREATTALLNSYNSLGFPYNSIAVITYTNLALLGNSEHDVLMTAIRFIKANSGTCRFTVCN >scaffold_303950.1 pep chromosome:v.1.0:3:21349297:21351723:-1 gene:scaffold_303950.1 transcript:scaffold_303950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDQHRSRHHDESSSRPNKKKKISRSPETNLLFNLNSCSKSKDLSAALALYDAAVTSSELRLSQQHFQTLVYLCSASISDTSLRSLAIDRGFEIFDRMVSSGISPNEASVTSVARLAAAKGDGDYAFKVVKDFVSVGGVSIPRLRTYAPALLCFCERLDADMGYEVEEHMEAAGIALEEAEILALLKVSAATGRENKVYRYLHKLREYVGCVCEETSKIIEEWFCGEKAGEVSDNGIGSDVGMLREAVLKNGGGWHGHGWVGEGKWTVKKGNVSSTGRCLSCREQLACVDTNEVETQKFVDSLVALAMERKAKMNSCETQVDFSEFQDWLEKHGNYEAIVDGANIGLYQQNFVDGSFSLPQQLESVVKELYRESGNNKWPLILLHKRRVRALLENPTHRNLVEEWISNGVLYPTPPGSNDDWYWLYAAAKLKCLLVTNDEMRDHIFELLGSSFFHKWKERHQVRYTFVKGNLKLEMPSPFSVVIQESEKGSWHFPVSCENNEESSRTWMCISRQSVLDSPKSNGKLATS >scaffold_303951.1 pep chromosome:v.1.0:3:21353519:21354036:-1 gene:scaffold_303951.1 transcript:scaffold_303951.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L7M6] MFWGNLFSRVSKWFDSAKNMLHNLVSPMVERVQESFSSLISTLRGFFDTIITKLRNFFAAVFSKLRDFFLMIVAKICNFFVTIISKVRSFFDFVATLYRCIQKLQKLFSLINDLLDILGLESEMALHSKFLHVLQIFKQFGDLGTIFGQWFNTESLFT >scaffold_303952.1 pep chromosome:v.1.0:3:21355642:21358328:-1 gene:scaffold_303952.1 transcript:scaffold_303952.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin family protein [Source:UniProtKB/TrEMBL;Acc:D7L7M7] MGFQRSISSSSALKWLGFVTAVWVQAISGNNYTFSNYSGALKSLMNLNQLELNNLSVAKDVGKAFGILAGLASDRLPTPVILLIGCFEGLLGYGVQWLVVSRTIQPIPYWQMCIFLCMGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTDLCTALFSNDPASFLVLLAVIPFAVCLTAVFFLREISPASSAAEENEETRYFTIFNIVAVVVAVYLQSYDIIGIKTGVFSVAFASILLFLLASPIAIPFHSFIKSLNHGEQDDLEGRIQEPLLRSEIAAAEREVVVVAAVAAEQEVKKKKPVLGEDHTIMEAILTVDFWVLFVSFLCGVGTGLAVMNNMGQIGLALGYTNVSIFVSMTSIWGFFGRILSGTLSEYFLKKAGTPRPLWNAASQILMAVGYILMALAVPNSLYIGSMVVGVCYGVRLAITVPTASELFGLKYYGLIYNILVLNLPLGSFLFSGLLAGFLYDAEATPTPGGGNTCVGAHCYRLIFIVMALASVIGVGLDLVLAYRTKEIYAKIHASKKSKKSGGSLS >scaffold_303956.1 pep chromosome:v.1.0:3:21396307:21396737:-1 gene:scaffold_303956.1 transcript:scaffold_303956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNILRFLFVMVLCVGLGNAKIWGKNLVQFKNSVEGKQVNIFCKLNNKQLFVVFLEPGEIYDHWFHGQFVTTNKMDCDIRELQYKLVRIRAFQGASGSFDHGKTNYWDIREDGIYFTHGKDIPKLEYKW >scaffold_303957.1 pep chromosome:v.1.0:3:21404469:21405429:-1 gene:scaffold_303957.1 transcript:scaffold_303957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEHMNKGAWTKEEDERLVSYIKSHGEGCWRSLPRAAGLLRCGKSCRLRWINYLRPDLKRGNFTHDEDELIVKLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLLSKGIDPATHRAINEAKVSDLKKKEDQIVKDVSFGSKFEKIEKSGDKKQNKHIRNGLVCKEERVVVEENICPDLNLELRISPPWQNQREISPCTASRFYMENGMECSSESVKCQTEDSSSISYSSIDISSSNVGYDFLGLKTRILDFRSLEMK >scaffold_303958.1 pep chromosome:v.1.0:3:21409082:21411815:-1 gene:scaffold_303958.1 transcript:scaffold_303958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVVDEVYLKEIDKARRELRALISQKNCAPIMLRLAWHDAGTYDVKSKTGGPNGSIRTEEEYSHGANSGLKIAIDLCEEVKAKHPKITYGDLYQLAGVVAVEITGGPDISFVPGRKDSNACTEEGRLPDANQGFKHLKDVFYRMGLSDKDIVALSGAHTLGRAHLERSGFDGPWTRDPLKFDNSYFVELLKGEESEGLLKLSTDETLLDVPAFRRYVELYAKDEDAFFRDYAESHKKLSELGFTTPTDSVTKEETQWIITMELKLSKLGVAVVAAVVAFSCFSEIHKRMK >scaffold_303959.1 pep chromosome:v.1.0:3:21413894:21416603:-1 gene:scaffold_303959.1 transcript:scaffold_303959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLVVDADYLKEIDKARRELRALIAKKNCAPIMLRLAWHDAGTYDAESKTGGPNGSIRNEAEYSHGANSGLKIALDLCEEVKTKHPKISYADLYQLAGVVAVEVTGGPDISFVPGRKDSNACTDEGRLPDANQGFKHLKDVFYRMGLSDKDIVALSGAHTLGRAHPERSGFDGPWTQDPLKFDNSYFVELLKEEESEGLLKLATDKTLLEVPEFRQYVELYAKDEDAFFRDYAESHKKLSELGFTTPTSSVTKAITDNSTALAHSAVGVAVAAAVVAFGYFYEIRKRMK >scaffold_303960.1 pep chromosome:v.1.0:3:21420885:21421127:-1 gene:scaffold_303960.1 transcript:scaffold_303960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L863] MAKSRVQSLLLLLMPLFFSSAVTGTIFYNCSRKNPGYARYVIDDFVNFV >scaffold_303970.1 pep chromosome:v.1.0:3:21502188:21503202:1 gene:scaffold_303970.1 transcript:scaffold_303970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7L871] MDDGELEFSNSNMGGELPPSSCSMDSFFDELLRDSHACTHTHTCNPPGPENTHTHTCLHVHTKILPSQSEDKVSTDDTSESSGKKRPLGNREAVRKYREKKKAKAASLEDEVMRLKAVNNQLLKRLQGQAVLEAEVTRLKCLLVDIRGRIDGEIGAFPYQKPAVTNVPYSYMMHPCNMQCDVDNLYCLQNGNNGEGGSMNDQGLNGCEFDQLECLANPNLAGKEIPVCSNGIGTFTVNGSGANKRKGGPRAAKAV >scaffold_303974.1 pep chromosome:v.1.0:3:21517053:21517532:-1 gene:scaffold_303974.1 transcript:scaffold_303974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTSINDAFSSKLYKQNSQSQFISLSHKITQLDFYLCLYFPLICIFLAWTDSHTCMLVSFDVRSEEFNMMQLQVPRNAGDTLPRYEKGVVPIEYGENVAVFDFTYLLVNGKVDLWVVEDAKKKEWSRKTLVLQPSHMHLVTDNI >scaffold_303980.1 pep chromosome:v.1.0:3:21565532:21565736:1 gene:scaffold_303980.1 transcript:scaffold_303980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L882] MKPGLVKYSITASRLASAVKSSRVRGSNPFNALPSIVDLMMSSGASPLSIGSW >scaffold_303982.1 pep chromosome:v.1.0:3:21579961:21582621:-1 gene:scaffold_303982.1 transcript:scaffold_303982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSDLPRELLEDILSRIPSESLRELRFTCKLWNALYKDRGYIKERFHKRTARELITVVLDGSVYSLDVDYLDINERLDSDVIGGIQVSRFNRTCDLDFDDICVSDVFHCVGLLLLRTEVTNMALDDVLDDVTLGCIIQSRGMSLKGNTYWIASQVKGFVLLSFDFTTEGIGRLNLPSQSLGYEVLALSLVREEKLSILQQNQDASKVEIWVTTNDEIDQTKALSWSKLLAVDFNSCFHLKLTCDVSFFIKDEEKKVAICCDNKGIAYIFGENCDFGKVEIGSIRAFRFAAVSQAWFKFNLEALEISTYVSSLSASQFLAVGLKPNYDHKLTCDVSFSIDEEKKVAGCCDKGDEYIFGEEYDYGKGTAFWKRASLQKRVERPFERNWRQILLSQAWFKFNLKARGNIPKLCKIVKSKLNSKTWKFS >scaffold_303989.1 pep chromosome:v.1.0:3:21645904:21652524:1 gene:scaffold_303989.1 transcript:scaffold_303989.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transporter [Source:UniProtKB/TrEMBL;Acc:D7L890] MAATAVVWQPRDDGLAEICSLLEQQISPSSVVDKSQIWKQLQHFSQFPDFNNYLVFILVRAEGKSVEVRQAAGLLLKNNLRGAYPSMAQENQKYIKSELLPCLGAADRNIRTTVGTIISVIVNIEGVSGWHELLPALVTCLDSNDLNHMDGAMDALSKICEDIPHVLDTEVPGLAERPINIFLPRLFQFFQSPHASLRKLALGSVNQYIIIMPAALYNSMDKYLQGLFVLANDPVAEVRKLVCAAFVHLTEVLPSSIEPYLRNVMEYMLQVNNDPDEEVCLEACEFWSAYCDAQLPPENLKEFLPGLIPVLLANMAYADDDESLLDAEEDESQPDRDQDLKPRFHTSRLHGSEDFDDDDDDSFNVWNLRKCSAAAIDILSNVFGDEILPAIMPLIQTNLSASGDDAWKQREAAVLALGAISEGCMNGLYPHLSEIVAFLLPLLDDKFPLIRSISCWTLSRFGKYLIQESGNPKGYEQFEKVLMGLLRRLLDTNKRVQEAACSAFATVEEDAAEELVPHLGVILQHLMCAFGKYQRRNLRIVYDAIGTLADSVRGELNKPAYLEILMPPLVTKWQQLSNSDKDLFPLLECFTSISQALGVGFAPYAQPVFQRCMDIIQLQQLAKVDPSSAGAQYDREFIVCSLDLLSGLAEGLGSGIESLVSQSNLRDLLLNCCIDEAPDVRQSAFALMGDLARVFPVYLQPRLLDFLEIASQQLSTNLNRENLSVANNACWAIGELAVKVRQEVSPIVAKVVSSLGLILQHGEGVNKALVENSAITLGRLAWIRPDLVAPHMEHFMKPWCMALSMVRDDIEKEDAFRGLCAVVKVNPSGGVSSLVFICQAIASWHEIRSEDVQNEVSQVLNGYKHMLGNSWAECLSALDPPVKEMLARYQV >scaffold_303994.1 pep chromosome:v.1.0:3:21726841:21727255:-1 gene:scaffold_303994.1 transcript:scaffold_303994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKRTLLLKRGISRAVSLVKDLRSKRLAKNNMIGELEMAKRNGAVYEQTEENGVIRIRMFVKKCDLEKLIYNGDDRIRYNDFLVHSLVEQRLRQLRKKHHAKVVDKIGASAWTPVLRSIPEEF >scaffold_30400002.1 pep scaffold:JGI8X:scaffold_304:4243:5551:1 gene:scaffold_30400002.1 transcript:scaffold_30400002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGRGGIGAKSKRQNAQAQAKKHAQAKQKNEPPLPIQPSNESIFREIFGPHTIIRDWSVVLGIIGEVLDQLEDYCWAIVFARLMQATYNQGLPTPQQHEFSFLELVDHIKPRENESSALANLKIAIDHIASHGIMKKPIKIGDESIHCILLIGYGMTTQGELYFIGQNSWGTDWGCRGYVRIIINELCDIICLKE >scaffold_30400003.1 pep scaffold:JGI8X:scaffold_304:6060:7418:-1 gene:scaffold_30400003.1 transcript:scaffold_30400003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTSDGEVNDGSSRDPEVTEPMETSTGVVLSLLGKRKAETNESGESDFEGREDDSANLREYSDKEFEEQCRHYKRKLIETKGFFETSDKFPPYVYSGVASLGDLDQPAMLGLTIREEKNVKMEHILRANYNPGSMTKFYITFAAREFESPDAPLVEYQAKAVWSVTHKIYPILCRPSPAPTRINILAAEISFGFHLFDPQLLICCFLVEGHGRDHLKEVAKVMEESGRDQLKSFTGKLVYPVVMLLVDLGYWEL >scaffold_30400004.1 pep scaffold:JGI8X:scaffold_304:7711:8107:1 gene:scaffold_30400004.1 transcript:scaffold_30400004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYQPVEINVLSAQDLDSVNLLFRPTVYVSVSVTRGSRDKQVTPAAACGKKLLRWNYRMKFYIEDDKFRRNDSVFVFQIKCKRFFGSDQVVGKVFVPVKQLRHLNEEKTITEHTNIN >scaffold_304006.1 pep chromosome:v.1.0:3:21794611:21795443:1 gene:scaffold_304006.1 transcript:scaffold_304006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFHVRSNSFPSRPHPQATHVDEQLARLRSSEDASTSSSSYICNRLENLQELHESLDKFIRLPVTQQALGQEQNKKAVEQLLDGSLRILDLCNISKDALSQMKEGLMEIQSILRRKRGDLPGEVKKYLASRRSLKKSFQKVLKSLKVKQDQECGDKSLAVFGEAEDVTIALFDSLFCFMSGSKTCSKWSVVSKLMNKKKVTCEAQGNEFTRVDSEFQSEKTLKMEDVQILDSCIQDLEDGLESLSKSLIKYRVSILNILGH >scaffold_304007.1 pep chromosome:v.1.0:3:21798820:21799063:-1 gene:scaffold_304007.1 transcript:scaffold_304007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQSSIDMESHRSSPENDLLSSVALSGPLFRSTIYHLKVPGSSITSLGPEYTLQNKSYSLYSDKR >scaffold_304008.1 pep chromosome:v.1.0:3:21800584:21800989:1 gene:scaffold_304008.1 transcript:scaffold_304008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGLMEIQSILRRKRGDLSGEVKKYLTSRRSIKKSFQKVLKRLKIKQDQECNDESLAVFGEAEAITMTLFDSLFSIMSGSKACGKWSLVSKLMNQKNISCKAEENEFTRVDSKFQSEKT >scaffold_304009.1 pep chromosome:v.1.0:3:21802225:21802452:1 gene:scaffold_304009.1 transcript:scaffold_304009.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L8R3] MTGFETSNLIYNHRIMAAAFTKSKLKPPLLIVFLCHKIKSNILTSTRNCHQEKYNNRRCR >scaffold_304010.1 pep chromosome:v.1.0:3:21816641:21816961:1 gene:scaffold_304010.1 transcript:scaffold_304010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKSLQKVLKNLKAKQDQECNDESLAMFVEAEAVTMALFDSLFCFMSGSKTCDKLPLVSKLMSKKKVSSETQSRKQMNSQGLTPNSNPRRH >scaffold_304011.1 pep chromosome:v.1.0:3:21818906:21819737:-1 gene:scaffold_304011.1 transcript:scaffold_304011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFHVRSHSYPSIPHPQATHVDEQLAGLRSSEETSTSSSSSICQRLDNLQDLHESLDKLIRLPVTQHALGQEQNKKAVEQLLDGSLRILDVCNISKDALSQMKEGLMEIQSILRRKRGDLSGEVKKYLASRKSFKKTIQKVQKSLKVAQAEDNNDKSLAVFGEAEAVTIALFDSLFSYMSGSKTCSKWSVVSKLMNKKKITCEAQENEFTKVDSEFQSEKTLKMEDVQILESCIQDLEDGLESLSKSLIKYRVSILNSFGH >scaffold_304012.1 pep chromosome:v.1.0:3:21821079:21821901:-1 gene:scaffold_304012.1 transcript:scaffold_304012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFHIRSNSFPSRSHPQAAHVDEQLARLRSSEQASSSSSSSICQRLENLQELHESLDKLIHRAVTQQALSQEQNKKAVEQLLDGSLRILDLCNISKDALSEMKEGLMEIQSILRRKRGDLSGEVKKYLTTRKSLKKSFQKALKSLKVTQAEDETLAVFGEAEAITIALFDSLFSYMSGSKICSKWSVVSQLMNKKKATCEAQANEFTKVDSECQYEKTLKMEDVQKLESCIQDLEDGLESLSKSLIKYRVSFLNILGH >scaffold_304014.1 pep chromosome:v.1.0:3:21827010:21827864:-1 gene:scaffold_304014.1 transcript:scaffold_304014.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L8R8] MSLYVGTSRVLLSLPYELRRGRANKDDISLVGLTSLCGTPRRVHATRNPIHRNQTMEWVMRLRVAYCVA >scaffold_304016.1 pep chromosome:v.1.0:3:21834106:21837107:-1 gene:scaffold_304016.1 transcript:scaffold_304016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRQVEWTLVNKDEAVAAKKKSVETRKLIFKRAEQYEREYAEKLYVYVLVKCNLTMISPNVQRFKVGDHVGVRTYVNSCRECEYCNDGQAVNCAKGVFTFNGIDHDGSVTKGGYSSHIVVHERYCYKIPVDYPLESAAPLLCAGITVFAPMMHHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLSVTVFSTSISKKEEALNLLGAENFVISSDHAQMKALAKSLDFIIDTASGDHAFDPYMSLLKIAGTYVLVGFPSEIKISPANLNLNLR >scaffold_304017.1 pep chromosome:v.1.0:3:21841147:21842657:1 gene:scaffold_304017.1 transcript:scaffold_304017.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding LysM domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L8S1] METSRFTLINLLVSFSFFLTLSAQMTGNFNCSGSTSTCLSLVGYSSKNATTLRNIQTLFAVKNLRSILGANNLPLNTTRDQRVNPNQVVRIPIHCSCSNGTGVSNRDIEYTIKKDDTLSFVATEIFGGLVTYQKISEVNKIPDPNKIEIGQKFWIPLPCSCDKLNGEDVVHYAHVVKLGSSLREIAAQFGTDNTTLAQLNGIIGDSQLLADNPLNVPLKACSSSVRNDSLDAPLLLSNNSYAFTANTCIKCSCDALKNWTLSCEPSQIRPSNSTWPTCPPSRCEGAESLFLGNTTSSSCGPRSCTYAGYSNQTIFTTLSPTCPDSAGPGNYASTFSSSFNFVMVLIQCALLCLCLL >scaffold_304025.1 pep chromosome:v.1.0:3:21869604:21870471:-1 gene:scaffold_304025.1 transcript:scaffold_304025.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7L8S6] MSNTSNSDPNSDIPFASSNVTLPSYNQNPRRKRTKLTNNETGSSSSSPRPKPVTQPDPDASQIAPCTECGKQFGSLKALFGHMRCHPERQWRGINPPVKYQRGINATAASSSSSWDLSEEEHNIASLLLMLASGGVSTGSSGVEGRFKCGGCKKVFGSHQALDGHRETHKHVKGCFPITYTTEDPPPPPPPPPPPPQEIVDKDKGKGVKLVSGMNHRCNICFRVFSSGQALGGHMRCHWEKDQEEKQVKGIDLNVPATTSSDTTLDCSLDLRLGL >scaffold_304038.1 pep chromosome:v.1.0:3:21937525:21938578:-1 gene:scaffold_304038.1 transcript:scaffold_304038.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L8T9] MVISHKVGRSAGKASLYAWNSFISEDFSSDLMRSTSVIVNDLMTDFEIDLDMLFPGLVELIASTGMILVNDKIFGDHSWLDLKVELMLLYGYLPRPPESYFTFCSSHRFTDLVCSWIMLLESLACDVDFIMLMNLGGLQVIAPLDNGSFSDFYTIGDAASVQHLNLYFCLITLVKKHAYATVDFGMKYSKMIAGFKDPHIWSDVVCDLSSFLRIRHGLQELGRLLQETEIVSEQSFILLKKFLQIIVQCCRRKIFGHKTLLNQCLRQLFLQIQSRDYGLFECILVAISDRFGLVTAIVYGSLPRPPEDLYDVNLRLFQFIRCYSCPRCKGLHLEGEY >scaffold_304039.1 pep chromosome:v.1.0:3:21963071:21963518:-1 gene:scaffold_304039.1 transcript:scaffold_304039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIMKTLQIRKPTSLPISSTTTDEPGLLRRRLSSLSLNLSRNQPSTVSRSKSVSDMGEQGGSSVKEWWEWSWSWILLKKLPIFFTDLEVNKNETKSSLGNSFTHVFFKLRSEIRRLLRPSSDSLPLSCKHGQR >scaffold_304044.1 pep chromosome:v.1.0:3:21978136:21980534:-1 gene:scaffold_304044.1 transcript:scaffold_304044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFPLLPTPIETNYRACTIPYRFPCDNLKKATPTEISWINVFANSIPSFKKRAESDITVPDAPARAKIFAERYAGILEDWKKDPESNGGPPDGIMLGRVREHLLREFGFKDIFKKVKDEENAKAISLFPEVVSLSDAIEDDGKRLENLVRGIFAGNIFDLGSAQLAEAFSKDGISFLATCQNLVPRPWVIDDLDNFQAKWIKKPWKKAVIFVDNSGADIILGILPFARELLRRGTQVVLAANELPAINDVTYTELTDIVSQLKDGNGQLIGVDTSKLLIANSGNDLAVIDLSRVSHELAYLSSDADLVILEGMGRGIETNLYAQFKCDSLKIGMVKHVEVAQFLGGRLYDCVFKYNEVQI >scaffold_304046.1 pep chromosome:v.1.0:3:21985880:21986363:-1 gene:scaffold_304046.1 transcript:scaffold_304046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKLVVDKFVEELKQALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRRETEIARQEARLKMERENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >scaffold_304047.1 pep chromosome:v.1.0:3:21986675:21988301:1 gene:scaffold_304047.1 transcript:scaffold_304047.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:D7L9D5] MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLVLIIRNRLKYALTYREVISILMQRHIQVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIKDEEAKFKLCKVRSIQFGQKGIPFLNTYDGRTIRYPDPLIKPNDTIKLDLEENKIVEFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHIQDSTGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLTIIEEARKRLSAQQA >scaffold_304062.1 pep chromosome:v.1.0:3:22067608:22067851:1 gene:scaffold_304062.1 transcript:scaffold_304062.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L9E8] MLGISSLGESVVFLTGLFPVAGFTTGAPLRLGDPLPINGFSFLEATAPTMGLLGAVFLGSTFAEK >scaffold_304063.1 pep chromosome:v.1.0:3:22079753:22080141:-1 gene:scaffold_304063.1 transcript:scaffold_304063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVEEAAEEAAKDNGLSDWAIDEYPEDGFAEHIDFKTHGYEAITSDWENLADDKLEIEKEITEEGFRLMKELENEMILDGLLETDNLLGEELMEAEQEYGDGEEASEERTVTV >scaffold_304067.1 pep chromosome:v.1.0:3:22107454:22107776:-1 gene:scaffold_304067.1 transcript:scaffold_304067.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L9F3] MAFVSGEPSFHSEFCSAKFLACSAFKASLFGVSPASFGLFSEVGWLYLRCSRRHLIRRVRSALVSGLWFKSKVIISRDMCIFKIFVPWFGFV >scaffold_304068.1 pep chromosome:v.1.0:3:22110766:22111167:1 gene:scaffold_304068.1 transcript:scaffold_304068.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L9F4] MAAEEKRAEKEKRVAEEKHVEEEERAAEEKHAEESRRAEEPILVLTEQQLAYNRDLMKNKIDRCKAAWVEKKVERKAANEARDIQREAAQYWQLRNTFKNVQNFEDLDEQCDKQFQGRV >scaffold_304076.1 pep chromosome:v.1.0:3:22154567:22158196:1 gene:scaffold_304076.1 transcript:scaffold_304076.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide adenylyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7L9G2] MAGFIRRNGNVFFRSQTLSAFYSKLQRSNCTLAEGVMEKCSSIRSVIDEDINSVDTSKWKKVRASDAGIRNSMIPESSMNVLRLLRRQGFDAYLVGGCVRDLILHRVPKDYDVITTANLKQIRRLFHRAQVIGKRFPICHVWMGGSIIEVSSFDTVAHSDSEHEDDLEKSKEKSGVSLDTEANKNNSLFTMYSGWDVKDCNRWRNSLQRDFTINSLFYNPFELKIYDYANGMEDLTDLKLRTLVPAHLSFKEDCARILRGLRIAARLGLSLSKDIETAIPEFVSSVANLDQFRLIMEMNYMLAYGAAAPSILLLMKFKLLHVLLPFQAAYLDQASETSLSSSLMLVRLFSNMDKLVSCDQPADPKLWIAVLAFHIALVRNPQEAIVVRAFAALLYHRNWSKAVKFAREHETSVVGYAPEVSKFSRKRSDEDLAEAVSEFTCLLKDTQYVLTDIEALREALYLYPDFKFSGLVFIPKRKGRDVAEGLARLSDVESYESKKEGFSIDYLLLGKGNPCEVRFVLGKIILDTITEGIVIEPLNSVKKKQSTSNQIVSAACLEKKDELFVTKSSKEENNNHTPVYDSNASSVLKILKRTRKESEQKIDQETEVCPRTLSGPAKNQDQSVVQKLKRRRSKEAQVSEPPKQKTSKRSRSDDQEAVESISVPAKNQHQSNKHDTNAPICELPKQKTSKNRSKETQKVKHNDLPMKEIQEAKHGLVSDKSMSDLLKVLEKSSQQVSSKEKSDSLSSQKTKRPRNLSSLFR >scaffold_304077.1 pep chromosome:v.1.0:3:22158763:22159811:1 gene:scaffold_304077.1 transcript:scaffold_304077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLMLTKHFNIAPLSINLNTTIPSVELKSELSLPDPRSKYSVQFDINEVIHCDGVLLCYSQDDKSITWVWNPLTGEKRWIDTSNPRHGCRFFHIGYSRQGDSSNNNKSYKVLSCYRKINVFEMYDFNSGTWRSLGDISPGWCLLYSEVSVSLKGNTYMLAIDKTKTPLNVVSVLKIDYSTEKSVAVPLPYQCRTFETSCISVVREAKLSVLLQRDKRSKTEIWVSTKIDETTAKAVVVSWSKVFALDLSPDLEIPHEGSFLLHEEKKVLVYCDTLIDVEDEGDTKNIIYFVGEDNIVTTVDFGVDKVQVCWHAILNYVPSLNKIKRAQGKRKRGD >scaffold_304079.1 pep chromosome:v.1.0:3:22166731:22168952:1 gene:scaffold_304079.1 transcript:scaffold_304079.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin 2a protein [Source:UniProtKB/TrEMBL;Acc:D7L9G5] MVYSCEPTTTILQDETRSRKFGQEMKKEKRRVLGVINQNLVGAKVYPCVVNKRGSLLLSNKQEEEGCQEKKFDSLRPSITRSGVEEETKKKLKPSVPSSANDFGDCIFVDEEEATLDHPMPMSLERPFTSIIEADPMEEVEMEDVTVEEPIFDIDVSDAKNSLAAVEYVQDLYAFYRTMESFSCVPVDYMMQQIDLNEKMRAILIDWLIEVHDKFDLMNETLFLTVNLIDRFLAKQSVMRKKLQLVGLVALLLACKYEEVSVPVVEDLVLISDKAYTRNDVLEMEKTMLSTLQFNISLPTQYPFLKRFLKAAQADKKCEVLASFLIELALVEYEMLRFPPSLLAATSVYTAQCTLHGFRQWNSTCEFYCHYSEDQLMECLRKLVSLHQRAATGNLTGVYRKYNTSKFGYIAKCEAAHFLMSESHHP >scaffold_304080.1 pep chromosome:v.1.0:3:22172596:22173900:1 gene:scaffold_304080.1 transcript:scaffold_304080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoserine aminotransferase [Source:UniProtKB/TrEMBL;Acc:D7L9G6] MAASTNSFLIGNQTQIPSLKPKSISQSFIHFTKPNTINLTTRTTSISIRCASTTVGSEQRVFNFAAGPAALPENVLLKAQSDLYNWRGSGMSVMEMSHRGKEFLSIIQKAESDLRQLLEIPSEYSVLFLQGGATTQFAALPLNLCKSDDSVDYIVTGSWGDKAFKEAKKYCNPKVIWSGKSEKYTKVPSFDGLEQTSHAKYLHICANETIHGVEFKDYPVVKNPDGILIADMSSNFCSKPVDVSKFGVIYAGAQKNVGPSGVTIVIIRKDLIGNARDITPVMLDYKIHDENSSLYNTPPCFGIYMCGLVFDDLLEQGGLKEVEKKNQRKAMLLYNAIDESRGFFRCPVEKSVRSLMNVPFTLEKSELEAEFIKEAAKEKMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKDFQARHA >scaffold_304083.1 pep chromosome:v.1.0:3:22183136:22183386:1 gene:scaffold_304083.1 transcript:scaffold_304083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEAGRALPKFGEWDVNDPATADGFTVIFSKAGEDKKTGRSSTKTNSQRKQDGDKPAVKKWLCFTFT >scaffold_304086.1 pep chromosome:v.1.0:3:22194393:22195318:1 gene:scaffold_304086.1 transcript:scaffold_304086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKMIKNHVRSISLPSRSHPSTAAIEESLEKFIITMNTSTMTSSKSVYSGLSGLEDLYDCTEDLLKMGSTQRVLSFNDEKKKKKKKMMKGEFMEEMLDGSLRLMDICNVSRDLMVETHEHVLGLQSCVRRRKDFDVSGYVGFRKNMRKEVKKLLGSLKNINVGLVMKDHGYDQDGDIHLLAVIHAMRRVVSMTVSVLKSFLEFLSGRQNGNDIRSKLALVLMNKKFHDHDKMVKNELENVDSAICGDSISYDDLHVKLEEVEVWIRRFEKSLEELFRGMIRTRALLLNIISQ >scaffold_304088.1 pep chromosome:v.1.0:3:22201476:22204936:-1 gene:scaffold_304088.1 transcript:scaffold_304088.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L9H4] MTIKDESESCGSRAVVASPAQENPRHYRMKLDVYSEVLQRLQESNYEEATLPDFEDQLWLHFNRLPARYALDVKVERAEDVLTHQRLLKLAEDPATRPVFEVRSVQVSPRSSADSDPAVEEDAQSSHQPSGQGVLAPPTFGSSPNFEAITQGSKIVEDVDSVVNATLSTRPMHEITFSTIDKPKLLSQLTSLLGELGLNIQEAHAFSTVDGFSLDVFVVDGWSQEETDGLKDALSKEILKLKDQPGAKQKSISFFEHDKSSNELIPACIEIPTDGTDEWEIDVTQLKIEKKVASGSYGDLHRGTYCSQEVAIKFLKPERVNNEMLREFSQEVFIMRKVRHKNVVQFLGACTRSPTLCIVTEFMARGSIYDFLHKQKCAFKLQTLLKVALDVAKGMSYLHQNNIIHRDLKTANLLMDEHGLVKVADFGVARVQIESGVMTAETGTYRWMAPEVIEHKPYNHKADVFSYAIVLWELLTGDIPYAFLTPLQAAVGVVQKGLRPKIPKKTHPKVKGLLERCWHQDPAQRPLFEEIIEMLQQIMKEVNVVV >scaffold_304093.1 pep chromosome:v.1.0:3:22224591:22225833:1 gene:scaffold_304093.1 transcript:scaffold_304093.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7L9H9] MASSSSYRFQSGFCPLSSSPSLGNFVERIKDACHFLVSAVLGTIISAILTFFFALVGTLLGALTGALIGQETESGFIRGAAIGAISGAVFSIEVFESSLDLWKSDESGFGCFLYLIDVIVSLLSGRLVRERIGPAMLSAVQSQMGAVDAAFDDHTSLFDTGGSKGLTGELVEKIPKMTITGNNNTDASENRDPCSVCLQDFQLGETVRSLPHCHHMFHLPCIDNWLLRHGSCPMCRRDL >scaffold_304115.1 pep chromosome:v.1.0:3:22310177:22310415:1 gene:scaffold_304115.1 transcript:scaffold_304115.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAC3] MGIILPNTAISEAGTKLSETASLVDSTLTLGELSLPVSSNVISTPQGDTTSLEVTLVATDVADMV >scaffold_304119.1 pep chromosome:v.1.0:3:22361970:22362166:1 gene:scaffold_304119.1 transcript:scaffold_304119.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAC7] MDAKGENSLALFPSKFRERKYLQVYDISSSYGTLTTRFLFLYLCLVMSIC >scaffold_304120.1 pep chromosome:v.1.0:3:22367877:22369543:-1 gene:scaffold_304120.1 transcript:scaffold_304120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQHQHHHHQADQESGNNNNNKSGSGGYTCRQTSTRWTPTTEQIRILKELYYNSGVRSPTADQIQKITARLRQYGKIEGKNVFYWFQNHKARERQKKRFNGTTMTTPSSSPNSVMMAANDHYHQHHPLLHHHHGVPMQRPANSVNVKLNQDHHLYHHNKSYPSFNNGNLNHASSGTECGVVNASNGYMMSSHVYGSMEQDCSMNYNNVGGGWANMDHHYSSAPYNFFDRQKPLFGLEGHQEEEECGGDAYLEHRRTLPLFPMHGEDHINGGNGAIWKYGQSEVRPCTSLELRLN >scaffold_304122.1 pep chromosome:v.1.0:3:22380728:22381248:1 gene:scaffold_304122.1 transcript:scaffold_304122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLHFLAPSSTIINLKQQQSYTQTKFLLHRNNRRTLSINCVNSGGDDEKISQPLDGVEIRFKRGSRRKMREEGSGEGGGQNGKKKETVQKPWEEMTLNEKALELYVGEKGLLFWLNKLAYASIYIVIGGWILFRFVGPAFNLYQLDTPPLDPKNILKG >scaffold_304125.1 pep chromosome:v.1.0:3:22388945:22393022:-1 gene:scaffold_304125.1 transcript:scaffold_304125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRCHGWPFDVMTYNRNMFTISSLNPLIHEWSTCVKILHVWHELDDVSNALNLILMDNQHVEASAAVLSLDEFKDVLSDLGALSADKDYVLAVLYLRFVAAGMKPYPSDGLECDEILMNTNEDTLECINDIVISSWRLCPAYLRLRFVESLILYKSINVHTVWDACYRVLSGDVLAEQKIARNNPGLELSDVQLEWYGFRVMRKVMGDLGFHHAGFEGVRLGIVRRLLTYKCDDMSM >scaffold_304126.1 pep chromosome:v.1.0:3:22393397:22394492:-1 gene:scaffold_304126.1 transcript:scaffold_304126.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ79] MSSRFTSSSIERYNDFSRLNPAIVGWHVHVKVLRRFHTDDYISKGGLGLLLVDDKGNQIEALICSPLTSHYSTFIEEDEFYTIMNFRVVENSGFTKLTRSDFKIMFYDGTIVKEASSFSQDDYIVATPFGAIFNGYHDTSYLITLVGRVVESSDLTNVTDEPSVIGGYRYSFTIEDQEKKTLKCCAYGGVAIECHDRFRNVIGTDVTCVLRWWKVYQLLDGWRHVRIYSHPPYSKILVQSDADNVEHS >scaffold_304129.1 pep chromosome:v.1.0:3:22404223:22404601:1 gene:scaffold_304129.1 transcript:scaffold_304129.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7LAD8] MAIKRSSKATSSQAASIKQMVKRCSSLRKMKNVNGCYYNQEDDLPQDVPKGHFPVYVGPNRSRYIVPISWLDHSEFQTLLRLAEEEFGFDHDMGLTIPCDEVFFRSLISEFR >scaffold_304133.1 pep chromosome:v.1.0:3:22424473:22424675:1 gene:scaffold_304133.1 transcript:scaffold_304133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTVGYLNDGGWFSRLASVDLFSPLASSFPAVFGYYSKAGWLMSGIVWLP >scaffold_304136.1 pep chromosome:v.1.0:3:22433029:22433235:1 gene:scaffold_304136.1 transcript:scaffold_304136.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LAE6] MEGDFKGVSKPATDSFNLPILTSFLSFQCNFYVTNLFLPIILLLNRLCHCQKFT >scaffold_304144.1 pep chromosome:v.1.0:3:22501260:22501797:-1 gene:scaffold_304144.1 transcript:scaffold_304144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSGSTSSFGSNSGIRKLCECGLPAKVFKSKTDKNPNQRFFRCQLYKEGGNAHYKFFRWLDEEVIGWPKRALAEAQSVIKEKTEEIEELNETILELRGDLERQNLEISSINTKDEKISIKLGLQKRIDEMETIVYRQRIVIRGLTGLLVCVVSAIVFCIVSDV >scaffold_304145.1 pep chromosome:v.1.0:3:22520592:22520864:1 gene:scaffold_304145.1 transcript:scaffold_304145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELGFILITTFTAIVSCLTLSHALLHPSSVSHNVSRSSYYLTTNELWFNQTLDHDSPNVTSLHRFLSFFNLTLI >scaffold_304147.1 pep chromosome:v.1.0:3:22532193:22535072:1 gene:scaffold_304147.1 transcript:scaffold_304147.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LAF8] MPEIDLMRVDQCVSDVKLDSSSSPIEPPGFFDGGGSVDVDLKLDEQDSDCLKKRGRRGRPPRTLCKASSPPISRKRREDEDVCFVCFDGGSLVLCDRRGCPKAYHPACVKRTEAFFRSRSKWNCGWHICTTCQKDSFYMCYTCPYSVCKRCVRSSEYVVVRENKGFCGICMKTIMLIENAAEANKEKVQVDFDDQGSWEYLFKIYWVSLKEKLGLSLDDLTKARNPWKSSSSTVSKRRTTSRVHEMDDGNSPGVMKVRRAKVRKMEAVSVSNLGPSMDSNSSLGDRLPPLTSAATWATDELLDFVGYMKNGDISVLSKYDVQTLVLEYVRRNNLQNSPQNSEIMCDTKLMRLFGKERVDNLEMLKLLDSHFIDQVRSPVTDNSAGGVTETMSFQINASKSCNRLNTSEQHQEGESQQLNGHSIQVRPSSSDSRNHAVVKPDTCATLSNKPIDGLDTNMVWLYGDPDGKIHGPFSLYNLRQWNSSGHFPPELRIWRLGEQQNSSILLTDALNGQFHKTGLLQNRSIPKQDVTATIANDQNRPVAVPKIESRILDFSPNSVCTDQSVISSSNSVITRSSDVSNKSGNNFNRTVPLDFSLSNERDTVGSVSLWNKRKVESPLLVQSPISCSLSLATLPRNSNCSLPPHERWKAGQTDPDGNNAAMNAYNQNGSLNGTAEKQVTATVQSCGQNWNAATPSSASNMWDSNSGLTSFPDNQEIDFLDLFSPTFKFNFASTTTDWQPIVAGPDECDESVSDLLAEVEAMESQKRLPSPTSTFRGPEELLIRHSINDSFSPAEGHSPALDVSKGDSMSSTNDLQMHSRSNNTVHF >scaffold_304159.1 pep chromosome:v.1.0:3:22613853:22616703:-1 gene:scaffold_304159.1 transcript:scaffold_304159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNVDLDVCVLERPNVCSFKKRSCSKLSCSLTKKRRSSKVMSVEIFEDEHDAEEVKAVDAFRQALILDELLPDKHDDYHMMLRFLKARKFDLEKTKQMWSDMLRWRKEFGADTVMEDFEFKEIDEVLKYYPQGHHGVDKEGRPVYIERLGQVDSTKLMQVTTMDRYVNYHVMEFERTFNVKFPACSIAAKKHIDQSTTILDVQGVGLKNFNKAARDLITRLQKVDGDNYPETLNRMFIINAGSGFRMLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEIIDASELPEFLGGSCTCADNGGCMRSDKGPWNNLDIMKRVNNGDHKCSKRSQAENVADNTTSEENIGNDSAVEEAPETDQSLLSPCQNVVVAHPAWNIPEAHKFSLSKRDVYAIQEACKATNESGRSPIFTGVMAFVMGVVTMIRVTKNVPRKLTESTIYSSPVYCDENSMNKSSMHEGKMATTTISGDNFMAVMKRMAELEQKVTILSAQPITMPPEKEEMLNDAISRADFLEQELAATKKALDDSLGRQEDLVAYVERKKKKKKLVRVQINGYT >scaffold_304162.1 pep chromosome:v.1.0:3:22634929:22635329:-1 gene:scaffold_304162.1 transcript:scaffold_304162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHINMSYSTGLGFRTLVSNYLGLDGLNHPLCEEIEALIDSTEVTPAELAEELMQDDDTDVVLRGVVSFVENRKFETSKTKELEDSNCKLLDGDEKHNGSKKKKKGKGKGKGKALG >scaffold_304163.1 pep chromosome:v.1.0:3:22639929:22640265:-1 gene:scaffold_304163.1 transcript:scaffold_304163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSNFSFSPSSLFSAYASLTGFLMLFRSLFNDIVPERLRSYITDLLNRFLTPKSKNLTMVIDEMIGYKRNQVFDAAEMYLRNKIGPETARFRVGC >scaffold_304166.1 pep chromosome:v.1.0:3:22657220:22657865:-1 gene:scaffold_304166.1 transcript:scaffold_304166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLRTLSTRTRSRRSGYERVRNDSTFSLLGAKLRRSPSVPYYAPSIRLGGDFPAILEKLPRQKPNKTVVTSSLSHPIFSLFEGYRRHSKKKATANIVCTDFCIYIFLLFKSISID >scaffold_304167.1 pep chromosome:v.1.0:3:22659984:22660398:-1 gene:scaffold_304167.1 transcript:scaffold_304167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLRTLSTRTRSRRSGYDRVSDNSTFSLIGAKLRRSTSVPYYAPSIRLGGDFPAILEKLPRQKPTKTVVTSKLSHPIFSLFDGYRRRSKKKATAKPEFSRYHEYLKESGMWDLRSNSPVIYFK >scaffold_304168.1 pep chromosome:v.1.0:3:22661509:22661904:1 gene:scaffold_304168.1 transcript:scaffold_304168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKMKPTLFNERVATTLKSWHHTSMKQINYKTWKNFRIKPFSSRPTTPTHGSSPIHLLHNVPHKRSRSIDDSFVIRCLREETLISIHGIMNLNTKLLRLRIQIIVNDGGGANSDYD >scaffold_304169.1 pep chromosome:v.1.0:3:22661928:22662282:1 gene:scaffold_304169.1 transcript:scaffold_304169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIILQMPKTCISPKAPSKPHTHFPRSNYDSSPRQHLPLPKKNAQSWSSKAWKWCLSSFSDYFLRFSDLEFIQNHNKALCLSAGAGYPPMVLFQIGLAYVTAV >scaffold_304172.1 pep chromosome:v.1.0:3:22682031:22682569:1 gene:scaffold_304172.1 transcript:scaffold_304172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSGSSSTFRSLDGGRKVCDYGLPAKIYKSKTEKNPNRRFFGCQLYKEGSNAHCKFFRWFEEEELKRLPKSSLIEAEAEINAKNKMIDQLTVTIKELRDHLEKVKWEISSIDSDDDEKYSIDMGLKTKAKIKELEKIVHRQRVIITGLTGLLVCAIGVIVCS >scaffold_304179.1 pep chromosome:v.1.0:3:22703223:22704741:-1 gene:scaffold_304179.1 transcript:scaffold_304179.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 10 [Source:UniProtKB/TrEMBL;Acc:D7LBA6] MATESSESEEEGKIRGGNDKLIIDDDLREMGKNAAWSVSSCKPGNGVTTLRDDNLETYWQSDGLQPHLINIQFQKKVKLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKSVELVKPTGWVCLSLSGTDPRETFVNTFMLQIAILSNHLNGRDTHIRQIKVYGPRPNPIPHQPFQFTSMEFLTYSTLR >scaffold_304185.1 pep chromosome:v.1.0:3:22730142:22730967:-1 gene:scaffold_304185.1 transcript:scaffold_304185.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHB24 [Source:UniProtKB/TrEMBL;Acc:D7LBB2] MEVREKKDEKMEMTRRQSSALDHHRLPPYTYPQTADKEKPTTKRNGSEPDPDPDLDTNPISIAPAPRSYVRPQTTSPGKARYRECQKNHAASSGGHVVDGCGEFMPSGEEGTAESLRCAACDCHRSFHRKEIDGLFVVNFNSFGHSQRPLVSRHVSPIMMSFGGGGGAAESSTEDLNKFHQSFSGNGVDQFHQYQPKKRFRTKFNEEQKEKMMEFAEKIGWRMTKQEDDEVNRFCREINVKRQVFKVWMHNNKQASKKKDL >scaffold_304190.1 pep chromosome:v.1.0:3:22764740:22765821:1 gene:scaffold_304190.1 transcript:scaffold_304190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKKKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIVYQKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLAGSSLLLLANKQDIQGALTPDEIGKVLNLESMDKSRHWKIVGCSAYTGQGLLEGFDWLVQDIASRIYMLD >scaffold_304203.1 pep chromosome:v.1.0:3:22814481:22815524:1 gene:scaffold_304203.1 transcript:scaffold_304203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIPNRLYIYGDDSTNHHDFFPIEMNHNSKMVRSMFTAYDCSNHSDLFSSSPSISCYQNSHVSSSSFGFNNSHMPNHMMRRNIDYVSGTDYFPIKDNPHLTRVSFTQTITNRYSSIAPANTLDAVQYDIERVKRAMDSKPNIWNPTLHSPNFLDKQCQILTPEPLNVIFPRQNSVDRQHLNFFSLSSKHNHDQNICHEGRSLEKVSKPTTFSKKTNDYIHCEKNEKIDDDQYDGRTHSLPYEKYGPYTCPKCNGVFNTSQQFAAHMSSHYKGETNKERDQRLRARNKRKYRKLNPEVYGESQKIKPEDGVNRGRRSDGEIIQNLGIVKEEIV >scaffold_304204.1 pep chromosome:v.1.0:3:22817421:22818120:1 gene:scaffold_304204.1 transcript:scaffold_304204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIPNMLYIYGDDSTNHCDFFPIKMNHNYKMVRSMFTTYDCSNHRDLFSSSPFSAYQNSHVSSSFFGFNNSHMPNHMMRRNIDYVSGTDYFPIKDNPHLTRVSFTQTITNRYSSIAPANTVDAVQYDIEHVKRAMDSKPNIWNPILHPPNFLDKQCQILTPEPLNVIFPHQNSVDRQHLNFFSLSSKHNHDQNICHESQSLKKVPKPTIFFEKLMIL >scaffold_304207.1 pep chromosome:v.1.0:3:22831311:22831706:1 gene:scaffold_304207.1 transcript:scaffold_304207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQYLKLELGLGQPDPWNVRKTLTDFDVSNMARVIIPKIELEAEMGDDLIIGMDVSVEDVNREHPYSVTIKRGGNDSYYLGNGWTVIKNAKGLNKDDVIGLSWDKWNRKLDFKLLR >scaffold_304209.1 pep chromosome:v.1.0:3:22853481:22855387:-1 gene:scaffold_304209.1 transcript:scaffold_304209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKILPLFIFLSLVTLFICTDSFSDQNGVVPSSDSSFSSPLLVKKDQRTPIVATEYGEISAVQIGDGYHLQFITLEPNALLLPLLLHSDMVFFVHTGSGILNWIDEESERTLELRRGDVYRLRSGTVFYVHSNEDSDKLRVYVIFDVGKCLNDPCLGAYSSVRDLLLGFDDRTLRSAFAVRSVSLRFIYVHDSISSCCFTDCIVCGLTIWKVPEDILRKIREATKPPLIVNALPRNRTQGSGEDKWQSQLVRLFVRAEDVTDHLAMKPIVDTNKKKSRTFNVFEEDPDFENINGRSIVVDEKDLDALKGSRFGVFMVNLTKGSMMGPHWNPSACEISIVLQGEGMIRVVNQQSLSSCKNNSKSESFMVEEGDVFVVPKFHPMAQMSFENSSFVFMGYSTSSKTNHPQFLVGQSSVLKVLDPEVLAVSFNLSNETIKELLKAQKESVIFECASCAEGEFAKRMREIAERKRREEEEIERKRE >scaffold_304213.1 pep chromosome:v.1.0:3:22901937:22902140:-1 gene:scaffold_304213.1 transcript:scaffold_304213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFTALDHKPEVALSIDFDRRTGVQSKSWSSVAKKSQVEVSFHALDLIHHIV >scaffold_304215.1 pep chromosome:v.1.0:3:22913135:22915447:1 gene:scaffold_304215.1 transcript:scaffold_304215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLYGISISLILVNLATMMQRADEKLIPSVAKEVKETFNATLSDIGYLTFIRNIVQGLASPLAGLFVISYDRPTVFAIGSFCWVLSTFAAGASHYFIQVTLGVALNGFGHAIVYPVLQSIIADSFSDSSRGFGFGLWGLIGTVGGIGGTVVPTIMAGHDFLGTPGWRCAFILMATMSAIIGVLVFFFVTDPRTKKSSSFISHAHERDELMVHKAKNYDASTIMSSVWMESWVAIKDVTKLRTFQVIVVQGIVGSVPWTAMIFFTMWFELIGMRFDHNKTAALNGVFTTGHAIGYLVGGIVADKMSRIFPNSGRIMCAQFSVFMGAIFSIILLRMIPQSIDSYYIFLVTLFLMGLTITWCGPAINFPILAEIVPPKHRTMIYAFDRALEGSLSSFGAPLVGILSEKMFGFDSNGTDFIKDSGRAAEALSKGIFSMMAVPFGLCCLCYTPLYFLFQKDRKIARTPSSREIEMI >scaffold_304216.1 pep chromosome:v.1.0:3:22920217:22922261:-1 gene:scaffold_304216.1 transcript:scaffold_304216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESVSRRPNKRLFFFFFSSNHLSGKKKHVSCRRRFLCLLSIVVAGDSKAVSVSGAVLLPLDFSLKLPIGFGSTLHQRLSMENNLGSTSKTSDTWKDDKGFWSKLLKREEKICIKTMMSTHLGAVHKWGKVDRIRFVYLYVIAGLVIAKDEKKAIPVHYIKLVMDLEKLRAYPWDLHSFDYLVKSITNAKKDLKTLLII >scaffold_304221.1 pep chromosome:v.1.0:3:22935812:22936623:1 gene:scaffold_304221.1 transcript:scaffold_304221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLHTQASLKDGTVKSFDIRAADLSPSFTFHANDGEVSSISYNIHAPNLLAMGSADESVKLWDLSNNQPSWIATHLPNAVRGIVFSVSFSADCPFLLDVGGSEGKLKVWDTLSDNGVSRRYGSNRP >scaffold_304223.1 pep chromosome:v.1.0:3:22939977:22942060:-1 gene:scaffold_304223.1 transcript:scaffold_304223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRKENNVVPAVPAGFRSPLCSGWPCSSLSMACVSTQTIFLYLVIVFLSLHFVFATLKQRLSPAITRRLIRLLHRRNKFPAAASVFLRKDAREFLDSSTQIENKEDDFGFKPYMIRKAETINRALDKAIPLIEPLNIYKAMRYTIFAGGKRVRPILCLAACELVGGGERSAIPAACSVEMIHTMSLIKDDLPCMDNDDLRRGKPTTHKVFGESVAILSGGALLALAFEHLTEADVSSKKMVRVVKELAKSIGTKGLVAGQAMDLSSEGLDPNDFRLEDLEYIHVHKTGALLEASAVIGAVIGGGSEEEIEKVRSFARCIGLLFQVVDDILDETKSSEELGKTAGKDKVAGKLTYPRVIGLEKSKEFVKKLNRDAREHLQGFDEDKVKPLIALTNFIANRNN >scaffold_304226.1 pep chromosome:v.1.0:3:22966775:22967361:1 gene:scaffold_304226.1 transcript:scaffold_304226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTNNYRISGEPPSTSPSPPPPKPKTRILSVFLVGLIMFSIFFLFLVLIGIASVLILPLLLSSLHRHHRRRRRNRRQESSDGLSSRFVKKLPQFKFSEPTTYTRYESDCVVCFDGFRQGQWCRNLPGCGHVFHRKCVDTWLLKSSTCPICRARVRLREEDAHEGELWRCFGHRRRSLLDL >scaffold_304227.1 pep chromosome:v.1.0:3:22967772:22968536:1 gene:scaffold_304227.1 transcript:scaffold_304227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRLLEELERGEKGIGDRTVSYGMDNGDDIYMRSWTGTTIGPHNVVISFSLSTFVLISAT >scaffold_304235.1 pep chromosome:v.1.0:3:23018851:23019495:-1 gene:scaffold_304235.1 transcript:scaffold_304235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMCDPYTPAGVPIPTNKRHNAAKIFRHQSLPPKSFDSYKLDYCYKKNRITGLILSKEIKPLISTVFEGKDANVIAHGARNCGKTYLIHVLKAISLRY >scaffold_304236.1 pep chromosome:v.1.0:3:23037988:23039516:-1 gene:scaffold_304236.1 transcript:scaffold_304236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYITKYFFFSTLLIVFVFLKAEHCADAEVIYKFLEVNEIDKTTVVSGDDLFVHQSISSEKFRSLAVDEAVEFKVEVDNASRLKTVEVSGPEGAPVQ >scaffold_304238.1 pep chromosome:v.1.0:3:23051453:23051643:-1 gene:scaffold_304238.1 transcript:scaffold_304238.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCU5] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_304243.1 pep chromosome:v.1.0:3:23110950:23111421:-1 gene:scaffold_304243.1 transcript:scaffold_304243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMRSLRTMGLWHCMVYVVVLSSIAATVTSYPYSSPQTPSYNSPGHKHKGPKYAPHPKPYVYSSPPPPYYFPSPKVDYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYKTPYY >scaffold_304246.1 pep chromosome:v.1.0:3:23138080:23139085:-1 gene:scaffold_304246.1 transcript:scaffold_304246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDEDSVTENHLDTNLYLGFPQQQNPVNEQNGSVPQEQAPVEDQGQGQGQFMQLLLSDSPQNIQPSPSQPHQMTLLEDFTANGTNTLIVPTQSAPPLSDSAVAAPWRDQPSEQLPHPPEVNQVATVASETRRRGRPPGGQARRISTRAAAAVDSNVDNREMSEIIPPYPWATKKPGEIQSIRDLSSKNVNVISGLVHCKTCDKTYKLDYNLMEKFSELYEYIKVNKEVMRQRAPAIWSTPKLIPCGTCKSEMKPVMSARKEEINWLFLLLGQMLGCCTLDQLKFFCQLNSKHRTGSKDRVLYITYLGLCKQLDPEGPFSL >scaffold_304247.1 pep chromosome:v.1.0:3:23139856:23144938:1 gene:scaffold_304247.1 transcript:scaffold_304247.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit gamma [Source:UniProtKB/TrEMBL;Acc:D7L137] MAQPLVKKDDDHDDELEYSPFVGIEKGAVLQEARVFNDPQLDPRRCSQVITKLLYLLNQGESFTKVEATEVFFSVTKLFQSKDTGLRRMVYLIIKELSPSADEVIIVTSSLMKDMNSKIDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVSSAALVSGLHMLKTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVGTLTRGAVRSPLAQCLLIRYTSQIIRDMSNHGQSGERPFYDFLESCLRHKAEMVILEAARAITELDNVTSRELTPAITVLQLFLSSPKPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVERLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEFKRAIVDSIVTIIRDIPDAKENGLLHLCEFIEDCEFTYLSTQILHFLGIEGPNTSDPSKYIRYIYNRVHLENATVRAAAVCTLAKFGFMVEALKPRITVLLKRCIYDSDDEVRDRATLFLSILGNDGLVDTEKDSIEFLFGSLEAPLVNLETSLKNYEPSEEAFDVDSVPKEVKSQPLAEKKAKGKKPTGLGAPPPAPVSGFDAYERVLSSIPEFATFGKLFKSSSPVELTEAETEYSVNVVKHIFESHVVFQYNCTNTVPEQLLERVNVIVDASEAEEFSELSSKPLNSLPYDSPGQAYVAFEKPEGVPAVGKFSNTLTFIVKEVELSTGEPLDDGVEDEYQLEDLEVVAADYMVNVGVSNFRNAWENMDEGNELVDEYGLGPRDSLGEAVKAVTDLLGMQSCEGTETVASNARSHTCLLSGLYIGNVKVLVKAQFGMDSSKEIVMKLAVRAEDPSVSDAIHALVANG >scaffold_304248.1 pep chromosome:v.1.0:3:23146513:23148364:-1 gene:scaffold_304248.1 transcript:scaffold_304248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQARTYTDTLDPPTTGMLAHKDIEKSNKEEEEEDCVWSFFTSPQLENSYEKSSSTLVAAAEFYVRFSPDNLWISHFYDLKYFSIGYAAGLLYLYGNRYQARPVICNPITGRYVLSMVYPSGPGDSKILTFGDGDLRWREIKCPVRYDRHDIMSEGICINGVLYYLGSPNDEISDYVIVCFDVRSEKFTFIDVERFCRLINYKGKLAVIYWEDDVDIYELYYQEGIDVDKYVEENLEADAINELRLWILEDVEKQEWSKYAYTWTDDKFFRRLVSIGGVTASGEVVFSMRKYTTKQPFYVFYFNLERNTLQRVEIKGFGEAFKENCRVCTFVNHAEDLNVNDLKQLKSVHPPLVDK >scaffold_304249.1 pep chromosome:v.1.0:3:23149444:23150989:-1 gene:scaffold_304249.1 transcript:scaffold_304249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCSLSASSSLLINIWLESNNCRKVSSTAASFHVKFSPDNLRINHYYNLRYFSIGYASGLIYIYGDRHQARPLICNPNTGRYAILPYRYTYRKAYSFFGFEPIDKQYKVLSMAYPCGPGHDKVLTFGAGDMTWRRIKCSLRHDAYSEGVCINGVLYYLGDTSDCYNDGHDVTSRYVIVCFDLRSEKFTFIGVERSCGLINYKGKLAVIFWEDYDDFYSEDGDAELRVWVLEDVEKHEWSKYAYTWTDGKFFRRQVSMVGVTTSGDIVFSMCKYTFEEPFYVFYLNPERKTLQRVEIQGFGEVFKETCSVCTFVNHVQNSNVNHLKLLQSEHPPLVEPEYYGQSESDSE >scaffold_304250.1 pep chromosome:v.1.0:3:23151321:23151567:-1 gene:scaffold_304250.1 transcript:scaffold_304250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRAQWGSSWEVAISGKKSWNVVYLGRFGAFSSGWRCVVKEYPLVVGDTCKFTFIKPDELLLVVSKP >scaffold_304251.1 pep chromosome:v.1.0:3:23153176:23153651:-1 gene:scaffold_304251.1 transcript:scaffold_304251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDIYQARPVICNPITGRYAILPDRYTYRKAYSFFGFDPIDKQYKVLSMAYPFGPGHHKILTFGDGDMTWRKIKCTLRHESRSEGICINGVLYYLGDTSQCVHYNAHCVTSRYVIVCFHVRSEKFTFINVERFCRLINYIRAI >scaffold_304253.1 pep chromosome:v.1.0:3:23173405:23173640:-1 gene:scaffold_304253.1 transcript:scaffold_304253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEIHRSMLNITIPPCIKTFCKISFKKDRWCCFGPRAKKERCWKEEDYPNAKELCYARCIIE >scaffold_304257.1 pep chromosome:v.1.0:3:23196506:23198083:1 gene:scaffold_304257.1 transcript:scaffold_304257.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7L149] MEAANEPVNGGSVQIRTENERRKLPNFLQSVNMKYVKLGYHYLITHLFKLCLVPLMAVLVTEISRLTTDDLYQIWLHLQYNLVAFIFLSALAIFGSTVYIMSRPRSVYLVDYSCYLPPESLQVKYQKFMDHSKLIEDFNESSLEFQRKILERSGLGEETYLPEALHCIPPRPTMMAAREEAEQVMFGALDKLFENTEINPRDIGVLVVNCSLFNPTPSLSAMIVNKYKLRGNVKSFNLGGMGCSAGVIAIDLAKDMLQVHRNTYAVVVSTENITQNWYFGNKKAMLIPNCLFRVGGSAILLSNKGKDRRRSKYKLVHTVRTHKGAVEKAFNCVYQEQDDYGKTGVSLSKDLMAIAGEALKANITTLGPLVLPISEQILFFMTLVTKKLFNAKLKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLSQTHVEASRMTLHRFGNTSSSSIWYELAYIEAKGRMKKGNRVWQIAFGSGFKCNSAVWVALHNVKPSVSSPWEHCIDRYPVKLDF >scaffold_304259.1 pep chromosome:v.1.0:3:23209662:23210944:-1 gene:scaffold_304259.1 transcript:scaffold_304259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSLLPKDILELIAGRFKTCFEIVHLRSVCSSWWCALPPKISLGSLGKRSLLPIFNDNPRFEGDEHCILKKIPVFLLRFETPFGNDYLLAAMSEKKSGKQRLLSPLENTYKYKYGVTLNTLSSQIIPLGQYYKVQFYAITTRRYRTRRECYSKRVAFLPMDSEDGRDFAVVAGVLGDLMMYRSCDKKWTLIQGRFKSYRDIVSFKGKFYVVDTSGRGHVFVIEPSFKVTEISSVTQSHETFDERLVVSGDELLLVQRFTPGKYGDEHMHTWFRLFRLEEEGQRRWVRVNDLEDQFVFLGIDWNLCYSSKELSGMKRNCIVVIDPKTVFERNRVFDLGTRKTSKAVTECRGYMGAFGENQESLASCGIMIMPNPKVGTYDTSIESE >scaffold_304261.1 pep chromosome:v.1.0:3:23220583:23220773:1 gene:scaffold_304261.1 transcript:scaffold_304261.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L153] MAMNHLVSTIQVPVDLLSDLIGDFIGRGSLTGLLICLSIWALNLFAQSL >scaffold_304264.1 pep chromosome:v.1.0:3:23244541:23244838:-1 gene:scaffold_304264.1 transcript:scaffold_304264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKRQSQRTETAVPHTRKGNDKFLWRNIRVRSPLVPRAKVVWNKECVPRFSLIAWMSLLARFPKRMG >scaffold_304265.1 pep chromosome:v.1.0:3:23245539:23245818:-1 gene:scaffold_304265.1 transcript:scaffold_304265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTSCSLLSLLFLLLLLILDSADLTVSSYCLRNCGGIKIPYPFGIGMGCYLEHSYEIECVNSYECSISYECSISYNY >scaffold_304266.1 pep chromosome:v.1.0:3:23250139:23252754:1 gene:scaffold_304266.1 transcript:scaffold_304266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTSCSLLSLLFLLLLLILDSADLTVSSYCLRNCGGIKIPYPFGIGMGCYLEHSYEIECVNSSSIPGKLVPFLSAIGKEVVDISFPQVLPSSNYVGLEEGSVRIKNKITSKGCSSDGEEHVSLLNLTGTPFYVSRNNILIASGCNNMASLTNVEPILVGCKSSCSATNRTASKDYLAELSCDKFCSRTNETIFNQKGCNGFQCCEANMPTQIQQIVGVRIDSTTTTGGCKVAFLTDEEYSFLNGSDPKRLHAKGTSTVELGWFIHTSNRSYVDSLGCQSYETMSRLKREGYNAYESTFGISCFCDYNAYLSYARCSCNDGYQGNPYLQGGGGCKDVNECLEKDYDGNYKCMGGTCVDLQGHYECEYKKHRPLAIGFGSSFGSLIFIVGIYWLYKFMRKQKRLKQKKKFFKRNGGLLLQQQLTATEGNVEKTKVFTSRELEKATENFNLNRILGQGGQGTVYKGMTLATYFIFAMKHNTLFDIIDPRIRDGCKLNQVTAAAKIARKCLNLKGRKRPSMREVSMELEKIRSSSGDMQPDEYVSENEEEREEGVVEVNIEVESWNDVAVTAPTYQCNNAASSSLWSDVEPLFPLQTL >scaffold_304270.1 pep chromosome:v.1.0:3:23277271:23277848:-1 gene:scaffold_304270.1 transcript:scaffold_304270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLADSRHQRRIKFHQHLLNQLNQVAASRKRSKGKQKEKVNNMVLFDQATYDKLMSEAPKFKLITPSILSDGSMGRLQGRR >scaffold_304271.1 pep chromosome:v.1.0:3:23279498:23280202:-1 gene:scaffold_304271.1 transcript:scaffold_304271.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L1U9] MAKEMVTLALIFTIVFNAFSPAIAEKRNFKPLSEGEIVWATNEDEQAPARRYGPWIRQPIFSFPGNTICNRWNSGVSCANCDRYCKQAYPWPNAYGRCEGFIYKTCHCYYDCPY >scaffold_304283.1 pep chromosome:v.1.0:3:23351078:23352888:-1 gene:scaffold_304283.1 transcript:scaffold_304283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSKRLALLGAQSAITLAKPRGLVSSLGLLNRRQFPYRQYSELTKANGRRAFLVDTLALVRSLEAQGVPSKQAEAITSAITEVLNDSLENVSESFVSKAEMQKIEMIQDSNLSKFKSEVKSSQEHHFTVLQRETEKLRGDIEKMRSELRYEIDKVTAGQRLDLNLERGRIRDELANQNTETTNLTNKLDREIHALRAQLEASKYEVIKYCIGTLVSISAVGLAVLRIMI >scaffold_304285.1 pep chromosome:v.1.0:3:23355086:23355624:1 gene:scaffold_304285.1 transcript:scaffold_304285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYATSFIVVCLLILLVTNHVNGQGKTKCLAKLEGYGSCGPNGSKLCLNELEQDPKHSKFRKVANGCECQDRGKRKEFNMGLSHSCVCYSSRSCEGPINGIGSSE >scaffold_304287.1 pep chromosome:v.1.0:3:23361346:23361601:1 gene:scaffold_304287.1 transcript:scaffold_304287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLVKKKQHETTLVDLGDDEKKKESGFIVPQTVPKDSWLTKGLETTTNRYGIKPVDTGMGSTVVMDMRSK >scaffold_304288.1 pep chromosome:v.1.0:3:23362578:23362803:-1 gene:scaffold_304288.1 transcript:scaffold_304288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMSKRLALLGSQYVARTRGHGSSYAMIDRRPFPYRQFSDLSEEFSGNTHLLIVLTMLLN >scaffold_304290.1 pep chromosome:v.1.0:3:23379223:23381376:-1 gene:scaffold_304290.1 transcript:scaffold_304290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase [Source:UniProtKB/TrEMBL;Acc:D7L1W8] MPALAFVDTPIDTFSSDCSVFTPSSASAAVVDWSPSLSSSLYRIDGWGAPYFTANTSGTISVRPHGSNTLPHQDIDLMKVVKKVTDPSGLGLQLPLIVRFPDVLKNRLECLQSAFDYAIQSQGYGSHYQGVYPVKCNQDRFIIEDIVEFGSSFRFGLEAGSKPEILLAMSCLCKGNPEAFLVCNGFKDSEYISLALFGRKLELNTVIVLEQEEELDLVIDLSQKMNVRPVIGLRAKLRTKHSGHFGSTSGEKGKFGLTTIQIVRVVRKLSQVGMLECLQLLHFHIGSQIPSTALLSDGVAEAAQLYCELVRLGAHMKVIDIGGGLGIDYDGSKSGESDLSVAYSLEEYAAAVVASVRFVCDQKSVKHPVICSESGRAIVSHHSVLIFEAVSAGQQHETPTDLKFMLEGYSEEVRNDYENLYGSAMRGDRESCFLYVDQLKQRCVEGFKEGSLSIEQLAGVDGLCEWVIKAIGASDPVLTYHVNLSVFTSIPDFWGIDQLFPIVPIHKLDQRPVARGILSDLTCDSDGKINKFIGGESSLPLHELDNNGCSGGRYYLGMFLGGAYEEALGGVHNLFGGPSVVRVLQSDGPHGFAVTRAVMGQSSADVLRAMQHEPELMFQTLKHRAEEQRNNNNKAGGDKGNDKLVVASCLARSFNNMPYLSMETSTNALTAAINNLGVYYRDEAAAGGGGGKGKDEKWSYFG >scaffold_304291.1 pep chromosome:v.1.0:3:23391439:23391652:1 gene:scaffold_304291.1 transcript:scaffold_304291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQQTLAGYSSSTLRMDLARTELSRLALMLNRGCPSSSYSELTQVEREDEAEAGFL >scaffold_304309.1 pep chromosome:v.1.0:3:23515100:23515371:-1 gene:scaffold_304309.1 transcript:scaffold_304309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWVICLLVISAAVTAEPVESRKYIEDGVINKCAGPNPPPGCHPPGAEDKKPTPVNEYSRGCSKIHRCRPARLSP >scaffold_304310.1 pep chromosome:v.1.0:3:23518596:23518854:-1 gene:scaffold_304310.1 transcript:scaffold_304310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWVICLLVICAVVIAEPVESREYIEYGAINKCAGPNPPPGCNPPGAEQKNPTPVNEYSRGCSKIHRCRRD >scaffold_304313.1 pep chromosome:v.1.0:3:23539889:23540260:-1 gene:scaffold_304313.1 transcript:scaffold_304313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRSTILTNSFVFSVDSSYLQPTNVPVLVVFSDQRCRRSMENSNVYISESSFGSIIFRFLICFFSLERLDNDGFADLSDCISLAFDLNIWTKNLMFCVRHWFSKSLIS >scaffold_304315.1 pep chromosome:v.1.0:3:23546725:23549000:1 gene:scaffold_304315.1 transcript:scaffold_304315.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7L1Z0] MKYQGSFSIVALFLILFSSSVFAQLQTNFYRKSCPNVETIVRNPVRQKFQQTFVTAPAILRLFFHDCFVRGCDASILLASPSEKDHPDDKSLAGDGFDTVAKAKQAVDRDPNCRNKVSCADILALATRDVVVLTGGPNYPVELGRRDGRLSTIASVQHSLPQPSFKLDQLNTMFARHGLSQTDMIALSGAHTIGFAHCGRFSKRIYNFSPKRPIDPTLNTQYALQLRQMCPIRVDPRIAINMDPTSPNTFDNAYFKNLQKGMGLFTSDQVLFSDQRSRSTVNSFASNEATFRQAFILAITKLGRVGVKTGNAGEIRRDCSRVN >scaffold_304316.1 pep chromosome:v.1.0:3:23553778:23553968:-1 gene:scaffold_304316.1 transcript:scaffold_304316.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCU5] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_304320.1 pep chromosome:v.1.0:3:23595399:23596199:-1 gene:scaffold_304320.1 transcript:scaffold_304320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKASRFKSKDGGCEKEEYVVPGKSLQLGHITQFTKTVHSAASGYNITLKAKDPQESSYQTFKAQVNEKKYARLVLVCTSSGPPEEGVANLKILKVAMEITPHLEEEEPFYKGLGAYDAIFYIRYKDPCKARAGDDVDSVAIVRRVVDVHLETFILVGRTHLLPQQNHPYAV >scaffold_304324.1 pep chromosome:v.1.0:3:23613817:23614940:1 gene:scaffold_304324.1 transcript:scaffold_304324.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7L2S9] MPINRDPSTPPPVIGKIGPYTVFMTPPATPKPPESPSAVSQKPVMAPPVLPPPQQFKSVASSEQDGSVLGFFKNAVTKVQNAHSSVDDHLVRWFGLNQSKYQWALDEYYESKESDMKTVKAKEMPGKVQSV >scaffold_304326.1 pep chromosome:v.1.0:3:23622470:23625740:-1 gene:scaffold_304326.1 transcript:scaffold_304326.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7L2T1] MKYIRSNSLKRLFSFKRRSFDSDSSENSTPHTASSTKCVEGFQETEQFQRPKWKCFSFEEIYDATNGFSSENLVGRGGFAEVYKGILSRNGEEIAVKRITRGGRDDERREKEFLMEIGTIGHVSHPNVLSLLGCCIDNGLYLVFIFSSRGSVASLLHDLNQAPLEWETRYRIAIGTAKGLHYLHKGCQRRIIHRDIKSSNVLLNQDFEPQISDFGLAKWLPSQWSHHSIAPIEGTFGHLAPEYYTHGIVDEKTDVFAFGVFLLELISGKKPVDASHQSLHSWAKLIIKDGEIEKLVDPRIGEEFDLQQLHRIAFAASLCIRSSSLCRPSMIEVLEVLQGEDIEKEKWKMEEEEEVKEEFWGYEDLEDCECDSSISLSPPDSISNRSSSHRSR >scaffold_304327.1 pep chromosome:v.1.0:3:23631255:23633902:-1 gene:scaffold_304327.1 transcript:scaffold_304327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHKGAAGDSMGSSKMSFDQKRQLVWKLSKESERAFKEVLKDWSCNEIRELLRAESGKYIKYTGLTKEEIIIRLFNIVSKKNTGGYEVEEERNPSPKRQRKDLDPLHYVTPLAKAKGKGTMYCQNLACQAKLREEATFCQRCTCCICYKYDNNKDPSLWLTCNSDPPLDGESCGLSCHLVCAFNNENSGLKEDTPSSNIDGCFSCVFCGKENSKIECLKKQLIIANEERRVGVFCFRILMAHKLLKGTNKYTLVSKEVEEAVKHLETEFGVPITGLPSELSRGLVNRLCCAKKVKGHCSSALKELDSLPLPSTIQGSLKIKIESVLATSVTFIMDVEESFSWGDTNHYRMFHRKATERYYSEHLTRELFSVTSYPRFNVLELTPATEYCFKIVSFSGVEEVSVDEFRVSTQTLQEEEDDKEAAAVLMNMPNCENLSLPPPPSLETDRQENGNKMERFGLEQCVKLIRQLECSGKVKSDFRVKFLTWYSLRATSKEKHIVEICVDTFKDDTVALAEKLIDIFSDCISRKRPAIGGGSSGGGDCESAGLCLMLLSIDYRRSDDKH >scaffold_304333.1 pep chromosome:v.1.0:3:23677781:23678024:1 gene:scaffold_304333.1 transcript:scaffold_304333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKDVSAKASSVAQSSSTPSSGTAPGPGNMVAPGSGGSTHIPRDAFEANSKAYFDNLHAKVKANK >scaffold_304336.1 pep chromosome:v.1.0:3:23694490:23695039:-1 gene:scaffold_304336.1 transcript:scaffold_304336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSSNCIEIYSDFTVFVSFDLYSCAVPLTNLFLFFLFLCYVGGFCVFHLWQLLSSVCNGIIKKKVGIKPSVLRSIPVVDFNPPAFRYGVECVFCLSEFVDRDKIRILPNCNHCFHVVCIDRWFQLYSTCPIYSKRVGMRHNLAATTTRVWLKITTVVTHYTNLGKL >scaffold_304343.1 pep chromosome:v.1.0:3:23721713:23724296:-1 gene:scaffold_304343.1 transcript:scaffold_304343.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein [Source:UniProtKB/TrEMBL;Acc:D7L2U6] MQTRYMERTNSMREKRKLDEDDNQQQQQQPERKRPALASVIVEALKMDSLQRLCSSLEPILRRVVSEEVERALAKLGPARLSERSSPKRIEGIGGRNLQLQFRSRLSVPLFTGGKIEGEQGAAIHVVLLDMTTGHVLTVGPEASAKLDVVVLDGDFNTEDDEGWSGEEFEGHLVKERQGKRPLLTGDVQVTLKEGVGTLGELIFTDNSSWIRCRKFRLGLKVSSGYCEGMRVREAKTEAFTVKDHRGELYKKHYPPALDDEVWRLEKIGKDGAFHKKLNKAGIYNVKEFLRLMVKDSQKLRTILGSGMSNRMWETLAEHSKTCVLSEMLYVYYPEDSVGVVFNNIYEFSGLISGKQYYPADSLSDNQKGYVDGLVRKAYENWDQVIEYDSKSLMNFNQVSKTDAIDYSMPVSVPSQPSTSYSDVTVEAYNESPASSFPGQSQLADTTYMHFGNSSFAPQDHLVNNTHESQSMINSNSGVRLALGPATGSQNQQLVQPPPEINSYNDWSNTCNRGVDGFLSEEEIRARSNEMLENDDMQQLLRLFSMNGGDQQTPMNMGEDGFGFHSFGQTAIADYEEDRSNSGKAVVGWLKIKAAMRWGFFIRRKAAQRRAQIVQLDDDDE >scaffold_304344.1 pep chromosome:v.1.0:3:23725489:23727052:-1 gene:scaffold_304344.1 transcript:scaffold_304344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRITGFDEYMNLVLDEAEEVSIKKNTRKPLGRILLKGDNITLMMNTGK >scaffold_304346.1 pep chromosome:v.1.0:3:23756124:23757204:-1 gene:scaffold_304346.1 transcript:scaffold_304346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSLPDDIIVDILAHVSRWDYPTLSLVSKHFRSLVSSSELYARRSLLGYTEACIYSVLFNYDTERFHLYVLRRRLKRNNNSCYFVLIESLPPMPSNVSFVSIGSKIYVLSGDSSTTPYSIDCRSHIVQPYSRIPHGSKAIQIVDVIDKKIYVMGKCDHDDAKKVMLVFNTETQRWEPEMTTKPSLELRRFWFERVVIADKMYVRDYHYSQESFVYEPKEDKWELDEMLNSNKWYRGCVLDDLLYYYDPFENKLRAYDPKHRCWKVVKGLEEFLPHIAFPWSDTVSYGWKLAVFFITCENWVIRREIWCAEISIERRQGEIWGKVESYDVVFSWKFSYNKTLAVMV >scaffold_304347.1 pep chromosome:v.1.0:3:23762341:23764947:-1 gene:scaffold_304347.1 transcript:scaffold_304347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQLLLSVAPFSIFPVTNELEIEVAAGTYLEQSQVKIMGASADNENQGKTVVDINLVPLGEKFDNTTATLIYQRFRHKKVPLNETVFGDYEVTHISYPGIPSSSPNGAVTADAPGGFPIPINATFANKSEGIGFRTIAIIALSGFVLILVLVGAISIIVKWKKIGKSSNAVGPALAPSINKRPGAGSMFSSSARSSGSDSLMSSMATCALSVKTFTLSELEKATDRFSAKRVLGEGGFGRVYQGSMEDGTEVAVKLLTRDNQNRDREFIAEVEMLSRLHHRNLVKLIGICIEGRTRCLIYELVHNGSVESHLHEGTLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSQHISTRVMGTFGRRTYSDYPGSNFRYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRRPVDMSQPSGEENLVTWARPLLANREGLEQLVDPALAGTYNFDDMAKVAAIASMCVHQEVSHRPFMGEVVQALKLIYNDADETCGDYCKLLTGRRPVDMSQPSGEENLVTWARPLLANREGLEQLVDPALAGTYNFDDMAKVAAIASMCVHQEVSHRPFMGEVVQALKLIYNDADETCGDYCSQKDSSVPDSADFKGDLAPSDSSWWNLTPRLRYGQASSFITMEYSSGPLEDMENRPHSASSIPREGGLILPNRSGPLRPMRSRRNFFRLRGSMSEHGGPSSSRHLWSGNGDWL >scaffold_304348.1 pep chromosome:v.1.0:3:23766204:23767295:-1 gene:scaffold_304348.1 transcript:scaffold_304348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSLPDDIIVDILAHVSRWDYPTLSLVSKHFRSLVSSSELYARRSLLGYTEACIYSVLFNYDTGRFHLYVLRRRLKRNNNSCYFVLIGSLPPMPSNASFVSVGSKIYVLSGNSRSIPYNIDCRSHILQPHSKIPHGPEAIKIVDVIDKKIYVMGKCDHDDAKKVMLVFNTETQRWEPEMTTKPSLELRRFWFERVVIADKMYVRDYHYSQESFVYEPKEDKWELDEMLNSNKWYRGCVLDDLLYYYDPFENKLRAYDPKHRCWKVVKGLEEFLPHVAFPWSDTVNNGWKLAVFFITCENWAIRREIWCAEISIERRQGEIWGKVESYDVVFNWNFRYKKTLAVMV >scaffold_304349.1 pep chromosome:v.1.0:3:23771764:23772316:-1 gene:scaffold_304349.1 transcript:scaffold_304349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTVVNLAHFVETLRFISGMEKIRLKDTQEGVVFGNLDSVFSKMLHQMGLVFPCSTAVFINSFEELDPTILFFFCSKITSLF >scaffold_304353.1 pep chromosome:v.1.0:3:23800531:23803179:-1 gene:scaffold_304353.1 transcript:scaffold_304353.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7L2V7] MDDELEGLRAHFPVSFGKTSKVSASTEAIHSATRRTDVATDAGVSSDFTTKKPDSSKSESGFPSLSSSSETWLRSVRGPKRNPNSSSLAPSDDDIAMGPPPSKPTQEEDEEDDGVMMGPPPPPKGLGNSNDSDDDEDMIGPPPPPRAAIDSDEDSDDDDANDNEENRYQIPLSNEIQLKGHIKIVSSLAVDNAGARVLSGSYDYTVRMYDFQGMNSRLQSFRQIEPSEGHQVRSLSWSPTSGHFLCVTGSAQAKIFDRDGLTLGEFMKGDMYIRDLKNTKGHICGLTCGEWHPRTKETVLTSSEDGSLRIWDVNNFLSQTQVIKPKLARPGRVPVTTCAWDREGKRIAGGVGDGSIQIWSLKPGWGSRPDIYVGKAHTDDITSVKFSSDGRILLSRSFDGSLKVWDLRQMKEALKVFEGLPNYYPQTNVSFSPDEQIILTGTSVEKDSTTGGLLCFYDRTKLEIVQKVGISPTSSVVQCAWHPRLNQIFATSGDKSQGGTHILYDPTQSERGACVCVARAPRKKSVDDYQPEPVIHNPHALPLFRDAPSRKRQREKALKDPIKAHKPEIPMTGPGHGGRVGTTGSSLLTQYLLKQGGMIKETWMEEDPREAILKYAEVAVKDPKFIAPAYSQTQPETIFAKSDDEEEEGDTKK >scaffold_304354.1 pep chromosome:v.1.0:3:23807284:23809936:1 gene:scaffold_304354.1 transcript:scaffold_304354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQLREYGHRMVDFIADYYKTIEDFPVLSQVQPGYLHKLLPDSAPDHPETLDQVLDDVRAKILPGVTHWQSPSFFAYYPSNSSVAGFLGEMLSAGLGIVGFSWVTSPAATELEMIVLDWLAKLLNLPEQFMSKGNGGGVIQGSASEAVLVVLIAARDKVLRSVGKNALQKLVVYSSDQTHSALQKACQIAGIHPENCRVLKTDSSTNYALRPELLQEAVSQDLDAGLIPFFLCANVGTTSSTAVDPLAALGKIANRNEMWFHVDAAYAGSACICPEYRQYIDGVETADSFNMNAHKWFLTNFDCSLLWVKDQDSLTLALSTNPEFLKNKASQANLVVDYKDWQIPLGRRFRSLKLWMVLRLYGSETLKSYIRNHIKLAKEFEQLVSQDPNFEIVTPRIFSLVCFRLVPVKNEEKKCNNRNRELLDAVNSSGKLFISHTALSGKIVLRCAIGAPLTEEKHVKEAWKVIQEEASYLLHK >scaffold_304362.1 pep chromosome:v.1.0:3:23843509:23845556:1 gene:scaffold_304362.1 transcript:scaffold_304362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNDDDDDANSMENRLLRSIPNDDDDDANSMENRLLRSIPRELSHTSSLIPPSPNPSEAAEMSFNSEHIQVMENPYHLLSDNGGAVGHIFSSDLHNPFVEPGFNIASPTSFVPETSDWIPSPLPDIFDFPSGSPNQIMDDGVIDEIHKQSDLPVWDDHLITDGDSLMSSVLEDLLLDTNFNSASKVQQPSMQAQIQQPQVVMQQPSPYAEMRPLVRTVSSNSNNNNNSNNNAAAAAKGRMRWTPELHEVFVDAVNQLGGSNKATPKGVLKHMKVEGLTIYHVKSHLQKYRSAKYTPEPSEGPPETKLTPLEQITRRGIDVTEALRIQMELQKELHEQLEIQRTMQLRIEEQGKALLMMFEKQNMGFDKPEQEEKRSVKTSENGLEESDSPRPKRPRNEE >scaffold_304363.1 pep chromosome:v.1.0:3:23846521:23848142:1 gene:scaffold_304363.1 transcript:scaffold_304363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGNYKNPCLTMHQPWASLLVHGIKRIEGRSWPSPIRGRLWIHAASKVPDEATIKAMEEFYQEIYAVDGITDIQFPQHYPVSRLIGCVEVVGCVTSDELQNWDALPQGVRLEGQTNFCWLCEQPQKLIIPFEMRGYQGVYNLENKIYVAAARGLMPSQNSFKVKFPLPDPKDPFSLKPGSIPCTIQEKKALDSEQVTSLTAAIAGAKAAATQFSKKGQSLQTNNIFDYTTRSKRKGIEDDASESLDNPVLGSGGTPDRTYTTRSKNKGTQMGEEVCSESSSSRVESSQRSVVTKREDRNTSIGERRFDPGSARIMAAAIRNLKPPS >scaffold_304367.1 pep chromosome:v.1.0:3:23857395:23859742:-1 gene:scaffold_304367.1 transcript:scaffold_304367.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RabGAP/TBC domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L3N4] MFCCGFMWKSGGEDLQGFYPVRPECQPDVPRTRFKSRAGKTLSARRWHAAFTEDGHLDMEKVLRRIQRGGIHPSIKGAVWEFLLGCYDPDSTFEERNRLRNRRREQYGVWKEECKKMVPVIGSGKYVTMAVVQENGNPIDESSVENQGWIVKNVVTDERVLQWMLSLHQIGLDVARTDRYLCFYENDRNQSKLWDVLAIYTWLNLDIGYVQGMNDICSPMIILFDDEADAFWCFERAMRRLRENFRATATSMGVQTQLGVLSQVIKTVDPRLHQHLEDLDGGEYLFAIRMLMVLFRREFSFLDALYLWELMWAMEYNPTMFATYEELENRNNAASDPKLLKRYGKFERKYINSGQNEQHRNTLAVFVVASVLQTKNKRLLKEAKGLDDVVQILGDIAGNLDAKKACKEALKIHEKFLKKANSNKQ >scaffold_304377.1 pep chromosome:v.1.0:3:23881042:23881492:-1 gene:scaffold_304377.1 transcript:scaffold_304377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLRIRLLPAKRAWKSFTASFHKLRRSKTKHHTKYSAATSDKHFFRSSHTSGKKLRDRDSRVFFTRLKRGCLFKKKRKAVVYVDKLFREPMAVSNWIPAKQWKPKEELFPEKGIDQRAEEFITRVKAEILASRNL >scaffold_304382.1 pep chromosome:v.1.0:3:23891770:23893415:1 gene:scaffold_304382.1 transcript:scaffold_304382.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7L3P7] MAFHGIREVENYFIPFLQRVKSRNEWKKIHACIIVHGLSQSSFMVTKMVDLCDKLGDMDYATRLFNQVSNPNVFLYNSIIRAYTHNSLYCDVIRIYKQLLRKTIEFPDRFTFPFMFKSCASLGSCYLGKQVHGHLYKFGPRFHVVTENALIDMYMKFDDLVDAHKVFDEMSERDVISWNSLLSGYARLGQMKKAKGLFHLMIDKTIVSWTAMISGYTGIGCYVEAMDFFREMQLAGIEPDEISLISVLPSCAHLGSLELGKWIHMYAERRGLLKQTGVCNALIEMYSKCGMLSQAIQLFEQTKGKDVISWSTMISGYAYHGNAHRAFETFIEMQRAKVKPNGITFLGLLSACSHVGLWQQGLKYFDMMRQDYQIEPKIEHYGCLIDVLARAGKLERAVEITKTMPVKPDSKIWGSLLSSCRTKGNLDVALVAMDHLVEVEPDDMGNYVLLANIYADLGKWEDVSRLRKIIRKENMKKTPGCSLIEVNNIVQEFVAGDNSKPFWTEISLVLQLFTSHQDQDVIKNNNALAFIGMI >scaffold_304384.1 pep chromosome:v.1.0:3:23895266:23895476:1 gene:scaffold_304384.1 transcript:scaffold_304384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKSDSKIWGSLLSSCKTKGNLDVALVAMDHLVELEPEDMGNYVFALQYLRRLK >scaffold_304388.1 pep chromosome:v.1.0:3:23903998:23904642:-1 gene:scaffold_304388.1 transcript:scaffold_304388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMCDPYTPAGVPIPTNKRHNAAKIFRHQSLPPRSLDSYKLDYCYKKNRITVLILSKEIKPLISTVFEGKDANVIAHGARNCGKTYLIHVLKAISLRY >scaffold_304389.1 pep chromosome:v.1.0:3:23907943:23909247:-1 gene:scaffold_304389.1 transcript:scaffold_304389.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4H7] MSKRFELRKDKTLSDTNIETTTIFQISDAGKAFACRRRIVPSIFASFVANFDSVVLLESSFPAAPFSNFTLRRKASKPVTGDSYPPQRVQLFVADVFSLLPANPDIFSVLNRRLYSIHSVIFHRSPLSVATLQIRHVSLANLCFRHLPMDYLSRSISGFTSDASFCFILPELILHLSFKVPESFLRKSSFSTVASRICLITLKICPCNLLISLVMRGQESFSAVSQRSIPVIPRLYRALLPFPVVSLTGGHIDSGSDGCASRKIILGQTPLFGLQRGNSSWAWPMSVLIWILPAPFHFVGLKLLWPIRRVKANWDCLCKYELWAHVGPNNYVGSLPTYSKLWGFAVVFWKLCQDCNGVSRTSY >scaffold_304396.1 pep chromosome:v.1.0:3:23963128:23964641:-1 gene:scaffold_304396.1 transcript:scaffold_304396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGREILHKMKVGLCGSDTGRGKSKMWKNIAHGYDFVKGKACHPMEDYVVSEFKKVDGHDLGLFAIFDGHLGHDVAKYLQTNLFDNILKEKDFWTDTENAIRNAYISTDAVILEQSLKLGKGGSTAVTGILIDGKKLVVANVGDSRAVMSKNGVAYQLSVDHEPSKEQKEIESRGGFVSNIPGDVPRVDGQLAVARAFGDKSLKIHLSSEPDITHQTIDDETEFIVFASDGIWKVLSNQEAVDVIKTIKDPQAAAKELIEEAVSKKSTDDISCIVVRFQ >scaffold_304401.1 pep chromosome:v.1.0:3:23983393:23983679:1 gene:scaffold_304401.1 transcript:scaffold_304401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVSKSKGDTLEFHKFYKKLSNSLEQVVWTNNKVKKRKGKVIYECFIFWG >scaffold_304403.1 pep chromosome:v.1.0:3:23997617:23999870:1 gene:scaffold_304403.1 transcript:scaffold_304403.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L4I9] MIDMFYFYSTIQSGLYAHSCTTAGASVSADSDPPEPPDPPDPQICLSFGESLSQPPSFTSFSTFDSLLQLSSARASFSSTTSLSHLPAKGPLTVKPPDESPFAICFGDLDARHCPTSSTIASFNDGCYLIDAYTFPPQTFPQVCSSSSHSNSFRMERIIWMFVEFLALVLWNSDLAYSVLMGLDTLVSIFVLSSSTFIALMRSLTAVCRVYLDYALLEVVSWQLGQRCLSIDNRPVNLVLWGFHSPHLSSKELIILPNTSLVFSGIVTGSIVFKTVLLDVEARIIVQDCSRSVFTDCLASGLMEALFSPPCGFNKNFQTKDVCFVGCSWLDASLGELFSSPLSQSLILSFVVAVSFYSCSTSMYVVVSVYPALCSHVVSSLSRG >scaffold_304410.1 pep chromosome:v.1.0:3:24018010:24019401:-1 gene:scaffold_304410.1 transcript:scaffold_304410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFEDQVKMRALELKHLFKKGIKVVGKSCKKGWSKVKNLRQAGDDVSYLCLHCLIKVIFSFEQVSE >scaffold_304411.1 pep chromosome:v.1.0:3:24022819:24024178:-1 gene:scaffold_304411.1 transcript:scaffold_304411.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:UniProtKB/TrEMBL;Acc:D7L4J6] MILGRWVSFSCVNTPVTKVSDRRRHSEFRGLSSTTTCRPSLRCSCLKSKSTQETTQIEKLGNEDGFSVLASEIPWEDNNIWSTFALYMFSLHIPLSFGGLSIVANILHRQVLDPQTQVLSLVVLQMVELSGTVLLLRTTAKPQCKSINFLKGNNESREERNWVVGSALGLGCLVGFIFVTSLVADQLFGSKAVHESELEKILVSGEVARSGCFALYCVVAPILEEIVYRRFLLTSLASRMEWWKALVISSGVFAAAHFSGQDFVQLFGIGCVIGSCYIWSGNLASSVLVHSLYNALTLLS >scaffold_304414.1 pep chromosome:v.1.0:3:24032397:24034163:-1 gene:scaffold_304414.1 transcript:scaffold_304414.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain [Source:UniProtKB/TrEMBL;Acc:D7L4J9] MATFDDGDFPAQTHSPSEHDDFGGYDNFSEAQPPPTQHQSGGFSSFNGDGAYGSENPASPNGYGFGASSPNHDFSSPFESSVNDANGSGDAIFASDGPILPDPNEMREEGFQRREWRRLNTIHLEEKEKKEKEMRNQIITEAEEYKKSFYEKREKTIETNKTDNREKEKLYWANQEKFHKEVDKHYWKAIAELIPREVPNIEKKRGKKDPDKKPSVNVIQGPKPGKPTDLGRMRQIFLKLKTNPPPHMMPPPPPAKDAKDGKDAKDGKDAKAGKDAKDLKDGKPADPKVTEEKPASPAKDASVETAKPDAAASGEGEKPVAVTEAEGAKAE >scaffold_304417.1 pep chromosome:v.1.0:3:24039145:24041611:-1 gene:scaffold_304417.1 transcript:scaffold_304417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGCSIRALWIINNQEAVVFSRRFPVVEKQWCSVYKSENENTPRLPTDQQISNAFTRRKRREGSTRGYGIRVAQSTKGSDSWVDDPITRHIISLCLSEEDDDDDESDKNERNILWPIALHTKALYSILVLPLVEPKEMKDYVKLCRRSDCGPAVGEDLSLSSLLLNISSVTGAFMVAHAFGDIVSGDTVEPEVVVSASPSVGGLFDSITGSIGISSRPKPVAAPLASSSPSGAATTGATASDAPKTGSRLLDRDLLRNFIATAMPFGTPLDLSLSNIFAMKANGFSYADPPPQELKQPAWKPYLYKGKQRLLFTIHETVNAAMYDRDEIPDNVSVAGQINCRAELEGLPDVSFPLAGLSTAHIEAISFHPCAQVPAHGIDKQNIVFQPPLGNFVLMRYQAGCGLGPPVKGFYQLSMVSEDEGAFLFKVHLMEGYRAPLSMEFCTITMPFPRRRIVAFDGTPSAGTVLTTEHSVEWRILGSGRSLSGKSLEATFPGTIKFSPLQSRRRGDGDDEESEDESVENVVNAEDFLVQKMNKDLPAVELEEPFCWQAYDYAKVSFKIVGASVSRMSIDTKSVNIYPTTKSPVEFSAQVTSGDYILWNTLGKAPSAAVV >scaffold_304421.1 pep chromosome:v.1.0:3:24052675:24053197:1 gene:scaffold_304421.1 transcript:scaffold_304421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRNLRKKYENNLVKAKSGDEPTFVKTHDRKAFELSKFVWGAIESAVKSSGKSKKSSKSKKVESVNHELDSSLPNGKNEGAADLDTFPKSSLVRWLVSFGFDEFSAQQGLSCLASEDKKRFEEKWKALQVREFEFYSQKSGFLHEVVAKMAEAFPSNA >scaffold_304422.1 pep chromosome:v.1.0:3:24054279:24055124:-1 gene:scaffold_304422.1 transcript:scaffold_304422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPWTSLIINSSTRRSITLPKIYSQRRDMYHYLDYDPIHGDYKVLCMTKGMNIGWRRGLAEDLRVLTLGNGSSWRMIEDFPPHAPESLDLCIDGVLYYEAYLDTYPAFLDEDKAVMSFDVRSEKFHLIKTPETALFTKLTRYEGKLAIMGSESTGLSTCRIDMWVLVDAAKHEWSNKVFVVPCWNTESCIFSSLLSHGCRFFIEGITELKLLRWDSHLNRRVISIFPGQVENLMFL >scaffold_304423.1 pep chromosome:v.1.0:3:24055714:24056403:1 gene:scaffold_304423.1 transcript:scaffold_304423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSISTIRNYYTHQEYSVDFFGEELIVNVTRTPSVIRKWINNVHFFNRFTSHPLVVGLGVYWTLPGHYADPPPESYNRPADTLQLCVGTRCIIIQLSHCDHVPYALHNFLASYTHVGVWNSQDATKLEQCRHQLKIGKLLDIRRFVEGSRGSLRGCSFEEIFEECMGYRGVRLDPEVSTSDWSVYDLCEDQILQASIDVYVCFKLGVRARLWEV >scaffold_304424.1 pep chromosome:v.1.0:3:24058084:24058544:1 gene:scaffold_304424.1 transcript:scaffold_304424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLIDSYAAQCWKCLKVRYVESQEKYEDIRSETPNKSFECRSCEEPGDVDMNFDSPAVRWFQDRHGIPKTPQGLKRILVVRRSGEKADVYYQTEAPKRKRLKCFKDVTKFIEDNEQFKDMKIEEVSFAAPKRMKKKKV >scaffold_304425.1 pep chromosome:v.1.0:3:24059035:24059781:1 gene:scaffold_304425.1 transcript:scaffold_304425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFARRAMSLAHQIPSARVSPGASSIGQRRCLAGAADHHGSTKVDFWKQPTNPGNWKEEHFVLISLSGWGLLFYSGYKLATGGKKEEPVESTQ >scaffold_304430.1 pep chromosome:v.1.0:3:24066797:24069474:-1 gene:scaffold_304430.1 transcript:scaffold_304430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:D7L4L4] MSRYQSPSFDDGEVNPFANPTSVPAATSKLSPLPPEPYDRGATMDIPLDSGKDLKAKEKELREKEAELKRREQEIKRKEDAIAQAGIVIEEKNWPPFFPLIHHDISNEIPIHLQRIQYVAFTSMLGLVVCLLWNIVAVTTAWIKGEGPTIWFLAIIYFISGVPGAYVMWYRPLYRAMRTDSALKFGWFFFTYLFHIAFCVFAAVAPPIIFKGKSLTGILPAIDVLSGNILVGIFYFIGFGFFCLESLVSIWVIQQVYMYFRGSGKAAEMKQEATRRAMMAAL >scaffold_304435.1 pep chromosome:v.1.0:3:24106997:24108468:1 gene:scaffold_304435.1 transcript:scaffold_304435.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7L4L6] MHSRSALVSRFLRPASRCFSSSSPVTPVTVTQSPKSLEALRARLANESPSLTDFIHGDTYSVEVGTKKKPLPKPKWMKESIPGGERYVQIKKKLRDLKLHTVCEEAKCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPNEPNNVAEAIASWGVDYVVITSVDRDDLPDQGSGHFAETVQRLKVLKPEMLIEALVPDFRGDGGCVEKVSKSGLDVLSHNIETVEELQSFVRDHRANFKQSLDVLRMAKEHAPAGTLTKTSVMLGCGETPDQVVKTMEKVRAAGVDVMTFGQYMRPSKRHMPVAEYVTPDAFERYRLLGMEMGFRYVASGPMVRSSYKAGEYYIKSMIDADRVASPSTSP >scaffold_304436.1 pep chromosome:v.1.0:3:24109406:24109868:-1 gene:scaffold_304436.1 transcript:scaffold_304436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLITSAVIVVLLSLLLGSAEQVSGLHHVPKSPKTTDVKHPDFLVTIEPKPTILIPGVGRFLLPPKCKKPFYPYNPVTGAPLTGGGIPSYNGGQGAGPRTQLPGGDDTLVPNPGFEAPTPTIGAGAGSNGQVPPVPLP >scaffold_304437.1 pep chromosome:v.1.0:3:24110389:24110838:-1 gene:scaffold_304437.1 transcript:scaffold_304437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLLLAFFLSFFFGSLLARHLPTPSHPSHHHVGMTGTLMKRQRRRPDTVQVAGSRLPDCSHACGSCSPCRLVMVSFVCASLQEAETCPMAYKCMCNNKSYPVP >scaffold_304438.1 pep chromosome:v.1.0:3:24136704:24137728:1 gene:scaffold_304438.1 transcript:scaffold_304438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKNKGKSIRSLGTNESEKMDEEFQFSSGKYKDSGSGSDMWLGEASSTSQRGLRKTRTFDRHNPYLLQPPPTTKCPVSSSSVAFPFSLAPAIQNQQRFLHPNDPSGQRQQQMISFGPQLQVQPYLVQQQQHMLQYWRDILKLSPSGRMMMMNMLRQESDLPLTRPPIQPFSATKLYRGVRQRHWGKWVAEIRKPRNRTRLWLGTFDTAEEAAMAYDREAFKLRGETARLNFPELFLNKQESTPVHQKQCETATTSEEASGRREDDSSAALAVGRVSEETGWAEAWFNAIPEEWGPGSPLWDDYHFPISNHKDDHDPPQNSSSDTI >scaffold_304439.1 pep chromosome:v.1.0:3:24138306:24139649:1 gene:scaffold_304439.1 transcript:scaffold_304439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAISSLSLPALGQSDKISNFAPCRPLASTIRICTKSSRHSLTSRSTSRSLIHCMSTVTDVPPVSETKSNFLKAYKRPIPSIYNTVLQELIVQQHLMRYKKTYRYDPVFALGFVTVYDQLMEGYPSDQDRDAIFKAYIEALNEDPKQYRIDAQKMEEWARSQTSASLVDFSSRQGEIEALLKDIAGRAASKEGFSYSRFFAVGLFRLLELASATDPTVLDKLCASLNINKKSVDRDLDVYRNLLSKLVQAKELLREYVEREKKKQGERAESQKANETISKCLGDTLYNPSFLVEWK >scaffold_304441.1 pep chromosome:v.1.0:3:24292928:24293153:-1 gene:scaffold_304441.1 transcript:scaffold_304441.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L5C5] MRSRLCLSRFVRSRHTEESSIFYFCRCRSIFTADFIAAVVPTPPIIPRTRRGFESVCFALL >scaffold_304444.1 pep chromosome:v.1.0:3:24308820:24310615:1 gene:scaffold_304444.1 transcript:scaffold_304444.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L5C8] MSTTGRERHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >scaffold_304445.1 pep chromosome:v.1.0:3:24311380:24312495:-1 gene:scaffold_304445.1 transcript:scaffold_304445.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L5C9] MGAGDASRSDAERSNPVRQSIRGVDRRGLRWRPKPGLLIRPRRRRCRDRGLQHAP >scaffold_304446.1 pep chromosome:v.1.0:3:24322273:24323895:-1 gene:scaffold_304446.1 transcript:scaffold_304446.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L5D0] MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >scaffold_304447.1 pep chromosome:v.1.0:3:24329924:24332462:-1 gene:scaffold_304447.1 transcript:scaffold_304447.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L5C9] MGAGDASRSDAERSNPVRQSIRGVDRRGLRWRPKPGLLIRPRRRRCRDRGLQHAP >scaffold_304450.1 pep chromosome:v.1.0:3:24349631:24350745:-1 gene:scaffold_304450.1 transcript:scaffold_304450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L5C9] MGAGDASRSDAERSNPVRQSIRGVDRRGLRWRPKPGLLIRPRRRRCRDRGLQHAP >scaffold_304451.1 pep chromosome:v.1.0:3:24357499:24359293:1 gene:scaffold_304451.1 transcript:scaffold_304451.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L5C8] MSTTGRERHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >scaffold_304453.1 pep chromosome:v.1.0:3:24367928:24369129:1 gene:scaffold_304453.1 transcript:scaffold_304453.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L5D4] MLSQLFVFHKSKNSPLTMKYECPRLSLLIITPIPKANTIGSKSYDVIPC >scaffold_304455.1 pep chromosome:v.1.0:3:24385783:24386683:-1 gene:scaffold_304455.1 transcript:scaffold_304455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLANAFAVVRLHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIEIL >scaffold_304456.1 pep chromosome:v.1.0:3:24387678:24388610:1 gene:scaffold_304456.1 transcript:scaffold_304456.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L5D6] MGAGDASRSDAERSNPVRQSIRGVDRRGLRRRPKPGLLIRPRRRRCRDRGLQHAP >scaffold_304457.1 pep chromosome:v.1.0:3:24389201:24390986:-1 gene:scaffold_304457.1 transcript:scaffold_304457.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L5C8] MSTTGRERHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >scaffold_304458.1 pep chromosome:v.1.0:3:24397139:24398287:1 gene:scaffold_304458.1 transcript:scaffold_304458.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L5D8] MGAGDASWSDAERSNPVRQSIRGVDRRGLRWRPKPGLLIRPRRRRCRDRGLQHAP >scaffold_304459.1 pep chromosome:v.1.0:3:24398780:24400455:-1 gene:scaffold_304459.1 transcript:scaffold_304459.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L5C8] MSTTGRERHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >scaffold_304460.1 pep chromosome:v.1.0:3:24403100:24404893:1 gene:scaffold_304460.1 transcript:scaffold_304460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L5C8] MSTTGRERHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >scaffold_304461.1 pep chromosome:v.1.0:3:24405465:24409198:1 gene:scaffold_304461.1 transcript:scaffold_304461.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L5D8] MGAGDASWSDAERSNPVRQSIRGVDRRGLRWRPKPGLLIRPRRRRCRDRGLQHAP >scaffold_304462.1 pep chromosome:v.1.0:3:24409573:24410908:-1 gene:scaffold_304462.1 transcript:scaffold_304462.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L5C8] MSTTGRERHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >scaffold_304465.1 pep chromosome:v.1.0:3:24426619:24427224:1 gene:scaffold_304465.1 transcript:scaffold_304465.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L5D6] MGAGDASRSDAERSNPVRQSIRGVDRRGLRRRPKPGLLIRPRRRRCRDRGLQHAP >scaffold_304467.1 pep chromosome:v.1.0:3:24434005:24437771:1 gene:scaffold_304467.1 transcript:scaffold_304467.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L5C9] MGAGDASRSDAERSNPVRQSIRGVDRRGLRWRPKPGLLIRPRRRRCRDRGLQHAP >scaffold_304468.1 pep chromosome:v.1.0:3:24438146:24439392:-1 gene:scaffold_304468.1 transcript:scaffold_304468.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7L5C8] MSTTGRERHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >scaffold_30500002.1 pep scaffold:JGI8X:scaffold_305:6349:6848:1 gene:scaffold_30500002.1 transcript:scaffold_30500002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVKAIDGFDGLAGGIAALAFVAMVVAVLPISSASVSMGDTGSLALGGALAVIAACLGMFFPLLISTGVARTERGNESEKEL >scaffold_30700001.1 pep scaffold:JGI8X:scaffold_307:104:938:1 gene:scaffold_30700001.1 transcript:scaffold_30700001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIKSESCAGSSSKACREKQRRDRLNDKFTELSSILEPGRAPKTDKVAIISDAIRMVNQVRDEAQKLKDLNSSLQEKIKELKDEKQKLKVEKERIEQQLKAIKTSFDSMAQLVSGIF >scaffold_30700002.1 pep scaffold:JGI8X:scaffold_307:3106:4358:1 gene:scaffold_30700002.1 transcript:scaffold_30700002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNCRDGAEREMESRVLIETIEEDEEFAWEAAVKEIDLACLKTSNASSSSHFTPLRSLEIILFTYEEPTRFGISCLGSCLLAGSKELTEVLKTTIFDGQNVSFIEAARSTGYAEDKDDDLSSVFLKKGSYCFVCLF >scaffold_30700003.1 pep scaffold:JGI8X:scaffold_307:7454:10010:1 gene:scaffold_30700003.1 transcript:scaffold_30700003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWTELCDMIVENPNKLWENLIWICRECPQPITARVSRSQLNAVLSVARIISSNVDTAENHGKSVVLDFFQTLDKSFHRSFWPYSFTLKSISAFYCSFLGYVSCLFGTKVSELRWDEIMSSGDSDLDPEICRAFLVAISQNFPSIQPSDGGDRLTAILLHQFTQKEVAYLEHDTIESLEQQEIAFKLITHILGKVNVDSKLHLHVRSIAKKHLQSMPAFLKQEPVLKSIVNAKMYVYQAAAKMEIESLLSLETDGRKKIVLEALTLLLDAADACLKSVWRKMKTCEELFGSLLSGIAKLAVATGGQPLRLFLFRLKPMVLAVVCVQSVRNQGIIYESVCKLSCEIIESVWDKDRALLDTFILGLASIIREKNDYEDQVGREKEVPPVQLLADICVAVKKSEVADMIFPFLIESLEEGDASTPLSLQLQLLDAVSRIATLGVETSYRQTVALMIRGYYSQISTGGSVERKTSAPKSRTEYVETLAAGFLTIASGLMNTKLRADYLHRLLSLCSDVGLTSESRSKGNGADLLGPLLPAVAEICSDVDPTSNVEPSLLKLFRNLWFYIALFGLAPPILKPRPVGGPYMWNTQWSLAVQRISQGTPPLVS >scaffold_31000001.1 pep scaffold:JGI8X:scaffold_310:294:1659:-1 gene:scaffold_31000001.1 transcript:scaffold_31000001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclase family protein [Source:UniProtKB/TrEMBL;Acc:D7MX95] MTRSVNFPVFILAVALSLSSSLLAVKHSDDPKPIRREVYEGGKIYDISHRYTPEIPAWESSEGLGKTFLRLAASMKNGSFANVSEMKLSVHSGTHVDAPGHFWDNYYDAGFDTDSLDLQVLNGPALLVDVPRDKNITAEVMESLHIQRGVRRVLFRTSNTDKRLMFKKEFDSSFAGFMTDGAKWLVENTDIKLIGLDYLSFAAFEESPATHRVILKGRDIIPVEALKLDGVEVGTYSLHCLPLRLVGAEGAPTRCILIK >scaffold_31100001.1 pep scaffold:JGI8X:scaffold_311:5106:6696:1 gene:scaffold_31100001.1 transcript:scaffold_31100001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLIGVSIAIICFLILHRFLFKKPLYQFLIINWPVLGMIPGLFLVLHRIYDFTVELLESTELTFPFKGPWFAGMDMLLTADPANIYYLVNSNFSNFVKGSNFKEIFDAFEDALLTKDSLAWKNLRKASQAMINHQGFQRLSLTTTRSKVKDGLVPLFNHIAREGTTVDLQDVFWRFTFDTTLVTVTGCDDPRSLSIEMPVIESAKALDTIVEGIVYRHVKPRFLWKLQSWVGVGIEKKMIEAGAIFDRVCAKYISARREEIKRSQGINNGESEHLLTSHIKLDTTKYQLMDPINDKFLRDNVFALLLAGRDTTASALTWFFSFLSENPLVVTRIRQEIDTILPRSSSGHERPSCDAMEYLNKLVYLQAALCETMRLCPPVPLERLSPVESDVLPSGHKVEANSNILVFIYGVGRMRAVWGEDASEFKPERWISETGELRHVPAFKFLAFNAGPRICLGKQIAMIQMKIVAVEILQNYDIKVAKGQKFEPDTSLILRMKHGFKVTINKRCSF >scaffold_31100002.1 pep scaffold:JGI8X:scaffold_311:9498:10072:-1 gene:scaffold_31100002.1 transcript:scaffold_31100002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVRGGRGRGRGKRGPKSLVKRPTIVPTRPTSSGVSSRRPRSLPSQYEFTPVNPKDPNHGTEHLPNRQLSPQLSLRDYPPPLQLFQSGEGSQHAADGSPRGSGTTPFRASVSSVHRLASGSPHASQSIAPVQPPAPVPSPVVNQQRPPRASLSGHSSQAQNVEEEEAASDEEADD >scaffold_31500001.1 pep scaffold:JGI8X:scaffold_315:6063:7154:1 gene:scaffold_31500001.1 transcript:scaffold_31500001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTSEKTSKGLKFDMFSEDIPSILDGDSLILGELYYLTKDKTDYAWYTTSIKIEDDDIPDQKGQKTILRVAGLGHALIVYVNGEYAST >scaffold_32500001.1 pep scaffold:JGI8X:scaffold_325:277:3942:1 gene:scaffold_32500001.1 transcript:scaffold_32500001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYAVGKEKRKQLLLILCQHESDRLDVWAQPISSKNSPPYSRLKISSGKWTEHAKTAFSVDPRIALSLASRFPANIAVKSQVTQLVQAHIVDLRTIPEALPYFVTPKSVEENSVLLRQLPHWAACSITQALEFLTPTYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQSLRYDEGRLVEGYLLRATQRSDIFAHILTWHLQGESVQETVKDGAFDKNTSFQAILSEVRQHIIDGFSPKALDMFKREFDFFEKVTSISGALFPLPKEERRAGIRRELEKIKMQGEDLYLPTAPNKLVKGIQIDSGITLQSAAKVPIMITFNVVDRDGNQNDAKPQACIFKVGDDCRQDVLALQVISLLRDIFQAVGLNLYLFPYGVLPTGAGRGIIEVVPNTRSRSQMGESTDGGLYEIFQEEFGPVGSPSFETARGNFLTSSAGYAVASLLLQSKDRHNGNLLYDNMGRLVHIDFGFIFETSPGGNMRFESAHFKLSHEMTQLLDPSGNMKSESWHQFVSLCVKGYLAARRYMEGIISTVEMMVESGLPCFSRGDPIEKLRKRFHPEMSEREAAHFMIHVCTDAYNKWTTYGYDLIQYLQQGIEK >scaffold_32500002.1 pep scaffold:JGI8X:scaffold_325:6873:7861:1 gene:scaffold_32500002.1 transcript:scaffold_32500002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLRYYNSSLLRENGSGKIVGSASVARIVGGMKPTYSITAPKVMYFSARGPDPEDDSFVDADIMKPNLVAPGNAIWGAWSPLGIGTTDFQGERFVMKSGTSMSAPHVTGISALIKQKFLHFTPAAIASTLSTTASLSDRKGEHIMAQRTVLNPDISQSPGTPFDIGSGFVNATAALDPGLIFDIGYNEYMKFLCSINGSSPVVLNFTGESCSAYNSSLAASDLNVPSVTIAKLVGTRTVLRWVTREEYLRKSM >scaffold_33400001.1 pep scaffold:JGI8X:scaffold_334:1459:3024:-1 gene:scaffold_33400001.1 transcript:scaffold_33400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPSSSHLSKDAESYLNSIKDAKSSIFCVRSGSRLLNDLQKATCNFTTLIGQGAFGPVYKAQMSTSETVAVKVLATYSTGEKHEPLNWDLRVYIALRGLEYLRDGRSNLPNILLDQSMRARVNISAFSLKSQSINHLEFLMSWWIILYFRLLSLDYLEEHAANIRGAFGYLDPEYVSTRTYTKQRDVYGSWVLLFELIAGRNPQQGLMEFVELVDVMCV >scaffold_33400002.1 pep scaffold:JGI8X:scaffold_334:4057:4681:-1 gene:scaffold_33400002.1 transcript:scaffold_33400002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSPVFSSEPVFANHVPALETGFTPWDASDLFSIFNSPVSPMEMNPGLEKTNPSQIQNQSYSNPGLKDKPLDCTGSNDVDERRKKRKLSNRKSAQRSRIKKQKHLEDVRIELNKLKIENRELENRLRHVLYHCQREQMENDSLRLEHRVLHEKLLNLRQALVMRQIQQSSTCATWSCINSTVVTVLQNPSII >scaffold_34000002.1 pep scaffold:JGI8X:scaffold_340:5890:6593:1 gene:scaffold_34000002.1 transcript:scaffold_34000002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDSRAQGRGRIARIHRRLLVIETEKRIMQYQSYIEEGRDKDAETVFGLLIYSLERLYRVVEKPARATDDWDLVKQDLIELGRPQHQTSYKLMVTYFMKIEACCRFTLHCWTTVLFRSNVARTVESYY >scaffold_34000003.1 pep scaffold:JGI8X:scaffold_340:7432:7819:-1 gene:scaffold_34000003.1 transcript:scaffold_34000003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNSSFKSSRTLPCVVVLVPARTMTLAPSLTPVRLSTMAIRSSIDSLLEDLSIIFDLTCTKKFHSFWLKALKESLSINLIYLFIYFMLALGNAFSYCILNFGISCSYLCTWFLF >scaffold_3400002.1 pep scaffold:JGI8X:scaffold_34:25070:25276:-1 gene:scaffold_3400002.1 transcript:scaffold_3400002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSGSKKIVPSGCMAMIGQVAGGGRTEKPMLNAGNTYHKYRVKRNMIRFLFI >scaffold_3400003.1 pep scaffold:JGI8X:scaffold_34:27326:28564:1 gene:scaffold_3400003.1 transcript:scaffold_3400003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPRTTVFVVLCFLFVLAPYFTRATTDELQARCESHSFNNGKHFRSCVDLPVLDSFLHFSYVRETGVLEVAYRHINVESSSWIAWGINPTSKGMSGYSPTLQEGPLSFRVLQLSGEYLNGEMTIFATIVLPSNITVVNHLWQDGPLKEGDRLGMHAMSGDHLKSTATLDLLSGQVTTSKAANDNMLLVKNIHGLVNAVCWGIFMPIGVIAARYMRTYKGLDPMWFYIHIIFQTTGYFGGLLGGLGTAIYMAKHTGMRTTPHTVIGIFLFALGFLQILAFKARPDKEHKYRKYWNWYHHITGYVVIVLSVYNIYKGLAILQPGSSWKIAYTTIIGVIGMFATVMEVLQFKSRWGGLCCKESENLEADQTVSTNV >scaffold_3400004.1 pep scaffold:JGI8X:scaffold_34:29068:30308:1 gene:scaffold_3400004.1 transcript:scaffold_3400004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPRTTVFVVLCFLFVLAPYFARATTDELQARCDSHSFNNGKHFRSCVDLPVLDSFLHFSYVRDTGVLEVAYRHINVDSSSWIAWGINPTSEGMSGSQTLLAYRNSTSGVMRVYTSSIKGYSPTLQESPLSFRVLQLSGEYLNGEMTIFATIVLPSNITVVNHLWQDGPLKEDDRLGMHAMSGDHLKSMATLDLLSGQVTTTKAANDNMLLVKKIHGLVNAVCWGIFMPIGVLAARYMRTYKGLDPMWFYIHIIFQTTGYFGGLLGGLGTAIYIAKHTGMRSTPHTVIGIFLFALGFLQILALKARPDKEHKYRKYWNWYHHTIGYVVIVLSVYNIYKGLAILQPGSSWKIAYTTIVGVIGMFATVMEVMQFKSRWGGSCCKESEDREADQTVSTNV >scaffold_3400005.1 pep scaffold:JGI8X:scaffold_34:30883:33316:1 gene:scaffold_3400005.1 transcript:scaffold_3400005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTASAFTDGQPPWKKKKPSKDPTPNPSIPYDLIVSILARVSRSYYPKLSLVSKSFRSILASPELYQTRTLLGKTETFLYVCLRFPDEANPRWFTLYQKPNQTLTKKKKKKKKEESSVKLLAPTPVLNSPPLEWSSLIAVGSNLYAITAAIDDSPCSNVWYLDCRTHTWLEAPRMRLAHTNSKFDGTIYPPRRRIFKLENMEGKIYVNVCVESTKEVVLVTPKIMTWEALNLDLDRGSLCTIDNVVYLYNPSGVFLFRKSFIKEAVVWRILRGLERLPKFAKYSAVKLADYGGKLVVLWDKYVAASGYKEKMIWCAEISLEKRNNEEIWGKVEWFDAVLRVPKSYKILCATATSFGFKTIDGKKAIWRKLEGLPKFSSYCTVRLAECGGKLVVLWDKYVPASGYKEKMI >scaffold_3400006.1 pep scaffold:JGI8X:scaffold_34:35998:36327:1 gene:scaffold_3400006.1 transcript:scaffold_3400006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQLVRAHEGLSLLEMLLVSKSFRTILTSPELYQTRTLLSLTETFLYVCLRFPDEAIIRWFTLYRKRNQTLTKKRSQLSSCGLMHRPKLGFFIN >scaffold_3400007.1 pep scaffold:JGI8X:scaffold_34:64820:66024:1 gene:scaffold_3400007.1 transcript:scaffold_3400007.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MVV0] MSSPEKKRKSPTRKTKKRSLTPSPESTSNPSLPDDLVVNILARVSRSYHPNLSLVCKSFRSILASPELYQTRTLLGKTETFLYVCLRFPDEANPRWFTLYRKPNQNLTKKKKKKKKKKEDSSVNLLAPTPILNSPHVEWARLIAVGSNLYAITAAIEDSPCSNVWYLDCRTHTWLEAPRMRIAHTNSELDKNVYLAGSSENPYSLNCVEVYNTKTQTWNPVPPQKRKFKFGNMEGKIYMNPVRENPRKVVALKPKVMTWEAVGWDTDLDRGSICMMENIAYCYDPSEKFSWIKFNTDEDWRRLEGLEGLPKFARYSTVKLADYGGKLVVFWDKYVAATGYKEKMIWCAEISLEKRNSEEIWGKVEWFDAVLTVPKSYKFVCAKSATV >scaffold_3400010.1 pep scaffold:JGI8X:scaffold_34:69314:69563:1 gene:scaffold_3400010.1 transcript:scaffold_3400010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MVV2] MVSRIVSIATKLLFKVAPLFSPSVRAYLRPYHGLNSSVMVSASFRLRTVSIVSSLERLCSTIIESGFLI >scaffold_3400011.1 pep scaffold:JGI8X:scaffold_34:71186:72393:1 gene:scaffold_3400011.1 transcript:scaffold_3400011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLTEDLWSIILARLPLKSIITSKLVCKQWKSIVESPYFRKSLYQNLHSSSWSLLVWDDKKDVGTTLYGCEPSIGSYILSFLTNKFEIQRDKYEYSVWDYTDVGLILVSEVSKKPSILINAVYVANPVSQDCIELPSHLKEYVFPLGIVTRTENGVVLDYKVVLLDFGNVHENMEISLLIYSSETGLWSLSIVHLPSSLYYQYFYRSISLNGNLYWLNRNSDNEDVIVSHDFYATGTDSDRFRVTRFPDSGKHPKFKRACTIFQGFLMYMNVVSITKDDGSLEDKLSIWKLQSGEWQLVSEISVDCVNPSFDHIPVTINSVDAKTVYFWNRKHQSLVAANICNGKFVLHSELEHSGRSPNSFECFKRSDCPSFVLPQWLHLTPVRGV >scaffold_3400012.1 pep scaffold:JGI8X:scaffold_34:73008:73792:1 gene:scaffold_3400012.1 transcript:scaffold_3400012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLPPILSPIQSQGWVVFHNTQTLSLHVGRVDPRAVSFVSFHNTSTGLKFSIQYCFIFLFFRKKFVSGDPYHRRHLRLSTDEVSPNLWFGEVIWVFDPGINRQILLIEGSGIHDNILWSWILSDDGDVHIIPQLDYSGFMEEQIMVTNRQRWIRRYKRHDSEPFQAIYQCVRLFKVMRKAFGNFIYKMLAFYEYMKRGLNKCHLLPIRLSFGKQGYFHFFIEIVFNFLYFIQWLYFNGSCIDVTLL >scaffold_34300002.1 pep scaffold:JGI8X:scaffold_343:5181:9257:1 gene:scaffold_34300002.1 transcript:scaffold_34300002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKNRADPLAAGRHKLQQFRQKKADKGTDQKKDSKGSTSQGKSSKKSNKSEKHERKPDTSAVSDEAQAPSHVNVAEEVVDSPQTSSHTKAHEYVSVHGSSSEPDMPQPGNTTSDDVSEVRKEVVNSENDISISLSTEEENMKSINGGVAGTVGSLTSDPADSEKGVTHDDASINVDGIFTSSGNIAEGEGVEVEGGSGNVEKPHQPSSLHEGIPDVSLIRARGDQEEGGSRMEQFSESSAKAGVDKIATEERQTSYPAVVDLSASPSHFSEGSSVALDSVELEGQNGNIRSQQMREAAELNEEKPETSIDFPNDRDHVLSAEPEESSVADMASQLQLPESISISGLVSHEEPQKIDTLNLSGEVSVAHVHEGRSFSFLQLIDIVKGLGQDEYQILCNAREAASSTEPGTSSLERVREELFVSSTMEDLLHVQLTEQSHLQKEFDHQHNELVAEISQLRASYNAVIERNDSLAEELLEWQSKLYAATSSTENLENQLLATEAQVEDFTAKMNDLQLSLEKSLLDLSEAKEKCINLQVENDTLVAIISSVNDEKKELLEEKESKNYEIKHLSSELLNCKNLAAILKAEVEQLENTIGPLTDEKIHLVEERYSLLGEAEKLQEELANCKTLVTLQEVENSNIRETLSLLTGQQTKFEENNLHLREENEKAHLELSAHLISETYLLSEYSNLKEGYSLLNNKLLKFQGEKEHLIEENDKLTHELLTLQERTSIVDEERTHLEVELREAIARLDKLTEENTSLTSSIMVEKARMVDIGSEDASGLINQEISEKLSGSSEVVVSKQGASLLENTQYTNSEEVMEDTSEFLDKGATPAVSKLIQAFESKRKPEEHESENAQLTDDPSEADQFVSVNVQIRNLRGLLHQLLLNGRKAGIQFKQLNDDRTSTNQRLEELNVEFASHQDHINVLEADTIESKISFEALKHYSYELQHKNNELELLCDSLKLRNDNVGVENTELKKKLNSCLLRINELEIQLENLQQNLSSFLSSMEEQLVALQDESERAMMLEHELTSLMSEFGEAVVRLDDCLLRSGTSGANAGLDMTKRISGSVDVAVKVIDDLEEKLEAAYVKHESTSNQYEELNFFNAEGLCRSDEIDY >scaffold_34700001.1 pep scaffold:JGI8X:scaffold_347:7952:8429:-1 gene:scaffold_34700001.1 transcript:scaffold_34700001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEEDDKTFLRAKEKSTSQSSKGSGLRAKPDDSVSMHQRVCLKELEEGLEKRTRRDNKLQLKKKSSCQVFNLSMSSEGDKIHPESSPRNVDDHESQEKSRTFSKILPRNVNVMIREDNHRTLKDKLMEARVESRRLRSSLKPE >scaffold_35400001.1 pep scaffold:JGI8X:scaffold_354:5832:6096:1 gene:scaffold_35400001.1 transcript:scaffold_35400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIHANASLVLFAFVFDRSIICDDKVVNFQEVKESNINVVQRFIDRSGFIVYIAPQVLKFSCNLAKLKQYIAH >scaffold_35500001.1 pep scaffold:JGI8X:scaffold_355:934:1780:1 gene:scaffold_35500001.1 transcript:scaffold_35500001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISSLSFRLFILRSPPTSSSVSAVATSEDCSTFYYNDCVSKYIAAKLQDNILSIECLVSGCKSSGRLEPDKCRQILPREVFDQWDDALSEAVLMRSKRLYCPYKDCSTLLFIDKSEVKMKDSECPHCHRMVCVECGTKWHPEITCEEFQKLAGNERGRDNISLATMAKKKNWKRCYSCKLYIEKSQGCLYMKCRWMLCCRCWVVLLSLLLVRICFEKKVRYGVRQEMALRSVYHQS >scaffold_36300002.1 pep scaffold:JGI8X:scaffold_363:2018:2255:-1 gene:scaffold_36300002.1 transcript:scaffold_36300002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXD1] MAKSAVAAVDWASEEGGGDGAAAAATEEGIEGIWERETSEIGATFCGEGFGGDAGLCFFFFTIRR >scaffold_36300003.1 pep scaffold:JGI8X:scaffold_363:6061:6771:1 gene:scaffold_36300003.1 transcript:scaffold_36300003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLHAHIYILSSIVINLVGHDVKCENLDEDTCAFAVSSTGKRCVLEKSVRRSGIEVYTCRSSEIEADKVTNIIESDECIKACGLDRKSLGISSDALLESRFTQKLCSVKCLNQCPNVVDLFFNLAAGEGVYLPKLCESQEGKSRRAMSEIRSSGIVMDTPGPVGPVRLGEMAPEPATSMDHMPYVPAPSPY >scaffold_36400002.1 pep scaffold:JGI8X:scaffold_364:3791:4385:-1 gene:scaffold_36400002.1 transcript:scaffold_36400002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVVAVTYKVGDVAQWASGVDYTDRAAGKTFRIVQVRACTYSVDVVNKAGYDGCDSSSATENHSEGDTKIDLKTVEPKYFICSTPGNCLGGMKLAITVVASPSSPPTPESPPADGTHEADSGSTTPPPPPPHK >scaffold_36400003.1 pep scaffold:JGI8X:scaffold_364:6571:7688:-1 gene:scaffold_36400003.1 transcript:scaffold_36400003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNGLSKMAAAAATALLLVLTIVPATVAVTYTVGDGQQWDSGVDYTVWVAGKTFRVGDILVGIKYFICPTPGHCANGMKLAVTVLAASAGPPATPTPPSTTPGTPTTPDSPPAGGSPTTPTPDSGSTSPPPPKPSGASKGVMSYVLVGVSMVLGYALWM >scaffold_3700005.1 pep scaffold:JGI8X:scaffold_37:31435:31878:1 gene:scaffold_3700005.1 transcript:scaffold_3700005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGSKDIISTLPEPLICQILSCLPTKEAALTSLLSKKWRYLFAFTPNLEFDYSSVYLHIEEGKAKKDEIHRMFTDFVDRVLALQANSTPNKFSFKCGPDVDPVCVIRWILTVLERGICWNLFFDKVVLITFR >scaffold_37100004.1 pep scaffold:JGI8X:scaffold_371:6733:7055:1 gene:scaffold_37100004.1 transcript:scaffold_37100004.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXE1] MVEVARASLHTFNEPVSFSSLVYAHTPSSCQAFASHTPLSPRHARALHTRESLVFQFSRCTLLHAPSLSHGQIRPFSPAGDPPSSSPLSWFR >scaffold_37200001.1 pep scaffold:JGI8X:scaffold_372:22:580:-1 gene:scaffold_37200001.1 transcript:scaffold_37200001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDISDTYSLLVLNITFRTTADDLYPLFAKYGKVVDVFIPRDRRTGDSRGFAFVRYKYKDEAHKAVERLDGKIHPLLLVLLYVFMLLVLGFDMKFLSLFQEELSMAEK >scaffold_37500001.1 pep scaffold:JGI8X:scaffold_375:1273:1618:-1 gene:scaffold_37500001.1 transcript:scaffold_37500001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISYPQISSCASVVIDTWRPGETVWTTHWFKNKLPKHIWGKCVFSNGMFYCLSTCGYLGVFDPSKSTWNILPVKPCPTFRGRIPVLMTEHEGDIFVIVYT >scaffold_37500002.1 pep scaffold:JGI8X:scaffold_375:5237:8090:1 gene:scaffold_37500002.1 transcript:scaffold_37500002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTLRDSGNNDGGVALTFGENLNRVHQLLAYEEKFLLILISYLFSTILAVGKVEREISVSEVMETQKNCDSCCLVVQDEAVKAVAAAILRSRVGLGRPQQPSGSFLFLGPTGVGKTELAKALAEQLFYDENLLVRLDMSEYIDRYTVSKLIGAPAGYIGHEGGQLTEPVRRRPYCVVLFDEVEKANVTVFNTLVQVLEDGRLTDSHGRTVDFKNTVIIMTSNLGADHLISGLTGEVTMQVARDNAMKEVKKHFRPELLNRLDEIVMFHPLSHEHLTKIVQLQVNNVANRLAEKGVSMTVCIGLHFSSELRLERKVVTDISMMIVREEIDDDSIVCIDVNVDKTDLVYQIDENVVAKKTEQTSDVVIHSRNKRGRSNEETLTKKIKSEVIVID >scaffold_38300002.1 pep scaffold:JGI8X:scaffold_383:4799:5053:-1 gene:scaffold_38300002.1 transcript:scaffold_38300002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGSSWVTPGYKVSVLPNNHRMSRSVCSICAKHCSQNVVYQCSGLIFCSRRCAMLAGMRKQRRDRKNIY >scaffold_38300003.1 pep scaffold:JGI8X:scaffold_383:6097:6954:-1 gene:scaffold_38300003.1 transcript:scaffold_38300003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVTESKLVSLTSQILSPMESTKSDLDWFTDRNIISFIVQVMSLVSSMDLDLQPKPESEFMSLTTQIISLLHSIHLDSQPKMLSDLILFISQKNFEHFDFSLTFSRTLALEPEPAIMSLIFQIFSLVISMNLKREKLISLCPQAFIRLSNGEFDVFEAISYSIDSKWECLPLNWKTYWPTGEGITYFRCRNCEGRNHKEYNKAPVEIKHSLHRKHSLQLVLLCEENYTRVCYCCDEDLRRMFYYCPPTEVA >scaffold_38400001.1 pep scaffold:JGI8X:scaffold_384:383:2499:-1 gene:scaffold_38400001.1 transcript:scaffold_38400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSPTFPVPTKKDVCELVSLRSFNIYPQKSNNSVEEVDMDALMHMTDDDLKAMLIPMPFNCSETQDKFFSCRTAFIDGKFLVMPFARRGVIAYNSKESRWDPVQTKMACIMLKDSHCQIGNVMYCSVDGRIRWYDTEVSSWRLVKGLLELGNFPCGPFCVKLADCRGNLAVFWVKSFPDEGDDQRKMILCAEIALERRTSFEIWGKVLWFDHVLTVPADYQLIKALAATV >scaffold_38400002.1 pep scaffold:JGI8X:scaffold_384:3079:3320:-1 gene:scaffold_38400002.1 transcript:scaffold_38400002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MXF9] MGSFSLASFSAFFRFSETQDSFSFSCLISLDVFSSFLFSSVLSFLSCSSSPFDDPTLLLKVISFSR >scaffold_38400004.1 pep scaffold:JGI8X:scaffold_384:7470:7910:-1 gene:scaffold_38400004.1 transcript:scaffold_38400004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRTISRQATMTTSSSTENLPRVLIVKRPAAMDVFGDRFVASTKFEILKAFEFPLPLPEFLANHSDSVSAVIAPVAAPVTADLIRLLPNLRLVGTTSAGVDHVDLVECLHRGISVANAGSSFSEDVVIGKK >scaffold_3900003.1 pep scaffold:JGI8X:scaffold_39:12969:13322:1 gene:scaffold_3900003.1 transcript:scaffold_3900003.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7LHD1] MERVRDLASKKAAVIFTKSSCCMCHSIKTLFYELGASPAIHELDKDPQGRDMERALFRVFGSNPAVPAVFVGGRYVGSAKDVISFHVDGSLKQMLKDSKAIWL >scaffold_3900004.1 pep scaffold:JGI8X:scaffold_39:15427:15776:1 gene:scaffold_3900004.1 transcript:scaffold_3900004.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7LHD2] MDKVMRMSSEKGVVIFTKSSCCLCYAVQILFRDLRVQPTIHEIDNDPDCREIEKALLRLGCSTAVPAVFVGGKLVGSTNEVMSLHLSGSLVPLIKPYQSILY >scaffold_39600002.1 pep scaffold:JGI8X:scaffold_396:2010:3288:-1 gene:scaffold_39600002.1 transcript:scaffold_39600002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor C1 [Source:UniProtKB/TrEMBL;Acc:D7MXG7] MGAFMSRFWFMMFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTHPTVGSNVEELVYKNIRFEVWDLGGQDRLRTSWATYYRGTHAVIVVIDSTDRARISFMKDELARLLGHEDLQNSVILVFANKQDLKDAMTPAEITDALNLHSIKNHDWHIQASCAVTGEGLYDGLGWIAQKVTGKATS >scaffold_39800002.1 pep scaffold:JGI8X:scaffold_398:3698:4383:-1 gene:scaffold_39800002.1 transcript:scaffold_39800002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPFSFTEIEPKEDLMSDPVFMEPWLEYKRQRPHSPSSSSPPQLEDLTEEEYLALCLVMLAKDQRSQTRFQQSQPQTPHRESKKLSYKCRVCRKKFQSYQALCGHKASHGFKQPTGIANADDSSTAPTVSAVAGEKHPISASGMIHECSICHKVFQTGQALGGHKSTHRNKPPTKVAKADDSSTALTVSAVAGEEYPISASDKTHDRVFNLS >scaffold_40000001.1 pep scaffold:JGI8X:scaffold_400:34:855:-1 gene:scaffold_40000001.1 transcript:scaffold_40000001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILTLLLLILPLGFFINPSVSDSRGATVAQICSNRTTPPQQRSLFVKTFLAAMDAVSPLVEAKGYGQVVNNANGNLTVYAYGECIKDLNKKDCDLCFAQIKAKVPICLPFQRGTRGGQVFSDGCYIRYDDYNFFNETLGLQDRTVCAPKEITGVNRTVFRDNAAELVKNMSVEAVRNGGFYAGFVDRHNVTVHGLAQCWETLNRSGCVECLSKASVSIGSCLVNEEGRVLSAGCYMRFSTQKFYNNSGNSTSDGNGGK >scaffold_400001.1 pep chromosome:v.1.0:4:27678:28993:1 gene:scaffold_400001.1 transcript:scaffold_400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFSYGFRTCNFWITRSGEFTLWKGQSFNFKMILQARDQPIRSMVWSHNDNYMVSVQYANKTDLKLLF >scaffold_400007.1 pep chromosome:v.1.0:4:94793:95701:-1 gene:scaffold_400007.1 transcript:scaffold_400007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVVGHQNNPLYIQSFTEADDALKLHHIVHCSLDVIEERVNNPKKSGTTLNEAFLGLLYPTVNYKVYGYLTNTKVKFILVTTDLDVRDTDVRSFFRKFHAAYVDAVSNPFHVPGKKITSRTFAQTVSNIVGSYGLN >scaffold_400008.1 pep chromosome:v.1.0:4:96245:96675:-1 gene:scaffold_400008.1 transcript:scaffold_400008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLKEIVKKYGKVALGVHFSVSGVSISGFYIAIKNNVDVESLLEKYQIPWFSNKENPNPSLNLKIEEEGSGTSDNKTKQLAKSAGGALALAVLCNKALFPIRVPITMALTPPIARFLRQRKILKTGQ >scaffold_400014.1 pep chromosome:v.1.0:4:130419:132553:1 gene:scaffold_400014.1 transcript:scaffold_400014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEKAAETVVVGNYVEMDKEGKASDIKSKLSDMFWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGILGSWTAYLISILYVEYRTRKEREKVNFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTIASILHGQVQGVKHSGPSKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKSIYLFATLYVLTLTLPSASAVYWAFGDLLLNHSNAFALLPKNLYRDFAVVLMLIHQFITFGFACTPLYFVWEKLIGMHECRSMCKRAAARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHIFTFRSSAARENAVEQPPRFLGRWTGAFTINAFIVVWVFIVGFGFGGWASMINFVHQIDTFGLFTKCYQCPPPVMASPPPISHPHFNHTHGL >scaffold_400016.1 pep chromosome:v.1.0:4:134021:134223:1 gene:scaffold_400016.1 transcript:scaffold_400016.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKZ5] MGQANVRDCIIFSFRETKGCDGPAMIWTVGDALQEVSQPLDSNLRVEFMYFFK >scaffold_400019.1 pep chromosome:v.1.0:4:141624:143465:-1 gene:scaffold_400019.1 transcript:scaffold_400019.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LKZ8] MPISNPRKRPICVARSLLSRHATKAELSQAVSRLESLTQQGIRLPFDLLASLLQQCGDTKSLKQGKWIHRHLKITGFKRPNTLLSNHLIGMYMKCGKPIDACKVFDQMHLRNLYSWNNMVSGFVKSGMLVRARVVFDSMPERDVVSWNTMVIGYAQDGNLHEALWFFKELRRSGIKFNEFSFAGLLTACVKSRQLQLNQQAHGQVLVAGFLSNVVLSCSIIDAYAKCGQMESAKRCFDEMTVKDIHIWTTLISGYAKLGDMEAADKLFREMPEKNPVSWTALIAGYVRQGSGDLALDLFRKMIAMRVKPEQFTFSSCLCASASIASLRHGKQIHGYMIRTNVRPNAIVTSSLIDMYSKSGSLEASERVFRICYDKQDCVLWNTMISALAQHGLGHKALQMLDDMIKFRVHPNRTTLVVILNACSHSGLVEEGVRWFESMTVQHGIVPDQEHYACLIDLLGRAGCFKELMSKIEEMPFEPDKHIWNAILGVCRIHGNEELGKKAAEELIKLDPESSAPYILLSSIYADHGKWELVEKLRGIMKKRRVNKEKAVSWIEIENKVKAFTVSDGSHAHAHARKEEIYFILHNLAALMEEEASRT >scaffold_400024.1 pep chromosome:v.1.0:4:159945:161207:-1 gene:scaffold_400024.1 transcript:scaffold_400024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILPKSGGGAFGLLFVFALCSVAHSLSRDVKVVGDIEVVGYSDISKIKIPNAFSGLRVTIECKAADSKGHFVTRGSGEVDETGKFHLNIPHDIVGDDGTLKEACYAQLQSASGNPCPAHDGLEASKIVFLSKSGENQVLGLKQSLKFSPEVCISKFFWHMPKFPLPPPLNLPPLTFPKIKKPCPPIYKPPVVIPKKPCPPKIAHKPIYKPPVPIYKPPVPIYKPPVVIPKKPCPPKIHKPIYKPHVPIYKPIYKPPVVIPKKPCPPLHKPIYKPPMPIYKPPVPIYKPIIKPPVVVIPKKPCPPLPKFPHFPPKYIPHPKFGKWPPFPSHP >scaffold_400027.1 pep chromosome:v.1.0:4:169033:171338:-1 gene:scaffold_400027.1 transcript:scaffold_400027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLTAPPSFSGLRRISPKLDAAVSSHQSFFHRVSSSTRLVSSSSSSHRSPRGVVAMAGSGKFFVGGNWKCNGTKDSIAKLVSDLNSATLEADVDVVVSPPFVYIDQVKSSLTDRIDISGQNSWVGKGGAFTGEISVEQLKDLGCKWVILGHSERRHVIGEKDEFIGKKAAYALSEGLGVIACIGEKLEEREAGRTFDVCFAQLKAFGDAVPSWDNIVVAYEPVWAIGTGKVASPQQAQEVHVAVRGWLKKNVSEEVASKTRIIYGGSVNGGNSAELAKEEDIDGFLVGGASLKGPEFATIVNSVTSKKVAA >scaffold_400028.1 pep chromosome:v.1.0:4:176574:177008:-1 gene:scaffold_400028.1 transcript:scaffold_400028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGERSSILDSFSLSPLPYPVLLILAVASVFLLSSWYFSLEDAAESAGEQINFALLLIPLFLIVLVRWLSSMENPDAILGMFSNRRRTTYVSPRAGGDGGSSPWGVAALIVLLLVLLQYQSSFLEMWFG >scaffold_400030.1 pep chromosome:v.1.0:4:188456:190246:1 gene:scaffold_400030.1 transcript:scaffold_400030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor family protein [Source:UniProtKB/TrEMBL;Acc:D7LL09] MKTPIHAVSTWVRRQPPKVKAFLAVVSGMAALVLLRFIVHDHDNLFVAAEAVHSIGISVLIYKLMKEKTCAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTILDLATLGTTLWVIYMIRFKLKATYMEEKDNFALYYVLVPCVVLAVLIHPSTSHNILNRISWGFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFFSCAHWVLQIMDTRGRLLVVLGYGLWPSMVIISEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >scaffold_400033.1 pep chromosome:v.1.0:4:194681:195018:-1 gene:scaffold_400033.1 transcript:scaffold_400033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTARVLQSSKKLLKSLSHSSNNVDIPKGHLAVYVGERMQKRRFMVPVTYLSHPSFQKLLRKAEEEFGFEHPMGGLTIPCTEQIFIDLASRLSTSS >scaffold_400034.1 pep chromosome:v.1.0:4:203988:204343:1 gene:scaffold_400034.1 transcript:scaffold_400034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKRSPKLTQTAMLKQILKRCSSLAKNQCYDEESLPVDVPKGHFAVYVGEKRSRYIVPISFLTHPKFKSLLQQAEEEFGFNHDMGLTIPCEEVFFRSLTSMIR >scaffold_400037.1 pep chromosome:v.1.0:4:210027:212345:-1 gene:scaffold_400037.1 transcript:scaffold_400037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRGNRTPSSTQYQQNPFSTNGGQSTGMSLSHQTSPSTLSQVPPLIRFPAGSPDFSPGPRCTTQPFPTYSDFTQTSPSFHFHNPFAPGSSTLSSSFSNYPLTSPSPSEFHNPLAPTSFTPFPTSSDFTQTSPSSSTFHNSQGPTISTPSSSFYFPQTSPSPSPVSSSTPFSHDSFMHSNPEPWTIPQPSHVFSSTSSGSSTPPSFRRDSFPRSYPGKGSMTHRPILSVLPPSPVYCSNPMVSSSPTSIGRKSIPLMHPSPSVHVANRDSSIRSNQNLHPLPPRVPVKRSNSAKVSRSNTLHSRKSHKRTNSMAPQSSPGSSYLELSDMLVGDSSCGEEGFGELIYDEEAMKEFCSEYMILHNNNNGDQNQNVDVLMITNTADSGGPGNANESKFKTGSTTSGVKRRAGKEIEPSRQLYRSASADTCYSDSIKRRNLQGQVSSSVGRGDKEAADDPKKFQRRLANRAAAARFKEKQTMHILDLERRVKILEKTNASLVGTMTLMEKENMMMMGENKEGKLRVQLLEQKAHLLDEIERLEKEAKEREGVDYSQLKLSEELIAEVNRLKVAAGEGMTNPSQFDSPMMQPLDPNMFQLQLNINEFNQRPLDTNMFQQQVNINEVNEFNQQQPKQDFSGH >scaffold_400043.1 pep chromosome:v.1.0:4:225067:227364:-1 gene:scaffold_400043.1 transcript:scaffold_400043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLCSTTSLSSSFALSSALFVPPSISVPGTRRFMVLCSSEKSQKENQMTVSVTGATGFIGRKLVQRLRADNHSIRVLTRSKSKAEQIFPAKDFPGIVIAEESDWKNCVQGSTAVVNLAGLPISTRWSPEIKKEIKDSRIRITSKVVDLINNSPAEARPTVLVSATAVGYYGTSETGVFDENSPSGKDYLAEVCREWEGTALKANKDVRVALIRIGVVLGKDGGALAMMIPFFQMFAGGPLGSGQQWFSWIHVDDLVNLIYEALTNPSYQGVINGTAPNPVRLGEMCQQLGSVLSRPSWLPVPDFALKALLGEGATVVLEGQKVLPVRAKELGFEFKYKYVKDALRAIMQ >scaffold_400046.1 pep chromosome:v.1.0:4:239393:240212:-1 gene:scaffold_400046.1 transcript:scaffold_400046.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LL25] MVVVEGSLFSQNRRIGGDEIGPKFRRLSSFDSKPMRICLSRSIWTCLESWNLLEDACRGTS >scaffold_400047.1 pep chromosome:v.1.0:4:243515:244683:1 gene:scaffold_400047.1 transcript:scaffold_400047.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (B-box type) family protein [Source:UniProtKB/TrEMBL;Acc:D7LL26] MRILCDACESAAAIVFCAADEAALCCSCDEKVHKCNKLASRHLRVGLADPSNAPSCDICENAPAFFYCEIDGSSLCLQCDMVVHVGGKRTHRRFLLLRQRIEFPGDKPNHADQLGLRCQKVSSARGQESNGNGDHDHNMIDLNSNPQRVHEPGSNHQEEGIDVNNETEK >scaffold_400048.1 pep chromosome:v.1.0:4:245446:247413:-1 gene:scaffold_400048.1 transcript:scaffold_400048.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:D7LL27] MASSTATMLKASPVKSDWVKGQSLLLRRQPSSVSAIRSHVAPSSLTVRAASAYADELVKTAKTIASPGHGIMAMDESNATCGKRLASIGLENTEANRQAYRTLLVSAPGLGQYISGAILFEETLYQSTTDGKKMVDVLVEQNIVPGIKVDKGLVPLVGSNDESWCQGLDGLASHTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDSGLVPIVEPEILLDGEHGIDRTYEVAEKVWAEVFFYLAQNNVMFEGILLKPSMVTPGAEATDRATPEQVASYTLKLLRNRIPPAVPGIMFLSGGQSELEATLNLNAMNQAPNPWHVSFSYARALQNTCLKTWGGREENVKAAQDILLARAKANSLAQLGKYTGEGESEEAKEGMFVKGYTY >scaffold_400049.1 pep chromosome:v.1.0:4:250703:252698:1 gene:scaffold_400049.1 transcript:scaffold_400049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSSKALKRDTAENLVCGLDLFLLGSGEYPFPLLNLFMIFPSIFLDDVIEKLKALNPKQRLIERIRMGMTDTEALEFAQKEHKHNQAFLEELANTMANLCSKDLPNSPMAVRASCQLTVV >scaffold_400050.1 pep chromosome:v.1.0:4:260408:260944:1 gene:scaffold_400050.1 transcript:scaffold_400050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTKYSYLRYLMWQQDGLLTFDATWRKDLKAAGLGWTFSPFSGDLPNRHSALCNNVSLPLMAEALAMRATLDNGKDPHDL >scaffold_400055.1 pep chromosome:v.1.0:4:281640:282766:1 gene:scaffold_400055.1 transcript:scaffold_400055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVDLNHAHFSSPPSPNTKLPIMIVRRAMKNSTVVPRGGAIDMEISKYLRQHSRTIAWKSQLFINSYAKALEVHFMVDLDVLTFVPLDFTYKKENITYFHVAC >scaffold_400056.1 pep chromosome:v.1.0:4:284545:286990:1 gene:scaffold_400056.1 transcript:scaffold_400056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSVQCLFSCNSHHHHQRKLSGSLWRLGSKVLVSSSLWKLSLYSGFDLNRRRTLICAVKGDPEDAFKKTVEIDRMIDALRDANPRQVEKIVVENILAFDEVFWIRLAARSDTCKSEDDKKDYEELATTVMTIVDCVVNKTREKIETSTDILKGILRPAIDGVEEISWPPRDPEAINLMEKEIIQREKEGQLDEGFLSEVSAQLRQAKEDKDKPGLLAMLQKVLQLYSATILSKRSYAKKGNEIVKAEHFLETLIKAPEEQWNKLFVDGLTIGKGDITPDELSAVIKKRIERTLIRTEGGSYQQRILTEYLKGIESRANEIMKLLQG >scaffold_400057.1 pep chromosome:v.1.0:4:287392:291930:1 gene:scaffold_400057.1 transcript:scaffold_400057.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit alpha [Source:UniProtKB/TrEMBL;Acc:D7LBC9] MLTKFETKSNRVKGLSFHPKRPWILASLHSGVIQLWDYRMGTLIDRFDEHEGPVRGVHFHSSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHENPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALKKKSASPADDLMRFSQMNSDLFGGVDAIVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNETKAWEVDTLPSHMNNVSSVMRTGIQTFRREHDRFWILAVHPEINLLAAGHDNGMIVFKLERERPAFALSGDSLFYAKDRFLRYYEYSTQKDSQVIPIRRPGTPSLNQSPRTLSYSPTENAVLICSDLDGGSYELYIIPKDSVGRSDVVQDAKRGTGGSAVFIARNRFAVLEKSTSQVLVKNLKNEVVKKSSLPIPTDAIFYAGTGNLLCRSEDKVVIFDLQQRLVLGELQTPFVRYVVWSNDMESVALLSKHTIIIASKKLVLQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKVSGNTIFCLDRDGKNRAITINATEYIFKLALLRKRYDHVMSMIKNSQLCGQAMIAYLQQKGFPEVALHFVEDERIRFNLALESGNISVAVASATEINEKDHWYRLGVEALRQGNSRIVEFAYQQTKNFERLSFLYLITGNLDKLSKLMKIAEVKNNVMGQFHNALYLGDVKERVKILENAGHLPLAYITASVHGLNDIAERLAIELGDNVPSLPEGKTPSLLMPPPPIMCGGDWPLLRVMKGIFEGGLESANRGGTVDEEEEDVEGDWGEGLDKFDVDGMENTDIEAILDGVEAAEDEDDEEGGWGGLEDLELPPELDTPKASANARSSIFVTPTQGMPVSQIWSQKSSLAAEQAAAGSFDTAMRLLHRQLGIKNFAPLKSMFLDLFSGSHSYLRAFSSSPVVPLAIERGWSESSSPNVRGPPALVFDFSQLEAKLKSGYKATTAGKLSEALRVFLSILQTIPLVVVESRREVDDVKELVIIVKEYVLGLQMELKRREMKDDPVRQQELAAYFTHCKLQTPHLRLAYFSAMTVCYKAKNMATAAHFARSLLDTNPTIESQARTARQVMQAADRNMTDATALNYDFRNPFVICGSTYVPIYKGQKDVACPYCTARFVPSQEGNICSVCDLAVIGADASGLLCSASQVR >scaffold_400058.1 pep chromosome:v.1.0:4:293244:297258:1 gene:scaffold_400058.1 transcript:scaffold_400058.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit alpha [Source:UniProtKB/TrEMBL;Acc:D7LBD0] MLTKFKTKSNRVKGLSFHPKRPWILASLHSGVIQLWDYRVGTLIDKFDGHQGPVRGVHFHTSQPLFVSGGDDCKIKVWNYKTHWCLFTLLGHLDYIRTVQFHHEYPWILSASDDQTIRIWNWQSRTCVSVLAAHNHYVMCASFHPKDDLVVSASLDQTVRVWEIGALKKKTVSPSDDIMRLAEINSDLFDSVDVTVKYVLEGHERGVIWAAFHPNLPLIVSGSDDRQVKLWRMNETKAWEVDTLRGHMNNVSSVMFHAKQDIIVSNSEDNSIRVWDATKRTEIQTFRREHDRFWSLAVHPEINLLAAGHDNGMIVFKLERERPAFALSGDSLFYAKDRFLRCYEYSTQKDSQVIPIRSPGTPSLNQSPRTLSYSPTENAVLIFSDLDGGSYELYIIPKDRSDVVQDEMRGKGGSAVFIARNRFAVLEKSTSQVLVKNLKNEVVEKSSLPIPADAIFYAGTGNLLCRSEDKVVIFDLQQRLVLGELQIPFLRYVVWSNDMESVALLGKHYIIIASKKLAFQCMLHERIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITKVSGNTIFCLDRDRKNRAITINATEYIFKLALLRKRYDHVMSMIKNSQLCGQAMIAYLQQKGFPEVALHFVEDERIRFNLALESGNISVAVASATEINEKDHWYRLGVEALRQGNSRIVEFAYQQTKNFERGEEQCSVKILDNAGHLPLAYITASVHGLNDVAERLAIELGDNVPSLPEGKTPSLLMPPPPIMCGGDWPLLRVMKGIFEGGLESANRGGNTEIEAILDDEEDNDGVCEWGGIGDLDLPTELDSLKVSVSACSSVFITPTQGMPVSQIWSEKSSLAAEQAAAGSFDTAMRLLHRQLGIKNFAPLKSKFLDLFSGSHSYLRAFSSSPVVPLAIERGWSESSSPNVRGPPALVFDFSQLEAKLKSGYKATTAGKLSEALRVFLSILQTIPLVVVESRREVDDVKELVIIVKEYVLGLQMELKRREMKDDPVRQQELAAYFTHCKLQTPHLRLAYFSAMTVCYKAKNMATAAHFARSLLDTNPTIESQARTARQVMQAADRNMTDATALNYDFRNPFVICGSTYVPIYKGQKDVACPYCTARFVPSQEGNICTVCDLAVIGADASGLLCSPSQVL >scaffold_400065.1 pep chromosome:v.1.0:4:324180:325579:-1 gene:scaffold_400065.1 transcript:scaffold_400065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNQQNSQKKACTQEDLAKRLDPFYLPNLFDGLEDSKYGCLADDVRRLCKLKRDYLRGSISLEDIEARAEKKPAKSANITIIEPTKSASITIIEPTKSTNIIIIDSDDEMPQDSVIPLDDRRSKKLKEVIVVDDDEPWFSGYDKLTKGSASTSNALRNSSYGNSSGCLQEGCVGEGSTRNSTVNDNQTPMDIDAKEEEH >scaffold_400069.1 pep chromosome:v.1.0:4:343386:343629:-1 gene:scaffold_400069.1 transcript:scaffold_400069.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBE0] MKIRVFSGIGGSLFTFGWLLTPAISASLASLFGFDSGIGGFFCTFGWLLTPAISASLASLFGFDFDG >scaffold_400073.1 pep chromosome:v.1.0:4:354555:355068:-1 gene:scaffold_400073.1 transcript:scaffold_400073.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBE3] MAWSPVRNDVSRSRHGESSVMKPGVHPRSFSRVGELPPRQERSTGVEHALPQSRDNFSRREESKIPEGSLHREDSSRPRSARTPPPRPQREEMAPPPTEQGEVSSIPKTRRPALERLSLGGTSHPQPLPVLTHSVESDHLQDIEIRYAEEANQ >scaffold_400096.1 pep chromosome:v.1.0:4:451760:453125:1 gene:scaffold_400096.1 transcript:scaffold_400096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIAETSSDDGGSNGGDQNKKPKEVEKKPKEEENRNKKLKEKLSFSLPIPDDLTLSCFALVPRCHYQALSLVSSNFRDIIWSRDLYVKRSDLGRTESVLYAYIRLFPLEKPSWYILHREPYRNLRNTVPSRLTLIDSLPPMPLGAAVVTIGSDIYVIGGQICGRPSAAMTLMDCKSHKYRLLPSMRMSRFRAGAGVVDGKIYVIGGCMVQLFYWVEVFDIKKQTWSGLGFPLLPKVETEYLTYEVMGKKIYLRGKISAYVFDPETLVLDHALLLGIHFPTAWHESSCVIDDMLFCMNPQLLLRKYGLLGSQQGLVGLEGLPDHPILVYYPKRYVNRMRYLKGLQGFPANMYLKECKLANFGGNLVVLGTNQSRFNKCKGKKEVWCVEISLERRGIDDIWGKVVDWSKVESVAVVLTQFNCSPSIELCRTVTFVSLASRLS >scaffold_400102.1 pep chromosome:v.1.0:4:471408:471918:-1 gene:scaffold_400102.1 transcript:scaffold_400102.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKTIFMIYSLIMVLLSFSHPTLAIEGDNDEPLLISDDEFDGMIAMSPTSDDYNENVGRKYSKKQIDYLKNCSKKMDVPYQCTVEVLADIIQNKSVSRDCCRGIVRAGKECHTEWMRLFFQIYQLKRFSSKRFSKTNEIWNRCSTEIGAVSPFSR >scaffold_400103.1 pep chromosome:v.1.0:4:475300:475810:-1 gene:scaffold_400103.1 transcript:scaffold_400103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKTIFMIFSLIMVLLSFSHPTLAIEGDNDESPLISDDEFDATIAMSPTSNDYNVNMYRKYSKKQKDYLKNCSKKMDVPYQCAEEVLAEILLNKSASRDCCRGIVKAGKECHMEFMNLFFQVYQLKHFSSKRFSKANAIWNRCSTEIGAVSPFSG >scaffold_400105.1 pep chromosome:v.1.0:4:479883:480300:-1 gene:scaffold_400105.1 transcript:scaffold_400105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTSFLVAIVALLLVLNISGRTLPETADSTNIAARLNGGGLMECWNALYELKSCTNEIVLFFLNGETKLGVDCCQAVEVITTDCWPAMLTSLGFTSDETNVLRAFCQSPNSGGSSPAPSSVKL >scaffold_400106.1 pep chromosome:v.1.0:4:481320:481737:-1 gene:scaffold_400106.1 transcript:scaffold_400106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTSFLFVTVTLLLALNVSGRTLPVVADSTNIAARLTGGGLMQCWDALYELKSCTNEIVLFFLNGETKLGSGCCNAVDVITTDCWPAMLTSLGFTSEETNVLRGFCQSPTSGGSSPAPSPAEV >scaffold_400107.1 pep chromosome:v.1.0:4:486897:491645:1 gene:scaffold_400107.1 transcript:scaffold_400107.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:D7LBY5] MNTGGRLIAGSHNRNEFVLINADETARIRSVQELSGQTCKICRDEIELTENGEPFIACNECAFPVCRPCYEYERKEGNQACPQCRTRYKRIKGSPRVEGDEEDDDIDDLEYEFYGMDPEHVAEAALSMRLNTGRGTNEVSHLYPAPEESQVPLLTYCDEDADMYSDRHALIVPPSMDLGNRVHHVPFTDSFASIQTRPMVPQKDLAVYGYGSVAWKDRMEVWKNRQVEKLQVFKNVGGIDGNGDGDGFIVDELDNPELPMMDEGRQPLSRKLPIRSSRINPYRMLIFCRLAILGLFFHYRILHPVNDAFGLWLTSVICEIWFAVSWILDQFPKWYPIERETYLDRLSLRYEKEGKQSELAPVDVFVSTVDPLKEPPLITANTVLSILAVDYPVEKVACYVSDDGAAMLTFEALSYTAEFARKWVPFCKKFNIEPRAPEWYFSQKMDYLKHKVHPAFVRERRAMKRDYEEFKVKINALVSVAQKVPEDGWAMQDGTPWPGNNVRDHPGMIQVFLGHSGVCDMDGNELPRLVYVSREKRPGFDHHKKAGAMNSLIRVSAVLSNAPYLLNVDCDHYINNSKAIREAMCFMMDPQSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGFDAPKKKKPQGRTCNCWPKWCCLCCGLRKKKTAKAKDNKRKKPRETLKQIHALEHIEEGLQVSNVENNSETAQLKLEKKFGQSPVFVASTLLLNGGVPSNVNPASLLRESIQVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCHGWRSVYCMPKRAAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSLPLLVYCSLPAICLLTGKFIVPEISNYAGILFMLMFMSIAVTGILEMQWGKVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVNTNFTVTSKAADDGEFSELYIFKWTSLLIPPTTLLIINIVGVIVGVSDAINNGYDSWGPLFGRLFFALWVIVHLYPFLKGLLGKQDRVPTIILVWSILLASILTLLWVRVNPFVSKDGPVLEICGLNCLN >scaffold_400111.1 pep chromosome:v.1.0:4:516695:518616:1 gene:scaffold_400111.1 transcript:scaffold_400111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQHFSHECKLASPEIFTYGVCNICYKDEPVEFACKSCKFDLCKTCCELPQKVFHEFHPDHTLEFCLGQYDRTPEYVVCSGCGNMFSGSFYECKECEIYLDLGCAHFKNIVTSWDLREMLHYSHCHLVKRCRPGQDAKGCCLLCELPLSPSSICYGCVHCYSFLHERCLDLPIEIQHPVHPPHPLRRLDYAHNCGVGRDCNACGDKIIGVPFGCLECNFDIHMRCADSLLRSLMHKSHEHRLFYVCGGMKSTYGRMPCEICMRGDWVYATYYYQCVECDSIFHFKCVDIPESVVKKSFHIHPLVLKTFVAENNSMEYCGVCETIVHAGHHAYTCKECDFVGHIGCILREEEPSPLYLKDLYSSGKVTMRSIDQDYSGTNNLENKLTVNDIWHIHVMKPVLMSDLDKYPCCKICGGKILSNPWKCETCSFETHHYCAELGRPSKHRLHQNHPLTLLPKYPSQEKMKCDVCRGNIYNFNLFCRICDFVIHINCALKSKHVLEALRQKFTGTWRGWCKKGVHNRLVQVMVSRSYPTTCVICDEKLCGKAVSCMKCEEIYHPQCIESYHT >scaffold_400113.1 pep chromosome:v.1.0:4:520901:522060:1 gene:scaffold_400113.1 transcript:scaffold_400113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLEHFSHSCPLTSPEVVSEGICNICFEDQVVEYACKPCNFDICKTCSKLPQKVSHDFHSEHPLEFCLFQYDRKPGYIVCSCCGNMSSCSFYECKECEIYLDLGCALLRNIFTGWDVREMLHYNHEHLLRRCRPGQDARGSCLLCELPLSPSSICYGCVYCYSFLHERCRPGPPIKQAPKVQGPDLERCLDLPTEIRHPVHLVHPLRRLDYIQSGGRTFCGACREEIDSVPFYAMRGKRFLGMLGPKVVGTWRGRCLGGKHGMVQVTFPRSYQKVCIICHERVLGKAVSCMECETVYHLRCIDRHRIKDRDSS >scaffold_400117.1 pep chromosome:v.1.0:4:531552:533810:-1 gene:scaffold_400117.1 transcript:scaffold_400117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYASRFLSRSKQLQGSLVILQQQHAIPVRAFAKEAARPTFKGDEMLKGVFFDIKNKFQAAVDILRKEKITLDPEDPAAVKQYATVMKTIRQKADMFSESQRIKHDIDTETQDIPDARAYLLKLQEIRTRRGLTDELGAEAMMFEALEKVEKDIKKPLLRSDKKGMDLLVAEFEKGNKKLGIRKEDLPKYEDNLELSMAKAQLDELKSDAVEAMESQKKKEEFQDEEMPDVKSLDIRNFI >scaffold_400120.1 pep chromosome:v.1.0:4:579683:581256:1 gene:scaffold_400120.1 transcript:scaffold_400120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP96A5 [Source:UniProtKB/TrEMBL;Acc:D7LCL6] MAYVGLLEVFIAFLVFIFFHFLIHKKAHHILPRNWPVLGMLPGVLFMLHMINDYVAEILEVSNLTFAFKGPWFTGMNMLITADPANIQHVFCSNFSNYDKGPEFKEMFDFLGNGIFTADSKLWEDMRKSALVVLSHQGFQSFSLRTITRKIKNGLVPVLDHFSEANTVFDLQDVFQRLAFDVTLTLVTGYDSSSLSIEMPKNEYAKAMDDAEEVVVYRHVKPLILWKLQNWFGLGQEKKMTKANAAFDRSCAKYISAKREEIISHHSNIGGKAREEDLLSVYMNLDTSKYELLNPEDDNFLKDIIKSFMLAGRDAIATTLTWFCWLLSKNPEAVTKIRQEINTNLPGSGMSLDADMLNKMVYLHGALCESLRLYAPIPFERKTPIKQDVLPSGHKVDANWKILFSVYALGRMKAVWGEDACEFKPERWISERNGGLKHEPSFKFFVFNSGPRNCLGKSLSFLQMKTVAIEIIRNYDIKVVEGHKIEPASSIVLHMKHGLKVTVAKRCLVS >scaffold_400121.1 pep chromosome:v.1.0:4:584217:585430:-1 gene:scaffold_400121.1 transcript:scaffold_400121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISDDRSKISDLLPIDLKMEILKRLPVKTLGRFLCLSKEYASIIRRRDFMKLYLTESTNSPQRLIFTFENKTHWKHFFFSASPWEQEEEDEMSPQNEDESSSSACVATYHMKCHSQPYTTIAPSVHGLICYGHPSKLMVYNPSTRRSITLPKIDSQRINMYHFLGYDPIHGDYKVLCMTVGMHVYKGRGMAQELRVLTLGNGNSWRLIEDFPPHFLDYHYSPDICINGVLYYGALLDIKRPAVMSFDVKSEKFHHIKGPDCDLRPKLKSFNGKLVALFSTNGGFELWALEDAAKHEWAKKLFVSDIDCWRNGCMFQVFCLTDECEFIFAPKELGKPPFSLLYYDPQENIEGTVHIQGITELKLPLWDNDSDRRLISIFSGQVENLMCL >scaffold_400122.1 pep chromosome:v.1.0:4:592296:593979:-1 gene:scaffold_400122.1 transcript:scaffold_400122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAIMSFDVRSEKFHLVKRPQTAPVSNLASYEGKLAVLFSGISDCRITLWVLEDVVKHEWSEKLYVLPPLDGVNYYHFYPFCVADGVVYSHFETSDVVLESTSSSSPRVKRRRKKISDDRSTNSDLLPTDLIMEILKRLPVETLFRFCVWKNWASIIRGRYFMKMFLAESTSRPARLFFGFKHERVKPL >scaffold_400125.1 pep chromosome:v.1.0:4:613155:614365:-1 gene:scaffold_400125.1 transcript:scaffold_400125.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein At2g21930 [Source:UniProtKB/TrEMBL;Acc:D7LCM1] MEKQRSKKMKKISDDLITCRANPVQIPFDLIPEILKGLPVKTLARFLSLSKEYTSIIRNRDFMKSYLIKSSTRPQSLIFTIAGNGKHCFFSLLDQGESTSSSVPTYLMNCQDLFRTVAPSVHGLICHGPPSKLIVSNPSTRRSKILPKIDTQSVSIYHHIGYDPIDGDYKVLCMIKGMHVKWGRYLAQELRVLTLRKRTSWMVIEDFPPHCLCYEDTPDLCINGVLYYVAMLDTAANHAVMSFDVRSEKFHLIKGGPDHDFRPKLTRYEGKPTLLFPGIADCRIHLWVLVDAAKHEWSKMSYDVLSTLVHSPYFHHYLFCTNDAGEIVLAPDYVRSKTFEVLFYHPKKKTMRSVVIQGIRELMVPRWDKDRYLRIISVFSGQVDNLMFL >scaffold_400128.1 pep chromosome:v.1.0:4:624940:626908:1 gene:scaffold_400128.1 transcript:scaffold_400128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALTVSSLGNTPIASFNRRFRFRLHPRNLPILAAVSPSSSSSSSPTASSGFDLSSLESAINKKDSNGVKEALDKLSEEGWAKKWSSQPYLSRRTTSLRELTTLGIKNAETLAIPSVRNDAAFLFTVVGTTGFIAVLAGQLPGDWGFFVPYLVGSISLVVLAVGSVSPGLLQAAISGFSTFFPDYQERIAAHEAAHFLVAYLIGLPILGYSLDIGKEHVNLIDERLAKLIYSGKLDSKELDRLAAVAMAGLAAEGLKYDKVIGQSADLFSLQRFINRSQPKISNEQQQNLTRWAVLYSASLLKNNKTIHEALMAAMSKNASVLECIQTIETAS >scaffold_400138.1 pep chromosome:v.1.0:4:658252:658453:1 gene:scaffold_400138.1 transcript:scaffold_400138.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LCN5] MELKEQGLRLSLVLCNASVLNCAALALTATLLSSLLELFSQASSFFFQLFFPS >scaffold_400143.1 pep chromosome:v.1.0:4:697061:704615:1 gene:scaffold_400143.1 transcript:scaffold_400143.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LCP2] MASALGWRFSSTNGNGLAPSDTERNGDMKMQDSEPPTPHSSTKMSLRDRTTSMEDPDGTLASVAQCIEQLRQGSSSAQEREYCLKQLLDLIEMRENAFSAVGSHSQAVPVLVSLLRSGSVGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSVEGQIAAAKTIYAVSEGGVKDHVGSKIFSTEGVVPVLWDQLRSGNKKGEVDGLLTGALKNLSSTTEGFWSETIRAGGVDVLVKLLTSGQSSTLSNVCFLLACMMMEDASVCSSVLTADITKQLLKLLGSGNEAPVRAEAAAALKSLSAQSKEAKREIANSNGIPVLINATIAPSKEFMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYDGKAETTRASDPLVVEQSLLKQFKPRLPFLVQERTIEALASLYGNSILSVKLSNSDAKRLLVGLITMAVNEVQDELVKALLMLCNHEGSLWQALQGREGIQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAREDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSSNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKIYVLDALKSMLSVVPFNDMLREGSASNDAIETMIKLMSSAKEETQANSASALAAIFQSRKDLRESALALKTLLSAIKLLNVDSEKILVESCRCLAAILLSIKENRDVAISAREALPTIVSLANSSVLEVAEQGMCALANLILDSEVSEKVIVEDIILSATRILREGTVSGKTLAAAAIARLLSRRRIDSALTDSVNRAGTVLALVSLLESADGRSDAISEALDALAIFSRSGANGNVKPAWVVLAESPNSMAPIVSSIVSVANPSLQDKAIEVLSRLCRDQPMVLGNMVNNARDCVSSIAKRVISTRDPKIKIGGAAIIICAAKVNDQKMIENLNETQLCAKFVQALVRILDLSQISVQDQEKDEKDKICICIHPKEKEEDEEEEATENREGSTGATVISGDNLAIWLLSVLSCHDEKSRAVILESEGIELITDRIGNRFLQADNGEDANIWVCALLLAILFQDREITRAHATMKAVPVLSNLVKSEEYADRYFAAQALASLVCNGSRGTLLSVANSGAAAGFISLLGCSDDDIKELLQLSQEFALVRYPDQVALERLFRVEDIRVGATSRKAIPLLVELLKPIPDRPGAPLLSLNLLTQLAGDCPQNMIVMVESGALEGLSKYLSLGPQDEQEEAATGLLGILFSSAEIRRHESAFGAVSQLVAVLRLGGRGARYSAAKALDSLFTADHIRNAESSRQAVQPLVEILNTGSEREQHAAIAALVRLLSDNPSRALAVADVEMNAVDVLCRILSSNYTMELKGDAAELCYVLFANTRIRSTVAAARCVEPLVSLLVTEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVVPLVGLLYGKNYVLHEAISRALVKLGKDRPACKLEMVKAGVIDCVLDILHEAPDFLCAAFSELLRILTNNATIAKGQSAAKVVEPLFHLLTRLEFGADGQHSALQVLVNILEHPQCRADYTLTPHQVIEPLIPLLESPSPAVQQLAAELLSHLLYEEHLQKDPLTQLAIGPLIHVLGSGIHLLQQRAVKALVSIALTWPNEIAKEGGVSELSKVILQADPSLSNVLWESAASILVIILQFSSEFYLEVPVAVLVRLLRSASENTVVGALNALLVLESDDGTSAESMAESGAIEALLDLLRSHQCEDTAARLLEVLLNNVKIRDSKATKTAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEALARSTDAASACRALVNVLEEQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLISSSDPETSVQAAMFVKLLFSNHTVQEYASSETVRAITAAIEKDLWATGTVNDEYLKALNSLFNNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPAEVSRAQSVAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVTIKRGNNMKQSVGNPSVFCKITLGNNPPRQTKVISTGPNPEWDESFSWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYSLLPESKSGPRNLEIEFQWSNK >scaffold_400147.1 pep chromosome:v.1.0:4:713817:714127:1 gene:scaffold_400147.1 transcript:scaffold_400147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVFLITWPCSSAQPIDPNACWQTIKHPPHECLESIKGIVHGHVHEIKKECCETVSTISDLCWPIIFPSMPYIRFLLKGICTVKYSLH >scaffold_400154.1 pep chromosome:v.1.0:4:772893:774780:-1 gene:scaffold_400154.1 transcript:scaffold_400154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLASSVYEFSESSQMEDLGNNNVVSQYIDHQCALWSCRQIFLDGNRYCSVAHEQRADHDVDVPTPHSFLDVYSHGELERYVLPKVTSLLLNGNANPGIVDLSHDTDILTVGPWNLQDHFDTNLEPREDFTIWLFVGMFTPDPDVWRPGTSAYVMGRIVRRIYRPLHPIANGSVWILHRYILNNHADRALYTMQYFKPPVPPQPVIAAYYNNPDDDDDGDDDDDDDDDRMEEPPRKVKHGRNCENPEVLKTKAGGSTSYNIQRISGNGKRQKGTISKGSDIERNNNVLMEKKTRESLWKDEDDEGLGRDVERMNLGLQNLKKKIKLLVDMKSSKILTSVSKEIQMGLDSQTTYVFL >scaffold_400156.1 pep chromosome:v.1.0:4:783929:784476:1 gene:scaffold_400156.1 transcript:scaffold_400156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKVKCCSRVTIDSFPDEILAKIISFLPTKRAASTCLVSKRWRNLFPLTFQLFASQHHLYLDYSDLVYPEEGKRERKDVEKSFWDFVDKAFSSYNSIIKKLSLKCPRSCVHTETDQWLSHALERGGVVDLDLRIPIGFTRLQSKWPSVFVNVLSFVFTIVKEKNRV >scaffold_400158.1 pep chromosome:v.1.0:4:790991:791228:-1 gene:scaffold_400158.1 transcript:scaffold_400158.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDC1] MAITSLWRIFSLSHGASKPQKLPIHTIMLYTLQCFHLISLKLRSNVCSLLYLLLLLQRILTLELL >scaffold_400159.1 pep chromosome:v.1.0:4:791525:793374:-1 gene:scaffold_400159.1 transcript:scaffold_400159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRRGSKRTRPDPPQSLQDDSDVNVFSDLKEIMSALQQIREKAHEDARKQKEESISSVSTEVKSKIDELKSKLEKERQNFAKALSNECESILKDKAADKADHLQSLKGKKEETMITEHEKFCTEKLAQLEESLKKKKLDDENFTILRKTLGSFLENEASDEEYPPDE >scaffold_400160.1 pep chromosome:v.1.0:4:796419:796677:-1 gene:scaffold_400160.1 transcript:scaffold_400160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARAVYLGEAEQVPTKDDKELELEIVRNLRKRCVESQRQISVAVEAFPLEFQDQLNQYMDKRFVYTFLLQI >scaffold_400161.1 pep chromosome:v.1.0:4:799031:799289:-1 gene:scaffold_400161.1 transcript:scaffold_400161.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LDC5] MKPFSRIILMKPPRPFSLIASNALYATVKAIASASSLSLGIGSRFRYRNNKGHDNCQTRRLVFVGLRFWIKI >scaffold_400164.1 pep chromosome:v.1.0:4:806922:807139:1 gene:scaffold_400164.1 transcript:scaffold_400164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTMKIIFYLMVFVFVFWDPSSAQPTDPEGCFKAFKSTSRGCLEGEGSPRRSKIRC >scaffold_400168.1 pep chromosome:v.1.0:4:817667:818015:1 gene:scaffold_400168.1 transcript:scaffold_400168.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKTMKIIFFLMVFVFAMTWFPTSAQPTDPEGCFKAFKSTSRGCLESIKGILHGHVHGIKKECCETVSIVSDVCWPIIFPSMPYIRFVLKGICTIKYSLH >scaffold_400169.1 pep chromosome:v.1.0:4:820793:821574:-1 gene:scaffold_400169.1 transcript:scaffold_400169.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid-associated family protein [Source:UniProtKB/TrEMBL;Acc:D7LDD3] MMPRRDVLFLSLLLVIATVSAVAFADDEADCVYTFYLRTGSIFKAGTDSIISARVYDKYGDYIGIRNLEAWGGLMGPGYNYYERGNLDIFSGKAPCLPSPVCSLNLTSDGSGDHHGWYVNYVEVTTAGVHAKCSYQSFDVEQWLASDTSPHELSAVRNNCPVSLRESVGRVGSEIRKTLSWIV >scaffold_400170.1 pep chromosome:v.1.0:4:827040:827950:1 gene:scaffold_400170.1 transcript:scaffold_400170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPRRPPSATAPESDDDKPDDPPSVWHRPTSSLPALPSLDPPSHHWRNHSLNLSPLPTTSSPSLPPPDSIPELETYVVQVPRDQVYWTPPPEHAKYVEKRRKNPEKNKKKGCSKRLLWFFIILVIFGFILGAIILILHFAFNPTLPVFAVERLTVKPSNFEVTLRAENPTSNMGVRYMMKKNGVVSLTYKNKSLGGGTFPGLSQAASGSDKVNVKLNGSTKNAVVQPRGSKLPLVMMLKMELNAEYEAGPVKRNKEVVVTCDLKVKGLLDAKKVEIVSENCESEFKN >scaffold_400172.1 pep chromosome:v.1.0:4:847578:849415:-1 gene:scaffold_400172.1 transcript:scaffold_400172.1 gene_biotype:protein_coding transcript_biotype:protein_coding METASLPFPVPNTSFGLNQPKPLGLNQLTPYQIHQIQNQLNHRRSTTSKLSPKPIRMKNLSPSSSKTKNLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFETAEEAAMAYDQAAFQLRGDITKLNFPNIRHEDINPLPSSVDAKLQAICKSLRKTEEKYSVSGKTEHVLPKTELFLPKTERLETKEFFDESPKSDENSSQAGYSSSDITLLDFSDSEFEEIGSFGLVKFPSVEIDWDAISKLDSVTTSPSPRSLSFLFRRALQQSTLKTWAGIEENVKAAQEALYMRCKANSEATLGTYKGDAKLVDGAAGSLHVKH >scaffold_400174.1 pep chromosome:v.1.0:4:854636:856746:-1 gene:scaffold_400174.1 transcript:scaffold_400174.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-3-phosphate synthase isozyme 2 [Source:UniProtKB/TrEMBL;Acc:D7LDE0] MFIESFKVESPNVKYTENEIHSVYDYETTEVVHENRNGTYQWIVKPKSVKYDFITETRVPKLGVMLVGWGGNNGSTLTAGVIANKEGISWATKDKVQQANYFGSLTQASSIRVGSYNGEEIYAPFKSLLPMVNPDDVVFGGWDISDMNLADAMARARVLDIDLQKQLRPYMENMIPLPGIYDPDFIAANQGSRANSVIKGTKKEQVDHIIKDMREFKEKNKVDKLVVLWTANTERYSNVIVGLNDTMENLLASVEKDESEISPSTLYAIACVLEGIPFINGSPQNTFVPGLIELAISKNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVASNGILFEPGEHPDHVVVIKYVPYVADSKRAMDEYTSEIFMGGRNTIVMHNTCEDSLLAAPIILDLVLLAELSTRIQFKAEGEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNMIMEYK >scaffold_400177.1 pep chromosome:v.1.0:4:868875:870556:1 gene:scaffold_400177.1 transcript:scaffold_400177.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7LDE2] MNSTAANRSNQPSSVGISDGQITNDEAESLHKKKKCSGYELREVTCSDTFSDNGSLNKKKLHYHQDQRRMSLTSIVAVETPSSTDAPTRRTIDLGHGSDLIYIQRFLPFQQSWTFFDYLDKHIPWTRPTIRVFGRSCLQPRDTCYVASSGLTALVYSGYRPNAYSWDDFPPLKEILDAIYKALPGSRFNSLLLNRYKGASDYVAWHADDEKIYGPTPEIASVSFGCERDFVLKKKKHEEFSQEKTGDGGPAKKRLKRSSREDQQSLTLKHGSLLVMRGYTQRDWIHSVPKRAKAEGTRINLTFRLVF >scaffold_400185.1 pep chromosome:v.1.0:4:921622:922994:1 gene:scaffold_400185.1 transcript:scaffold_400185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIQFGSTCIAQWSTIRPPFAPVRSSRQLNSMIQINCLGASKSSMFSHGSLPFLSMPGVLRNRRNCRGSRFIVKADAVYYLVLGVSKNATLSEIKTAYRKLALSYHPDVNKNPDAEERFIEISNAYEVLSEEMKSHYDKYGGEAGMEDIRAWFQNEESEFYSLKTLKAKCTTCGGLGQVVSSASAPLDVNQQVMTCSSCNGTGVVRALDDETDKSQGIGTTMKVPAGTQTLLVMAKKGVQVEIPKRLSKEEKKLIEDMSKNKTS >scaffold_400188.1 pep chromosome:v.1.0:4:928380:928748:-1 gene:scaffold_400188.1 transcript:scaffold_400188.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE00] MDQIEKTYELQHVVLVGLSCAGLGITIFFPAIDPALFESPRFSLVARFFLFTVLKISVKSVLKEFSTFVEFSAEVSRKKSPSFSANSLASSTPEPISDFLNPSCYQSE >scaffold_400194.1 pep chromosome:v.1.0:4:958743:960791:1 gene:scaffold_400194.1 transcript:scaffold_400194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLRCDSTHLFPSRDVVKRTKPFRTSLVCPRIISKRFIVRMRVISEEGDVFSSSKSNGSSMGVEMQPDLVSFGTLAAEMIPTTMDSPVVEDEEFDLDRPTDGFASIPQAIDDIRLGKMVVVVDDEDRENEGDLIMAASLVTPEAMAFVVKHGTGIVCVSMKGEDLERLELPLMVTRKDNEEKLHTAFTVSVDAKKGTSTGVSARDRAQTILTLASKDSKPEDFNRPGHIFPLRYREGGVLKRAGHTEASVDLTVLAGLEPVSVLCEIVDDDGSMARLPRLRKFAQENNLKIISIADLIRYRRKRERLVEFTAVAPIPTMWGPFRAHCFKSLLDGVEHIAMVKGEIGDGKDILVRVHAECITDDIFGNSSGGKQLAIAMRLIEETGRGVFVYLRGPESKGIDLSHKPRTYNTNSDQAEGVSFPVASREYGIGAQILRDLGVREMKVMTNNPAHYVGLKGYGLSISGKVPLVTP >scaffold_400200.1 pep chromosome:v.1.0:4:1009168:1009369:-1 gene:scaffold_400200.1 transcript:scaffold_400200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LE12] MLLSGSPPHNLPLPVTLNRFAEAYQQYTLHILIIPFNKDITPIKKSKNLCFTN >scaffold_400202.1 pep chromosome:v.1.0:4:1026202:1026618:-1 gene:scaffold_400202.1 transcript:scaffold_400202.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LE15] MAHWLSSLVIALTFTSFFTSLSASRHLLQSTPAITPPVTTTFPPLPTTTMPPFPPSTSLPQPTAFPPLPSSQIPSLPNPAQPINIPNFPQINIPNFPISIPNNFPFNLPTSIPTIPFFTPPPSK >scaffold_400203.1 pep chromosome:v.1.0:4:1028546:1028963:1 gene:scaffold_400203.1 transcript:scaffold_400203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITLIHRYIVKDVFAVPFLTKQGIGYHYFCRNSVLHIRQKVVPRSGSKKRSCYPQKDSFKPSNTGKSQSKPDPVHEKPIQTPSEEGEFFDGSVTMCAAPSPRHVPIPIFCGREMIKESSKLVKT >scaffold_400206.1 pep chromosome:v.1.0:4:1044056:1044376:-1 gene:scaffold_400206.1 transcript:scaffold_400206.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE19] MSKIDAIIAPVQAPVQGNGTAITSISPSHWNSSTGPAFSLALLNDKAFSLALLNDMFKQFRSISALTRQERLKLYEVKYGEWNEREVGTIFC >scaffold_400208.1 pep chromosome:v.1.0:4:1051435:1052730:-1 gene:scaffold_400208.1 transcript:scaffold_400208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHETIFDQLKKQIPVDEEESLNLNRDSSVGLVIVDVVNGFCTIGSGNMAPTKHNEQISKMVEESAKLAREFCDRKWPVLAFIDSHHPDIPEIPYPPHCIIGTEEAELVPALKWLESENCATLRRKDCIDGFVGSMEKDGSNVFVDWIKEKQIKVIVVVGICTDICVFDFVATALSARNHGVLSPLEDVVVYSRGCATFDLPLHVAKDIKGAQAHPQELMHHVGLYMAKGRGAKVVSKIYFET >scaffold_400217.1 pep chromosome:v.1.0:4:1114983:1116401:1 gene:scaffold_400217.1 transcript:scaffold_400217.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7LE27] MSYRLLSVDKEEPGLKERNYLGLSDCSSVDSSTIPNVEKSNLNFKATELRLGLPESESPERETDFGLLSPRTLDEKLLFPLLPCKDNTSATTGHKNVVSGNKRGFADTWDEFSGLKGSVRPGGINMMLSPKVKDVLKDERSHAKGGGLNNAPAAKAQVVGWPPIRSYRKNTMASSTSKNTDEVDGKPGLGALFVKVSMDGAPYLRKVDLRTYTSYQQLSSALEKMFSCFTLGQYGLHGAQGRERMSEIKLKDLLHGSEFVLTYEDKDGDWMLVGDVPWEIFTETCQKLKIMKGSDSIGLAPGAVEKSKNKERV >scaffold_400220.1 pep chromosome:v.1.0:4:1142675:1143077:1 gene:scaffold_400220.1 transcript:scaffold_400220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LE29] MQVRMFERRNNMAHNSKRPNFASGSLDFLPLPLYSPQNLNPNQSTPLLFFYIPFYLFAAFSLLSDLYAFSSFPIVRFLFVPNRSLSSLHRILQISSISCRFIGFVRSHRFLVVSSVPDQI >scaffold_400229.1 pep chromosome:v.1.0:4:1209348:1210357:1 gene:scaffold_400229.1 transcript:scaffold_400229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRLAGIISPLGHITTDPIRSSSVKPPSPVYYKTIINHLKSQTGIHVSPGLTNQEISAVESSLGFSFPLDLRSILQTGLPVGTNFPIWRTGSNRNHLLLPLLNLSQIVVRNGFWVDSWGIRPGNDSEALLLVKKLIEIAPVLVPVFGDFYVPSTTPNLAGNPVFQIDGDGVRELSCDVAGFLKGLGRSEVQTEDRRRRRRVEFWSDVAERGRFVVARDTTRDWWSALGLEGLRECLDDAFWKLREGGWTEDEVRDMMMMDGVDRDTWCTKQQTQSRDVVYAFGGEGMDEGDEDTCTEEEDHQKREVTTLRHLLLCDRLMS >scaffold_400234.1 pep chromosome:v.1.0:4:1248037:1248419:1 gene:scaffold_400234.1 transcript:scaffold_400234.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE42] MMLRKTQNTRRAMLLEIESATSADRTILKLAVKTEVTKPLKFEPANVVLGWEQPGPALHAGMEMPKKYPVSKLGRILTGSEQTKVVLDQRQIGHVREVSDIGRGNPEKYAVNR >scaffold_400235.1 pep chromosome:v.1.0:4:1250094:1250454:-1 gene:scaffold_400235.1 transcript:scaffold_400235.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE43] MRYFRDTTSFTAFRRRCSYGSPASDLVFSLLIHSSPEESNLVSSQVCKVFPISSPLPFDVSRRLQIWLLFFSLPRDSTHPGDADVCCFLVISYRSSLPSLDLCDR >scaffold_400242.1 pep chromosome:v.1.0:4:1289388:1290111:-1 gene:scaffold_400242.1 transcript:scaffold_400242.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7LE49] MMSTVPAFSFTAPGLVNQISDFQTGFTLWELDCSDLFSAIHLEPVVPSPCSGESETGSVKINTGFNGFDESCIGSIKTNSGSDDSDLFHGVPSPQSDELDSGNTRIRINAPDHNRNKLNRPVLQVTDDRKRKRMESNRESAKRSRMRKQRHIENLKDEANRLGLENRELGNRLRIVLYNIELICTDNNRLLSEQEILRRRFLEMRQILILRQLQQNPSLIINHQQMI >scaffold_400243.1 pep chromosome:v.1.0:4:1302110:1302633:1 gene:scaffold_400243.1 transcript:scaffold_400243.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPSK2 [Source:UniProtKB/TrEMBL;Acc:D7LE50] MANVSALLTIALLLCSTLMCTARPEPAISVSITTAADPCNMEKKIEGKLDDMVEENCGSDDEDCLMRRTLVAHTDYIYTQKKKKNL >scaffold_400244.1 pep chromosome:v.1.0:4:1315289:1315525:-1 gene:scaffold_400244.1 transcript:scaffold_400244.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE51] MGTTKKKLFFPGKAFAFSINITLPTLDPDPPFLLNDPRRPFLEDLSYDLTPDAITEDPPLKPNG >scaffold_400251.1 pep chromosome:v.1.0:4:1352592:1357573:1 gene:scaffold_400251.1 transcript:scaffold_400251.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCPL12 [Source:UniProtKB/TrEMBL;Acc:D7LE59] MKLKLKLLLLLLFILNHHVDSGSIVKFLPGFEGPLPFELETGYIGIGEKEEVQSFYYFIKSENNPKEDPLLLWLSGGPGCSSITGLLFENGPLALKSEVYNGSVPSLVSTTYSWTKTANIIFLDQPIGAGFSYSRTPLIDTPTDTGEVKNIHEFLQKWLSKHPQFSSNPFYACGDSYSGMIVPALVQEISKGNYICCTPPINLQGYILGNPITSIEDQNYQVPFSHGMALISDELYESIRRACNGNYFNVDSRNTKCLKLVEEYHKCTDKLNEFNILSPDCDTTSPNCFLYPYYLLSYWINDETVRNALHVNKWSIGEWERCTHLRLIPYNKDINNSIPYHMNNSISGYRSLIYSGDHDLTVPYLATQAWIKSLNYSIIHEWRPWMIKDQIAGYIYIVWINGQPL >scaffold_400252.1 pep chromosome:v.1.0:4:1357936:1358313:1 gene:scaffold_400252.1 transcript:scaffold_400252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAISLMIEAKLSPGPSIYNIHGHGDSSCLHETGVRVDTGTFFATNRRGIVDGVDFGATGLVKKIDVDQIREWLDSFTVWILISIQTRSTI >scaffold_400253.1 pep chromosome:v.1.0:4:1359647:1360027:1 gene:scaffold_400253.1 transcript:scaffold_400253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVNVITYMVIFLILTVGISRVTAKKPPCKEGRIAYHPCSQSLCAQDCALAGVYRTGKCEPDRNKVVCKCYGCK >scaffold_400256.1 pep chromosome:v.1.0:4:1373990:1377694:1 gene:scaffold_400256.1 transcript:scaffold_400256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLSLKLLLLLLLVLRHHAESGSIVKFLPGFEGPLPFELETGFGYHSTLATYWANTERVREALQIRKRSIGKWTRCNRNIDYNDDIISSIPYHMNNSINGYRSLIYSGDHDMEVPFLATEAWIRSLNYPIIDDWRPWIINNQIAGYTMTYANKMTYATIKGGGHTAEYKPEESFIMFQRWISGQPL >scaffold_400259.1 pep chromosome:v.1.0:4:1404750:1408798:1 gene:scaffold_400259.1 transcript:scaffold_400259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTLKHLFLLLLVLSHHVDSANIVKSLPGLEGSLPFELETGYIGIGEDEDIQFFYYFIKSENNPREDPLLLWLDGGPGCSSLGGLLFENGPVALKSAVYNGSTPSLFSTTYSWTKMANIIYLDQPVGSGFSYSRTPIEKTSDTSEVKRIHEFLQKWLSKHPQFFSNPFYVTGDSYSGMIVPALVQEISKGNYICCKPLINLQGYVLGNPITYAEHEKNYRIPFAHGMSLISDELYESLKRTCKGNYENVDPRNTKCLKLVEEYHKCTDKINTQHILIPDCDKKGHGITSPDCYYYLYFLIECWANNERVREALHVRKGTKGQWQRCNWTISYDNNIISSVPYHMNNSISGYRSLIYSGDHDITMPFQATQAWIKSLNYSIIHDWRPWMIKDQIAGYTRTYSNKMTFATIKGGGHTAEYLPNETFIMFQRWISGQPL >scaffold_400268.1 pep chromosome:v.1.0:4:1517386:1517600:-1 gene:scaffold_400268.1 transcript:scaffold_400268.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEM6] MARVRDGEGVYESTARRPTETADASVEPGKETADPTEPDASMVKPGSESVGMQIDQT >scaffold_400273.1 pep chromosome:v.1.0:4:1544359:1546172:-1 gene:scaffold_400273.1 transcript:scaffold_400273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVVEVREYDPSRDLATVEDVERRCEVGPTGKLSLFTDLLGDPICRVRHSPSYLMLVAEIGPKENKELVGMIRGCIKTVTCGSTKRLDLTHSKSQNDVVITKPLYTKLAYILGLRVSPTHRRQGIGFKLVKAMEDWFSQNGAEYSYFATENDNHASVNLFTGKCGYSEFRTPSILVNPVYAHRVNISRRVTVIKLEASDAELLYRLRFSTTEFFPRDIDSVLNNKLSLGTFVAVPRGSCYGSGFGSWPGSAKFLEYPPDSWAVLSVWNCKDSFRLEVRGASRLKRVVSKATRVVDKTLPFLKIPSIPAVFRSFGLHFMYGIGGEGPRAEKMVKALCGHAHNLAKEGGCGVVAAEVAGGEPLRRGIPHWKVLSCAEDLWCIKRLGEDYSDGSVGDWTKSPPGESIFVDPREF >scaffold_400274.1 pep chromosome:v.1.0:4:1561696:1561906:1 gene:scaffold_400274.1 transcript:scaffold_400274.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LEN1] MEVRHEALSTANENEVIIASAISTLSSCQIKTINYHHHHDSYIPCMADIRSNFLDD >scaffold_400278.1 pep chromosome:v.1.0:4:1573943:1576105:1 gene:scaffold_400278.1 transcript:scaffold_400278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSRVYTDVNVVCPKEYWDYESLVVQWGHQDDYEVVRKVGRGKYSEVFEGKNVNTNERCVIKILKPVKKKKIKREIKILQNLYGGPNIVKLYDIVRDEHSKTPSLIFEFVNSVDFKVLYPTLTDYDIRYYIYELLKALDFCHSQGIMHRDVKPHNVMIDHQLRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNTYLNKYQLDLEPQLEALVGRHNRKPWSKFINADNQHLVSPEGIDFLDKLLRYDHQDRLTAREAMDHPYFAQVKAAESSRLRTQ >scaffold_400280.1 pep chromosome:v.1.0:4:1588741:1588931:-1 gene:scaffold_400280.1 transcript:scaffold_400280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCU5] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_400290.1 pep chromosome:v.1.0:4:1625469:1625941:1 gene:scaffold_400290.1 transcript:scaffold_400290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFSYFLVVIVLCAGLSNAKFNEKNSVVFKNSLGPKNVLKIHCISKDDDLGYNYLRPGQIYEFSFHDSVLKTKFDCELWQGRGPTYKFYANFRAYKGGGLIVHSGKKNFWEVREDGIYFTHGKEIPKLEYKWSPIGEPPIRS >scaffold_400295.1 pep chromosome:v.1.0:4:1674296:1675449:-1 gene:scaffold_400295.1 transcript:scaffold_400295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGKLVEVEEERVLGAVEDEMEDANPVPKDFEFGSGSGAGVGNEPAVTPSTHPQEVSTLPGSMVAIPAEAEGLDTILDEAAEDINEEEGWVDEDDGLVDWAEDDMGLSDWAEDDTLVRVPEENMRDRLEIQCTQGGESIPSDWENLADEEADNDKEITEEDLRLMQELEKEMILDGLLDNDDLLGEEMAAGDNEEMLGDDESQERTISEAIVPISHQRVEVPATSQSPSSKSLRSPVREKTSPRRSSRLAGSGSGGPDNGLTGPFAQDGMTEPNNPETKKAFIPQSPKLFTAASRKLKLFGPKLSPKKRSAPLASGTSGAVPPRLDTNIPHQEEVTIKINKVKKKEAKAKKPKEGEEESPISPKPPT >scaffold_400300.1 pep chromosome:v.1.0:4:1709276:1709585:1 gene:scaffold_400300.1 transcript:scaffold_400300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLCFLNVVSLFVMMVVIVLLPQLTLSASSTYPRPEKFYVNCESRSNVTYGGRTFVGDMTLGGNYVFFTSEGTEAINQFVLCCSISIT >scaffold_400302.1 pep chromosome:v.1.0:4:1712942:1713659:1 gene:scaffold_400302.1 transcript:scaffold_400302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVRGGRGSGRGKRGPKSPVKRPTVVPTRPTSSGVSSRRPRSLPSQYEFTPANPEDPNHGTEHPPNRQPSPQLSLRDYPPPLQLFQSGEGSQHAAGGSPRGSRTTPFRASVSSVHRLASGSPRASQSPAPVQPPAPVPSPVVNQQRPPRASLSGHSSQAQNVEEEEAALNEEADDETTSEDEGLRDSTLPEDVLATLHDTLVIPGRELYTTLISPTLEPGTTW >scaffold_400303.1 pep chromosome:v.1.0:4:1716264:1718574:1 gene:scaffold_400303.1 transcript:scaffold_400303.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP81D7 [Source:UniProtKB/TrEMBL;Acc:D7LEQ7] METHFLILSLVFFVCISLTLFFGKRQSKFNLPPSPARPLPLIGHLHLLKMPLHRTFLSFSQSLGDAPIFCLRLGNRLMVIVSSYSIAEECFTKNDIVLANRPEFIVGKHIEYNSTTMTSAPYGDHWRNLRRICTLEIFSSHRLNGFLSVRKDEIRHLLLRLSKNSRHGFAKVEMRSLFFELTINNIFRMVAGKRFYGEGTEQDEVAQQVRQLIDEIMSSAGAGNAADYIPILRWITNFEKHIKKLASRLDKFLQSLVDEKRAEKEKGTTMIDHLLSLQETQPDYYTDVTLKGIILVMIFAGSETIAWTLEWAMLNLLNHPEVLKKARTEINTIIGFDRLIDESDTINLPYLQWIVLETLRLYPVAPTLDPHMTSEDCMLAGYDVPRGSMLLVNIWAMHRDPSIWEDPEMFKPERFVNEKLNQKLLSFGIGRRACPGVGLAHRVVSLALGSMVQCFEWQRIGEEYVDSREEPMSLMRTATPLLAMCKARPIVHNILVD >scaffold_400306.1 pep chromosome:v.1.0:4:1728713:1730089:-1 gene:scaffold_400306.1 transcript:scaffold_400306.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LER0] MVALAFQGHLNPMLKFAKHLARTNLHFTLATTEQARDLLSSTADEPHRPVDLAFFPDGLPKDDPRDPDTLAKSLRKVGAKNLSKIIEEKRFDCIVSVPFTPWVPAVAAAHNIPCAILWIQACGAFSVYYRYYMKTNPFPDLEVLNQTVELPALPLLEVRDLPSLMLPSHGAQVNTLMAEFADCLKDVQWVLVNSFYELESEIIESMSDLKPIIPIGPLVSPFLLGIEEDKTQDGKNLDMWKYDDFCMEWLDKQARSSVVYISFGSILKSSENQVEIIAKALKNRGVSFLWVIRPKEKGENVQVLQEMVKEGKGVVIEWGQQEKILSHMAISCFVMHCGWNSTIETVVTGVPVVAYPTWIDQPLDARLLVDVFGIGVRMKNDAVDGELKVEEVERCIEAVTEGPAAAGMRRRATELKHAARLAMAPGGSSARNLDSFISDITIT >scaffold_400308.1 pep chromosome:v.1.0:4:1734075:1734375:1 gene:scaffold_400308.1 transcript:scaffold_400308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNKVVLGSILFFMLVSSILVVEARPLGLTKAEEELVAKFFDGLSLGAIKESGPSSGGEGHRFVDRSETLGYGKHSGPSPSGPGH >scaffold_400313.1 pep chromosome:v.1.0:4:1765288:1766418:1 gene:scaffold_400313.1 transcript:scaffold_400313.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRER1C1 [Source:UniProtKB/TrEMBL;Acc:D7LF98] MESAATAGVPTPVAAATTTTDNNPQSIDSSSPADAVNRLIHAVSQRQQHLLDKTVPHVLYRWIACLCVVLIYFVRVYFVEGFYIITYAIGIYLLNLIIAFLSPQEDPEASLTTGGSLPTRRSDEYRPFVRRLPEFKFWLSIIRAFIIGFMMTFFDVFDVPVFWPILLFYWVMLFFLTMRKQIQHMIKYRYVPFSFGKKQYGKKPAPTESSE >scaffold_400317.1 pep chromosome:v.1.0:4:1804390:1807229:1 gene:scaffold_400317.1 transcript:scaffold_400317.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:D7LFA3] MAQVQAPSSHSPPPVVNDGSVTASATLGIGGGDGVNHGALCSLYVGDLDFNVTDSQLYDYFTEVCQVVSVRVCRDAATNTSLGYGYVNYSNTEDAEKAMQKLNYSTLNGKMIRITYSSRDSSARRSGVGNLFVKNLDKSVDNKTLHETFSGCGTIVSCKVAADHMGQSRGYGFVQFDTEDSAKNAIEKLNGKVLNDKQIFVGPFLRKEERESAADKMKFTNVYVKNLSEVTTDDELKTTFGQYGSISSAVVMRDGDGKSRCFGFVNFENPEDAARAVEALNGKKFDDKEWYVGKAQKKSERELELSRRYEQGSSDAGNKFDGLNLYVKNLDDTVTDEKLRELFAEFGTITSCKVMRDPSGTSKGSGFVAFSAASEASRVLNEMNGKMVGGKPLYVALAQRKEERRAKLQAQFSQMRPAFIPGVGPRMPIFPGGAPGLGQQIFYGQGSPPIIPHQPGFGYQPQLVPGLRPSFFPMMQPGQQGPRPGGRRSGDGPMRHQHQQPMPYMQPQMMPRGRGYRYPPGGRNMPDGPMLGGMVPVAYDMNGMPIAQPMSAGQLATSLANATPAQQRTLLGESLYPLVDQIEHENAAKVTGMLLEMDQTEVLHLLESPEALNAKVSEALDVLRNVNQPSTQVSEGNKSGSPSDLMASLSINDHF >scaffold_400318.1 pep chromosome:v.1.0:4:1810983:1814293:1 gene:scaffold_400318.1 transcript:scaffold_400318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKGWPWKKKSMEKTVVESNGETEKVVDDKIELQNRLKSLNDKLTSVEAESNKHETEAQEAIVGWEKTKAEVASLKKKLDEALNEKHMSEERSSHTDAGLKECVQQLRFVREEQERRMHDALTKASQEYEQRLIVIKTELAGTGKRLAEAEGENTQLSMALLAKNKTVEDLNRERDRIEVDFNSLVSSLESKEKENVSLRYEVRVLEKELELRNEEREFSRRTAEASHKLHLENVKKVAKLESECQRLRVLVRKRLPGPAALSKMRNEVEMLGRRRVNGSPNSLMIDSEKINNLTEQLCLMEEENKTLREALNKKVSELQFSRNMYSRTEQTLKPSRSSNVSHEVSLASVSEFDNDDKVSCADSWACALLSELDNFKNKKQMGSSLVGTPKASEMKLMDDFAEMEKLAMVASTIDNRPGSSPICPSDSISATGPVENESNENSSEVTKTPGTDYSLNPAAAPQDIKSDSLPRSLHIVLKAVMEHKRITHRNTDEVLEDIRKALSSVNHSSFSVKNHQEKKTITVEDRLDMECNISKSIHRIIEIIEGVSLKDERHVSNGESERLSGYTARVLQWKTTELSSVLQRFLQTCYDLLDRKADMKKFGQELSSVLEWMVNHCFSLQDVSTMRDEIKKQFEWDESRSGSEVDIGIFRQVSEAEKLNTEDVSFLACKDQLIEDKPGNQKLLSNPVEEEANDKTLSASENELKLEEKQNMRTELEIAAASEKLAECQETILNLGKQLKALTNSKESTALLSEKLMSDLADKSNNLAGAQPSQETTKPEKRLTSQRSSLLDQMKAEDHNTGESKDQKPQAADKNGKGGNSSVYNETIEALEQILLSDKSKGSDSNCFAIVPQKKSGGVKSLWRKLLGRNKKSKGKKIHNPFAN >scaffold_400326.1 pep chromosome:v.1.0:4:1838862:1839615:1 gene:scaffold_400326.1 transcript:scaffold_400326.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LFB2] MACRRRAILSILSCSCRCSCSCELFLTMFSLPDNRNSKLSSDLVVRGPSSAILSSTSFRQNLCLARPSSFLRRLNLSPARSYPHIQLPATSRRWLIVLYLLSMSYVSGLIPAGPPSTHRCLSTPLTVIKHSSSLIPTQIRLKRFTGVPLTGAFFSGSTFDSRRPIFLPENCSTIDDSSASPRFRTFWSLQVNLGTTSTSASLSVSMSDELPTTTLRRRCNLLVTKLGQRSFQRVLWA >scaffold_400329.1 pep chromosome:v.1.0:4:1853999:1854189:-1 gene:scaffold_400329.1 transcript:scaffold_400329.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFB5] MATNRSSASNFTEKNCVLRHINNARSEMELSGEIVAGSENDATDGKDDV >scaffold_400330.1 pep chromosome:v.1.0:4:1868145:1868430:1 gene:scaffold_400330.1 transcript:scaffold_400330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVNVYLFAFIFLLTITIGSIEGRTLTKSTVTTSEEIGADGPVLLSPPAEPLESPPSHRVDTFRPTAPGHSPGIGHSVHN >scaffold_400331.1 pep chromosome:v.1.0:4:1874540:1874879:1 gene:scaffold_400331.1 transcript:scaffold_400331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRRVVYLFLSILLICEIIDEAQSSRLRCHHREDYSCKKRSSHHHHHHHHHKGTLSEPNLRGSNSIKARRSKDIYGLDAFRPTAPGHSPGVGHSIKT >scaffold_400332.1 pep chromosome:v.1.0:4:1880622:1883074:-1 gene:scaffold_400332.1 transcript:scaffold_400332.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LFC1] MLRSIFNQRSTKMVMISHNLNLILVIIIVIGGSVSFSAANFTEPCNGRCGGLTLPYPFGFSNGCRIQFDCSAVEKPMIGDFSVQNVTEDGIFVGLPHNCTRKIEDMRPLFGEHFAPTSENSFLMEDCVNTTDGCSIKQKFLENVLNLESCDSKGNISCFSLDTNSSSKNSAKFFSMKELRNSSCSLLFSSIAFESGGLNAGIALEFERVRLGWWLKGSCKNSPCAVNTNCTDVDTPHGYAGYRCSCLAGYHGDGFIKACQRALPDCRGSKLVWRHCRSNLITIVGGTVGGAFLLAGLALLFFCKRRRSTPLRSHLSAKRLLSEAAGNSSVAFFPYKEIEKATDGFSEKQQLGVGAYGTVYRGKLQNDEWVAIKRLRHRDSESLDQVMNEIKLLSSVSHPNLVRLLGCCIEQGDPVLVYEYMPNGTLSEHLQRDRGSGLPWTLRLTVATQTAKAIAYLHSAMNPPIYHRDIKSTNILLDYDFNSKVADFGLSRLGMTESSHISTAPQGTPGYLDPQYHQCFHLSDKSDVYSFGVVLAEIITGLKVVDFTRPHTEINLAALAVDKIGSGCIDEIIDPILDLDLDAWTLSSIHTVAELTFRCLAFHSDMRPTMTEVADELEQIRLNGWIPHMSLDSPAGSLRSSDRGSERSVKQSSTGSRRVVIPQKQLDNLASVEEISDSSPISVQDPWLSAQSSPSTNTLLGNIPR >scaffold_400336.1 pep chromosome:v.1.0:4:1912086:1912630:1 gene:scaffold_400336.1 transcript:scaffold_400336.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFC6] MENGDSRTVMIGTSRPMTLGQVSKWKSTSKCGGRSIFVKGRDMADKAAARAEMGKALMVIKNVCTYLSNKSQLDDHTIHLFSVPIVGRRGSLSSSFLAI >scaffold_400339.1 pep chromosome:v.1.0:4:1922814:1923042:-1 gene:scaffold_400339.1 transcript:scaffold_400339.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFC9] MFETLNPFKNIFFGFSTTLPVVTRNVVDPPLPDGYYGNAYIEMYVPLTTREAKNLRSQTS >scaffold_400352.1 pep chromosome:v.1.0:4:1977626:1978601:-1 gene:scaffold_400352.1 transcript:scaffold_400352.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain family protein [Source:UniProtKB/TrEMBL;Acc:D7LG10] MASTPCAACKLLRRKCTQECVFAPYFPPTNPQKFIFVHRVFGASNVTKILNDLPPDQREDTVNSLFYEAEARIRDPIYGCVGLISFLQQYLKKIQQDLVTAKEELAGYMGPDAVIPPPYLPALGNNPPPNFMMSMEGMPPGVIPQGEPLMIREPNLSQQHHQHQPQDEQLQFIASDAQRMAAMMLDRGDQQGMFNGYGIDNNGSVTATGFNQMDVNDHGASGWLSGPSLALGSFGDAFQMGQETEHGHINHDQLQTQLMLQPPLQEGQEQTEEGQFLMQPMGQENLHEEEEEEELEPPIKWRKSESKEASY >scaffold_400354.1 pep chromosome:v.1.0:4:1993810:1995204:1 gene:scaffold_400354.1 transcript:scaffold_400354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEFLNEVQAAAGKLIHSYGVPDMVMLFLRWVTSFVAVFLMILDRTKWKYSNNIMTSLLAPYLFSSLPIVIFQFLRTGFGKWIALLTVVLRLFLPNNFPESLDIPSAAILLIVATPNELVEAFRDDLRYTGGSVCLLTSFYLLDKHTKACGGFKKSFTEKDKITYTICLFILSVYPILSAFDFLFYL >scaffold_400355.1 pep chromosome:v.1.0:4:2008917:2009449:1 gene:scaffold_400355.1 transcript:scaffold_400355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICSSYDSTQVATAKLILHDGRMMEFTSPVKVGYVLQKNPMCFICNSDDMDFDNVVSAISADEEFQLGQLYFALPLSSLHQSLKAEEMAALAVKASSALMRSGGSCGRDKCRCRRKCVAPVIFSARRVSAVGSNGETRNGKRRGVGGSGRRKYAAKLSMIEE >scaffold_400362.1 pep chromosome:v.1.0:4:2063059:2068195:-1 gene:scaffold_400362.1 transcript:scaffold_400362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDYHHHQGIFSFSNGFHRASSTTHQEEVDESAVSGAQIPVYETAGMLSEMFAYPGGGGGGSGGEILDQSTKQLLEQQNRHNNSTLHMLLPNHHHQQGFAFTDENTMQPQQQQHHFSWPSSSDHHHNRDMIGTVHVEGGKGLSLSLSSSLAAAKAEEYRSIYCAAVDGTSSSSNASAHHHQFNQFKNLLLENSSSHHHHQVVGHFGSSSSSPMAASSSTGGIYTLRNSKYTKPAQELLEEFCSVGRGHFKKNKLSRNNSNPNTTGGGGGGGSSSSAGTANDNPPLSPADRIEHQRRKVKLLSMLEEVDRRYNHYCEQMQMVVNSFDQVMGYGAAVPYTTLAQKAMSRHFRCLKDAVAVQLKRSCELLGDKEAGGAASSGLTKGETPRLRLLEQSLRQQRAFHHMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLNPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEAKEREEEAEENENQQQRRQQQTNNNDTKPNNNENNFTVITAQTPTTMTSTHHENDSSFLSSVAAASHGGSDAFTVATCQQDVSDFHVDGDGVNVIRFGTKQTGDVSLTLGLRHSGNIPDKNTSFSVRDFGDF >scaffold_400365.1 pep chromosome:v.1.0:4:2088179:2089948:-1 gene:scaffold_400365.1 transcript:scaffold_400365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRKLLSKKLFNITNVASQSLMNCRISSSSLAVRTRVPTDSTDTTKISPEPGDSTISRRFMHNSAMIRPEIMQMPVGESLIEKLREIDGSKDRIRLDGLTPPERESSLTVADTKKLLRAAQIEIVKSKLRETGRSWMSYSEFVSVCGEASSDPDLGSRIAKMLDDSANVIVLGDSVCIRPDQVTKSIEGLLPLPKIHNPNDPRRIELKELEAEKAVIDVKAHSLVRKELWAGLGYLILQTAGFMRLTFWELSWDVMEPICFYVTSVYFMAGYAFFLRTSKEPSFEGFYQSRFEAKQRKLMNDYEFDLERYDELKKLFCSKPSAHVSKILGAIKN >scaffold_400366.1 pep chromosome:v.1.0:4:2105541:2106701:-1 gene:scaffold_400366.1 transcript:scaffold_400366.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyl pyrophosphate synthase [Source:UniProtKB/TrEMBL;Acc:D7LG26] MEPQTLFLYLSLLILSLNFFFTNLKPRLVRLFQPSLESRVKTALLSRKEVAEFLDSPIVEDEKAEEEEEDIVSNANFTFEFDPYMISKAESVNKALDEAIPVGEPLKIHEAMRYAILAAGKRVRPILCLASCELVGGQENAAMPAACAVEMIHTMSLIKDDLPCMDNDDLRRGKPTTHKVYGEGVAILSGGALLSLAFEHMTTAEISSERMVWAVRELARSIGTRGLVAGQAMDISSEGLDLNEVGLEHLEFIHVHKTAVLLETAAVLGAIIGGGSDEEIESVRKFARCIGLLFQVVDDILDETKSSEELGKTAGKDQLTGKLTYPKLMGLEKSKEFVKRLTKDARQHLQGFSSEKVAPLVALTTFIANRNK >scaffold_400370.1 pep chromosome:v.1.0:4:2119603:2119820:-1 gene:scaffold_400370.1 transcript:scaffold_400370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG28] MFMLNCYLLTLVTIHAWIGATVITTCLGPIFDWFGTPDAHFIISNVFHLQFVNDLVSQ >scaffold_400372.1 pep chromosome:v.1.0:4:2125750:2126260:1 gene:scaffold_400372.1 transcript:scaffold_400372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNELLKIEPMYLQFPFELKKQMSCSLNSTNKTANNVAFKVKTTNPKNYCVRPNYGLILPKSTCEILGLFSNEMLKDTNMV >scaffold_400376.1 pep chromosome:v.1.0:4:2194769:2197798:1 gene:scaffold_400376.1 transcript:scaffold_400376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTHRLLPPLGASLTRGRFSSDQIRNGFLRSFRGFATVTSSEPALANLEAKCADAIPECSTVEDEITKIRHEFELAKQRFLNIPEAINTMPKMNPQGIYVNKNLRLDNIQVYGFDYDYTLAHYSSHLQSLIYDLAKQHMVNEFRYPDVCIQFKYDPTFPIRGLYYDKLKGCLMKLDFFGSIEPDGCYFGRRKLSRKEIESMYGTRHIGRDQARGLVGLMDFFCFSEACLIADMVQYFVDAKLEFDASNIYNDVNRAIQHVHRSGLVHRGILADPYRYLLKNGQLLRFLRMLKDKGKKLFLLTNSPYHFVDGGMRFLMEDSFGLRDSWRELFDVVIAKANKPEFYTSEHPFRCYDSVRDNLAFTKVDAFDPKKVYYHGCLKSFLEITKWHGPEVIYFGDHLFSDLRGPSKAGWRTAAIIHELEREIQIQNDDSYRFEQAKFHIIQELLGRFHATVSNNQRSEACQSLLDELNNARQRARDTMKQMFNRSFGATFVTDTGQESAFSYHIHQYADVYTSKPENFLLYRPEAWLHVPYDIKIMPHHVKVASNLFKT >scaffold_400377.1 pep chromosome:v.1.0:4:2198604:2199253:1 gene:scaffold_400377.1 transcript:scaffold_400377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALRGISRKFLFQRYLASVWVSLAGRISPNPPTSLSSASTWVLSVQSSPPYYASKILKLLLQTSIYLIWKERNRRVFYVDSSTISSTKSLVDRTMRNRLISFSPEDPSVRISLLGFYFGCIDSPL >scaffold_400378.1 pep chromosome:v.1.0:4:2200563:2202600:1 gene:scaffold_400378.1 transcript:scaffold_400378.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7LG38] MEFSINPSTLKMIRTSFCILVVLAISSFSMMEARDLGCKGKTNIEYMALNCRKHTAVLTEFGAVGDGKTSNTKAFKEAITKLAPKAADGGVQLIVPPGKWLTGSFNLSSHFTLFIQKGATILASQDESEYPVVAPLPSYGQGRDAAGPTFASLISGTNLTDVVITGNNGTINGQGKYWWVKYRSGGFKNITRPYTIEIMFSQNVQISNITIIDSPAWNIHPVYCNNVIVKGVTILAPIDSPNTDGINPDSCTNTLIEDCYVVSGDDCIAVKSGWDQFGIKVGMPTKQLSIRRLTCISPDSAGIALGSEMSGGIKDVRIEDITLLQTQSAIRIKTAVGRGGYVKDIFARRFTMKTMKYVFWMSGAYNQHPASGFDPKAMPEITNINYRDMTADNVTQPARLDGFKNDPFTKICMSNIKIDLAAEPKKLLWNCTNISGVSSKVTPKPCSLLPEKGAPVDCAFPVDKIPIESVVLNKCSA >scaffold_400381.1 pep chromosome:v.1.0:4:2221028:2221782:1 gene:scaffold_400381.1 transcript:scaffold_400381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRMVTGTLRGFDQFMNLVVDNTVEVNGNDKTDIGMVVIRGNSIVTVEALEPVGRSS >scaffold_400382.1 pep chromosome:v.1.0:4:2222928:2223851:1 gene:scaffold_400382.1 transcript:scaffold_400382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGAKKRKEENARHMAKLRRLIIASNAVYFVVRMLIFYSSFTWKHWIGLVITSLAYAFPYKQLDQMAKPSVTDDGELLDGGFDMTTGGICGYLHDVIYITCFVQLASIISGKFWYTYLVIPAFGVYKASGLIRGFMSQGSEGGVEDDKSRKKREKMERKASRGQVVKTRTR >scaffold_400384.1 pep chromosome:v.1.0:4:2225927:2228746:-1 gene:scaffold_400384.1 transcript:scaffold_400384.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LG43] MVVMKLITMKQTPFFLFSFLLLLCFFVTCSLSSEPRNPEVEALINIKNDLHDPHGVLNNWDEFSVDPCSWTMITCSPDNLVTGLGAPSQSLSGTLSGSIGNLTNLQQVLLQNNNISGKIPPELCSLPKLQTLDLSNNRFSGEIPGSVNQLSNLEYLRLNNNSLSGPFPASLSQIPHLSFLDLSYNNLRGPVSKFPARTFNVAGNPLICKNSPPEICSGSINASPLSVSLRSSSGRRTNILAVALGVSLGFAVSVILSLGLIWYRRKQRRLTMLRISDKQEEGLLGLGNLRSFTFRELHVATDGFSYKSILGAGGFGNVYRGKLVDGTMVAVKRLKDVNGTSGNSQFRTELEMISLAVHRNLLRLIGYCASSSERLLVYPYMSNGSVASRLKAKPALDWNTRKKIAIGAARGLFYLHEQCDPKIIHRDVKAANILLDEYFEAVVGDFGLAKLLNHEDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGMRALEFGKSVSQKGAMLEWVRKLHKEMKVEELVDRELGTTYDRIEVGEMLQVALLCTQFLPAHRPKMSEVVQMLEGDGLAERWAASHDHSHFYHANMSYRTITSTDANGNNQTKHLFGSSGLEDEDENQALDSFAMELSGPR >scaffold_400394.1 pep chromosome:v.1.0:4:2312312:2312510:-1 gene:scaffold_400394.1 transcript:scaffold_400394.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG54] MDGSGQIHDSSQLRRRLRDSTTGFFLQMSPLQIQSKSTAAVLNLPRLRLRPN >scaffold_400400.1 pep chromosome:v.1.0:4:2345343:2345575:1 gene:scaffold_400400.1 transcript:scaffold_400400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVVGDVNQRKRKCWEEIKGKDLCNLFRNECLSMCIKKHPKGGSTCVPTPQGGKKCLCGYPC >scaffold_400402.1 pep chromosome:v.1.0:4:2355610:2356641:-1 gene:scaffold_400402.1 transcript:scaffold_400402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQDRPAAVAAKRSGSPKYNECAKALRPPLVGSPLLLLSQEEGGCCLYKPEEDKFYETKSDFSRYRFLASSGKWFLVVDSRSDLYIIDVFSDERIRLPPLESINGGLYMVERVGSNEFKVTLIRADKNGDFVVVWQFEDGQFLGFCKKGDLHYRDIPIRVDVRREFRGLKDVVRQKGHQDRIAVTTSGEVLLVFTKAYEPFCERSRIFRVYKRDPKELDPNTYDTRLVEMESIGDEALFFDLGITVPADLTLGIEPTPSISPVMTVSVTRTFAFHA >scaffold_400405.1 pep chromosome:v.1.0:4:2367903:2368121:-1 gene:scaffold_400405.1 transcript:scaffold_400405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNEKNIILAIEENILRFVPIDHEMCFVNVRQNYNLCSLYWLGLKDGGLNVTLSQNV >scaffold_400408.1 pep chromosome:v.1.0:4:2379072:2380112:-1 gene:scaffold_400408.1 transcript:scaffold_400408.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11-beta [Source:UniProtKB/TrEMBL;Acc:D7LGR6] MAEQTEKAFLKQPKVFLSSKKSGKGKRPGKGGNRFWKNIGLGFKTPREATEGAYIDKKCPFTGTVSIRGRILAGTCHSAKMQRTIIVRRNYLHFVKKYQRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSAIGKKAFSGM >scaffold_400410.1 pep chromosome:v.1.0:4:2409978:2410260:1 gene:scaffold_400410.1 transcript:scaffold_400410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRSHFVKGMELMVLLICYRLYRKATEDSVAYALVMGSTWFLVGSWLFDQFFFNPSRFEWQKIVDDWDDWNKWISSRS >scaffold_400415.1 pep chromosome:v.1.0:4:2428841:2429046:1 gene:scaffold_400415.1 transcript:scaffold_400415.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGS4] MASNQVSGSVRFERLFEFNRSLSSPTSFSYQISMDFGVFRNEATSKWINRLVK >scaffold_400416.1 pep chromosome:v.1.0:4:2446824:2447074:-1 gene:scaffold_400416.1 transcript:scaffold_400416.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGS5] MFVSSPQLPVAAADASIHVRFVVAGICGITLRRTQLPAVKNFGRYLNSASNDYTVAICGCGDETNNNLR >scaffold_400419.1 pep chromosome:v.1.0:4:2525687:2526092:1 gene:scaffold_400419.1 transcript:scaffold_400419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVAMRSITSLVYLEKVREYMVQATDKNLEDENADTLTNKKHVTLLAEEDCTVPYTFGSDIQNVAGFVCPETSRWLEELERQDGFKLQDNQLDCVKLGHNHKEHLVHDLRASFGCIYCRL >scaffold_400422.1 pep chromosome:v.1.0:4:2559936:2560778:1 gene:scaffold_400422.1 transcript:scaffold_400422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDDFYSGTENYSDYADSDEDDADADYEFVEDAADDSDDLIFCRRQAIRCKAFTHMEGEIEFVQIDPNDLYPCLLMNVGSGVSIIKVDGERKV >scaffold_400425.1 pep chromosome:v.1.0:4:2571385:2571640:-1 gene:scaffold_400425.1 transcript:scaffold_400425.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHG6] METRKSTTSDSGSSFNNEDYLTILRELKHLYQQVENYVERHLELKHNSWKRRIRSKRNNHEMSTPRKIPMQ >scaffold_400427.1 pep chromosome:v.1.0:4:2578103:2579189:-1 gene:scaffold_400427.1 transcript:scaffold_400427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPVLDLFLHFSYARETGVLEVAYRHANLESSSWIAWAINPTRKGMLGAQALVAYRSSTSGVMRSYTSSINNYTWNARNEWRSSHIYRFLDLLSGKNTATKSVNENMLLVKQIHGMMNAVSWGILMLIGVMAAKYMKTYERLDPTWFYVHVVCQTTGYFVGLIGGLGTAIYMARHTRMRTTPHIVIGLFLFALGFLQILALKARPDKDHKYRKYWNRYHHTMRYIVIILSVYNIYKGLAILHPAGSCWKIAYTTIICAIATFAIGMEILQFKKRCGGMFCKKTKDLEADQTSIYVA >scaffold_400428.1 pep chromosome:v.1.0:4:2581944:2585534:1 gene:scaffold_400428.1 transcript:scaffold_400428.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myrcene/ocimene synthase [Source:UniProtKB/TrEMBL;Acc:D7LHG9] MLNDKKKTYVDQLEFIDDLQKLGISYHFEAEIDNILTSSYQKERTNVRESDLHATALEFRLFRQHGLNVSEDVFDDFMKKCGKFGSDDIYGFLSLYEASYLSTKSDTKLQKCIRPFATQQLRDFVDTHSNEDCGSCDMVEMVVETLDMPYYWRMRRLDTRRYIDVYGKRHNKNAVLVEFAKLDFNIVQAIHQEELKHVSSWWRETGLGKQLYFARDRIVENYFWTIGQIQEPQYGYVRQIMTKINALLTTIDDIYDIYGTLEELELFTSAFENWDVNRLDELPEYMRLCFLVVYNEVNSIGCDILRNKNINVIPFLKKSWTDVCKAYLVEAKWYKSGHKPNLEEYMQNAWISISAPTIFVHFYCVFSAQLSIKVLETLSEHQQNVVRSSASVFRLANDLVTSPDELARGDVCKSIQCYMSENGASEDKARSHVRQMINDLWDEMNYEKMAHSSSLLHHDFMETVINLARMSQCMYQYGDGHGSPKKAKIVDRVISIIFNPIPLD >scaffold_400432.1 pep chromosome:v.1.0:4:2601544:2602365:-1 gene:scaffold_400432.1 transcript:scaffold_400432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGRRNLKQAASEQDFTFEECQSIAQVVSLRGSNQIEIMDAKGENSLALFPPRFRERKYLQSLKDEEFKG >scaffold_400433.1 pep chromosome:v.1.0:4:2602897:2604414:1 gene:scaffold_400433.1 transcript:scaffold_400433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLHIGSALIYNDAFAKTLPRPQSFTCTTMAKITPGESPVVPRRSANYQPSLWDHRHLLSIKNKYAKYRIDKHEKDLHATALEFQLFRQHGFNVSEDVFDVFMENCGKFESNNINDIISLYEASYLSTKSNTQLQKLIRTFATQKLRDFVDTHSNEDCGGSCDTVEMVVQTLDMPYYWRMRRLATRWYIDVYRKRHNKNLVLVEFAKIDFNIVQAIHQEELKYVSSWWRETGLGNQLHFARDRIVENYFWTIGHIHEPQFGYVRRIMTILELY >scaffold_400434.1 pep chromosome:v.1.0:4:2609529:2610419:1 gene:scaffold_400434.1 transcript:scaffold_400434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFNFSLSRLKSNTLFLYFNFSLFYRLLIEYNCLYKICCSWDVNRLDELPEYMRLCFLVVYNEVNSIGRDVLKTKNINMIPFLKKSVLETLSQHKQNIVRCSAYVVRLANDLATSPVKQLF >scaffold_400438.1 pep chromosome:v.1.0:4:2646331:2647693:1 gene:scaffold_400438.1 transcript:scaffold_400438.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel tetramerization domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LHH7] MGMSKDRIKFNVGGRIFETTATTLANAGRDSFFGALFDDEWNLSPQDSIRFVDRNSDCFAVLLDLLRTGDLNVPANIPERLLHREASFYGLLDHVRTAKWGPFDGNRLRLSDSVKGIAPGDGTAIRAGPDGGCCVAHGSVVHVFDWMLEEHSPINLDYQRVNDVGWIDSDNIVLSACERLGRGEGGMGLFSSSSGDLRYKFQVCHENQVKSYTAGALSFSPDYEIFASCKGRSNEYGIGVWDQITGKQTDFFYESPGWSLGDADKLQWLNGKNCLLVATLFPRKDNCYISLLDFRDKNMVWSWSDIGSPMAIDEKRVRDAIAMEDSNSICVVNEFEDLGFIDLRMYGGSVRWSSRSKLMKSKMPDEPCYPKLALHEGQLFSSMNDSISVFCGPDWVLTSRLRRSYGGSICDFSIGGDRLFALHSEENVFDVWETPPCPII >scaffold_400439.1 pep chromosome:v.1.0:4:2649592:2650762:-1 gene:scaffold_400439.1 transcript:scaffold_400439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESETKKKTSTSSIMPEWSLLPEELLHIIIDKLDNCFNVVHARSVCRSWRSTFPFPSCLLRPSYSLPMFTHFRRKSKDLFTLEKIPLFLFRASTPDADVAEYFMGGIGRDKSNDHMELPSPLQCSVKVKLPGSDPTLMNMLDYNILHLGYQYRMIGCNPDDYKGVAFLPLNKEGGEFVVLLNYSSFLLVLTSAEMGWKRLENVLFFACLHLITFRGRFYATFHSGETVVIDPYSLEVTFLLPSPRTPVHYLVPFGNDELFLVEVIFPTVGKLTCRVSRLDEEAGQWVEVSDLGDRVLFVGGHLGNISCSAQELPHGLGGNSILFTNGSGNVTFPYKYGVYTGNAEDNLDCWRSSMEDRVVILNKSFPVLAFKVEC >scaffold_400440.1 pep chromosome:v.1.0:4:2651017:2651398:1 gene:scaffold_400440.1 transcript:scaffold_400440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSYDYAYLPASTSSLLASSSLAFSALFGYLIVKTPLNASVINSIVIITGVMAIIALDSSSDRYSYISNSQYFAGSFWDIMGSASYIIACNKFDRNIADYQQSKNPQQISQG >scaffold_400444.1 pep chromosome:v.1.0:4:2661087:2662050:-1 gene:scaffold_400444.1 transcript:scaffold_400444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPETKKKTSIMPDWSVCTCSLCLQLVAIHTSSVSRITPKLLSSRIRRLPFRKQRVPTPAIADDQLSDALQCLVKVKIPASVPKKIIPLGHKYRMIGWEYNRVAFLRLDKEGKRGEFVVLLKYSFGLLVLKSAEMRWKQLENVPNARCFDIVTFRGRFYAHFLSGKFFVIDPYSLEVTLLLPSPQESERGLQSLVPYGKDELFLVEVIIPEDRVIDLSRLTRIVRRLDEEAGKWVEVSDLGDCVLLLGNLSCSAKEFPHGFGLTKNAVLFTDKSGHAFLYYKRNVVKDLN >scaffold_400446.1 pep chromosome:v.1.0:4:2675526:2677227:-1 gene:scaffold_400446.1 transcript:scaffold_400446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALPSSLLLFGTSSPLNLFAILVDDPSSRLSLKSRSASPCSSMDGSSASTPELWKHQTMGESTSADRASSSASFDWVDLVLEAPKSVAGQPKSVLPPPLLVRMRRRTWVNLMVPRFGPSPSPFCPPSSPLPKLSKPMKTSAGLSPCLLRPRSDFNSVLQSPNFLKLLSFRESNERFPVMVMMDLAWISSSLSQNLVDSLSRLVRLLHRLSLVLIRLELISLWKCPRVLLPVPSIINFLSSSLPLAPSNSVLAGNGRHANRVMVCLGWLDSYLCRDQMLSLLWTLSKTLLPNHRQLAFRVKKTGIMTLSLRSRCYRSFFNSLPTHSPIIEFSHVLIYCLDNLQSSGRLEKYGIMTMSSRGGYRLFFNLVNPSASSAEHFSKSSDALFIHQLPKRVKKNGIMIPSLRSGGYRSFFNSLSPPPLKTKLIHVQIKEFRYNTQTFNSLKKNGIMTPSPRRGGYQSFSNLFYPTASSVEHFLKSSFALFARAVYDHPLVEDFVKPVFMVESAMASKDSSNFANFFKMSIILENSWSLYLYSYCIFLATPCMNFPLLRF >scaffold_400447.1 pep chromosome:v.1.0:4:2679474:2679718:1 gene:scaffold_400447.1 transcript:scaffold_400447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRSHFVKGMELMVLLMCYRLYGKATEDSVAYALVMGSTWFLVGSWLFDQFFFNPSGFEWQKIVDD >scaffold_400448.1 pep chromosome:v.1.0:4:2681015:2681475:1 gene:scaffold_400448.1 transcript:scaffold_400448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSKVPKSNSANLEQAYRSLISASRGLSRTLSPSLPESQPPPPQLESQSPSMVVSSFPAPVTPSPPSQEEIQTRSRNREEIRRVHDCYKRLKSSIGQRDGGGCSANLEQAYRSLISASRGLSRTLSPSLSFDLVLPIG >scaffold_400449.1 pep chromosome:v.1.0:4:2682198:2683197:1 gene:scaffold_400449.1 transcript:scaffold_400449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFCWDSQTWFTTVLLQWRSNPIASSICATKKKKKTTKAIQIFMASSLTFKSILGSTKPGSSSLPSELRRLSSPAVQIYIRTQTRKNFRRSSARDTIGRVAPELWQENFEAICRN >scaffold_400452.1 pep chromosome:v.1.0:4:2706162:2706448:-1 gene:scaffold_400452.1 transcript:scaffold_400452.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHI9] MARVKGGDNRVYESTEGSREGAANPDPTEASTDASVPTEASTDAAVPKDAPTDDAYPTEAPMDAAVIGPQWNPQKQPPN >scaffold_400458.1 pep chromosome:v.1.0:4:2722758:2725067:-1 gene:scaffold_400458.1 transcript:scaffold_400458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEKEHEGTVAESGGEKNDSAVVLSASGEKKTTKRKGLFSRIWNAIFRVRGDDFEKRLKNISKEEATVRNRMKRRSITRRNFIRNLIAFSVFFEVIAVSYAIMTTRDEDLDWKLRSFRILPMFLLPAVAFLLYSSLVGFWRMCDRRDQHTLEKLQAEMLGKINELKERTNYYITQQLIQRYDPDPAAKAAAATVLASKLGAESGLKVFVGDESQLDPTPGKSNDMEAKHSRGLRNRRQPNTKHNSAGTTPTHHSDNESNHSGTSERITGTEQNQQLVFEHFNPHGYAAHDGTWISRIAALLVGEDPTQSYALICGNCRMHNGLARKEDFLYTTYYCPHCRALNKPKHSEEHLLITPADTLPKVSLKPMKREVINSSSSTSECGNSPIPLLKTPEIVEEVPETAESETPN >scaffold_400459.1 pep chromosome:v.1.0:4:2741085:2741333:-1 gene:scaffold_400459.1 transcript:scaffold_400459.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHJ7] MDNNGDSSEETLSLMYDFAGAIFLYLVALGIFGFCLFRQWLAFAKPSYTSLVTDEQIQDEESNIINRV >scaffold_400460.1 pep chromosome:v.1.0:4:2743271:2743610:1 gene:scaffold_400460.1 transcript:scaffold_400460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHJ8] MESMAPPPLSSTSATFDSRRDNIINLACTFIVLVFFFVGIYAFMYIEILVWLIDKETTKKKYFRLRDVELGRLPAGERIQDQSDGEENDLAKDQTAVDF >scaffold_400464.1 pep chromosome:v.1.0:4:2766647:2768019:-1 gene:scaffold_400464.1 transcript:scaffold_400464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGAKFNVLAVGNHHNNDNNYYAFTQEFYQKLNEGSNMSMESMQTSNAGGSVSMSVDNSSVGSSDALIGHPGLKPVRHYSLSVGQSVFRPGRVTHALNDDALAQALMDTRYPTEGLANYDEWTIDLRNLNMGPAFAQGAFGKLYKGTYNGEDVAIKILERPENSPEKAQFMEQQFQQEVSMLANLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTKRQNRAVPLKLAVKQALDVARGMAYVHGRNFIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRAYNQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNRGVRPTVPNDCLPVLSDIMTRCWDANPEVRPCFVEVVKLLEAAETEIMTTARKARFRCCLSQPMTID >scaffold_400467.1 pep chromosome:v.1.0:4:2783840:2784726:1 gene:scaffold_400467.1 transcript:scaffold_400467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTVAMHSVFVYGSLMADDVVRLLLNRIPLTASATLPDFHRFSIKGRVYPAILPAKSDKVSGKVLFGITDHELNVLDEFEDIEYERENVQVLLTDSSDEKLQTKTYVWAKKDDPDLYGTWDFEEWKQLHMEGFLKMTREFAEELNLPKSEI >scaffold_400468.1 pep chromosome:v.1.0:4:2787421:2791655:-1 gene:scaffold_400468.1 transcript:scaffold_400468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIITTKAASKDNLLSDWQPQVFLSFRGADLRYGFIDHLKKAFMANNIRYYIDEIEPRGENLGILFQRIRESRIALVFFSNRYPESEWCLDELVEIMKNMENDTLRVIPIFFKVKPEDVRGQKKEFGVALYGEGRRRRPRMPQWEDALEAIPSNMGLVFQEQSSEADFLAKLIERVKEVEAILISEYRGREGSSSSVPIRPLTCIASLPPYEQRLEQLEERFGFDPAVTQIFGIVGMTGIGKTILAQKHFDKWKKRLAIDKMLLGIHERSKNEEGSDWVIKDDDKIFKRKSFIFLDDVSEKTQIQSLLDNLHRVKKGSKIVITTRDKSWIGEVVHDTYVVPGLNEKEALQLFHYHAFHNQDYTPTQNITKLSKKFVDYAGGNPLALVELGKELCGKNETLWETRIETLPHCCNENIKRELKISYDKLTDQQKDAFLDIACFFRSEDEDCLKNLLASEVSHESDEAAGVIGDLAHKFMISVSAGQIEMPDILCSLGKELGLFASADNLRKSRLWDHNAVSKALAGKEENEDITVRGILLDVSKLKEEIAIATNKLTLMPNLRYLKIFDSSCPRQCKVVEAVECKVYVPDELELCLKNIRYFHWLKFPSMELPPDFNPENLVDLRLPYSKIERVWDDVKDTPNLKWVDLSHSTKLIDLSALWKAESLERLNLEGCTNLELFPKDEGNMKSLAFLNLRGCTSLSFLPEMENFDCLKTLILSGCTSFEDFQVKSKNLEYLHLDGTEITDLPQTIVELQRLIVLNLKDCKMLDTLPDCLGKLKALEELILSGCSRLRSFPEIKDNMENLQILLLDGTKIRDLPKILLRCANSVDQMNLQRSPSMSGLSLLRRLCLSRNEMIISLQSSISDLYHLKWIDLKYCTKLQSISMLPPNLQCLDAHDCTSLKTVASPLARPLATEQVPSSFIFTNCQKLEHAAKNEITCYGHNKGRLLSKTLNRHNKGLCFEALVATCFPGSEVPDWFGHKSSGAVLEPELPRHWSENGFVGIALCAIVSFEEQKIRNNNLQVKCICDFNNVRTSSSYFNSPVGGLSETGNEHRTIKSTHVFIGYTNWLNIKKCQEDDGKKGCFPTKASIKFQVTDDIGEVKNCEVLKCGFSLVYETGSWEANTRRDDVEQGEVESLEKKKVSKIMMPKLWCNYNAGS >scaffold_400472.1 pep chromosome:v.1.0:4:2828242:2828782:-1 gene:scaffold_400472.1 transcript:scaffold_400472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYKTRKPNDRWRRLYVLKDGEPLNEPLCIHYQSCYLFGRERKIADIPTDHPSCSKQHAVIQYREVEKEKQPETNNQVRPYIMDLGSTNYTYINETPIEPQRYYELFEKDTIRFGNRSQEYVLLSE >scaffold_400477.1 pep chromosome:v.1.0:4:2853902:2854132:-1 gene:scaffold_400477.1 transcript:scaffold_400477.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LI73] MLLHDHKNDKSIVVVIFVTISHLLVAESLHSIIKMMYLNLQNLSFVSCKNPSNSNDAFRNEK >scaffold_400481.1 pep chromosome:v.1.0:4:2866253:2868660:-1 gene:scaffold_400481.1 transcript:scaffold_400481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSKGRNPYTSSYANGLIYFSSMRISKEEKYDDVHMICNPSTGQYVILPELRSYRQAGSFLGFDPIDKQFKVLVMACVYDDHHILTLGTGKMSWRKIQCPLSHERLGEAKCFNGAVFYLARKTDDWSCGIVCFDVRSEKFMFIDAKHFGVSLHTQLINYKGKLGGISLKYACDGGFPLELCMWVLEDVEKRKWLKYVYTLQADNNLVKVKNNLFVVGTTATGEIVLSKDKGSIIINTIKPFYVFYFNPEKNTLLSVEIQDLGNAMDSAGSTFLWESPTNKVHLNPTVIIENETETTKNIWPWKRKSSDKATTEKPVVGNESTPVCSLSYLASPENQHLEGVKKIAKLEAECQSLRGLLRKKLPGPAAMAQMKLARI >scaffold_400482.1 pep chromosome:v.1.0:4:2870458:2871439:-1 gene:scaffold_400482.1 transcript:scaffold_400482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIIQEQKHQHGQAGNFLGFDPVDKQFKVFSMSYAYDDHHILTLGTGKMSWRKIQCALTHNRCGEGVCFNGVVFYLAKKADDGSYVIVCFDIRSEKFMFIEAKHFCDTSNAHLINYKGKLGGISLKYACDGGFPLELSMWVLEDVEKHEWSKYVYTLRAENKVVKVKNNLSVVGTTARGEIVLSKNKSNIIIDTIKPFYVFYFNPEKNTLLSVEIQDFGERHEWFMGHKVYAFVDHVEDLKFNIMKTTFAATSISPPEQKRKATSTSTSSIENHQMRTVAHLQKDRRTFESVNIFDVLCISDDDGSTGVKT >scaffold_400484.1 pep chromosome:v.1.0:4:2879337:2879563:1 gene:scaffold_400484.1 transcript:scaffold_400484.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDSTKLDVQVDVAATSQDPSLGFLSTVIITLDREYLKDDSIKSLGSYPDSSSIDSPIVV >scaffold_400485.1 pep chromosome:v.1.0:4:2879640:2879926:1 gene:scaffold_400485.1 transcript:scaffold_400485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVKLTHKVYNVVPCSSAPLATDLETCLICFEDLSMRSYECYQLPDCSHCFHEECVDEWVIRQNYYCPVCRRPFYEQSE >scaffold_400486.1 pep chromosome:v.1.0:4:2882167:2882822:1 gene:scaffold_400486.1 transcript:scaffold_400486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTESFKLDVHVKAWSQAPSSGFLSTVVISLNREFEEFLINENDDSVMSLGSYPDSSLHDPLISLKLPSFKPNYVYQLLQTQLHDHVLSEQISYKVVEAQRQRSQSFYLPQQQPLFMIVSVKLTQKVYNVVPCSSASLATDLDQESQEEEESKTCAICLENLSRSEDYCQMPYCSHCFHERCVTKWVVGHNNSCPLCRKPVDK >scaffold_400487.1 pep chromosome:v.1.0:4:2883285:2885034:-1 gene:scaffold_400487.1 transcript:scaffold_400487.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRPA2/ROR1/RPA2 [Source:UniProtKB/TrEMBL;Acc:D7LI82] MFSSSQFEPNSAFSGGGFMSSQPSQAYESSSSTAKNREFQGLVPVTVKQITECFQTSGEKSGLVINGISLTNVSLVGLVCDKDVSKVTEVRFTLDDGTGRIDCKRWVNETFDAREMESVRDGTYVRVNGHLKTFQGKTQLLVFSVRPIMDFNEVTFHYIECIHFYSQNSESQGQQVGDVTQSVNTTFQGGSNTNQATPLNPVVSSQNNDGNGRKNLDDMILDYLKQPACTARQQGIHIDEIAQQLKVPKNKLEGVVQSLEGDGLIYSTIDEYHFKHVEL >scaffold_400497.1 pep chromosome:v.1.0:4:2961131:2961356:1 gene:scaffold_400497.1 transcript:scaffold_400497.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LI90] MLGLSLLVQFREVGERLVSSFRGYDFGDLKVRVFNTESEGLKFEGFGLSWSVDYDLLGFWF >scaffold_400498.1 pep chromosome:v.1.0:4:2961514:2962784:1 gene:scaffold_400498.1 transcript:scaffold_400498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSQYQRIDLCELKEHIVKKIGVERSTRYFYYLGRFLSQKLTKSEFDKTCLRLLGRENLSLHNQLIRSILRNATVAKSPPPDHEVGHSTKPLVNAFQSREDGLEQSGSLIPNHNQHEPVWSNGVLPISPRKVRSGMRDRKSRDRPSPLGSNGKVEHMLHQPVCREDNRGSVGMENGDYQRSGRYVADERDGEFLRPVEKPRIPNKEKVATASMRDDENQEEQARLNLSMSPLIAPLGIPFCSASVGGSRRTVPVSTSAELISCYDSGGLPDIEMLRKRMENIAVAQGLEGVSVECAKTLNDMLDVYLKKLIKSCFDLVGARSTNGDPGKQTIGKQQSQNKIVNGVWPSNSLKIQTPNGPSDITQDHHSVSLLDFRTAMELNPQQLGEDWPTLRERISMRSFEEQDFEV >scaffold_400502.1 pep chromosome:v.1.0:4:2978143:2978965:-1 gene:scaffold_400502.1 transcript:scaffold_400502.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LI96] MDDTFFHRYLFRSMVFLRMVTLSVFVLTPATNIHHFHVKWISDPKAEANPSHGTVIPLVDEKGTVLWESQVYIPCLIRSSVVKVFSGEVAEAYPVCITEFLSKLTYFVSFMVVSEDHCFVAFRWLIQISLDLLMSQRPPRKPPWNQPTTEDGDAIMMRIRRSDQQQVWVYATITKLHISFFRRVPKSRVLRCNGWIADFDFRKRKRWCIDKKKMFPKLESHTRLTDTTKTTKHIIRIAALELFCFFVTNLFDCVYILMF >scaffold_400504.1 pep chromosome:v.1.0:4:3002911:3005894:1 gene:scaffold_400504.1 transcript:scaffold_400504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLFNINTLLMVSFHALMRFRVCRWKLASLFTPSMTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTRSSEFEIVSTPVICGHGVKESGAMVISDSDITVIVKLIKIRSNVPD >scaffold_400512.1 pep chromosome:v.1.0:4:3059559:3059921:1 gene:scaffold_400512.1 transcript:scaffold_400512.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIA5] MADCSFLVGDVPRRQGQLIFWVSLWLGSLLYSIVSRYFLGVCLGGSVVAVGGEGVRSGDESFWSLNHRSLMSKWFLKRELQWRLIYSFLVCLWIRSISSQKYFNFS >scaffold_400518.1 pep chromosome:v.1.0:4:3102281:3104754:-1 gene:scaffold_400518.1 transcript:scaffold_400518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRFDFSDLWVKETRRGTPVVVKMENPNYSIVEVEEPDSAFQPMEKSRGKNAKQVTWVLLLKAHKAVGCLTWLATVFWSLLGSVKRRLSFTHPLGSERLDRDRWLFSAIKLFLATSLAILGFELVAYYRGWHYFKNPNLHIPTSKLEIQSLFHLLYVGWLSLRADYIAPPIKALSKFCIVLFLVQSVDRLILCLGCFWIKFKKIKPRINDEPFRNDDVEGSGSEYPMVLVQIPMCNEREVYEQSMSAVCQLDWPKDRLLIQVLDDSDDESIQQLIRAEVTKWSQKGVNIIYRHRLVRTGYKAGNLKSAMSCDYVEAYEFVAIFDADFQPNSDFLKLTVPHFKEKPELGLVQARWAFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLHGWKFIYLNDVKVLCEVPESYEAYKKQQHRWHSGPMQLFRLCLRSILTSKIAMWKKANLILLFFLLRKLILPFYSFTLFCVILPITMFVPEAELPVWVICYIPIFMSLLNILPAPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWIVTKKAGRSSESDLLGLTDIESKKMANQILRGVSDSELLEIGQVEEQKKQPVSVKKTNKIFHKELALAFLLLTAAVRSLLASQGVHFYFLLFQGLTFLLVGLDLIGEQMR >scaffold_400526.1 pep chromosome:v.1.0:4:3164235:3166355:-1 gene:scaffold_400526.1 transcript:scaffold_400526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFNVSACGLGELPTPSNNKIGNISRKQHQRLRTEEGDDQDKTVVLGRGKRIRKNNSQSEASSSSSNKSNLNEDVLGDKKSFITLKLTNDGHKKSRVGLPLPFMRANGLNKPGEIGMLAKDGKKLMVNLLKDVNGRMSLGRGWRDFALVNGFQIGESITLELIWRDSNPMFRFSSTGTDSECDQRQGEYCSQACEEEPICIEPSGNRDKKEKNNIEDKKYSSLETLNRVLTLTLKPKDVKDCNLVSSSNKILPSEFMRAYGIDKHMTITILGKANMKWWGYPSSRDGTIAVGIGWANFCKANGIETGNLFTLELVSEEVDTSPMFRICPNSGD >scaffold_400528.1 pep chromosome:v.1.0:4:3172699:3174208:1 gene:scaffold_400528.1 transcript:scaffold_400528.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIB8] MGVIGEELTVVELYIFYLISMGTAQRSRRILRYQFLWTGVESRVLRPEMFFHLIWEIDISRRSQVSHLVLNELNLSYLERFISVAIVVQKGKGLRLEKLFPVVISLGEFWSIDEGNSEEVQRVSERREILEALSRFLYYLIKARRAKISRWGLMVIIVIDFCGSMIYFEGDIDLKMGVYKSVSQPGGIAHLISFSAIQSINDLFLALDFIILGFYWLYLFLGFILFWFLIVLVEVMPQSSMVSQGSHGNIMSNMVNNSLSVFILAFYVGFNSQILYVSYIICVIEILIKGMYRLDMMVIDGGRNLLRLWFFFRLYLGFEFLGLSFGGIYIVDWEVLLLEFEIVSVAGWSWTTDQRGFRLKTIEERRSGEGVFNRWFSGDRRRRALSTLPWAIVGGRNISKSYDVGRLILDFECMEWSFIGCNKRFYNSLISGFSWLDVDTLRVRISMVNCNLVSLRNNQGNEGHNPTHHGTVKRLTGGRNPLNRKVDNK >scaffold_400529.1 pep chromosome:v.1.0:4:3182379:3184189:-1 gene:scaffold_400529.1 transcript:scaffold_400529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVSACDIRDKPSPSNIEIKKLVLLEREKKVRKINSQSEASTSSSDNSSFVALVKASNLEEDTLYLPQDCTRSNGLNRKCRKIVLTDGGSRSWEMELKFKKNLGSFYITRGWRHFCDENGKKAGSFFVFELTIKEGTPLLYFSPSESTINYTKLPTQKRFVTVRVVPDCLKRCRLYLSRRFLKNNGLGETKMVTLLGTDGTRRLANLVRETSGRMSLGRGWVEFVKANGLKIGQYFTLESIWANDSPILKLSSTNDSKSDKRKHRENFPVASHKEFVSTEASDGNEPEKDMNTEEMINQSSLIDNRFVILALEPEDAKAGMLYLPSHFMKANGIDKIGKIYMLGTNEMEWWWGNLLTRDGIVSVGCRWRYFCKSNGVKIGQYFKLEYMYKYDTRPVLKFVPSPGSKSYV >scaffold_400530.1 pep chromosome:v.1.0:4:3186218:3187896:-1 gene:scaffold_400530.1 transcript:scaffold_400530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESFTDGTRVPSNGNNPCRKTEGVDLISSLPDSILQHILSFIPTKLAIRASLLSKRWRHVWCDIPSLSLDDQTLKDTSITLNRFTSLKIMNFHLNTTMKQNIPHINKWVEFAISRNVENLSLDFWNPSSSYKFEIPDFFYVNSSVKQLTIKLSFTDLMVPACSLSWTSLKKLYLRNCNLSDESMAKILSGIPLLESLTLYFCNELRVLDLSKSLRLRTLKINRKIWVPGPTHIVAPHIYRLRLRNSQLPCTFVDLSSLAEARLDICIVPITRTFKADFLQDMVLKMLEKLQNVEKLTFGGNFLHIISLAEVRGVRFPMFKVKDLTLETVIFQYVIPGIERLLQNSPNLKKLTIRARDTNTIRKEYINNYLKLQGLNLDQCWRSKDGVVFNNLRWDLESKHATSFVELVLKNTKTLKLDKIVILLNERYLRFKFEELVVPTLSHNNFSIALLNMPMTSDDEDW >scaffold_400534.1 pep chromosome:v.1.0:4:3203423:3205177:-1 gene:scaffold_400534.1 transcript:scaffold_400534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSTWKDHLKPIIWPGKVDVVPKGWEIPTNGKKLRIGVPKRSGYTNLVKVTRDPITNSVIVSGLCIDILEAVIRAMPYDVSYELFHFEKPNGEPAGDYNELVYQVYLGRYDAVVGDITILANRSAYVDFTFPFLKSGVGLIVPMKDEVKRNSISFLMPLTWKLWVTSFVFFFLIGFTVWALEHRINPDFRGPANYQASTIFWFAFSTMVFAPRERVYSFGARLLVITWYFIVLVLTQSYTASLASVLTSQKLNPTITSMSSLLQRGERVGYQRQSFIFGKLNDTGFSKSSLVPFDTTEECHELLRNGTVSAAFLETPYLRLFLGQYCNTYKMVEEPFNVDGFGFVFPIGSPLVADVSRAILKVAESPKAMELESTWFKKKEESCPDPVTNPDPNPSTSSRQLGVDSFWLLFLVAFVICVLTLGKSLFFFLKKPTHVDGLWKEFQKPDNDSYINKVEKCPCSSSQLMPENTTPETNQTADG >scaffold_400536.1 pep chromosome:v.1.0:4:3218716:3218923:1 gene:scaffold_400536.1 transcript:scaffold_400536.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIV2] MTAKKMNLLKKKTRRRAKVAKSSEKGEANSSPEKGIEIPSPPPEPESTNQGKYL >scaffold_400541.1 pep chromosome:v.1.0:4:3242492:3244054:1 gene:scaffold_400541.1 transcript:scaffold_400541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKKTPAMIWVSKLQLSPSPSAKIGQTFLMRDWSLLPEELLRIISKYLEDKDYCFDVVHARSVCSSWRSIFPFPSCLLRQSYSLPSFAKFPRKTKDLCTLEKIPLFLFRVRAPASHYEYFLGDLGPDKSEDRMERPSPLQCSVKYKIPGTDPTLMNMLDCQIIPLGHQYRMIGWYPDSLATSFRGVAVLPLNKQGGGGGGGGFVVLIGYSHGLLVLRSAERRWMRLEQSSIADCSDILTFRGRFYVVFLNGDIFVIDPYSLEATPLMPPEVLNSGSCNYLVPCGNDELFLVVVIIPRSSVLDFGKLTCRVSKLDEEAGEWVEVSDLGDRVLFIGNQGNVACSAKELPNGCGVSGNSILFTDGLGFKNFAYKYGVPTGNAEDDLSLWRFSRENLVDVLNKSPPVVALQIAR >scaffold_400545.1 pep chromosome:v.1.0:4:3257940:3258257:1 gene:scaffold_400545.1 transcript:scaffold_400545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQRQQQLEIQSKNYALKELKEENKILLCDLNTMDPNVRGYFQAEQARILQKRSDQQKNQLAQPPSTSFGSFGQYFNDIGGPESNLPEY >scaffold_400547.1 pep chromosome:v.1.0:4:3266532:3267372:1 gene:scaffold_400547.1 transcript:scaffold_400547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSPLECSLKLKIPGSVPTLMNMLDCQILPLGFQYRIIGWDPEEQTTVFLPLNKDGREFVVLLNYSYLLLALTSAEMRWKLVMDVPNTSCTELVTFRGRFYAAFLHGEVCVIDPCSLEAASLMPSEPLCSSNYLIPSGNDELFLVEVIVPMGLTFNRFKCRVSRLDEKAGKWVVVRDLGDRVFFIGGQFGNVCCSSKELPDGCGVSGNSILFTFGPRNVTCTYKYGVHTGNEEDDLNWWRCVSENRVTIFYIKPENLTLDTEDI >scaffold_400548.1 pep chromosome:v.1.0:4:3267898:3271150:1 gene:scaffold_400548.1 transcript:scaffold_400548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKTPTMVWRNRGNPEANPRKCNMAKPAKDKNKSLLDCSPLLEELPQSPDCKLKDLGILRMAKQHFFKPLLPGFHSHLTIPVAFFLKNIEGRHEQKTAELRSDASKITWEVKIDGQRLTDGWKEFALSHDLRIGDIVVFRQERDMCFHVTMLGPSCCEIQYGSCLDNKNNLGKIQRKKNPRRETESSSLDPSCFVANVTVSSLRDDRLNLPRSFVRENGLDTRCGEIVLMNEKGRTWTLDLKGNKSYRTTYIKQGWRSFCHANGLRAGSIFTFKLIQKGKTPVLRLSPKEPEEQANEVSLSPELESDEDTNLGKIQRRKKRKKNPSRDIESSSLDPSCFVAHITRSSLRYDILYLPKRFMRENGLDTRCGEMILMNEKGRSWALDLKRKNSCGTTYIKRGWRSFCRANGLRAGSFYTFKLIQNERTLVLRLVPKEPEEEEEKANVESLSTEPSDEESSQDEKSSQECSKTMEKKSILIQKASSSASQSRFVTVTLTLYNVSLSRLTLPIPFTKVNGIQNAKKMSFLDKHGVKWSTSLRFEQDRQRMRLVGGWKEFCDANGVKIGESIMLELVLEADKSFVLKFCSKVMQEIK >scaffold_400549.1 pep chromosome:v.1.0:4:3273768:3274845:1 gene:scaffold_400549.1 transcript:scaffold_400549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDWSLLPEELLPIVSKNVEDCFDVVHARSVCNSWRSTFPFPSCLLRPSYSLPTFDEFTLESKDLCTLEKVPLFVFRVKTPASSTSPFEYFLGGIGRDDRMDLPSPLQCSVKVEIPGYDSTLMNMLDCQILSLGHQYRLIGWKPKDYRGVAFLPAEMKWKRLENVPYAICSDLVTFRGRFYASFLSRNTFLIDPYSLEVTIPNFDVIDFSRFTCIVSRIDEEGGKWVEVTDLGNRVFFIGHFGNVSCSAKELPDGCGLSGNSILFTNEPIYAYKYGVNTFYWFSFNYITNKL >scaffold_400550.1 pep chromosome:v.1.0:4:3276079:3278103:1 gene:scaffold_400550.1 transcript:scaffold_400550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKRLENVPYAICSDLVTFRGRFYASFLSRNTFLIDPYSLEVTLLMPSPQKPLNYRVAFGNDELFLVEVTIPNFDVIDFSRFTCIVSRLDEEGGKWVEVTDLGNHVFFIGHFGNVSCSAKELPDGCGLSGNSILFTNEPIYAYKYGEMKTASGDFDKLKFPEYYRACLHEEGGKWAEASDLGNHVFFIGHFGNVSCSAKELPDGCGLSGNSILFTNEPIYAYKYGVNTFYWFSFNYITNKL >scaffold_400551.1 pep chromosome:v.1.0:4:3279314:3279865:1 gene:scaffold_400551.1 transcript:scaffold_400551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTSAEMKWKRLENVPYAICSDLVTFRGRFYASFLSRNTFLIDPYSLEVTLLMPSPQKPLNYLVAFGNDELFLVEVTIPNFDVIDFSRFTCIVSRLDEEGGKWVEVTDLGNRVFFIGHFGNVSCSAKEFPDGCGLSGNSILFTNEPIYAYKYGVNTSPRATPQDLQHS >scaffold_400552.1 pep chromosome:v.1.0:4:3295537:3295992:-1 gene:scaffold_400552.1 transcript:scaffold_400552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFVLVVVIALSIGLNNGSRLFPKNQLYFRNSLSRNDDVLTVHCKSDDDDLGIHSVQRSYEYGFKFGDSLLHLTAFVCTLEHGVSSKYSVTFTAYIANPFFISTGVIKLWVAFDDGIYLTDEDHDLVKIYSWPKI >scaffold_400555.1 pep chromosome:v.1.0:4:3302977:3304143:1 gene:scaffold_400555.1 transcript:scaffold_400555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSQLNNLTINIIILCFTFQSLSSAAESHLTVDFYSKSCLKFLDIIRETITNKQISTPTTAAARGVKRANTAAALRLFFHDCFPNGCDASVLVSSTTFNTAERDSSINLFLPGDGFDVVIRAKTALELACPNTVSCSDIIAVAVRDLLVTVAVALKKACSNYKNDPTISVFNDVMTPNKFDNMYLQTNSII >scaffold_400556.1 pep chromosome:v.1.0:4:3306916:3307685:1 gene:scaffold_400556.1 transcript:scaffold_400556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDPEDWATYYRGVAFLPLNKEGRGEEFVVLLNYTKNLYVLRSTEMRWMQLKTPMMPSEPLRSSNYLIASGNDELFLVEKFNPFPECGRINFKRFICRVSRLDEEAGKWVEVNNLGDRVLFIRHFGNVCCSAKELPVDCGVSVNSILFTTEPCNVTYSFKYGVKTGRAEDDLNCWRKSREIRVRILNTFPVVALRLEYQAESLSF >scaffold_400557.1 pep chromosome:v.1.0:4:3326717:3327328:-1 gene:scaffold_400557.1 transcript:scaffold_400557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRIDILDQALLRPRRIDRKQLNFIILMKRKMNLMRGIDLKNIAEKMNGASGAELKAVCTEAGMFALRERRVHVTGDTGRL >scaffold_400558.1 pep chromosome:v.1.0:4:3327939:3329155:1 gene:scaffold_400558.1 transcript:scaffold_400558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMMPDWSQLPVELLSLISKNLEDYGFDVVHARSVCRSWRSLFPFPSCLLRQSFSLPLFTDFPLESKDLCTLKKVPLFLFRLRAPDADVALPSQYFLGGIGRDESEDHMELPSPLQCSVKVKIRGSDPTLINILDYQIIPLGYLYRMIGWEERRRYYRGVAFLPLNKEGEGREFVVLLNYNKVLLVLKSAEMRWKRLTNVPDYQCMELVTFRGKFYAAFLTGKIVVIDPHSLEVTPLMPSQPLRSIDYLIPSGNDDLFLVEHFNPIPDDDVLDFSRFTCRVSRLDEETGTWVVVSDLGNRVLFIGGHFGNVCCSAKELPDGCGVSGNSILFTNEPGNVTFAYKYGVHTGRAEDDLNTWRSSTQNRVTILSTSPVLALCVECQAENLALDI >scaffold_400560.1 pep chromosome:v.1.0:4:3342552:3343073:1 gene:scaffold_400560.1 transcript:scaffold_400560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQHFFKTLLPGFHSHLFRHEQPRKKKKEVESSLYLSCFVANVTPSNLGYDSLNLPLSFVRANGVYKRCGDMILMNEKGRSWTVVSVMRMKLIYPLW >scaffold_400562.1 pep chromosome:v.1.0:4:3360963:3362100:-1 gene:scaffold_400562.1 transcript:scaffold_400562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDWSLLPEELLPIVSKNVEDCFDVVHARSVCNSWRSTFPFPSCLLRPSYSLPTFDEFTLESKDLCTLEKVPMFLFRVKTHAASTSPFEYFLGGIGRDDHMDLPSPFQCSVKVKIPGFDPTLMNMVDCQILSLGHQYRLIGWEPKDYRGVAFLLLNKEGREGEFVVILNYTNILMVLTSAEMKWKRLENVPYAICSDLVTFRGRFYASFLSRNTFVIDPYSLEVTLLMPSPQKPLNYMVASGNDELFLVEVTILNFDIIDFSRFTCIVSRLDEEGGKWVEVTDLGNRVLFIGNFGNVSCSAKELPDSCGLSGNSILFTNEPIFAYKYGVNTVSPEEDLNCWRATRENRVMILNTSPILALQIER >scaffold_400563.1 pep chromosome:v.1.0:4:3362673:3368276:-1 gene:scaffold_400563.1 transcript:scaffold_400563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAKGKNKSLLDCSPLLEELPQSPDCRNRGNPEANPRKCNMAKPAKDKNKSLLDCSPLLEELPQSPDCRNRGNPEANPRKCNMAKPAKDKNKSLLDCSPLLEELPQSPDCKLKDLGILRMAKQHFFKPLLPGFHSHLTIPVAFFLKNIEGRHEQKTAELRSDASKITWEVKIDGQRLTDGWKEFALSHDLRIGDIVVFRQERDMCFHVTMLGPSCCEIQYGSCLDNKNNLGKIQRKKNPRRETESSSLDPSCFVANVTVSSLRDDRLNLPRSFVRENGLDKRCVEIVLMNEKGRTWTLDLKGNKSYGTTYIKRGWRSFCHANGLRAGSIFTFKLIKKGKTPVLRLFSKEPEEQANEASLSPELESNEDSNLGKIQRRKNPSRETESSSLDPSCFVANITRSSLRYDILYLPKRFMRANGLDTRCGEMILMNEKGRSWALDLKRKNSCGTTYIKRGWRSFCRVNGLRAGSFYTFKLIQNERTLVLRLVPKEPEEEEEKANVESLSTEPSDEESSQDEKSSQECSKTMEKKSILIHLRCLNLSQTLPIPFTKVNGIQNAKKMSFLDKHGVKWSTSLRFEQDRQRMRLVGGWKEFCDANGVKIGESIMLELIWESDKSSVLKFCSKVMQEIK >scaffold_400564.1 pep chromosome:v.1.0:4:3369433:3370069:1 gene:scaffold_400564.1 transcript:scaffold_400564.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKSLNVDVNVTALFFTPSSGFLNELIIIRPRQVEEFLIDDNDHSVTSLGSYPDSPPSDPLIYLKFQSFEPNYLYQLVHTQLHDHVLSKQISDQIVVKAQELRSDQSSDHLPQQPLFMGVSVRFTRKVFKVVSCNCAPSTTDLVETETCAICLEDMLESGSIYHMHNCSHLFHQGCLNEWLNRQQNSCPLCRQPIYQ >scaffold_400565.1 pep chromosome:v.1.0:4:3373053:3373404:1 gene:scaffold_400565.1 transcript:scaffold_400565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIINSVCFTTILLIIFVISTGIPKSEAACFTFKGECSVTPPCSSSGNCKTCCQAAWGSGACGKCELEGSELHCHCYT >scaffold_400566.1 pep chromosome:v.1.0:4:3382874:3383234:1 gene:scaffold_400566.1 transcript:scaffold_400566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTINSMCLTTILMIIFVISTGIPKSEAACFTFKGECSVTPPCSSSGNCKTCCQAAWGSGACGKCELEGSELHCHCYT >scaffold_400567.1 pep chromosome:v.1.0:4:3386255:3386621:-1 gene:scaffold_400567.1 transcript:scaffold_400567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILNSICFISVFLITLLVSTDLPKSEAKTCKMFRGECPVDPCDPVACDQCCNTTFGKQVCGKCEQEGTEFHCHCRR >scaffold_400572.1 pep chromosome:v.1.0:4:3427095:3427593:-1 gene:scaffold_400572.1 transcript:scaffold_400572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQKIPLVKIKKESHRQVTFSKRRASLFKKASELCTLMCGAEIAIIVFSPAQKPFSFKHTSVESVLDRHLSQNNLPSTQTQQHRGNVAPSCELNLRLTEILNESEEEKKKGQAMEDMRKVSARRPMINWWEAPVEEMNMVQLQEMKSALES >scaffold_400578.1 pep chromosome:v.1.0:4:3478303:3480140:1 gene:scaffold_400578.1 transcript:scaffold_400578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPFLSSSLQLTPTSPILFTKLTPPIIHNQRPTTCTVPTKPRLRLLRRSAVAGTAVADQIEGGGEVLLNLEEEKRVEVADYDWTEEWYPLYLTKNIPDDAPLGLTVYDRQIVLYKDGEGTLRCYEDRCPHRLAKLSEGQLIDGRLECLYHGWQFEGEGKCVKIPQLPASAKIPKAACVKTYEVKDSQGVVWVWMSTKTPPNPEKLPWFENFARPGFFDISTTHELPYDHSILLENLMDPAHVPISHDRTDFTAKREDAQPLVFEVTERSNRGFAGTWGREKDGGKGSNLLRFDAPCVLQNNREFEGKDGVKNYFSGLFLCRPTGQGKSMLIVRFGVTKRSPLVSVLPQWFWHQNACKVFEQDMGFLSSQNEVLMKEKVPTKDLYLNLKSSDTWVAEYRKWMDKVGHGMPYHFGHRTISLPKVPPVVEHAPAGLIAALSASYPAKGGIGTMHAPNLANRYFRHIIHCRSCSNVIKSFELWKNILSATAVALTTLAILVVSRQWKAVLLGSAALCSAAAYACLRAINLNTNNFIRTHRRL >scaffold_400580.1 pep chromosome:v.1.0:4:3483131:3483365:1 gene:scaffold_400580.1 transcript:scaffold_400580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJG0] MARVRMKGGDRVYESTEGCREGASNPDPIEASADAAVPREAPTDAVSPTEAPMDAELEVPSVP >scaffold_400586.1 pep chromosome:v.1.0:4:3511609:3512396:-1 gene:scaffold_400586.1 transcript:scaffold_400586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFYSRSGSTPSPPEAYSLRNSSMARAPPPHAHHLDPPWLSSELSVCFWWLEALGPSVPAFSPVKTVMAHGKTLILLEVPFNGLDPHNQQQQQGPTFTLLLSILKSCGPTIRVLKPTYKVISKAHLPFVHNLNGGPTPLTAQPRPNCLILVAWKIIRQRPFPYLPRFDLNSLLSFLSHTLCRFMDTIDRGRHLEHNSPLLLVWYKCFLGTLPLKSPRAVADPRQKVIWGTMEENNKTFRKKTKLGKKL >scaffold_400590.1 pep chromosome:v.1.0:4:3543215:3543666:-1 gene:scaffold_400590.1 transcript:scaffold_400590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFSVFLFVFSLCMLDHVSGSGIWIANELKFKKILWIRCYSKDDKFGPQEIPIGQHVEISFRINFWATTRFMCTLRQGPNYKHYQEFTAFKLSGFMDHGSLWDWRAREDGIYLNKGDQHISNPVNLQKVYDWKTD >scaffold_400592.1 pep chromosome:v.1.0:4:3550458:3550674:1 gene:scaffold_400592.1 transcript:scaffold_400592.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJH0] MIAGTNKIQFLFYSDIEPEPSYPVSRFTGQESVMEEHLLKNGLHPFISFGTQSLNRCP >scaffold_400593.1 pep chromosome:v.1.0:4:3551784:3552237:-1 gene:scaffold_400593.1 transcript:scaffold_400593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFSVFLFVFSLCMLGHVSGSGIRIANELKFKKLLWIRCYSKDDVFGPKTIPIGQHVDISFRINLWATTRFMCTLRQEPNYKHYQKFTAFKLFGVTDHGGLWDWRAREDGIYLNKEGGRHIRNPVNMHKMYDWID >scaffold_400594.1 pep chromosome:v.1.0:4:3555000:3555241:1 gene:scaffold_400594.1 transcript:scaffold_400594.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJH2] MVTNALGERLWTAENSETTKIWIYSGTTLFYQPEGRKRLPMRQEREKGRQIVAPSPDTFLTQPEG >scaffold_400595.1 pep chromosome:v.1.0:4:3555453:3555796:1 gene:scaffold_400595.1 transcript:scaffold_400595.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-associated progesterone binding protein 2 [Source:UniProtKB/TrEMBL;Acc:D7LJH3] MEFTAEQLSQYNGTDESKPIYVAIKGRVFDVTTGKSFYGSGGDYAMFAGKDASRALGKMSKNEEDVSPSLEGLTEKEINTLNDWETKFEAKYPVVGRVVS >scaffold_400596.1 pep chromosome:v.1.0:4:3557120:3558360:-1 gene:scaffold_400596.1 transcript:scaffold_400596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKDTTRTVFHCNGLLLCTTKANKLVVWNPCSCKRRWIQPRLSYKEIKHYALGYDNRSACYQILTMNRSGDHFPLKIEYQVYEFTSKLWRVVGETGDWFIPRFQRLGTSVKGNIYWLAFSQQGQEKSNNFVYLLFRASKVWIATKMEITKDISWSKFLTVSKFDLRYHLRFCIGMSFLVDQENKVVVSCNHPQVLQQHFDKLWERINTYIRINMVLKLLPHLSSLMFQVWLKSNKVSSSWRRWFVHCLFKMPK >scaffold_400598.1 pep chromosome:v.1.0:4:3564916:3565536:1 gene:scaffold_400598.1 transcript:scaffold_400598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDTNNPQTSESNARRIIISSNITDPWKSVSDEEKRNTGFLRIGLDASKLISRGRTTGFKPYKRCSMEAKESGILNSNPIIHVEQKDPKRMRLKTQAST >scaffold_400601.1 pep chromosome:v.1.0:4:3576691:3576913:-1 gene:scaffold_400601.1 transcript:scaffold_400601.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LJH8] MAALVLSLIPLVVQFLSVRMASLPSASFNARRMFALLPESGGVGEQSLVSTTRVNIDGRT >scaffold_400602.1 pep chromosome:v.1.0:4:3580687:3581190:1 gene:scaffold_400602.1 transcript:scaffold_400602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCWFASLVDHRSHQNLATLEPEMKMAAEGLTLIHQALSVLVPVTLSNWYRSLSPLSSPVPQPLAMSLSTPIICSPSPSRYTQRLIAPVKRKETRDFGYGLFPLRPIMSSLLALSCVWPNKVFLQKPRSDQNPSLSIFSKRWCRFMEPVVQG >scaffold_400603.1 pep chromosome:v.1.0:4:3584422:3584674:1 gene:scaffold_400603.1 transcript:scaffold_400603.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJI0] MGVKNGSENLLVTVASNDQQVHNWAGRQRVLSKVNRNNGRRVRKVHAAICCFARAGEANHDVHKLVELIA >scaffold_400604.1 pep chromosome:v.1.0:4:3590514:3593548:1 gene:scaffold_400604.1 transcript:scaffold_400604.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase RIO1 [Source:UniProtKB/TrEMBL;Acc:D7LJI1] MEEVVVAAEPPPTIPPVVEYEEEIEEDDDDDDLSLSSDSDIAEALDWLDGKDDDELIGGGFSLHARRPNAHGGHGSRPNSSALQPISNKAQKLTSHVRASPLEGWEGRVNVGMSNSVTTAIRGSLRETEIGRSRNTDKADRATVEQALDPRTRMVLFRMLNRGVFNDVNGCISTGKEANVYHATKSDGSELAIKVYKTSVLVFKDRDRYVQGDYRFRYGYCRHNPRKMVKTWAEKEQRNLKRLHAAGIRCPAVILLRLHVLVMEFIGRDGWAAPRLKDAALSLDKLRECYLELIIQMRVLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPLALNFLREDCDHVSDFFKKHGVAVMTIRELFDFIVDPTISDENVDSYLEEVQRKVIERGEISVEDEIADSVFMKSYIPKSLDAVNNPEADVAKITSGQDTGDMLYQTITGLKDALPKVEEQQKEVNAAEEEEEEEEEEDGESEEESEEELGPEDKKAARKEHKKKVKEEKRESRKTKTPKSVKKRKKKVSKPHKTR >scaffold_400607.1 pep chromosome:v.1.0:4:3602762:3604696:-1 gene:scaffold_400607.1 transcript:scaffold_400607.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJI3] MHCCVLPLEKPNHTSIQGSSMVPYGNLAKIYKDPCVRKFIQTTWQGYYMWPRLQLPNRWASGRKDFGLRVRFPILTGSNPHGCKHLKWPPQKNLTLGYAASRNHSCRLRDARQAFGLVG >scaffold_400609.1 pep chromosome:v.1.0:4:3616933:3620793:1 gene:scaffold_400609.1 transcript:scaffold_400609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITTTNKDSSIAFLLCPGVQLSSKSSSCLFKKASELCTLCDVETVIIIFSQGGNFFSFGHPNINVLLDHSRGRVLRDNNTNLAESNMKLYIQMLNESLIEHFLAGINIRKFLNVDADAVGPSTNLKMTLFEKLEEGFLCQGSNHGDKIGYLTELRMLTYKFIDNHKGKFLLEV >scaffold_400611.1 pep chromosome:v.1.0:4:3635054:3638361:1 gene:scaffold_400611.1 transcript:scaffold_400611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRLSKSAAAAIKAHNIFYRPSLLPSGAISASAHLSLISSPNSLFSRPNSFIGVSLNSFSSVTKATAHGQLFSICFQFPSSRCFSDTAAKEKVENEALAKYGNDLTEIARQGKLRPVIRRNDEIRSCIQILCRMTKSNPIIVGEPGVGKTAIAEGLAERIVRRDVPEPLLNRKLISLDMSSLLAGAVYTGEFAGRLNAILKEVIASNGQIILFIDEIHSLVRQASSRGDLLKPMLGKGELRCIGATTQTEYREYIEDDPALARRFQKVLCDQPSVENTISILRGLRERYELHHGVKISDGSLVSAAVLADRYITERFLPDKAIDLVDEAAAKLKIEITTKPTEIDEIDRDVTTLEMEKLSLKKDSDKASKERLKKIENDLTTLKDKQKKFSKLLEEEKFLITKIRSFKEEIDGVNQEIVSAVCESDQNRVDDLKYGTLTSLERQLEEAEKNLMNFRESHQSLVREELTDRDIASIVSKKTGIPQSNLQKSEREKLVMLDQVLHERIVGQDMAVELVADAIRCSKAGLSDPNRPIASFMFMGPTGVGKTELAKALAGYLFNNENAIVRIDMSEYMEKVSVTRLIGACPGYVGYSEGGQLTEAVRRRPYSVVLFDEIEKAHPDVFNVLLQLLDDGRITDSHGRTVSFTNCFVIMTSNIGSQHILETIRNNEDSKEEVYEMMKKQVVELARKTFKPEFMNRIDEYIVFQPLDSREISKIVELQMRQLKKRLEQNKINLECTKEAVDLLAQLGFDPKNGARPVKRMIEKLVKKEITFKVLKGDFAEDDTILIDADQPNNKLVIKKMDNNAHVKQN >scaffold_400612.1 pep chromosome:v.1.0:4:3639227:3642583:1 gene:scaffold_400612.1 transcript:scaffold_400612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLSKSVSAAIKAHNALSRPSILLRSRALSACAHFSSRSSSNSFLFRPNSFIGVSGNGITSSATQAASRGQLLPLSLQFPSPRSFSDKSDQTDDNDKALEKYGSDLTEMAKQGKLPPLIGRDDEVNRCIQILCRMTKSNPVIIGEPGVGKTAIAEGLAQRIVKGDVPEPLLNQKVISLDIGALIAGTEYRGQFEERLKAMLNEITASNGKTILFIDEIHTIVGAGACKDDTMDVSNLLKPMLGRGELRCIGATTLTEYRKYMEKDPALERRFQKVFCNQPSVEDTISILRGLRKRYELHHGVKISDGSLVSAAVLADRYITERFLPDKAIDLVDEAAAKLRVLTTPKPTELDEINKAVLKLATEKFSLQRDTEKASIEVLQKMNKDLSRLADKRNKLSKQLEQEKSLITKLRSFKEEIDKSEELECGLNGTDDLKYGTIISLQRQLEEAEKYFTNPEDSLQGEVTDVHIAEIVSKWTGIPLSNLRQSEKEKLVMLEEVLHKRVVGQEKAVESIANAIRCSKAGLSDPNRPIASFMFMGPTGVGKTELANTLAGYLFNTENAIVRIDMSEYMEKNSVSRLVGAPPGCAGFEEGGQLTEAVRRRPYSVVLFDEIEKAHPDVFNIFLQILDDGRVTDSQGRTVSFRNCFVVMTSNIGSQPILETFRNNKDSKEAVYGMMKQQAVELARQTFKPEFMNRIDEYIVFQPLDLTELSKIVEFQMRRVKNLLEQKKINLEYTKEAVDLLAQLGFDPNNGARPVKRVIQEIVKKEISSKLLKGEISEEDTILLDVDQPNNKLVIKKLEINAPVEELAP >scaffold_400613.1 pep chromosome:v.1.0:4:3643389:3643649:-1 gene:scaffold_400613.1 transcript:scaffold_400613.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJI9] MPRKPPLVPHIQILTLCDQKQEKTDEGETGNDKKKQNKRRREKREARGGFYYGSMRVHTGSIPLPFPFYIDK >scaffold_400616.1 pep chromosome:v.1.0:4:3665844:3666036:-1 gene:scaffold_400616.1 transcript:scaffold_400616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFHRKPPEGLLEISERVYGMFFLLLGVGFRVCFLILALLWDLEY >scaffold_400619.1 pep chromosome:v.1.0:4:3674726:3676545:1 gene:scaffold_400619.1 transcript:scaffold_400619.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LK42] MVSMTTSSSSYAPFSTVFNSSRPNSSATFLVPSFKFSTGISNFANLSNGFSLKSPINPGFLFKSRPFTVQARAAAEKTVHDFTVKDIDGKDVALNKFKGKVMLIVNVASRCGLTSSNYSELSHLYEKYKSQGFEILAFPCNQFGFQEPGSNSEIKQFACTRFKAEFPIFDKVDVNGPSTAPIYEFLKSNAGGFLGGLIKWNFEKFLIDKKGKVVERYPPTTSPFQIEKDIQKLLAA >scaffold_400623.1 pep chromosome:v.1.0:4:3708860:3710209:1 gene:scaffold_400623.1 transcript:scaffold_400623.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIR domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LK46] MALGFFCLAIFLYLSLDPDSGYTTASAAASGKEGVEITYGSAIKLMHEKTKFRLHSHDVPYGSGSGQQSVTGFPGVVDSNSYWIVKPVPGKTEKQGDAVKSGATIRLQHMKTRKWLHSHLHASPISGNLEVSCFGDDTNSDTGDHWKLIIEGSGKTWKQDQRVRLQHIDTSGYLHSHDKKYQRIAGGQQEVCGIREKKADNIWLAAEGVYLPLNESSK >scaffold_400628.1 pep chromosome:v.1.0:4:3810019:3812103:-1 gene:scaffold_400628.1 transcript:scaffold_400628.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP82F1 [Source:UniProtKB/TrEMBL;Acc:D7LK52] MDLTQLFLLSALFIFSVSCLIKSLLRPKNRTNTAPMIPGAWPLLGHLHLFDTVNPTHVTFGAMADVYGPVFMAKLGSLNVMVINSKEVAKEIYTVHDKLLERPELTASKILGYNDSFLTFSPYGLYWREMRKIAASELFSTSGIDMLMFSRAREADLAFGNLYGRWEQRGKPKEGVLVDMKQEFIDLTANISLMMVAGKRYFGEYPNCEVKEARRCGKLIREFLDYFSLFLLSDVAPALGLLEWKIKRGMKRTAKELDKITERWVEEHKNKRSDHGRSVNDYLDILIETLGQDKIPGLSDTHTKIKAICLNLVLAGSETAIVVLVWAVSLLLNNPHVLRKAQEELDSKIGKERVVEEIDIKDLVYLQAIVKETFRLYPPVPLIAYRDVMEDFDIACCNCHVPAGTQLMVSAWKIHRDPSVWSIPEQFEPERFLTSNREVDVGGQSYKFFPFGLGRRSCPAIPLGMKMVHYLLARFLHSFDLAKPSSQDVDMTESNGLVNHKATSLEVFITPRLHKSLYKVDHIGIDN >scaffold_400630.1 pep chromosome:v.1.0:4:3819134:3819329:1 gene:scaffold_400630.1 transcript:scaffold_400630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIADFVSYFRWREVVVIFVDDEYGRNGISVLGDVLAKKRAKISYKAGYN >scaffold_400639.1 pep chromosome:v.1.0:4:3904640:3905101:1 gene:scaffold_400639.1 transcript:scaffold_400639.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L39 [Source:UniProtKB/TrEMBL;Acc:D7LK63] MPSHKSFMIKKKLAKKMRQNRPIPHWIRLRTDNTIRYNAKRRHWRRTKLGF >scaffold_400640.1 pep chromosome:v.1.0:4:3907323:3908112:-1 gene:scaffold_400640.1 transcript:scaffold_400640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISEKDKDTVVLVPVICNPSTGQHMSLPNGNMKKVASSFDTRDWKRFVEEDPLSLKTLYFVCINGVLYYLGHRVDLWYRVTVCFDVSSEKFNFIDEEFFRRWPRTKLINYMGKLGAIIYEYVAFGDTMMYLIKDVKKPEQRQHVYFFGKDTFVDDGSVSVTSTGDVVWLIYDTSKLFYVFYFNPIRNTHQRVEIQGFEANHDAFANRRNVRVFVDHVEDLNFIK >scaffold_400641.1 pep chromosome:v.1.0:4:3909964:3910222:-1 gene:scaffold_400641.1 transcript:scaffold_400641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKIGAASLSDKVIEVSNILVLVASVTFCSWIVVRRIAEETELRDYVDQWTSVGLFMVASRVRTTHHVLYL >scaffold_400643.1 pep chromosome:v.1.0:4:3914080:3914285:-1 gene:scaffold_400643.1 transcript:scaffold_400643.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LK68] MRELLLLHFQFLVLFKIFITKFITVSASSSSASNPSLAPVYSSMATFSPGIILR >scaffold_400645.1 pep chromosome:v.1.0:4:3941140:3941909:1 gene:scaffold_400645.1 transcript:scaffold_400645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSNLETFLGGFAKILGEKWQVDLLLKAGDTEEGEAISAHKLVMAARSKVFKKMLESDKFKVSDGKIETVTLYELKQEELEALVEFIYNNRSVLSEKEKKHVRPLYIAADKYEIPHLRDLCRNKLISSLNSSNALNVLELSLIPFDKALNNSAANFIVGNLRTICDSVEFKVFTGRNPDLSVEIVKAYFTQRCNGQYV >scaffold_400646.1 pep chromosome:v.1.0:4:3980866:3981625:1 gene:scaffold_400646.1 transcript:scaffold_400646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSNLETFLGGVAKILGEKWQVDLLLKAGDTEEGEAISAHKLAARSKVFKKMLESEKFKVLDGKIETVTLYELKQEELEALVEFIYNNRSVLSKKEKKHVGSLYKAANKYEIPHMQDLCRNELITSLNSSNALNVLEMSLNPIDRVLTFYALRYIIPNLKTICNSDEFKVFFGNYPEIAVVIVKFALNDHTFN >scaffold_400647.1 pep chromosome:v.1.0:4:3983394:3983601:1 gene:scaffold_400647.1 transcript:scaffold_400647.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LK73] MTPKKMNLLKKKTRRRAKVAKSSKKGEANSSPEKGIEIPSPPPEPESTSQGKYL >scaffold_400648.1 pep chromosome:v.1.0:4:3985512:3986119:1 gene:scaffold_400648.1 transcript:scaffold_400648.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKL3] MNTSEYNVDDVPPPSEKDEEKTAPESARKEADMSDNESAGLYYGGPSDEDEVICDGGEYDKGPLDSATKQQDKSDTEMADISEDDKDVLPDEETNTGDDKDVLPDEEHKTGDDEDVLPEDETKTGDDQDMLH >scaffold_400649.1 pep chromosome:v.1.0:4:3991263:3992109:1 gene:scaffold_400649.1 transcript:scaffold_400649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSNLETFLGGFAKILGEQWQVDLLLKAGDTKEGEAISAHKLVMAARSEVIKKILEFDKFKASDGKIETVTLSELKQEELEALVEFIYNNRSMLSEKEKKHVQSLYKAADKYEIPHLRDLCRNELIASLNSSNVFNIFELSVIPIDSTLYDYAVKFIIRNLRTMCDSAEFKVFVSRNPDLSVEIMKASMTRRWNGQFCDAAFVSFG >scaffold_400650.1 pep chromosome:v.1.0:4:3995742:3996196:1 gene:scaffold_400650.1 transcript:scaffold_400650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTVLHPHDCSHKNSVTNRRRRSPPRHRTPISHPPPPPLNNTNPVRILKCAEDITVKIPIEKPDLKPNRQIGSDPVKISDPIRIPNRKLMPALFYAGPVTSTSPPPSEVPLPAFFAKKNVSDATNDLIRILRLDIA >scaffold_400651.1 pep chromosome:v.1.0:4:4001152:4001939:1 gene:scaffold_400651.1 transcript:scaffold_400651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKGDGNGIVWFVVGEKNLRSKLRVIVFWRRRVYWRNCVSHNQTDKKKMLPTRGTELFDDYRLTKLDLCFKLPNAVKNIDVPKMIFILKVWIWSFQEKITWWWIQSPAFWFFAMGSSIGMSVFENVQQLNFNVLHDLEKETVSCVPTKCGKL >scaffold_400660.1 pep chromosome:v.1.0:4:4082154:4082473:1 gene:scaffold_400660.1 transcript:scaffold_400660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIPCIDSCLRIIDTARYARLIACSLKTDKLSLGAAVSLSGGPVVKRSLQKRQIGDKLFKLLKK >scaffold_400661.1 pep chromosome:v.1.0:4:4108481:4110724:-1 gene:scaffold_400661.1 transcript:scaffold_400661.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LKM6] METLPTTVPSKSERRARSSKSSQSSSKPSVIMAFFSCVAWLYVAGRLWQDAENRVVLNNILKKSYHQKTKVLTVDDKLIVLGCKDLERRIVETEMELTLAKSLGYLKNQKSGSSSGKKLLAVIGVYTGFGSHLRRNTFRGTWMPQGDALRKLEERGIVIRFVIGRSPNRGDSLDRKIDEENQARKDFLILENHEEAQEELPKKVKFFFSAAVQNWDAQFYIKVDDNIDLDLEGLIGLLESRRGQDAAYIGCMKSGEVVAEEGGQWYEPEWWKFGDEKSYFRHAAGSLLILSKNLAQYVNINSGSLKTYAFDDTSIGSWMIGVQATYIDDNRLCCSSIRQDKVCSVA >scaffold_400668.1 pep chromosome:v.1.0:4:4161316:4161796:-1 gene:scaffold_400668.1 transcript:scaffold_400668.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LL33] MSSRINQYKISVSLSGTIIETSPITMFSDAGRANARRRRDIQSSSRKLRTTFFFLWFLVRPLISVTSCSHPLLPLSFDVTELLERGQWCLPANHHHISSRSTPRVSKLAKGEAYASIRMRILQVSEDDGWDIAGFCDSESEISRRD >scaffold_400670.1 pep chromosome:v.1.0:4:4183155:4184859:-1 gene:scaffold_400670.1 transcript:scaffold_400670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYIGTPIATIAILIALFWISRILCGKNSNPSSTGTPPRLIEFSMEELRQATNNFDMANTIGLGSYGVVYTGLIGDKIVVIKREWCGLQPRFLAEVYTENFVDFIGNNLCICDILWYVSQVSYMSKIQHRNLVNLLGYCCDDENQLLVFEYMVNGSVRDYLDASRDLTFKKRISIALDAAKGLLHLHNLDPPVQHKRFTTSKVLLDADLNAKVSDPGMLGLLEARDLRLVNPRGGLAETIDVFSFGLFLLELITGEKPDVFESNEEIVQWILPRMFTRSSFKPYMKITLECLNYPAIDRPKMDVVVTELETIYQNEVFSEDRGVALGSELFNITIE >scaffold_400671.1 pep chromosome:v.1.0:4:4213572:4213783:-1 gene:scaffold_400671.1 transcript:scaffold_400671.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LL36] MDPPPPRNPSHDNSKYEPNTTSSIPQSDESSTLTEVSSMNPPPPRNPNLPDLKTTE >scaffold_400672.1 pep chromosome:v.1.0:4:4217156:4217381:-1 gene:scaffold_400672.1 transcript:scaffold_400672.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LL37] MAVLAKTTRLLRLISISSLSTSDLVSWLHLSPVLSLCFWLQVLCFSYQLGSITGKCNEAVV >scaffold_400678.1 pep chromosome:v.1.0:4:4232907:4233486:1 gene:scaffold_400678.1 transcript:scaffold_400678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSKTELSKLTDEASDQSNVRTCVQCYGLFCIDCKVPSHSDLSCADYKKLHPDPLIDDLKLKFLAMDKKWRQCVKCKNMIELSYGCNHMTCRCGYQFCFQCGIEWKKNQRTCPSAGCLQTRHGDYDDDDQEYDSEEDTGDYSSDEEGRR >scaffold_400680.1 pep chromosome:v.1.0:4:4263536:4264763:-1 gene:scaffold_400680.1 transcript:scaffold_400680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKQLSSEDRDLTITRCTTPSSLFNSIPSDVFIDIISRLQAKSIPNCRRVSKSWAAILPTRHGTYLPIDRFSKISPPVRGLVCLEDKRRMLVICNPITSESVTLPPLKSMMMWSKSVLGYDPIEKQFKVFCTKWSPHHKFNTHGEHYVITLGAGGKMIWRLLQCCKPFRPVFDGGICINGCFYYFGKLNCDREDVVIVCFDVRNEKLSFIDKPKGMIVKYESRLINYKGRLGIFQCSNSGLITRSSKSFDLLILEDVKKNGWTKRSYVLPFMWRNMVEYTTKLRIVGMNGTCEIVLSPYGLSDRPYYLFYYNLEMNSVRKVEIQGLGGFKTSTVAHLFVDYVEDVKFI >scaffold_400681.1 pep chromosome:v.1.0:4:4283087:4287298:1 gene:scaffold_400681.1 transcript:scaffold_400681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDEKNRKNLVFLILQFFDEEGYEESLHLLEQDSRVFFDFSYFSNAILNGNFKEADDYLLAFTRPEANTYSRKMFFDLFKRKLSEAPDRSGGSEAVSIFSKDLRRIPVLKDDSFDDLVEVIAVDDMRQGILEGTCCVDKVPGRAKLCVDLHKLAESNPCLCDKLEFPSLNKSALLSLISLICPNCSGRNGGLKEDLICLILQFLYEAKYKNTLHKLEQETKVFFNLNYLAEVMKLGEYGKAEEYLGAFTDSKDNKYSKAMFLEIQKLTCLQSTEWEVATPSGSLDNMSPKIKLHASVAMLAKKNPVLKDKLKFPSMEKSRLLTLMKQTMDWWTSRTCNNSSSLENVPVVSYLCGTPFSLKKKFNKTGQRKKVVNYKPNEINDPSQCNALVLPDYFSEEMIARLTYSPSGDYILALAEDATHKLWTWSSSQNEFSKENVFPKPRLHQPQSGKTMKNEMAASVQNSTSCFAIKGSYLFSTSGGKIAVFDLKSFEKVAAFGSPTPMATYFIFIPGDLLAVGLDDGSIFIHCLSSRKVKEKLEGHDQKITCLAFSRCFNVLVSSDSDGKLCLWSTKSWVKLTSKNSTRKFCTRSNHESTSLVTHIQFDPYQIELLVVHEGWIGIYEARTLDCRLQWIPDESDTSITSATYSSDGEIIYAGFRSGFIKIVDSRTFMTVCRINMTSLTQPSPNNIRLEVYPTVVAAHPSHPSQISAGLSNGKVIVLQPLWSGGWGEAAPLEDNGDYSNDSDHSY >scaffold_400686.1 pep chromosome:v.1.0:4:4367184:4367688:-1 gene:scaffold_400686.1 transcript:scaffold_400686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKTILMTFFSVMVLLSFFNQSLAIAADLEEMLINEFDVVLKHWPSPGDYNLNVIRGQSRKHLKYLLNCGVKMGPGGTECNIEYRDGISRNKSTSRDCCLMIVKAGKQCHTELMKLFLQFYQLKRFSSKAMIKTNEIWNKCSNETEAISPFSG >scaffold_400689.1 pep chromosome:v.1.0:4:4383648:4383880:1 gene:scaffold_400689.1 transcript:scaffold_400689.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEV1] MLHEMSFRQASSVVSWRRIWWWLEGEGSSGIRSRSSHCCPLKTLQQSEFGSDSMADVTASLR >scaffold_400690.1 pep chromosome:v.1.0:4:4389369:4390029:-1 gene:scaffold_400690.1 transcript:scaffold_400690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf family protein [Source:UniProtKB/TrEMBL;Acc:D7LL52] MAKKAMEKGFKLILGSQSMARKQILAEMGYDFTIVTADIDEKAIRKEKPEDLVVAIAEAKANEIILKLGGESQFAQDCQPTLLITSDTVVVYKGVIREKPTSKEEAREFIKGYSGSHGGVVGSVLVRNLKTGVRRGGWDKAEVYFHEIPEHVIDDLIDDSITFKVAGGLMLEHPLISPFIDSVVGGVDTVMGLPKELTEKFINDVL >scaffold_400691.1 pep chromosome:v.1.0:4:4409803:4410889:1 gene:scaffold_400691.1 transcript:scaffold_400691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRALSDGVIKKIILSYTYVAIWIFLSFTVIVYNKYILDKKMYNWPYPITLTMIHMGFCSSLAVILIKVFKVVEPVSMSRDTYLRSVVPIGALYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLLKKETFKSQTMTNMLSISFGVAIAAYGEAKFDGWGVFLQLGAVAFEATRLVLIQILLTSKGINLNPITSLYYVAPCCLVFLSVPWIFVEFPVLRDTSSFHFDFMIFGTNSVCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGLAFLGVGYYNHCKLQALKAKDAQKKVQASDEEAGKLLEERESEAKRNETQD >scaffold_400694.1 pep chromosome:v.1.0:4:4418226:4419897:1 gene:scaffold_400694.1 transcript:scaffold_400694.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7LL55] MGKTGIQLFDDTRNGFFSVSDLGSDWSSQQNPNYRPVGGLFASVNQLGTGFGSGLGSGSISSPDPPNRDNNSSFSAQLNDLCTKYLPFKDEEEEEVIGEKRKKKKKGGLKLKLKISNPSLRRLLSGAVAGAISRTAVAPLETIRTHLMVGSGGDSTTEVFRDIMKQEGWKGLFRGNLVNVIRVAPARAVELFVFETVNKNLTPKLGEQSKIPIPASLLAGACAGVSQTLLTYPLELVKTRLTIQRGVYKGIVDAFVKIIREEGPTELYRGLAPSLIGVVPYAATNYFAYDSLRKAYRKLVKQESIGNIETLLIGSLAGALSSTATFPLEVARKHMQVGAVGGRVVYKNMLHALIRILEQEGVAGWYRGLGPSCLKLVPAAGISFMCYEACKKILVENNNEEA >scaffold_400697.1 pep chromosome:v.1.0:4:4488674:4489358:-1 gene:scaffold_400697.1 transcript:scaffold_400697.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGDLKIDITTKTYSQTQTSSLPLSLNIVCINLCQQFERFVIDKSDGSVTFTGFYPAPSLPISQILLNLLNYEFTTFHIFPLLNSRLHDPSLSEYIAEVIAARASLSVGILQPLFMSVNVVFTQEVFSVIPVSCLPKEETQEEEDESTCSICLEDFLNYGDKDDKRIIKLPNCSHLFHIVCIFEWLMRCNSCPLCRRIIIEESQYTCIMESEI >scaffold_400699.1 pep chromosome:v.1.0:4:4492494:4494363:1 gene:scaffold_400699.1 transcript:scaffold_400699.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LL60] MYTKISLLHRKSSSFSIANEKRIHQFLKNLCTAVERLDFGNSNDSSQMNPGEGFDGGSQNRTGISGEVSESIHTQSQSWGSNQGRNEESWKQSPSMSNSQVQSQYQGHWYGTNPDYNWHSGTTVDSEVYDMIGEFDEYCIQENVRVALTTMEKLEKKGYVMDLARLLRLTQLCMEENVFYEVSVLEEAKVSVLGKIRALVNNNLDANYLMYYTDIMIKEFDEFCKQGKVKKALYTIDTLESMNHVVILSRLLRLAKLCGEAEGLQEAKVVHGKISSSVSHLDVSSNHVLLEMYSNCGLANEAANVFEKMSEKNLETWYIIIRCFAKNGFGEDAIDMFARFKKEGNRPDAQLFRGIFYACGMLGDVDEGLLHFESMSRDYGIVPSIEDYVSLVEMYSLPGFLDEALEFVERMPMEPNVDVWETLMNLSRVHGNLELGDRCAEVVELLDPTRLNKQSREGFLPVKASDIEKEILKKRSGILHGVKSTMLNFKAGDTNLPENDELFQLLRNLKMHMVEMGYVADTKPALHDIDQESKETALLGHSERIAFARAVLNTPPRKPFTVMKNLRVCVDCHNAWKIMAVIVGREVIMRDIKRFHHMKNGACSCNDYW >scaffold_400700.1 pep chromosome:v.1.0:4:4504902:4505216:1 gene:scaffold_400700.1 transcript:scaffold_400700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LL62] MKQREMRRISEYWVGETEKNRELAKQRGNREELAKQRGTVKDSGRGGIDSGGGDEIPAEATRSLVKDENFWCDRRRRLGLVSQIFYFLF >scaffold_400709.1 pep chromosome:v.1.0:4:4583572:4584152:1 gene:scaffold_400709.1 transcript:scaffold_400709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRISCCLNLPPLYSNSAQSLPSLLKTTSKISCKRTEDETKPRKNKCSLVFGVAATVIIGAIQINDVASVDAVVVKSPVEEMAAGVVPPRRWSDKRTCPPWLENSLETIVPENLPRPSAHRRLELAGLAKGDAPPVGAVVTRVNRAGCFSV >scaffold_400713.1 pep chromosome:v.1.0:4:4611239:4612390:-1 gene:scaffold_400713.1 transcript:scaffold_400713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRLSDMKLVLGPELRIVYPLILNFAVSGELELDGMAHPKFIKPKGFLTFENGDVNLVATQVRLKREYLNVAKFEPEHGLDPLLDLALVGSEWQFRVQSRASNWQEKLVVTSTRSVEQDALSPSEAAKVFESQLAESILEGDGQLAFKKLATATLETIMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLASNISFGTEVEVQLGKRLQKNKHHPVLAVFYLQASVVRQMKDSEMAMQWTLIYQLTSRLRVLLQSAPSKRLLFEYSATSQD >scaffold_400714.1 pep chromosome:v.1.0:4:4646231:4647601:-1 gene:scaffold_400714.1 transcript:scaffold_400714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHQQNLCLQAENLRDLLEEIRGYYTPPSEVVPEDLSLPGLAELKGHWHGSLDASGGGNGDTLAEFDFHGDDWEWGTYKTQRVLATGSYSNDDGLRLKEMLIQKGNATLHADGTLLGPKTNLHFAVLNFPVSLIPTLVEVVESSATDLVHSLRKLLSPIKGILHMEGDLRGSLEKPECDVQVRLLDGAVGGIDLGRAEVFASLTSNSRFLFNSNFEPFVQNGHVHIQGSVPVSFSQKKLSEGEDSETDRGGAVKIPSWAKEKEDDEKRTSRDRSEERWDSQLAESLKCWCRIPHQKSETNQRLPRRQDNDP >scaffold_400715.1 pep chromosome:v.1.0:4:4652263:4655589:-1 gene:scaffold_400715.1 transcript:scaffold_400715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLEKLRIGPKIQSTWQYDYEIALFARIGLHCHNFQKGTNYKFKRLEKCGTHSTRYEDYYITFEATAPTSGSVFSFQTMLSNDYQYLTWGIGLTLASLAARIKATRKNLYYLHKHNSFYSGLTVFGDEFVKRGLTVYKHHFLDGTESVDEVWDMAAIDDSYKGPMPKWFSDEALVRDDKKVYVVQESELHENDWLQLLMEVAFYSKTESGVSACKPLEIKKVVVQTLEEYTTEAREKLKADNAIFYISYKCIADPSTPWAGEHDAIIRKTMDGKPGHMSLEVAEIQLNLQKRRGHGLLSVIRPKFSGVLGEALDVAVRWSGDVITVEKTILEQSNSRYELQGEYVLPGSRDRDLGQKEAGSFFMRAMTGHLGSVISSMGRWRMRLEVPKAEVAEMLPLARLLSRSTDPAVHSRSKVGLCYC >scaffold_400718.1 pep chromosome:v.1.0:4:4668203:4668746:1 gene:scaffold_400718.1 transcript:scaffold_400718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDVVLLANWNDVNFAFKLAREAEQGDICVICSEETVAERMFFNDKCLHRHCFSCVKNHVKAKLDSGNVKFLTPELIEMWKQKMREDSIPAEERIYCPYPKCSILMSKTELSRSANEASDQSNVRTCIKCCGLFCIDCKVPSHSVLS >scaffold_400719.1 pep chromosome:v.1.0:4:4694005:4694297:-1 gene:scaffold_400719.1 transcript:scaffold_400719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRSSLISFFSLALFAVFNPTISSRVPSFIKLPSSVESSSSVASYCEGWKLAAETDNAGKWKVVPNQAKHKLMQQMIKESS >scaffold_400720.1 pep chromosome:v.1.0:4:4694549:4705448:-1 gene:scaffold_400720.1 transcript:scaffold_400720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2410 [Source:UniProtKB/TrEMBL;Acc:D7LBF6] MSLRLQSPFLSTPLLQSSFISREKRINVTRRAFRRKSISSEKIQNDWLAKVAKFSQFCGKNVQLLRKSLDSRSRMEVKCLKDPFLRSKGLVRALAPVWEEGLFFLRCSVFFAVISGVCLLVWYGQNKARAFVETKLLPSVCSVLSETIQREVDFGKVRRVSPLCITLEASSIGPHGEEFSCGEVPTMKLCVRPFASLRRGKIVVDAILSNPTVLVAQKKDFTWLGIPLSDTTLPSHLSSEEGIDFRTKTRRISREEAGIRWDEERDNDARKAAEMGYIVPCKNSSQVKDVVKHDRHFTEIANPNSFICMDEKMHSADQHCMDPGIDYDVKHAELEKPFGIKIPGSGLKFLSKMLNVPRKYKFKWNSKSHNNSMSDISAKKRILDRSASAALSYFYSLSQQKPDEPSVSSADYDELSLDMLLVKGEKETSNQYDKEKRFIAEKKASTLDKFTVSCDPFLMTVGRLCALLQTKESSCVEDIVNSTESETLSSKRGDISRKVVGDDVPHGNRSRNQPRDFTFKKHEHQPVANHWRPTWPWNIKLKELVFNILSGSSKKLTGGSDLNAADNALHLSDGLEKLPAVYVEKTLPVMLDSVQFKGGTLILLAYGDTEPREMRNVHGHVKFQNHYGRVYVQLGGNCTMWRSDVTSEDGGLLSVDVFVDTVEQNWHANLKVANFFVPIFERILEIPIEWSTGRATGEVHLCMSRGEIFPNLHGQLDVTGLGFQIYDAPSSFSDVSTSLSFRGQRIFLHNANGWFGKVPLEASGDFGIHPDEGEFHLMCQVPYVEVNALMKTFKMKPLFFPLAGSVTAVFNCQGPLDAPVFVGSCMVSRKIAYLSPDLPTSLAYEAMLKNKEAGAVAAFDRVPFSYLSANFTFNTDNCVADLYGIRATLVDGGEIRGAGNAWICPEGEVDDTALDVNFSGNVTFDKVLHRYMPEYLNLGSLKLGDLTGETKLSGALLKPRFDIKWAAPKADGSLTDARGDIVISHDNIIVNSSSISFDLYSKLDTTYRDQCLSNQDFTQGEAMPFVVEGLDLDLRMRGFEFFSLVSSYPFDSPRPTHLKATGRIKFLGKIKQPSTTKDGDVESDKCEDAAASSRLVGEISISSLKLNQLILAPQLSGLLSVSRDHVKLDAAGRPDESLTLDFIGPLQPNSDENEQSGKLLSFSLQKGQLRANACFQPQQSATLEIRHFPLDELELASLRGVIQRAEIQLNLQKRRGHGLLSVIRPKFSGVLGEALDVAVRWSGDVITVEKTILEQSNSRYELQGEYVLPGSRDRDFGQKEAGSFLMRAMTGHLGSVISSMGRWRMRLEVPKAEVAEMLPLARLLSRSTDPAVHSRSKDLFIQSVQNLCLQAENLRDLLEEIRGYYTPPSEVVLEDPSLPGLAELKGRWHGSLDASGGGNGDTLAEFDFHGDDWEWGTYKTQRVLATGSYSNDDGLRLKEMLIQKGNATLHADGTLLGPKTNLHFAVLNFPVSLIPTLIEVVESSASDLVHSLRKLLSPIKGILHMEGDLRGSLEKPECDVQVRLLDGAVGGIDLGRAEVFASLTSNSRFLFNSNFEPFVQNGHVHIQGSVPVSFSQKNISEGEDRETDRGGAVKVPSWAKEKEDDEKRTSRDRGEEGWDSQLAESLKGLNWNILDAGEVRLEADIKDGGMTLLTAISPYANWLQGNADIRLQVGGTVEHPVLDGSASFNRASISSPVLRKPLTNFGGTLHVKSNRLCISSLESRVSRRGKLVVKGNLPLRSNEAATGDGIDLKCEVLEVRAKNFLSGQVDTQLQITGSMLQPTISGSIKLSQGEAYLPHDKGGGAAPLNRLAANQYRIPGGAINQAVASRYFARFFGTEPASSRMKFSQSSGESNAVEKEIDEVKMKPNMDIRLSDMKLVLGPELRIVYPLILNFAVSGELELDGMAHPKYIKPKGILMFENGDVNLVATQVRLKREHLNIAKFEPEHGLDPLLDLALVGSEWQFRVQSRASNWQEKLVVTSTRSVEQDALSPSEAAKVFESQLAESILEGDGQLAFKKLATATLETIMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTIDPLKSLASNISFGTEVEVQLGKRLQASVVRQMKDSEMAMQWTLIYQLTSRLRVLLQSAPSKRLLFEYSATSQD >scaffold_400722.1 pep chromosome:v.1.0:4:4732790:4734380:-1 gene:scaffold_400722.1 transcript:scaffold_400722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGNRRDEGSITIQSTNLFAALDTRKKKKKSGKSKGSSKSREPEKEPEPQVFWAPTPLKVKSWADIDDDDDDDDYYATTAPPQSGWSTSVPSHSDSKDVHVEESESEEDILDEGDDDVEEEQEQETEVQVHPEPEPEVKKAPEVPGPPKEAERQLSKKERKKKELAELEALLADFGVAPKENNGQDESQEAKQEKKEDVNGEGEKKENAAGGESKASKKKKKKDKQKEVKESQEQQANNNADAVDEAAGSEPTEEETPIDVKERLKKLASMKKKKSGKEVDAAAKAAAEEAAARRKKLAAAKKKEKNHYNQQPVR >scaffold_400725.1 pep chromosome:v.1.0:4:4755829:4757773:1 gene:scaffold_400725.1 transcript:scaffold_400725.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:D7LBG0] MASGKTPGLTQEANGVAINRQNDNDVFDDMKQRFLAFKKDNLEHYKNLADVQAPKCKQQFLVIACADSRVCPSTVLGFQPGDAFTVRNIANLVPSYESGPTETKAALEFSVNTLNSYQDTECGAVNASNGPINTHVYDCSINYNNVGGGWANLDYNHHYSFAPCNFLHRPKPMFGLEGRQEEEECGVNAYLEHRRRLPLFPMHGEDHLNGDSGAIWKYGQSNDRDCFSRDSCASLELRMN >scaffold_400728.1 pep chromosome:v.1.0:4:4788764:4789148:-1 gene:scaffold_400728.1 transcript:scaffold_400728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPYERVNGGRLAFKGGDLATRKSIEKKNKKKLKKKKEKLDGEVKMAPDGSASSAAAVVDDDIYSIDAAKKKKYDDLFPVEAKKFGYIPKSNFESMEDALDDRVKKKADRYCK >scaffold_400729.1 pep chromosome:v.1.0:4:4799873:4816688:-1 gene:scaffold_400729.1 transcript:scaffold_400729.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LBG3] MDSETEILSRLAANHLHLAQFEPLKATLLALRVRNPDLALTILQTIVSNAGRFDNVLWSRSCPSPSLLSFLSTIELLRFENPTSPWGFDSETLSLRADFLLMVQVLIDRVTERIKEDQESEDENGGLGNCLRVLQGVLELGVERLKFDVDTSSSEGSNKIEEDAVVSLRSIVLDYSDVFDALCCNIQRQLARCESYDTCLVEEVQGEEQGKGINEATCIGSPELDNINVFALIQRNVQLAQLDAMKTKLDEGDVRGAADRIRYLHLDYGVEKENYHAVLKALLSRGMEKKDEYGDSWHMVRQNLLFIYKEALSSNCGDLVQMIQGIQDDILLPESQLHLSLDNEQIPLPLEYFQRYLVDLKTERNIEDKSSPMRRAINSCLRDMYHYARISGSHVLECVMCAALSSVKKEKIQEANDVLTLFPRLRPLVASMGWDLLPGKTATRRKLMRLLSTSDSQAIRLEESSLYGNQTDELELASFAACVNSGKSWTPKASFLMYGNVSPANDDAEVDPFVENLVLERLSAQSPLRVLFDVVPGIKFQEAISLISMQPIASTAEAWKRIEDIELMHMRYALEAIVLALGALEEAMKDNTDASHQVVFYHLKDLTNHLEAIKNVPRKIMMVNIVISLLHIDDIHLSSTQSASSECFSEKSNTPGLDLGDLDTKGEKEIVISFTKQLLDVLRRNLPSHLIEQECQLDGNYSTDGRQALEWRVSLAKRFIDDCEWRLSVMQHLLPLSERQWGLKDVLSVLRAAPEKLLNLCMQRAKYDIGEQAVHRFALSAEDKATLELAEWVDNAFKGTLVEDVMSRTAEGASAVQDLDFHSLGSQLSPLAMILLCIDSQVMLSEIYPGGSPKVGFTYWDQVHEVAIISVLRRILKRLQEFLEQDNPQILQASFSGDTIISSCMESHRQGQNDRALAMLHQMIEDAHRGKRQFLSGKLHNLARALADEKPEVDVLKGDGSDMAIEKDGVLGLGLKYTKQSPGSANRDVDGNPASHETEDKGKKTLGPLSNKTSTYLSQFILYIAAIGDIVDGTDTTHDFNFFSLVYEWPKDLLTRLVFDRSSTDAAAKVAEVMSADFVHEVISACVPPVYPPRSGHGWACIPVIPTTPCSHSDGKVLSPSKEAKPNCYVRSSATPGVPLYPLQLDVIRHLVKISPVRAVLACVFGGSILYNGSDSIVSSSLNDEFTSSPDADRLFYEFSLDQSERYPTLNRWIQMQTNLHRVSEFVVTPKQKPDDTRIKPDERTGIKRLLEHDSDSESDTEEIFSKNNIQPALTDGSRDGGSFETEVCKTDPTVFLSFDWENEVPYEKAVNRLIDEGKLMDALALSDRFLRNGASDWLLQLLIKSREENPSTSGRSQGYGGQSNSWQYCLRLKDKQLAATLALKCCIGDKLCRSTATYFLQMIITSWYARCVTLKNLNGKQVEAECKEDPEGLALRLAGKGAVSAALEVAESAGLSIDLRRELQGRQLVKLLTTDPLNGGGPAEASRFLSSLQDSADALPVVMGAMQLLPDLRSKQLLVHFFLKRRDSNLSDLEVARLNSWALGLKVLAALPLPWQQRCSSLHEHPNLILEALLMRKQLQYASLILKEFPALGDNNVIMAYAAKAISVTISPPPREPRITVSASRLRQKSRAGPAVKASFTSSLSNFQREARRAFSWTPRNAENRTTSKDVYRKRKNSGLGASERAAWEAMTGIPEDQGSSYSADGQDRLPSVSIAEEWMLTGDTTKDEAVRASHKYESTPDIILFKALLSLCSDELVSARSAMDLCISQMKNVLSSKQLSVGASVETIGRAYHATEAFVQGLSYAKSLLRKLLGATESTNNNGERSKDVDDISSDAGSSSVGSQSMDEPSEVLSLAEIWLGRAELLQSLLGSGISASLDDIADQLSSECLRDRLISDERYSMAVYMCKKCKIDVFPVWKAWGLALLRMERHAQARVKFKQAFQLKGEDIPDVIQEIINTIEGGPPVDVSIVRSMYDHLAKSAPTILDDSLSADSYLNVLHMPSTFPRSERSRRSLESEKNNSVPGSDFEDGPRSNLDTTRYSECTNYLQEHARQNLLGFMFRHGHYKDACMLFFPQSALPPPLQTSSVGAVSTSSSPQRTDPLATEYGTIESLCEFCVGYGAISSLEEVITERLESAKHQDQAINQYIAGALTRICSYFEINRHFNYLYNFLVLKKDYVTSGYCCIQLFMNSTTQEDAVKHLEHAKAHFEEALTARHRGSDSKKIVTKGVRGKSAAEKLSEETLVTLSSRVKMQIDVVKSFSDSEGPPWKHSLFGNPNDIETSRRRCEIVETLVEKNFDLAYSVIYEFKLSAVDIYAGVATSLANRKKGSQLTELFKNIKGTIQDDDWDQVLGAAISVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASKSGSVADVQYVAHQALHANSHTVLDMCKQWLAQYM >scaffold_400730.1 pep chromosome:v.1.0:4:4850701:4850936:-1 gene:scaffold_400730.1 transcript:scaffold_400730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEPYDYSLNFDQGQGWHVNHDDLRIFLGLSLFRFADPTRIRATYLLLYKFTFLSSNFYHF >scaffold_400731.1 pep chromosome:v.1.0:4:4869689:4870083:-1 gene:scaffold_400731.1 transcript:scaffold_400731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFESPSKKRWNVLSSASSYRETIVLGRYSKSCREQKQQQRRARLFPKWKVLLMKLKLLPSRSSSTKVVAYEPCDYSLNFDQGPGWHDYDEPENLSRSFSSRFADPTRIRATRLLLY >scaffold_400733.1 pep chromosome:v.1.0:4:4917713:4917909:-1 gene:scaffold_400733.1 transcript:scaffold_400733.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBG8] MFARDRRREARNTVVELTSKAKLLGSMSHLSSLRLKPPHTLLLPLQTKQVP >scaffold_400735.1 pep chromosome:v.1.0:4:4926020:4926404:-1 gene:scaffold_400735.1 transcript:scaffold_400735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSCSSIDPVNSVLEAEAIALKESILQLKRLNYKDVIFCGDSRTLYGFMEKARKQLHLLPGCLEIQPHLDDIQAVALSSYVFKYIRRSDNNVADSLAKRARCNSSPSTISWDL >scaffold_400738.1 pep chromosome:v.1.0:4:4939212:4939764:1 gene:scaffold_400738.1 transcript:scaffold_400738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASSPEKWRAKVSTTLTKAKPDQIWLLFTDFFNLHKWLPTLVTCHGVHGNNGEPGCIRFCSSSAIRSNGVESAAGWSKEKLVAVDPVERVMRYEIVESNIGFESYVSTVKISPRGEDGDVDGCVIEWSFTVDPVRGLSLDDLVMKYEKALEVITKNMEEEALTRRESS >scaffold_400739.1 pep chromosome:v.1.0:4:4943068:4943515:1 gene:scaffold_400739.1 transcript:scaffold_400739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITKTIVPCFLVIIFLVSLCNHNVLVLGEVMEKISYDHCDTLCTPYYGWHECLTDCNNEGWATGICASRSPKEPKRCCCQT >scaffold_400740.1 pep chromosome:v.1.0:4:4958922:4959382:1 gene:scaffold_400740.1 transcript:scaffold_400740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKAIVTCFLVVVFTVLLSNQNILVSGEKTSFDHCHTLCTDYYAWHECLTDCTIEGYVSGLCASPSPNQPKRCCCQKHPLMIGD >scaffold_400742.1 pep chromosome:v.1.0:4:4981670:4985227:1 gene:scaffold_400742.1 transcript:scaffold_400742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSHHHHHPPYLITTLFFLFLNFSCLHANELELLLSFKSSIQDPLKHLSSWSYSSTNDVCLWTGVVCNNFSRVVSLDLSGKNISGQILTSATFRLPFLRTINLSNNNLSGPIPQDIFTTSSPSLRYLNLSNNNFSGSISRGFLPNLYTLDLSNNMFTGEIYNDIGFFSNLRVLDLGGNVLTGHVPAYLGNLSKLEFLTLASNQFTGGVPAELGKMKNLKWIYLGYNNLSGEIPYQIGGLSSLNHLDLVYNNLSGPIPPSLGDLKNLEYMFLYQNKLSGQIPPSIFSLQNLISLDFSDNSLSGEIPELLAQMQTLEILHLFSNNLTGTIPVGVTSLPRLQVLQLWSNRFSGGIPANLGKHNNLTVLDLSTNNLTGKLPDTLCDSGHLTKLILFSNSLDGQIPPSLGACSSLERVRLQKNAFSGDLPRGFTKLQLVNFLDLSNNNLQGNINTWDMPQLEMLDLSRNNFSGELPDLSRSKRLKKLDLSRNRISEMVPLRLMAFPELMDMDLSENEITGVIPSELSSCKNLVNLDLSHNNLTGEIPLSFSEFPVLSDLDLSCNRLSGEIPKNLGNIESLVQVNISHNLLHGSLPPTGAFLAINATAVAGNIDLCSSNSASGLRPCKVVRKRSTKSWWFIITSTVVAFLAVLVSGFFIALVFQKTRNVLEVKKVEQEDGTKWETQFFDSRFMKSFTVNAILSSLNEQNVLVDKTGIKFVVKEVKKYDSLPEMISDMRKLSEHKNILKIVATCRSEKEAYLIHEDVEGKRLSQILNGLSWERRRKIMKGIVEALRFLHCRCSPAVVAGNLSPENIVIDVKDQPRLCLGLPGLLCMDSAYMAPETRERKEMTSKSDIYGFGILLLNLLTGKNSSGDEDIASEVNGSLVNWARYSYSNCHIDTWIDSSIDMSVHKREIVHVMNLALNCTAIDPQERPCTKNVLQALESTSSSSSSCTTYFSKIPSLA >scaffold_400743.1 pep chromosome:v.1.0:4:4991819:4992237:1 gene:scaffold_400743.1 transcript:scaffold_400743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSRSTSSFVSNSGVRKVCEYRLPAKIFKSKTEKNPNRRFFGCQLYKKGGNAHCKFFRWLDEEVIGWPKRALVEAQSEIKEKTKKIKELNATIWSFVVIWTGKTWRYHQLIRKMKRRFRLSLD >scaffold_400745.1 pep chromosome:v.1.0:4:5027300:5028658:1 gene:scaffold_400745.1 transcript:scaffold_400745.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIP4_1 [Source:UniProtKB/TrEMBL;Acc:D7LBI2] MKKIELGHHSEAAKPGCIKALIVEFITTFLFVFAGVGSAMATDSLVGNTLVGLFAVAVAHALVVAVMISAGHISGGHLNPAVTLGLLLGGHISVFRAFLYWIDQLLASSAACFLLSYLTGGMATPVHTLAIGVSYTQGIIWEIILTFSLLFTVYATMVDPKKGSLDGLGPLLTGFVVGANILAGGAFSGASMNPARSFGPALVSGNWTDHWVYWVGPLIGGGLAGFIYENVLIDRPHVPVADDEQPLLD >scaffold_400749.1 pep chromosome:v.1.0:4:5107342:5107994:1 gene:scaffold_400749.1 transcript:scaffold_400749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLKFARDDAKEWMEMKVAHNPGDIQPQQPETNPARYQNWQPPAIGWLKCNYDGSFVSSNLPAKSGWIIRDHNRVYMGSGQAMGGVLNDAMECELQSLIIAMQHCWTRGYQRVCFEGDNQEVVKLINGTKINFGSYNWIREVKRWKMKFDAVEFKWINRSCNKPADILAKKEIPNNSSFFFHSLIPRVISDVLQCDYYSYH >scaffold_400750.1 pep chromosome:v.1.0:4:5142206:5142446:1 gene:scaffold_400750.1 transcript:scaffold_400750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBI9] MPQTLRFQASLISYGFCYFWIQFQHMFFEAPPISCLTEKTMISSVFNAVYTSRINTTFVNRESGKP >scaffold_400751.1 pep chromosome:v.1.0:4:5149170:5150106:-1 gene:scaffold_400751.1 transcript:scaffold_400751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIPNPLITDIIRRIGSEGFRYLGPFIVAGPCFKEIVYSREVLLDVDLDEFMFNTRLGREESIYRPFLLRCAAEGHKTAGYIESLRRLTQVGPSVEALEMLGEVGYSDLYTMFAFAVMLLCCGSYEKGMIVNRTFMARFETLQDAIDIADVVESQDSRLWPAFPQLEQAYAFLGTLRGDATSK >scaffold_400754.1 pep chromosome:v.1.0:4:5164556:5164791:1 gene:scaffold_400754.1 transcript:scaffold_400754.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBZ8] MDQSTIYHSSSAPNSPASEPSTPMPPNSPKFDVQRFHRWTGRSQPEAGFPLSEQTSPSVQPIYP >scaffold_400757.1 pep chromosome:v.1.0:4:5191778:5192210:1 gene:scaffold_400757.1 transcript:scaffold_400757.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBZ9] MQLSKAICTRFIKTGSKGDVPPPHATVPCGLHIVTNELVGSSCALEEGVPVRRGTFNQPRRDNNILRSVYVYLLVPCSLYQLFTNYPSWKDIDAIQRLPISHLIGTEVRNLIFVRASFCIRKEARTVSW >scaffold_400760.1 pep chromosome:v.1.0:4:5208818:5212093:1 gene:scaffold_400760.1 transcript:scaffold_400760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRVYPILRHNRLFSAEAREMPRATLLLLQPNFLRFSPRTPPLNRLDVSSSEFSSMFRRRFHALRSTVGDWTKLPKPPGRVFAERREYRKIRRRTPKKKQELELSVSICIEEQLPDDLEIQNIAEMLRLNVPMAMTLAFNGLKDSKYKTRETDIEDLGGYETVELSVMLCNDDFICKLNKEWRGEDHATDVLSMSQHVPELKLPVLMMGDIVISVETAARQAAERGHTLLDEIRILVIHGLLHLLGFDHEISNEAEKEMEEEEELLLKSLGWKGKGLIQSAYDIEKTAKPQLEKSDDRKEGDGLRLYRPKFSYIFCDMDGTLLNSKSQISEANAKALKEAMLRGLKVVIATGKSRPGAIRILKTADLTGSDGIVSESSPGVFVQGLLVYGRQGKEVYRGYLDREVCRETCLYSLEHRIPLIAFSQDRCLTLFDHPLVDSLHTIYNEPKAEIISSVDQLIAEADIQKVIFMDTTEGVSSVIRPYWSEATGDRANVVQAQSDMLEIVPPGTSKGNGVKMLLNHLGVSPDEIMAIGDGENDIEMLKLASLGVALSNGAEKTKAVADVIGVSNDQDGVADAIYRYAF >scaffold_400766.1 pep chromosome:v.1.0:4:5279404:5279875:1 gene:scaffold_400766.1 transcript:scaffold_400766.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:D7LC07] MADQQQPIMKNLHESSPSTRQIVRFLTAATIGLSLLVLSGLTLTGTVIGLVVATPLMVLFSPVLVPAVITMGLLTMGFLFSGGCGVAAATALTWIYKYVSGKHPMGADKVDYARMRIAEKAKELGHYAQSQPQQTHQTTTTTH >scaffold_400769.1 pep chromosome:v.1.0:4:5302541:5307063:-1 gene:scaffold_400769.1 transcript:scaffold_400769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMNAPNQNLATYVAFDDLQLGIHAQHVVARIVRMWEVHTEIRTVTDRHVFLGHSLLLLDEKNSATHCFIPASLAEKYNGIFKEGIILQIQGFEVRPCTKHNKITDHPFVIKFNNETTFIVEKESWLKIAKEKFRVHNHAHLVGLANTNLALPGNQTFTIIDLAANSPKIETTCIAKLCEFTSKRVQQDAYFLCQATVVDVLSTYGWNNMTCTTCLGTLEPHQNSLLCSNCQDTNVYTNDRLELQMLQRQPLLAVGNYTDLMMKCLIHANPTAHYVQGVLEYFYYDNTIAGLHFLEKAANAPSPINEAIYLTGMINLCSGEFEIGKKHIDHLLRNTNESVVEECWEKIKTALHGIGILRKQEYINSLWAMMPPYMCNINDMQNTCEKCFHYKLMVKFVFMM >scaffold_400775.1 pep chromosome:v.1.0:4:5322020:5322735:-1 gene:scaffold_400775.1 transcript:scaffold_400775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMAGFEVSLMMIVAIISPCVYGKEFPDHREMEVQNLLKRINKPALKSIKSEDGDIIDCVPIASPPALDHPLLKKPHSSGQVWHKNGECPENIVAIRRTKKEEILRSKSIERFRKKTHQSSPGDHEVHLLCIVLGVNYSLL >scaffold_400777.1 pep chromosome:v.1.0:4:5347845:5348050:1 gene:scaffold_400777.1 transcript:scaffold_400777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNEEDRIIINNVAKLANHCYAKELAQIPEMSYVIFTLTSLTRQWKPSEIEED >scaffold_400782.1 pep chromosome:v.1.0:4:5416862:5419352:-1 gene:scaffold_400782.1 transcript:scaffold_400782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVIDFYKLGSECQSTTGILTLVNAADDGSSSFRKTNLTGVRIYPTLSRRRFSSIGVVSPIRGDAQSSFSRSSFVCSQNLGLSGGISGFSAPEALPNVCENATLSSIKSFNQLIETLIDRVDLSEAEAESSLEFLLNEANEALISAFLVLLRAKGETYEEIVGLARAMMKHARKVEGLVDAVDIVGIGGDGANTVNISTGSSILAAACGAKVAKAVINAKALQSYHLVEDTDDTYSRSCVHRDIKSSNILIDDKFNSKISE >scaffold_400785.1 pep chromosome:v.1.0:4:5430306:5432417:1 gene:scaffold_400785.1 transcript:scaffold_400785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTHDHNCEDHECSSDWSLYKHIDLSKVSALNESVSGSAKSVFKAWEERLQSSGEHLESNEGDPELLVFVPFTSDVKIKSISIVGGPEGTSPSKLRVFINREGIDFSDAESMQAVQEWELAENLQGVLEYQTRYSKFQSVGNITLHFPESFGGDTTQIRYIGFKGEATQLKRDVVATIVYEIRPNPSDHKTKAETGGGFSQVE >scaffold_400787.1 pep chromosome:v.1.0:4:5439058:5441962:1 gene:scaffold_400787.1 transcript:scaffold_400787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGLPSRIFADLEEPAGDRVNQYFKLNTIRVVLRALQPLPPIDQSLVKCPPGVTPYWFTLFGGEEFVTAEMLQAKLRRPRALTSELRVKYATVAIQGFIHALQLVFVEAVPDVLDAVGDATDPESGGEEVFPVISLKLDKVWDLDAQGEVQVLPIIPANEEGDVVDEGLADCSWPDEVGDPSVEFLLHQLEEGVVFKRDMFVGGFRGLAAPAQPPSRVVKKGKRKCNAKLLPKEMSNGEASSSKKLKMRSQRAKFDSVDPNSKLLAAVSSQIRAGLKESQSAVYANLCIDIKEMELRLQQSFKQNIFSVVAEYLAAKDTVNTVVDALGSGGGRPVSHLNQDPVQPDPLLSDPYAPAKLSSPKDTTPTVQGETGSSSAAASGEKSSGGDTVVQFSEQLPDSATEVDPSSDKFKLLITHMVPTLELSVGEGLVLKDKDVLNIPIIIPPDSPQVCTNVMDSCVLVLRDSLFNNVDPASDPRAEFMRSNFPGSFAVLYAKFKKTSRKELFDFDPEVLAAVIDRSKLNGREWIIDIDFLYFLFNIDKNRWIAVMVNLRNHVLTVFDPNADACRGSRLKPQLDFVCEMFPYFVRKVGLNDMMSSFSLEPLAFHRDTSVVQASVRSNTGILSLLFMEAHAFGGLEKVYKVNESAIRSRAESLAVELYEHCCGELVVE >scaffold_400788.1 pep chromosome:v.1.0:4:5442672:5443155:-1 gene:scaffold_400788.1 transcript:scaffold_400788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDQGFLCDCGKPATIRQAWTDANPGRRFYGCGAAWRSVCDFFQWRDLEKPHGWQKTALLEARDVIRAQKETIKLLQEAAKEEPKTEADIAKEEGESSIEKLEKENIILRSELQVSHQAEQTLRHFVLISWVGFICVVATVVHGLR >scaffold_400791.1 pep chromosome:v.1.0:4:5447431:5447620:1 gene:scaffold_400791.1 transcript:scaffold_400791.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KEZ3] MSIKCVRSHHIIVNSFLLSPHKCPFTCGALNAEASEVNFFAYARLMVFP >scaffold_400799.1 pep chromosome:v.1.0:4:5529925:5530781:-1 gene:scaffold_400799.1 transcript:scaffold_400799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKLSTEYPCLFLIGKEAELVHILLGYRDVMFNLKKKGRFRLFQSFSEQLLLWLRHDALLIKPFLLSVERFQIKQTWRTKFIHSVSAIGVGHYEYSIWKQSDKGTQLFYNR >scaffold_400800.1 pep chromosome:v.1.0:4:5537030:5539594:1 gene:scaffold_400800.1 transcript:scaffold_400800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTVHFSSGNPRIGETRGVMHLFSDDVVSSSSSSSNLPIGRNPLVCVLGVPNHMTYADFCQFCGSFIHHILEMRTVRNDDIENRYSILIRFDSQESTDTFFHHFRGKQFNSLEEDLCRLLFTLDVQFTGYSGSIDHTQPSAAGPIEQPTCPVCLERLDQDTGGILTTMCNHSFHCSCISNWPDSSCPVCRYCQQQPENSVCCVCQTTENLWMCVICGVVGCGRYKEGHARRHWEETEHCYSLELETQRVWDYAGDNYVHRLIQSKTDGKLVELNSHGSLSKDGCGSCEYSDSGMTDALLNSKVDMIISEYNELLQAQLENQKQYFEKLLQNVKEETEQKISEAASKAISQRLQKLQTRFDRCVKEKQFLEDLNENLVKNKDVWSTKITEIEEREKKAVRAKDEKIQGLEEQLGKLMAQMDGESEVSETKEVQDATVLPLSTTNTSSSGSGNVINANKKKSNRRKG >scaffold_400801.1 pep chromosome:v.1.0:4:5555552:5556161:-1 gene:scaffold_400801.1 transcript:scaffold_400801.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I allergen family protein [Source:UniProtKB/TrEMBL;Acc:D7LC42] MSSSPVVKGLTDEEHKTLEPVIKTYHRFEPDPSTCTSLITQRIDAPASSVWPLIRRFDNPERYKHFVKSCRLISGDGGIGSVREVTVISGLPASTSTERLEFVDDDHRVLSFRVVGGEHRLKNYKSVTSVNEFLNQDSGKVYTVVLESYTVDIPEGNTEEDTKMFVDTVVKLNLQKLGVAATSAPMHDE >scaffold_400804.1 pep chromosome:v.1.0:4:5596536:5599582:1 gene:scaffold_400804.1 transcript:scaffold_400804.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cytosolic iron-sulfur protein assembly protein CIAO1 homolog [Source:UniProtKB/TrEMBL;Acc:D7LC45] MDLMEKNLELVEIQKLEGHTDRVWSVAWNPVSSQADGVSPILASCSGDNTVRIWEQSSLSRSWTCKTVLEETHTRTVRSCAWSPSGQLLATASFDGTTGIWKNYGSEFDCISTLEGHENEVKSVSWNASGSHLATCSRDKSVWIWEVLEGNEYDCAAVLTGHTQDVKMVQWHPTMDVLFSCSYDNTIKVWWSEDDDGEYQCVQTLGESNNGHSSTVWSISFNAAGDKMVTCSDDLTLKIWGTDIARMQSGEDYAPWIHLCTLSGYHDRTIYSAHWSRDDIIASGAGDNAIRLFVDSKDDSVDGPSYNLLLKKNKAHENDVNSVQWSPGEGNRLLASASDDGMVKIWQLATKP >scaffold_400809.1 pep chromosome:v.1.0:4:5651811:5653760:-1 gene:scaffold_400809.1 transcript:scaffold_400809.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LCP4] MPLFSHRFTTASSSSPASPSYYNKPSSKTHKPNSSSYASSRIHVAIIFFSLVSVFIGVAGTIFALSSAGPASVYRCGGSKDTSRVVSASRKLGGDGGNGVVERRKLLGFVGIQTGFDSGDRRTALRSTWFPSDPDSLLRLEQATGLAFRFVIGRSKDAKKMVELEKEIKEYRDFVLLDVEEEYVRLPYKTLAFFKAAFKLFEADYYVKADDDIYLRPDRLATLLAKERLHSQTYIGCMKKGPVITDPKLKWYEKQGNLIGNEYFLHAYGPIYVLSAEIVASIAAARNGSLRMFNNEDVTIGSWMLAMDVHHEDNRALCDPHCSPKSIAVWDIPKCSGLCNPESRLKELHKTDMCSKSPTLPPDDIDQ >scaffold_400814.1 pep chromosome:v.1.0:4:5717124:5717496:1 gene:scaffold_400814.1 transcript:scaffold_400814.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCQ0] MMLFVVVVSCLDFFVILDLEIGDFQESSVVVFGSVLEVLLTHLSRLSGFEILVLSFERSALIVIGRGRCYLRVGRKHSW >scaffold_400815.1 pep chromosome:v.1.0:4:5763160:5763991:-1 gene:scaffold_400815.1 transcript:scaffold_400815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISQDPIKGVYQSSINFWARVKKAYDNGKNAIWSERTLKSIQCRIQAIEKATKKLHPYIRQCENRHQSDASNDDIFKDGVTPSKPSKLVSIQCDFGYTSLESDTSLDSPTQTFLGLSSFSLNDDDEITDRSSSPRPNGVKKSKMKRKLVDQTTSVINTLEEGNKQFLEQLKKTSAQREDHLEMQKKKLCSE >scaffold_400817.1 pep chromosome:v.1.0:4:5775191:5775572:-1 gene:scaffold_400817.1 transcript:scaffold_400817.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LCQ6] MGSPRDGGGRLRPDEAKPPSMVLRSTPYCLQLSRLSMEIHDKGWSDLFFLLKTALRWQDGNRSWITISVRHASSMFTGDFLFWRVYAVLGRRLCRVLRLEIRVFLWLRFRSVK >scaffold_400823.1 pep chromosome:v.1.0:4:5818185:5818568:1 gene:scaffold_400823.1 transcript:scaffold_400823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSIPMEATPVASSIPDIVDNQTESTSTPNSIMESPLLGNGDSSKDSYNVDADPISGSNKRGSSGDINDETHKTSTESLPIMVSPLQTVSPFDDSEKDCYDGDVDPSTGSIK >scaffold_400825.1 pep chromosome:v.1.0:4:5861484:5862970:-1 gene:scaffold_400825.1 transcript:scaffold_400825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQHFFKPLLPGFHSHLTAELRSDASKKTWKVKIDGQRLTNGWTEFALAHDLRIGDIIVFRQERSMTFHVTMLGPSCYEIQYVSCLDNQNNLGKIQRKKKEAESSLDLSCFIANVTPSNLRYDSLDKWSRQKMWRYDSDQRKGRSWTVALKRKKSCGTTYIRRGWRSFCHANGLRAGSFFTFKLIERGGTLGLRLSHRELDEEANAIDNNQDERSSRHVKASSLPPQNRFVRVTPTPYNVGQSKLILPVPFTKVNDIIKAKKMSFLDKHGVKWSTNLWFEEKCKRMRLSGGWKEFCYANDVKIGESIILELIWETDRSSVLKFCSKVKPENK >scaffold_400826.1 pep chromosome:v.1.0:4:5876714:5876935:-1 gene:scaffold_400826.1 transcript:scaffold_400826.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCR5] MYNRLLLSSRSCYKGNPLHLFVIVRDFLAMVDKVCLEIMKNMQRRKIGSRYRMLRGGVP >scaffold_400827.1 pep chromosome:v.1.0:4:5889944:5891124:-1 gene:scaffold_400827.1 transcript:scaffold_400827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPARIKISSMMPDWSQLPDELLNLISKNLDNCFDVLHARSVCSSWRSTFPFPSCLLRPSYSLPTFADFPFVSKDLCTLEKIHLFLYRVRTPAAALPSAYFLGGIGRDESEDHMELPSPLQFSVRVKIRGSDPTLMNIVGCQIVLLGYQYRMMGWNPEKLGNRLQEGGGEEFVVLLNYTKNLYVLRSTEMRWMKLKVTSDASCSDLVAFRGRFYAAFLNGDIFIFDPYSMQRTPLVPSEPRRSSNYLIPSGNDELFLVEKFNPFPECGRIDFKRFICRVSRLDEEAGKWVVVSDLGDCVLFIGHFGNICCSAKELPHDCGVSGNSILFTNVPGNVTFAYKYGVQTGRAEEDLNCWRLSRQIRVTILNTFPVVALRLE >scaffold_400828.1 pep chromosome:v.1.0:4:5891706:5892416:-1 gene:scaffold_400828.1 transcript:scaffold_400828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKIARSDPTLINMLDCQIQISVAFLMRNKDGGEIVVFLMQLKKISNSSCHDLVTFRGRFYAVFLNGNMFVIDRYSLEVNDLGDRVFFIGRLGNIWCSAKELPDGCGVSGNSILFTNGPENVTLFYIYGEHTGNAEDDLNILRSTRATRVIILNKSPPVVALQVEG >scaffold_400829.1 pep chromosome:v.1.0:4:5893148:5894493:-1 gene:scaffold_400829.1 transcript:scaffold_400829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHECVSKLSIPDWSLLPNELLEIVCSNYCKTLNKCFDIVHARSVCRLWRSIFPFPSCLLRPRDFLPTFVYYPYLSRELCTLEKIPLFLFRVKTHATSTSKYFLGGIGRDESKDHMELLPSPIQCSVKVTIPRCDPTLIKMLDCQIIPLGHQYRMIGCNPKDYKGVAYLPLNKKGKFVVLLNYTKVLLVLRSRDKIWMRFSNISKASCDYLVAFRGRFYASFLNRDIFVIHPYSMKVTPLMPLHHLYPDSHIYLVPSGNDELFLVERIIIPSNGVGVLDFSRFTCRVCRLDEEAGKWVVVSDLGDRVLFIGEFGNTSCPAKELPDGCGVSGNSILFTNGPGNVSFFYKYRLSSENVKDDLSLLRFSRENRVIIRNKSPPVVALHVEH >scaffold_400830.1 pep chromosome:v.1.0:4:5896500:5897683:-1 gene:scaffold_400830.1 transcript:scaffold_400830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKKTSSSASSSKLPEWSLLPEELLQIVSKNVENCFDVVHARSVCNSWRSLFTFPSCLLRPSYSLPTFDEFTLESKDLCTFEKVPLFVFRVKTPAASTSPFEYFLGGIGRDDHMDRPSPLQCSVKVEIPGFDSTLMNMLDCQILSLGHQYRLIGWEPKDYKGVAFLPLNKEGREGEFVVILNYTNVLMVLTSAEMKWKRLENVPYAICSDLVTFRGRFYASFLSRNTFVIDPYSLEVTLLIPSPHKQLNYLVASGNDELFLVEVTIPNFEVIDFSRFTCIVSRLDEEDSKWIEVTDLGNRVFFIGHFGNVSCSAKELPDGCGLRGNTILFTNEPTFAYKYEVDTGSAGDDLNCWRSTRENRVSILNTSPVLALQVER >scaffold_400832.1 pep chromosome:v.1.0:4:5932048:5932346:1 gene:scaffold_400832.1 transcript:scaffold_400832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSGSTSSFGSNSGVRKLCECGLPAKVFKSKTDKNPNRRFYGCQLYKEGGNAHCKFFRWLDEEVIGWPKRVLAEAQSVIKEKT >scaffold_400833.1 pep chromosome:v.1.0:4:5944390:5945563:-1 gene:scaffold_400833.1 transcript:scaffold_400833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWSLLPKELLYLISKNLEDEDYCFDVVHARSVCTSWRSTFPFPCCLLRPSYSLPSFADFPSESKDLCTLEKIPLFLFRVKTPATSPSMYYLGDIGRDNSEDHMKHPSPLQCSVRMKIPGSDPTLMNMLDCQILSLGHQYKMISWDPHSLATRFRGVAVLPLNKEEGGGGFVVLIGYSHDLLVLKSAERRWMRLEKTSIATCSGIVTFRGRFYAFFLNGDIFVIDPYSLEATPLMPLQPLSSINYLVQSGNDELFLVEATLPDAEVIEFSRLTCRVSRLDEEAGVWVVVSDIGDRVFFIGEQGNVACSAKELPDGCGVSGNSMLFTNWPGDVIYFCKYGVPTGYVEDDLNFWRFSREYRVMIRNKSPPVVAFRVER >scaffold_400834.1 pep chromosome:v.1.0:4:5946583:5950986:-1 gene:scaffold_400834.1 transcript:scaffold_400834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEIEKMTSSVSKLQLSPPPSVRKEKTFSMPDWSLLPEELLNLISKHLEDYCFDVVHARSVCTLWRSIFPFPASLLRPSYSLPSLTKFPYESKDLCTLEKIPLFLFRVRAPHADASEYFLGGIGRDDDDHIELPSPLQCSVKVKIPDSDPTLINTLDCQVLSLGHQYRMIGCNPKYYKGVAFLPLNKFGGGEEFVVLLNYTKTLLVLRSIEMRWMLLEIPSYGSCSDLITFRGRFYAAFSNHDIFAIDPYSLEATPLMPSEALLDCGSVNDLVPSGNDELFLVEKIIPRTGVIYLYRLTLRLSRLDEEAGKWVEVSEIGDRLLVIGNLGNVCCSAKELPDGCGLTGNSIVFTHGRKVTYSYKYGVHTGREEDDLNCWRYSRDKCVTILSTSPVVALRKVKDLGILRMAKQHFFKPLLPGFYSHLIDGQRLTDGWKEFALSHDLLTLLFSDKREICVSTLQCWDLVVVRFNMTLPIPFTKVNGIQNAKKMSFLDKHGVKWSTSLRLEKDRQRMRLVGGWKEFCDVKIG >scaffold_400835.1 pep chromosome:v.1.0:4:5951593:5953313:-1 gene:scaffold_400835.1 transcript:scaffold_400835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKTSTMIWALKSQPQPATKEHTLSKQDWSQLPEELLHIISENLEDCFDVIHARSVCHPWRSIFPFPSCLLRTSYSLPSFAKFPRKSKGFCTLEKFPMFFFRVRAPAVADGLPSEFFVGGINRDKSDDHMQLPSSLQCSVKVKITGSDPTLMNILDTQILPLGYQYKIIGWDPDSLATSFRGVAFLPLNKDGGKEFVVLIGYSHDLLVLRSVEMRWMRLEKTSRADCKHIVTFKGKFYAVFINGDVFVIDPYSLEATPLMPSERLTSQNYLVPSGNDELFLVERIIVRGSVIQFCKLACRVSRLNEEAGEWIVVTDLGDRVLLIGQPGNSSCSAKELPDGCGVSGNSMLFTNEIFNETYSYKYGVDTGNSEDDLNFWRSSRETSVTILNTSPMVALRFAREKP >scaffold_400836.1 pep chromosome:v.1.0:4:5954289:5955313:-1 gene:scaffold_400836.1 transcript:scaffold_400836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQDALYKMLDSSLLRDCLFPSPSAKMKQPAPKKHTLSMPDWSLLPEDLLQLISKHLEDYCFDVVHARSVCSSWRSSFPFPSSLYAQVTLKFPRKSKGFCTIKKVPLFLFRVQAPAAAAASPSEFLLGGINQVSSEDRIELPSPLQCSLNVKIPGSVPTLMNMLDCQIIPLGYQYRIIGWDPEERTTVFLPLSKDGEFVVLLNQRLLALTSAEMRWNFPNARCSELVTFRGRFYAAFLHWGVYVIDPCSLKATSLMPSQSLHSSNYIC >scaffold_400837.1 pep chromosome:v.1.0:4:5955957:5956621:1 gene:scaffold_400837.1 transcript:scaffold_400837.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKSVDVYVSTWSHPSSEFLSEIVVKAQRLRRSDQSSDLPPQQPLLMVVSIKLTQKLYIVVPCYSAPSTTYLDKESEEEEETETCAICLECILDEDKRIYHMRNCSHMFHEECVIEWLNRQHNSCPLCRQPGYQITRV >scaffold_400838.1 pep chromosome:v.1.0:4:5959218:5960227:1 gene:scaffold_400838.1 transcript:scaffold_400838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETVSLHCGFFNANGGFRILDKDKRFMQTCEVVVSTCAFGGGDKIFMNLLECLRHQVKRFAMLRFGMKLLLQHKKQRGHKINENDHTGKWRIVIVKDMPFTDQRLTFFFQVSY >scaffold_400839.1 pep chromosome:v.1.0:4:5961000:5961658:1 gene:scaffold_400839.1 transcript:scaffold_400839.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKSLNADVNVTDLFLTPSSGFLNELIIIREREVEEFIIDDNDDSVTSLGSYPDSPPSDPLIYLKFQNFEPNYLYQLVHTQLHDHVLSKQISDQIVIKAQELRSDQSSDHFPQQPLFMGVSVRFTHKVYKVVSCNCAPSTTDLVDQETEEETETCAICLEDMLESGSIYGHMHNCSHLFHQGCLNEWLNRQHNSCPLCRQPVYQ >scaffold_400841.1 pep chromosome:v.1.0:4:5973130:5973633:1 gene:scaffold_400841.1 transcript:scaffold_400841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASTMVLEYSYAVMRRFVWIYASVQFALVVLFAHIFYSVLKGLVSFWFSQYRSRLLRSIRTKLDINVHPFSQYRTRILRRLEQQLNQAQTPPQPLDPTSSLHHSNAL >scaffold_400844.1 pep chromosome:v.1.0:4:5978015:5979632:-1 gene:scaffold_400844.1 transcript:scaffold_400844.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 6 [Source:UniProtKB/TrEMBL;Acc:D7LCT4] MGASGKWVKSIIGHKKLEKDEIEKGNVKNKKWKLWRTTSVDSWKGFRGKHRSESEGLDSSTVYSAAVATVLRAPPKDFKAVREEWAAIRIQTAFRGFLARRALRALKGIVRLQALVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMTVEGQAVQKLLDEHRTKSDLLKEVEEGWCDRKGTVDDIKSKLQKRQEGAFKRERALAYALAQKQWRSTTSSNLKTNSSISYLKSQEFDKNSWGWSWLERWMAARPWETRIMDTVDAAATPPPPPPKHLKSPETVDVVKVRRNNVTTRVSAKPPPHMLSSSPGYEFNESSGSSSICTSTTPVSGNTGLVSDNSSSQAKKNKPSYMSLTESTKAKRRTNRGLRQSMDEFQFMKNSGLFTGELKTSHASDPSYVSFSKPLVVPTRFEKLRG >scaffold_400845.1 pep chromosome:v.1.0:4:5991874:5993931:1 gene:scaffold_400845.1 transcript:scaffold_400845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDIAFFLKYPWGRHSFHRILRTAKVGSYILDTASLVAKLRQSSVAIHGFPLAIQLFAFKYVPLLLKYLPHAEEEFNFLDQVIPRLPKCKSYHSSNILHVEYSRHLLVLPPPPADAAFLASPHCDPKVKQLEGLIASSFNFDKSIWPSGDSSLPSLRCSRKRKCNHCQSDSSSSAEETDVKKVKRGLRSRSKLKVKRSQTLHPKNQKTLKVSLLAEVRDLIDNTLPDNTVASATVNVTSPVNRACSLPRRVTRSRSSAMSVESVANCSTAPKKGSDPSANLSASGHTVTDSAHAVVSSPIITRSLSTVSSQGCTAFRNCPTASPSNQPASPAVSGNHVPAVSSLCRDNIVLTQKTCRIPSLLHEAAKFTLKASSIPSASDHVPPSNHALEVSNSHRPHLSPYLSTTPRVVPKDNKKLLPNQVSTSSTQLRDVCAIDSSSGPTPLSSPVKHLPQRTSQKTITRSQARTASLPPAKCTQQLLVYGPAMNLRSKKQAPKPPVGSSSEYILSTLEAINSPTVSKFLLQLSKFQGRCSLFCNPNASVNCLYYTILYIPPLTMFLLQ >scaffold_400846.1 pep chromosome:v.1.0:4:5994437:5994758:1 gene:scaffold_400846.1 transcript:scaffold_400846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMAQILPSLLQSLSTDVPATWPSTGFTFMRVPSLAQNDRGGDCGPMSLKFIELHSHQLTLPLQHLTQKQVDSIRMHYAMDLYGEYVSFS >scaffold_400847.1 pep chromosome:v.1.0:4:5995060:5995697:1 gene:scaffold_400847.1 transcript:scaffold_400847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDINIALDIVSRVGEDSFKALGGMLLASKFYHYLASHPIVLNNVSLQPFLADASLINEDSIYRPFFRLCLDSLNPTAAYLESIRLATKLGRAEDALRLLYSSGNSPPQAWFSRALLEVCLGFYQESIATIDSFISSVGSFRQADAIGSTVFRHIMQIGPVKIRSHANTWHYGDIPTCFATRCRIDRRCRQCFVLV >scaffold_400853.1 pep chromosome:v.1.0:4:6053354:6053731:1 gene:scaffold_400853.1 transcript:scaffold_400853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLHNYFSRKKIFDRKHQINDIERVSTVLSINQDEVIILLLHYHWSVSKFEDNFFSDEERIRKTVGILKNLVVDFNDREENIQCEICFESYTRENITTVSCGHPYCKTC >scaffold_400854.1 pep chromosome:v.1.0:4:6062292:6062806:-1 gene:scaffold_400854.1 transcript:scaffold_400854.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCU2] MAPKGKSSRGRGGGQNTRATAAGGGQNSRAVAADPVENTRPAAAGGGETSRRGGGETSSRGGHQTSSRGGSQTSSRGGVNRPVASRPRTYVGHRLPLTTSGVGTSSHSFNPHSSNPSTTSSSSAASSATTTASSSPASTGR >scaffold_400855.1 pep chromosome:v.1.0:4:6065588:6065891:-1 gene:scaffold_400855.1 transcript:scaffold_400855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNKIVHKETSKIKSLNNREVLVEKRGVSVLENGGVCKIDRMTGLKFKRRNVFAVRDFPPGCGTGVERFGLRKMEDEEEEFEDE >scaffold_400859.1 pep chromosome:v.1.0:4:6084423:6085036:-1 gene:scaffold_400859.1 transcript:scaffold_400859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMSMCDHLPKLVWEKILHQDSDTSAVRHQFLGFMMMDSKVCSIRSHLCRMKDKEDEDLIDISIKQVDWLNQIEMSKVYHCDYLLLCNVVWNPYLAQNRWIRKPYLFLASITILNSFSLLSLLFYYYSVWCFSSFTTIEFTKLHQIEKLKCPQPFFTNYDRHNIT >scaffold_400860.1 pep chromosome:v.1.0:4:6093108:6093374:1 gene:scaffold_400860.1 transcript:scaffold_400860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFNPVSTSLSPPLPPPLRTQIEDPNAQSQPSQEHFDAFTSTKVADLAKPLKELGFPYKIHIVKDHDMSCD >scaffold_400861.1 pep chromosome:v.1.0:4:6093575:6094963:1 gene:scaffold_400861.1 transcript:scaffold_400861.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LCV0] MLFSNKVNSLIEQVSRLDIDDDMGLGGSETMECKCGMPLCICVASSKSTDKPNPPATVAPVVLPQLKSETSAKSKGSTSSSNARSALNPGLDTPQRDYEASGEGLREAIKNGDIAGVKKLLKEGVDANYRDKQGMSVLHLAVLFNQTDIALMLMDHGASLEYKNAQGETPLDCAPATLQYKMREKMKST >scaffold_400866.1 pep chromosome:v.1.0:4:6146967:6147355:1 gene:scaffold_400866.1 transcript:scaffold_400866.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCV6] MSRSGLEITNPRILLPSGVGILLPPNSRMLLPLIAWPISLILVVIPLPRVAQGPIPIITMSAPLPGRDELWSMATTPLNSLMSIDLDTSLVALDTSQGEKIISPTKKLCVILEIV >scaffold_400883.1 pep chromosome:v.1.0:4:6366332:6369556:1 gene:scaffold_400883.1 transcript:scaffold_400883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNTKDHVKQTSSEFALVSPWFRRQPTVATVSSSITNPHHHHRRCYATAPEPNRKAKSNSSLTKLLPSLPQQHSPSPAPVSATHSLSNHFSNIVRWIPDGSLEYYADFASKLAEDGRIEDVALIAETLAAESGANVARFASMVDYDLLSKGISSNLRQGKIESVVYTLKRIEKVGIAPLDLVDETSVKLMRKQFVQWLPLCKWRKLLT >scaffold_400884.1 pep chromosome:v.1.0:4:6397223:6398709:-1 gene:scaffold_400884.1 transcript:scaffold_400884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFSFHHLFIFTAVIFLRYLNPTTAARCHTDDEAGLLAFKSGITQDPSGILKTWKKGTDCCSWDGVSCPNGNRVVVLTINIESDDAKIFLSGTISPSLAKLQHLEGLVFINLKNITGPFPSFLFRLPHLKYVFLENTRLSGPLPANIGALNRLDTLTVKGNRFSGSIPSSISNLTRLNYLNLGGNLLTGTIPLGIANLKVMSNLNLDGNRLSGTIPDIFKSMTKLRILTLSRNRFSGTLPPSIASLAPVLAFLELGQNNLSGSIPSYLSRFMKLDTLDLSKNQFSGVVPKSLAKLTKIANINLSHNLLTDPFPVLIVKNDILTLDLSYNKFHMETIPEWVTSATILSSLKLAKCGIKMNLDDWKTRQTDLYVSIDLSDNEISGSPVRFLKEQGYLREFLMSGNKLRFDLGKLSFSNTLETLDLSRNLVFGKVPARLARLKTLNLSQNHLCGKLPATKFPESVFAGNDCLCGSPLGHCKG >scaffold_400886.1 pep chromosome:v.1.0:4:6405886:6406356:-1 gene:scaffold_400886.1 transcript:scaffold_400886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLITPFHYLKYFLATISPDHSVSKELVLLSSSDSLLALTKEISFTDYRQFVVAVVTIMLATSTSSDIRLTREEIPNKFRSLGGLLMRMYNSCVFYRFDFDCLIIVLANVCPYV >scaffold_400888.1 pep chromosome:v.1.0:4:6454857:6455820:-1 gene:scaffold_400888.1 transcript:scaffold_400888.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDG7] MDSDPESQHVIDTPNDPMDEDNPQKETLDNVNASEEVIDAAMQKPTEYNPLQALLSIGDPIFDTISKTDGIIQSSTNEVLPYYG >scaffold_400889.1 pep chromosome:v.1.0:4:6462610:6463061:1 gene:scaffold_400889.1 transcript:scaffold_400889.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDG8] MPPKGKSSRGRGGGATTRRVATGEGQTSRQEAAGGGATTRRVAAGGGQALPQEAAGGGETSRPVASRPGVRTFVGHRPPVTASGVGTSSHSSNPSSASQSATQSQLSRPSLNSSRQNPPLSRQTPHLNRQTPPPQP >scaffold_400891.1 pep chromosome:v.1.0:4:6474342:6475296:-1 gene:scaffold_400891.1 transcript:scaffold_400891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKESIENQNDGVFALAKHVIATAGKDSNVVFSPASINVSLSFIAANSFDTIKDQILDFLHASSTDELNGVASQILSVILTGKKRNFKESIENQNDGVFALAKHVIATAGEDSNVVFSPASINVSLSFIAANSFDTIKDQILDLLHASSTDELNGVASQILSVILRIKMTASLLSLST >scaffold_400895.1 pep chromosome:v.1.0:4:6528990:6529271:-1 gene:scaffold_400895.1 transcript:scaffold_400895.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDH4] MRAAMCQTCGCMIAVCRPCERMITVCRPCERMFAVCRSKCMVAKCRPCGRMFAVCRDVSAKCCRTFATCSACVRGPHL >scaffold_400897.1 pep chromosome:v.1.0:4:6573115:6575624:1 gene:scaffold_400897.1 transcript:scaffold_400897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNVDPRGASESTVLKRNSNDVAWDYGILYDLKNLDKVKCKLCGKEFSGGACRTKNSTTGILTSVNAADDGSSSFGKTNLTGVRIYPTLSRRRFSSIRVVSPIRGDAQSSFSRSSFVCSQNLGLSGGISGFSAPEALPNVCENATPSSIKSFNQLIETLIDRVDLSEAEAESSLEFLLNEANEALISAFLVLLRAKGETYEEIVGLAREMMKHARKVEGLVDAVDIVGTGGDGANTVNISTGSSILAAACGAKVAKAVINAKALQSYHLVEDTDDTYSRSCVHRDIKSSNILIDDKFNSKIFE >scaffold_400901.1 pep chromosome:v.1.0:4:6615730:6616772:-1 gene:scaffold_400901.1 transcript:scaffold_400901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESMNAATVNDDGDNNSDRFIFSTPLSSYGSTKRDAISVEDYDRDRHLYRRFHSPQTPYKSEFSNLIDLDQYNDEDDDLRVLCFTPISIEKGQSSSRRRSFDSAKLQDNILSIKCPVSGCSGQLGPDKCRQILPREVFDRWGDALCEAVIMGSKRFYCPYKDCSALLFLDESEVKMTESECPHCHRMVCVECGTKWHPEITCQDFQKLAENERERGDILLKNMAESNKWKRCPSCKFYIEKSEGCLYMMCRCGLAFCYNCETPSKGINLNCSSESKN >scaffold_400902.1 pep chromosome:v.1.0:4:6619571:6620197:1 gene:scaffold_400902.1 transcript:scaffold_400902.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDI4] MNSDTTLIGNLASPAYRRLRVLRHSSPLSPPRWFVFSSPPTPFSVSSSRSYIARVKGRDNRIYESTEGSREGAANPDPTEASTDASVPTEASTDAAVPKDAPTDAAYPTEAPMDAAVIGPTVESAEAATELVVFSVPELSDKEEKEEVGEHDKEVCELSIDGQGCDNEEEERELLILRVKMLLVIMRRKMLLVL >scaffold_400903.1 pep chromosome:v.1.0:4:6655406:6656731:1 gene:scaffold_400903.1 transcript:scaffold_400903.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDI6] MRLSSLETTLAAVKDDMAMLKNDVMMLKDDLHKVGDWAAAMQASQNVILRSLGIDPLTHQPIRPTTTPVTVGNMIEAESDPHKVWMPLIVDFYATWCGPCITNSLFHLVHV >scaffold_400905.1 pep chromosome:v.1.0:4:6666842:6667632:-1 gene:scaffold_400905.1 transcript:scaffold_400905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVCKYWKDGNCKRGKQCQFLHSWSCFPGLVIVPALEGKKKELKGITLPQGSDKLFSVSSDGTLRIWDCNSGQAEAGSLISESPWVFLGLLNAVKAYNDQTNKDLHLQGVVGQVHAMIVANGMLFSGTSSGSILVLKATDSESDPFKYLTSLQGRHHSGEVTCFIVGGQLLYYSYVDRTIKVWDLNTLQCIMTLKHCHITLMLGQMSDIVFLGWDHKSLGLF >scaffold_400907.1 pep chromosome:v.1.0:4:6704484:6704793:1 gene:scaffold_400907.1 transcript:scaffold_400907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRNKHKERCSPEPQPQPPSENPSSSSLAADNMPPPSTLTYLLPPGYQFVPSDQQLIFFYLKPYLDGYKNVLLNVPIHIYESWPTLSI >scaffold_400908.1 pep chromosome:v.1.0:4:6717227:6717536:1 gene:scaffold_400908.1 transcript:scaffold_400908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRNKHKERCSPEPQPQPPSENPSSSSLAADNMPPPSTLTYLLPPGYQFVPSDQQLIFFYLKPYLDGYKNVLLNVPIHIYESWPTLSI >scaffold_400909.1 pep chromosome:v.1.0:4:6754325:6754667:-1 gene:scaffold_400909.1 transcript:scaffold_400909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNKQKGTLEKLMWAHNLSGSGSPIRPVSKLMVRLLLLVSVSNVVYTLKLISNCCNQILHLSISFITPTSVAAWGSNTVVSGITGLGRLWKQRKAYINT >scaffold_400914.1 pep chromosome:v.1.0:4:6907597:6907809:1 gene:scaffold_400914.1 transcript:scaffold_400914.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LDJ8] MHRFRLWIDKKRFASNSLLTTEYAAGLTKFMTLKENKESCLESGMMWFVLVQYVL >scaffold_400915.1 pep chromosome:v.1.0:4:6968203:6969738:1 gene:scaffold_400915.1 transcript:scaffold_400915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAGEQRYLIKRSKSISGRNWLWQPHPPYPKSPPSLKTIFPSFAFPFFESLHFSCILQTHPQQEMATAAAPAVISWTRSGIVSKSGQTQKKTEMKVSYITGLNSYGGLKAQNNKVVSMGSPLCTEQCFANVVVSLKGRRGNGGALSTTCNAVGEIFKIAAIMNALTLVGVAVGFVLLRIETSVEEAEAE >scaffold_400917.1 pep chromosome:v.1.0:4:7024750:7025219:1 gene:scaffold_400917.1 transcript:scaffold_400917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPLYWQPPPATEVPQDSSSVSSAGNSTIGPFIAVFIVVTVLCVLASVIGRLCSGKTILGYGDYDMERWAESRCGSCIDGHIIPHRPSPSPPPRQPLHHTSSGVSAESEGHVADLDETDGEKQDSLDHEPPPQTPSSAHS >scaffold_400919.1 pep chromosome:v.1.0:4:7042798:7042990:-1 gene:scaffold_400919.1 transcript:scaffold_400919.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE62] MPVEPDAKINKKKTLIHLSRRHRFRSLADEPPFPATLNSQHKPFAPPLSL >scaffold_400927.1 pep chromosome:v.1.0:4:7146461:7147407:1 gene:scaffold_400927.1 transcript:scaffold_400927.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE71] MSSPERGRKNKEQILASLCCQVRVLVVGDSGNLEVLVSCGLLYFITCFKESKPIIVEAFKNKGITGMGAARFCWGGEFGFLVSITSIRLDNYEREKGKLVISHFPHSFLSIVLIKVMRFQEQAT >scaffold_400929.1 pep chromosome:v.1.0:4:9579760:9581563:1 gene:scaffold_400929.1 transcript:scaffold_400929.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE75] MSISILLCVVSICINFSFNSASRSIQSLLFFLNLSLVLRSLSQSFILTFHRSSSVCRLPVILWCHPGSGDVIARSSQPLFGLMMNMRSLDKAVELNYQWIAFDDVCYHVQVTVKNPNLLLLVVSLPNPPPEAMSFDGLPLGAIEAIKATYRTGFQEVEVLSHITWKPKWGMIFSDIKKKVSRNCEVSQRSTMAITISLSVERFVFSFITCT >scaffold_400930.1 pep chromosome:v.1.0:4:9668569:9668827:1 gene:scaffold_400930.1 transcript:scaffold_400930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESNRSIKVQKFVPFDLRFMIDCNIVGGNWIEVPCGKYKKMSKPLSYCQLEFDCLYPLYDTFIITPSSFCH >scaffold_400934.1 pep chromosome:v.1.0:4:9745075:9745355:1 gene:scaffold_400934.1 transcript:scaffold_400934.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE81] MWFFDGISSDFRKQTSSSESGQKIPKNLRPSEIRLEVFQFPRTFRGHYDRCFLRDFQGHSENIAVKTSLLMYPLEQPL >scaffold_400935.1 pep chromosome:v.1.0:4:9747887:9748115:-1 gene:scaffold_400935.1 transcript:scaffold_400935.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE82] MVKSTTRSTSSEWSTAPMVPSMFCYKGLCSLSSEIPTILPLGLIDVTVIQGSSTSVARGYLM >scaffold_400937.1 pep chromosome:v.1.0:4:9788163:9788417:1 gene:scaffold_400937.1 transcript:scaffold_400937.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE84] MARNLFVIESHPSITRRNHASVFCYNDAYSSTIALFQPFCSVLAFFHILFMPLMACIPVLVSFLFKLIKV >scaffold_400942.1 pep chromosome:v.1.0:4:9841536:9845607:1 gene:scaffold_400942.1 transcript:scaffold_400942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSKAPAKKQQIDFKKIKRKLGRKLPPPKNATNTEIKSKAIIIPEQSVAAEKTGFATSNKNLTLEELLVRSRHPNAKVRKNALLGIQDLLKSHPVELQSKKYTIIQCLKGRVNDDDKQVRKAFYELFETQIFRVCKQEDIKGLMVSPLMLSIFHAMANSLIDVRLDAFAFLHLVVDYYPPSFSMYPEEILENYKDIIIQSNHFYVQDKTNLKVALSGLSHCLSLLPYDEIHTKSQKKHFQQIETLLAYEQDAAKEGFAHVSVRLKEIVGALINCFQDFIQLLCAPRGLDPQSLIDCVHHILCSICCAIKFSHTQTHTATEEEEVTLMKLDHHISSMLSKKLLASFPLIPKIYLSKRNDESYFILNGVLTEIFLEVSEWSHLPCDLSYRFLEFIENTLLGKIIRSISPPIHEKALLLLVPFVPKLTLRVDRDWRDKLMQAFTITLSDCKPESPLQLACISIVRELIIPNGNILYPNDPTVDNYQLAWVNKLPSLLHQLGDKNPVSTQVVLQLLLDLGRVGFLKNTSPAFEEDVRNFFNPYQGEGDVPGGPFASLPREAQELALSFLYYFTIDHFSSPMLKAIVSCCLYEQLKPAVLYRIVEILHTAYRDGYIQITDHFSFFITLIARFKVVPPEMGIECDERRETTFKALTNLVCSCLSGMGDSSLVLQILEKAFVEQIGKYFILKPALDNGCGILRMICTLDSKPTRLSESSVTTLSEFLPGYLMDIVNCIPEDKEKSSYLYIQTCLYYLVPCFLLFDRSSKLTEEVLKRLRLMVSEYTKAMLESPVWESSRNLLNLIQCIVSVILLMHNDVRVRKIISSFKSEIDLILHSVVTLQSSSMTVEGKHMMKIAGERLRIASNSLVSCTTVTIE >scaffold_400943.1 pep chromosome:v.1.0:4:9847573:9847771:-1 gene:scaffold_400943.1 transcript:scaffold_400943.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LE89] MVKIKHDAIKASLSFGGVITTILEAVGVNLTDRVFTSEEHYMDLERLGNMKI >scaffold_400944.1 pep chromosome:v.1.0:4:9850006:9851576:1 gene:scaffold_400944.1 transcript:scaffold_400944.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7LE90] MDVEHKKPLIESSDRNLPDFKKSVKLKYVKLGYHYLITHGMYLFLSPLVLVIAAQISTFSISDIRNLWEHLQYNLISVIVCSMLLVFLMTIYFMTRPRPVYLVDFSCFKPDESRKCTKKIFMDRSKLTGSFTEENLEFQRKILQRSGLGESTYLPEAVLNVPPNPCMKEARKEAETVMFGAIDELLAKTNVNPKDIGILIVNCSLFNPTPSLSAMVVNHYKLRGNILSYNLGGMGCSAGLISIDLAKHLLHSIPNTYAMVISMENITLNWYFGNDRSKLVSNCLFRMGGAAILLSNKRWDRRRSKYELVDTVRTHKGADDKCFGCITQEEDSASKIGVTLSKELMAVAGDALKTNITTLGPLVLPTSEQLLFFATLVGRKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLKLTDWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIKKGDRIWQIAFGSGFKCNSSVWRALRSVNPQKEKNPWMDEIHEFPVDVPKVSTI >scaffold_400946.1 pep chromosome:v.1.0:4:9863102:9864862:1 gene:scaffold_400946.1 transcript:scaffold_400946.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LE91] MKFGKSLSNQIEETLPEWRDKFLSYKELKKKLKLMEPRTVENRPTKRSRSDSNSVDADPTARMTKEELDFISLLEDELEKFNSFFVEQEEEYIIRLKELKDQVAKATNSNEEMINIKKEIVDFHGEMVLLMNYSALNYTGLAKILKKYDKRTGALIRLPFIQKVLQEPFFTTDLLNTFVKECEAMLDHLFPSNKSRNLDEEEGEPTTSGTVKTATDDSDLLRVPKELSEIEYMESLYMKSTVSALKVLKEIRSGSSTVSVFSLPPLPASGLEDDSWKKKVGVLEQVAK >scaffold_400948.1 pep chromosome:v.1.0:4:9887704:9890604:-1 gene:scaffold_400948.1 transcript:scaffold_400948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQHFFKPLLPGFHSHLTIPVAFFFKNIEGRNEQKTAELRSDASNKTWKVKIDGQRLTNGWRDFALAHDLRIGDIIVFRQERDMTFHVTMLGPSCCEIQYVSCLDNQNNRGTIQRKKKKAESSLDLSCFVANVTPSNLRYDSLNLPMCFVRANGLDNRCGEMILINEKGRSWTVALKRKKSCATTYIRRGWRNFCNANGLRAGSFFTFKLIERGGTLGLRLAHRELEEEGNAIDNNQDKRSSRECTKKKILIWKASSLPSQNRFVTVTLTPYNVGQSKLWSTNLWFEEKCKRMRLSGGWKEFCYANGVKIGESIILEFLWEADRSSVLKFCSKDPRVFNTDEMRWMLLEKPSYASCSDLITFRGRFYAAFFNHGIFAIDPYSLEVTPLIPSELLNSGSVNDLVPSGNDALFLVEKIIPRTGVLYFSRLTLRVSMLDEEAGKWVEVSEIGDRLLVIGDLGNVCCSAKELPDGCGLSGNSIVFTHGPGNVTYSYKYGVHTGREEDDLNCWRYSREKPVTILSTSPVVALRVESAKL >scaffold_400950.1 pep chromosome:v.1.0:4:9897531:9897759:1 gene:scaffold_400950.1 transcript:scaffold_400950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKVWDLLCDGAAVYVAGLSTKLPSDVMSALEDIVSETEGSASRLLKALEKAGRYNVEAWS >scaffold_400951.1 pep chromosome:v.1.0:4:9913647:9918314:-1 gene:scaffold_400951.1 transcript:scaffold_400951.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter [Source:UniProtKB/TrEMBL;Acc:D7LE97] MESKGSWTVADAVDYKGRPADKSKTGGWITAALILGIEVVERLSTMGIAVNLVTYLMETMHLPSSTSANIVTDFMGTSFLLCLLGGFLADSFLGRFKTIAIFSTIQALGTGALAVATKLPELRPPTCHHGEACIPATSFQMTILYISLYLIALGTGGLKSSISGFGSDQFDDKDPKEKAHMAFFFNRFFFFISMGTLLAVTVLVYMQDEVGRSWAYGICTVSMAIAIVIFLCGTKRYRYKKSQGSPVVQIFQVIAAAFRKRKMELPQSIVYLYEDNPEAIRIEHTDQFHLLDKAAIVAEGDFEQTLDGVAIPNPWKLSSVTKVEEVKMMVRLLPIWATTIIFWTTYAQMITFSVEQASTMRRNIGNFKIPAGSLTVFFVAAILITLAVYDRAIMPFWKKWKGKPGFSSLQRIAIGLVLSTAGMAAAAIAEQKRLSVAKSSSQKTLPISVFLLVPQFFLVGAGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFVSSFLVSIVKRVTSTSTDGGWLADNINHGRLDYFYWLLVILSGINFVVYIICALWFKPTKGKDSAEKENGKGFSVEDC >scaffold_400954.1 pep chromosome:v.1.0:4:10008905:10009395:-1 gene:scaffold_400954.1 transcript:scaffold_400954.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LER7] MAPKGKASRGRGGGRSGVRVFNGRNPKVAVGTSLNASNPLSSTATVPSQTVSMSQTSRPTVPSQYPASSQPPPPARLPSPQVSQQQPPPHQQPPPHQQPPPNYLQQPPLYQPPPPNYQQPSLYQQPGPYHQPPPYQVSKNLSFVLVSVV >scaffold_400957.1 pep chromosome:v.1.0:4:10059429:10060344:-1 gene:scaffold_400957.1 transcript:scaffold_400957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMLLLCEGVTYNVTGFEVGRCTKLYKITEHPFLLRFLHALTIVEGLDVGPIEREAFMLCNFDHLQALPNTNIELPMRCTKLYKITELPFIIYFLPDTTIVEITNVGPTIERLKFMLRNFDHQIALANTNIELPGNILP >scaffold_400958.1 pep chromosome:v.1.0:4:10074559:10077312:1 gene:scaffold_400958.1 transcript:scaffold_400958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESSSWFIPKVLVLSVILSLVIVKGMSLLWWRPRKIEEHFSKQGIRGPPYHFFIGNVKELVGMMLKASSHPMPFSHNILPRVLSFYHHWRKIYGATFLVWFGPTFRLTVADPDLIREIFSKSEFYEKNEAHPLVKQLEGDGLLSLKGEKWAHHRKIISPTFHMENLKLLVPVVLKSVTDMVDKWSEKLSENGEVEVDVYEWFQILTEDVISRTAFGSSYEDGRAIFRLQAQQMLLCAEAFQKVFIPGYRFFPTRGNLKSWKLDKEIRKSLLKLIERRRQNAIEGDGEECKEPAAKDLLGLMIQAKNVTVQDIVEECKSFFFAGKQTTSNLLTWTTILLSMHPEWQAKARDEVLRVCGSRDVPTKDHVVKLKTLSMILNESLRLYPPIVATIRRAKSDVKLGGYKIPCGTELLIPIIAVHHDQAIWGNDVNEFNPARFADGVPRAAKHPVGFIPFGLGVRTCIGQNLAILQAKLTLAVMIQRFTFHLAPTYQHAPTVLMLLYPQHGAPITFRRLTNHEDR >scaffold_400959.1 pep chromosome:v.1.0:4:10079946:10091352:1 gene:scaffold_400959.1 transcript:scaffold_400959.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LES4] MSLSQVYLECNSCLCCLAKTVAVAPFLLNYNGACFRQYPDLTQMEFTNTLTQLIGSWEWLSKHYKISQGSRSSGWSLQRRRDEEAKGPLEAYTTRICLPNLCKQEEHFGGCKDEQRRVEELACGRKAPLEKAEGRRSHEPEEEDKEALQVLKKENIVACSADNSQEIYYDQREDGDKNIVTCSTVFPCVDNMVGFVLLPIQPEEHFGGCEDERRRVEELVCGTKGSLRKGGFMEGYRRLKVEEAMREEKRIKKLSKFSKKKKTNNVTKRNSCSCCLDKTVAMAPFVLKYNQARFPKYPGRGHQGDSRIFVNNRGHDRVKSITTFGKDIGSRNNLLSTTNHLAKAINELDLANCAQCSPSYQLLPDDDHGNGFQSIIKSLKEAEALVGVYRDVETRKLKDRLKPTRLVSAYLIFANKRSILEAEGRRSHEPEEEDKEALQVLKKENIVACSADNSQEIYYDQREDGDKNIVTCSTVFPCVDNMVGFVLLPIQPEEHFGGCEDERRRVEELVCGTKGSLRKGGYMEGYRRLKVEEAMREEKRIKKLSEFSKKRKPTMSLRLKVEEAMSEKKRIKKLSKFSKRENRECH >scaffold_400960.1 pep chromosome:v.1.0:4:10092217:10095799:1 gene:scaffold_400960.1 transcript:scaffold_400960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LES5] MKGCCREKDANNLVQMADATLEKCRASISQNRTEKTAFQHPIQDYLDTPLNWPVEFMRLEVHRIFCQKLQQWTQFGLNLQDWPDSIDGNGFQIMVKSFRHSFYNNAGALVGVYRDEEAKGPLEAYIIHICLPNLCKQEEHFGAEGRRSHEREEDDKEALQALMEEKSTMSLRYFAGNFTSLIWILSISLAAVNPGDVSMYTANQFTGSIPESIGIFSFLNDIDISSNNLSGTFAPSMENLSTLTTLRVQNNQLSRNLDVLKGLM >scaffold_400961.1 pep chromosome:v.1.0:4:10096126:10096501:1 gene:scaffold_400961.1 transcript:scaffold_400961.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LES6] MHNRSAVSDGPSGTIYVRPADVCSSYTDVCLDREDVCMDCEDVCSDCADVCSAPCPFKATTRLLPACLLHQKLLGVATGSGDSATHVASGGMTSGEVVAQQLRNRLSNPI >scaffold_400962.1 pep chromosome:v.1.0:4:10098333:10098625:-1 gene:scaffold_400962.1 transcript:scaffold_400962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYPRWCHFYRPYGDPVIRCSLCNKIWHYANSCPIVMVGPSEAASQQFAPATTHASTSTVVETTGLGQDVRVGSVGIDMIDL >scaffold_400965.1 pep chromosome:v.1.0:4:10153444:10153680:1 gene:scaffold_400965.1 transcript:scaffold_400965.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LET0] MRKSKVPKSNSTNLEQAYRSLISASRGLSRTRSPSLPESQPPPPQLESQSPSTVVSSFPAPVTP >scaffold_400966.1 pep chromosome:v.1.0:4:10153687:10155158:1 gene:scaffold_400966.1 transcript:scaffold_400966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVHDCYKRLKSSIGQRDGGGCSANLEQAYRSLISASRVSCLYLQAVLALSGLVADLILRYALYCPTAVGDALQAVIDMHNFSVEALKRGQDTDGVAFQTAKACIFGLVDLCSAAYSKTTSSPGGRDIRSAVFKLVSECIWSSLRGPEDNVADYYQQQVEMLEGFTEMEELAERGFVPGMLS >scaffold_400969.1 pep chromosome:v.1.0:4:10172403:10172785:-1 gene:scaffold_400969.1 transcript:scaffold_400969.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LET2] MLFRSIPPFISALSWTICLTSATDVPNDAVASLTALYVESTSSALCIEGVPSARLMIGAAVAVFFSSLGSVSAPQPNVTNKFRGLVAALSFKNLCKPLSLFMETGGVFTRSKH >scaffold_400970.1 pep chromosome:v.1.0:4:10175984:10176638:-1 gene:scaffold_400970.1 transcript:scaffold_400970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHIPQDLLVNIISHVGKYGFRYLGTLIASTKETKNEVFSPLVLQSVDLSEFKTESSMVQYESGIETISHIWNLVPRWEDAVVVADMVMEQIVRMGPVGAGIYFNSFHYPVDDIPHCTYIHCAADNVCEDCFGLWYSLIIRPIC >scaffold_400975.1 pep chromosome:v.1.0:4:10194857:10195286:-1 gene:scaffold_400975.1 transcript:scaffold_400975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKFHFDIEAYKRQSQIEEKYIVNRFRKRRDNIEENYAPHSKKKYFKRDHVALEVVNKEWNEYKQFKEQELERLDKITMTQEETNLLMKERTQAKKMKMFMKLSGEEHFDDQSKELLEKLNEDIFKN >scaffold_400976.1 pep chromosome:v.1.0:4:10197027:10198871:1 gene:scaffold_400976.1 transcript:scaffold_400976.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCB1_4 [Source:UniProtKB/TrEMBL;Acc:D7LET8] MASSRFSDLPHQRGIAGEIVPTNVAGHGRQNRKVLGDIGNLVTGRDVVTRKDIAKKVKPQTKAEVIVISPDENEKSCKPHFSRRTHIRGTKTFTATLRARSKAANGMKDAVIDIDAADANNELAAVEYVDDIFKFYRTVEEEGGIKDYIGSQPEINEKMRSILIDWLVDVHRKFELMPETLYLTINLVDRFLSLTMVPRRELQLLGLGAMLIACKYEDIWAPEVNDFVCISDNAYSRKQVLAMEKSILGQVEWYITVPTPYVFIVRYVKASVPCDIEMEKLVFYLAELGLMQYPIVVLNRPSMLAASSVYAARQILKKTPFWTETLKHHTGYLEDEIMEHAKMLMKLRDSASESTLSAVFKKYSVSENAEVALLPSLDDLSVSCA >scaffold_400977.1 pep chromosome:v.1.0:4:10213938:10214842:1 gene:scaffold_400977.1 transcript:scaffold_400977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRNRSQNPRLLPLALTKMRKAANHAHFSRRTRGTKTFTTTLIVGSKYYRTVEEEGGIKDYIGSQPEINEKMRSILIDLLVDVHRKFELMPETLYVTINLVDRFLSLTMVHRRYRKQVLAMEKSILGQVEWYITVPTPYVCLARHVKASVPCDIEMEKLVFYLAELGLMQYPIVVLNRPSNLATSAVYVARQILKKTPFWTETLKHHIGYLQTKIR >scaffold_400980.1 pep chromosome:v.1.0:4:10265405:10267842:-1 gene:scaffold_400980.1 transcript:scaffold_400980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LEU3] MRFSPTFFLLSQLRLTRRAATSSRFFAVSALNNPNNLSDSEQQVNHLNLSKLTQYGLQRLLNSTRDDPNQALSFLRQLKEHDVSPNVNAYATLVRILTSWGLDRKLDSVLVELIKNEERGFSVMDLIEVIGEEEAEEDQRSLVLIRVSGALVKAYVGLGMFDEAIDVLFQSKRLDCVPDIKACNFLMNRLIEFGKIGMVVALFKQLKQLGLCANEYTYAIVVKALCRKGDLEGAAMLLLESPSVFSYKTFIDGLCVNGETEKAVVLIEEMIDTNVLVGDDLRTVFCMVVRGFCNEMKMEAAESVILEMEKIGFGPDVSACSAIIDRYCKNMNLPEALGFLDKMLGKGLKINCVIVSSILQCYCKMDMCLEALEKFKEFRDMNIFLDRVCYNVAFDALSKLGRVEEAIELLQEMKDKGIVPDVINYTTLIDGYCLKGKVVDALDLIDEMIGNGTSPDLITYNVLVSGLARNGHEEAVLEIYERMKAEGLKPNAVTDNVIIEGLCFARKVKEAEDFFMSLEQKCPENKASLVKGYCESGLSKKAFKLFVTLEYPLRKSVYIKLFFSLCIEGCLDKAHTVLKRMWAYRVEPGRSMCGKMIGALCRLNNAIDAQQLFDTMVERGLIPDLFTYTIMIHTYCRLNELQKAESLFEDMKQRGIKPDVVTYTVLLDRYLKLDPEHHETGSVQGEVGKRNSELLREFSASGIGLDVVSYTVLIDRQCKMDKLEQAAELFDRMIDSGLEPDIVAYTALISSYFRKGYIDKAVTLVTELSKKYNIPTEHFEAAVKRAALKAKRFQNGE >scaffold_400982.1 pep chromosome:v.1.0:4:10283190:10283435:-1 gene:scaffold_400982.1 transcript:scaffold_400982.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEU4] MKLFWIRLVDIHEMNRNSEQYVLVVDGGGKLSAVKEEEEGFPDDCCVCGSGEHLSLCLFLTCIDIE >scaffold_400986.1 pep chromosome:v.1.0:4:10308688:10308879:1 gene:scaffold_400986.1 transcript:scaffold_400986.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KGU7] MKRRKKKHLSGNSSVFSQSSPPAIPLSSLNRRRRRFLCRLCVWRRLASS >scaffold_400987.1 pep chromosome:v.1.0:4:10310189:10310450:-1 gene:scaffold_400987.1 transcript:scaffold_400987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCANRGSDFTFSYSIGKASLIFLSIDNLQLLLIFSTSGSLPCPKRSLGNSLVVAAHKKQTYVNKTIHSDD >scaffold_400988.1 pep chromosome:v.1.0:4:10311324:10313154:1 gene:scaffold_400988.1 transcript:scaffold_400988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKKRRSKDGDHGAQTRKMKLLCQRAPAAPHGFDEEMKTFIREMFETSFKHFGQEVSNKLGKIELDVATLKKAVITMDENSKKDKAPPFGNGQPVDDNCLFHELFTRPGEIDLNMDSQDPDFLQKEMGHLSQKSQAPGFDPSQGIFSQNLEKQQRKDDTAEFMDWNDKGDSGKYVADAVLVYLPEARWTAFEAWFRNVNRDVPKLGPSHLTDALFKRLIDQKEWLGNDEIDAVLYLHRESTSLRRLNSARVGFMSCEFSARIKNEYPKFMKNKKTHKWDSRLIDFVTGESPSHGKTGKSWALDFDRIYAPVNVNNSHWISICVNFVLRTVEVFDCFGNNNRRNVEMFAYIIPRIVKDVHGKVYGKVPPLTQYEIINVKVPKNLNTTMCDCGVYALKHIECHMLNLSMDLINDGNIKEARMKIAVDLWEAAHDPILIERMKNYKPPHQSSDILEID >scaffold_400989.1 pep chromosome:v.1.0:4:10316955:10320391:1 gene:scaffold_400989.1 transcript:scaffold_400989.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1187 [Source:UniProtKB/TrEMBL;Acc:D7LEV0] MGAAKNIWALANAEDEANVAEQIPYSSFVVDTSLPLPLMIPRIIELCKDLFKNWRDLDDSLFSVERVSGGITNLLLKVSVKEDTDKQVSVTVRLYGPNTEYVINREREILAIKYLSAAGFGAKLLGGFGNGMVQSFIYARTLEPSDMREPKIAAEIAKELGKFHKVDIPGSKEPQLWVDIFKFYEKASTLRFEEPDKQKLFETISFEELHKEIIELREFTGLLNAPVVFAHNDLLSGNLMLNDEEEKLYLIDFEYGSYNYRGFDIGNHFNEYAGYDCDYSMYPTKEEQYHFIKHYLQPDKPDEVSIAEVESVFVETDAYKLASHLYWAIWAIIQARMSPIEFEYLGYFFLRYNEYKKQKPLTFSLVTSHLSASV >scaffold_400990.1 pep chromosome:v.1.0:4:10324068:10324298:-1 gene:scaffold_400990.1 transcript:scaffold_400990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEV1] MLHEMSFRQASSVVSWRRIWWWLEGEGSSGIRSRSSHCCPLKTLQQSEFGSDSMADVTASLR >scaffold_400992.1 pep chromosome:v.1.0:4:10339062:10340835:-1 gene:scaffold_400992.1 transcript:scaffold_400992.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LEV3] MLLYLLITCLSFFFFAKSLPLPPWVSKTKTLHSFYFSKNFFTNSLHPTTPDLASPVLGQMSILDLPDLPLDCILDNLSPSGLCSMARVCSSLRERCVSDHLWEKHLKTKWGKILGPSAHKEWQCYLSSPYHLDSPHHQTSHHGLTKIISLIRSLSSILGDDNHRRRYPSSIPLDSTMSFYLSLETGRFWFPAQVYNRENGHVGFMLSCYDAELSYDTHTNTFQARYPPHGRRAIAIEKDVTWERIRAAPIDASPHHLYVSDSLNELKPGDHIEIQWRRNKEFPYGWWYSVVGHLESCDGNLNHCQCHISEMMVLEFNQYTVGSRWRKTMINRRDHREKGNEEDGFYGGIRKLKCKEDIEMWKRLWPSSILE >scaffold_400997.1 pep chromosome:v.1.0:4:10381201:10381483:1 gene:scaffold_400997.1 transcript:scaffold_400997.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFD7] MKVTIELISDLVRVLLGGKDDDRVWRFTAAKDRVGKCIRFREGDGVEATKTKVIGELNIDRTSEKVELTYEMPEWMDID >scaffold_400998.1 pep chromosome:v.1.0:4:10384342:10387133:-1 gene:scaffold_400998.1 transcript:scaffold_400998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISRILPTDGRLSQCRINTSWVPATTRPQTHLDYPKLVSVSKSGISLRIQNSKPISPVFALEATTSRRVACKAATGVSGDVPESTPKELSQYEKIIELLTTLFPLWVILGTLVGIFKPSLVTWLETDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYMIKPILGFLIAMTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIIMTPLLTKLLAGQLVPVDAAGLAVSTFQVVLVPTIVGVLANEFFPKFTSKIITVTPLIGVILTTLLCASPIGQVSEVLKTQGAQLILPVALLHAAAFAIGYWISKFSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSGLAVFWRNLPIPADDKDDFKE >scaffold_401001.1 pep chromosome:v.1.0:4:10412074:10414040:1 gene:scaffold_401001.1 transcript:scaffold_401001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated /TS-N domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LFE2] MFFAEMSPATKSKSKDKKTGKEAQKASPKPTLSVNGNSSLAASAYNPLLGTFQALDSVSIASVSPLQYGRFRSIDDADVNGIESDSVSNNGSCSGESEDHKEKTTSLPLKQEVIPGADNDKREKVRQKNERKHQRQKERRAQELYEKCSTYLISRKLEARIQQLVAMGISQEHATTALMMNDGKVEQSVHWLFDRGEEEIEKQSVQSPGNLKIDITEELARITQMELQLKCTRQEIERAVVQAEGDLDRAEEVLKGTKYEEFSVPVKLEESGDSLTPSNGKLIVGIGYQNSDAERLEIPSPGLHPTRDDKNFNYTKSPSTAESVNKMMAQPMKRSELKLDWPKPQQSAALADKKWPSTGQVPSASYSLPSSPSPSPQPAARVEARYLASGNEFKNPQQQQQPANRESVMVMRQRPQVVSSNPVPTSSMSAPPTSWHPTASIEVMKSNGFMQTHNIPSARSPSPNHLNPNQIYQQLQYQNQKRFTNNNQVDPHGSMARGNGGSWTRNTASSPPISAASSLGLFSAVGSAGTSGASSPVDWISGGSVDYTSIDWSLDQGLSQNSRNWSGSKSSSHIYDANMSRYSPNGSMGGRVNNSNSVSMENAGLSVVVETQQAATSQDWTSPFEGKDIFSLSRQYVSPSL >scaffold_401005.1 pep chromosome:v.1.0:4:10430831:10431935:-1 gene:scaffold_401005.1 transcript:scaffold_401005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHHYLSDLNVHSTRWSVHVKILSMWKEPLVSGRVETRMILADEKANRIDATIPNRYYNWNFQGFLKPGLWFRLSDFEVLRPQEKKTRYCCFPVVIKCIADTTMWPISVVCPYILANILSRILANILSRIGEQGFRLLGPFIAAGPTMKEAVYSREGLQKADLSEFIYNGELAAETSMFRPFLLKCYEKGNITALFVESLRRLTQDGPSQDALDMLAESSTLNLNALFAFGMMLLCCGAVEDGNYVVDAFLDKVTDLTEGFLIADQVELQIKSMGASGACVFYRYFNLDQLGPICKLVHPPSFDICEHCFAFNYAVRFLNMC >scaffold_401006.1 pep chromosome:v.1.0:4:10435537:10435947:1 gene:scaffold_401006.1 transcript:scaffold_401006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIPNPLITDIIRRIGSQGFRYLGPFIAAGPWFKEIVYSREVLLDVDLDEFMFNTRLGREESIYRPFLLRCAAEGHKTARYIESLRRLTQVGPSVEALEMLGEVAYSDLYTLFAFAVILL >scaffold_401009.1 pep chromosome:v.1.0:4:10460107:10460341:1 gene:scaffold_401009.1 transcript:scaffold_401009.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFF0] MGLYRLVIRYGSFGSVALASLDRSLEGPATRFGGKSDSTALMLCSGLFEFPGRVPGGALVHEAT >scaffold_401012.1 pep chromosome:v.1.0:4:10492688:10493184:1 gene:scaffold_401012.1 transcript:scaffold_401012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGNMHNMPPPPSPSSSMANQTNSDMIVMHMSFFWGKNTEILFSGWPGTSSRMYALCLIIVFLFAVIVEWLAHSSILRGRGSTSRAAGLAQTAVYTLKTGLAYLVMLAVMSFNGGVFIVAIAGFAVGFMLFGSTAFKNPSDDEKPFEVL >scaffold_401018.1 pep chromosome:v.1.0:4:10536422:10536621:-1 gene:scaffold_401018.1 transcript:scaffold_401018.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFG1] MPTPASRGSRFLLSHASSTLIFLSRHHRSSALSLFLSPPPNSLFLSITDLTW >scaffold_401020.1 pep chromosome:v.1.0:4:10570013:10570659:1 gene:scaffold_401020.1 transcript:scaffold_401020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMCDPYTPAGVPIPTNKRHNAAKIFRHQSLPPRSLDSYKLDYCYKKNRITGLILSKEIKPLISTVFEGKDANVIAHGARNCGKTYLIHVLKAIPLRY >scaffold_401022.1 pep chromosome:v.1.0:4:10577310:10578843:1 gene:scaffold_401022.1 transcript:scaffold_401022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAEKLPSYLVGEIIYRLPTKSLARFRAVSKTCNICSAGINDDDDDPRLERLVMVENHDFRFCGIGYDNSRPEMGYKVFGYDFYFDTSGQLYQNVAIYNCKSDACKFISNAPCFGAKHKIDGNHEAVAWMWFMTVSIPNLPRFQHKCLDSQPSYFIDNRKRLFVSTCDETGHACIYVVKGDLFKKIPIDSIVGLLELLGSRAPSIHLTDQFPSLFGRHHQSRQRHMIIEVRDITFDMPYPILTSLVHCDGLLLSSIWKKGFVVWNPCLRQKRLIENHEFRFCGLGYDNSRPRMGY >scaffold_401023.1 pep chromosome:v.1.0:4:10584565:10585498:1 gene:scaffold_401023.1 transcript:scaffold_401023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQIYLSNLARGRTIKYVRVKILSLWRVRSHKFRCKTEMLLVDEQEKKKKSIENLKVIVEISCKTSPNHLIVVRKAYCSLFHSSLEEHIASSLPFEVAMLRED >scaffold_401027.1 pep chromosome:v.1.0:4:10614935:10615694:-1 gene:scaffold_401027.1 transcript:scaffold_401027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKEACAICWEEFSAPEMFEVAGCLHSFCLDCMRNSIAVSLGQWGTAVKCPGFGCNSVLLAKDCHNIANHDQIALMIQREEEDKIHVLDRVYCPNPTCSFLMSKGSLGASQTQTGASKCLKCSFTFCIKCNTKWHAKMTCVEFLKSKDYKKSQDALFEAASRTLGWKKCQNCQSTVERIEGCNHITCSRCKHEFCYTCGAPCIKKKATCKCNI >scaffold_401032.1 pep chromosome:v.1.0:4:10628545:10628987:1 gene:scaffold_401032.1 transcript:scaffold_401032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRNLISNLPNEILTKILSSLATKVVASTQILSKRWKNLLGLVDTLWFYDSMVVYPNIKNKKGGLDRLCDFVEKTFALSSNSPIKKLSLPHRPRPNRPLHSWIWTAMERGLLELHLHAGQDDNDDAYFSV >scaffold_401036.1 pep chromosome:v.1.0:4:10661661:10662553:-1 gene:scaffold_401036.1 transcript:scaffold_401036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVYAKEPWLLDHPNNSFFKEDEWYYFSTRTQISEKKIGRGKYPKRKITGDNNDGIDRGNWRINGKENIIDEDTGAIIGIKKNLTYKGTKTNKKQKRGDGASAPGSESGWIMDEFVIVLPKPDEDKFQELVLCKIHKKKKSKKDKKDHQHEASSSSEQQPIKKRKSKKSKKEHESVLAASSEPQQPLLCGGNESEIPKIASSPCSTAETERNEEQSGEGNMVHQTDVLATEKNAMEMTREEQGDWTADMYDIFVKGLEACDMMINNADQPPISIPPVEYPWI >scaffold_401037.1 pep chromosome:v.1.0:4:10666941:10667576:-1 gene:scaffold_401037.1 transcript:scaffold_401037.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFH7] MGFRKSTALDWPLFTPVGDFHSRQLPWRFLLVFVLLNFIGNNFDLLWTKMVSYGIVGDRLLGLMEFLITNISVGLSTAMGYAAMDVASLLMHESQQVSLFRQRSTCPCDDYMVHSRCFSGYARRFRFGDNNCRQ >scaffold_401038.1 pep chromosome:v.1.0:4:10667899:10668271:-1 gene:scaffold_401038.1 transcript:scaffold_401038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPNNWEDPLEFKPERFLDSSRSSQKDAIKEEVLRYIAFGSGRRGCPGANLAYVSVETAIGVMVQCFDWKIKGDKINMNEAAGKITITMANPLTCSLVPRTQISLSSK >scaffold_401039.1 pep chromosome:v.1.0:4:10674997:10676009:-1 gene:scaffold_401039.1 transcript:scaffold_401039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEYCKEDEGIQFDPTYQEVIEEYLKRKLRGEDCGDFILMKDVYAKEPWLLDHPNNSFFKEDEWYYFSTRTQISEKKIGRGKYPKRKITGDNNDGIDRGNWRINGKENIIDEDTGAIIGIKKNLTYKGTKTNKKQKRGDGASAPGSESGWIMDEFVIVLPKPDEDKFQELVLCKIHKKKKSKKDKKDHQHEASSSSEQQPIKKRKSKKSKKEHESVLAASSEPQQPLLCGGNESEIPKIASSPCSTAETERNEEQSGEGNMVHQTDVLATEKNAMEMTREEQGDWTADMYDIFVKGLEACDMMINNADQPPISIPPVEYPWI >scaffold_401041.1 pep chromosome:v.1.0:4:10712385:10712592:1 gene:scaffold_401041.1 transcript:scaffold_401041.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LFI1] MFKSFPAISGFEELFCERRNSEVPRTLFSPSQLDHLAFFLLQFDSAIEKPSKRIH >scaffold_401049.1 pep chromosome:v.1.0:4:10793873:10794293:1 gene:scaffold_401049.1 transcript:scaffold_401049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETTEFRSPRDSDGHGTHTASISAGHYVFLASTLGYARGVAAGMAPKARLAAYKVCWNSGCYDSDILAAFDTTVADGVDVISLSVGGVVVPYYLDAIAIGAFGAIDRGIFVSASAGNGGPACLRW >scaffold_401050.1 pep chromosome:v.1.0:4:10795696:10795966:1 gene:scaffold_401050.1 transcript:scaffold_401050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQHALVSGTSAVRLSLSSSVSPPSSSPPPSRVSLQFQLEKKSCCRRMICRSMVQDAVQVIPSVYARENRASLC >scaffold_401052.1 pep chromosome:v.1.0:4:10801248:10802378:1 gene:scaffold_401052.1 transcript:scaffold_401052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSLSRNALCSKCNDMGTWDDYMAYRRRMDQNLLGSSSQQMSDSPTDAGEPSRVPETPTNGQSSTDPADLLTLDQLLRSAGRASLKKLDPRRMNGDGW >scaffold_401055.1 pep chromosome:v.1.0:4:10836835:10840171:1 gene:scaffold_401055.1 transcript:scaffold_401055.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7LFJ5] MQIICSMIFLLVMMMISVSGFSDFEALLELKKGFQSDPFGKVLASWDAKALSTDRCPLNWYGVTCSSGGVTSIELNGLGLLGNFSFPVIVGLRMLQNLSISNNQFAGTLSNIGSFKSLKYLDVSGNLFRGSLPSGIENLRNLEFVNLSGNNNLGGVVPAGFGSLQKLQYLDLQGNSFSGEVMSLFSQLISVEYVDISRNNFSGSLDLGLAKSSFVSSIRYLNVSGNSLVGELFAHDGIPFFDSLEVLDASSNRLSGSVPVFSFVVSLKILRLQDNQLSASLPPGLLQESSTILTELDLSLNQLEGPVGSITSSTLEKLNLSSNRLSGSLPLKVGHCAIIDLSNNKISGDLSRIQNWGDSIEIIRLSSNSLTGTLPGQTSQFLRLTSLEVANNSLEGVLPFILGTYPELKGIDLSHNQLNGVLPSNLFISAKLTDLNLSNNNFSGSLPLQDASTAGNLSLTNIGLSHNSLGGVLSEELTRFHNLISLDLSYNNFEGNIPDGLPDSLKVFTVSANNLSGNVPENLRRFPDSAFHPGNALLNVPVSPETPVDKTDMTLRKHRYHMKSSVKAALIIGLVVGAALLALVCAMFHFMSRKQHDEEKSDVTGEKSIVQKTEPSSSNVIAAKNSVQENESSSSTTSTPSIKAKLPVSSSRFSQYSDSENSSPFLKEPTEELHSDSTRKDETLSSQVPSSTPSLPKIQNSPDNPRSRQTSMRLDGNLYIFDSSLKLTAEELSRAPAEAIGRSCHGTLYRAVLNSDSVLAVKWLREGTAKGKKEFAREIKKIGNINHPNLVSLQAYYWGPKEHEKLIISRYMDAPCLAFYLQEAGQINLPPLLLENRLKITLDIASCLSYLHNGEAIPHGNLKSTNVLLKPPELTAHLTDYSLHRLITPEATSEQVLNAAALGYCPPEFASSSKPYPSLKSDVYAFGVILLELLTGKVSGDIVCSDPGVVELTEWVLLLVGQNRATECFDPSIIGSQGSRNASGVLTDVLQVALSCISPAPERPDMKLVSQELSRIVLKRTN >scaffold_401057.1 pep chromosome:v.1.0:4:10854833:10869247:1 gene:scaffold_401057.1 transcript:scaffold_401057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVSAADELETKLGFGLFSQGETRLGWLLTFASSSWEDADTGKTFSCVDLFFVTQDGSSFKTKYKFRPYLYAATKDNMELEVEAYLRRRYQTQVADIQIVHKEDLDLKNHLSGLQKKYLKVSFDTVQQLVEVKRDLLHIVERNLAKFDAFEAYESILAGKREQRPQDCLDSVVDLREYDVPYHVRFAIDNDVRSGQWYNVSISSTDVILEKRTDLLQRAEVRVCAFDIETVKLPLKFPDAEYDQIMMISYMVDGQGFLITNRECVGKDIEDLEYTPKPEFEGYFKVTNVNNEVELLRKWFSHMQELKPGIYVTYNGDFFDWPFIERRASHHGIKMNEELGFRCDHNQGECRAKFVCHLDCFSWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAMEKPQTMASYSVSDAVATYYLYMTYVHPFVFSLATIIPMVPDEVLRKGSGTLCEMLLMVEAYKANVVCPNKNQADPEKFYQGKLLESETYIGGHVECLQSGVFRSDIPTSFKLDASAYQQLIDNLGRDLEYAITVEGKMKMDSISNYDEVEDVIREKLEKLRDDPIREEGPLIYHLDVAAMYPNIILTNRLQPPSIVTDEVCTACDFNGPEKTCLRKLEWVWRGVTFKGNKSEYYHLKKQIESESVDAGANMQSSKPFLDLPKVEQQSKLKERLKKYCQKAYSRVLDKPFTEVREAGICMRENPFYVDTVRSFRDRRYEYKTLNKVWKGKLSEAKASGNSIKIQEAHDMVVVYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLIERIGKPLELDTDGIWCALPGSFPENFTFKTIDMKKFTISYPCVILNVDVAKNNSNDQYQTLVDPVRKTYNSHSECSIEFEVDGPYKAMIIPASKEEGILIKKRYAVFNHDGTIAELKGFEMKRRGELKLIKVFQAELFDKFLHGSTLEECYSAVAAVADRWLDLLEGQGKYIADSELLDYISESSTMSKSLADYGQQKSCAVTTAKRLADFLGDTMVKDKGLRCQYIVAREPEGTPVSERAVPVAIFKTDDPEKKFYLQKWCKISSYTGIRSIIDWRYYKQRLHSAIQKVITIPAAMQKVANPVLRVRHPNWLEKKVSDKFRQGKIVDMFSSANKDGVLDKNHSTTQDNVLADIEDFCKENRPSVKGPKPVAHSYEVDRNHSEGKQQESWDPEFHDISFQNVDKNVDYQGWLELEKRKWKMTLKNKKKRRFDDLKPCNQIDAHKINKKVCKGRAGVGSYFRRPEEALTSSYLQIIQLVQSPQSGQFFAWVVVEGLMLKIPLTIPRVFYINSKASIAGNFTGKCINKILPHGKPCYNLMEVNIQEDQFKKESKKLAALLADPEIEGIYETKMPLEFSAICQIGCVCKIEDTAKHRNTQDGWKLGELHRITTTECRYLENSIPLVCLYHSTSTGRAIYVLYCHALKRMSVVVVNPYGDKELLSSALERQFRDSCQELSLEPFSWDGILFQVEYVDHPEAAKKNLQKALCEYREENCGPTVAVIECPDFNTMKEGVKALDDFPCVRIPFNDDDNSYQPVSWQRPAAKIAVFRCASAIQWLDRRIAQSRYAHVPLGNFGRDWLTFTVDIFLSRALRDEQQVLWVSDNGVPDLGDINNEETFLADETSLLFPGAYRKVSVELKVHRLAVNALLKSDLVSEMEGGSFLGFEQGVNSRGSSLNDNGSFDENNGCAQAFRVLKQLIKRLLHDACKSGNIYADSILQHLSWWLRSPSSKLHDPALHLMLHKVMQKVFALLLTDLRRLGAIIIYADFSKVIIDTGKFDLSAAKTYCESLLTVMGSRDIFKWILLEPVHYWHSLLFMDQHNYAGIRATEDEISGDEVTIEPKWSVARHLPEYIQKDFIIIVATFIFGPWKFALEKKWGSAESLEADMVEYLKEQIGTRFISMIVEKIGNIRSHMMDINVSDASWASGQAPKGDYTFEFIQIITAVLALDQNVQQDVLVMRKSLLKYIKVKECAAEAGFIDPGPSFILPNVACSNCGAYRDLDFCRDPALLTEKEWSCADPQCGKIYDKEQMENSLVQMVRQRERMYQLQDLVCNRCNQVKAAHLTEQCECSGSFRCKESGSDFHKRMEIFLDIAKRLKFRLLEECISWILFATSC >scaffold_401059.1 pep chromosome:v.1.0:4:10922889:10924105:1 gene:scaffold_401059.1 transcript:scaffold_401059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQIYLSNLARGRTVKYVRVKILSLWRVRIYGFRCKTEMLLADEQGTKIEGTIGCGPFHNVDMRELREDAWYTISDFVVSIPIRRTPNTLHPFHIKFHSDTKMTLIYNLYSPNFFEFAKYSVIKRRLLEANRPFVICVLFVSLLDLCGVVVYVSEIKRMTYVPGEYDASTACNYLYFRLMDQKGRELPCFALGHYAADFMNVWTSRGYQASFRYQPVFCVLRFWKVDEFMGMHLVY >scaffold_401062.1 pep chromosome:v.1.0:4:10932265:10933396:1 gene:scaffold_401062.1 transcript:scaffold_401062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRSSICVSDLIPSMNERMMGRFIIIRRFRCSSRLDTLELILADEKGDRIQASIGLDCLAYDSSRLVEGTWIFIKDFGLVDAVGSVRPTRHAYKILWNLSTSFQRTVVTASVDYFKFVRFEDVLAGLVDPSVCVDLIGRLMCVGNYDEDEGMNSTWEQIYLELENVRGIRIRCRLPKGYATKFFSGLKTCADNTILCVMRFARLELSRGDMRATTMCTCTELLFNPSCDEASRMRLAFASVERKLF >scaffold_401065.1 pep chromosome:v.1.0:4:10940825:10943251:1 gene:scaffold_401065.1 transcript:scaffold_401065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRKSKNSLTFIDDINPIHDQNKIKVLILRLWKAYQRDAGNTIEMVLVDEKGSRIHATVEDKNIKKFDSVLKEGDVVTLNPFKLIKYSGDYKSNSLSFKILFYRTTQVKPCDDFPKEVPEKYFVEFGDVLNGSRDTRVFVDVIGQIVNVGPIEDIKIRGKSTPKLDVELRDRGNVWLICTLWADFAKQVKVYTEANPAAVVCVIRCAQVKEWKGNWTISNAMGATRVLLDPPGVFVDEFRSGLPNDGVVLTNLDNSELFAGSTVSIRDQFLVKNHKRTVRDIVEALEEGMCVTMVTVGSVERTSKWYYVSCKMCNKSVEPYPENSGDDGKPPLYYCGICDKDVSAVVFRYRLVLEVSDATNYKARFLLFDAMGSTLLRRTAQELYNAVSENDPSILPSEIGALVGRRFLFKVSIGGDNLKSDRSHYVVQLFSDDDELIKDYSDGLDSEVLVPLPVTPITKEASVRRGGVSVENPKPPAKKIKIEGKKEESFATT >scaffold_401066.1 pep chromosome:v.1.0:4:10951901:10952996:1 gene:scaffold_401066.1 transcript:scaffold_401066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFTSSSIERYNDFSRLNPAIVGWHVHVKVLRRFHTDDYISKGGLGLLLVDDKGNQIEALICSPLTSHYSTFIEEDEFYTIMNFRVVENSGFTKLTRSDFKIMFYDGTIVKEASSFSQDDYIVATPFGAIFNGYHDTSYLITLIGRVVESSDLTNVTDEPSVIGGYRYSFTIEDQEKKTLKCCAYGEVAIECHDRFRNVIGTDVTCVLRWWKVYQLLDGWRHVRIYSHPPYSNILVQSDADNVEHS >scaffold_401076.1 pep chromosome:v.1.0:4:11023521:11024356:1 gene:scaffold_401076.1 transcript:scaffold_401076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGISMVIDFDVNSEKFTSIKYFDSTLSMTQWENTYKDMSTLFNVNEKLGSHVTLDHMKSFEFRLLDDSTKHRWSRQFHQFPDVGLDYNHAIMRFWKTHENEAVWLLFTLLNDPLSVLFYNIERKTVKKILFRSSSLFEALGLEFLADA >scaffold_401077.1 pep chromosome:v.1.0:4:11027023:11027622:-1 gene:scaffold_401077.1 transcript:scaffold_401077.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG73] MSILPRRFASRTWYNGYDGANSEMFYMSSDNEEVDEEMPDPTFECETLPGTPPPVEEPGVLFPPDESLLVPMTLRSSSMATMIENMIAAGTLGIEPIRQPKGMPVWNKGMRRSADRTVVTEMEREDGRRSEPYSVTPFYFTCGQDGHYPKACPHVRHYYSYGRPYVICYECGDEGHYATVSKETS >scaffold_401079.1 pep chromosome:v.1.0:4:11032113:11048053:-1 gene:scaffold_401079.1 transcript:scaffold_401079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVSAADELETKLGFGLFSQGETRLGWLLTFASSSWEDADTGKTFSCVDLFFVTQDGSSFKTKYKFRPYLYAATKDNMELEVEAYLRRRYQTQVADIQIVHKEDLDLKNHLSGLQKKYLKVSFDTVQQLVEVKRDLLHIVERNLAKFDAFEAYESILAGKREQRPQDCLDSVVDLREYDVPYHVRFAIDNDVRSGQWYNVSISSTDVILEKRTDLLQRAEVRVCAFDIETVKLPLKFPDAESDQIMMISYMVDGQGFLITNRECVGKDIEDLEYTPKPEFEGYFKVTNVNNEVELLRKWFSHMQELKPGIYVTYNGDFFDWPFIERRASHHGIKMNEELGFRCDHNQGECRAKFVCHLDCFSWVKRDSYLPQGSHGLKAVTKAKLGYDPLEVNPEDMVRFAMEKPQTMASYSVSDAVATYYLYMTYVHPFVFSLATIIPMVPDEVLRKGSGTLCEMLLMVEAYKANVVCPNKNQADPEKFYQGKLLESETYIGGHVECLQSGVFRSDIPTSFKLEASAYQQLIDNLGRDLEYAITVEGKMRMDSISNYDEVKDVIRGKSFYGEKLRDDPIREEGPLIYHLDVAAMYPNIILTNRLQPPSIVTDEVCTACDFNGLEKTCLRKLEWVWRGVTFKGNKSEYYHLKKQIESESVDAGANMQSSKPFLDLPKVEQQSKLKERLKKYCQKAYSRVLDKPITEVREAGICMRENPFYVDTVRSFRDRRYEYKTLNKVWKGKLSEAKASGNSIKIQEAHDMVVVYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLIERIGKPLELDTDGIWCALPGSFPENFTFKTIDMKKFTISYPCVILNVDVAKNNSNDQYQTLVDPVRKTYNSRSECSIEFEVDGPYKAMIIPASKEEGILIKKRYVVFNHDGTIAELKGFEMKRRGELKLIKVFQAELFDKFLHGSTLEECYSAVAAVANRWLDLLEDQGKDIADSELLDYISESSTMSKSLADYGQQKSCAVTTAKRLADFLGDTMVKDKGLRCQYIVACEPEGTPVSERAVPVAIFQTDDPGKHSRKSFICKNGARYHHMMESVQLLTGCITSSAFIQLFKKLLPFLLQCRRFIVANPVPRVRHPHWLEKKVCDKFRQGKIVDMFSSANKDGVLDKSHLTTQDNALGQSQLRVRMKSIEITLEGKQQESCWDPEFHDISFQNADKNVDYQGWLELEKRKWKMSLKKKKKRRFDDLKPCNQIDAHKINKKVCKGTAGVGSYFRRPEEALTSSYLQIIQLVQSPQSGQFFAWVVVEGLMLKIPLTIPRVFYINSKASIAENFTGKCINKILPHGKPCYNLMEVNIQEDQFKKESKKLAALLADPEIEGIYETKMPLEFSAICQIGCVCKIEDTAKHRNTQDGWKLGELHRKTTTECRYLENSIPLVYLYHSTSTGRAIYVLYCHASKLMSVVVVNPYGDKELLSSALERQFRDSCQELSPEPFSWDGILFQVDYVDHPEAAKKIIQKALCEYREENCGPTVAVIECPDFNTMKEGIKALDDFPCVRIPFNDDDNSYQPVSWQRPAAKIAVFRCASAFQWLDRRIAQSRYAHVPLGNFGRDWLTFTLDIFLSRALRDQQQVLWVSDNGVPDLGDINNEETFLADETSLVFPGAYRKVSVELKVHRLAVNALLKSDLVSEMEGGGFLGFEQGVNSRGSSLNDNGSFDENNGCAQAFRVLKQLIKRCLHDARNSGNIYADSILQHLSWWLCSPSSKLHDPALHLMLHKVMQKVFALLLTDLRRLGAIIIYADFSKIVIDTGKSDLSAAKAYCESLLTVMGSRDIFELILLEPVHYWHSLLFMDQHNYAGIRATGDEISGDEVTIEPKWSVARHLPEYIQKDFIIIVATFIFGPWKFSLEKKSGSAESLEAEMVEYLKEQIGTRFITMIVEKIGNIRSHMKEINVSDASWVSGQAPKGDNTFEFIQIITAVLVLDQNVQQDVLVMRKSLLKYIKVKECAAEAGFIDPGPSFILPNVACSNCGAYRDLDLCRDPALLTEKEWSCADPQCGKIYDKEQIENSLVQMVRQRERMYQLQDLVCDRCNQVKAAHLTEQCECSGSFRCKESGSDFHKRMEIFLDIAKRLKFRLLEECISWILFATSC >scaffold_401082.1 pep chromosome:v.1.0:4:11087610:11089127:1 gene:scaffold_401082.1 transcript:scaffold_401082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTITVPSTVSRSLRTRRLGLKKAQTSLYMYTVLQTYNLCDVSACNTEAEIEAGTNFVLTLPEVLYVGFDEELKYSVSLRQKYMDEPIINMCVDFKLPTSSAST >scaffold_401090.1 pep chromosome:v.1.0:4:11143913:11145297:1 gene:scaffold_401090.1 transcript:scaffold_401090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTVQSLRERSPSSYSLKIKNFSQLENLALGSADGKYLSRLFSAGGYNWRMILYPKGNDKDNGSDFISMYVELDSSSLSTPSTEVFADFRFFVLNKKENKSVWGLPQVLPLSTFKDPENGYVCLGQCEFGVDVIVAPPPTNWEILSFDEKHVYPYKISWPVKNIFEILGHCHTSQRFSVGGKTWAIELYPKGSRTADYNKWVSIFLTAADCETLKEDEKIFTQAYLRILDPRGSNHLSRSITKCYNKSNSSWGYFRFVSIDELRNTYLDMEGVLTLEIQFDVVSTTKHSLP >scaffold_401091.1 pep chromosome:v.1.0:4:11183138:11185011:1 gene:scaffold_401091.1 transcript:scaffold_401091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGQENDRRQRPSDSGPALFPFPKLTYDSISAYNLKDSILLEMQPATRHAVQEHLITAAATTGTLVRKGITETKEKVSVGKIKMEEAAKKTAQKSKTILTDIERWQKGVASSDVFGVPIEIIVQRQESSRPIPLILIKCADYLILTGLNSPNLFKAEGDKKLIQQLVSAYNQDPSASIPEVVNPVDVDALMKYYLATLPTPFQAP >scaffold_401097.1 pep chromosome:v.1.0:4:11257706:11259410:-1 gene:scaffold_401097.1 transcript:scaffold_401097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLAMEEMPLSALFEQARKIHLADVVKKGCEMFQKCEDMIGKLALFSSNETKEDISTNNLKYLLEPYYLAELTEKIIQDDRIPIVKASYAKLKEFFSFCEAMELVPDEELEASSRGGSGAPADRRALKIARFKRQKAVEAKLLEIKERKERRGRSTKASALSTPVESGEEDIPDDDSEEEREVCNEFEIQSVDQTFKEILRENMFLVTITSTIISQIIVIEFASIFISSVRLDLKKWVTTRLLGLLSQVATRFPYPTNQFYP >scaffold_401103.1 pep chromosome:v.1.0:4:11281524:11283210:-1 gene:scaffold_401103.1 transcript:scaffold_401103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMPLSALFEQARKIHLAASESGVDQDVVKKGCEMFQKCEDMIGKLALFSSNETKEDISTNNLKYLLVPYYLAELTEKIIQDDRILTVKASYPKLKEFFSFCEAMELVPDEELAASSRGGSGAPSDRRAQKIARFKRQKAAEAKLLEIKERKERRGRSTKASALSTPVESGEEDIPDDDSEEEREVCNEFEIQSVDQTFKEILRENMFLVTITSTIISQIIVIEFASIFISSVRLDLKKWVTTSLLGLLSQVATRFPYPTNQYYP >scaffold_401105.1 pep chromosome:v.1.0:4:11289258:11291588:1 gene:scaffold_401105.1 transcript:scaffold_401105.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 2 [Source:UniProtKB/TrEMBL;Acc:D7LGT9] MASKLPLQNIDNANVAKAPASSLASAGNTVEHILLCPDSYIGSIEKHTQTLWVYEKEEMVCRSVTYVPGLYKIFDEILVNAADNKRRDPSMDSVKVVIDVEKNQISVCNSGDGVPLEEGVYVPGIFFGPWLSRNYDYNVKNTTGGRNGFGATLTNIFSTEFIIEIADGKRSLKKYKRVFENNMGNKSKLFITKCNKSENWTKVTFKPDLKKFNMTELENDVVALMSKRVFDIAGCLGQTVKVELNEKRVPIKSFSDYVDLYLSAASKSRTEPLPRMNEKVNDRWEVCVSLSDGQFQQKKYPNVKTDNVKNHLWVFVNALIDNPAFDSQTKERLTLPESSFGSKCELSKAILKKGLVEHFLFSWKTWVQNEELKISDGAKTETVEVEELMDAEKAGGKESEPGTHIFPSMVDDLTLEYYEKKKETMLKNQEIMLKNLELELLKLEKADDQKLREKWFTEILENAKPVEAAVAGATNAAEKSSYYNYLLQFSVLITDTVQEVRAQRDQMMDAVEDLKNATPESLWLKELEVRMHNAKPYL >scaffold_401106.1 pep chromosome:v.1.0:4:11291664:11292103:-1 gene:scaffold_401106.1 transcript:scaffold_401106.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LGU0] MSSNSDSFPDSLSESLHHTYPLFAKTSPDFSDDEFPAAFSLLSSSLLANLLITELLFLLNGDDLSFLTFFSGDTTTSSSSSSREISFAVSVVSVDTEKEKAKLQDRHYMQAVLFDQCFFVKNVIVINSLLLY >scaffold_401109.1 pep chromosome:v.1.0:4:11296247:11298382:-1 gene:scaffold_401109.1 transcript:scaffold_401109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSMEELPLSALFEQARKIHLAASESGTDQDVVKKGCEMFQKCEDMIGKLGLFSSNETKEDISTNNLKYLLVPYYLAELTEKIIQEDRIQIVKASYAKLKEFFSFCEAMELVPDEELEASSRSSGAPADRRALKIARFKRQKAAEAKLLEIKERKERRGRSTKASALSTPVESGEEDIPDDDSEEEREAWLSSINLAICKAIDLLEMLKREEEMLSAIKERQLKDGEDGFSRDALDDRTKKAETWHRDAAARIQYSKPAQPITCATFAQDVLEGRASVSQGHDHKNQPLIFGPASIVGGPLSTERERMIAQVFQPSHRMPTMCIEDAGLTEMNIMNDWQEQTKKAIEEATTSWYNDKPLRRKEEDEEDDDEDEEAVMKARAFDDWKDDNPRGAGNKKLTPCG >scaffold_401111.1 pep chromosome:v.1.0:4:11306204:11307061:1 gene:scaffold_401111.1 transcript:scaffold_401111.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGU5] MTHHRRFVSVLPLASLEISEEPSQTSAGRSTTTSPPTGATRSPTVSKFLLTSEDQGVDLPSCRVLPRWPDVFRRRQPLFPIVVPVTKTAELDLSLVLLLLGLVSPLMSAGFFPSPHKLIYVVGFTVVPSGVLSSVFTDFFPRLYSFTEKPSSFTERPSSFTERPSSFMERSLPPISSSLERTLPSSSFLKERPFSSFLFYMKSVCQSSAFMAFRA >scaffold_401121.1 pep chromosome:v.1.0:4:11391433:11391748:1 gene:scaffold_401121.1 transcript:scaffold_401121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTMKKIYSVLMIVVLFTMMVSTYASTVEVCVKHCVPNQCMKVSKKATILLCENACKKLCNQNEFAHEKYYTTPPGDLCEVFFGLLCNN >scaffold_401125.1 pep chromosome:v.1.0:4:11403157:11404258:-1 gene:scaffold_401125.1 transcript:scaffold_401125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMLLQIPPSSSLLNTTNLQIRFFNSSIPSSSKKFRCRAVREKAEEIEKNTSSPSPPPPPPSAEEVTKKYGLEVGLWKILSSKDDEGSDGEDKKKKSKTDEAKELLAKYGGAYLATSITLSLISFSLCYVLVTSGVDVQALLLKVGISTNETGEKVGAFALAYAAHKAASPIRFPPTVALTPIVASWIGKKVDKEKDE >scaffold_401126.1 pep chromosome:v.1.0:4:11405591:11406735:-1 gene:scaffold_401126.1 transcript:scaffold_401126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNAKKKIERDVAFPTCISVIHFSPLASDESVLEDGDMVKIRWLQLMTAKLLKVAAA >scaffold_401127.1 pep chromosome:v.1.0:4:11419375:11422564:-1 gene:scaffold_401127.1 transcript:scaffold_401127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMKLFLLLSFNTFMLLEAYGFTDETDRQALFDFKSQVSEDKRVVLSSWNNSFPLCIWNGVTCGRKHKRVTRLDLGGLQLGGVISPSIGNLSFLISLNLTENSFVGTIPHEVGNLFRLQHLNMSFNFLEGEIPASLSNCSRLLNLGLYSNHLGGSVPSELGSLTKLVGLYLGQNNLKGKIPSSLGNLTSLIFLGLANNNIEGGIPEGIARLSQIVDLELSMNNFSGVFPPAIYNLSSLAYLSISANSFFGSLRPDFGNLLPNIRTLYLEGNHFTGAIPETLSNISNLQVVAMEYNNLMGSIPLSFGKVRNLQLLELYGNFLGSYSSGDLEFLGSLTNCTHLQTLSVGENRLGGDLPASIANLSINLIHLSLGKNHISGSIPDDIGNLISLQTFQLEKNMLVGPLPTSLGKILHLGILSLYSNRMSGEIPSSLGNITRLEKLYLSNNSFDGIIPPSLGNCAYLLRLYMGSNKLNGTIPREIMQIKTLVNLGLSDNSLTGSLPNDVGGLELLVTLTVAHNKLSGKLPQTLGKCLSLEKLYLQGNSFDGDIPDIRGLVGIQRVDLSNNNLSGSIPEYLVNISSLEYLNLSFNNFEGRVSTEGKFQNTTIVSVLGNKHLCGGIKELKLKVCHSKAPTIEKEHSSTFKKVVIGVCVGITFLLLLLIASVSLCWFRKRKKNQNSTNPTPSTLEVFHEKISYGDLRNATNGFSSSNLIGSGSFGTVFKASLHAENNVVAVKVLNLQRHGAMKSFLAECESLKSIRHRNLVKLLTACSSIDFQGNDFRALIYEFMPNGSLDMWLHQDEVEEIHRPSRNLTLLERLNVAIDVASVLNYLHVHCHEPIVHCDLKPSNVLLDGDLTAHVSDFGMAQLLLKFDKESFLNQLSSAGVRGTIGYAAPEYGMGGQPSIHGDVYSFGVLLLEMFTGKRPTNLLFGGNLTIHSFTRSALPVRVLEIVDKSIIRSGLRIGFPVTECLTLLLEVGLRCCEESPTKWLTTSEITKDLFSIRERFFKARRTARH >scaffold_401130.1 pep chromosome:v.1.0:4:11447019:11448315:-1 gene:scaffold_401130.1 transcript:scaffold_401130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LGW3] MLNHFLYRSYTPLLFSRSFSSTLFVKGISFSSTEETLTQAFSQYGQVLRVDVIMDKIRCRPKGIAYVTFSSKEEAGKALLELNGRLVDGRVVILDITKAGKHNPPDTKPKHAGGDS >scaffold_401132.1 pep chromosome:v.1.0:4:11450937:11451562:-1 gene:scaffold_401132.1 transcript:scaffold_401132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMVVNLGHVKIDTTIATTEEEINEGIQALLSNTSNHNRLIGLDMITFRVAPEPSSTSGKPSNAAILQLYDSTQCLIIWLHSLHDVPESLYNFLILPAFTFAGFGIKDTIASLKKDYGYVCKNVLEVGRAVWTSYKCDTQLLRDEFVYMRIPQVSSISKPWGSSFELTEDEIKLAVSNAFYAFRIAKILLNIR >scaffold_401136.1 pep chromosome:v.1.0:4:11481656:11482964:-1 gene:scaffold_401136.1 transcript:scaffold_401136.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casparian strip membrane protein 3 [Source:UniProtKB/Swiss-Prot;Acc:D7LGW9] MDIEKAASRREEEEPIVQRPKLDKGKGKAHVFAPPMNYNRIMDKHKQEKVSAAGWKRGVAIFDFVLRLIAAITAMAAAAKMATTEETLPFFTQFLQFQAEYTDLPTMSSFVIVNSIVGGYLTLSLPFSIVCILRPLAVPPRLFLIICDTAMMGLTMMAASASAAIVYLAHNGNSSSNWLPVCQQFGDFCQGTSGAVVASFIAATLLMFLVILSAFALKRST >scaffold_401155.1 pep chromosome:v.1.0:4:11618280:11618507:-1 gene:scaffold_401155.1 transcript:scaffold_401155.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHL5] MERVTTFFSSLSLKCRPSRHRSKFSDLPLRCVALLAVTVRGSLLLCLSLFIVFGFCLSFLR >scaffold_401158.1 pep chromosome:v.1.0:4:11644948:11645453:-1 gene:scaffold_401158.1 transcript:scaffold_401158.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:D7LHL8] MATVQISSTSMTKAVLRSQTTNKLIANKSYNLSIGSTKKVSRSFGLKCSANSGAMMSAVYKVKLLGPDGQENEFEVQDDQYILDAAEEAGVDLPYSCRAGACSTCAGQIVSGNVDQSDGSFLEDSHLEKGYVLTCVAYPQSDCVIHTHKETELF >scaffold_401159.1 pep chromosome:v.1.0:4:11666281:11667784:1 gene:scaffold_401159.1 transcript:scaffold_401159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAFARGFRKASSFLRSSYPVLISSRLLPQCHEFYDSTSMLSISRPIFRNFSHGTVNLVISEGKPKFETRELDPPKKYKWLTKKRLKLKRKKEREERNAANRKDPRRLTVKGKKKKFVNAEERIKYKLEKAKIKEALLIEKLKRYEVAKVQGPEVRPHEITGEERFYLKKMGQKRSNYVPIGRRGVFGGVILNMHLHWKKHETVKVICNNSKPGQVQQYAEELAKLSGGVPVNIIGDDTIIFYRGKGYVQPQVMSPIDTLSKKRAYEKSKYEQSLESVRHSIAIAEKELELYYRHVALYDDPNNRNPLSILDDSPSESRQHHDNELYLSCLDTDANSEDEGEEL >scaffold_401165.1 pep chromosome:v.1.0:4:11690617:11691268:1 gene:scaffold_401165.1 transcript:scaffold_401165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISILAQERLLGFTLGTALTGFVVFEQRKLIHESVSDPKSQFVDQSQVRDRIFGKKYRMEFASLWNKAVDQTFEPAIEYLSSRKW >scaffold_401167.1 pep chromosome:v.1.0:4:11699548:11699937:-1 gene:scaffold_401167.1 transcript:scaffold_401167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKTCLIFFFSSLIFTNFALAQDRAPHGLAYETPVAFSPSAFDFFHAKPENPDPTLNPCVESGCSPLPVAAKVQGASAKAQESDIASMSTGTRSGIEASRVVGIIFGLAFAVLM >scaffold_401174.1 pep chromosome:v.1.0:4:11804292:11805001:-1 gene:scaffold_401174.1 transcript:scaffold_401174.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 5 [Source:UniProtKB/TrEMBL;Acc:D7LHN5] MMKKPIQLSLTVMIIFTILALGVVANEGLGKPKQCHEILKQSNCVAAECDSMCVKKRGKGAGVCTTSKKCYCYYHCP >scaffold_401176.1 pep chromosome:v.1.0:4:11825297:11828009:-1 gene:scaffold_401176.1 transcript:scaffold_401176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSWLPEEDFKGLSDNFFDNLIDPNDDFSLEDIETADDEGDWDAGFQNLVPPPLDVLTSLSSEFSCNGQRVPVQKPVPSLKQSCSSEVSTVDNSPSDVKVSKLFQSSSPVSVLENTNGSVSSLNLHRALKLAFPLKGIRSKRKRPTLLRVTFLQAFGFEMSQQFAPDESESEINLSSEISANKKRKRNKSRPTHQVHNTPKPFNSGGRVQKCTHCETTNTPQWREGPSGPKTLCNACGVRFRSGRLVPEYRPASSPTFIPTVHSNMHRKIIQMRSKDEGQFDTRKIRAVTSGAETKPGLCNFGRPMSLVNEKQNCEDL >scaffold_401178.1 pep chromosome:v.1.0:4:11836248:11840685:-1 gene:scaffold_401178.1 transcript:scaffold_401178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSENESETKMEGWLYIIRSNRFGLHFSRKRYFVLRDHLLKSFKSISDSKTKDAGRSAVIDSCIRVTDNGRENVHRKAFFIFTLYNTSNHNDQLKLGASSPEDAARWINLIKEAALKGAPFPGDVFNCSRSRWDSLRLSSSVRDRHSNSIDWTLRSSARVDPVTTDVVAPSPWTIFGCQNGLRLFKEAKERDSLGRWDDHPAIMAVGVVDGTSETIFQTLLSLGPSRSEWDFCFYQGSVVEHLDGHTDIIHKQLYSDWLPWGMKRRDFSLRRYWRREDDGTYVILYHSVFHKKCPPQKGYVRACLKSGGYVISPIDNGKQSVVKHMLAVDWKSWRSYVKPSLARSITVKMLGRISALRELFRAKHGSFPPNLSSGELSRSAGLTQNEDGVFGDSSLRESEMFKDTANEERDKFPSERSSLVDLDEFFDVPEPSDNDHLDDSWTSDFDLDTCCQESRQPKLNSATSLVKKLHDLAVQKRGYVDLHERAREESSPHAARSPPCCYGTTLPTDPSCDLPCSWTTTDPSTFLIRGKTYLDDQKKVKAKGTLMEMVAADWLKSDKREDDLGSRPGGIVQKYAAKGGPEFFFIVNIQVPGSTTYSLVLYYMMSTPIEEHPLLVSFVNGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEINYFRGKNYIELGVDIGSSTVARGVVSLVLGYLNKLVIEMAFLVQANTEEELPEYLLGTCRFNHLDASKAVSIIP >scaffold_401179.1 pep chromosome:v.1.0:4:11844081:11845548:1 gene:scaffold_401179.1 transcript:scaffold_401179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKTRVPCNFCEFSSSRYGFDQSLQDQDKEEIVLRKQVMRLRSLIRRMTGRDDDVSFTELQELTSHLENVQRIVEDHMNKIMPPDQVESKQLKMEREFERRKSESLQSEFERLRIYNERMNGRGIESMSCYHDVVQLDSQITYALMSLSEQMTRPLEEQLCKAELGKHVVKIYGKPITDENKELRRSVRLAKKKE >scaffold_401188.1 pep chromosome:v.1.0:4:11901964:11903116:1 gene:scaffold_401188.1 transcript:scaffold_401188.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LID2] MFYWYAIDDHFGIDLMVRSAGDPNSPFQSLGKFMRDSTTVSVHASMSASESVSRSGQAHSMGKEENKTRKPIDIIDLDDSDTVEDNNEKMDCNEEEASRENTLGENRMPDGDFAMAQSLHCDRNAESTKPEKGERKMMKNTDDGVLEPKTGTLESAPDKSVSKSYQEPSTSMEQNKTHNILDEPIDTVDVGGTPFDDKSNTQQDSYPPLRNMRKRKQISYTEGTVRRKQPAEGTLERKKVTKQARLSKPKGNKNKLVKDRIFSEDQSLVILQEIITFHSVKGKTYKDDLSGICDHLKPKIIPCQPTKAQVKEKIMNLRKKYKKVTSFVTRGENGEDESVYRQDFQLWERIWGDDGILPYKRRGYRSITHH >scaffold_401197.1 pep chromosome:v.1.0:4:11947442:11948634:-1 gene:scaffold_401197.1 transcript:scaffold_401197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRTLFSNFSSVSLLSDFSACFQDRFPRFDPKIAQIWRFGLAGFVFVVSLPPSEPDVFPVFPRSAFRQLCILSLLSCLTRNHRPFCGPSSTFVIASPPPAPVKKAELISIKFTVLGRPRETNLQNLKKDMARSPYELSNTTSEQAITSLPIWRTYPPPCPMRGRVENGETLLASMVSSLVLGPSHFGPFVFQLRPIMISFGPPLLPAWPRSITSMLLLSRNVKRCDRFMKPIDQGNQLNHNPPLLLCWYKNYLRTLPLESPRIIIHIVKSLKKNGIMIPSLRSGGYRNFLNFSSMIHLLNDFIQELIRNIMDITLESRSLKKIGIMIPSPRSGDYQSFIIPLYPFLLNFEPMPTRRNLYLPGYSTIGPNVLIAFRAGEP >scaffold_401199.1 pep chromosome:v.1.0:4:11989019:11990843:-1 gene:scaffold_401199.1 transcript:scaffold_401199.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:D7LIE2] MAATNTILAFSSPSRLLTPPSSNPSSSPHLRSSFRGVSLNNNNNLHRPQSVSFSTRAPSKALTVVSAAKKAVAVLKGTSDVEGVVTLTQDDSGPTSVNVRITGLTPGPHGFHLHEFGDTTNGCVSTGPHFNPNNMTHGAPEDECRHAGDLGNITANADGVAETTLVDNQIPLTGPNSVVGRAFVVHELKDDLGKGGHELSLTTGNAGGRLACGVIGLTPL >scaffold_401203.1 pep chromosome:v.1.0:4:12008605:12010804:-1 gene:scaffold_401203.1 transcript:scaffold_401203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARMKKYSREVSPERAKVWTEKSPKYHQKIKKVQIVYYLSKNRQLEHPHFMEVLISSPNGLYLRDVTERLNVLRGRGMASMYSWSSKRSYRNGFVWHDLSEDDLILPANGNEYVLKGSELFDESNSDHFSPIMNLASQNMKQIVVEPPSSRSMDDSSSSSSMNNGKGTNKHSQEDDELSPPAVRSVSSSGVSPDSRDAKNSSSWCLAEYKVYKSEGLADASTQTDETVSGCSQKPIETFSRGVSTDEDGSPEHETSENNIVSEASCAGKERESAEISRNSISPPFSNSASSLGAKTDTLESLIRADVRKMNSFRILEQEDVRMPTNPRLRASNMLMQLISCGSISVKDNSFGLVPTYKPKFGHSKFPSPFFSSSFMMGDLDRLSETPSLMGMRLEEKEYFSGSLVETKLQKKDAAEANDASLKRSSSYNGDRASNQMGVAENGDSKPGSSKYNPSSGKASSILGKQQPRSESMRSPVSEKKRDSSEDTTKNIPCPTKRITESSRKPDSFREDEEKVIKIDERLASGARVRIESKALVPSEEP >scaffold_401216.1 pep chromosome:v.1.0:4:12170422:12171919:1 gene:scaffold_401216.1 transcript:scaffold_401216.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LIG2] MTLQSFLKTLKTAKQTYPFSIYKLLLNSSSINQTLDSSENPLSDLNLSTFRRILSDPDIKSWKCISLFNFILENPSLFSFQPDLRTHLSLTSRVLSERRFSDAKELLKLVAIDDILRYPFKVIVSSFVDECGCETRVVARFFNSMLMVYSDNGKFDEVVEVFEYMKNNEVKIDEKACTLHLLNLKKCDQMELARDFFRLMVESGLDVVSVYSLTVVVTALCCNGEITRGRELVEEMVLVKGIKPNIVTFKSMIDCCVKRWDFEELDLILKLMEKESVTLDLDSYKVLIDGFTSYGKLEEAERLVSTMHDKKLRVETYLYNLIMNGYCRFGLVEKAFELHSEMSSRGVTPNKDTYWVLMNGLCKAGKVCEAISLLNELRVNEFEIDKEMYIALTEGCYRVGMIDKSLEVVAEMIREGFIPDATICERLADALFEVNRKEAQMMVTLWLRVASNQNLVLNLVRNEDDTHVPYQVSNYKNLVSKIW >scaffold_401219.1 pep chromosome:v.1.0:4:12178680:12178896:-1 gene:scaffold_401219.1 transcript:scaffold_401219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGPKCPTTDDVDIGKFVAKAVDCLVINLKIKLLWSSCHVHEILFVIRKEDDKKMTM >scaffold_401224.1 pep chromosome:v.1.0:4:12206656:12207456:1 gene:scaffold_401224.1 transcript:scaffold_401224.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinases regulatory subunit [Source:UniProtKB/TrEMBL;Acc:D7LIG8] MGQIQYSDKYFDDTFEYRHVVLPPEVAKLLPKNRILSESEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQEHQAQIAK >scaffold_401227.1 pep chromosome:v.1.0:4:12238367:12242412:1 gene:scaffold_401227.1 transcript:scaffold_401227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGLQNILAIDSSFRRDSEVRPSRQRENEGRPSVRASSLLQMWRELEDDHVMGHARERRSGSSPSSALRGNGCDSNISAHDIVRDSVNLGENELGGWSPTQSHVGSHNSSEDLGPFHSEHFSDLGMGERERVRQIFREWTSSGTGQHTDSASHATNSSRAEWLGETEQERVRIIREMVQMNSQQRPALGDQREEQPIEGTNQIERVLDGQVENANCIQNEHARRGIRKLCGRQVWVDMLKMAERERQRELEGLMQHHAVSNFAHRNRIQALLRGRFLRNGDNDDKEKPTSSAATELGFLRERHTVSELREEFISRLDRSVSGQASSSHSETSSNAETDDIRGEQNELNCLDSINGADGGSGQNGREADNQISLDEITNSEHFYNRSTSLEERTARVEGWQRSEIDVFSQRRNDAEVDMNNHQREDAIGCSSSSIHGDKIRYGCQLRGPIEIPYEQSLQSSEEIATMGPINRTINFQENLVENINLNVTPEEQPEEETMENEESGWQLINGETSAWRDDAKEEADTDVPENFPNQLSQISSVDEEREAHVLTELAEMQPDDTDLQSTVQDWSEEHSDQDTVSIGRAATFFPPDDDTENNMELRELSSRRRVSNLLQSGFRENLNQLIQSYMDRRSRNPVDWEEHETYSDHTIVGEDTEQQDDAQTGGQELDAVESPPLSLPSPVIPIQPRWDHDRSHSNWPAHDLHQGIGMDWDSINDLRVDMGRIQQRMDNLQRMLEACMEMQLELQRSIRQEVSAAMHRSTDQSGPSKDTESYESKWEYVRKGICCVCCESNIDSLLYRCGHMNTCEKCAKKLVEAGGKCPMCQAPVIEVVRAYSIL >scaffold_401229.1 pep chromosome:v.1.0:4:12247618:12248516:1 gene:scaffold_401229.1 transcript:scaffold_401229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPGDMGSEICGPVSGLFYFPNMRRSEVPVICNPSTGQYARLPQLKRKRDSRSLLGYDPIGKRYKVSNIRNSIYGASNEEGHIMTLGTGKMSWRKLHCPENHYPIGEGVCIDGVLYYIAGQAKGVKLACFDVRNENFRFLKTDSIIRKLLVSSSSTSSSTKLIKYKGKLGVISWRWDSYVYGRARLCLQILEDAQDQKWLEHRYTFPVNIVVKGDVSVVGVTATNEIILSMDYTSKAFFVFYFNPERRTLERVRVQGFEAFEKPNRVYTFVDYAEDFKFITESS >scaffold_401242.1 pep chromosome:v.1.0:4:12347446:12347761:-1 gene:scaffold_401242.1 transcript:scaffold_401242.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L29 [Source:UniProtKB/TrEMBL;Acc:D7LIZ3] MAKSKNHTAHNQSAKAHKNGIKKPRRHRHTPTRGMDPKFLRNQRYARKHNVKSGENASAEE >scaffold_401245.1 pep chromosome:v.1.0:4:12359479:12361571:1 gene:scaffold_401245.1 transcript:scaffold_401245.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7LIZ6] MGRYKDESPAVRVYTVCDESRYLIVRNVPALGCGDDLMRLFMTYGEVEECKPMDAEDCAEFTDVYWIKFRLITNARFAKRKLDESTFLGNRLQISYAPEYESVSDTKDKLETRRKEVLARLNPQKAKSTSQVTKLAGPALTQTDNFSPQRREMEYQFHRGNAPVTRVSSDQEYFASSSMNQTVKTVREKLNKIEESGNQKRLQPSSQTQPDLKRTRVDNRRRI >scaffold_401253.1 pep chromosome:v.1.0:4:12407682:12408551:1 gene:scaffold_401253.1 transcript:scaffold_401253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKKVKLDLESDTIVDKIHRHADFSDKLINLVPARIYLSDDDKEMKWFQGLRKEEKVNSKRETIENLKKAKRYRLDPEKSVLTTLDLQKQKLEKEKEKFGIKPMFLGFQEDDTFEKMRERRLQKNKRNRDSGLNVVEEVVKDLTYGYVKIGDDDEEYGKNRKKERVSKATELEMAMKLEALKKDPKKGDFVAKKHSWKAAISRAAGIKIRDDPKRLKQRIRKEKNRREKNAEKWKERVEVQQKVRVEKQQKRSENISDSNIFEYVSLFHYTLN >scaffold_401255.1 pep chromosome:v.1.0:4:12411974:12413321:1 gene:scaffold_401255.1 transcript:scaffold_401255.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F1F0-ATPase inhibitor protein [Source:UniProtKB/TrEMBL;Acc:D7LJ04] MATRNALRIVSRRFSSGKIFSEEEKAAENVFIKKMEKEKLEKIARQGPGEQAAGTASEAKAAGATASASAESGPKVSEDKNRNYAVVAGVVAIFGSIGWYLKAGGKKQPEVQE >scaffold_401258.1 pep chromosome:v.1.0:4:12416780:12417050:-1 gene:scaffold_401258.1 transcript:scaffold_401258.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJ07] MPYEGVVSDNSGRVEATIDVIEQEGAIADELEVKSHPLNKITREKYIVVPLTSKYPSIEIWCPYKCGPEKQLGLI >scaffold_401261.1 pep chromosome:v.1.0:4:12459089:12460022:1 gene:scaffold_401261.1 transcript:scaffold_401261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLMRVNLYGIPSIEFKVELSLVDPQASLDHFDIFTVSHCNGLLLCNNGEYNRIVVWNPCTGQTKWIEPRKIGSYALGSYQANKYGDNSYKILCWCDGKNNEFEIYEINSSSWRTLDVTLDCELEYDECGMSLKGKTYWFASDENEEQLGMFLVSFDYTTERFERLLLPCKSIYYKTLSLSVVGEEKLSVLLQHADTSRTEIWVTNKIDETKVVKWSKVLTVDLKPELDICGLVRFLVDEEKKFLVFGHLNLKSGVPIKQEVYIVGEENKVEKVYSGITSSMANLFDYVPSLTQIQ >scaffold_401263.1 pep chromosome:v.1.0:4:12481918:12483454:-1 gene:scaffold_401263.1 transcript:scaffold_401263.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP94C1 [Source:UniProtKB/TrEMBL;Acc:D7LJ13] MLLIISFIIVSFFFFIIFSLFHILFLQKLRYCNCEICHAYLTSSWRKDFINLSDWYTHLLRRSPTSTIKVHVLNSVITANPSNVEHILKTHFHSYPKGKQFSVILGDLLGRGIFNSDGDTWRFQRKLASLELGSVSVRVFAHEIVKTEIETRLLPILTSFSDNPGSVLDLQDVFRRFSFDTISKLSFGFDPDCLRLPFPMSEFAVAFDTASTLSAKRALAPFPLLWKIKRFLRIGSEKKLKESINVINRLAGDLIKHRRLTGLMGKNDLISRFMAVVAEDDDEYLRDIVVNFLLAGRDTVAAGLTGFFWLLMRHPDVENRIREELDRVMGTGFDSVTARCDEMREMDYLHAALYESMRLFPPVQFDSKFALNDDVLSDGTFVKRGTRVTYHAYAMGRMDRIWGPDYEEFKPERWLDNEGKFRPENPVKYPVFQAGARVCVGKEMAIMEMKSIAVAIIRRFKTRLASLESTKTLRFAPGLTATVNGGLPVMIQERSQDS >scaffold_401264.1 pep chromosome:v.1.0:4:12490405:12492457:1 gene:scaffold_401264.1 transcript:scaffold_401264.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LJ14] MPVSVHSVIATNLATTVSSIWFHRDVSRRRIFSSSVKCSVETTEADRWVKLKNGNDSLEICRVLNGMWQTSGGWGRIDRNDAVDAMLRHADAGLSTFDMADHYGPAEDLYGIFINRVRRERPPEYLEKIKGLTKWVPPPIKMTSSYVRQNIDISRKRMDVAALDMLQFHWWDYANDGYLDALKHLTDLKEEGKIKTVALTNFDTERLQKILENGIPVVSNQVQHSIVDMRPQQRMAQLCELTGVKLITYGTVMGGLLSEKFLDTNLTIPFAGPRLNTPSLQKYKRMVDAWGGWNLFQGLLRTMKSISTKHGVSIPTVAVRYVLDQQGVAGSMIGVRLGLAEHIQDANAIFSLVLDEEDVNSIQEVTKKGKNLLQVIGDCGDEYRRI >scaffold_401266.1 pep chromosome:v.1.0:4:12496564:12497677:-1 gene:scaffold_401266.1 transcript:scaffold_401266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYELPGDLVEEILCRVPTISLNRLRYTCKRWNRLFNQRRFTRKHLDKAAKQFLVLMLKQRRVCLMSVNLYGIPSLEVKGELSLIDPHSSVDQFKIFQVSHCDGLLLCNNKDKDTIVVWNPCTGQTRWIQPRKIGYYALGSYQDKKYDDNSYKILCCYGDDNECEIYDINSNSWRTLDVTLECNLEYNEWSMSLKGKTYCFASDEEELHLGIFLVSFDYTTERFGRLLLPCRKSLYYKTASLSVVREEKLSVLLQHENTSRTEIWVTNKIDDTKVVSWSKVLTVDLKPELDIFLGVSFLVDEEKKILVCCDTKVRANSIWEHMVYIVGEDNKIKKVNFGLPLSWPFLFNYVPSLTQI >scaffold_401271.1 pep chromosome:v.1.0:4:12515836:12518846:1 gene:scaffold_401271.1 transcript:scaffold_401271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTAFPKDAESVKLHAKAKQLFANGDHIKALEIIEDMILVNKEENNIRFLHTEQGYMLTKLSEKAENLDLDFAFMLGAVGCFSEYEKLSLLCAHGFHTLAHHIGSVMYYKKCLKKAKQCLSVSNPNEDSGSSVTQMGLRRKTLMNETEKDLETLIKNAVTAIAVSKIGKLKGLQEEDFEPEVLRSKSSSESVNKEFKGLRSFWLGLDVKVKRDFMKVSIAKLISFVKGVHHRPGRDALKQILDSAKEDRKWTFWMCRTKCSKKFSSAEECKVHLEHEHAADFKPLKEEDRIKRIGKDWARKILVGGWEPVDTVAAVEMIKNRLADVKAFSYKNGWSKEWPLAVDEERSKLLKEIKFFLGMFCDLKILPCSVRDCVMQYPLRHFGKLEVSKQSLVDSHLAETPQSICFLECHELNQILDFLKCIKCERDDGTDIVCRAVDSILGSSRVKEKIDFDIQFSLLLLDRRLLKSNNAPFDDDGTINVFDPNVHFAKAPAQGDDIISWLTDYNSVDKTFPRPIREHNLDIWVAVLRAVQFTCRTLETKYAKKVQVLDYFSALTVVEYLCMSEDERRKNLQEDQWNSYASLLCDICEKIDPEYFLTAKLFVCAVQDVFKEALDLTFDFPDFEDCLNLIRERKSLSDDIVLKSISFLKTLTNTKVMLIESKILLVDNSRISLLNNLTRLSSFDNRTYILQLLKPFLLVCLIKPSSNLEPESTSASLRKVEEDSMEPDDTLSSERGRLEISSNTVNQDEVMPREDSQSDHLESALGEAATRYNSALDMTLK >scaffold_401272.1 pep chromosome:v.1.0:4:12525426:12526568:1 gene:scaffold_401272.1 transcript:scaffold_401272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAAEVLVAILEFWHCWKNAERESLVTRLFTLEENERMSCRKCRKKLNYPEQSSYGIVMAADSIRDLKCGLGYIEFVDIFKVIRMECKMLCDIKTGGCGITNFIHHIISKCPPIFTIVLEWEKSETEKEIYETTMALDWEIDFSRLYEGLEPKTNYRLVSMDVGNWKSVVRFCGERKIRPEILFYEVVRAMA >scaffold_401279.1 pep chromosome:v.1.0:4:12565000:12565535:1 gene:scaffold_401279.1 transcript:scaffold_401279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHRLQEPRLCAKNCGFFGSTATQNLCSKCFRDVQHQEQNSSTAKHALNQTLAAVSTGGGTASSSVSPPPQADSKEIVEANSKKRAAAEEEEAAPSQDPKRCLTCRRRVGITGFRCRCGFVFCGTHRYAELHECSFDFKRIGKDKIAKANPIVKADKLEKI >scaffold_401281.1 pep chromosome:v.1.0:4:12609436:12611073:1 gene:scaffold_401281.1 transcript:scaffold_401281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFYKNTISLAYLFCLLATSSSASSFIRQFTDDSNKPLQPYYLHKKENSSRDYKVTASNVVKGLRDRPPSSYSMKMESFNTLLKSANAQGYESRPFSVGGYNCRSGYLSLYVAIDKSTPIAAQKEIYADLRFYIFNKNERKYLTIQDTDIWKFNVFKTMWGFSQVLTIDTFKNPTNGYLYDGDHCEFGVDVTIPPLYEKSEFFSVTENFHNPRFTWTIQRFSMLLKDIYLSDMFYIRVRNWNIQVNPNGRATGEGKALSMYLNLNVNEKFKPYEKIYVRAKLRVLNQRNLNNLERPLDNWFIGPEYGNEHAWGYHEFISFSDLRDSSKGFVVNDVLKVQVEMEAISSTKYSP >scaffold_401282.1 pep chromosome:v.1.0:4:12613513:12614135:1 gene:scaffold_401282.1 transcript:scaffold_401282.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10A family protein [Source:UniProtKB/TrEMBL;Acc:D7LJ31] MSKLNGDAVREAITNIVLASKERKLKMRQLESEALREAITTIEGKSEEKKRNVGKTVELKSEALREAIATIKDKSKEKKPL >scaffold_401284.1 pep chromosome:v.1.0:4:12625949:12626195:-1 gene:scaffold_401284.1 transcript:scaffold_401284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTKSSVALRRAFVTHLDRTYEVVDDPSTDAIISWSQSGKSFIVWNPSEFSKDLLPRCFGHHHFLDA >scaffold_401290.1 pep chromosome:v.1.0:4:12694463:12694653:-1 gene:scaffold_401290.1 transcript:scaffold_401290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTLSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_401292.1 pep chromosome:v.1.0:4:12709901:12711066:-1 gene:scaffold_401292.1 transcript:scaffold_401292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMITSSAEMKKIKSLDDDKLWAGFDWEETDKILSGEIKPRTLITSYPFPAIRYPQRGPLIAQELVSVVPNSCYVRRGIRSKLHEVIAKAKKRHFTSLILTHTNPRGHDELIIISLLNGASAVFRVIDFIPRAEIPNCANPLSRRIYPELHMKSFDSQASVGTARMIQALFPKVPSSGGRPIAWFQKQNNHIFFRSHRFCYEEPLSGESSSVRRQPQECGPRFALKLRAVERVSFDTGKFKLLCVVRLILFDE >scaffold_401293.1 pep chromosome:v.1.0:4:12712801:12713866:-1 gene:scaffold_401293.1 transcript:scaffold_401293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVKYILGSATGFHSLISSPELYQSRSWMGNTEPYLYLFQRLPTYDRWFFVKKTLITDGCIKDDELSLSPIAPSSSAPAKASTVAVGFEIYQIGGTINDDKRPSSAVHVFDCRTHTWRDAPNMTVPRKRAKSAFIDGKIFVIGGIEKELSSMNWAEVFDPKTQTWKPLPSPCDDAKVQLCRGKLYVNSDLKRNGPWCFIENVNFSVLGKKFMWYDSERRKWFMVEGLEDLYTKCVIYYRRLRLANYGGKLVILWDLPVQVPEGKMRKCYCQKNKKIIWCAVIKLKKRIGFSGLEIWGEIEQSNAVLTLHSSFKFLSCSTV >scaffold_401294.1 pep chromosome:v.1.0:4:12714167:12714366:-1 gene:scaffold_401294.1 transcript:scaffold_401294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKRKKRTSSLPPSFASLPNEILLNCLARISRYHYSSLSLVSKSFHQGRNH >scaffold_401295.1 pep chromosome:v.1.0:4:12717046:12718405:-1 gene:scaffold_401295.1 transcript:scaffold_401295.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJK7] MAHEHDEHDELDLEENVNVAVSVSNSLSFPREWIRKTKFLHANHIHDLQVGVAIRTNQAGDDVFLALCVGNRCLVIRLPDMIEGCLADFLTADTNRFVGLHQEPPIELANYKTAAQLGDNHQLNPVVAWNNWPLNDDQVNEAAIESVLVSSSLSVTTVSDHDHVWFSILNYAIPV >scaffold_401296.1 pep chromosome:v.1.0:4:12756761:12758458:1 gene:scaffold_401296.1 transcript:scaffold_401296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTIDCLRRLRSNATSAKPKEDSFADMIDRALEKEFPDNDQNEETVARVKPKKNETKTKEEKSFFNLDNENGVEDTPRLIDRKLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSAAKVLHVSTLLYLSGFFVRTD >scaffold_401297.1 pep chromosome:v.1.0:4:12760651:12760889:1 gene:scaffold_401297.1 transcript:scaffold_401297.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJL1] MPPVPSQIDGWFVYYGIFFANLGASSLGLLTILTTSSGMKIFMNMEKKILFILSIATIYLIERSY >scaffold_401298.1 pep chromosome:v.1.0:4:12761597:12767193:1 gene:scaffold_401298.1 transcript:scaffold_401298.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:D7LJL2] MEEFLDKLPQMDLMRSEKMTLVQLIIPVESAHRSITYLGELGLLQFRDLNADKSPFQRTFANQVKRCGEMSRKLRFFKDQIDKAGLRCSPRHEIEPDIELGDLERQLADHEHEVLEMNSNSEKLRQTYNELLEFKIVLEKASGFLVSSNAHAIGDETELHESTYSNNGFIETASLLEQEMNPGPSNQSGLRFISGIINKDKLLRFERMLFRATRGNMLFNQTTSDEEIMDPSTSEMVEKVVFVVFFSGEQARTKILKICEAFGANCYPVPEDTTKQRQLTREVLSRLSDLEATLDAGTRHRNNALNSVGYSLTNWMTTVRREKAVYDTLNMLNFDVTKKCLVGEGWCPTFAKTQIHEVLQRATFDSNSQVGVIFHVMQAVESPPTYFRTNKLTNAFQEIIDAYGVARYQEANPAVYSVVTYPFLFAVMFGDWGHGLCLLLGALYLLARERKLSTQKLGSFMEMLFGGRYVILLMALFSIYCGLIYNEFFSVPFHIFGGSAYKCRDTTCSDAYTVGLVKYRDPYPFGVDPSWRGSRTELPYLNSLKMKMSILLGIAQMNLGLILSFFNARFFGSSLDIRYQFIPQMIFLNSLFGYLSLLIIIKWCTGSQADLYHVMIYMFLSPTEELGENELFWGQRSLQIMLLLLAFIAVPWMLFPKPFALRKIHMERFQGRTYGVLGTSEVDLDVEPDSARGGGHHEEEFNFSEIFVHQLIHSIEFVLGSVSNTASYLRLWALSLAHSELSTVFYEKVLILAWGYENILIRLIGVAVFAFATAFILLMMETLSAFLHALRLHWVEFMGKFFNGDGYKFKPFSFALISDDDE >scaffold_401303.1 pep chromosome:v.1.0:4:12817186:12817461:1 gene:scaffold_401303.1 transcript:scaffold_401303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTTKSSTARSVELPPRRGKVKREIFGFLANSIVSMMKAGGAFGRNSGGGGGGSSSSTTTPPGSGYTSEQNNEST >scaffold_401306.1 pep chromosome:v.1.0:4:12831202:12834701:1 gene:scaffold_401306.1 transcript:scaffold_401306.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7LJM0] MAKGDDNVQRKKNKLTRKKMSRKNDTATVSARISAIIAAKKRRKSGKRSMCQGMCFTLPTLEDPFNERQGKGDITKKKKKKKKVKSREEKKPSTMSIDGVEKKMDGPPKFLMLNLNEIESSFRKDSTYSEQHDKSLFTSTWGIEFWKCFSSGKDILETSGMSSTVEQIAWIVSSAADAIARREKDEEEEEEEEEEVGNSPFLLYLVPSQSKASQVRSVCKALKGIGIHTVSLHQGASLDHQISGLKSVEPEFIVATPERLLEIVNLKGVDISSVSLLVIDELGSLCTGGYLDAVKSIKQAISSKHQSIVFNDSFSDSTIPAVQSLLGRSINRVTVSDSVASQGSCIIQTVNVCASEEQKLQKFAEHLDSSSSKIIHIVTKEESFKKIKALLKLKGLSVSINSDSKISEVKKSRKPVAHLIDIEQLDTTVMRDFETVLLPDFFPSIEIYTQILTSMARESVHGVLHSFITEKEAASYRAGPLVTILEDCGQNIPDPWRNMHVAMSD >scaffold_401310.1 pep chromosome:v.1.0:4:12857100:12857776:1 gene:scaffold_401310.1 transcript:scaffold_401310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSKRSPTLSKNLFLRINLGLDSIPEAALGKMWSKKARMDEEFVVNLSEDNLTCDESIEASSLWENQVIPNPTSLGTVGEFDGFSIQIWRMQI >scaffold_401312.1 pep chromosome:v.1.0:4:12868292:12869767:-1 gene:scaffold_401312.1 transcript:scaffold_401312.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7LJM8] MDLLFLFFSLLLSYLFFKIWKLIDSKKDKDCYILDYQCHKPSDDRMVSTQFSGEIIYRNQNLGLTEYKFLLKAIVSSGIGEQTYAPRLVFEGREERASLQDGISEMEEFYVDSIGKLLERNQISPKDIDILVVNVSMLSSTPSLASRIINHYKMRDDVKVFNLTGMGCSASLISVDIVKNIFKSYANKLALVATSESLSPNWYSGNNRSMILANCLFRSGGCAILLTNKRSLKKNAMFKLKCMVRTHHGAREESYNCCIQAEDEQGRVGFYLGKNLPKAATRAFVDNLKVITPKILPITELLRFMLKLLIKKIKIRQNPSKGSTNLPPGTPLKAGINFKTGIEHFCIHTGGKAVIDGIGHSLDLNEYDIEPARMTLHRFGNTSASSLWYVLAYMEAKKRLKRGDRVFMISFGAGFKCNSCVWEVVRDLTVGESKGNVWNHCIGDYPPKSILNPYLEKFGWIQDEDPDTFKVPDAFM >scaffold_401313.1 pep chromosome:v.1.0:4:12887918:12888614:1 gene:scaffold_401313.1 transcript:scaffold_401313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPKSGGDPLQHPTKEQLTDISYCITSPPPWPEAILLGATYHSNAVDMWSVGCIFWYYFFSFSFTSVSI >scaffold_401315.1 pep chromosome:v.1.0:4:12896447:12896848:-1 gene:scaffold_401315.1 transcript:scaffold_401315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFPLMAFLLLISLYLTSGYSISRRYDVSKHDDESYVMATNDHGSPMTIVDDLVKASSPHALLDGSKVVANTADPKRSSSKSKKTSLN >scaffold_401316.1 pep chromosome:v.1.0:4:12898908:12900422:1 gene:scaffold_401316.1 transcript:scaffold_401316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFNILMTDKVLMTDLFYGWHFAGTFDCQSRTEGPIGTMRFDAEPTVPPREGRLPDATKGFDHLSDVFAKQMGLSDKDIVVLSGAHISISPFQS >scaffold_401322.1 pep chromosome:v.1.0:4:12951241:12951533:1 gene:scaffold_401322.1 transcript:scaffold_401322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKEKLYNQWSPEETKVLIELLVEGIQREWRDSSGIVNKATVEHRILPILIERCGCQKTHKHYHSKMKYLIWDAMKEVPNLD >scaffold_401323.1 pep chromosome:v.1.0:4:12957938:12959335:-1 gene:scaffold_401323.1 transcript:scaffold_401323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGSIWFYQESMDELRQKLQYNSFELEAVKAKANEETKLHQEEVKNLLHLLKLARQERDEAKDQLQKLLTIKTNSGITESNSHGSSPVDSFFEPVSSSEFSNFNIIPEPVNQIKLKNRQQPVNRILKKIDPVDALMDEIIKGKSLPEKGKLLQTVMKSGPLLQTLLVAGPLPRWRNPPPLQQSLRVPPISNSYGCLPTTTTSMLNFRGCSVPGIATGIEVATKRQRFH >scaffold_401325.1 pep chromosome:v.1.0:4:12993380:12993890:1 gene:scaffold_401325.1 transcript:scaffold_401325.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LK78] MERGRSEMEMINNMANCLILLSKAHQNDTKSRVFACKTCNKEFPSFQALGGHRASHRRSAALEGHAPPSPKRVKPVKHECPICGAEFAVGQALGGHMRKHRGGSGGGVGRSLAPATAPVTMKKSGGGNGKRVLCLDLNLTPLENEDLKLELGRLIF >scaffold_401326.1 pep chromosome:v.1.0:4:13000048:13000553:1 gene:scaffold_401326.1 transcript:scaffold_401326.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:D7LK79] MAPKAGKKPAEKKLSAAEKAPAVEEEKVAEKAPAEKKPKAGKKLPKEAVTGGVDKKKKRVKKSTETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >scaffold_401332.1 pep chromosome:v.1.0:4:13048384:13050933:-1 gene:scaffold_401332.1 transcript:scaffold_401332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLVSSPSSFFGSPLIKPSSSLRHSGGGAQFLPYRSNNNKLFTTSTTVRFSLNEIPPFHGLDSSVDIGAILTRAESLLYTIADAAVVGADSVVSTDSSAVQKSGGWFGFISDGMELVLKILKDGLSAVHVPYAYGFAIILLTIIVKAATYPLTKQQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETSRLYKQAGVNPLAGCLPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGSGISWLFPFVDGHPPLGWYDTAAYLVLPVLLIASQYVSMEIMKPPQTDDPAQKNTLLVFKFLPLMIGYFALSVPSGLSIYWFTNNVLSTAQQVYLRKLGGAKPNMDENASKIISAGRAKRSIAQPDDAGERFRQLKEQEKRSKKNKAVAKDTVELLEESQSESEEGSDDEEEEAREGALASSTTSKPLPDVGQRRSKRSKRKRTV >scaffold_401333.1 pep chromosome:v.1.0:4:13052471:13053331:-1 gene:scaffold_401333.1 transcript:scaffold_401333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLALPHRMFCTSVEPDDNRKINIYFVLKYLGTVKEDLKKPVEKFHKCQAMWILVLRTVNMKRKKNELWFVANGFLSMLFYVPITMYAIIDRNKSRKISKLHVQLVSDVKKFLDYPWGREAFSLAMRSICVCLICIDNTKI >scaffold_401339.1 pep chromosome:v.1.0:4:13108477:13110006:-1 gene:scaffold_401339.1 transcript:scaffold_401339.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP710A3 [Source:UniProtKB/TrEMBL;Acc:D7LK91] MVSSISLFASLAPYLVSALLLFLLLEQLSYLVKKRNLPGPLFVLPIIGNVVALVRDPTSFWDKQSAMADTSVGLSVNYLIGKFIIYIKDAELSNKIFSNIRPDAFQLVGHPFRKKLFGDHSLIFMFGEDHKSVRRQVAPNFTRQPLSAYSSLEQIVILRHLRQWEECFSSGSRPVSMRQLIRELNLETSQTVFVGPYLNEEVKNTIRTDYNVFNPGTMALPIDLPGFTFGEARRAVSRLVNTMAVCVVKSKAKMAAGENPTCLVDFWTHSIIGENPPPPHSKDEEISCVLVDFLFASQDASTSSLLWAVVLLESEPEVLRRVREEVARVWSPESNELITADQLAEMKYTRSVAREVLRYRPPASMVPHVAVSDFHLTESYTIPKGTIVFPSLFDASFQGFTEPDRFDPDRFSETRQEDQVFKRNFLTFGIGSHQCVGQRYAMNHLVLFIAMFSSMFDFKRVRSDGCDEIVHCPTMSPKDGCTVFLSSRVVTSPSN >scaffold_401344.1 pep chromosome:v.1.0:4:13142794:13143017:-1 gene:scaffold_401344.1 transcript:scaffold_401344.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHK7] MKNLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCSVSRSSRRVF >scaffold_401351.1 pep chromosome:v.1.0:4:13168695:13169059:1 gene:scaffold_401351.1 transcript:scaffold_401351.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKA5] MGWGKDIVVRYLVAVISCLAIITFPFNATPVATSGRENCQARYFCRVRRDYRVSDKRIYIQICISVATHVSLRALNKWGPKDICTKFSEGKFPQFNSESFVPQSVNV >scaffold_401352.1 pep chromosome:v.1.0:4:13174672:13176356:-1 gene:scaffold_401352.1 transcript:scaffold_401352.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXPA6 [Source:UniProtKB/TrEMBL;Acc:D7LKA6] MAMLGLVLSVLTTILALSEARIPGVYNGGGWETAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGFSCGACFELKCASDPRWCHSGSPSIFITATNFCPPNFAQPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSFRRVPCRKRGGIRFTINGFRYFNLVLVTNVAGVGNIVRLGVKGTHTSWMSMSRNWGQNWQSNSVLVGQSLSFRVTGSDRRSSTSWNIAPANWQFGQTFMGKNFRV >scaffold_401356.1 pep chromosome:v.1.0:4:13207320:13208767:-1 gene:scaffold_401356.1 transcript:scaffold_401356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQLKSWIFELREIVREIKNSHYFLDSWTQFNSVGSFLHIFFQQERFRKLLDPRIFSILLLRNSQGSTSNRYFTIKGVVLFVVAALLYRINNRNMVESKNLYLKGLLPIPMNSIGPRNDTSEESFGSCNINRLIVSLPYLTKGKKISESCFRDPKESTWVLPITQKCIMPESNWSSRWWRNWIGKKRDFCCKISNETVTGIDISFKEKDIKYLEFLFVYYMDDPIRKGHDWELFDRLSPSKRRNIINLNSGQLFEILVKDWICYFMFAFREKIPIELEGFFKQQRAGSTIQSNDIEHVSHLFSRNKWTISLQNCAQFHMWQFHQDLFVSWGKNPHESDFFRKLSRENWIWLDNVWLVNKDRFFSKVRNVSSNIQYDSTRSSFVQVTDSSQLNGSSDQFIDPFDSISNEDSEYHYHTLINQREIQQLKERSILLDPSFIQTEGREIESDRFPKYLSGYSSMPPTHGT >scaffold_401357.1 pep chromosome:v.1.0:4:13209018:13209885:1 gene:scaffold_401357.1 transcript:scaffold_401357.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L23.1 [Source:Projected from Arabidopsis thaliana (ATCG00840) TAIR;Acc:ATCG00840] MDGIKYAVFTDKSIRLLGKNQYTFNVESGSTRTEIKHWVELFFGVKVIAMNSHRLPGKVKRMGPILGHTMHYRRMIITLQPGYSIPPLRKKRT >scaffold_401358.1 pep chromosome:v.1.0:4:13221944:13222157:1 gene:scaffold_401358.1 transcript:scaffold_401358.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKA9] MELASFLGGVAATAALPRFNGFTLSQANAWEIRRVLFQFLVVGDFSDVPALRTVVSG >scaffold_401359.1 pep chromosome:v.1.0:4:13270373:13272100:1 gene:scaffold_401359.1 transcript:scaffold_401359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSIIPCVNLTNFLIPLLSTYMAVAAMMNIQTAYGLDLRSKGLSGTIVPALQYLTELQRLNLCWNKLNASVPQALHDREKKGLQLMIKGNPKLCTDDRCKFKNKKILVPVVASVARLVLIIPLLVLIFMCTKKNMRKARNQQPIKSPILTTKIRFTNPKLQAMTNNFGRVIGEGGFRTVYHGFSRSIWKVLELAVSCVIPSSAGRPNMSHADNQLDECLLYENSKNLERFKDFY >scaffold_401362.1 pep chromosome:v.1.0:4:13294208:13296513:-1 gene:scaffold_401362.1 transcript:scaffold_401362.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7LKB4] MAMEKSSSKYRTQFWYVAMASFLLWLVLLYLFSSSAKTVHNHERLFRQENVIDLPVENVPHNHESDEAPVVVSEDVSVDNSSLPVSVDISPDDQMSEDKKVVTDLVEELEKERVENEKKRVDSVITIGRSSRARRGHREPRKARSSGRLEAEKKKVRQKDDDESNVENSDENHQALDKEPNFFEPRKDVEPKKAQVDDNDDDLESKRGKELPNDLSSNDVEDDNTSEPEPKTQRKFSSNNNNTSEAKNRVISKRNQPKRVGKVMLRPRETRSNDPCKGKYVYMHEVPALFNEELLKNCWTLSRWTDMCELTSNFGLGPRLPNMEGVSGWYATNQFTLEVIFHNRMKQYKCLTKDSSLASAVYVPYYPGLDLMRFLWGPFPFMRDAAALDLMKWLRESPEWKRMDGRDHFMVAGRTTWDFMRTPENESDWGNRLMILPEVRNMTMLLIESSPWNYHGFAVPYPTYFHPSTYAEIIQWQMRMRRINRRYLFSFVGAPRPNLGDSIRTEIMDQCKASTRKCKLLECVSGSQKCYKPDQIMKFFLSSTFCLQPPGDSYTRRSTFDSILAGCIPVFFHPGSAYAQYIWHLPKDIAKYSVFIPEKNVKEGKVSIENVLSRIPRTKIFAMREQVIRLIPRLMYFNPSSKSEDTGRFEDAFDVAVEGVLERVEGLRKRIEEGKEEIFDFPEQYSWKYNVFGNVERHEWDPYFDRP >scaffold_401363.1 pep chromosome:v.1.0:4:13298138:13299323:1 gene:scaffold_401363.1 transcript:scaffold_401363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALNKIWDASVLGSCGVITDCSGTCKTKFGQDASGDCDRDGGVGTCVCGYSCPSPHPHM >scaffold_401365.1 pep chromosome:v.1.0:4:13304335:13309833:1 gene:scaffold_401365.1 transcript:scaffold_401365.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7LKB7] MGSYSAGFPGSLDWFDFPGLGNGFYLNDQPLLETGSVPPPPEPYSQQSLASADADFSDSVLKYISQVLMEEDMEDKPCMFHDALSLQAAEKSLYEALGEKYAVDDSDQPLTTTSLAQLVSSPDGSSYASSITTTSSDSQWSFDCLENNRPSSWLQTPIPSNFVFQSTSTRTSPQSVVGSGNAVFGSSFSGDLASNMFNDSELALQFKKGMEEASKFLPKSSQLDNSVPYRLTGKKSHWREDEHLAEERSRKQSAVYVDETDELTEMFDKILIFGEAKEQPVCILNENFPKEPAKASSFGKSHKSEKPDASGNSYTKETPDLRTMLVSCAQAVSINDRRTADDLLSQIRQHSSSYGDGTERLAHYFANSLEARLAGIGTQVYTALSSKKTSTSDMLKAYQTYISVCPFKKIAIIFANHSIMRLASTANAKTIHIIDFGISYGFQWPSLIHRLAWRRGSSCKLRITGIELPQRGFRPAEGVIETGHRLAKYCQKFNVPFEYNAIAQKWETIKLEDLKLKEGEFVAVNSLFRFRNLLDETVAVHSPRDTVLKLIRKIKPDVFIPGILSGSYNAPFFVTRFREVLFHYSSLFDMCDTNLTREDPMRVMFEKEFYGREIMNVVACEGTERVERPESYKQWQARAMRAGFRQIPLDKELVQKLKLLVESGYKTKEFDVDQDCHWLLQGWKGRIVYGSSVWVPLFIQTEYFDGNPNFLTDPMEDQYPPPSDTLLKYVSEILMEESNGDYKQSMFYDSLALRKTEEMLQQVITDSQNQSFSPDSMITNSWDASGSIESAYSADLQIGLPVDEFMVKSVFSDAESALQFKKGVEEASKFLPNSDQWVINLDIERPERRGLVKEEMGLDQLRIKKNHEREIILDFEEVRSSKQFASNIEDGKITEMFDKVLLLDGECDPPTLLDSEIQAIRSSKNRGGKGKKKKCQVVDFRTLLTHCAQAISTGDKTTALDFLLQIRQQSSPLGDAGQRLAHCFANALEARLQGSTGPMIQNYYNAITTSLKDTAADTLKAYRVYLSSSPFVTLMYFFSIRMILEVAKDAPVLHIVDFGILYGFQWPMFIQYISGRNDVPRKLRITGIELPQCGFRPAERIEETGRRLAEYCKRFNVPFEYKAIASQNWETIGIEDLDIRPDEVLAVNAGLRLKNLQDETGSEENCPRDAVLKLIRNMNPDVFIHTVVNGSFNAPFFISRFKEAVYHYSALFDMFDSTLPRDNKERIRFEREFYGREAMNVIACEEADRVERPETYRQWQVRMVRAGFRQKPIKPELVELFREKLKKWRYHKDFVVDENSKWLLQGWKGRTLYASSCWVPA >scaffold_401370.1 pep chromosome:v.1.0:4:13333479:13333702:-1 gene:scaffold_401370.1 transcript:scaffold_401370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHK7] MKNLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCSVSRSSRRVF >scaffold_401373.1 pep chromosome:v.1.0:4:13372179:13372753:1 gene:scaffold_401373.1 transcript:scaffold_401373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFSHQINGHGSTLAVPQLLKSSACGFILYMSWTKSFKTNCCLTNLDTRPLGGSEDGLVFFL >scaffold_401375.1 pep chromosome:v.1.0:4:13392403:13395068:-1 gene:scaffold_401375.1 transcript:scaffold_401375.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:D7LKN1] MVTWVLHYLLVAFLIAISYNIDAASAGITRHYQFDIQLKNITRLCKTKTIVTVNGKFPGPKVTAREGDNLQIKVVNHVSNNISIHWHGIRQLRSGWADGPSYVTQCPIRTGQSYVYNFTVTGQRGTLWWHAHIQWMRATVYGPLIILPKLHQPYPFPKPYKQVPIIFGEWFNADPQAVLQQALQTGAGPNASDAHTFNGLPGPLYNCSTKDTYKLMVKPGKTYLLRLINAALNDELFFTIANHTLTVVEADACYVKPFQTNTVLLGPGQTTNVLLKTKPIYPNATFYMLARPYFTGQGTIDNTTVAGILQYHHHSKSSKNLSIIKPSLPPINSTSYAANFTKMFRSLASSTFPVNVPKTVDKKYFFTVGLGTNPCPKNQTCQGPTNATKFAASINNVSFILPDKTSLLQSYFVGKSNNVFMTDFPTAPFFPFNYTGTPPNNTMVSRGTKVVVLKYNTTVELVLQGTSILGIEAHPVHLHGFNFYVVGQGFGNFNPARDPKQYNLVDPVERNTINVPSGGWVAIRFLADNPGVWLMHCHIEIHLSWGLTMAWVVLDGDLPNQKLLPPPSDFPKC >scaffold_401378.1 pep chromosome:v.1.0:4:13416943:13417525:1 gene:scaffold_401378.1 transcript:scaffold_401378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVARIKLLRNKRLVVVKQMRRDIAVLLQSGQDATACIRMNGQEEVKRINVLMM >scaffold_401379.1 pep chromosome:v.1.0:4:13419871:13420894:-1 gene:scaffold_401379.1 transcript:scaffold_401379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEQSSRWNLQGMTAIVTGGTKGIGDESQLNQCLKVWETKGFNVTGSVCDISSRTDREKLMQTVSSLFEGNLNILTEENLRDNSRWSIGGMTALVTGGSKGLGSVSTSHTSHTILIIQYGIIYLYMVFDCISMFQPE >scaffold_401380.1 pep chromosome:v.1.0:4:13421269:13421925:1 gene:scaffold_401380.1 transcript:scaffold_401380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTTPSLSLSNFTPRFQHPVKTHHISPLSWSVSRRKILSSRVLRVHKEKTQLWRVSATPEEISQEIVSSDSSSEAIVSSGGQDGVALIIQVLLFVAFLALTVLTIGVVYIGVTEFLGKREREKFEKDEAAKKSKKGGKKKAMRAKAGPRGFGQKIEDDDIDIDLE >scaffold_401383.1 pep chromosome:v.1.0:4:13436713:13440764:1 gene:scaffold_401383.1 transcript:scaffold_401383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSAEQDTRFSNKQAKLMKSQKFAPELENLVDVTKVKMDVMKPWIATRVTELLGFEDEVLINFIYGLLDGKVVNGKEIQISLTGFMEKNTGKFMKELWTLLLSAQNNSSGVPQQFLDAREAETKKKQEEAIQKADRLANEIERKREGEKKNPEHDILRKIDSGVEHKETNGMDTKPSRDRLEDGRRADEKNGVKERRRDLIPPRGRDASRSPLRGSRSRSISKTNSGSKSYSGERKSRSLSQSSDASISPRKRRLSNSRRRSRSRSLRRSLSPRRRTIHSPYGSRSRSPIRRHRRHTPEGRRQSPAPSRRRRSPSPPARRRRSPFPPSRRRRSPSPPARRRRSPSPPVRRRRSPSPPARPRRSPSPPARRRRSPLPFRRRRSPSPPARRRRSPSPLYSRNRSRSPLVKRERSGSQGRSPSPVARRRDPTGARLPSPPAEQRLPSPPAGQRLPPPLPRRAGLPSPMRVGGSHAANHLESPSPRPSSLSPPGRKKVLPSPPVRRRRSLTPAEERVSLSPAGRHEAESLSHVKQGGSTSPARGQGKSSPTRHQKARSPVRRRSPTPVNRRNRRFPSASQSPDDRRKRRSPSSSRSPSRSRSPPVLHRSPSAYGRKHQRDRRSPGHLSEEQDRVQNSKLLKRTSVPDTDKRKQLPEEVLEVGRVDHYREQERKSDKLTERRSGHRTHGSQMSPVENSEGKSRPVSGKVKDSEQVEKDDNSDLDANLSSDSKESSRHRTKDKKRRKNKRSSREEVSSDDNGSSDSDLDDRKEAKRRRKEEKKTRKEEKKRRREERRRKREERRGGKEKHKNRELSDTSEGEAEARPKNKKGGESDPKRLEIELRNKALESLKAKKGISH >scaffold_401384.1 pep chromosome:v.1.0:4:13441485:13443388:-1 gene:scaffold_401384.1 transcript:scaffold_401384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSKSITLSIIFLLYLVSCVSSQRETKFLNHGFLGANLLKFGSSKIHSSGLLELTNTSMRQIGQAFHGFPISFLNPNSSNSVSFSTSFVFAITPGPTTPGHGLSFVISPSMDFTGAFPSNYLGLFNTSTNGNPSNRILAVEFDTVQSVEMKDIDDNHVAIDQNGVVSIESAPAAFFDDREAKNISLKLASGEPIRVWVEYNATEMILNVTLAPLDRLKPSLPLLSRKLNLSGIISQENYIGFAAATGTVTSSHFVLGWSFSIEGKASDFDITKLPSLPDPPPPFSPSPNPPVSAKKNSNNTKLIIVFVASGTFGLMFLGFLGVCVFRRNNTFFTGGARKFSYQTISSATGGFNRFLGEENSGRFFRGQLAPTEIIAVKRITCSTRQQRLSMIAQIDAISRVRHRNLVNLIGYSSRGNEIYLVYKYVPNGSLDRFLLSNERPVLNWSDRFNIIKGIAKALQYLHSECQSTLIHGNVKSSNVLLDEELHAQLGDYGQGNRQSNTGHVAPELVEATAVPTCDTDVFAFGVLIIEIVCGRTAIEPTKPPEEISLVNWVFQGFRKNKLLECCDARINREEMVAREVLLVLKLGLLCTNRSPQVRPVMRKVVQYLDGTEPLPHDD >scaffold_401387.1 pep chromosome:v.1.0:4:13457101:13459015:-1 gene:scaffold_401387.1 transcript:scaffold_401387.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PKK44_2 [Source:UniProtKB/TrEMBL;Acc:D7LKP1] MANTSKSIALSIIFLLSSISCVLSQQENKFLNHGFLGANLLTFGSSKIHQSGLLELTNTSMRQIGQAFHGFPIPFLNPNSSNSVSFSTSFVFAITPGPTTPGHGLSFVISPSMDFSGAFPSNYLGLFNTSTNGNSSNRILAVEFDTVQSVEMNDIDDNHVGIDVNGVISIESASAAYFDDREAKNISLRLASGKPIRVWIEYNATEMMLNVTLAPLDRPKPNLPLLSRKLNLSGIVSEEHFVGFSAATGTVTSSHFVLGWSFSIEGKASDFDITKLPSLPVPPPPLSPSPSPPVSVKKKSSNMKLIIIIATAATFVILFLIFLAVCVFRRRENFTGGARKFSHQTISSATGGFDNSKLLGERNSGSFYKGQLAPTEIIAVKRITCSTRQQKTTLIAEIDAISKIKQRNLVNLHGYCRKGNEIYLVYEYVPNGSLDRFLFNNDRPVLTWVDRFRIIKGIASALQHLHGEVQKPLIHGNVKASNVLLDEELNARLGDYGQGSRHSTTGHVAPELVNTGKATLETDVFEFGVLLMEIVCGRRAIEPTKEPVEISLVNWMLRGVKSGNLLRRCDKRIKKKDLVSEEVSLVLKTGLLCVRRSPEARPMMKKVLEYLNGTESLPHDDYVV >scaffold_401388.1 pep chromosome:v.1.0:4:13477373:13479305:1 gene:scaffold_401388.1 transcript:scaffold_401388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLDMASHLYINPPQNLHFLPSSSSSSLKPHLYLSFKRINPQPKSSSSSVFVPCASQSSIAITSNERWSLNGLSALVTGGTRGIGRAIVEELAGLGAKVHTCARNENELENCLSDWNRYGLRVAGSVCDVSDQSQREDLMETVSSVFDGKLHILVNNVGTNIRKPMVEFTAGEFSTLMSTNFESVFHLCQLAYPLLRASEAGSVVSISSVSGFVSLKNMSVQSATKGAINQLTRSLACEWAKDNIRVNAVAPWYIKTSMVEQNDRERGRFQRQQEESSMNYFPCI >scaffold_401408.1 pep chromosome:v.1.0:4:13566447:13567406:-1 gene:scaffold_401408.1 transcript:scaffold_401408.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione-S-transferase 3 [Source:UniProtKB/TrEMBL;Acc:B2BXJ0] MAEKEEDVKLLGFWASPFSRRVEMALKLKGVPYEYLEQDIVNKSPLLLQLNPVYKKVPVLVHKGKVLSESHVVLEYIDQIWKNNPILPQDPYEKAMALFWAKFVDEQVGPVAFRYVAKAEKGIEVAIKEAQELFMFLEKEVTGKDFFGGETIGFLDLVAGSMIPFCLARGWEGMGIDMIPEEKFPELNRWIKNLKEIEIVRECIPPREKQIEHMKKVVERIKPA >scaffold_401410.1 pep chromosome:v.1.0:4:13571290:13577792:-1 gene:scaffold_401410.1 transcript:scaffold_401410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione-s-transferase 1 [Source:UniProtKB/TrEMBL;Acc:D7LL96] MAKKEESVKLLGFLISPFSRRVEMALKIKGVPYEYLEEDLPKKSNLLLELNPVHKKVPVLVHNEKILSESHLVLNTFLINNVILEYIDQTWNNNPILPQDPYEKAMARFWAKFVDEQILPVGFMPLVKAEKGIDVAIEEIREMLMFLEKEVTGKDFFGRKTIGFLDMVAGSILIPFCLTRAWECMGIDMTPEDTFPELNRWIKKLNEVEIVRECIPPKDKHIERMNKIVERAKST >scaffold_401417.1 pep chromosome:v.1.0:4:13620381:13621869:-1 gene:scaffold_401417.1 transcript:scaffold_401417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPIPAGVTKEQAFSMAQTEMEYRVELFNKLAQTCFNKCVDKRYKEAELNMGENSCIDRCVSKYWQVNGMVGQLLSAGKPPV >scaffold_401425.1 pep chromosome:v.1.0:4:13636982:13638290:1 gene:scaffold_401425.1 transcript:scaffold_401425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSETSDDGSNGGDPTKNLQEDNQNENPQEVEELHNENPPIPQEEEEIHNDNPQEVLEENLPIPQELPIDIIVSIVALIPRCHYPSLSLVSRAFRQVITSHELYLTRSNLGFTEPVLYAFIGFPPYIPPSWFFLRRSNLGFPLQLRIIRSLPPMFPAAAVVTIGYKIYLMGGYNSLVEYRPVSTVVVIDCRFHTWGYLQNMRRARYHAAAGVFDGNIYVIGGCKKRDDDWVEVFNVTTETWATVPSQCPEDASENGEFITYVVMQGRIFTLELGGCLAYEPVQGLWQSWGVLSELMRFWHPSSSCVVGDLLYALDLTCSRGHPIVVYYPNELVWRPVQGVYSYNLPILSYNWSKMANFGGKLVILSGGYSLWFKDIWCIEIALEIRQGNQIWGVVESISLVFRDVMMAPSIELCRTVTF >scaffold_401431.1 pep chromosome:v.1.0:4:13662547:13662760:-1 gene:scaffold_401431.1 transcript:scaffold_401431.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LLB3] MVLRSFKKGTNLLNRHGPMQPKAKGFADVSTFSDILTSVDQDSKIRYVRRRTSFHE >scaffold_401437.1 pep chromosome:v.1.0:4:13679737:13680088:-1 gene:scaffold_401437.1 transcript:scaffold_401437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTFPQTFTGILKPSRTLASSVEQFNKSSYALFARAIYDHLLIGDFSKLVFKLESFKTSKDLPTIASFLKLRISLENSLSLYLYLSCIVSLLSCMNIPLLRF >scaffold_401438.1 pep chromosome:v.1.0:4:13680382:13680920:-1 gene:scaffold_401438.1 transcript:scaffold_401438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQPLFPLPSFPVDLLGEFASSVFSSQRFKSGEVSFQPFCASLQKSEPFSSRSGPSPQSQTVSTHRISLMARESLGPSVALCPVSSSTAHDDSQSCLKAPHSILRSVHFIISSGSVLKIYYSITIGRGLHVRVVVTSLGWICHCQLRNLLELEVLLLSDAISS >scaffold_401441.1 pep chromosome:v.1.0:4:13699116:13701228:1 gene:scaffold_401441.1 transcript:scaffold_401441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAESYSAEPETQLLRFMDYVGRELTQVRFPWLKMFPFKGPWPKLIDVINVPVCDIPEPIYKTSVDWIKQVPVMTLSGFIVWAFRCTLTHLEAQQEGVNSGKIGEQPTSPKPHVVVFVTLAMVLRTRPETFTFALRTIRQRRMFQGQDKIPFTVWMMAQASQGYLCAGLLSWAHNLLPVVSNSDCNPQSRDLILQLVEKILSDPMAWTMRRNQAIRERERLIPPPSFEILLRLTFPASSARVKATERFEAIYPSLKEVALAGAPGSEAMKQVIKQIFTLSLKLAKEGNPTLAKEATEIAIWCVTEHVDCCEHWDNLYMRNPAASVAVLKKLVDNWKDHSLKIFSSPRNTLTLGQTMKSFRLENQKAITEGGANVSLYKEADKYCKLISRRLSYNHYCLKATAVVLAAAGATAAVVLSSNMELKNLVNSLDLHLYLNAITTAFNI >scaffold_401446.1 pep chromosome:v.1.0:4:13723181:13724648:1 gene:scaffold_401446.1 transcript:scaffold_401446.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LLC7] MRNTELIFIPTPTVGHLVPFLEFARRLIEQDDRIRITILLMKLQGQSHLDTYVKSIASSQPFVRFIDVPELEEKPTLGGTQSVEAYVYDVIEKNIPLVRNIVMDILSSLALDGVKVKGFVADFFCLPMIDVAKEVISLPFYVFLTTNSGFLAMMKYLADRHSKDTSVFVRNSEEMLSIPGFVNPVPANVLPSALFVEDGYDAYIKLAILFTKANGILVNSSFDIEPYSVNHFLDERSYPSVYAVGPVFDLKAQPHPEQDLARRDELMKWLDDQPDASVVFLCFGSMGRLRGPLVKEIAHALELCQYRFLWSLRTEEMTNDDLFPEGFLDRVSGRGMICGWSPQVEILAHKAVGGFVSHCGWNSIVESLWFGVPIVTWPMYAEQQLNAFLMVKELELAVELKLDYRVYSDEIVNANEIETAIRCVMSKDNNVVRKRVMDISKMARKATCNGGSSYSAIEKFIHDVIGIKPKPFLVQ >scaffold_401447.1 pep chromosome:v.1.0:4:13724876:13725270:-1 gene:scaffold_401447.1 transcript:scaffold_401447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVSPLLTFIVILLSSIMINHLPVASSKQWCVSMQTAKDEQLEDNIGFACANGVDCRPILPSGACFKPNTTISHASYLMNSYYEQHGRTNNSCFFFFPNSAMLTSTDPSYNHCIYK >scaffold_401449.1 pep chromosome:v.1.0:4:13730609:13732097:1 gene:scaffold_401449.1 transcript:scaffold_401449.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LLD0] MGMQEEAELVIIPFPFSGHILATIELAKRLISQDNPRIHTITILYWGLPFIPQADTIAFLQSLVKNESRIRLVTLPEVQNPPPMELFVEFAESYILEYVKKMIPIVRDGLSTLLSSRDESDSVRVAGLVLDFFCVPMIDVGNEFNLPSYIFLTCSAGFLGMMKYLPERHRKIKSEFTRSSNEELNPIPGFVNSVPTKVLPSGLFMKETYEPWVVLAERFPEAKGILVNSYTSLEPNGFKYFDRCPDNYPTVYPIGPILCSNDRPNLDSSERDRIIRWLDDQPESSVVFLCFGSLKNLSATQINEIAQALELVECKFIWSFRTNPKEYASPYEALPDGFMDRVMDQGLVCGWAPQVEILAHKAVGGFVSHCGWNSILESLGFGVPIATWPMYAEQQLNAFTMVKELGLALEMRLDYVSEDGDIVKADEIAGTIRSLMDGVDVPKSKVKEIAEAGKEAVLDGGSSFVAVKRFIGDLIDGVSIRK >scaffold_401452.1 pep chromosome:v.1.0:4:13740917:13741815:1 gene:scaffold_401452.1 transcript:scaffold_401452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLALRDGTQLELPCQSRTGGPFGTMRFDAEQAHGANSGIHIALRLFDPIREQFPTISFLAEVVAVEVTGGPEIPFYPGREDKPQPPPEGRLPDATKTFDH >scaffold_401458.1 pep chromosome:v.1.0:4:13771046:13771362:-1 gene:scaffold_401458.1 transcript:scaffold_401458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKKKKMEEGICCLSTCQVKVLRISGYQGTCRELKQMRHFLGHLKCLETVNVGVEVNHREDNDVNNKYLRITDALMKLPRVSSNCRIHFF >scaffold_401459.1 pep chromosome:v.1.0:4:13772634:13773318:-1 gene:scaffold_401459.1 transcript:scaffold_401459.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLE3] MDINQIDKAVEVGEIILELRGGVNPPSGVPNLQHEETNPSPREPTLSPEVLNMPHEETNASPREPTLSPEGSSPPHQETNPSPREPTLSPVEPNQPLAQFALRRLHGCSFFGCNHGVAQGMRYCSQDHSQMANNDKDMAYVVVDDFFNVQERNARCIISVMKKENGIMTGIDTIQDSTIFKKIPWEWEDWIDRDYLDLELVEAGFFTILSLSQR >scaffold_401461.1 pep chromosome:v.1.0:4:13778693:13779463:-1 gene:scaffold_401461.1 transcript:scaffold_401461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQDFISGCHVLEELFLHYDNDSRCPAWNGLVSSPSIKRLNIYHNLPELRLKAYDECWFKTRSLIYLEYSSYVTEKYVVDFGSLVEARLDLRLIDDDENENESDEDEAGEDENVFGDVKDLVKGMINVKTLHLSLILLSNKSRCFTCGVIQFRYFKTSSLYFESDKERGWQVVPLLLNNSPNLETLVIKVLSISFDNLTHTHNLIFLC >scaffold_401462.1 pep chromosome:v.1.0:4:13782277:13783473:-1 gene:scaffold_401462.1 transcript:scaffold_401462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCGSRDLISSLPNEILGKILSLLWTNRAACTSVLSKRWRNLLALVDNRDLNDASGCPRDFCDFVDKTLALLRNSTTVKRFSLNGAFEHDASQIDSWIHTLLQRGFLELHLETLRVHRIDTEFFKSNTLVEITICDIGIRVWRNVLGSVFSCA >scaffold_401475.1 pep chromosome:v.1.0:4:13910042:13910471:1 gene:scaffold_401475.1 transcript:scaffold_401475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTELCRDKPFDPSHPLNIRVKLKASDTGLSNTLTMPKELVEKNLFPWFSKHRCVKLSQHDSVQLVDLFEYDSKITTTLTMKKEKDGNFKFYGWNNILDQRKFKTGDIIGFWWDKFYDRLNFELLSSA >scaffold_401476.1 pep chromosome:v.1.0:4:13910739:13911112:-1 gene:scaffold_401476.1 transcript:scaffold_401476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRICDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >scaffold_401477.1 pep chromosome:v.1.0:4:13912443:13915945:1 gene:scaffold_401477.1 transcript:scaffold_401477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQCLTFLFLFLLQNATSASPLPFFRRPNQSNFAKHPRRNPVVLPVNHSSCDLFAGEWVRDETYPLYRAKECGGGIIDPGFDCQTYGRPDSDYLKFRWKPFNCNVPRFNGVKFLQEMRDKTIMFVGDSLGRNQWESLICMISSSAPSINTHIIHEDPLSTFMILDYNVKVSFYRAPYLVDIDKIHGKTTLKLDEISVDASTAWRTADVLLFNTGHWWSHTGSLRGWEQMETGGRYYGDMDRLVALRKGLRTWSGWVLRYINSPLTRVFFLSVSPTHYNPNEWTSRSKTSTITQGAKSCYGQTTPFSGTSYPTSSYVNQKKVIDDVVKEMKSHVSLMDITMLSALRVDGHPSIYSGDLNPSLKRNPDRSSDCSHWCLPGLPDTWNQLFYAALLY >scaffold_401479.1 pep chromosome:v.1.0:4:13923672:13923897:-1 gene:scaffold_401479.1 transcript:scaffold_401479.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LLF6] MKKKIRRSLPMEYKGRYILMLQNFILFVCFWEDLCPSVNVKYYINGLPLVWISFRLESKK >scaffold_401482.1 pep chromosome:v.1.0:4:13933724:13935206:1 gene:scaffold_401482.1 transcript:scaffold_401482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISNEPEREHRDEEETGANEDEDTGAQVAPIVRLEEVAVTTGEEDEDTILDLKSKLYRFDKDGSQWKERGAGTVKFLKHRVSGKIRLVMRQSKTLKICANHLVGSGMSVQEHAGNDKSCVWHARDFSDGELKDELFCIRFASVENCKAFMQKFKEVAESEEEKEESKDASDTASLLEKLTVEEKKTEEKPAEKAEEKEENKKSEAVDEKKTEESVTST >scaffold_401487.1 pep chromosome:v.1.0:4:13962054:13971668:1 gene:scaffold_401487.1 transcript:scaffold_401487.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein [Source:UniProtKB/TrEMBL;Acc:D7LBJ7] MAYVRGLASFSLTFSPISLRRFRPRLHRNHSVKPNSRIIICNLKSNYSAGKFRELGGLSRSVELDQFITSEEEEEEAEEIGEGFFEAIEELERMTREPSDILEEMNHRLSSRELQLMLVYFAQEGRDSWCTLEVFEWLKKENRVDEEIMELMVSIMCGWVKKLIQEECDAHQVFDLLIEMDCVGLKPGFSMMEKVIALYCEMGKKESAVLFVREVLRRRDGFGYSVVGGGSEGRKGGPVGYLAWKLMVDGDYKKAVDMVMELRLSGLKPEAYSYLIAMTAIVKELNSLGKTLRELKRFTRAGFVAEIDDHDRVLIEKYQSETLSRGLQLATWAVEEGQENDSIIGVVHERLLAMYICAGRGPEAEKQLWKMKLAGREPEADLHDIVMAICASQKEVNAVSRLLTRVEFMGSQRKKKTLSWLLRGYVKGGHFEEAAETLVSMIDSGLHPEYIDRVAVMQGMTRKIQRPRDVKAYMSLCKRLFDADIPCDTATHGRRQVECYGYLSLLPRVVHKSVQSRKKKGKNHFVMELEDPTMADSTIKLTVKFGGKSIPLSVSQDCTVKDLKSLLQPITNVLPRGQKLIFKGKVLVETSTLKQSDVGSGAKLMLMASQGLHQGEGPVLKEASTRPISRTVVSDKVDQRKPSLLVDKKRTDRWKATGVIALAQANLKEIPEEVWDCGSGVRVLDISENFIKEVPAKISSFGSMQKLLLQGNGLSDESIQWEGIASLKRLMLLSISHNNLTVLPAAVGSLISLRQLDVTNNKLTSLPNELGLLTQLEILKANNNRITSLPESIGNCSFLMEVDLSANILSELPETFTKLRNLRTLELNNTGLKTLPTALFKMCLQLSTLGLHNTEITVEFLRQFEGWDNFDERRRTKHQKQLDFRVVGSGQFDEGADKSW >scaffold_401489.1 pep chromosome:v.1.0:4:13981582:13982207:1 gene:scaffold_401489.1 transcript:scaffold_401489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAASGSPQPSSPSTSPPPPPQPSRYESQKRRDWNTFLQYLRNHKPPLTLTRCSGAHVLKFLKYLDQFGKTKVHVETCPFFGHPDPPSSCSCPHKQAWGSLDALIGRLRAAYEENGGRPDSNPFAARAVRIYLREVKESQAKARGIPYEKKKRKRKPTVTSVRLDVDLTSSVTGDGSNSDSPATSGAVPSLG >scaffold_401490.1 pep chromosome:v.1.0:4:13989627:13989887:-1 gene:scaffold_401490.1 transcript:scaffold_401490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKKEASSPPVKNTIDTRRTVVYTIHQPSIDIFEAFDELLLIKRGGQVIYTGPLGRNSHKIIGYFEIRFYI >scaffold_401491.1 pep chromosome:v.1.0:4:13991816:13992009:-1 gene:scaffold_401491.1 transcript:scaffold_401491.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVTSKLPVVVVLPAASHLESKPQNSEVIAAPDVEVLEAAASELEPKQXX >scaffold_401495.1 pep chromosome:v.1.0:4:14014538:14014925:1 gene:scaffold_401495.1 transcript:scaffold_401495.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBK2] MRIEEIDDGVAEESITLVHKAEQDPAEGLRQDPAEEDPANAQYTARVKERCSLYGCNQVILRGSWYCCPNHENISKDFLALKSAYIIVDGFFGTNITKNQEKNQCNSGDSVGHT >scaffold_401499.1 pep chromosome:v.1.0:4:14030529:14030946:1 gene:scaffold_401499.1 transcript:scaffold_401499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICHVFVFLNSLVSLKSNSTLEGIYSGVQMLTFPLFRDQFLNAKMIVEEWRVGMRIESKKQMELLIVSDEIKELVKRFMDGESEEGKEMRRRTCDLSGICRRAVAETGSSDANIDAFLKDITKIV >scaffold_401509.1 pep chromosome:v.1.0:4:14072972:14073783:1 gene:scaffold_401509.1 transcript:scaffold_401509.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBL6] MIFLFIFYVPEALNPIPVDNDEAPPNQRNLNPPFYVNQSDSQLLGHSTQPSLSNLTPLSPAHSNSIPPSPVRIPPSPDLAIQPEEQRKPDESHQAPSQRNPTLPFPMIQGVSQSPDSIRFPWYRENEFVAVEGAPHGPLPHEFHQMDRLSLCVLNYLFAAGLSYTSSWTQRPSLSTSIYIQCFLNQLAFSLFLLANAVFPRTHPLLAFTIDRLSFLLIVISGYLASMPMFPPYAAITMFLVVVVVFMFSHLRAFRP >scaffold_401512.1 pep chromosome:v.1.0:4:14080935:14081928:-1 gene:scaffold_401512.1 transcript:scaffold_401512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSETPYNPDLEDLRRIPVDLFASKKLPGLSSGDLGFADSSENLVFILRKSSSSLKSLLDSSGVPLFSISRPHNGMWELHKGDVEKRKELVLTAKRTSNKFSKTELKVSFAGESSQHLVIKGCPFQKSCTIYNQDSIVAQTSLMYKLRQIYVGRSKFRLTIFPGSIDHSLVVAMVATFLQG >scaffold_401525.1 pep chromosome:v.1.0:4:14155683:14156989:1 gene:scaffold_401525.1 transcript:scaffold_401525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAVAKTSSPSSNISEIISKFAKVCKFRSIGVFPDQKSNSNDLCEVEILVDDKAKETEVCDFNHKPFTKIQTFCWDDDEIWKLFDMVSALKLAYVEFQQAHLPYDPDKIIEADNLVVSQLEALRRIKRLYLKTKQLNAKKTEFAASCLNGLRDEIEVNEKELEKLKAQVRAKESEIHSLKERLDCLVAENRKHEERIVSVSSFQFAFRAASKAVHDFSKPLITLMKATDWNLDKAVESIVGNVTFAKTSDKKYAFESYIVRRMFHGIKLNPCDVTELMSFDDPLDALTAFPNSAFSRFCGQKYLLVVHPSMEASFFGNMDMRGLVLLGKHPRTMFYHIFAKMAKWVWILGSFAASLDLKAKIFVVRRGTRFSGVYMESVVGDEKEEGQGDLSVEFITMPGFKIGDSVFKSQVYLSKTKE >scaffold_401530.1 pep chromosome:v.1.0:4:14168786:14169952:-1 gene:scaffold_401530.1 transcript:scaffold_401530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LC50] MNNTNRLRRGRSFRQTKFTRSRHDSEEVSSIEWEFISMTEQEEDLISRMHRLVGDRWDLIAGRVVGRKANEIERFWIMRNSDFFSHKRRHFNNSPFFSSPP >scaffold_401531.1 pep chromosome:v.1.0:4:14174887:14176204:-1 gene:scaffold_401531.1 transcript:scaffold_401531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTNRLRPLRSPKQTKFTLRYSEEVSSVKWEFTNMTEQEEDLIFRMHRLVGDRWDLIAGRVVGREAKDIERYWIMRNCDHCSHKRRRRFHKLSRFSISPP >scaffold_401535.1 pep chromosome:v.1.0:4:14203622:14207539:-1 gene:scaffold_401535.1 transcript:scaffold_401535.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-level expression of sugar-inducible gene 2 [Source:UniProtKB/TrEMBL;Acc:D7LC55] MFEVKMVSKMCMNASCGTTSTVEWKKGWPLRSGLLADLCYRCGSAYESSLFCEQFHKDQSGWRECYLCNKRLHCGCIASKVTIELMDYGGVGCSTCTCCHQLNLNTRGENPGVFSRLPMKPLADRQHVNGESGMNIDGGRNEAGLFSQPLVMGGDKREEFMPHRGFGQLMNSENTTTGYRLDAAGEMHESSPSQPSLNMGLAVNPFSPSFATEGLEGKKHIGASQPNMVHGSASNILQKPSKPAISTPPVASKSAQARIGRPPVEGRGKGHLLPRYWPKYTDKEVQQISGNLNLNIVPLFEKTLSASDAGRIGRLVLPKACAEAYFPPISQSEGIPLKIQDVRGKEWTFQFRYWPNNNSRMYVLEGVTPCIQSMMLQAGDTVTFSRVDPGGKLIMGSRKAANAGDMQGCGLTNGTSTEDTSSSGVTENPPSINGSSCPSLIPQELNGMPENLSSQKSETNGGRIGDDPARVKEKKRTRTIGAKNKRLLLHSEESMELRLTWEEAQDLLRPSPSAKPTIVVIEEKEIEEYDEPPVFGKRTIVTTRPSGEQERWATCDDCSKWRRLPVDALLPFKWTCIDNVWDVSRCSCSAPEESLKELENVLKVGREYKKRRTGESQAAKSQQEPCGLDALASAAVLGDTIGEPEVATTTRHPRHRAGCSCIVCIQPPSGKGRHKPTCGCTVCSTVKRRFKTLMMRRKKKQLERDVTAAEDKKKKDMELAESDKSKEEKEVNTARIDLNSDPYNKEDAEAVAVEKEESRKRAIGQCSGVVAQGAGDVLGVTELEGEAKKVGEEPRVSS >scaffold_401542.1 pep chromosome:v.1.0:4:14241040:14242839:1 gene:scaffold_401542.1 transcript:scaffold_401542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVDEIEDFRFEDLEMETEKDKERMMPATTRTTRMSYSSSSSPSPSSASSSAASSLAAKAIRASSAHRDSSLSSAYSSPSSAPVPTPPKEVNKAHEYTSMKSLNEPKRGFWGSLASKAKAFLDEDDPNQLPQSPKRTEQNIPSPTTSGTKEAGQTGRKSENPSLQKRLDAITSSLNYIGGTIGTVVEEGITAVENRTAGIIQETRKKIKKKPSLTRNPQNPEIQADLEIQLKASRDVAMAMAAKAKLLLRELKMVKSDLAFAKQRCAQLEEENKVLRENRSGDSQTDDDDLVRLQLETLLAEKARLAHENSIYTRENLYLRGVVEYHQLTMQDVVYFDEKTEEVTEVYPINVSAMSSSSDNSHNPNPSFLEPK >scaffold_401543.1 pep chromosome:v.1.0:4:14243170:14243518:-1 gene:scaffold_401543.1 transcript:scaffold_401543.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7LC62] MDKVVRMSSDKGVVIFSKSSCCLSYAVQVLFQDLGVHPTVHEIDKDPECREIEKALMRLGCSTPVPAIFVGGKLIGSTNEVMSLHLSGSLVPLVKPFQANLC >scaffold_401546.1 pep chromosome:v.1.0:4:14251409:14252218:-1 gene:scaffold_401546.1 transcript:scaffold_401546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTASASTVSAARPAILLKPTVAVSAPVLGLPPMGKKKGGVRCSMETKQGNVSAMGAGVSAAATAALTAVMSNPAMALVDERMSTEGTGLPFGLSNNLLGWILFGVFGLIWTFFFVYTSSLEEDEESGLSL >scaffold_401547.1 pep chromosome:v.1.0:4:14252665:14256066:-1 gene:scaffold_401547.1 transcript:scaffold_401547.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LC66] MNQVRRWQRILILSLLLLSVLAPIVFVSNRLKSITSVDRGEFIEELSDITYKTDDLGLTAIEQDEEGLKEPKRILQDRDFNSVVSSNSSDKSNDTVQSNEGDKTTFLSEVDGGNNHKPKEEEAVVSQKTTVSSNAEVNLSARDIQLNHKTEFRPPSGKSEKNTRVQLERATDERIKEIRDKIIQAKAYLNLALPGNNSQIVKELRVRTKELERAVGDATKDKYLPKSTPNRLKAMEIALYKVSRAFHNCPAIATKLQVMTYKTEEQARAQKKQAAYLMQLAARTTPKGLHCLSMRLTTEYFTLDHEKRQLLQQSYNDPDLYHYVVFSDNVLACSVVVNSTISSSKEPQKIVFHVVTDSLNYPAISMWFLLNPCGRASIQILNIDDMNVLPLDHAELLMKQNSSDPRIISALNHARFYLPDIFPGLNKIVLFDHDVVVQRDLSRLWSLNMTGKVVGAVETCLEGEPSYRSMDTFINFSNPWVAQKFDPKACTWAFGMNLFDLQEWRRQELTSVYQKYLDLGVKRRMWKAGGLPIGWLTFFGKTLPLEKRLNVGGLGHESGVRASDIEQAVVIHYDGIMKPWLDIGIDKYKRYWNIHVPYHHPYLQRCNIHD >scaffold_401548.1 pep chromosome:v.1.0:4:14258586:14262642:1 gene:scaffold_401548.1 transcript:scaffold_401548.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LC67] MEGDMVAKVKRETVVACMTCSLCEKLLRDATTISECLHTFCRKCIYEKITEDEIECCPVCDIDLGGTPLEKLRPDHILQDLRAKLFPLKRKRERAPEVVSSITLPARRKERSISSLVVSTPRVSAQAGTTGKRTKAATRKDVRGSGSFTKRTVKKEEEFGDDHIESASSPETVKKFTQNKRQSSYAEPNQSLSNRRNKDVDEPWDSKLHLWKPLNFLVDVANGTKDPKSEHGNASHNDVQGSKTKTKDHKRKCKLEEEINNNGDPTISETATLKGTRRTRRKRSSNFGDSRIPPLPGAASLKQERRNGHVWFSLVASNNQEGEASLPQIPANYLRIKDGNIPVSFIQKYLMRKLDLKSEAEVEIKCMGEPVIPTLQLHSLVELWLETTSKHQRVAASIGSSAKEFVMVLVYSRKLPECNN >scaffold_401549.1 pep chromosome:v.1.0:4:14265900:14267352:1 gene:scaffold_401549.1 transcript:scaffold_401549.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 21 [Source:UniProtKB/TrEMBL;Acc:D7LC68] MEEIEGTNRAAVESCHRVLNLLQRPQQQDRVVFDRNLVSETREAVFRFKRVGTLLSSSVGHARFRRAKKVQTNLSQSLFLDPCQQRTTTEVPSSSSQKTPVLRSGFQELSLRQPSDSSLTLGTRSFSLNSNAKAPLLQLNQQTMPSSNYPTLFPVQQQQQQQQQQQPFHERLQAHHLHQQQQLQKHQAEIMLRKCNGGISLSFDNSSCTPTMSSTRSFVSSLSIDGSVANIERKNSFHLVGVRSSTDQNSLHSKRKCPLKGDEHGGLKCGSSSRCHCAKKRKHRVRRSIRVPAISNKVADIPPDDYSWRKYGQKPIKGSPYPRGYYKCSSMRGCPARKHVERCLEDPAMLIVTYEAEHNHPKLPSQAITT >scaffold_401557.1 pep chromosome:v.1.0:4:14310387:14311119:1 gene:scaffold_401557.1 transcript:scaffold_401557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFTILIAIAIDSTSSASTSLPNDNQASAIFNVRAVSDQLIYACKTACVLFKVLELLSKEAQHPPEILEAYTEIKQLVKIFRPYNFIPYFDYNENHPIRRLPELRAAINALNYQPWSAASNKINVMKT >scaffold_401560.1 pep chromosome:v.1.0:4:14325496:14326852:-1 gene:scaffold_401560.1 transcript:scaffold_401560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRWSLQGMTALVTGGASGIGHAIVEELAGLGARIHVCDISETLLNQSLSEWEKKRFQVSGSICDVSSHSERETLMQNVSTMFDGKLNILVNNVGVVHTKPTIEYVAHDFSFHISTNLESAYHLSQLSHPLLKASEFGSIVFISSVGGVVSMECGSIYSLTKGALNQLAKTLACEWARDGIRTNSVAPNFIHTAMAQLFFKDADYEKSLVSRTPLGRAGEPKEVASLVAFLCLPAASYITGQTICVDGGLTVNGFSYKPQA >scaffold_401562.1 pep chromosome:v.1.0:4:14337678:14338880:1 gene:scaffold_401562.1 transcript:scaffold_401562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLTSFKVNHNIWKVKWQKDNVSNQVEHLSSLLANVQRRVFPYEEPPDDPQEPYIKAEALDTVMNKLLENYIRWYKFLDLKHTRWSPHIEEEKDQQRKLQYIGLYLLVWGEAANLRFMPECLCYIYHHVWLWFKLSILVS >scaffold_401564.1 pep chromosome:v.1.0:4:14345245:14347221:-1 gene:scaffold_401564.1 transcript:scaffold_401564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIIHNLSFCSFYSTIIDPKPSFQFSSFVTLAPTFSVQQKLYTRATNKQFIAVSAAPSDVETSSKDESFLVTKVETKNINEVKVHVQVSGEKTKTVFNHVFEKMVAAAQPIPGFRRVKGGKTPNIPRDVLLEILGYSKVYRQVIKKLINSAIEDYVKQEDVKVGKELTVEQSYEDLEETFEPGESFSFDAIIKLQEAS >scaffold_401566.1 pep chromosome:v.1.0:4:14355293:14358385:-1 gene:scaffold_401566.1 transcript:scaffold_401566.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RabGAP/TBC domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LC81] MEKREDEQQKRDDSRFNQTLKNVQGFLKGRSIPGKVLLTRRSDPPPYPISPTYQRSLSENDAGRNERFESPVEVEDHNSSKKHDNTYAGKLRSNSSAERSVKEVQNLKIGVRSSDSARVMKFNKVLSETTVILEKLRELAWNGVPHYMRPDVWRLLLGYAPPNSDRREAVLRRKRLEYLESVGQFYDLPDSERSDDEINMLRQIAVDCPRTVPDVSFFQQEQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVIFLSEYLDGGVDSWSMSDLSAEKVSDVEADCYWCLTKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSRHMEEHGLEFLQFAFRWYNCLLIREIPFNLINRLWDTYLAEGDALPDFLVYIYASFLLTWSDELKKLDFQEMVMFLQHLPTHNWSDQELEMVLSRAYMWHSMFNNSPNHLAS >scaffold_401569.1 pep chromosome:v.1.0:4:14366549:14368433:1 gene:scaffold_401569.1 transcript:scaffold_401569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWICCGEKRGDSDLSNEEVHLKSPWQQSDANQKNQKPQAVAKPEAQKEALPIEVPPLSVDEVKEKTDNFGSKSLIGEGSYGRVYYATLNDGKAVALKKLDVAPEAETNSEFLSQVSMVSRLKHENLIQLVGYCVDENLRVLAYEFATMGSLHDILHGRKGVQGAQPGPTLDWLTRVKIAVEAARGLEYLHEKVQPPVIHRDIRSSNVLLFEDYQAKVADFNLSNQAPDNAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKSVAKLAAVAALCVQYESEFRPNMSIVVKALQPLLKPPAPAPVPES >scaffold_401578.1 pep chromosome:v.1.0:4:14421354:14422435:-1 gene:scaffold_401578.1 transcript:scaffold_401578.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxygen-evolving enhancer protein 2 [Source:UniProtKB/TrEMBL;Acc:D7LC89] MAYSACFLHQSALASSTGRSSSSSSSRHVSLSRPVHLVCRAQQSQEDDNSAVSRRLALTLLVGAAAIGSKVSPADAAYGEAANVFGKPKKNTDFLPYTGEGFKIQIPSKWNPSKEIEYPGQVLRFEDNFDATSNVSVMITPTDKKSITDYGSPEQFLSQVNYLLGKQAYFGETASEGGFDANAVATANILETSTQEIGGKQYYYLSVLTRTADGDEGGKHQLITATVNGGKLYICKAQAGDKRWFKGARKFVENAATSFSVA >scaffold_401579.1 pep chromosome:v.1.0:4:14422871:14429061:-1 gene:scaffold_401579.1 transcript:scaffold_401579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKRFRSDNAAGKPTSVEATRIWATKVIEDFRASGNEVYTFEHNLSNSERGVIHQMCRKMGIQSKSSGRGEQRRLSIFKSRHKNGKNNEANEKSNKEKLKCVSFPPGSDAILQELFTHYPPCDGDTAATSFNKYSGNKGKQGQWKDDFFRKPQISSEEILEKVASLSSRLKKDKALKEISKMRSKLPITSYKDAITSAVESNQVILISGETGCGKTTQVPQYLLDHMWSSKRETCKIVCTQPRRISAMSVSERISCERGESIGENIGYKVRLQSKGGRHSSVVFCTNGILLRVLVGKGSVSSVSDITHIIVDEIHERDCYSDFMLAIIRDLLPSNPHLRLILMSATLDAERFSGYFGGCPVVRVPGFTYPVRTLYLEDVLSILKSGGDNHLSSANLSIPDHKLDLTDEDKLALDEAIILAWTNDEFDTLLDLVSSRGSHEIYNYQHQSTWLTPLMVFAGKGRISDVCMLLSFGADWNLKSKDGMTALELAETENQLEAAQIIREHADNFQSNSQQGQQLLDKYMATINPEQVDVSLIQQLMRKICGDSEDGAILVFLPGWDDINKTRQRLLDNPFFADSAKFDIICLHSMVPAGEQKKVFNRPPRGCRKIVLATNIAESAVTIDDVVYVIDSGRMKEKSYDPYNNVSTLQSSWVSKANAKQREGRAGRCQPGICYHLYSRLRAASMPDFKVPEIKRMPVEELCLQVKILDPNCKTNDFLQKLLDPPVDQSIANALSILQDIGALTPQEELTELGEKFGHLPVHPLISKMLFFAVLVNCLDPALTLACAADYKEPFTMPMSPGERQKAAAAKLELASLCGGDSDHLAVVAAFECWKNAKERGLSAEFCSQYFVSPSAMKMLDQMRSQLESELKRHGIIPNDISSCSQNSRDPGILRAVLAVGLYPMVGRLCPAFGNNRRTIVETASGAKVRVHSLSNNFNLSSKKYDESLVVFDEITRGDGGMHIRNCTVARDLPLLLVSTEIAVAPTGSSDSDDSGEEEEEEEEVGANTNEDRMDIHKEEKRRGAKMMSSPENSVKLVVDRWLPFRTTALEVAQMYILRERLMASILFKVTHPREHLPPHLGASMHAIAGILSYDGQAGLSYTPESMGPKHSRNEMYDTGGWEEKPNSFLNSLFWSLSLKENKHPSHMNRNRQHSFNMAPTEAASIPRQQNNKQRNPKSANNTGSGKKKEKMFVNPTNGINQPEAASTGKPSKHKSGNSSGASNKKENMPSDQARGNKQHNTVPKEAATPMAKNQSSKKTKTRSGNNSDSGKKKDMYVPKIDIHKRQREDKAEQKGDA >scaffold_401584.1 pep chromosome:v.1.0:4:14444580:14445041:-1 gene:scaffold_401584.1 transcript:scaffold_401584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNDKFTLIKHFVFPNFNGRLSLEKVYTMSSFYWLLNSCTSTYMEISFIAFVEKSTTSLSSSGSSCLTLLDSPSNYVIVDSPSNYVIMQNLVNVAPSGMVCLPYLQNWDRLKSRANLVGLISHLSAEFTCQPPFRPHS >scaffold_401597.1 pep chromosome:v.1.0:4:14489719:14490707:-1 gene:scaffold_401597.1 transcript:scaffold_401597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVNVPSLETLCYIYLKLGVGNVGSLVIGSATLRKLFIQDHSGNSCSIENEPRLDYALVGLNCYLDDKFMASLSSVMYLKLYLRSKTDPYCTSIKFSQLRTCTIRPIRIQWLEPFMHFLQNSPKLKVLRIDTSNIRHEGFPRLFNRQSSFPECLSAHLEIFEWKQYGGHYEEKEVVKYILANSKCLKRARISIESTTSGEDERRMRKELESMYRVSPSSQLLFSTQFKTVISFQDVINL >scaffold_401599.1 pep chromosome:v.1.0:4:14498297:14498626:-1 gene:scaffold_401599.1 transcript:scaffold_401599.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LCA8] MAAEEEIHQNRSRSEGLVGPVVLVEPAETVAQVLVQEEASDRGRRPKTKESDESGSKPIEGRELSQEKLAGKTATSPEVRLRDHTLVPNTNQKRR >scaffold_401600.1 pep chromosome:v.1.0:4:14498697:14499162:1 gene:scaffold_401600.1 transcript:scaffold_401600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLPVESPFAIWFGKLGARLCPATTLFRQVGYVADAPTFPPQTLPQVCSYSSPSNPSEMGIYWMLIEFVALVWWLSDLVHRVSMSLDTLVYTFVFFCSTHIALVRSITAVCRFCLNVVLLESCLLAAWTGIPVSVQLAS >scaffold_401602.1 pep chromosome:v.1.0:4:14502644:14502989:1 gene:scaffold_401602.1 transcript:scaffold_401602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFSNQYSANFVLTMQLLCFTEKNGDWSIASSCFRGSKLGFGKSMNLVRICDLTSLRSARRRVSVIRNSNQGSDLAELQPASEGSPLLCIRDSFKKFIF >scaffold_401603.1 pep chromosome:v.1.0:4:14505141:14505456:1 gene:scaffold_401603.1 transcript:scaffold_401603.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCW3] MGEVVSDPAEPNHRRSSTVAGWQRILDHHLRSASVALAHRRSCSGENLQSVGDGYNLF >scaffold_401613.1 pep chromosome:v.1.0:4:14557084:14560608:-1 gene:scaffold_401613.1 transcript:scaffold_401613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIPLGHPQPPSLAPQPPHLHGGDALKRRPDMDTDKEMSAAVIEGNDAVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGESVAIKKVLQDRRYKNRELQLMRLMDHPNVVSLKHCFFSTTTRDELFLNLVMEYVPETLYRVLKHYTSSNQRMPIFYVKLYTYQIFRGLAYIHTAPGVCHRDVKPQNLLVDPLTHQCKLCDFGSAKVLVKGEANISYICSRYYRAPELIFGATEYTSSIDIWSAGCVLAELLLGQPLFPGENSVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHPFFNELREANARLPNGRPLPPLFNFKQELSGASPELINRLIPEHVRRQMNGGFPFQAGP >scaffold_401617.1 pep chromosome:v.1.0:4:14586022:14604189:1 gene:scaffold_401617.1 transcript:scaffold_401617.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7LCX5] MEARVISCTTFGDDKVVKIVVPNHQAITKYKAGENETTVIVSDDTCSEKDVKEIKKCCEKLGYVYEGRLNEEYTQTNTGPDDGFESEDDVDPSIKTIGSNYPHVKRRKKLPDPVEKEKSVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDQASEWGKKGNNLMRILNVAAFAVSGYASTEGRICKPFNPMLGETYEADYPDKGLRFFSEKVSHHPMIVACHCDGTGWKFWGDSNLKSKFWGRSIQLDPIGLLTLQFDDGEIVQWSKVTTSIYNLILGKLYCDHYGTMRIEGNGEYSCKLKFKEQSMIDRNPHQVQGIVEDKNGKTVAKLFGKWDESMLYVMVNQGKVNESHLLWKRNKPPENPTKYNLTRFGITLNELTPGLKEKLPPTDSRLRPDQRYLEKGEFEMGNAEKLRLEQRQRQAREMQERGWKPKWFRKEKGSETYRYVGGYWEARDSGRWDDCPDIFGQVHQSIK >scaffold_401621.1 pep chromosome:v.1.0:4:14612234:14612717:-1 gene:scaffold_401621.1 transcript:scaffold_401621.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCX8] MKRVFSKPYKKTHLSNFGVGPLLPLLVLVTLYVFHNGWLSSEQEFSNQCNRISKVTREVANSGLGETISLGDDSDMLLNIDLHKEKKGFIAYFCPITEKACSEQTIARTHYFYWDLPLPNEIGNSKLVLKMHCMCLCSHCYFLGYYV >scaffold_401623.1 pep chromosome:v.1.0:4:14615849:14616476:1 gene:scaffold_401623.1 transcript:scaffold_401623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTIMGLLIVALSLFSVVRATSLYEVGDSNGWTTTVGLDYYKTWSSSKTFYVGDVLIFQYNKTFHNVMEVSFQDFESCNPNSPLTTYHSQYEPVILNRTGHYYFICGLPGHCESGQKLDVLVMPASLENTTPIIQPNNASSSNPSPKPLEDPLEVLPVDDATIATLPYNAGSSLCVWSGLSMLSSVLLQALVFL >scaffold_401626.1 pep chromosome:v.1.0:4:14622665:14622992:-1 gene:scaffold_401626.1 transcript:scaffold_401626.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LCY2] MAFVSGELSFHSEFCSAKFPACSAFLASLFGVFSGIARLIQRGWPACSASFLGLGLCRRQSASLCRRQSAFVGLWFSEELSSFGFLWTEDLTEV >scaffold_401627.1 pep chromosome:v.1.0:4:14625331:14626455:-1 gene:scaffold_401627.1 transcript:scaffold_401627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGYSVGEGGGEIVEVQGGHIIRATGRKDRHSKVFTSKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKTAIDKLEIGETTTTIRQEPVNTKPESPNLVFQRENNDQTQQTQFVAANLDPEDAMKTFFPATTTTSGGGTNMNFQNYPHHDDDNMVSRTTTTPPNLSQDLGLSLHPFQGNNNTVVVPETNNFTTNHFDTFGRISGWNHPDLTMTSSSSSEHQQQEQEERSNGGFMVNHHHHHQHQPSMMTLLNSQQQQVFLGGHQQQQQRGTLQSSLFPHSFRSWDHHQTVSDHHHQNQASSMFASSSQFGSHGMMMMQGLSFPNTTRLLHGEESTQPNSSSSPPNSHL >scaffold_401628.1 pep chromosome:v.1.0:4:14643327:14643558:-1 gene:scaffold_401628.1 transcript:scaffold_401628.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCY4] MISVSLHLRLFILSASPLSRSGRNQRFVLSDFDFSATGESLSDSFSFPRFWYDLFVKQFLVQ >scaffold_401631.1 pep chromosome:v.1.0:4:14683436:14683637:-1 gene:scaffold_401631.1 transcript:scaffold_401631.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCY7] MSLIQQILRSKLAGLDRPIVPRMALAPQPQSLIHHQHPQQSAYIPYALVWSLP >scaffold_401634.1 pep chromosome:v.1.0:4:14695808:14696178:1 gene:scaffold_401634.1 transcript:scaffold_401634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDGDCRPLGFLLGLPFAFLSLLLSIIGVIIWIVGLLLSCICPCCLCVTVLVEMAICLIKAPIHVMEWFMSKIPC >scaffold_401638.1 pep chromosome:v.1.0:4:14707676:14708278:-1 gene:scaffold_401638.1 transcript:scaffold_401638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIKNNVVFFTSLLILVTLLGVTVGGTVHKVGDTKGWTMAGVDYEDWASSKTFQVGDSLVFAYNKDFHDVTEVTHNDFELCESSKPLKRYKTGSDSISLTKPGLQHFICGVPGHCNIGQKLLIHVLPASLGPVAAPVPGPVRSQSPSPANAPQSQHQIAPSPLQSSASKSASWIGFSLLALILAF >scaffold_401642.1 pep chromosome:v.1.0:4:14721896:14722585:-1 gene:scaffold_401642.1 transcript:scaffold_401642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIQSLILFDRCNLIHLIAFQELLQETWKNCESYTGKHNSEIARVLFPDDVSRGLKFCDEKEALYRKIVAEKTKPLDGLIKLTKWIEDRGLKRAAITNAPKENAELMISKLGLTDFFQAVILGSECEHPKPHPGPYLKALEVLNVSKEHTLVFEHSISRIKAGVDHC >scaffold_401646.1 pep chromosome:v.1.0:4:14737551:14738418:1 gene:scaffold_401646.1 transcript:scaffold_401646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGLSRPNATSGMGVADQSKTTFLELQRKKTHRYVVFKIDESKKQVVVEKTGNPAESYDDFLASLPENDCRYAVYDFDFVTSENCQKSKIFFFAWSPSTSRIRAKVLYSTSKDQFRRELQGIHYEIQATDPTEVDLEVLRERAN >scaffold_401648.1 pep chromosome:v.1.0:4:14752174:14752962:1 gene:scaffold_401648.1 transcript:scaffold_401648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINGGVNVMYFEGFNNGVTRKGRGSRKSRTFPTERERRVHFNDRIFELKNLIPNPTKGGKASIVQDGIVYINELRRLVSELKSLVEKKRCGGRHKNIDSRNTIYVTGEIEHPFSKMRLNHDEENMEKKPESDMIDQCSWLERNSKVIQVYVRVIDDEVTIKVVQKKKINCLFFCLQSA >scaffold_401650.1 pep chromosome:v.1.0:4:14760125:14760344:-1 gene:scaffold_401650.1 transcript:scaffold_401650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LD05] MGPFPNLSGLFNDFRGIFVITSKYDFLSDQLIWAPDILPKLTPTNQSSPFPFVALFLSF >scaffold_401655.1 pep chromosome:v.1.0:4:14783220:14785098:-1 gene:scaffold_401655.1 transcript:scaffold_401655.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA reductase [Source:UniProtKB/TrEMBL;Acc:D7LD09] MAVSNAFVVVSPMLKTSSSSSSNHHNPSSSSPDLIRIHTLSMNNRTRRGLIQRARCELSPSSDSSALNQLKNSPTNDRYTRERSSIVVIGLSYHTATLEMREKLAIPEAEWPRAITQLCALNHIEEAAVLSTCYRIEIYVSALSLHRGVKEVTDWMSKRSGIPVSDICQHRFLLYNKDATQHLFQVSAGLDSLVLGENQIQSQVKQVEKVVKQQGFGRIISALFEKAIKAGKRVREETNIASGAVSVSSAAVELALTKLPGSVSSAMMLVIGAGTMGKLVIKHLVAKGCTKIVVMNRSQEKVAAIREKMPPGVKIIYRPLDEMLACASEANVIFTSTASETPLFLKEHVEILPPCPADVDARLFVDISVPRNVGSCVAELDSARVYNVDDLKEVVAANMEDRARKAMEARDIITEESIKFEGWRDSMTFPTIKKLRSKTEKIRAAAVERFMSKHGKDMDKKTREAVENVTLEIVNRILHDPMKHLRCDGTDSRMLHETLENMQAVNRMYELDGELLEEKIRAKKREQK >scaffold_401658.1 pep chromosome:v.1.0:4:14798406:14800419:1 gene:scaffold_401658.1 transcript:scaffold_401658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPESSRFKSKKRLSMDSPCSKSQIGSPNLSSVSLPTPEKPPESPTLGKFFDALDSSILLSKLRGSKPTFSNISKIIEHLTQRSFCYSHLAQLKHILPEAIEIKRVLIHDETTCCMKPDLHVSLNADAVVYDDKSISESKRINLRKVFRARLAEFVKTHPQGDEVPEEPLPELFNTRKPNENSEVEVGCVSSVMEEMASIPVAKLISSPITSTPSEFNIVHSTPAKIDSTPVVVASTPPEFASTPVRLFSTSQEARSQKRSSGSTNPDDVSADPPVKLVRRSLLLNFDSCSEDKKAMDVTVDQTIVQVPEDVSSNDEEILSMLPDKLRQSIKDQEMKTNEDQNPAISLAKRRREMIACLPKLFDVIHYIIQSIRRWVITKEELVHKIIAGHSDITDRKEVEEQLILMQELVPEWMSEKRSSSEDLLVFINKLASPLTIRSRLEEENKQEMASPLS >scaffold_401660.1 pep chromosome:v.1.0:4:14811505:14812792:1 gene:scaffold_401660.1 transcript:scaffold_401660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTRELYGILGTKYVPLLIRRFSLWSTKKDPDLESALSRNKRWIVNSRLKNIILRCPNQVASVKFLQKKFKTLDLQGKALNWLKKYPCCFHLYLENDEYYCRLTKPMMTLVEEEELVKDTQEPVLADRLAKLLMMSVNQRLNVVKLNEFKRSFGFPDDYVIRILPKYSDVFRLVNYSGRKSSMEIELLLWKPELAVSAVEAAAKNCGSEPSFSCSLPSTWTKPWERFMEFNAFPYISPYEDHGDLVEGSQESEKRSVGLVHELLSLTLWKKLSIVKLSHFKREFGLPEKLNAMLLKHPGIFYVANKYQVHTVILREGYNGSELIHKDPLVVVKEKFGELMQQGLYEYNHRRYLANLEKKREKGIESLKPVVRKKDRNHDGDDVDEEENHGDRPGGLFDPEERKRFYQILFSDTQ >scaffold_401661.1 pep chromosome:v.1.0:4:14815253:14815619:1 gene:scaffold_401661.1 transcript:scaffold_401661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATRPSSSATTSVILENPVSQSQPTERLVLRLNRKKKKVSWKDGTVDNEFMQKKSSKKCCIFHKQKPFDEDDSEEEDDNHHHHHDHHHDHSESGEASSSNDSKAVD >scaffold_401663.1 pep chromosome:v.1.0:4:14818332:14823922:-1 gene:scaffold_401663.1 transcript:scaffold_401663.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:D7LD17] MASPHKPWRAEYAKSSRSSCKTCKSVINKENFRLGKLVQSTHFDGIMPMWNHASCILNKTKQIKSVDDVEGIESLRWEDQQKIRKYVESGAGNSTSTSKSSTANNAKLEYGIEVSQTSRAGCRKCSEKILKGEVRIFSKPEGPGNKGLMWHHAKCFLEMSSSTELESLSGWRSIPDADQEVLLPLVKKALPVAKTETAEARQTNSRAGTKRKNDSGDNEKSKLAKTSFDMSTSGALQPCSKEREMEAQTKELWDLKDDLKKYVKSAELREMLEVNEQSTRGSELDLRDKCADGMMFGPLALCPICSGHLSFSGGLYRCHGYISEWSKCSHSTLDPDRIKEKWKIPGETENQFLLKWNKSQNSVKPKRILHPVSSGETSQGQGSKDATDSSRSEKLADLKVSITGVTKERQAWKKRIEEAGGEFHANVKKGTSCLVVCGLTDIRDAELRKARRMKVAIVREDYLVDCFKKQRKLPFDKFKIEDTSESLVTVKVKGRSAVHEASGLQEHCHILEDGNSIYNTTLSMSDLSTGINSYYILQIIQEDKGSDCYVFRKWGRVGNEKIGGNKVEEMSKSDAVHEFKRLFLEKTGNTWESWEQKTNFQKQPGKFLPLDIDYGVNKQVAKKEPCPASSNLAPPLIELMKMLFDVETYRSAMMEFEINMSEMPLGKLSKHNIQKGFEALTEIQKLLTESDPQPSIKESLLVDASNRFFTMIPSIHPHIIRDEEDFKSKVKMLEALQDIEIASRLVGFDVDSTESLDDKYKKLHCDISPLPHDSEDYRLIEKYLNTTHAPTHTEWSLELEEVFALEREGEFDKYAPHRDKLGNKMLLWHGSRLTNFVGILNQGLRIAPPEAPATGYMFGKGIYFADLVSKSAQYCYTCKKNPVGLMLLSEVALGEIHELTKAKYMDKPPKGKHSTKGLGKKVPQDSEFAKWRGDVTVPCGKPVASKVKASELMYNEYIVYNTAQVKLQFLLKVRFKHKR >scaffold_401664.1 pep chromosome:v.1.0:4:14825760:14826009:1 gene:scaffold_401664.1 transcript:scaffold_401664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKQVIFGVILLAIFVIFLDSTQVGATRLLRTTFDSEIVFESLQKGTVPGSGPNGCSNIPRGSGSCHG >scaffold_401667.1 pep chromosome:v.1.0:4:14830509:14830761:-1 gene:scaffold_401667.1 transcript:scaffold_401667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKQLVVVVMLVVMFVVFLDATQVEAMRPFPETVDEIRLLFQALQRGPVRGSGRNGCTNIPRGSGRCHN >scaffold_401670.1 pep chromosome:v.1.0:4:14842592:14844286:1 gene:scaffold_401670.1 transcript:scaffold_401670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDK2] MGDRNYPYASIGLPKLVDASGDGSKTGNRLAYNNENEVGYQILHKLDLDAISSWGSRARATQYTASLPQSIEDSDEKNSDGYAIVNSCYGFICLHKPETKKISSQYLCSPSVISSQYAICNPVTHEVVLIPKPYPLEEVPVSGFPIVSGFGCSLESKRYKLLRIVLELSTEARSNKTRYILKFDVEHETFGSFQLPSCFHLNKNVDVGVLRGCIYASRVVESRDLEIWVMPKWGEHGSWKRLFWIKLFRDAPTCFKPICLMENGELVLICHDSSLLFYNVAERKVRHLRLNGGQFRPYGDLQAILHEPNFGSLKDILGVRNLSIRNFKSEAVDVEAISLQEDGADDLHIREWSYTLLSSRYYGWD >scaffold_401676.1 pep chromosome:v.1.0:4:14864463:14865103:-1 gene:scaffold_401676.1 transcript:scaffold_401676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTVDFRCLDEGFGGKTYKRKRESQEQAAAEAIADEASMDIDGAPPSAKRSAVASSENPAKPMAVAVERPTYDGVIAGKVSGRNWKQPRTHRSSGRFVKNRKPDLEEMKRQKEIKRAYKERMNELKEEIRNNKVEKRKKKEEREKRKKENVLRTGTKLQKITNPKTLKKIAKSKQRKHLKNIPEEMLSGGSKKSITN >scaffold_401677.1 pep chromosome:v.1.0:4:14865753:14866380:-1 gene:scaffold_401677.1 transcript:scaffold_401677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDICKFWKLDMLEESAQIVYKEKKRIIVDIPSPETRDSIPDWLVKVMRKENGYDPKLIIKRKQLVQTDLNTTQSCLSMHLSLKVVLVDPKSRKHDVDLRKWKGNGYGVWKYVFNNGWNKVIATKIFEVNHMIEIWSFRDGSGKICFALSSPTKSDECSFRPSSSRHNVA >scaffold_401680.1 pep chromosome:v.1.0:4:14875047:14875252:1 gene:scaffold_401680.1 transcript:scaffold_401680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLTEAGFEAVLDCDDEWTKDINHSQVSPFAGWYHDVRNLIKIIRVIIRRLNA >scaffold_401681.1 pep chromosome:v.1.0:4:14875873:14877639:-1 gene:scaffold_401681.1 transcript:scaffold_401681.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7LDL2] MTVAAGIGYALVALGPSLSLFVSVISRKPFLILTVLSSTLLWLVSLIILSGLWRPFLPLKANVWWPYALLVITSVCFQEGLRFLFWKVYKRLEDVLDSFADRISRPRLFLTDKLQIALAGGLGHGVAHAVFFCLSLLTPAFGPATFYVERCSKVPFFLISAIIALAFVTIHTFSMVIAFEGYAKGNKVDQVIVPVIHLAAGMLTLVNFASEGCVIGIPLLYLVASLTLVHCGKMVWQRLLESRNQSSASR >scaffold_401683.1 pep chromosome:v.1.0:4:14881414:14881678:1 gene:scaffold_401683.1 transcript:scaffold_401683.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDL4] MDETPKNTTPESSSSERRITESFKKDAEVGPTPKNTTPESFSSERRITESFKKNAEPKNTTPESSSSERRITE >scaffold_401685.1 pep chromosome:v.1.0:4:14889578:14890596:1 gene:scaffold_401685.1 transcript:scaffold_401685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMETNKNKFIEDWGSARENLEHNFRWTRRNFALIGIFGIALPIIVYKGIVKDFHMQDEDAGRPHRKFL >scaffold_401686.1 pep chromosome:v.1.0:4:14891553:14893875:1 gene:scaffold_401686.1 transcript:scaffold_401686.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 24 [Source:UniProtKB/TrEMBL;Acc:D7LDL7] MGSCVSSPLKGSPFGKRPVRRRHSSNSRTSSVPRFDSSTNLSRRLIFQPPSRVLPEPIGDGIHLKYDLGKELGRGEFGVTHECIEISTRERFACKRISKEKLRTEIDVEDVRREVEIMRCLPKHPNIVSFKEAFEDKDAVYLVMEICEGGELFDRIVSRGHYTERAAASVAKTILEVVKVCHEHGVIHRDLKPENFLFSNGTETAQLKAIDFGLSIFFKPAQRFNEIVGSPYYMAPEVLRRNYGPEIDVWSAGVILYILLCGVPPFWAETEEGIAHAIVRGNIDFERDPWPKVSREAKELVKNMLDANPYSRLTVQEVLEHPWIRNADRAPNVNLGDNVRTKIQQFLLMNRFKKKVLRIVADNLPNEEIAAIVQMFQTMDTDKNGHLTFEELRDGLKKIGQVVPDGDVKMLMDAADTDGNGMLSCEEFVTLSIHLKRMGCDEHLQEAFKYFDKNRNGFIELDELKEALCDDKLGHGNGNDQWIKDIFFDVDLNKDGRISFDEFKAMMKSGTDWKMASRQYSRALLNALSIKMFKEDFGDNGPKAHSMEFPIARKRAKLLDAPKNKSMELQVSKTYKPSGLRN >scaffold_401687.1 pep chromosome:v.1.0:4:14894485:14898726:-1 gene:scaffold_401687.1 transcript:scaffold_401687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEDMLDAHDMESGEDDFYSGGTDDCNDSDDGEPDYGFVEEDADDSAMIASHRSQKNFCVLREEDIRRHQMDDIERVSVVLSITEVEASILLRHFHWSVGRVHDEWFADEERVRKTVGILESPVVPPSDDSELTCGICFDSYPPEKIPSVSCGHPFCTTCWTGYITTTINDGPGCLMLRCPDPSCLAAVGHDMVDKLASEEKEKYNRYFLRSYIEDNRKMKWCPAPGCDYAIDFVAGSGSYDVSCLCSFSFCWNCTEEAHRPVDCSTVSKWILKNSAESENMNWILANSKPCPRCKRPIEKNQGCMHMTCTPPCKYEFCWLCLGAWMDHGERTGGFYACNRYEVAKQEGQYDETERRREMAKNSLERYTHYYERWASNQTSRQKAMADLQQAQTQNLEKLSDKQCTPESQLKFILEAWLQIIECRRVLKWTYAYGYYLPDHEHAKRQFFEYLQGEAESGLERLHQCVEKDLAQFLNAEGPSKDFNDFRTKLAGLTSVTKNYFENLVKALENGLADVDSHAACSSKSTSSKSTACSSKTRGKGKGSSRTGGSSRNPDDNL >scaffold_401690.1 pep chromosome:v.1.0:4:14908651:14909425:1 gene:scaffold_401690.1 transcript:scaffold_401690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAVVVSNLAVSIKLRLTVWEFIILVTLSLSAVICVSDTVIEDRFDVEHGSEEWYKKLGLHFKWLLHHRINPYFSSNNNYNLWQPLNMEHFDSVSKMASENFAYADARVLTT >scaffold_401691.1 pep chromosome:v.1.0:4:14910940:14912993:1 gene:scaffold_401691.1 transcript:scaffold_401691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDVGMQSSIAGTKNATIKLLVQGTAAPKRVVKTSVSTSTFARGKISKFAKNSRKMHFRDLESQWTRNCRYSCVSLLYSLDRPQNLIGRVHFSQIEKNIKDISSLAIALMLEKVRP >scaffold_401693.1 pep chromosome:v.1.0:4:14916122:14917578:-1 gene:scaffold_401693.1 transcript:scaffold_401693.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LDM4] MADESPKSIYDFTVKDIGGNDVSLNQYKGKTLLIVNVASKCGLTDANYKELNVLYEKYKEQGLEILAFPCNQFLGQEPGNNEEIQQTVCTRFKAEFPIFDKVDVNGKNTAPLYKYLKAEKGGLLIDAIKWNFTKFLVSPDGKVLQRYSPRTSPLQFEKDVQTLLGQASS >scaffold_401698.1 pep chromosome:v.1.0:4:14928402:14930514:-1 gene:scaffold_401698.1 transcript:scaffold_401698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISQLEDGHFYLEYLSACVKIDLSKAICLFGTSTIDNLELYRDSQKIVIACGFPHLEDRDKTLSIHSENYFFGSGRPTKDEMIRLADLERKAVNDTFVIWLEGEESITVLDGFESVEIVPTLCVFMGNFCSRPSRVAMRKHASLYPTRPLTVLPRCGLPEYSTEELREVIPNAIFSSNPCRRLCSSDKTLWYRMIRSRLLKPSSEETNDPFEHLVSKLIHQSHLCPLPLLFQPIIWNYDHCLRLYPTPYTFGNAKPALKQERIVVDLLILFGIHIVLGDKSDKKVCKFGGATCFNPGSFSTDSTFVAYRPSTQEIELSPL >scaffold_401699.1 pep chromosome:v.1.0:4:14931078:14938412:-1 gene:scaffold_401699.1 transcript:scaffold_401699.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LDN0] MACVSNDTQIEIDVHDLVDAPIRYDSIESMYSVSSSALCCVNAVGSHSLMSKKVKAQKLPMIEQFEVEGSDVSASPDCCRSDDYKLRIQRPEIVRVYYRRRKRQQREVLLDQAVAVKTESVELDEIDCFEENKKRKIGNCVLVKSGMDSIGLRRCKDNNAFSGNKQNGSSRRKGSSSKNQDKVSLASRSAKKWVRLSYDGADPTSFIGLQCKVFWPLDALWYEGSIVGYSAERKRHTAKYRDGYDEDIILDREMIKFLVSREEMELLHLKLCTSDVTVGGRDYDEMVVLAATLEGCQDFETGDIVWAKLAGHAMWPAVIVDESVIGERKGLNNKVSGGGSLLVQFFGTHDFARIKEKQAISFIKGLLSPSHLKCKQPRFEEGMQEAKMYLKEHRLPERMSQLQKGADVDSEIANSTEEGNLGGDLFNDGEVWLRPTEHVDFLHTIGDLQIINLGKVVTDSQFFKDENHIWPEGYTAMRKFTSLKDHSVSALYKMEVLRDAESKTRPLFRVTADSGEQFKGFTPSACWNKIYNRIRKVQNSTDDPNVLGEELNGSGTDMFGLSNPEVIKLVQDLSKSRPSSDVSMCKYSLGRHQSQATGYRPVRVDWKDLDKCNVCYMDEEYENNLFLQCDKCRMMVHAKCYGELEPCDGALWLCNLCRPGAPDIPPRCCLCPLVGGAMKQTTDGRWAHLACAIWIPETCLSDVKKMEPIDGVNKVSKDRWKLMCTICGVSYGACIQCSNNSCRVAYHPLCARAAGLCVELENEDRLFLQSVEDEEADQCIRMLSFCKRHRQTSTACLGSENRIKSVTQKTSEYLPPPNPSGSARTEPYNCFGRRGRKEPEALAAASSKRLFVENQPYVIGGYSKIEFSTYECIHGSKVSQMNTPSNILSMAEKYRYMKETYRKRLAFGKSGIHGFGIFAKLPHKAGDMMIEYTGELVRPSIADKRERLIYNSMVGAGTYMFRIDDKRVIDATRAGSIAHLINHSCVPNCYSRVITVNGEEHIIIFAKRDIPIWEELTYDYRFFSIGERLSCSCGFQGCRGVVNDTEAEAQQSKICVPRCELIDWTE >scaffold_401702.1 pep chromosome:v.1.0:4:14950608:14951755:-1 gene:scaffold_401702.1 transcript:scaffold_401702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDEGGEEYLFKIVIIGDSAVGKSNLLSRYARNEFNAHSKATIGVEFQTQNMEIEGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDISRRSTFESVGRWLDELKTHSDTTVARMLVGNKCDLESIRAVSVEEGKALAETEGLFFMETSALDSTNVKTAFEMVIRDIYTNVSRKQLNSDTYKTELSMKNRVSLVKDDNKGSTQGFGFSCCSSS >scaffold_401707.1 pep chromosome:v.1.0:4:14964854:14966039:-1 gene:scaffold_401707.1 transcript:scaffold_401707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDDQLKRLQREKRLLNILSVGTERMVRAFSYEDNGETSTEGHASVKNKKRERSDINNGLRSTTTISRLSKRLKIDECENQDPKQNPNLHASSPSLCHVATKRPQKVVSNKSVRRRKPVRVIVTDEDRTPPEWLVNMMREKNSVDAKLIFVKVLTNSDVDKGQTRLLMPWNQILDMGFLNKEELGMIDKHYKKRLLRRKKHYKKISDCDKGADVILVSSEGQQKLKLKRWDMSSTSNYALGSGWNKVVAGNSLEKGQRIRVWSFHSLDKLYIALVLLDPAPATDPTPAPAIPLIPDPAPSSPPAPVVTRDSDELYISQAEAQEESDIILPVPVPADKDWECLNLFAEVPEETIRLEALQEANRRRSLVSDTELDLELRL >scaffold_401710.1 pep chromosome:v.1.0:4:14971402:14975407:-1 gene:scaffold_401710.1 transcript:scaffold_401710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKGNKAAASSDDFLQTLEDFTSKENWDKFFTLRGNDDSFEWYAEWPQLRDSLLPLLQDSSSSSSSGSLQILVPGCGNSRLSEHLYDAGFRDITNVDFSKVVISDMLRRNIRTRPELRWRVMDITKMQLADESFDTVLDKGALDALMEPEVGTKLGNQYLSEAKRVLKPGGKFICLTLAESHVLALLFSRFRFGWKMNVHSIAQKRSNLKTFMVVAEKENSVLLHEITSAFDLLSLGRNDSQGSGMCEALESENQIRRDCNNGSDLLYSHEDLKLGIKGDLAALTGGRRIKFTLGGQGSNFSYRAVLLDAQRQTEPFVYHCGVFLVPKTRAHEWLFCSEEGQWQVVESSQAARLIMVFLDSSHSGATMEDIQNDLSPMVTQLAPRNDDEEARIPYMMASDGIKKRDTVHEVTSSLTGEVVVEDVVYESAPSNLEDLSPSSDLAFRRLVFKRTEGLIQSEALLVEDGEILEQSQKEKTKDVSQSKRKGNKKQNQEPSKPLMRVSHDYLASSYHTGIISGFTLVSSYLKKAESCGTMVKTVVIGLGAGLLPMFLHGCLPFFSIEAVELDPVMLNVGKDYFGFTHNDRLKVHIADGIKFIRDITNSEASSEETSNGGSNGDSTAHNTQGGTCPDILIIDVDSADSSGGLTCPASDFIEETFLLSVKRALPQHGLFVVNLVSRSQSVKDMVVARMKKVFDHLFGLQLEEEDDVNVVLFGLCSESVISENDIPESAVILEGLLKCQRLETKQSIIDATKKLKCWK >scaffold_401713.1 pep chromosome:v.1.0:4:14991567:14995434:1 gene:scaffold_401713.1 transcript:scaffold_401713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSDDDIIDISSGEEEEDLYIDGGIESDDYHFEDTISRSEKSYVILKEEDILKHQRDDIERVSTALSLSHVEATVLLLHFHWSASKIEDEWFTDEERIRKTVGIREVNIHCGICFESYTREEIARVSCGHPYCNTCWTGYITTKIEDGPGCLRVKCPEPSCSADKEKYHRYLLRSYVEEGKKIKWCPSPGCEYAIEFGGSGSSSYDVSCLCSYRFCWNCCEDAHTPVDCETVSKWLLKNKDESENTNWILAKTKPCPKCKRPIEKNNGCNHMSCSAPCRHYFCWACLQPLSSHQACNAYKEDNEVETKRKRAKDAIDRYTHYYERWAFNQSSRLKAVSDLEKWQSVQLKELSDNQSSPESQLRFTVDAWLQIIECRRVLKWTYAYGYYLLTQERDKREFFEYLQGEAETGLERLHHCAEEELKQFIGKTVDPSKNFGELRIKLIDLTVVTRTYFENLVKALDNGLADVAYNEKSQSTQEPESESFTKRQKSVANGRRSFKRGN >scaffold_401714.1 pep chromosome:v.1.0:4:14996034:14997120:1 gene:scaffold_401714.1 transcript:scaffold_401714.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDP5] MKKYDPQKREKYDLTSVFSAGSTVLSGVSRSRRSCSSCTDVNLSYASSARSSLLSGVSRRTLSPCTATDLYTASSAMSRSLSGASRSEATSCIVGMKLWSDEPSGEHLPDVSLHFRCISFSSPRHISDSPSAVSSSRSKDAFDGPNVIFCFSNLLQRVLLILPFLAPPRKAYWFGKLDNSFRQGILPPSRIFCGSATEPLSPPFNPVTPPDLLIPQIVRSFHSQPRVRYFPPTGKTIPMLVVVSSVNRRTLCLVSMGLWRERDQTLIELGQGLGFLNLGLISPDKFIFHMVKRP >scaffold_401715.1 pep chromosome:v.1.0:4:14999163:15001172:1 gene:scaffold_401715.1 transcript:scaffold_401715.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LDP6] MSEAKGHVLFFPYPLQGHINPMIQLAKRLSKKGLAITLIIASKDHREPYTSEDYSITVHTIHDGFFPDEHPHAKFVDLDRFNNSTSRSLTDFISSAKLSDNPPKALIYDPFMPFALDIAKDLNLYVVAYFTQPWLASLVYYHINEGAYDVPVDRHENPTLASFPGFPLLSQDDLPSFACEKGSYPLIHEFVVRQFSNLLQADGILCNTFDQLEPKVVKWMNDQWPVKNIGPVVPSKFLDNRLPEDKDYELETSKTEPDESVLKWLGNRPAKSVVYVAFGTLVSLSEKQMKETAMAIRQTGYHFLWSVRESERSKLPSGFIEEAEEKDCGLVAKWVPQLEVLAHESIGCFVSHCGWNSTLEALCLGVPMVGMPQWTDQPTNAKFIEDVWKIGVRVTTDGEGLASKEEIARCVVDVMEGERGKEMRKNVEKLKVLAREAISEGGSSDKNIDEFVALLT >scaffold_401720.1 pep chromosome:v.1.0:4:15019728:15021465:-1 gene:scaffold_401720.1 transcript:scaffold_401720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPYSTQFTCPVQENGFSPSSVLYHCKRYRFEVTSLRHDCFASVKIPSSSKWNVMRSRRNVKAFGLVDKLGKKVWRGKEEDSDSEDEEDEVKKDNSGGKEANLDDPEERREWRKTIREVIDKHPDIEEEEEIDMVEKRRKMQKLLADYPLVVNEEDPNWPEDADGWGFSFNQFFNKITIKNEKKDVDDEDNEGDDSEKEIVWQDDNYIRPIKDLTTAEWEETVFKDISPLMVLVHNRYKRPKENEKFREELEKAIQVIWNCGLPSPRCVAVDAVVETDLVSALKVCVFPEIIFTKAGKILYREKGIRTADELSKIMAFFYYGAAKPPCLNGVVNSQEQIPLVDVSVN >scaffold_401721.1 pep chromosome:v.1.0:4:15022617:15022962:1 gene:scaffold_401721.1 transcript:scaffold_401721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFNSEAERSRRIFDDVPRRKRSRRNPVTERTKPECQAPPSSPAAPALAFVAIRRTRLRQDDVGMSVSSTGSCSSPGSSTGSNSVPASFPALAPAGSRCS >scaffold_401722.1 pep chromosome:v.1.0:4:15025177:15025430:-1 gene:scaffold_401722.1 transcript:scaffold_401722.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LEA0] MTIKYKFGAGAEVGAEVGDGGGTRYPGMETNGLLMLLLPLGMSTNILWSLSASIFAYTRGNLYCFLRKNG >scaffold_401724.1 pep chromosome:v.1.0:4:15028802:15029980:1 gene:scaffold_401724.1 transcript:scaffold_401724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHDDLLKRVEREKRRLEMSYAVAEEMVSPFINEEIGETSTEDDASAEDKKRERTRREINYGLRSTTMITRSSKRLKIDECENQDQKQNPNLQASSPSLCHVASKRPQKVVSNKSIRRRKPVRVIVTDEDRTPPEWLVNMMREKNSVDAKLIFVKVLTNSDVDDDKTRLLMPWKQILDMGFLNKEELRMIDKHYKKRLLRKKKHYKKINDSDKGADVTLVNSKGQQWKLNLRRWDMWSTFNYVLASGWNKVVPGNTLEEGLRLRLWSFHSQDKLYIALVPLDPAPAKDPTPAPAIPLIPDPAPSSPPAPVVTRDSDELYISQAEAQEESDRIVPDKDLEVLNLFVEVPEETIRLEALQEANRRSLVSDTELDLELRL >scaffold_401725.1 pep chromosome:v.1.0:4:15030717:15033374:-1 gene:scaffold_401725.1 transcript:scaffold_401725.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly (ADP-ribose) glycohydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LEA3] MEQRTDLRSILQYLPLVAQSSSLVWPPSVEEELQTMSRGPSESMVNSGEALALHITDIQKSLSLNASDLAPYALQGYALFFDKKISREESANFFGEVVPALCRLLLQLPSLLEKHYQKADHVLDGVTSGLRLLGPQEAGIVLLSQELIAALLACSFFCLFPEVDRRLNKLQGINFDRLFSFPYMRDCTKQENKIKCLIHYFRRICRCMPTGFVSFERKILPLEYLPHFVSYPGADSWANSVTPLCSIEVHTSGAIEDQPGEALEVDFADEFFGGLTLSYDTLQEEIRFVINPELIAGMIFLPRMDANEAIEIVGVERFSRYTGYGPSFQYAGDYTDKKDLDIFKRRKTRVIAIDAMPGPGMGQYKLDALIREVNKAFSGYMHQCKYKIDVMHQPEALSSHVPLTSDSASQVIESSDRWCIDHEEKKIGVATGNWGCGVFGGDPELKIMLQWLAISQSGRPFMSYYTFGLQALQNLNQVIERVALQEMTVGDLWKKLVEYSSERLSRRTWLGFFSWLMTSLST >scaffold_401728.1 pep chromosome:v.1.0:4:15042268:15044788:-1 gene:scaffold_401728.1 transcript:scaffold_401728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVPFRRCFSLNPPEIRHRIFNHSRRHLHLSLSSSSFASGLWPVADKKYRLLGPLAQRSSLHSRSGSLKHLPFSVNSSVIGNSEEEEEDDADWEAEFLGEIDPLDIQPPKKRKKQKNSKVLEDTEGMDWCVRARKIALKSIEARGLSSRMAEVMPLKKKKKKKSKKVIVKKEKVKTKSILEEDFDTEDEDLDFEDGLVEDKMGDLRKRVSSLAGGMFEEKKEKMKEQLAQRLSQFSGPSDRMKEINLNKAIIEAQTAEEVLEVTSETIMAVAKGLSPSPLSPLNIATALHRIAKNMEKVSMMRTRRLAFARQREMSMLVALAMTCLPECSAQGISNISWALSKIGGELLYLTEMDRVAEVATSKVGEFNSQNVANIAGAFASMRHSAPELFAELSKRASTIIITFKGQEIAQLLWSFASLNEPADPLLESLDSAFKSSDQFKCYLTKEITNSDEVVDVEVSDDASGSPPLSFNRDQLGNIAWSYAVLGQVERPFFANIWNSLTTLEEQRLSEQYREDVMFASQVFLVNQCLKLECPHLQLSLCHGLEEKITRAGKTKRFNQKISSSFQKEVGRLLISTGLDWAKEHDVDGYTVDVALVDKKVALEIDGPTHFSRNSGIPLGHTMLKRRYVAAAGWKVVSLSLQEWEEHEGSHEQLEYLREILNGCI >scaffold_401729.1 pep chromosome:v.1.0:4:15046320:15046523:1 gene:scaffold_401729.1 transcript:scaffold_401729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRQVVGAEKLIQLLHPSEDRMGNSIHCTGLESHRYTPSILAASTILSYVKH >scaffold_401733.1 pep chromosome:v.1.0:4:15072423:15072898:1 gene:scaffold_401733.1 transcript:scaffold_401733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVINPTKPRFDLSMSKRTRKPWSSLINDTHHHDISTQSSQPKPDKEQEQIEDKEIDRKSLNNLMRYEEKPNGEANVNNDKSSLDQHFGDDEGIKQTMQLVVKKEKQGGVKFKGMMGRYVKVWSGLIKAKRDRKTPVLRFKT >scaffold_401734.1 pep chromosome:v.1.0:4:15076085:15076491:1 gene:scaffold_401734.1 transcript:scaffold_401734.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase/ transition metal ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7LEB2] MGRDQEGSPPVPLHLCVFVLILLMFVTISWYASYEPVIEGFTDQFKLALMASPLLLLLAVHFLSNDRGVGGMMTSLIHLNERESLYRAGGTPWGVAFMLVFLFFMVSYQSQFQERWFPLRQ >scaffold_401735.1 pep chromosome:v.1.0:4:15077316:15077650:1 gene:scaffold_401735.1 transcript:scaffold_401735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLGTMLMKVALFALVQGLVYLILSKSSKVFSTSNSLRKAYSFRPMRSVSIRRILASLQDIPAGDDMSPSSNGSSSSLTSPSSQDEAATTTTSPS >scaffold_401748.1 pep chromosome:v.1.0:4:15133172:15133437:1 gene:scaffold_401748.1 transcript:scaffold_401748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQLQNVTKDLQTIRSLEPRASTSVNGGENTDHTIPADHIIEILSRLPAKSIARYRCVSKLWSTIIRLPCFY >scaffold_401750.1 pep chromosome:v.1.0:4:15138818:15139062:1 gene:scaffold_401750.1 transcript:scaffold_401750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMRRRKDQKSFFGYDPIEKQYKVLCMTLPSSGRHGGTSKEHQVLTLGTVMENGRMLRTPFSWTNR >scaffold_401752.1 pep chromosome:v.1.0:4:15141976:15142569:-1 gene:scaffold_401752.1 transcript:scaffold_401752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSQETQPIMSSSPPGRISLRPMTLSDVDDYMVWATDPKVARFCTWEPCTSRDEAIKYITDRVLTHPWLRAICLEDDRPIGYILIMAVDNIRKEIGYVLARKYWGKGFATEAVRLVTAEIFKEFPEIERLEALVDVDNVGSQRVLEKVGFTREGVMRKFLCIKGSVRDTVMFSFLPTDTLK >scaffold_401753.1 pep chromosome:v.1.0:4:15143379:15143985:-1 gene:scaffold_401753.1 transcript:scaffold_401753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSSSMIKLETLSTVSSSPSEKIHLRPMTLSDVDDFMVWATDSDVARFCTWEPYTSREAAIAFLNDVALPHPWLRAICLDNDRPIGSISVTPVDKIRGEIGYVLGSKYWGKGIATEAVRLVAAEIFKEKPEMERLEALVDVDNVGSQRVLEKVGFVREGVMRKFMYLKGNVRDMVMFSFLPSDSLH >scaffold_401755.1 pep chromosome:v.1.0:4:15147699:15148507:-1 gene:scaffold_401755.1 transcript:scaffold_401755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKGLSKYYPPNFDPRKIPRIRKPKNQQKKFRSMVPLRIRCNTCGNYMSEGTKINCREEKVIGETYIGIKIHRFYFKCSKCCAELILKTDSKNSSYVAESGATCVYDQHEEEKKQAEERGDVMSSLEKRTLVSKREIDVMAALDEMKSMKFRRVSVSVDSMLEALSRRHKEEEEVAKEEDAALVKSIRFGKQRRIVDEETDEIKKGRMEVIDAKKPKTKKLACIITLKKKRRQQVWDSHHYATIMVLMRIEED >scaffold_401757.1 pep chromosome:v.1.0:4:15159039:15159915:-1 gene:scaffold_401757.1 transcript:scaffold_401757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLKDDSIQIREVWNENLESEMALIREVVDDFPFVAMDTEFPGIVCRPVGTFKTNTEYHYETLKTNVNILKMIQLGLTFSDEKGNLPTCGTDNKYCIWQFNFREFDLESDIYATDSIELLRQSGIDFAKNNQFGIDSKRFAELLMSSGIVLNENVHWVTFHSGYDFGYLLKLLTCQNLPETQTGFFEMISVYFPRVYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTSCTFRKLQENFFIGSMEKYSGVLYGLGVENGQIVH >scaffold_401762.1 pep chromosome:v.1.0:4:15181219:15182666:-1 gene:scaffold_401762.1 transcript:scaffold_401762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLIGRADTDPVVHASKNLPFLVQTLDIGVRPFIAALVNNAWRSTTPEEVLAIFLVELRLMAEAQLKRPVRNVVLTVPVSFSRFQLTRIERACAMAGLHVLRLMPEPTAIALLYAQQQQMTTHDNMGSGSERLAVIFNMGAGYCDVAVTATAGGVSQIKALAGSPIGGEDVLQNTMRHIAPPTEEASGLLRVATQDAIHRLSDQENVQIEVDLGNGNKISKVLDRLEFEKVNQKVFEECERLVVQCLRDARVEVGDIDDVIMVGGCSYIPKVRTIIKNVCKKDEIYKGVNPLEAAVRGAALEGAVTSGIHDPFGSLDLLTIQATPLAVGVRANGNKFIPVIPRNTMVPARKDLFFTTVQDNQKEALIIIYEGEGETVEENHLLGYFKIVGIPPAPKGVPEINVCMDIDASNALRVFAAVLMPGSSTPVVPVIEVRMPTVDDGHGWCAQALNVKYGSTLDLITLQRKM >scaffold_401764.1 pep chromosome:v.1.0:4:15189582:15193734:1 gene:scaffold_401764.1 transcript:scaffold_401764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSEMMATETAPEFVTTTKTETERIENSSEVDEEEEEKIRRQKKSEEEVLEAKCLPGIISAYLNYPKAAEENLKKCERSYQKLSPAHKALVTHFPTKLQRLRRCISMKSHFIYNMLQVFEPPIDLSKHMREPITGALSIEGFQRDETHHHDHSLTNTEIRINNKTCEFVGGQINHDHGSVPFSSQDWLDSSLQTHVPQVDVNKVRWVIRNIVRDWGAEGQRERDECYKPILEEIDSLFPDRHKESTQSPDEWTIYPWIHTNCNSLSNDDQLRPISIPDIHPASAGVTEGFSMCGGDFVEVFNESSQAYEHGLENEMSIELSLEDVKRVASHYGFEMENRYYPVFWTMRKKCVTTT >scaffold_401765.1 pep chromosome:v.1.0:4:15193967:15199024:1 gene:scaffold_401765.1 transcript:scaffold_401765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSEMTETVTPPASTTMTTESERIESSREVDNKEEEEEKIRRQKKLEEALEAKSLRRIISAYLNYPEASEEDLKRWERSYRKLSPSHKALVSHYPIKFQRLRRCISANSYFIFNMLQAFEPPIDLSQELDGCEDSNLECAPHERYTLDERHDSSCQPALTNSCTYKEESKHIREPITGVSIEELQRKEAHDHSSKDDSADARITNKTCECDGGQLNHDHGSVSFSSHDWLDSSLQTHVPLVDVDKVRCIIRNIVRDWAAEGQRERDQCYKPILEELDSLFPDRSKESTPPACLVPGAGLGRLALEISCLGFISQGNEFSYYMMICSSFILNYSQVPGEWTIYPWIHSNCNSLSDNDQLRPIAIPDIHPASAGITEGFSMCGGDFVEVYNESSHAGMWDAVVTCFFIDTAHNVIEYIETISKILKDGGVWINLGPLLYHFADTYGHENEMSIELSLEDVKRVASHYGFVIEKERTIETTYTTNPRAMMQNRYYTAFWTMRKTCAIAT >scaffold_401766.1 pep chromosome:v.1.0:4:15200476:15200794:1 gene:scaffold_401766.1 transcript:scaffold_401766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTLCCTLLWIFQENGYQLLFLSARAIVQAYLTRSFLNNLKQDGKALPNGHVVISPDGLFLALYREG >scaffold_401767.1 pep chromosome:v.1.0:4:15211623:15212733:1 gene:scaffold_401767.1 transcript:scaffold_401767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSADDIVSLMMVLYPEEMMHEIIGERIENMDVKHTQSRTSPRKRQTVGRFEVGPSSEEEYDPNIFGDAGPRKKRSPCHRSSSSRTMTTTRTRAIEQQRSVTSVEPVLQNPNLGLESSPSSYVSRRSKEKRPINVEKRSRKKRKVVSPLEVEPIQTTPSEWLLNVMRREENGYNPKLISTRQLFQTDLRTHQARLSVPFKQVINPDFLTENETRIINENAMKIRDDGVSVDLVDPNRKKHELELRKWKMSGNWYYNFVKGWKNVLDANNFKEEDVYPLWSFRSGTESGRGNSLPGGDGASTSGESGQVPVPPPPARESSYSGQGCSGASSSSSS >scaffold_401770.1 pep chromosome:v.1.0:4:15227695:15228384:1 gene:scaffold_401770.1 transcript:scaffold_401770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYSQNQAYPTPPVSTGPYVAPPPLGYPTNDTSHATVAPVETKSKGDGHLAAMCCCCVLDACF >scaffold_401771.1 pep chromosome:v.1.0:4:15228732:15229179:1 gene:scaffold_401771.1 transcript:scaffold_401771.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L27 [Source:UniProtKB/TrEMBL;Acc:D7LEW2] MVKCMKPGKAVILLQGRYAGKKAVIVKSFDDGTNEKHYGHCLVAGLKKYPSKVIRKDSAKKTAKKSRVKCFFKFVNYQHVMPTRYTLDLDLKNVVSGDAISSKDKKVTALKEAKSKFEERFKTGKNRWFFTKLRF >scaffold_401772.1 pep chromosome:v.1.0:4:15229342:15231531:-1 gene:scaffold_401772.1 transcript:scaffold_401772.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LEW3] MLRLTCFTPCFSRACCPLFAMMLKVPSVHIHHPRFSPFRSYHTSLLVKGTRDRRLILVERSRHLCTMPLAAAKQSAASPSENLSRKAKKKAIQQSPEALLKQKLDMCSKKGDVLEALRLYDEARRNGVQLSQYHYNVLLYVCSLAEAATESSPNPGLSRGFDIFKQMIVDKVVPNEATFTNGARLAVAKDDPEMAFDMVKQMKAFGIQPRLRSYGPALFGFCRKGDAGKAYEVDAHMVESEVVPEEPELAALLKVSMDTKNADKVYEMLQRLRDLVRQVSKSTFDTIEEWFKSEAAAKAGVKKWDVKKIRDAVESGGGGWHGQGWLGTGKWNVKRTEMDENGVCKCCKEKLVCIDINPVETETFAASLTRLACEREVKANFNQFQEWLERHGPFDAVIDGANMGLVNQRSFSFFQLNNTVQRCQQISPSKRLPLVILHKSRVNGGPATYPKNRALLEKWKNAGALYATPPGSNDDWYWLYAAVTYKCLLVTNDEMRDHLFQLLGNSFFPRWKEKHQVRISVSREDGLTLHMPPPYSIVIQESEDGTWHVPMSVEDDLQTSRQWLCAKRSKTP >scaffold_401778.1 pep chromosome:v.1.0:4:15267988:15269053:1 gene:scaffold_401778.1 transcript:scaffold_401778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSLFSHCYRSNVVDREESSTIDQRLLAPYSGRRTSEDSTRWQPSLYPILEDDGDSDRSKEKVSVVRGKSKAEKMVSISYIVKRAWNIAMSSNIRASPGLFPCPVMRRIV >scaffold_401779.1 pep chromosome:v.1.0:4:15270667:15270974:1 gene:scaffold_401779.1 transcript:scaffold_401779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSLFRRCCRSTSAVQPTVVPVSGDSVVSSDSVDSGVSGHSAEPKRWRPSLPPILEGDETESDHQIDHQIARVSHAWSLNQLLKRFR >scaffold_401784.1 pep chromosome:v.1.0:4:15286864:15287699:1 gene:scaffold_401784.1 transcript:scaffold_401784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDYDEIGEFLEILYGERETPSLLGDAETTRPLNINARPEPLPSQVQDQPPRPQLSLSSRSHDIQDLDDQSRLFGYNEPNVSLCETRNRTAQFQRGHSQSQELNTPFEAESVNSLFASTETDQNLYTVPPHNFGYGEPIGSLCETSNRTYQLQRGHSQSQVSSNMILPVSSAQKCPSFL >scaffold_401785.1 pep chromosome:v.1.0:4:15292166:15292764:1 gene:scaffold_401785.1 transcript:scaffold_401785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKSGKSKTQAQDYLKGTQTREKNELLSQQFGIEYNSLPVIFRLGSSVFRLKTQEGVAEENGEVSGKQVEAEVVVDYSNIIDQCFWQQHPHILSCS >scaffold_401788.1 pep chromosome:v.1.0:4:15297901:15298605:1 gene:scaffold_401788.1 transcript:scaffold_401788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISEQESVLEVKKRLGQFLQIPASSLTLFVSCWELIDGLDIEDYPIISHGTRIDLTVTPFFTAPSFINPAVRKIHVTVKFPSKQFTVEVDRTETVSSLKDKIHIVENTPIKRMQLYYSGIELADDYRNLNEYGISEFSEIVVFLKSINRAKDVAPVRKLCFLVQTSSSLFNGARIPVEIRRRSLEATIQLAALPS >scaffold_401795.1 pep chromosome:v.1.0:4:15324975:15328097:1 gene:scaffold_401795.1 transcript:scaffold_401795.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-activating enzyme E1 regulatory subunit [Source:UniProtKB/TrEMBL;Acc:D7LEY3] MAEPKTKYDRQLRIWGELGQSALENASICLLNCGPTGSEALKNLVLGGIGSITIVDGSKVEIGDLGNNFMVDAKSVGQSKSKTVCGFLQEINDAVKANFVEENPDTLISTDPSFFSQFTLVIATQLVEDSMVKLDKICREANVMLVLARSYGLTGFVRICVKEHTAIESKPDHFLDDLRLNNPWPELKRYVESIDLNVEEPAAHKHIPYVVILVKLAEEWAQTHSSNLPSTREEKNEFKDLVKSKMASMDEDNYKEAIVAAFKVFAPRGISQEIQDIIHDRCAEVGSNSSDFWVMVAALKEFISNEGGGEPPLEGSMPDMISSTEHYINLQKIYHSKAEADFLSMEQRVKSILVKVGQDPSTIPKPTIKIFCKNARKLKVCRYRTIEDEFKNPSATELHKYLADEDYSSAIGFYILLRAVDRFAATYKKFPGLFDGSTDDDASQLKTIALSLLSEMGCDGYVLKEELYSEMCRFGAAEIHVVAAFIGGVASQEVIKLITKQFVPMLGTFIFNGIDHRSQSLKL >scaffold_401797.1 pep chromosome:v.1.0:4:15339849:15342463:1 gene:scaffold_401797.1 transcript:scaffold_401797.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LEY5] MSTKIKGELFSSRSLVSKKWTFLLCFGSFCFGILFTDRMWIIPESKDMSRPSVSTEAERLKLISEGCDPKNLYQKEVNRDPQALLGEVSKTHNAIQTLDKTISSLEMELAAARSAQESLINGAPISNDVEKRQLPGKRRYLMVVGINTAFSSRKRRDSVRTTWMPSGEKRKKLEEEKGIIIRFVIGHSATAGGILDRSIEAEDKKHGDFLRLDHVEGYLELSGKTKTYFSTAVSKWDAEFYVKVDDDVHVNIATLGETLVRHRKKHRVYIGCMKSGPVLSQKGVRYHEPEYWKFGENGNKYFRHATGQLYAISRDLASYIALNQHVLHKYANEDVTLGAWFIGLDVTHIDDRRLCCGTPPDCEWKAQAGNICVASFDWTCSGICRSADRIKEVHKRCGEPENAIWKARF >scaffold_401801.1 pep chromosome:v.1.0:4:15356117:15356608:1 gene:scaffold_401801.1 transcript:scaffold_401801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVLPCEDLLGKYVKSKEEIYTGKLMGVYPWLQSSKCKPFSVCFYDFNRWGLRRIELKGIDDDEFRRRVEIGNHEVLSFSSYIENIRFFVRRLHRSFSFFSIRFVHDLAIWSANGHLIGRVPSLKNHCTESPISLYLVSHWAIAWPS >scaffold_401805.1 pep chromosome:v.1.0:4:15368599:15369266:1 gene:scaffold_401805.1 transcript:scaffold_401805.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEZ3] MSGQSKEVGSSQRRYSREAEEKSGSQGRGLTSDLPKAAVETAMGELREVMIQYANCADPLESAARKERVRRAEEEGQFEETAEQMVRASLNTSSTDSPILSSSVLELSPVRIHVALRVGPLNAPPIPPKPKKRTIAKKKVGRPPGRPLGRPPGPSLTKKASIPSPRLVAAGRTKKRKLLKVLPSPRRRLIMDPLLETQPEVLSVPTP >scaffold_401807.1 pep chromosome:v.1.0:4:15376700:15377859:-1 gene:scaffold_401807.1 transcript:scaffold_401807.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPKKK17 [Source:UniProtKB/TrEMBL;Acc:D7LEZ4] MEWTRGKILGRGSTATVYAATCHNSDEILAVKSSELHHSEFLQREAKILSSLNSPYVIGYRGSETKRESNGVVTYNILMEYAPYGTLTDAAAKNGGRVDETRVVKYTREILRGLEYVHSEGIVHCDVKGSNVVLAEKGEAKIADFGCAKRVDQEFESPVMGTPAFMAPEVARGEKQGKESDIWAVGCTVIEMVTGSPPWTEANSREDPVSVLYRVGYSGETPELPCLLAEEAKDFLEKCLKREAKERWTATQLLNHPFLTTKSDIEPALVSGLVSSSPTSVTDQTFWRSVEEEEEEETEELQKDSRDLDRLNLWGCYSERIGRLRCLGGLDGTRWDMEGGDWITVRVRCEGTMISGSHEELIISENVLVGEL >scaffold_401809.1 pep chromosome:v.1.0:4:15387943:15392617:1 gene:scaffold_401809.1 transcript:scaffold_401809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSSLPPLCEKISYKNYFLRAVDLTILGLLFSLLLYRILLMNQNNTVWVVAFLCESFFSFIWLLITCIKWSPAAYKSYPERLDERVHDLPSVDMFVTTADPVREPPILVANTLLSLLALNYPANKLACYVSDDGCSPLTYFSLKEASKFAKIWVPFCKKYNVKVRAPFRYFLNPPVPTESSEFSKDWEMTKREYEKLSRKVEDATGDSHWLDAEDDFEAFSNTKPNDHSTIVKVVWENKGGVGEENEVPHFVYISREKRPNYLHHYKAGAMNFLVRVSGLMTNAPYMLNVDCDMYANEADVVRQAMCIFLQKSVNSKHCAFVQYPQDFYDSNADELTVLQSYLGQGIAGIQGPIYAGSGCFHTRRVMYGLSIDDLEEDGSLSSVAARKYLAEENLEREFGNSKEMVKSVVEALQRKPNPQNTLANSLEAAQEVGHCHYEYQTIWGKTIGWLYESTAEDANTSIGIHSRGWTSSYISPEPPAFLGAMPPGGPEAMLQQRRWATGLLEVLFNKQSPLIGMFCRKIRFRQTLAYLYIFTWGLRSIPELFYCLLPAYCLLHNSALFPKGVYLGIIVTLVGMHCLYTLWEFMSLGFSVQSWFVSQSFWRIKTTCSWLFSIPDIILKLLGISKTVFIVTKKTMPKTMSGSGSGKSQREDDCPNKDSGKFEFDGSLYFLPGTFIVLVNLAAIAGFSVGLHRLSHRHGGGSSGLAEACGSILVVMLFLPFLKGMFGKGKYGIPLSTISKAAFLAVLFVVFAL >scaffold_401811.1 pep chromosome:v.1.0:4:15399825:15403136:1 gene:scaffold_401811.1 transcript:scaffold_401811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENVVNLPDSLYEDYSKLKLTSSPPYASSSSAPPPGLTVVAQPTVEMILQWVYDLHKPNSPKFDFALHNLAYHRDNFEFLPSLLWESKNTVYIMLQEVFVAYRHLVGHISLRLFPHPLNPLRVYNVLLLFQSMAYHPDTSRRFLRAKMPNYFYPLMDLGLIDKRHECLRLAALGVIAHMLKASEDGAVSRYLMESGAVGFCAKPVEFGSIETKTVAVYILDKIMSTDEGLHYCCVLADRFFVIDELLKKLLVYLSSMYRPSSCLFNLVIGCYVKLSQKSRARDGIRRYTPFLLFDGTFARLYAEDPVADNNRIQLIQNLEN >scaffold_401812.1 pep chromosome:v.1.0:4:15404195:15405112:1 gene:scaffold_401812.1 transcript:scaffold_401812.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEZ9] MAKHTAAFKVRRAPHGGLSRFRLLAASSDHPQRFSEPFVLSAQIQFYLLPLSLEIPMLPPPPPSRPFSI >scaffold_401818.1 pep chromosome:v.1.0:4:15421810:15422023:1 gene:scaffold_401818.1 transcript:scaffold_401818.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LF05] MADSSCSLPPLYEILSYKSYFRRAVDLTILGLLFSLLFAPNPIYEPKRHHLARGFPL >scaffold_401819.1 pep chromosome:v.1.0:4:15423162:15424074:1 gene:scaffold_401819.1 transcript:scaffold_401819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEKLCWKVEDATGDSHLLGTEDELEAFSNTKSNDHSTIIKVVWENKGGAGDEKEVPHIVYISREKRPNYLHHYKAGAMNFLARVSGLMTNAPYMLNVDCDMYANEADVVRQAMCIFLQGSPNPNHCAFVQFPQEFDGLRVKNLYRSIFKSLFFFLC >scaffold_401820.1 pep chromosome:v.1.0:4:15425277:15425542:1 gene:scaffold_401820.1 transcript:scaffold_401820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLISLLSLFVLLFTLVFASRSHAVEGESFPSGRSRRNRGFLPSYATRVIGAGVINPEKRKIPTGSNPLHNKR >scaffold_401824.1 pep chromosome:v.1.0:4:15435883:15436984:-1 gene:scaffold_401824.1 transcript:scaffold_401824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSDDRSFSEQRCWRRLREVMGQTSHEKPQTVGRFEVEPSSRPLSDVNKEYGTLFEAKEYKSEKEEPRVYSGQVNMEEVMYEAVGEWLESLLEHRSITSVKPVLQNPNPDSESSMSSCVRQSKKKRCSINVEERSRKKSKTVSPLEVEPIQTTPPDWLLNVMRREENGYNPKLISTRQLYNTDLAELQARLSVPFRQVKTPDFLTEDETRKLHRNAMKLCPHGVSVDLVDPNRKKHELELRKWKMSGNWNYVFVKGWKNVLDANSFKEKDVYPLWSFRSGTGKLCFALIPKNSSKGSTSGESGRGNSLPRGDGASTSSKSGQVPLTIPPSHPERDSSHSGQGCSGESSSSSS >scaffold_401825.1 pep chromosome:v.1.0:4:15437542:15439348:-1 gene:scaffold_401825.1 transcript:scaffold_401825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSYLDLSENHLTGSFEISNSSSKLKILELGNNQFEAEIIDPVLKLVNLTYLSLSFLNISHPIDLSIFSSLPSLSYLDLKGNSLTPTSVNSDIELSKNMEILLLSGCNISEFPRFLKSLKKLWYLDLSSNRIKGNVPDWLWSLPLLVSLDLSNNSFTGFEGSLDHVLANSAVQVLDIALNSFKGSIPNPPVSIINLSAWNNSFTGDIPLSVCNRTSLDVLDLSYNNFTGSIPPCMGNFTIVNLRKNKLEGNIPDDFYSGALTQTLDVGYNQLTGKLPKSLLNCSLLRFISVDHNKINDSFPFWLKALPNLKVLTLRSNRFHGPISPPDDQGPLAFPKLQILEISHNTFTGSLPTNYFANWSVTSHKMYDEERLYMGDYSSDRFAYDDTLDLQYKGLYMEQGKVLTFYAAIDFSGNKLEGEIPESIGLLKTLIALNLSNNSFTAHIPMSFANVTELESLDLSGNKLSGEIPQELGRLSYLAYIDLSDNQLTGEIPQGTQIIGQPKSSFEGNSGLCGLPLEESCFSEDAPSTQEPEEEEEILNWRAAAIGYGPGVLFGLAIGHVVSLYKPGWFVKNYGQNRLRGIRHP >scaffold_401826.1 pep chromosome:v.1.0:4:15441293:15443171:-1 gene:scaffold_401826.1 transcript:scaffold_401826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPSSTVKGCWHSLFMHHQKCVLVDTHDVGNNCKVTAFIGGIDLCDGRYDTPDLETVFKDDFHNPTFPAGTKDPKQPWHDLH >scaffold_401834.1 pep chromosome:v.1.0:4:15495345:15496905:1 gene:scaffold_401834.1 transcript:scaffold_401834.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7LFK6] MMKPVPKLWVVISSAFLFCLLVLFQINKLDLIGTNLQITHHVNNFFISIVGSSHNQTLNLTRNANESDGIRAKHPEKEETDTCAGRYVYMYNLPSIFNDDIIKDCRPLIKWFDMCPFMVNSGLGPQVSESDNTTARVLTAKTGSWYSTNQFLLAVIFRERMKHYECLTNDSSLASATYVPYYAGFDVSRHLWGYNMTVRDELGMKLAQWLSERPEWRKMYGRDHFFVTGRIAWDFRRVRDEDSDWGSKLMRLPEFANMTMLAIETTAWANEFAVPYPTYFHPKSLTEIWRWQRKVKSVKRKYLFSFVGGPRPKLDGSIRGEIIQQCLASHGKCKFLNCFVNDCDNPVKIMEVFEKSVFCLQPSGDSYTRRSIFDSILAGCIPVFFSPGSGYNQYIWYFPKDYTKYSVYIPEKEMRNGTVTLKKILGMIDKERILRMRNVVAKIIPKIIYTKPGLVGPEKIEDAFDIAVDRILERVAMVKRMMEEGKDIQSEYYSQTKDLKKLEII >scaffold_401839.1 pep chromosome:v.1.0:4:15510246:15510504:1 gene:scaffold_401839.1 transcript:scaffold_401839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLKKISVLYLALLIIFVFEANTIKMEQVISYDSMRVNHAWGCSQKYPQFCEKTRANPYTKPNPKNSEASS >scaffold_401840.1 pep chromosome:v.1.0:4:15511467:15511871:-1 gene:scaffold_401840.1 transcript:scaffold_401840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:D7LFL2] MNKLIFLLLLSLVLLPLHVFVAALGSWSPISDVKDPHIVKIGEFAVSEYNKQSKSGLKFVTVVRGESQIVSGVNYRLVVVSNDGTDPSKNYEAIVLEKPWLKSMNLTSFKPATINGRFL >scaffold_401844.1 pep chromosome:v.1.0:4:15518893:15519126:1 gene:scaffold_401844.1 transcript:scaffold_401844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSTKLMKAIIIYVALIMVFFTFATLKTNAEDVISYEALKQDHAWGCSPKYPRLCLKQKANP >scaffold_401845.1 pep chromosome:v.1.0:4:15526384:15528996:1 gene:scaffold_401845.1 transcript:scaffold_401845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMAMDRLCFVLPTESGELKPPSLKSPAMVEKTKEEEEEKKSRDCGRQVVSLIGDLFRRLHGSKIVKSLYLCSINECKDSISMETNKSFTDMEGVQLSSKVGCENPRIFGYSELYIGTNGFSDELILGSGGFGRVYKAVLPSDGTTVAVKCLAEKKGEQFEKTFAAELVAVAQLRHRNLVKLRGWCLHEDELLLVYDYMPNRSLDRVLFRRPEANSDFKPLDWDRRGKIVKGLAAALFYLHEQLETQIIHRDVKTSNVMLDSEFNAKLGDFGLARWLEHKIDETEPDSSYDSVSSFRNHQFRVADSTRIGGTIGYLPPESFRKKTVATAKTDVFSFGVVVLEVVSGRRAVDLSFSEDKIILLDWVRRLSDNRKLLDAGDFRLPKGSYENSDMKRMIHLALLCSLNNPTHRPNMKWVIGALSGEFSGNLPALPSFKSHPLYIPLSSLKSTSTSATTTTTRTTTTTTTSTTSFNASSESIPSSNYVTALEDSIYQTAETGENRYFNYNSRRVTSSKSFVLDTPREISYNDLVLATDNFSDARRVAEVDFGTAYYGLLNGDQHIVVKRLGMTKCPALVTRFSTELLNLGRLRHRNLVMLRGWCTEHGEMLVVYDYSANRKLSHLLFHNHIPGNSVLRWKSRYNVIKSLACAVRYLHEEWDEQVIHRNITSSTIFLDRDMNPRLCGFALAEFLSRNDKAHQATKKKGSAQGIFGYMAPEYMESGEATTMADVYSFGVVVLEMVTGQPAVDYKRKKEDALLVLRIREIVGNRKKILEEIADIHLDDEYENRELARLLRLGLVCTRTDPKLRPSISQVVSILDGSERFFEEEGGKEGDVSRKQMYDSSMLMIRQMQALGIH >scaffold_401846.1 pep chromosome:v.1.0:4:15529423:15529730:-1 gene:scaffold_401846.1 transcript:scaffold_401846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYKKSPIVFLFATMMIILFMFCGSGETRTLGYGAIKRGQVPACGSKNPNSCVKQPVNHYHRGCEKLTRCARDADSFHVDETFINLH >scaffold_401847.1 pep chromosome:v.1.0:4:15530424:15536255:-1 gene:scaffold_401847.1 transcript:scaffold_401847.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:D7LFL9] MAESIRIISLQWQILSLIIALLVYFPIVSGSFFKPFNVSYDHRALIIADKRRMLVSAGIHYPRATPEMWSDLIEKSKEGGADVIQTYVFWSGHEPVKGQYNFEGRYDLVKFVKLIGSSGLYLHLRIGPYVCAEWNFGGFPVWLRDIPGIQFRTDNEPFKKEMQKFVTKIVDLMRDAKLFCWQGGPIIMLQIENEYGDVEKSYGQKGKDYVKWAASMALGLGAGVPWVMCKQTDAPENIIDACNGYYCDGFKPNSQMKPILWTEDWDGWYTKWGGSLPHRPAEDLAFAVARFYQRGGSFQNYYMYFGGTNFGRTSGGPFYITSYDYDAPLDEYGLRSEPKWGHLKDLHAAIKLCEPALVAADAPQYRKLGSNQEAHIYRGDGETGGKVCAAFLANIDEHKSAHVKFNGQSYTLPPWSVSILPDCRHVAFNTAKVGAQTSVKTVESARPSLGSKSILQKVVRQDNVSYISKSWMALKEPIGIWGENNFTFQGLLEHLNVTKDRSDYLWHKTRITVSEDDISFWKKNGANPTVSIDSMRDVLRVFVNKQLSGSVVGHWVKAVQPVRFMQGNNDLLLLTQTVGLQNYGAFLEKDGAGFRGKAKLTGFKNGDMDLAKSSWTYQVGLKGEAEKIYTVEHNEKAEWSTLETDASPSIFMWYKTYFDTPAGTDPVVLDLESMGKGQAWVNGHHIGRYWNIISQKDGCERTCDYRGAYYSDKCTTNCGKPTQTRYHVPRSWLKPSSNLLVLFEETGGNPFNISVKTVTAGILCGQVLESHYPPLRKWSTPDYINGTMSINSVAPEVYLHCEDGHVISSIEFASYGTPRGSCDRFSIGKCHASNSLSIVSEACKGRTSCFIEVSNTAFRSDPCSGTLKTLAVMARCSPSQNTSDLSF >scaffold_401848.1 pep chromosome:v.1.0:4:15536757:15537807:1 gene:scaffold_401848.1 transcript:scaffold_401848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKIAFATERSDLPRLVSAAIRASDSQNVSDFERCFDVLRHMKSLNLSVKDLSHSKVILPLESLRDHDNPKIRMETNLLFNSWMKTLYSSGRNSSTCNKAIPLKLKKVDVVKVCSELKKKKEDQLSHGFAVNSKLKETGFCGMKKNEDESSRIHETGEVRQTGDCKSFGLMRTIQKKKQSSALPMKVSGNPSSGARETIVIKKFLKKPDFCKSLPPTSRPPLKLKKHQPVKAFENSKNCPFVKTNSTEMLELFDMAKKSADVANAKGILAAKEEASICVDTLSLLMEFPIISTATETRQIMVKLERLTKHKDRKICNSALALLHHWRQNIRDQEH >scaffold_401852.1 pep chromosome:v.1.0:4:15552296:15555834:1 gene:scaffold_401852.1 transcript:scaffold_401852.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7LFM4] MATATLTLFLGILALTSTILSFNADARPQPRDEDLGTVIGPHQTSFDDEVGIVIGPHATVDDDEDMDMDMGTSVGPHTNLNDDDLGTIIGPEYEIHKQDFPEDFIFGTSVSAYQVEGAKKGSGRGLTSWDEFTHMFPEKVQQNGDGDDGVDFYNRYKGDIKLMKQLNTNGFRFSISWTRILPYGTIKKGVNEEGVKFYNDLIDELLANGIQPSVTLFHWESPLALEMEYQGFLSEKIVEDFRQFANFCFKEFGDRVKNWATFNEPSVYSVAGYSKGKKAPGRCSKWLTPKCPTGDSYEEPYTVAHNQILAHLAAVDEFRNCKKCQEGGGKIGIVLVSHWFEPKDPNSRKDVEAARRSLEYQLGWFLRPLTYGHYPTEMLEDVNIRLPEFTPEESEKLKKSLDFVGLNYYGAFFSTPLASVNSSQLNYETDLRVNWTDQQNHSPHLKSTSMGIVIYPEGLMKILKHIKDEYMDPEIYIMENGMDEIDYGTKSVTEATNDYGRKEFIKSHILIMGKSIRMDKVRLKGYYIWSLMDNFEWDKGYKIRFGLYYVDYNNNMTRYIRSSGKWLSEFLDSKETLHKCYFEGHREKGYAPKLFDVEYLEPENSQLSYRSDFM >scaffold_401854.1 pep chromosome:v.1.0:4:15560707:15562150:-1 gene:scaffold_401854.1 transcript:scaffold_401854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTSFEEIIKGKLKERKNAHFMLVDGMSKLLTQKVNNCQSLDFQVSGIKWRLLIRPAVGFKDYLSVSVWIIDEKCTGSNWEVKFNFKIGLLPQTGPEFSYFLVGCHNQQNPLQGLDNFILYTVLKERFLVNDKAVFYAEISDVQPNFPVTGITPTMGIAERFKLIEVARKNSRFTWKITKFSSFTGVEHSSDEFTVGPRRWRLSMYPEGFGDGKGNSFSLYLIASDYVTDDPKGVTLAVYKLRVLDQLHRNHYEINCQDWFLHLTTSGRHKFLPLEELHKASRGFLVNDQIYIGVEFLIVSTTEYL >scaffold_401855.1 pep chromosome:v.1.0:4:15563907:15564168:1 gene:scaffold_401855.1 transcript:scaffold_401855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISKKTIVQSLAFMIIISIVMSTTEAKSIGVPAMREDLPKGCGPGSPPGCKMQPANPYKPGCEASQRCRGG >scaffold_401856.1 pep chromosome:v.1.0:4:15564981:15565258:-1 gene:scaffold_401856.1 transcript:scaffold_401856.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LFM8] MAAARELIICCFLTLLLCNFFMRVDSAGAADVSRGGCGGGDGSLGDDNERCVEAAKEDDDDDVDDVYKVINKMRIYA >scaffold_401857.1 pep chromosome:v.1.0:4:15566995:15570775:1 gene:scaffold_401857.1 transcript:scaffold_401857.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATZW10 [Source:UniProtKB/TrEMBL;Acc:D7LFM9] MPEIDALFESINVRDLLAGHDLNDPTTPLSAPDLRLLINRLESHSLRIKSKVQSYLVAHHSDFSELFSLCQDTVSRTRLISDDVSDVLQLVSDRPIDVEIRSVVDEITEKTKEVKLKRESLDLVSAIVGICEALQETKEALKNGRFRFAAERIRELKVVLRIGEEEEGEPVAYALLRKEWSNCFDEIQEVLAKFMENAVRFELDSSRLRIKCQLSVGETAGIALSTVLEAMEVIGMLDYGLAKAADSIFKHVITPAVTHASTFTAVEDLSKSSGEVTEATLRLEQSSDHKVEDGDGDAIYSGTLKVVKFICSSLCFGNITWIHSFGRLTWPRISELIISKFLSKVVPEDASKLADFQKIIEWTSQFEAALKELNFVSPSDAESRLSKYAEDVEVHFASRKKIEILAKARNLLLQCNFTIPQVDVNSSKHIVCLLFSSERCVVSEAASQLMHLVHKTLEDVCVSSARVASEFYNAARDSILLYEAVVPVKLEKQLDGINQAAVLLHNDCLYLFEEILGLAFEYRASFPSSIKEYAVFADIAPRFKLMAEEVLQKQVHLVISSLREAIDSADGFQNTHQMKQFESAKFSIEQVVFSLEKVHMIWEPVLRPKTYKQSMCAVLESVFRRIARDILLLDDMAADETIQLQRLIYPMLENLSSLLDSLRSADETSRPLDDLIPSLRKTRKLAELLDMPLKSITSAWESGELFSCNFTRTEVQDFIKAIFTDSPLRKECLWRIDEFSQ >scaffold_401862.1 pep chromosome:v.1.0:4:15594975:15599723:-1 gene:scaffold_401862.1 transcript:scaffold_401862.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAZ domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LFN4] MEKSSSLPLSPISIELEKPSHRDYDITTRRGVGTTGNPIELCTNHFNVSVRQPDVVFYQYTVSITSENGDAVDGKGISRKLMDQLFKTYSSDLDGKRLAYDGEKTLYTVGPLPQNNFDFQVILEGSFSKRDCSVSDGGSPSGTCKRSKRSFLPRSYKVQIHFAAKIPLKTILVTQRGSYTADKSAQDALRVLDIVLRQQAAERGCLLVRQAFFHSDGHPMEVGGGVKGIRGFHSSFRPTHGGLSLNIDVSTTIILEPGPVLEFLKANQSVETPRQIDWVKAAKMLKHMRVKATHRNMEFKIIGLSQKPCNQQLFSMKIKDGEREGQTRDITVYDYFKQTYTEPISSAYLPCLDVGKPNRPNYLPLEFCNLVSLQRYTKALSGRQRALLVEKSRQKPLERIKTLNDAMHTYCFDKDPFLAGCGISIEKQMTQVEGRVLKPPMLKFGKNEDFEPCNGRWNFNNKMLLEPKAIKNWAIVNFSFPCDSSHISRELISCGMRKGIEIDRPFALVEEDPQYKKAAPVERVEKMIAKMKLKFPDPPHFILCVLPERKTSDIYGPWKKICLTEEGIHTQCICPVKISDQYLTNVLLKINSKLGGINSLLGIEYSYNIPLINKIPTLILGMDVSHGSPGRADVPSVAAVVGSKCWPLISRYRAAARTQSPRLEMIDSLFQPIENTEKGDNGIMNELFVEFYRTSRSRKPKQIIIFRDGVSESQFNQVLNIEVDQIIKAYQRLGESDVPKFTVIVAQKNHHTKLFKAKGPENVPAGTVVDTKIVHPTNYDFYMCAHAGIIGTSRPAHYHVLLDEIGFSPDDLQNLIHSLSYVNQRSTTATSIVAPVRYAHLAAAQFAKFEDVSEDGKVPELPRLHENVETNMFFCFC >scaffold_401863.1 pep chromosome:v.1.0:4:15601718:15607110:1 gene:scaffold_401863.1 transcript:scaffold_401863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISTDPLVPAVKPDPRTSSVGEGYNRNENDDGGDGGSEIGAPDLDKDLLCPICMQIIKDAFLTACGHSFCYMCIITHLRNKSDCPCCSQHLTNNQLYPNFLLDKLLKKTSARQVSKTASPLDQFREALQRGCDVSIKEVDNLLSLLAERKRKMEQEEAERNMQILLDFLHCLRKQKVDELNEVQTDLQYIKEDINAVERHRIDLYRARDRYSVKLRMLGDDPSTRNAWPHEKNQSGFNSNSLSVRGGNFLGNYQNKKVEGKAQGSSHGLPKKDALSGSDSQSLNQSTVSMARKKRIHAQFNDLQECYLQKRRQLVDQPNSKQENDKSVVRREGYSNGLADFQSVLTTFTRYSRLRVIAEIRHGDIFHSANIVSSIEFDRDDELFATAGVSRCIKVFDFSSVVNEPADMQCPIVEMSTRSKLSCLSWNKHEKNHIASSDYEGIVTVWDVTTRQSLMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTRQEASVLNIDMKANICCVKYNPGSSNYVAVGSADHHIHYYDLRNISQPLHVFSGHKKAVSYVKFLSNNELASASTDSTLRLWDVKDHLPVRTFRGHTNEKNFVGLTVNSEYLACGSETNEVYVYHKEITRPVTSHRFGSPDMDDAEEEAGSFFISAVCWKSDSPTMLTANSQGTIKVLVLAA >scaffold_401871.1 pep chromosome:v.1.0:4:15650878:15653443:1 gene:scaffold_401871.1 transcript:scaffold_401871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTEVNRICDETGASREEALYYLEGYDWNLATAVEACRVKTLPSVKVKSSPEISVNEQSTAAESSATPVTINPPRVSNQGPSTPPRSINYPSRSSNQIPPRKRIVLNPAKELSSESKQERSKNRFNWDVERAGDHYCNQRYSQQRTSYADDWDIPEIDQSYLQQFQEGYMPPMIGVSNLEGFSQDETSTALAMDLMDHSAPPLPSLDLPSLSQFHASLGYQIGNSSSSDLMNVDVDEMGDLHDVPIMSSSQEQIQGSSTSAENKEDHPPPYNLDRICSETGVCRNEALYYLESFDSDLATAIEACRSKTLPPLKVRSLPVVSVNDISAVPEWESPTARPLVMNSRRISNEMRIQPEQLPPKIEEQSSGSNAVKIKDFCDIVGAHPDVAVAYLDRCKWHVQEAINYFMDEGIRPTTGFSEDVTSNPPVPLIGLPSQSQFQAFRSSSSSLMNIDPTETEESLRESSDESVTIPNLPMVSSQVNGKAAEEDSSMETFHDPLTIQDRTSVEHQVAPTTITLTIYLHDGGSVIPVDIPFRSDQTVRDIRNAIDERTPDNDRDYYLQSVGGEDDCKDMNATVGKICKSGFTTLHQVLHD >scaffold_401872.1 pep chromosome:v.1.0:4:15657154:15659306:-1 gene:scaffold_401872.1 transcript:scaffold_401872.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma subunit of mitochondrial F1-ATPase [Source:UniProtKB/TrEMBL;Acc:D7LFP2] MAMAVFRREGRRLLPSIAARPIAAIRSPLSSDQEEGLLGVRSISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAVQGRAENSRGLWQPFTALLGDNPSIDVKKSVVVTLSSDKGLCGGINSTVVKVSRALYKLNSGPEKEVQFVIVGEKAKAIMFRDSKNDIVLSVTELNKNPLNYAQVSVLADDILKNVEFDALRIVYNKFHSVVAFLPTVSTVLSPEIIEKESEIGGKLGELDSYEIEGGETKGEILQNLAEFQFSCVMFNAVLENACSEMGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELIEIISGASALEAAK >scaffold_401875.1 pep chromosome:v.1.0:4:15674810:15675603:-1 gene:scaffold_401875.1 transcript:scaffold_401875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHGLFNSSWMNTLSSSGRDAYTCNKALPLNLKKPNVVKASSELKKNEHQRSHDFAAETKKKTEFLVPKKNTDERSKTREETGEKKQSALPMKLSKNPRFGVHGTGEIKQNLKKPGLSKSVPATSRLLKLKKHQQAKVSEDPKYCHVLKKNDTETLELFEIAKKSADVANTKGLLAAEVETSICVDTLSLLMEFPISATAIETRRIMVRLENLTKHKNRKICNSAAALLQCWRHSIRDQELRESRKTQG >scaffold_401877.1 pep chromosome:v.1.0:4:15680109:15680321:-1 gene:scaffold_401877.1 transcript:scaffold_401877.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LFP8] MLKTFSTPADFAVVLSENLRRRSEGFWTRRDLASNRWIAATTLLRKQTEMSNQNHL >scaffold_401879.1 pep chromosome:v.1.0:4:15690783:15691129:-1 gene:scaffold_401879.1 transcript:scaffold_401879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYMKLLIIAVIISAALFPALVVGSRPVKCNNCNDGGEKEKIMKMNSGMDVNHRILQAKRYINYDALKKNKPAKPDGKPDKPDNKYRRGCTAATGCYRFTN >scaffold_401881.1 pep chromosome:v.1.0:4:15699131:15700843:1 gene:scaffold_401881.1 transcript:scaffold_401881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLLILCLSSLFFFLAASTKEYPSQAKIFDVRNYGAHGDGRRDNAFSFTKAWNEACQWSNGRSTVYIPPGIFYLRQVTFTGPCKNPITFIIRGTLLAPRNPYAIKQDEWILFKYVDNLTVTGGGLLDGQGSYSWPLNDCNKNTNCRTLAMNIGFAFVKSSKINGLRSINSKMGHFNLFSVEDFNITGVTITAPGDSPNTDGIKIGKSSHMNIFNVTIGTGDDCIAILDGTSNLDISDVRCGPGHGISVGSLGRYKEEKNVQGLTVRNSIINGTTDGLRIKTWAKSVSQISVSNFLYENIQMINVGNPIVIDQQYCPHGQCDSPGKYASHVQIKDVKYNKIWGTSTSKEALKMQCSKAFPCQDVELSNINLKYVGRDGLVTALCENVGGSIRGKIVPANCRI >scaffold_401884.1 pep chromosome:v.1.0:4:15716805:15717927:1 gene:scaffold_401884.1 transcript:scaffold_401884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWSEINLDVLQLILERLSIRNRLNARSVCKNWYRVSKESLIKVPWMIIFPSRKTKERSCQLFDPQEGRFYELNKLVNDFYSSQCIATSGSWLLMFDFGSRFYVLNIFTRERINLPPLKSHQGRIFVKKTRDKLGRDNFMLRIRQSSITARNALNQTKAVLWVDELTKNYVVVWSIGLLYMMFTKSGFDNWREIPTREGPEILHGCQDLVYKYNKLYVLSKQNRIRILDFSQELPRANSDNVYHNPFKNDGKGRAMIIGVTVSGDVLIVKNQLKKIFNIFKMGLEGTRWDRVKSLGDESWIADLGVTVRASVNGSKPNSVYYCRDMNVYCGDISTRSQVIQKYFTSLKLGCVRLFIPTL >scaffold_401887.1 pep chromosome:v.1.0:4:15721542:15722660:1 gene:scaffold_401887.1 transcript:scaffold_401887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNQGWSNLCPDLLRSIFKGLNHKSCHRARTVCSNWYLVSTTCVSEFCIHGEFYSKSTILCCSIVKMIRFTNSTIHILTSPESIVSLAPAIGFSWIIKLYVFTIDNSIKVLDFSGDIPREDVESPYYDPQFSSEMGLMECIWKRKVMITKSGNVMAIQTSGGNNKEKFLFQVFKMNLESRKWKSVDSLGKNEMVIFGHGVTLRTYINGGSIFFVEDDLWPGQRKCGVFDLATSTITWSKPVGHSLAETRRWFVPSSFSDNHLQEH >scaffold_401888.1 pep chromosome:v.1.0:4:15723123:15724385:1 gene:scaffold_401888.1 transcript:scaffold_401888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETQRDEKMKTGDVDWSEMCSDAMQLILESLSIPDFHRASTVCSKWYSVSKSCVAGSQNRYPWLILFPEKSSDSKPSKKLFTKLSDTNSCRLCDPRDGKIYRTRYLGDKLSEWRCLASYGNWLLMLTPHIDFYVINVFTGERINLPSLSLRRKVSFERKDDGGFFLERFDRETIIDWFIETAVLWIDEKTKDFVVCWIYNDQYLFSFKKGDDSWWHLEDTKCLAMAYKDQKLCVYTSDHHIKILDYSGDIPEEIIKENPYLNHQFYYDPQPWEYIWKRILAITTSGDVLIILSLKKTLEKEEKRLFYIFKMNLQGDEWERVDSLGNEMLVFGDHGYTLAVASSAKEDNSGGVIYFVADDVWPPHTNKLLESSTGAFDLATTEIRWSLHYFYFSNIRWFVPGSDKQ >scaffold_401889.1 pep chromosome:v.1.0:4:15726939:15728200:1 gene:scaffold_401889.1 transcript:scaffold_401889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNGTISPTTREAITTNSLNIDIANVSKVTSIKKMEPKDADWSEMCSDSMKLILESLSFPDFHRARTVCSSWYSVSKSCVAGSRSRYPWLILFPNFSGSCTLFDPLENKTYKTRNPGKSRCLASYGNWLLMLTPRLDFFVLNVFTCERISLPSLSLQGGKVRFQRKDDDGVLFLEHSPKDRETIINRYIETAVLWIDEKTKDFVVCWIYNSQYLFSYKKGDDSWWHLEDTKCLAMAYKNKKLCVYTWSQYIKILDFSEDFPKEIVEENPYLNHRVYYTPQHSRESWRERVAITSSGDVLIIVSIRKTLIENQEKPYFFKIFKMNVEGTEWERVDSLGDEMLVFGDGFIKGNLIYFVSDDSWDPVSDQPFQSSTGVYDLSTTEKRWSRFAYCLSNIRWFVPGSDNK >scaffold_401891.1 pep chromosome:v.1.0:4:15732686:15736228:-1 gene:scaffold_401891.1 transcript:scaffold_401891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLVTNVASKARIARNCTSQIGSRLNSTRNYAAKDIRFGVEARALMLKGVEDLADAVKVTMGPKGRNVIIEQSWGAPKVTKDGVTVAKSIEFKDRIKNVGASLVKQVANATNDVAGDGTTCATVLTRAIFTEGCKSVAAGMNAMDLRRGIKLAVDTVVTNLQSRARMISTSEEIAQVGTISANGDREIGELIAKAMETVGKEGVITIQDGKTLFNELEVVEGMKIDRGYISPYFITNPKTQKCELEDPLILIHEKKISNINAMVKVLELALKKQRPLLIVAEDVESDALATLILNKLRAGIKVCAVKAPGFGENRKANLHDLAALTGAQVITEELGMNLDNIDLSMFGNCKKITVSKDDTVVLDGAGDKQAIGERCEQIRSMVEASTSDYDKEKLQERLAKLSGGVAVLKIGGASETEVSEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLSTANFDQKIGVQIIQNALKTPVYTIASNAGVEGAVIVGKLLEQDNPDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLLTTTEAVVTEIPTKEVVSPGMGGGMGGMGGMGGMGF >scaffold_401894.1 pep chromosome:v.1.0:4:15740882:15741343:-1 gene:scaffold_401894.1 transcript:scaffold_401894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTKILVTFSLVVILVVSSPQNAMASSRLIVYICAEIKATINGLECFNTCTPSYDDYKCNLDCLSSGYPAGDCHTVSPSQPKKCCCY >scaffold_401902.1 pep chromosome:v.1.0:4:15777288:15779292:1 gene:scaffold_401902.1 transcript:scaffold_401902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVPFPDLNLMPASQSSTAGTTAGDTVVTGKLEVKAEPVEECQTPPSSFSDQSASTDLIAEFIRISKLFRSAFKPHQIEGLDGVSVYGLDSGAIVTVPEEENRELIKPPPGFGDNRVSTVVVSPPPTKFERPRELARIAILGHDQRKELRQVMKRTRMTYESLRIHLMAESMKNPVLGQGRRRRSDMAAAYIMRDRGLWLNYDKHIVGPISGVEIGDIFFYRMELCVVGLHGQTQAGIDCLTAERSATGEPIATSIVVSGGYEDDEDTGDVLVYTGHGGQDKQHKQCDNQRLVGGNLGMERSMHYGIEVRVIRGIKYENSISSKVYVYDGLYKIVDCWFAVGKSGFGVFKFRLVRMDGQPMMGSAVMRFAQTLRSKPLMVRPTGYVSFDLSNKKENVPVFLYNDVDGDQEPRHYEYIAKSVFPPGIFGQGGISRTGCDCKLSCTDDCLCARKNGGEFAYDDNGHLLRGKDVVFECGELCTCGPNCKSRVTQKGLRNRLEVFRSKETGWGVRTLDLIEAGAFICEYAGVVVTRHQAEILSMNGDVMVYPGRFTDKWRNWGDLSQVYPDSVRPDYPSLPPLDFAMDVSRMRNVACYISHSKDPNVMVQFVLYDHNHLMFPRVMLFALENISPLAELSLDYGLADEVNGKLAICN >scaffold_401905.1 pep chromosome:v.1.0:4:15800818:15802646:-1 gene:scaffold_401905.1 transcript:scaffold_401905.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LFS6] MSMFPSFQLLELNIISAQDLAPVSRKMKTYAVAWVHSERKLTTRVDYTGGANPTWNDKFVFRVSEDFLYADTSAVVVEIYALHWFRDVHVGTIRVLISNLIPPNRRPGYRSNDEYRRTPPPGMRFVALQVRRPSGRPQGILNIGVGILDGSMRSMPLYTNMDSSAVGYRDLLGEEDPNLQNLHLNSFKGSSKNPQSPSSKQYQSVVSRPPVLRRTRSDTSSMVVSDLLSRAERSRVANRKPASALMSTESETVPTTSGHDSVTSDSELTKSIDYGLPYQSPKIPSQRYDSYEPDYVDRSPNHNVLRHEPDFIDQSPYRSYDRSRKTPRRSTPMIEKPRPPRDYDRSSSRASPYLSRHGTPLRSNIVASTPIRSNMVASSPMRSTGVGSTPRRSNLLGSTPLRSNIVGSTPIRSNYMATPMKSPLQFGTPMRSNLAGRPILTESELGPSPSEVAQKMAKERSQANETESSILSEWSLDDDSNIEGLRSKLERWRTELPPLYDLGSSHQSSDVGSGAIVVANVGGGKSSRKKTPVVKKKHNRRHTEGGNGLFSCFSNLCGVECTFVCGGGSDQDGSKKKGGSGRLPRLGSADDLSYL >scaffold_401909.1 pep chromosome:v.1.0:4:15825184:15827187:1 gene:scaffold_401909.1 transcript:scaffold_401909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVTPDAQKDDPELEDSLNIDENLSGENGLLKSVNLLSPKRQQCSLVSNSGKWLQIVKNGPKGSFSRATSFFDNKIPRQLVSIDEKYLRRCLDLINISAFKSASCSLSLNLVGSKMSLSSRHFDSPVIPKENVARLVFDLPLVDDSGSPVISRAIIGCKRVTYMLDKPLLHDLEGLDGDGMNSGTRRDHNGENELVSCDVVRKIEEKDTPDLQFQSYQSGNMPQKAVSLSSTNSMSSSSSEQSSSGWSPSSSVSQGTLQFIMKDNKTPHFVFSLDDQKEIYVASLTTTSVGSGFDRSSLDYSYLIHLKKGRGSELQHLVGKLKVSTLFSVSSTNEKTVERQFVLFSSGGNPQLQCHNDIRKNRGLPKKVVDALKSTKRTSRQRSISRFSRTSSIPEFCSWEPFQEHENDLEPVSLLDNDLPPNLETSAVVVREQFPVEEEEEVEKVGGWGMKFLKKMPLARAKDASKNSKLSTSIDVVIPSGIHGGPRNRNGGPSSLIQRWKSGGCCDCSGWDLGCPLTVLKGRARKDQNEGQCNLFELFTEGMMQGSPGLRIMNVRDGLFFVQSQAKISVLQSFSIALAYIHSQSQRLRPWSSKKCTEA >scaffold_401913.1 pep chromosome:v.1.0:4:15834583:15834820:-1 gene:scaffold_401913.1 transcript:scaffold_401913.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LG98] MVSSVGGDNDHIGEETNFFDDLMLETPDENRVSPWKIAIGVYKKNRERKLNREMKKVEEIWLFSH >scaffold_401920.1 pep chromosome:v.1.0:4:15865581:15866465:1 gene:scaffold_401920.1 transcript:scaffold_401920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L28, chloroplast [Source:UniProtKB/TrEMBL;Acc:D7LGA5] MTTMATQGAWLRMTSSPKSVAKSTVTSKELGFLTSQLSGVRISHAPSDVINRISVPSFPGIQPIVARRICPFTGKKANRANKVSFSNHKTKKLQFVNLQYKRVWWEAGKRFVKLRLSTKALKTIEKNGLDAVAKKAGIDLRKK >scaffold_401926.1 pep chromosome:v.1.0:4:15891119:15892430:1 gene:scaffold_401926.1 transcript:scaffold_401926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPNMETITESLEKSMQNCSLNDRRRRVVGDGFGRSSSNEHTPISDRTLELNSHLSLPCHWEQCLDLKTGEIYYINWKNGMRVKEDPRKVMNADPDSGDSYGTVCSEEDSSYYDSEESSAESSPSSRENHKEEEEEEEEEDVLVVAGCKACFMYFMVPKLVEDCPKCAAQLLHFDRPHSASS >scaffold_401927.1 pep chromosome:v.1.0:4:15895878:15896329:1 gene:scaffold_401927.1 transcript:scaffold_401927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYHASHESYQPPGPSPLYQPMIEAPPPPYPPTRTRYQDYYGGYGQPHPPPLRPYRSDEYYREGEYVGCFPFLRSW >scaffold_401930.1 pep chromosome:v.1.0:4:15921870:15923180:-1 gene:scaffold_401930.1 transcript:scaffold_401930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQLGLGVSAVDGGENSSAPSNDGGDDGVKTARLPRWTRQEILVLIQGKRVAENRVRRGRAAGMALGSGQMEPKWASVSSYCRRHGVNRGPVQCRKRWSNLAGDYKKIKEWESQIKEETESYWVMRNDVRREKKLPGFFDKEVYDIVDGGVIPPAVPVLSLGLAPASEEGLLSDLDRRESVRSPEKLNSTPVAKSVTDVTDKEKQEACGADQGRVKEKHPEAANVEAGSTLQEERKRKRTSFGEKEEEEEEEGETKNMQSQLIEILERNGQLLAAQLEVQNLNLKLDREQRKDHGDSLVAVLNKLADAVAKIADKM >scaffold_401932.1 pep chromosome:v.1.0:4:15934119:15935850:1 gene:scaffold_401932.1 transcript:scaffold_401932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEVLPPVLSTTTVCFEKKPLIATLLALSLVMIIWNLPPYYHNLISTARPCSAVTTTTTTILSSSNFTAAANFTTSLSTTTAAASQKYDSTPSDPNKRVFQAFGNAAALFVLMGAYRGGPATFSVIGLASKPIHVFGKPWYKCEWISNNGTSIRAKAQKILPDWGYGRVYTVVVVNCTFNSNPNSDNTGGKLILNAYYNESPKLFERFTTLEESAGIYDESKYSPPYQYDYLYCGSSLYGNVSSSRMREWMAYHAWFFGDKSHFVFHDAGGVSPEVRKVLEPWIRAGRVTVQNIRDQSQYDGYYYNQFLIVNDCLHRYRYAANWTFFFDVDEYIYLPHGNTLESVLDEFSVNTQFTIEQNPMSSVLCLNDSSQDYPRQWGFEKLVFKDSRTNIRRDRKYAIQAKNAFATGVHMSENIVGKTLHKTETKIRYYHYHNTITVHEELCREMLPNSAKKKVTLYNKLPYVYDDNMKKLVKTIKEFEQKKLGTDVKNFS >scaffold_401936.1 pep chromosome:v.1.0:4:15943817:15946010:1 gene:scaffold_401936.1 transcript:scaffold_401936.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSWI3B [Source:UniProtKB/TrEMBL;Acc:D7LGC1] MAMKAPDSGGSGEILPNTPSLSETTSGVAAALQSPQPPSSSSDIDTIYVPSYSRWFSWTGINDCEVRSLPEFFDSRSSSKNPKFYLYLRNSIIKQYRDDHPRKISFTDVRRTLVSDVVSIRRVFDFLDSWGLINYTSSASAKPLKWDEKEAGKSVGDAASEPSTTVKETAKRICNGCKSICSIACFACDKYDLTLCARCYVRSNYRVGINSSEFKRVEISEESKIQWSEKEILLLLEAVMHYGDDWKKVAPHVTGRTEKDCVSQFVKLPFGEQFVKESDFEDGLEAFDQIKGSAIPESEGIDKDCSSPNKRMKLTPLADASNPIMAQAAFLSALAGTNVAEAAARAAVTALSDVDYDADKNASGDSNRQEANGASSGETTRNDSERAWADAKSLIEKEEQEVEVAIKETVEVEMKKIRDRIVHFEKLDLEMERSRKQLEEMKNLLFVDQLNIFFHTRKARTSEDRVEC >scaffold_401938.1 pep chromosome:v.1.0:4:15954684:15956522:-1 gene:scaffold_401938.1 transcript:scaffold_401938.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase family protein [Source:UniProtKB/TrEMBL;Acc:D7LGC3] MHLSENEGIEGNTFVVTGGLGFVGAALCLELVRRGARQVRSFDLHHSSPWSDDLKNSGVRCIQGDVTKKGDVDKALDGADCVLHLASHGMSGKEMLQFGRCDEVNINGTCNVLEAAFKHEITRLVYVSTYNVVFGGKEILNGNEGLPYFPLDDHVDAYGRSKSIAEQLVLKSNGRPFKNGGKRMYTCAIRPAAIYGPGEDRHLPRIVTLAKLGLALFKIGEPSVKTDWIYVENLVLAIILASMGLLDDIPGRDGHPVAAGQPYFVSDGSPVNTFEFLRPLLKSLDYDLPKFTISVPSALSLGKIFQGVYTLLYPWLSKSWLPQPLVLPAEVYKVGVTHYFSYLKAKEELGYVPFKSSKEGMAATISYWQERKRRSLDGPTIFTWIAVILGMSALFAAGWLPDIGPVPFLRALHLFFFRTITVVKAVFIVSVILHVGEGIYALLLAKRVDPGNAMGWFLQTCALGFFSMRFLLKRAKDHQI >scaffold_401939.1 pep chromosome:v.1.0:4:15957442:15960228:1 gene:scaffold_401939.1 transcript:scaffold_401939.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LGC4] MARRHGWQLPAHTFQVVAITVFFLLTVAYYAFFAPFLGNKLYEYIAIGVYSFLAFSVLVLYIRCTGIDPADPGIFVKADYTPAHKSQNSNYVPDNASAIDGGPYIRHGSGCCNAIGRFICGCLVIQDCRRDTQQEQSNEQEEALFCSLCNAEVRMFSKHCRSCGKCVDGFDHHCRWLNNCVGQKNYISFVCLMAASFFWLIAEFGVGVTVFVRCLVDQKAMEHLITEKLGLGFSRPPFAAVVVVCTTLSLLALIPLGELFFFHIILIRKGITTYEYVVALRAKTEQLGTSVDELDQTSQHPSPASSAVTATSARSSLGLSIQYRGVSLCTPPNIFMDQQQDDVIQHLEPGPVRSTIDPDSLSQKKPPQRQQVRINPWKLAKLDSQEASKAAAKARASSSVLLPVSSRQNPYKTSSNVSGRSSPASTHHTRKGKADLESCSLSSPGLTRDHFNPMYMSSPANESPLNEEESRNAVVAARRNLPSSDESSVVWDPEAGRFVSSSRIPGTDFGSPLGNERLNTITSSGTDGSRRARGNPLTGYFQQVRSQRGDQLPVFMPTDSQLHRHLSTRFH >scaffold_401940.1 pep chromosome:v.1.0:4:15960317:15961188:-1 gene:scaffold_401940.1 transcript:scaffold_401940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYLEYVTVRVYCYDYTRLVVWNPYTGQTRWICIERNSGPLWYHALGYEKSESCRRIHKILRFAHFQVTDMCTRSTSSILTHGGFLMSLLTEEQLAVLVQPFCSYGMEIWVTNKIEPNAVSWSKFLAIVDMGVLIEPSFLIHKEKQAVVVFDEHGDLVNHRCDIAYIVGENGYFRQMDLGEITERQGLLCGCDEKLFKNFLHFATRYVTN >scaffold_401944.1 pep chromosome:v.1.0:4:15971528:15973275:1 gene:scaffold_401944.1 transcript:scaffold_401944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDFSPLLTVLEGDFNKDNASSATEIDTLDNLDDTKQISKGKPPRHLTSSATRLQVAANTDVDVCNLVMKSLDEKSEFLPVYRSGSCAEQGAKQFMEDEHICIDDLVHHLGAAVQCSSLGAFYGVFDGHGGTDAAHFVRKNILRFIVEDSSFPLCVKKAIKSAFLKADYEFADDSSLDISSGTTALTAFIFGRRLIIANAGDCRAVLGRKGRAIELSKDHKPNCTAEKVRIEKLGGVVYDGYLNGQLSVARAIGDWHMKGPKGSACPLSPEPELQETDLSEDDEFLIMGCDGLWDVMSSQCAVTIARKELMIHNDPERCSRELVREALKRNTCDNLTVIVVCFSPDPPQRIEIRMPSRVRRSISAEGLNLLKGVLDGYP >scaffold_401948.1 pep chromosome:v.1.0:4:15986258:15988493:-1 gene:scaffold_401948.1 transcript:scaffold_401948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSINEVVGSTSVNTLDSSVGKKPVFLTKAQREELALKRRQDQISEQRVRREQLGRPEPETEDVSNGDTNRDKDRDRDRDRDRERDRDRERDRGRDRDRDRDRDRDRERERDRERDRRERDREPDRRNREREREEEVKAREKARVEKLVEREREKELDAIKEQYLGGKKPKKRVIRPSEKFRFSFDWENTEDTSRDMNVLYQNPHEAQLLFGRGFRAGMDRREQKKQAAKHEKEMRDEIRKKDGIVERPEEAAAQRVREEAADTYDSFDMRVDRHWSDKRLEEMTERDWRIFREDFNISYKGSRIPRPMRSWEESKLTSELLKAVERAGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLAYISRLPPMSEENETEGPYAVVMAPTRELAQQIEEETVKFAHYLGFRVTSIVGGQSIEEQGLKITQGCEIVIATPGRLIDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVAGVLDAMPSSNLKPENEEEELDEKKIYRTTYMFSATMPPGVERLARKYLRNPVVVTIGTAGKTTDLISQHVIMMKESEKFFRLQKLLDELGDKTAIVFVNTKKNCDSIAKNLDKAGYRVTTLHGGKSQEQREISLEGFRAKRYNVLVATDVVGRGIDIPDVAHVINYDMPKHIEMYTHRIGRTGRAGKSGVATSFLTLHDTEVFYDLKQMLVQSNSAVPPELARHEASRFKPGTVPDRPPRHSDTVYIN >scaffold_401951.1 pep chromosome:v.1.0:4:15992492:15993857:-1 gene:scaffold_401951.1 transcript:scaffold_401951.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPUP2 [Source:UniProtKB/TrEMBL;Acc:D7LGD6] MKMKTVLVIINCIFLAIGNCGGPLMMRLYFSNGGQRIWFSSFLQTVGCPLIIFPLLFSFIRRLRCLDEQEKTPFFLMKPPLFIAAILVGLLMGFDNYLYSYGLAYIPVSTASLIISAQLGFTALFAFFMVKQKFTPFTINAVVLLTVGAVVLALNSDSDKLANETHKEYVVGFLMTIGAALLYAFILPLVELTYKKSCQRITYTLALEFQMVLCFFATCFCLVGMLAAGDFKVKHALFIFKNRVIAGEARDFKLGESLYYVVVVFTAVIWQAFFVGAIGLIFCASSLVSGIMISALLPVTVILAVICFQEKFQAGKGVALALSLWGSVSYFYGQMKSEEKTKAQETQLSQLPVTDSET >scaffold_401952.1 pep chromosome:v.1.0:4:15997561:15999353:1 gene:scaffold_401952.1 transcript:scaffold_401952.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LGD7] MTTKVAANSAAYEAIVRAGPRVKQLQQVHAHLIVTSYGRSRSLLTKLITLACSARAIAYTHLLFLSVPLPDDFLFNSVIKSTSKLRLPLHCVAYYRRMLSSNVSPSNYTFTSVIKSCADLSALKIGKGVHCHAVVSGFGLDTYVQAALVTFYSKCGDMEAARQVFDRMPEKSVVAWNSLVSGFEQNGLAEDAIRVFYQMRESGFEPDSATFVSLLSACAQTGAISLGSWVHQYIVSEGLDVNVKLGTALINLYSRCGDVGKAREVFDKMKETNVAAWTAMISAYGTHGYGKQAVDLFNKMEDDCGSIPNNVTFVAVLSACAHAGLVEDGRSVYKRMTESYRLIPGVEHHVCIVDMLGRAGFLDEAYRFIQQLDATGNATAPALWTAMLGACKMHRNYDLGVEIAKRLIALEPENPGHHVMLSNIYALSGKTDEVSHIRDRMMRNNLRKQVGYSIIEVENKTYLFSMGDESHQETGEIYRYLETLISRCKEIGYAPVSEEVMHQVEEEEKEFALRYHSEKLAVAFGLLKTVDSAITVVKNLRICEDCHSAFKYISIVSNRQIIVRDKLRFHHFQNGSCSCLDYW >scaffold_401953.1 pep chromosome:v.1.0:4:15999540:16003238:-1 gene:scaffold_401953.1 transcript:scaffold_401953.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHO2/UBC24 [Source:UniProtKB/TrEMBL;Acc:D7LGD8] MDMSLTDSDWDSSSDSGSSEHEEVEFSYGGRAQNIFSNLEETIGKIDEFLSFERGFMYGDIVRSTTDPSGQSGRVINIDMFVNLESTHGKIIKEVDTKRLQKLRSISLCDYVINGPWLGRVGKIVERVSVTLDDGSNYEVLVDGQDKLVAIPPNLLEESQYSYYPGQRVQVKLAHAPRSTTWLCGTWRENQVMGTVCAVEAGLVYVDWVASIVMGGVRNLTAPQALQNPESLTLLPCVSHASWQLGDWCILPGSSHCDIAERQTPNVVAYNLECHKTFQKGFNRNMQNSGLDELFVITKTKMKVDVMWQDGSCSRGFDSQQLLPVGAVNAHDFWPEQFVVEKETCNSKKWGVVKAVNGKEQTVKVQWTTQVEKEATCCVGEVMEEIVSAYELLEHPDFGFCFSDVVVRLLPERKTDPNADTIVPAETKHLLTESDYSGAYCLSSIGVVTGFINGAVEVKWANGSTSKVAPCEIWRMERSELSNSSSISSEGSVQDLSQKISQSDEASSNHQETGLVKLCGVDEGCHKKIPECSSFFLPKAAIGFITNLASSLFGSQGSTSVVNSHSRCNDSEDQSDSEVLVQEAAESYDNSESNSGEVDMTLAVSIPIEEKGINKTLDSTLLENSRKQVGFRQFDMVNDCSDHHFLSSDKGLAQSQVTKSWVKKVQQEWSNLEANLPNTIYVRVYEERMDLLRAALVGAPGTPYHDGLFFFDIMLPPQYPHEPPMVHYHSGGMRLNPNLYESGRVCLSLLNTWNGSGTEVWNAGSSSILQVLLSFQALVLNEKPYFNEAGYDKQLGRAEGEKNSVSYNENAFLITCKSMILLLRKPPKHFEVLVKDHFMHRAQHVLDACKAYMEGVPVGSSANLQENSTTNSTGFKIMLSKLYPKLLEAFSEIGVDCGQEIGPES >scaffold_401954.1 pep chromosome:v.1.0:4:16013525:16013897:1 gene:scaffold_401954.1 transcript:scaffold_401954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKFLLILGLLALAVVAESANATWTLTKSCVNGQGCIGEDGELDYLMDSETNRRQLAARRSYISYGALRKNNVPCSRRGRSYYDCKKRKRANPYRRGCSVITHCYRQTS >scaffold_401958.1 pep chromosome:v.1.0:4:16022872:16024042:1 gene:scaffold_401958.1 transcript:scaffold_401958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESCAIGGLELTPRKKKVCGIWSLPDSVALICLAQLSRLDLAAFAIASKEQRSLVGSLELWDLRYEMGCSELSLYVLLHIFPEPNPRWFIFHPVQRRLKPIHSNLNPNPVPEAESAFVFADWGIFDIGGVIKGERTCHVSFFDCFGHIWPRLPSMKIARSRASASLIDDKIYVFGGCTDYGDSSNWAEVFDLRTLTWDFLYVSTPPQHIRQSVLMRDKEEVYVVDEDGQTMSFSPSKSLFVANGNAEKTQEEHLTDWCLFGKHLFCAGKGRRILWCFPYELDWKEVKGLENLQSLCGNNEIINLCPMTHKTIAIFWIVRPHDDDPFILELWSAEISFSNSKRRGTKAGKIHWSGAVLSGSYVGFNFLYAHPVIA >scaffold_401959.1 pep chromosome:v.1.0:4:16024603:16026527:-1 gene:scaffold_401959.1 transcript:scaffold_401959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPAETAPPVRSLQISPGDLEAAHLDELIREVEQRSLYYYQEKNKSLRARYVYGTIFLIINLCAWFIRDYAQKALALLPFHLSLTMKIFFLIMFLSTWNTMKLHEAQNSWHSDYWSFKFFLLVLAMVASFFIPQLYIQIYGMFLSHYSSMPLSSSPFDLIGLDHDNVVFLTGEIVRVGAAIFLGLQLVSVIEFITWWNNYWMPHDQSKQSCSFGLVMSTVFYIGSVCGIAVMYYFYAASTACVLNMFFISWTVVLLIVMMVMSLHSKVKYRGLLSSGIMASYIVFLCWSAIRSFLIAIGAIVMATFSTGIDSESFKFEFRKDEAKEEDDIPYSYGFFHLVFSLGAMYFAMLCLSAGTFHIQHESKKIPI >scaffold_401962.1 pep chromosome:v.1.0:4:16031052:16033281:1 gene:scaffold_401962.1 transcript:scaffold_401962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRRGTKRTRPEPPQSLKKPTPKAKLQDDLDVDVSSDFKGIMSALQQFREKAHEDGRKKKEESISSVSTEVKSKIDELKSKLEKERQNFAKALSKSSKECENILKDEAAKFEEIQKKFVKDKADHLQGLKDTISKFEEDKERLYMRYEQIRKKEKTMITEQEKFCTEKLAQLEESLKKKKRGDKTFSILRKTLGSFLENEASDEEFPPDE >scaffold_401971.1 pep chromosome:v.1.0:4:16054316:16056830:-1 gene:scaffold_401971.1 transcript:scaffold_401971.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frigida-essential 1 [Source:UniProtKB/TrEMBL;Acc:D7LGF2] MSDSDMDIDDDEVEQKVQVHTIVRESELLDKPPIQASNSQSDVKRHSVTTPLDEQSKIIKEQAFAQYNGTLSRFPAPGIPPKSFFPGGEGNEPEQKRAALPCKFFAKGWCFNGVSCKFLHVKENSNCFSQQLAENSMAGNGGILSDLERRILDSKEGVRVSQLSENGMTSLHTRDDISFVNPPGSQRVFSSMSFVNPPGSQRVFPVSNEMRFMPSFENIGRESLKQTYGDVTDNRSLVINNSNSFTLRSSFVHEHRPSISSYLKTDMGSSGPAWTGSLSSSVPMNERASTVGHFENGNNISGSGSLPTLQGIAVSSDKGAEGNTTSNKKKVSSDDWEPSEPFKASFTIPPYILPSSDALYDPFTDIENLGGDRALNASLSSKGEHARKNSRQQKDGDSASGPQAREIKNDDKSSSCSQNQHQETVTRSLEAHGVVEGVATSVVDQNDATTPSKEISSAAAAENRVVLKRSKPAGHDSWHRSDGSSYKKTMKSDEIDGEVRSDAGMKVMRQFRTAVVETVKEMLKPLWREGRLTKDVHNMIVKKAAEKVVSAAVQFHQVPTDTESVDQYLGLSATRIVKLVEGYVEKYGKP >scaffold_401974.1 pep chromosome:v.1.0:4:16062233:16062643:-1 gene:scaffold_401974.1 transcript:scaffold_401974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARSVLRSGVSRAVVAALRSTKPTPYSARSSFKLPKQSPLSHRIFRSPVELSCCVETMLPYHTATASALLNSMLSVSGRSIWTLQGTSKEKAKTLMS >scaffold_401976.1 pep chromosome:v.1.0:4:16067894:16068374:-1 gene:scaffold_401976.1 transcript:scaffold_401976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTWEQRLQAVTHILTNPTTKPSLHSQFFIGALIPSYISWDYPPIYSPTHLRQWWVSQFFKRVSRFGLPDTSWRSNCPYYQPPAAVMAVGLEEGKWGREERREYARKRLTRKKLVNEVNPYIPLLVPNLLLFTLLLWDPLPE >scaffold_401977.1 pep chromosome:v.1.0:4:16068601:16071832:-1 gene:scaffold_401977.1 transcript:scaffold_401977.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:D7LGF8] MGGLIDLNVMETEEDETQTQTPSGSVSPTSSSSAASASVVSSSSGGGGVCLELWHACAGPLISLPKRGSLVLYFPQGHLEQAPDFSAAIYGLPPHVFCRILDVKLHAETTTDEVYAQVSLLPESEDIERKVREGIIDVDGGEEDYEVLKRSNTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQPRPSQELLARDLHGLEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGKLRLGVRRASQIEGTLMPYRPIVFSAFTTTNHNWASWSNFIIPAPKFLKIVDYPFCIGMRFKARVESEDASERRSPGIITGISDLDPIRWPGSKWRCLLVRWDDIEANGHQQRVSPWEIEPSGSISNSGSFVTTGPKRSRIGFSSGKPDIPVSEGIRATDFEESLRFQRVLQGQEIFPGFINTCSDGGAGARRGRFKGTEFGDSYGFHKVLQGQETVPAYAITDHPQHGLSQRNIWCGPFQNFSTRILPPSVSSSPSSVLITNSNSPNGRLEDHHGGPGRCRLFGFPLTNETTAVASATVVPCVEGNSIKGASAVQSNHHHSQGRDIYAMRDMLLDIAL >scaffold_401982.1 pep chromosome:v.1.0:4:16114271:16115493:-1 gene:scaffold_401982.1 transcript:scaffold_401982.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 9 [Source:UniProtKB/TrEMBL;Acc:D7LGG3] MGSGNLIKAIIRLKKSKQGTFKSEKKKTSAVKPKGSKKKGTHSSSLVTRSEDWAATRIQTAFKAYKARKSLRRLKGIARAKLSTEKHSVKNQAVVTLRYLHSWSKIQSEIKARRVCMVTEWRLKNKRLEHQQKLEAKLHDVEVEWNGGSETKDEILERILQREEATIKRERALAYAFSHQWKADGKTQWLGSYELGNTNWGWSWKERWISARPWEVRYSVTPKKPKSSKTVYSNSNSPAKRTVSLLSVRAKAPFPGARNTVKPRRLSFPGA >scaffold_401984.1 pep chromosome:v.1.0:4:16128143:16129423:1 gene:scaffold_401984.1 transcript:scaffold_401984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNNNTSSGSYGDLQLHDEYSGSCRKKQKKDKVRRRGPGVAELEKIRLQDEYKSPLSPSLPNIDHHHTLFAPASSGYDLVTIPPNFSFPEKLPSLPVFPLSYGSLIPPASVFQRNQHSLMMNLPNPSPGTGRFYQFIEPPSNQRSCVDSVSQFLEEENKKMVTAKKRPWHFLTDTTKPSVGPTTTTRIIRDAIQNRSMDVSPVQETGTTISNPIAIDSPTSIPRHYPRFIPLGLQYEQQPQKYLDENMQWRSKKPFYSFIPSGDPSNDDREQRPCDRYESAAADHGIDLSLKL >scaffold_401993.1 pep chromosome:v.1.0:4:16159043:16160152:1 gene:scaffold_401993.1 transcript:scaffold_401993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIWFDFLRRLRQTVMESLEHAMRRGTLIVAEYLGGAVNCDAHHMTSQAS >scaffold_401998.1 pep chromosome:v.1.0:4:16187100:16187655:-1 gene:scaffold_401998.1 transcript:scaffold_401998.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LGH3] MATQDSQGIKLFGKTIAFNSQTIKNEEEKQPPEQEATTTVRSSSSSDLTAEKRPDKIIACPRCKSMETKFCYFNNYNVNQPRHFCKDCHRYWTAGGALRNVPVGAGRRKSKPPGRAVVGMLGDGNGVHQVELINGLLVEEWQHAAAAAHGGFRHDFPMKRLRCYSDGQSC >scaffold_40200002.1 pep scaffold:JGI8X:scaffold_402:4053:4985:1 gene:scaffold_40200002.1 transcript:scaffold_40200002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHNGPYNQYPGSYVSLQNFPYHSFSLAGASEIPSFSSQPSLVPSHPEILSFSSEPAHAQTQPEESALDRMAKRLWSNPDDLVLISGCWIDMNPTSKTTSNKRKADDASPSVGSTIGEEESRPPGIKAMKKLRKKGKEKAAPPAEDNKILEAKQKDMELKRQLQQMSLLDTLIAKNETLDEEEX >scaffold_402002.1 pep chromosome:v.1.0:4:16205239:16206796:-1 gene:scaffold_402002.1 transcript:scaffold_402002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7LGY1] MAQVLSPPMAITGPTPMQFMAGLLGRIITKDTSKEISTPESPRSPQTSQGSILMDKYELGKLLGHGSFAKVYLAKNINSGEDVAIKVIDKEKIVKSGMAGHIKREISILRRVRHPYIVHLLEVMATKTKIYIVMEYVRGGELYNTVARGRLREGTARRYFQQLISAVAFCHSRGVYHRDLKLENLLLDDMGNVKVSDFGLSVVSEQLKQDGICQTFCGTPAYLAPEVLTRKGYDGAKADIWSCGVILFVLMAGYLPFDDKNILVMYKKIYKGQFKCPKWFAPELARLVTRMLDTNPDTRITIPEIMKHRWFKKGFKHVKFYIENDKLCREDDDNDEDDSSSLSSGRSSTASEGDVEFDIKRVDSMPRPASLNAFDIISFSSSLDLSGLFEEGGQGARFVSAAPVKKIISKLEEIAKEVNFTVRKKDWSVRLEGCREGAKGPLTIRVEIFELTPSLVVVEVKKKGGNIEEYEEFCNKELRPQLEKLMHYQADEVEEAMCLPPEIEK >scaffold_402004.1 pep chromosome:v.1.0:4:16215919:16216757:1 gene:scaffold_402004.1 transcript:scaffold_402004.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LGY3] MAEAVIVADVKGQTSDSVSKKVLIEEEEDVKKPLQENDSRMDKDGISCSSNIAAHAVHEEVADNVSAVSCNEAESDTSKAKAKEFHTIDLSGGGERICRICHFGSDQSPEASGDDKSVSPELIEIGCKCKNELGLAHFHCAEAWFKLRGNSVCEICGCTAKNVTVRLMEDWSGERDNTLEGRRRRGRGQSCCIFMVFLLTIYLLHWFFKKISGYYQNT >scaffold_402006.1 pep chromosome:v.1.0:4:16226322:16228848:1 gene:scaffold_402006.1 transcript:scaffold_402006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKSSGQSDDENTKSKISDAAKVAARDFWVERNRFRALELTEKTISDHGNHEKCFSHHELQGDIFYDQAINTDDTDLKCVYLFASVDAYSMATLLYPYDMSSFPGYAHSMIKLGDQLQIKKFYKKAVSKAKRGLLVTQPQGMSVEVSYFGKIKTELEKLIHLATEKMQAPVTVSDHTGTTANQMVTIKKDPSFDRLKNFWVKLDDKTKRGFLVVDFRNLIAYIENKHGTQVKKHFQLCVPIANNLRWRCWKCHICSQVNYCFTDCKMHILDNHVHTSEPKFSARPKYVDEILADMICCGDWKPVDTEKAANLIKDRTKSRKELVYVNGWCSEFSEVLKSSCAKENRTLSCALWDWLVDYTEENLDLPGVPGIYLDKCSFFKNPQCICFLDLKHLKHILKYFRQLTTDVRASLVSKVVNQFWEDSQVKERIDLEGLTTYNLLLDKRLLYEEEIESDNIGTVEHYKSTGIYEDVMPKGDKIVSWIFDCPEIGQDFVSQMAKGVHNRELWLAALRIVRCVVRKMERYYDKRHRMVTYEKMLNEAKTICDREDSRKNENQRSTYESVLRMKCEELVGKQDDDTKCFLTVVRDVFQRKSSPSFEVLEDKEECISKRSTTVPNDDVKKSLSTLKTSLKEKFPLIDSKILRNKSTYKKLIDVFPKLSAVEYRLVVLPFVKKFLQDKLKKMMKTNSSSVAAGDSAERERKKRHLSNEGLNSGGSFLQMKKNFE >scaffold_402008.1 pep chromosome:v.1.0:4:16233709:16236138:1 gene:scaffold_402008.1 transcript:scaffold_402008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKSSEESDASKTIKKIVDAAELARDLLQIQEHIKALELTEKTISDHGNHESCFFHHELQGDIFFVLATETDNTDVKCVYLFTSVDAYSMATLLCPDALRSFRGYARSMIQLGNQLRINQFYKKAVTKAKRGLLVTQPQGPYMSLVGYIVALEAELQNLIDLATKKMHAAVTVSDTGTMANQIATIEKDPSFDRLKNFWVNLDDKTKREFLVVDSRKLIDYVENKYGNKVKEDFGKCVSVANNLPWRGWKCHICSQVNYSFTDCQRHILDNHVQNFVPDPSGRPKCVDKVLADMICCGKWDDWPEVKDEERRKILKQFAQVLKSSFCNDNDTLSCTLWDWLIDYTERNLQLLEVPGSYLDKCGFFKNPQCICFLDLKHLEHILKYFRQLTTDVRASLVPKVVNCFWKNSRVKETIGLERLTFNLLLDGRLLCEEELESDKIGTVEHYKSTAIYEDVMPKGDKIVSWILDCPEIDLEFVSQMANGLHNREIWLAALRIVRGMVRNKESYYDKRHKMLTYEKMLCEAETICDREDTRKNVNQRSTYEFALRMKCEELVGKQDDDTKCFLTVVRDVFQRKSSPSFEVSEDKEECISKLSTTVPNDDVKKSLWTLKKSLKKKFTLIDSKILRNKSAYKKLIYVFPKLSAVEYRLVVLPFVKKFLQDKLKKMMKTNSSSVAAGGSAERKPKKRHLSNEVKDLINPVSTYCFAVVCFELK >scaffold_402010.1 pep chromosome:v.1.0:4:16239934:16242087:1 gene:scaffold_402010.1 transcript:scaffold_402010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7LGY9] MVTEAIFASLRRRSSPSLDAYLTTTVDLSGVPLIQTLASTSAELVSCFRGVRFSFQRRNSRSLIRKIEVLLFLFEYISDDSGFDSTAVLCFKELYLFLHRSKFLLHYCAQSSKLWLLLQNPSLSDFFHVLNRDLVTLLDVLPINSLNLSDDIREQIELLHQQSSKSTTLFVDHNDELLRDRFYSFLDGFEKGQIPNSEELRSFFVEKLEISDPKSCRDEIEFLEEQIVNHDCDDLEPTRSLVNGFVAITRYCMFLLFEFEDDELEWSIENLKKQRKCLIAEEIVDTFMTLPKDFVCSISLSLMKDPVIVSTGQTYDRSSIVRWFEEGHSTCPKTGQKLVDSSCIVANLALRNLITRWCEAMEFEDSPNESPASVLQTRASMEATKATVLILIQNLAGVSELAQIVAAREIRLLAKTVRKRGVLIAEAGAIPHLCRLLKSKNAVAQEHSVTAMHNLSVCEENRSLIMEENDCLESIVSVLASGLTLEAQGNAAATLYSLSTVHEYKKRIANVDGCIKSLASLSRNGKPRGKKDALNALYGIWSHPDNCSQMINSGGVSAIVRALADEEEAVTERAAVVLGVVANHSLGAETIGREESAVAGLIELMRCGTPRGKENAVATLLHLCINGGTVVVEKVVRAPALSDLTQKLLLTGTNRAKRKASSFLALVCKGCENTAMMWSGNREGSFRTHVSLPISIPVSVL >scaffold_402012.1 pep chromosome:v.1.0:4:16251649:16254116:1 gene:scaffold_402012.1 transcript:scaffold_402012.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7LGZ1] MEIDLGANAFGIDFHPSTNLIAAGLIDGHLHLYRYDSDSSLVRERKVRAHKESCRAVRFIDDGQRIVTASADCSILATDVETGAKVAHLENAHEDAVNTLINVTETTIASGDDKGCVKVWDTRQRSCSHEFNAHEDYISGMTFASDSMKLVWRRYPVCLYLRQSKVQSQSDFLKTNYFLLKNGRKVICGTQNGTLLLYSWGFFKDCSDRFVDLAPNSVDTLLKLDEDRLITGCDNGILSLVGILPNRIIQPIGAHDYPIEDLALSHDNKFLGSTAHDSMLKLWNLEEILEGSNGNSGNASGAAGDSDSDKDGMDLDNDSHKSSKGSKRKTKSKPNMLNSTNNFFADL >scaffold_402035.1 pep chromosome:v.1.0:4:16341377:16342921:-1 gene:scaffold_402035.1 transcript:scaffold_402035.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP710A2 [Source:UniProtKB/TrEMBL;Acc:D7LH12] MAFSVSRFASLAPYLVSLLLLFLLIEQISYLVKKRNLPGPLFVPPIIGNAVSLVRDPTSFWYKQSATAGTSRGLSANYLIGKFIIYIRDTELSHQIFSNVGLNAFHPLGHPFGKQLFGDHSLIYLFGEDHKTVRRHLAPNFTPKALSTYSDLQQIVMFRHLRQWEESFSGGTKPVSMRDLVRELNLETSQTVFVGPYLDKEARNTFRTDYNLFNVGSMALPINLPGFAFSKARRAVMNLEETLSVCAGKSKEKMATGEEPKCLIDFWMHAIVTEIESGNPPPLHSEDEAIGGLLFDFLFAAQDASTSSLLWAVAFLESNPEVLSKVREEVAKIWSPESGELITADQLAEMKYTRATAREVVRYRPPATMVPHIATNDFPLTESYTIPKGTIVFPSVFDSSFQGFTEPNRFDPDRFSETRQEDQVFKRNYLAFGWGAHQCVGQRYALNHLVLFIAMFSSLFDFKRLQTDGCDDIIYCPTISPKDGCTVFLSKRVVTYPNL >scaffold_402036.1 pep chromosome:v.1.0:4:16346846:16348379:-1 gene:scaffold_402036.1 transcript:scaffold_402036.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP710A1 [Source:UniProtKB/TrEMBL;Acc:D7LH13] MVFIASIIASLAPYLISALLLFLLLEQVSYLFKKRNIPGPLFVPPIIGNAVALVRDPTSFWDKQSATAGKSGLSANYLIGKFIVYIRDTELSHQIFSNVRPDAFHLIGHPFGKKLFGDHNLIYMFGEDHKSVRRQLAPNFTPKALSTYSALQQLVILRHLRRWEESTSGGSRPVSLRHLVRELNLETSQTVFVGPYLDKEAKKSFRTDYNLFNLGSMALPIDLPGFSFGEARRAVARLAETLAICAGKSKARMAGGEEPTCLIDFWMQAIATENPPPPHSGDEEIGGLLFDFLFAAQDASTSSLLWAVTLLESEPEVLNRVREEVAKIWSPESNALITVDQLAEMKYTRSVAREVVRYRPPATMVPHIAATDFPLTESYTIPKGTIVFPSVFDSSFQGFTEPDRFDPDRFSETRQEDQVFKRNFLAFGWGPHQCVGQRYALNHLVLFIAMFSSLLDFKRLRSDGCDDIVYCPTISPKDGCTVFLSRRVAKYPNLFLN >scaffold_402037.1 pep chromosome:v.1.0:4:16351880:16355515:-1 gene:scaffold_402037.1 transcript:scaffold_402037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYNYSTWRLVSILILLLGLSIVAAADSAGKTLPVEDGLVINGDFETPPSNGFPDDAIIEDSSEIPSWRSDGTVELIKSGQKQGGMILIVPEGRHAVRLGNDAEISQELPVEKGSIYSVTFSAARTCAQLESLNVSVASNEPIASQTIDLQTVYSVQGWDPYAWAFEAVVDRVRLVFRNPGMEDDPTCGPIIDDIAVKKLFTPDKTKGNAVINGDFEEGPWMFRNTTLGVLLPTNLDEEISSLPGWTVESNRAVRFIDSDHFSVPEGKRALELLSGKEGIISQMVETKANIPYKLSFSLGHAGDKCKEPLAVMAFAGDQAQNFHYMAQANSSFERSELNFTAKAERTRIAFYSIYYNTRTDDMSSLCGPVIDDVKVWFSGSSRIGFGFPVFILLSLVFI >scaffold_402045.1 pep chromosome:v.1.0:4:16376042:16376327:-1 gene:scaffold_402045.1 transcript:scaffold_402045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITSAVIAIAGIILGWITIELACKPCLETGREAIDRSLNPDYDPDDQDVDTSSDLHAPLIPNLPPDNSDPDPSTAIKSV >scaffold_402049.1 pep chromosome:v.1.0:4:16397052:16397473:1 gene:scaffold_402049.1 transcript:scaffold_402049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFQDPDKDLLGEETPVILTQFNLSKAIRETVSSSTSASAVSALLSDPSM >scaffold_402051.1 pep chromosome:v.1.0:4:16399327:16402314:1 gene:scaffold_402051.1 transcript:scaffold_402051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSRSVARISSKFLRNRSFYGCSQSLASHRFAIIPDQGHSCSDSTHKGYVCRPTYSLKSPLFGGFSHQLYHQSSSLVEEELDPFSLVADELSLLSNKLREMVVAEVPKLASAAEYFFKRGVQGKQFRSTVLLLMATALNLRVPEALIGESTDIVSSELRVRQRGIAEITEMIHVASLLHDDVLDDADTRRGVGSLNVVMGNKMSVLAGDFLLSRACGALAALKNTEVVALLATAVEHLVTGETMEITSTTEQRYSMDYYMQKTYYKTASLISNSCKAVAVLTGQTAEVAVLAFEYGKNLGLAFQLIDDILDFTGTSASLGKGSLSDIRHGVITAPILFAIEEFPQLREVVDQVEKDPRNVDIALEYLGKSKGIQRARELAMEHANLAAAAIGSLPETDNEDVKRSRRALIDLTHRVLTRNK >scaffold_402058.1 pep chromosome:v.1.0:4:16468637:16468829:1 gene:scaffold_402058.1 transcript:scaffold_402058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGYNITILLVCVIIASALVASFYCAKRCVKCCGGEGESATTATTDTTA >scaffold_402060.1 pep chromosome:v.1.0:4:16477804:16482414:-1 gene:scaffold_402060.1 transcript:scaffold_402060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSGGSLYSETMMMVHTMNRESPDKGLDSGKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEAARLQTVNRKLNAMNKLLMEENDRLQKQVSHLVYENGHMKQQLHTSSGTTTDNSCESVVVSGQQHQQQNPNPQHLQRDANNPAGLLSIAEEALAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAISRNCSGIAARACGLVSLEPMKVAEILKDRPSWLRDCRCVDTLSVIPAGNGGTIELIYTQMYAPTTLAAARDFWTLRYSTCLEDGSYVVCERSITSATGGPTGPPSSSFVRAEMRPSGFLIRPCEGGGSILHIVDHVDLDAWSVPEVMRPLYESSKILAQKMTVAALRHVRQIAQETSGEVQYGGGRQPAVLRTFSQRLCRGFNDAVNGFVDDGWSPMGSDGAEDITVMINLSPGKLCGSQYGNSFLPSFGSGVLCAKASMLLQNVPPAVLVRFLREHRSEWADYGVDAYAAASLRASPFAVPCARAGGFPSNQVILPLAQTVEHEESLEVVRLEGHAYSPEDMGLARDMYLLQLCSGVDENVVGGCAQLVFAPIDESFADDAPLLPSGFRIIPLEQKSTPNGASTNRTLDLASALEGSTRQAGEADPNGCNFRSVLTIAFQFTFDNHSRDSVASMARQYVRSIVGSIQRVALAIAPRPGSNISPISVPTSPEALTLVRWIARSYSLHTGADLFGSDSQTSGDTLLHQLWNHTDAILCCSLKTNASPVFTFANQTGLDMLETTLVALQDIMLDKTLDEPGRKALCSEFPKIMQQGYAHLPAGVCASSMGRMVSYEQATVWKVLEDDESNHCLAFMFVNWSFV >scaffold_402064.1 pep chromosome:v.1.0:4:16511250:16513407:-1 gene:scaffold_402064.1 transcript:scaffold_402064.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:D7LH43] MREILHIQGGQCGNQIGAKFWEVICNEHGIDPTGKYDGDADLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRSLSVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEYEEEDGDAELDA >scaffold_402067.1 pep chromosome:v.1.0:4:16523825:16526733:-1 gene:scaffold_402067.1 transcript:scaffold_402067.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDA28/MEE23 [Source:UniProtKB/TrEMBL;Acc:D7LH46] MAFAISKRNATAFLLTLLLVSVPLSFSTLQQDFVKCLVDNSDVSFPITASFFSPDQNATLFKEELESTAQNLRYLTPSYPKPVFIFEPLYETHVQAAVVCAKKLQLHLRLRSGGHDYEGLSFVAEDETPFVIVDLSKLRQVDVDLDSNSAWAHAGATIGEVYYRIQEKSQSHGFPAGLCSSLGIGGHLVGGAYGSMMRKFGLGADNVLDARIVDANGQILDRAAMGEDVFWAIRGGGGGSFGVILAWKIKLVPVPATVTVFTVTKTLEQDGTKVLYKWQQVADKLDDDLFIRVIISPASKTTKPGNRTISMSYQAQFLGDSNRLLQVMQKSFPELGLTKKDCTEMSWIKSVMYIAGFPNSAPPEALLAGKSLFKNHFKAKSDFVKEPIPVEGLEGLWERFLEEDSPLTIWNPYGGMMSRISESEIPFPHRNGTLFKIQWLSTWQDGKVSETRHMKWIREMYSYMEQYVSKNPRQAYVNYRDLDLGTNEGESDAREWGAKYYKGNFERLVKIKGEFDPDNFFRHEQSVPTKIG >scaffold_402068.1 pep chromosome:v.1.0:4:16533693:16535355:1 gene:scaffold_402068.1 transcript:scaffold_402068.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LH47] MKFWSRPTFLIIIIYLITIQRVNSSPSSLSVPEHFLRCLDTQPSDHGSPNSITAVIPTNSSFSTNLMNGVRNLRFASASTRKPEAIVAAVTETHIRATISCCKLLNLELRIRSGGHDYEGFSYTSPVPFVILDMYNFNKIDINMKDETVWIQSGASLGQLYYNIASKSKVHAFPAGVCPKVGAGGHFSGGGFGNLMRKYGLSIDHIIDAQIMDANGKVYRNRQAMGEDVFWAIRGGGGGSFGVILAWKIKLVRVPEKVTVFKLERTVREGAVDLVHKWQEVAPVIDRDLFIRLEIKPINRKISKGKTIKVSFIGMFLGLPERLLNITKQSFPELHLTKSDCMVKKWIDSTVFWANYPEKAPIEILNKRVSTNEYYWKRTSDFVQTPISKQGLAKIFQTMIDHSPLPRRVWMQWNAWGGKMGEIASDATPFVHRGGNIFMIEHFMNWYRPGDELEEKFLAIARSFKEAMAPFVSKNPREAFFNYRDVDIGITTPGYNATYEGAKVYGDSYFKGNYLRLVKIKARFDRTNFFRSQQGIPVLA >scaffold_402071.1 pep chromosome:v.1.0:4:16542100:16542358:1 gene:scaffold_402071.1 transcript:scaffold_402071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISKKTIMQSFALIIIISIVMSTTEAKTIGNPAMREDEPKGCAPGSPSGCKMQPANPYKPGCEASQRCRGG >scaffold_402072.1 pep chromosome:v.1.0:4:16542980:16543600:1 gene:scaffold_402072.1 transcript:scaffold_402072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSTFDAAMLLKFMKRYIKQLSPKLDSEKQELFKKHIKSATKSLMSKLRDFQFEFCLIGDYFNECDNFVGESMEGEEGSLVLAYYREAATNPTFLYLAYGLKEIKC >scaffold_402077.1 pep chromosome:v.1.0:4:16554506:16555176:1 gene:scaffold_402077.1 transcript:scaffold_402077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLPQGILIDIVRRVGNHGFRELAPFIASGPDGKNAGNITARYVEGLRRAVKLGPSNENLQLMRAGEEYIPYAGFAFGVFAICGGHYEEGMEALTLLAERAGWLEEMVDIGERVMAQIADIEPPMSGNYDATFTFPHGDVPNCVHFACTMDDVCFDCIAYWYSRRVRQLC >scaffold_402078.1 pep chromosome:v.1.0:4:16556250:16556919:-1 gene:scaffold_402078.1 transcript:scaffold_402078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLLDDLAIEIFRRVSASGFTNLAPMLTVSKKQSQLAFSPGVLRLLPLDEFFNNAELINEGSSFRCFFKKCVAAKNPVAIYLESLRIAAQTGDISVAIDMLFSVDVVSDYNIFARGIFLITADFTEPGIATISYLFSRVGSVAQMDVIGNVVYRHLLIFRPVTRRLFANLRVVDSIPRCLGGHCTVQSRCLNCFLFWFVVKFNNVLRR >scaffold_402079.1 pep chromosome:v.1.0:4:16557397:16561672:-1 gene:scaffold_402079.1 transcript:scaffold_402079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRSSRLREAEVTSDPSRESPTVGRRSGWRHIVDAEERIISPAIRNRHSSVGNKRRRREGHWRYQNSECRLKRRCVSPVADRSSNDGHESESSMSVNVSASEVTGLPCGPYPDQLTIDSSQRQAEGAAPFLNTLLGAEVDVTIEGIVNILKAEPGMDGWKKLRLVLIVIVEGILICGTQPIRPGLAYVEMVKNLDFFLSFPWGRLAFERTVRMLQVGDKICTQSTIVKKLKQKSLVVHDRLVSTLPPLKTYHTENILAVENDDQLEVFQNINLTAVDMCGSISDGSFSDPNVKYMLKLIHGGYSFSKADWVGGDDSLDKLCICKKKSDMPCNCGSSFAYDDKGKSAAGTCTSGGVDNGSEIAKLWVEVAWMKKLQCSSIGMLRASIVSDIYSLVGLTKCLNCRSSPRICHAEYPLSVRTGSEACGAQAAELLYSCHQNDSVVDEVLPQPPDAHKFYLSPDNVQICTGNVHADLLPGQVPNDLLLTESSRSQTVSPCNVLVPAPALHCCDRVSQKLDQCRSHLNPIDCTLPMQLLSDRCRVPPRKICSGSLTLGKKHLLSIPLRCGYIPFRPPQTDLISRFKEQLHKYRKSSFMAPLVRMLPHLIMSACEPDDIEHVDSTFFSYSRLDGLAQNTRGGDCGAYVIKFIEMHCHGYEANHLSHFSNLMVDNFRMEFALDVYKDFIGKLRVQ >scaffold_402088.1 pep chromosome:v.1.0:4:16596736:16597650:1 gene:scaffold_402088.1 transcript:scaffold_402088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPALIVFEDGLIQKWSNDDWQGFSVYPGENPNPNINFLVKKAALQNQMTVSRPSVNDESFRMVLPLAMSPPRDNAVPLPVLPEPMMRPRKKLSHQESMLSIRKYRYPEKNFYQEEENFKCNAFCLSFPGFGKKLVRSPKSEDSIKKKMIKASSFSNSTVSLSASLEKFECGSWASTTALTRENGRLYFDLPVEMIKCGGGDVQEPVSSGFFFDKETGNLALRSVLKKSSSLSGRQLRDSAETSPQRRVRFSTTTSDSCPASPRTCITPRLLKARDDFNTFLAAQNA >scaffold_402096.1 pep chromosome:v.1.0:4:16620803:16623752:-1 gene:scaffold_402096.1 transcript:scaffold_402096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIDTNSSRWIPHNLLFLLLLLLLQSVPYGSGQTTPPGTTQTKANDPIVVVITVMFVVIFFMVFGSIFCRRSNARFYSRSSVFRSTDADAESRVVRIRRSTARGLEAEAIESFPTFLYSEVKAVRIGKGGVECAVCLCEFEDDETLRLMPPCCHVFHVDCVDVWLSEHSTCPLCRADLVLSQHGDDDDSTESYSGTDPGTISSGTDPERGMVLESSDAHLLDGVTWTNSNITPRSKSTGLSSWRITGILFPRSHSTGHSLVQPAGNLDRFTLTLPDDVRRQLMKTSRTMGHVALLPQARSSRSGYRSGSVGSERSVFTYGRKSNNNNRRLHSLSFSFSFRSGSVRSTFSGDAPKNLPTSVEAGERSFERLRPDDRVEAMAQPGTETKASDLAILVITLILFAIFVVGLASVCFRWTSRQFYSQESTNPFTDSDVESRTSITAARGLDEAIINSFPTFLYSEVKERRIGIGGVECAVCICEFEDHETLRLMPECCHVFHVDCVSVWLSDHSTCPLCRVDLFLQPGERSYLNPDPDPVESTNSHLFDGVTWTNRNRPSRSWSTRLSQCRVSQILISRSHSTGHSVVQPLDNLDRFTLRLPEEVQRQLTKKTVDHAALPHARSSRRGYRSRSAGSRSDWSVFSYQQNNYNNNRRIHSFSDCAWSTSCGGEAVAQPKDHSRSIDSRRISFERFQPDDQV >scaffold_402107.1 pep chromosome:v.1.0:4:16669201:16676944:-1 gene:scaffold_402107.1 transcript:scaffold_402107.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRL/NAP1 [Source:UniProtKB/TrEMBL;Acc:D7LHS3] MAKSRQYYPSQDESMSPTSVRSREWEGPSRWTEYLGPEMAASVSSRSSKQIDGNLQSSGGSTKALNIQWVVQMIEVAEGLMAKMYRLNQILEYPDPVGHVFSEAFWKAGVFPNHPRICTLLSKKFPEHFSKLQLERIDKFSLDSLHDGAELHLQSLEPWIQLLLDLMAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCAFVRVNLFAEKIPRKMLLQVYNLLHALSRNDRDCDFYHRLVQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPSIFLSADTRKLRNEGFLSPYHPRFPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDIALVVLKENLVVTLFRDEVSSYQIVNDKEFCIGICFASADSINLAMQYILLHEDYQLYVLPRVLESKKMAKSGRTKQKEADLEYSVAKQVEKMISEVHEQALQLCDTIHRERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQSEVLWYFQHAGIASSRSKAARVIPVDIDPNDPTIGFLLDGMDRLCCLVRKYISAARGYALSYLSSSAGRIRYLMGTPGIVALDLDPTLKGLFQRIVQHLENIPKAQGENVSAITCDLSDFRKDWLSILMIVTSSRSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLSVASSFPECASLILPEEVTKFGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALESQLLPEQAAAYLNNASRISASSMKSPRVVGGFTLPGHESYPENNKSIKMLEAAIQRLTNLCSILNDMEPICVINHVFVLREYMRECILGNFKRRFLTALQTDNDLQRPSVLESLIRRHMSIVHLAEQHVSMDLTQGIREILLTEAFSGPVSSLHTFEKPGEQQLNTGSAVEVVCNWYMDNIIKDVSGAGILFAPRHKYFKSTRPVGGYFAESVTDLKELQAFVRIFGGYGVDRLDRMMKVHTAALVNCIETSLRSNRELIEAAAASMHSGDRVERDASIRQIVDLDTVIGFCIEAGQALAFDELLAEASGAVLEDNASLIHSMISGIVEHIPEEIPEKKEIRRIKGVANGVGVAGDHDSEWVRLILEEVGGANDISWSLLPYFFASFMTSNAWNTTGFNIETGGFSNNIHCLARCISAVIAGSEYVKLQREYQQQHQSLSNGHHSSENLDSEFQPRVTAEASIKSAMLLFVKFAASIVLDSWSEANRSHLVAKLIFLDQLCEISPYLPRSSLESHVPYTILRSIYTQYYSNTPSTPLSTASPYHSPSVSLIHASPSMKNSTTPQRGSGSGSSSAAAPDSGYFKGSSSSLYGQEHYNEPETGNSRNNENNNNKQRGSSRRSGPLDYSSSHKGGSGSNSTGPSPLPRFAVSRSGPISYKQHN >scaffold_402109.1 pep chromosome:v.1.0:4:16682378:16685323:-1 gene:scaffold_402109.1 transcript:scaffold_402109.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LHS5] MKPEALSLADTLLVLSIHPLHLSPQQGNLSGHRYLGFGLANLNGGTFKSYKQEGFVIDERGKLKRFSSKKLSRKRCGSLRGRGWKYGSGFVDGIFPVLSPIAQKILSFIQKETDPDKVADVLGALPSTHASWDDLINVSVQLRLNKKWDSIILVCEWILRRSSFQPDVICFNLLIDAYGQKFRFKEAESLYVQLLESRCVPTEDTYTLLIKAYCMAGLIEKAEAVLIEMQNHHVSPSVTVYNAYIEGLMKRKGNTEQAIDVFQRMKRDRCKPTTETYNLMINLYGKASKSYMSWKLFCEMRSHQCKPNICTYTALVNAFAREGLCEKAEEIFEQLQEDGHIDSRAGYPYGAAEIFSLMQHMGCEPDRASYNIMVDAYGRAGLHSDAEAVFEEMKRLGIAPTMKSHMLLLSAYSRARDVTKCEAIVKEMSENGVEPDTFVLNSMLNLYGRLGQFTKMEKILAEMENGPCTADISTYNILINIYGKAGFLERIEELFVELKERNFKPDVVTWTSRIGAYSRKKLYVKCLEIFEEMIDSGCAPDGGTAKVLLSACSSEDQVEQVTSVLRTMHKGVSVSSLVPNLMAKSLTVN >scaffold_402112.1 pep chromosome:v.1.0:4:16693047:16695186:-1 gene:scaffold_402112.1 transcript:scaffold_402112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGAWGQRFIQAAASSESEDSALDLERNHHCNHLSLPSSSTPSPLQPFTFNIQHAESNAPYFSWPTLSRLNDAVEDRANYFGNLQKGVLPETVGRLPSGQQATTLLELMTIRAFHSKILRRFSLGTAVGFRISRGVLTNVPAILVFVARKVHRQWLNPMQCLPSALEGPGGVWCDVDVVEFQYYGAPAATPNEQVYNELVDGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNHQVGFLTNRHVAVDLDYPSQKMFHPLPPSLGPGVYLGAVERATSFITDDQWYGIFAGTNPETFVRADGAFIPFAEDFNTSNVTTMIKGIGEIGNVHVIDLQSPIDSLIGKQVVKVGRSSGYTTGTIMAYALEYNDEKGICFLTDFLVIGENQQTFDLEGDSGSLILLTGPNGQKPRPVGIIWGGTANRGKLKLIAGQEPENWTSGVDLGRLLDLLELDLITSNHELEAAAREERNTSVTALDSTVSQSSPPDPVPSGEKQDESFEPFIPHEFRIEEAIKPTPEVEEHIFIAPISVNESTSAIKGQEKPKLDNLMALKNSSEEEVNVSLHLGEPKLKKPKFF >scaffold_402113.1 pep chromosome:v.1.0:4:16697775:16697977:-1 gene:scaffold_402113.1 transcript:scaffold_402113.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LHS9] MEAIGKTNFESPSNNKKKYRRRRVQRFTREKLPRNTDNGGDDDESIGRSGRYV >scaffold_402114.1 pep chromosome:v.1.0:4:16698275:16700723:1 gene:scaffold_402114.1 transcript:scaffold_402114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSESSKLISLRGGSGPFSKDELATNGSYTDPMGRRKSKRFKVAAESEFSPDCGSGSMRLRSRTIQKEVQIETCENKNASEVEVELIPGEKVADRDGFKSVDCNDMSAGGTEGAKSLRVNMQEPMEGRNLPENTSEQNLVEVHPPSISLPEEDVVGSVCRKSITGTKELRGRTVSVVRDLSPNMGSKFSKSGKTAKGSISMEEDNLVLEKSDSGDHLGQSLSKSISPEVLELDKSKVTVPKPIEAAVRIITNKGVAMPPPLKPSEKTNGDYGEGSMRKNSERVAPDKKRLARNPRLSNGGLPSGSSSGESARYKVKETLRLFHETCKKIMQEEEARPRKRDGSKFRVDNEASKILKGKGKNLNSGTQIIGTVPGVEVGDEFQYRMEMNFLGIHRPSQSGIDYMKDDGEELVATSIVSSGGYDDVVDNSDVLIYTGQGGNVGKKGKKNNEPKDQQLVTGNLALKNSIHKKNPVRVIRGIKNTTLQSSAVAKNYVYDGLYLVEEYWDETGSHGKLVFKFKLRRIPGQPELPWKVVEKSKKSEFRDGLCNVDISEGKETLPICAVNNIDDEKPAPFIYTVKMIYPDWCRPIPPKSCGCTKRCSESKKCACVVKNGGEIPYNYDGAIVSIKPLVYECGPHCQCPPSCYMRVSQHGIKIKLEIFKTESRGWGVRSLESIPIGSFICEYAGELLEDKQAERLTGKDEYLFELGEEEDQFTIDAARKGNIGRFINHSCSPNLYAQDVLYDHEDTRIPHIMFFALDHIPPLEELSYDYNYKIDQVTDSNGNIKKKICYCGSAECSGRLY >scaffold_402116.1 pep chromosome:v.1.0:4:16704642:16705005:-1 gene:scaffold_402116.1 transcript:scaffold_402116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAITLFVIQIITSLLCCVESYGASLRKQIKKMEVSQHSKYFCEFCGKYAVRRKAVRIWGCRDCGKLKAGGAPTQ >scaffold_402119.1 pep chromosome:v.1.0:4:16710594:16712362:1 gene:scaffold_402119.1 transcript:scaffold_402119.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGD10/MEE28 [Source:UniProtKB/TrEMBL;Acc:D7LHT5] MASENLNDKISVFKKLKAKSDNKICFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLKMMIYGGNNRAQVFFKQYGWSDGGKTEAKYTSRAADLYKQILAKEVVAKSKAEEVLLDLPPSPPVSSTQVPNGLSSIKTSEAPKESNNTLKQQEKSEVVPVSPRVSRSVKKPLGAKRTGKTGGLGARKLTTKSSETLYDQKPEESLVIQVTSPASAKSARSSFSSRFDYADSVQNREDYMSPQVVSHVAPPKSSGFFEEELEMNGGRFQKKPVTSSSKVQIQETDEARKKFTNAKSISSAQYFGSDNNSADLEAKSTLKKFSGSSAISSADLFGDSDGDFTLDLTAGDLLNRLSLQAQQDMSSLKNMAEETKKKLGSVASSLWV >scaffold_402121.1 pep chromosome:v.1.0:4:16715385:16715745:1 gene:scaffold_402121.1 transcript:scaffold_402121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNCLACHILQRTDSDREIGSLKDSNFKENFATSRYDKMVRNRSSLPVVRRVNKGHRRLYSAEIMVYGELDEPKLVRSSGIRRDWSFEDLKKNKDQLRIEETIKE >scaffold_402124.1 pep chromosome:v.1.0:4:16728568:16729781:-1 gene:scaffold_402124.1 transcript:scaffold_402124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLSRSTASRIANRLFSTSKAAASPSPLPSHLISRRSSPTIFHAVGYIPALTRFTTIRTRMDRSGGSYSPLKSGSNFSDRPPTEMAPLFPGCDYEHWLIVMEKPGGENAQKQQMIDCYVQTLAKIVGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEFKDYGAELFENGEVVPRPPERQRRMVELTTQRGSDKPKYHDRTRNVRRRENMR >scaffold_402126.1 pep chromosome:v.1.0:4:16734450:16734974:1 gene:scaffold_402126.1 transcript:scaffold_402126.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHU2] MAVTPVGNRFRSRQCYLHVSDNDWLQRYEDSYVELIHLVDLILLLMHYLLDLAMQHHQSWLFSPFSM >scaffold_402135.1 pep chromosome:v.1.0:4:16770196:16770435:-1 gene:scaffold_402135.1 transcript:scaffold_402135.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHV0] MMIGYEAYQKTPSKRNRTAECMEEYSPAATQVSQAGFYVECFYRRLIYQPLFIRNSEAFSIFNMI >scaffold_402137.1 pep chromosome:v.1.0:4:16781352:16782207:1 gene:scaffold_402137.1 transcript:scaffold_402137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVLLKKKVKGSRSDRTKKVGVVLCDFCGSKRRMSGKDLEGLSFAEFKKLKTHLEESTLVVEEEMSKRQVIVEEDMSKRPHLLKNKREGGDVEAMMTSSREKQDDAQSRLQVAYERQRAESPQSELERLWLWKE >scaffold_402138.1 pep chromosome:v.1.0:4:16783637:16784246:-1 gene:scaffold_402138.1 transcript:scaffold_402138.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHV3] MLNILCRMMVVDKFEDENFTAKHTGPGLLSTGVKMARKDWEKLHLHIASHNNFHTASSAAGCACLGSVISLSVFFVTWFT >scaffold_402139.1 pep chromosome:v.1.0:4:16786348:16787841:-1 gene:scaffold_402139.1 transcript:scaffold_402139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKDIMKKTTRVPCNSCEFSSSRYGFEQSLQDQDNEETVVCMYISLPWQQFFSSSYEFLCICLPLCSCLQLRKEVMRLRSLIRRMTGRDDDASFTELQALTSHLGNVKRIVMDQINKIMPPDQVESKQKKNKAEDVATSIPVPSTSRRMNGRGIESMSCYHDVVQLDSQITYALMSLSEQMRRPLEEQLCKAEQGKHVVKIYGKPITDENKELRRSVRLAKKKE >scaffold_402150.1 pep chromosome:v.1.0:4:16821117:16823302:1 gene:scaffold_402150.1 transcript:scaffold_402150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAEQAIIVSRFQEYLRINTVQPNPNYMEAVQFIFREAHLIGLQAESIEFVAAKPIVLLKWTGSDESLPAILLNSHIDVVSFEEDNWDRPPLGAEIDGEGKIYAKGTQDMKSVGMQYLEAIRMLKASGFNPLRSVYVLFVPDHEHGGTDGVRMFVQSEKFMSLNIAVVLDKGLPSPTESYRVFNGERVPWFLEIQAVGQAGHDAKLYDNSAMENLTKSIECIMRYRASLVDELKAGFMKEGHVVSVNMVYLNAGTLQPAEQPTQAVAGFAIRLPPFADSDELRRRILKEWAPATRNMSFQLSRADEGIAREKLVTATDDSNPWWGLLQNAVKQAGGVTSGPEIFPASTNSWFFRKAGLPAIGFSPISNTPSLRHDNNEVLSPLQILHFIVVEHPSVLLIMWWIITSQEQFLTPHLTFLQYLSRSEYLKGIDMYVSILMAYTLHA >scaffold_402153.1 pep chromosome:v.1.0:4:16827117:16827879:1 gene:scaffold_402153.1 transcript:scaffold_402153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYLNGASYGPPIQPPAKNYYSQGRRGADVGCSICRCFSSCLLCCGSCLVSIICNILIGVAVCLGVVALVLWFILRPKVVTFHVTEANLTRFELDPLNNNLHYNLSLDFSARNPNQRLGIQYDQLEARGYYGDQRFASVNMPSFYQGHKNTTVVGTEYLNGLSLVLLGAGGRRDLEEDRESGIYRIGVKLRFKMRFKFWFFNSWAVKPKFKCHLKVPLNTSSAARGFQFHPTKCHVDL >scaffold_402155.1 pep chromosome:v.1.0:4:16834043:16835394:1 gene:scaffold_402155.1 transcript:scaffold_402155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWELALGTAYFLGLRRTYRLALKTQRRIVSAKHPRIRNFMHRRTHQIFDMALRVHKNIQQRDMEIGRNLGNWILRGLDRMKPSAQVLLPKHTEANIVKATRVLESTRLKPHVNTQTPQNREVDRHLFLSLRNFRSKFPTASMMMIKPPRPIGTTTQYRPYTAGESSLIKPIYARGGFDGVIRKDILQWMVQKR >scaffold_402156.1 pep chromosome:v.1.0:4:16835890:16837478:-1 gene:scaffold_402156.1 transcript:scaffold_402156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLFTTSRASLLFASSLNPSKTFSPSISLKPNSLSFSFGLRPRPLRFSKIRSSLPSDSESDSDLDASNVTDEWGEKQGNASEPQSQPDISLVNVTTDEWGEKSGPEPEESGSRFAESDPPRNEDEWEEEIGKEVEIDAGNGSAVSDKTWELKRCLADTVYGTELGFRAGSDVRAEVLELVNQLEALNPTPAPIENPELLDGNWVLLYTAFSELVPLLAAGSTPLLKVKSISQSIDTKNLTIDNSTTLSSPFADFSFSASASFEVRSPSRIEVSFKEGTLKPPEIKSSVDLPESVGVFGQQISLALLKQSLNPLQDVAANISRGLSGQPPLKLPFPGNRGSSWLLTTYLDKDLRISRGDGGLFVLAREGSSLLEL >scaffold_402164.1 pep chromosome:v.1.0:4:16860594:16861611:1 gene:scaffold_402164.1 transcript:scaffold_402164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRFSVVRGGHRRTQSAIDGREVLSPRSDLAPSANTTTAATHGIEVATEFKPVDHPMEPLDNDQPIQCPLPEPSILNDGRLWKERLSANSMRRRDDLAIAQDGMDEESDVSVTIPSRASQCNTNRPILPSLSAPEHNLLNLLEECKVSGSI >scaffold_402165.1 pep chromosome:v.1.0:4:16865126:16867416:-1 gene:scaffold_402165.1 transcript:scaffold_402165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCINCTKMADRGEDEEDEARGSTTPNTKEAVKSLTTQIKDMASKFSGTHKQSKPTPGSSSSNLRKFPDFDTASESVPYPYPGGSTSSTPAWDLPRSSYHQSGRPDSRFPSMYGGERESISAQSCDVVLEDDEPKEWMAQVEPGVHITFVSLPTGGNDLKRIRFSREVFDKWQAQRWWGENYDRIVELYNVQRFNRQALQTPGRSEDQSQRDSTHTRIDSARESRDWTQRDNNFRPPGGSVPHHFYGPPMDAARITTSSRDDPPSMSNASEMQAEWVEEDEPGVYITIRQLPDGTRELRRVRFSRERFGEVHAKTWWEQNRDRIQTQYL >scaffold_402167.1 pep chromosome:v.1.0:4:16871682:16875269:-1 gene:scaffold_402167.1 transcript:scaffold_402167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGWRNGFREATNSKPLFVTIYATVIIGVLVSSFYVFSAIYSPTNGSSSFLSFPPLSTAGRIHRLPQDNATLELPVAPPPPQALPPPVLEEAQGRSLGKIWVSPPRDKKMPPLETFKLTKELFGERVKDNVIIVTFGNYAFMDFILTWVKHLTDLDLSNILVGAMDTKLLEALYWKGVPVFDMGSHMSTVDVGWGSPTFHKMGREKVILIDSVLPFGYELLMCDTDMVWLKNPMPYLARFPDADVLTSSDQVVPTVIDDSLDICWCCLQHRIFHWRPTESAKKLAKEWKEILLADDKVWDQNGFNEIVRRQLGPSVDGDSGLFYAYDGNLKVGILPASIFCSGHTYFVQAMYQQLRLEPYAVHTTFQYAGTEGKRHRLREGMVFYDPPEYYDSPGGFIAFKPSIPKSLLLDGKHTIESHFILVNHQMKQIRSALAIASLLNRTLVMPPIWCRLDRLWFGHPGTLQGSMTQQPFICPLDHVFEVNIMLKELPEEEFGPGIGIREYSFLDNPALPKQVKESWLDVQLCQEGKEGCEPSNNTSPSQVLKFPKRSNEDTFKAIFSSFENVKVIKFSSIEDAFIGFSDKEREERFRRRVKRYVGIWCCEENKTPGHIYYDMYWDEKPGWKPVPPQTPEEDHPPL >scaffold_402168.1 pep chromosome:v.1.0:4:16879147:16879447:-1 gene:scaffold_402168.1 transcript:scaffold_402168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGCSITVLFRFLIVLLVIQVHFETTTAARHAPVVSWSPPEPPKDDFVWYHKINRFKNIEQDAFRPTHQGPSQGIGHKNPPGAP >scaffold_402169.1 pep chromosome:v.1.0:4:16883348:16885070:1 gene:scaffold_402169.1 transcript:scaffold_402169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDGLETCIINNQSLEEESGTSRGDEYPTDLLDDDSFSSCSSSKDVLESFSSKLLPRESCSDDLDFKRSSLHLYGKEKPGYALCFSDVEVMKERFSKLFLGEDVTGGCNGVQTALALSNAITHLATSVFGELWKLEPLCEDKKQRWRTEMDWLLSPTNYMIELVPSKQDGENGRSLEIMTPKARADIHVNIPALRKLDSMLIETLDSVVNTEFWYSEVGHKAEGKNKITRDYLSLGDDLYKILASDSASVDEIFMSLKLVTEHAALEVVNKLEAAIYAWKERITEQASSGKSPARASWSLVKDSISEISRIELLINRAERLNDQIKSKFSNLPQSFLDAIKIQYGKDIGHAILEAYSRILANLAFRILSRIEEVLQEDALSNPNISGSNKVVKTPDRLIYTMNKADDGTRTGPDRS >scaffold_402170.1 pep chromosome:v.1.0:4:16885658:16887041:1 gene:scaffold_402170.1 transcript:scaffold_402170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7LII5] MATQILLCFFLFFSSTLSSSSHPKNLSVELIHRDSPLSPLYNPKNTVTDRLNAAFLRSISRSRRLNNILSQTDLQSGLIGADGEFFMSITIGTPPMKVFAIADTGSDLTWVQCKPCQQCYKENGPIFDKKKSSTYKSEPCDSRNCHALSSSERGCDESKNVCKYRYSYGDQSFSKGDVATETISIDSASGSPVSFPGTVFGCGYNNGGTFDETGSGIIGLGGGHLSLISQLGSSISKKFSYCLSHKSATTNGTSVINLGTNSIPSSLSKDSGVISTPLVDKEPRTYYYLTLEAISVGKKKIPYTGSSYNPNDGGIFSETSGNIIIDSGTTLTLLDSGFFDKFGAAVEELVTGAKRVSDPQGLLSHCFKSGSAEIGLPEITVHFTGADVRLSPINAFVKVSEDMVCLSMVPTTEVAIYGNFAQMDFLVGYDLETRTVSFQRMDCSANL >scaffold_402171.1 pep chromosome:v.1.0:4:16887370:16890893:-1 gene:scaffold_402171.1 transcript:scaffold_402171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIFLMKRCCSWLLLISLLSALPNEIEAISPDGEALLSFRNGVLASDGVIGQWRPEDPDPCNWKGVTCDAKTKRVIALSLTYHKLRGPLPPELGKLDQLRLLMLHNNALYEPIPASLGNCTALEGIYLQNNYISGAIPSEIGNLSGLKNLDISNNNLQGAIPASLGQLKKLTKFNVSNNFLEGQIPSDGLLAQLSRDSFNGNLKLCGKQIDVACNDSGNSTASGSPTGQGSNNPKRLLISASATVGGLLLVALMCFWGCFLYKKLGRVESKSLVIDVGGGASIVMFHGDLPYASKDIIKKLESLNEEHIIGCGGFGTVYKLSMDDGNVFALKRIVKLNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLLYDYLPGGSLDEALHKRGEQLDWDSRVNIIIGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKLPTDASFIEKGFNIVGWLNFLISENRAKEIVDRSCEGVERESLDALLSIATKCVSSSPDERPTMHRVVQLLESEVMTPCPSDFYDSSSD >scaffold_402179.1 pep chromosome:v.1.0:4:16924860:16926531:-1 gene:scaffold_402179.1 transcript:scaffold_402179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEELTEREEEEKGERSVEDHVADGDKAILVSRGNVIVLTTKRALVGVGARALFYPTLIYNVVRNKLETEFRWWDRVAEFILLGAVPFPSDVPQLKELGVCGVITLNEPYETLVPSSLYKSYCIDHLVIATRDYCFAPSMEAICQAVEFIHRNASLGKTTYVHCKAGRGRSTTIVICYLVQHKNMTPEAAYAYVRSIRPRVLLAAAQWKAVVEYYHVKVLNTQSCLTDTTSALIPRNVKQVCSGNVVVFDDGSMVVVTHSDLEGYDDDDSRRSVKVTGNELWAAAADLSMVYRVKVVGQAAMARISCLWLGLREDHKLSGKNLSMGGISVDISVY >scaffold_402180.1 pep chromosome:v.1.0:4:16928030:16930962:1 gene:scaffold_402180.1 transcript:scaffold_402180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:D7LIJ4] MERVDHLADERNKAEFNVDDMKIVWAGSRHAFDVSNRISRLVANDPIFEKSKRAVMRRKELFKNTLRKSVHAWKMINELRLSDEEGIKLRSFMDQPGFLDLHWGMFVPALKGQGTEEQQHKWLSLANKMQIIGCYAQTELGHGSNVQGLETTATFDPKTDQFIIHSPTQTSSKWWPGGLGKVSTHAVVYARLITNGKDHGVHGFIVQLRSLDDHSPLPGITVGDIGMKFGNGAYNSMDNGFLMFDHFRIPRDQMLMRLSKVTREGKYVASDVPRQLVYGTMVYVRQSIVSNASTALARAVCIATRYSAVRRQFGSQDGGIETQVIDYKTQQNRLFPLLASAYAFRFVGEWLKWLYTDVTKRLDTSDFATLPEAHACTAGLKSMTTSATSDGIEECRKLCGGHGYLWCSGLPELFAVYVPACTYEGDNVVLQLQVARFLMKTVSQLGSGKAPSGTTAYMGRAKHLLQCRSSVRNAEDWLNPGMVLEAFEARALRMAVTCANNLSKFENQEQGFSELLADLVEAATAHCQLIVVSKFIAKVEGNIEGKGVKKQLKNLCYIYALYLLHKHLGDFLSTNSVTPEQGSLANRQLRSLYSQVRPNAVALVDAFDYTDHYLGSVLGRYDGNVYPKLFEEALKDPLNDSVVPDGYHEYIRPLIKQRFRSAKL >scaffold_402182.1 pep chromosome:v.1.0:4:16939551:16940420:1 gene:scaffold_402182.1 transcript:scaffold_402182.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:D7LIJ6] MGAYKYVSELWRKKQSDVMRHLQRVRCWDYRQQPSIVRLTRPTRPDKARRLGFKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLSGLRVVNSYWINEDSTYKYYEIILVDPAHNAVRNDPRINWICNPVHKHRELRGLTSEGKKNRGLRGKGHNNHKNRPSRRATWKKNNTLSLLRYR >scaffold_402186.1 pep chromosome:v.1.0:4:16954141:16954360:-1 gene:scaffold_402186.1 transcript:scaffold_402186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRSCFSFMAGSAFGVYLAQNYNVPNIRKLTNTGLVVAKHVEENYRKPKKDDPQ >scaffold_402187.1 pep chromosome:v.1.0:4:16954555:16954765:1 gene:scaffold_402187.1 transcript:scaffold_402187.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIK1] MADTDIFFGEDSLDQFMAFDNDAAINLDRSLSLSLPLMTVIALFGSFDFCFGHQFH >scaffold_402193.1 pep chromosome:v.1.0:4:16971320:16972765:1 gene:scaffold_402193.1 transcript:scaffold_402193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSALIHLLRSQSRRLSSSTSTTGYHHRSIAGSWSSSSVIPKVRFQVPSLNQRSWASFGAKTREDDDEHKISIGPQEKKEENDGGVVYYGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPGLNVIMKGAVASTVIFLSASTTAALHWFVTPYVHKLRWQPGSDTFEVEMMTWLATFTPKTLKFSDIRYPDTQRPYVSFKADGNYYFVDADHCPNKALLARLTPPKDAHDSAFKNL >scaffold_402196.1 pep chromosome:v.1.0:4:16980033:16980896:1 gene:scaffold_402196.1 transcript:scaffold_402196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPVEVNLIPIEATSETFADYGQIIEASRDGETFGPNDAQLDLSRGTPRLYIMPLQDTSFSFSKITHHAHVTQCLGSIGAHAWYVGVAKPSLIEDDDERREDTVESKSGHLYAPPAVEEVRVFRISGTKFVKYNRGTWHVGPLFKESSMDFYNLELTDTDVVDETTYDFRKNNGVIFRFKPIEETSS >scaffold_402197.1 pep chromosome:v.1.0:4:16981391:16982292:1 gene:scaffold_402197.1 transcript:scaffold_402197.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LIL1] MAKSPVEVKLIPIEATPETFADYGQVIEASRDGASFGPDDAQLDLSRGTPRFYILRLEGRSLGFSNITHHANVTQCLGSIGGHVWYLGVAKPSLIEDDDGNGRRVDAVESKSGHLYIPPAVEEIRVFRISGPKFVKLNRGTWHAGPLFRDSSSMDFYNLELTNTNEVDHTTHDFKKNNGVIFRFDP >scaffold_402198.1 pep chromosome:v.1.0:4:16982946:16984806:1 gene:scaffold_402198.1 transcript:scaffold_402198.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-phosphatase 1 [Source:UniProtKB/TrEMBL;Acc:D7LIL2] MERLTSPPRLMIVSDLDHTMVDHHDPENLSLLRFNSLWENAYRHDSLLVFSTGRSPTLYKELRKEKPLLTPDITIMSVGTEITYGTSMVPDHGWVETLNNKWDLGVVKEEASKFPELKLQAETEQRPHKVSFYVDKSKAQEVTKELSQRFLKRGLDVKIIYSGGMDLDILPQGAGKGQALAYLLKKLKTEGKLPVNTLACGDSGNDAELFSIPDVYGVMVSNAQEELLKWHAENAKDNPKVIHAKERCAGGIIQAIGHFKLGPNLSPRDVSDFLECKVENVNPGHEVVKFFLFYERWRRGEVENSEAYTASLKASSHPSGVFIHPSGTEKSLRDTIDELRKYHGDKQGKKFQVWADQVLATDTTPGTWIVKLDKWEQDGEERRGCTTTVKFTAKEGEGLVWEHVQQTWSEESKVKDDSSWII >scaffold_402203.1 pep chromosome:v.1.0:4:17003634:17004916:-1 gene:scaffold_402203.1 transcript:scaffold_402203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVWIDDSSASKAGTDLTDKPLGDSSAAAGNCSTTTVVRSQCKTEEVEPGKFVRKCDKTEEILRHCFGKPSEVVQSNTEHTEEDVTDQMVGRSALPNQFEERNPLNFPGLRRDVDAIERHFLSGMKSFFDAAEEMTSSLFDVMGDHHPSTRRGGIPIENHPKIEEHRNDKTAPTRPHSSGEIDLSGLAKDV >scaffold_402208.1 pep chromosome:v.1.0:4:17051414:17053024:-1 gene:scaffold_402208.1 transcript:scaffold_402208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLPHSNRCLRSLFWLGDTNRRCGAGVVKGFQPGLYQANGGGANVVAHGYTKGSGLGAEIIGTFVLVYTVFSATDAKRSARESHVPILVPLPIGFAVFLVHLATIPITGTSINPARSLGAAIIYNKDHAWDDHVNA >scaffold_402212.1 pep chromosome:v.1.0:4:17060244:17061411:1 gene:scaffold_402212.1 transcript:scaffold_402212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFSFQYVLTDGFMGFELGLSRVLSHFCFLIIFSGPPVSFPVNVFGCGYNNGGTFDETGSGIIGPGGCHFSLISQLSSSISKKFSYCLSHKSATTNGTSVINLGTNSIPSSLSKDSCVISTPLVDKEPRTHYYLTLKLKALRREEKDSVHRNEVV >scaffold_402221.1 pep chromosome:v.1.0:4:17112668:17114500:-1 gene:scaffold_402221.1 transcript:scaffold_402221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINQYSSDFHYHSLMWQQQQQHHHHQNDVVEEKEALFEKPLTPSDVGKLNRLVIPKQHAERYFPLAAAAADAVEKGLLLCFEDEEGKPWRFRYSYWNSSQSYVLTKGWSRYVKEKHLDAGDVVLFHRHRANGGRFFIGWRRRGDSSSSSDSNRHVQSNASLQYYPHAGAQAVESQRGNSKTLRLFGVNMECQLDSDWSEPSTPDGSNTYTTNHDQFHFYPQQQHYPPPYYMVRFN >scaffold_402230.1 pep chromosome:v.1.0:4:17155573:17156053:-1 gene:scaffold_402230.1 transcript:scaffold_402230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAEIFERVDKNKDGKISWDEFAEAIRVFSPKITAEEIDKMFIVLDVDGDGQIDAMEFASCLMVNGGGGKDDEEVVMKEAFDLYDIDGDGKISASEIHVVLKRLGEKHTMEECVTMVQAVDKDGDGFVSFEEFKVMMNSKKESL >scaffold_402231.1 pep chromosome:v.1.0:4:17157974:17160918:-1 gene:scaffold_402231.1 transcript:scaffold_402231.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCWINV4 [Source:UniProtKB/TrEMBL;Acc:D7LIP4] MGGVSNLISVLLFLVITNLSNQNIKGIVAFHQIYEELQSESVESVNHLHRPSFHFQPPKHWINDPNGPVYYKGLYHLFYQYNTKGAVWGNIIWAHSVSKDLVNWEALEPAIHPSKWFDIGGTWSGSITIVPGKGPIILYTGVNQNETQLQNYAIPEDPSDPYLRKWIKPDDNPIAMPDYTMNGSAFRDPTTAWFSKDGHWRTVVGSKRKRRGIAYIYRSRDFKHWVKAKHPVHSKESTGMWECPDFFPVSLTDFQNGLDLDYVGPNTKHVLKVSLDITRYEYYTLGKYDPKKDRYIPDGNTPDGWEGLRFDYGNFYASKTFFDYKKNRRILWGWANESDTVEDDILKGWAGIQVIPRTVLLDSSKKQLMFWPVEEIESLRGNYVRMNNHDIKMGQRIEVKGITPAQADVEVTFYVGSLEKAETFDPSFKFKPLDLCKIKGSNVRGGVGPFGLITLATPDLEEYTPVFFRVFKDTKTHKPKVLMCSDARPSSLKQDKGPLAKDRMYKPSFAGFVDVDMADGRISLRSLIDHSVVESFGALGKTVITSRVYPVKAVKENAHLYVFNNGTQTVTIESLNAWNMERPLQMNDGAL >scaffold_402233.1 pep chromosome:v.1.0:4:17169331:17169777:-1 gene:scaffold_402233.1 transcript:scaffold_402233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRIASFKNLAKKMKSINTTTRSGGEGGSQSTYNESLLMNEAEETAMETKTPTGTFAVYVGEERVRRVVPTSYLNHPLFRMLLEKSHDEFLCFGQKVMLVVPCSLSVFQDVVNAIESCNGNFDFGEFVEEFL >scaffold_402235.1 pep chromosome:v.1.0:4:17176444:17178196:-1 gene:scaffold_402235.1 transcript:scaffold_402235.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-(5-phosphoribosyl)-5-[(5-phosphoribosylamino)methylideneamino] imidazole-4-carboxamide isomerase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7LIP8] MRTLSSQLLYSNDGLAWFQKKNQSSLFNHHLRISKPSRVQLISAVQFRPCIDIHKGKVKQIVGSTLSDLKEEGSVLVTNFESDKSAEEYAKMYKEDGLTGGHVIMLGADPLSQAAAIGALHAYPGGLQVGGGINSENCLSYIEEGASHVIVTSYVFNNGKIDLERLKDLVSIVGKQRLILDLSCRKKDGRYAIVTDRWQKFSDVILDEKSLEFLGGFADEFLVHGVDVEGKKLGIDEELVALLGNYSPIPVTYAGGVTVMDDVERIEAAGKGRVDVTVGSALDIFGGNLPYKDVVAWHHKQLSLQ >scaffold_402236.1 pep chromosome:v.1.0:4:17178309:17179845:1 gene:scaffold_402236.1 transcript:scaffold_402236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWNKKNAINLVSKSNHLPAPITPPSPEIYRIPNPPPKLPEISIPPTLTLSPSPKHSNFVNFLENNIPHHQTLTPQNLLGFLRSKLRHHPLYAHYDFAVFNWAATLDTFRHDHDSFLWMSRSLAATHRFHDLYRLLSFVAANPCPCSSGIFSCPELEPIFRSAIDAYCRAGKMDYALLAFDTMKRLIDGKPNVGVYNTVVNGYVKSGDMDKALRFYQRMGKERAKPDVCTFNTLINGYCRSSKFDLALDLFREMKEKGCEPNVVSFNTLIRGFLSRGKIEEGIKMAYEMIEIGCRFSEATCEILVDGLCREGRVDDACGLVIDLSNSRVLPSGFDYGSLVEKLCGENKADRAMEMVEELWKKGKTPCFIACTTLVEGLRKSGRTEKASGFMEEMMNAGILPDSVTFNLLLRDLCSSDRSTDANSLRLLASSKGYEPDETTYHVLVSGFTKEGRRKEGEVLVNEMLDKDMLPDIFTYNRLMDGLSCSGKFSRKQVRMS >scaffold_402237.1 pep chromosome:v.1.0:4:17180499:17182818:-1 gene:scaffold_402237.1 transcript:scaffold_402237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYVSPCFTPSDSRLLTVLRKNVLPENHLGRVNSIRMIESKKNRLVAAAAQKSESSPIRNSPRHFQSQAQDPFLNLHPEISMLRGEGTSTIVNPRKETSSGPVTEDFEEPSAPSNYNEARIKVIGVGGGGSNAVNRMIESEMSGVEFWIVNTDIQAMRMSPVLPDNRLQIGKELTRGLGAGGNPEIGMNAARESKEVIEEALYGSDMVFVTAGMGGGTGTGAAPVIAGIAKAMGILTVGIATTPFSFEGRRRTVQAQEGLASLRDNVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPTANLIFGAVVDPALSGQVSITLIATGFKRQEEGEGRAVQMAQADAASVGATRRPSSSFRESGSVEIPEFLKKKGSSRYPRV >scaffold_402246.1 pep chromosome:v.1.0:4:17215235:17215995:1 gene:scaffold_402246.1 transcript:scaffold_402246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHRCETPEGHRLCVNNCGFFGSSATMNLCSNCYGDLCLKQQQQASIKSTVESSRSPVIAPVLENYAAELEIPTTKTEEKKPVQNPTEQPPPPPQRPNRCTVCRKRVGLTGFMCRCGTTFCGSHRYPEVHGCTFDFKSAGREEIAKANPLVIAAKLQKI >scaffold_402247.1 pep chromosome:v.1.0:4:17216271:17217359:1 gene:scaffold_402247.1 transcript:scaffold_402247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRDNFKQIGGIADVNYLRPSFRYLDKGIHAAEYHSIVRMPSGEFSRKCKDLSSIGDTVVISVTKEGVKFSTVGQDLSLRIYQFDWELSCKEKDHEYLKFS >scaffold_402259.1 pep chromosome:v.1.0:4:17306135:17307226:-1 gene:scaffold_402259.1 transcript:scaffold_402259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEDDSVRIPSSSSQFKNTNMRELPDASQVEIFDSKLAAENAPGLTIASEATGQPNMSDLLEAVMKSGILSNNSTHGAIKEEISQDEVNPGALTLSAASKPKNLPSALPISLAGDNLLARLKVEQSSAPLVSCAASLTGITSVQTSKENSKASDPLSCLLSSLVSKGLISASKTEQPSAPSITQEYSPDHSTNSSMSVSVVPSDAQPSVLVKKGPSTAPKVKGLTASETSKSEPEDLIGLKFRADKIRELHPSVISSLFDDLPHLCTSCGVRLKQKEELDRHMELHDKSKGELSGKNSKCRVWFPKVENWIAAKAGELEPEDEEVLN >scaffold_402262.1 pep chromosome:v.1.0:4:17325015:17326412:1 gene:scaffold_402262.1 transcript:scaffold_402262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAAEQPPSASVSDEAESLLKIRIERMHEKLKEPPRLLSSAAGKPTCSIFRVPQSMIDCNGRWYEPRVVSVGPFHRGQIRLEMIQEHKWRYLNVLLTRTQNLTLEDYMKSVKSVEEEARECYSETIHMDSEEFNEMMVLDGCFLLELFRKVNNLVPFEPNDPLVAMAWVLPFFYRDFLCLENQIPFFVLETLFDLTRGDNKNETNASLPSLAFAFFNNMMHRTDEDLARFKELRAKHLLDLLRSSFIPESQLHTPPETNPGKEKTPSHIIHSISKLRRAGIKLRELKDAESFLVVRFRHGAIEMPAITVDDFMSSFLENCVAYEQCHVACSMHFTTYATLLDCLTNTYKDVEYLCDQNIIENYFGTDTELARFVNSLGRDVAFDITQCYLKDLFEEVNEYYKSSWHVEWATFKFTYFNSPWSFVSALAALVLLVLSVIQTVYTVFQAYQK >scaffold_402264.1 pep chromosome:v.1.0:4:17329317:17329918:-1 gene:scaffold_402264.1 transcript:scaffold_402264.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LJ39] MQGTSKDNGGRHPLYKGVRQRKNSNKWVSEIREPRKPNRIWLGTFSTPEMAAIAYDVAALALKGSQAELNFPNSVSSLPAPTSMSPGDIQAACASAAAAFGAARDSIVMANNNSQTSSVARMNGSYDNTNMNGFMDEDLVFDMPNVLMNMAEGMLLSPPRPPAFDAAYEADGFTGADDYLWNFP >scaffold_402268.1 pep chromosome:v.1.0:4:17343678:17350481:-1 gene:scaffold_402268.1 transcript:scaffold_402268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRRREESSIQQPPPSWMIPLTPMKPISPIRPYTMDPIYQYTVEEQSHRSQVEERGFDMGGLDHLSFSDLLALSNTRPVCFSGQTSWACNDSGLMIRDEISPICPNTVEEEQTHWNQVEERRFDMNQLSFGDFLALSNNASLYCSGQTTPWDGNVLEPTSERAFDSGLIRDEVEMLQKGNEAVDNLISVSNNVASVYFSGQTPWASEPTRNTEMMQKGNEAVDILSSVSNNVAEEIIKTPEKPKRKKHRPKVVREAKPKREPKPPTSRKSVVADGQESKTPKRKYVRKKVEVNKDQESTPVESSAAVETLTHAKKLCRRVLDFEPENGDNQTNSDPKHGDETEPSLPKRKCSQGKRKGTEPKKNGGNQDGVDLSMAQAGKRRQGKEPTCGDINLSGIQYDEQCDYQKMHWLYFQNLQQEWIRSDPICSTSFAGQQHKDVSAFDSNCYSFTSQPNANRVLTIKEKRKGIFQGRQESEFSVLLDMIETPIKTRTTGHVRLRDLSPMNKLVEVSQQLPSGYHSKPKQKSNKILVDTRVTVSKKKRITKSEKSQTNKKTLLPNPCQFPASFSGLSQDEFWKQPNLVEEISEQLRLLDINRENSETALVPYSMKTQGNQIVLFGGGAGAIVPVTPVKKRRPRPKVDLDDETEKVWKLLLENINSEGIDGSDDQKAKWWEEERNVFRGRADSFIARMHLVQGDRRFTPWKGSVVDSVVGVFLTQNVSDHLSRYKHLRNSTSKPNPTQQQVTKLTCFVFFCPCSSAFMSLVSEFPVTSVPSSNFEAGTSSMPSIQITYLDSEESMSSPPNHNQSSVILKNTQPDEEKDYVHTSETSRSSSEISSSAHQSVDKTTDSKTFVEPDRKGSSVEVDKTGQNCLVLNLFTSEDSALTCQHSMVSDAPQNTERAGSSTEINLEGEYRTSYMKLLQGVLEESNQKNQYEVGVLSNPGSLQVSPNMSPGDCSSEITDFHSLKRPTKSSDDSYEPYCCYQQDGDVLSCQKPEMPESSSSFRSTKRKRSFQIPDLNESTSCLDVIEDTENPPDPYSRQLPDSSCKELNPTDAATLNAKGKKVLKEKKEAFDWDSLRREAEGREGKREKTTRTMDSVDWEAIRTADVSEVAETIKKRGMNHMLAERIQGFLNRLVNEHGSIDLEWLRDIPPDKAKEYLLSFRGLGLKSVECVRLLTLHHLAFPVDTNVARIAVRLGWVPLQPLPESLQLHLLEMYPILESIQKYLWPRLCKLDQKTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFVSARLALPSTEKGMGTPDKNPLPLHLPEPLYREQGSEVKQHSEPAKKVTCCEPIIEEPASPEPESAQVSIADIEDAFFEDPEEIPTIRLNTDAFTSNLKKIIEQNQELQDGNMSTALVALTAEAAYLPMPKLKNISQLRTEHQVYELPDSHPLLVQLEKREPDDPCSYLLAIWTPGETADSIQPTVSKCISQENGQICDEETCFSCNSIKEARSQTVRGTILIPCRTAMRGSFPLNGTYFQVNEVFADHASSLNPIDVPRETLWDLTRRAVYFGTSIPTIFKGLSTETIQQCFWRGYVCVRGFDRETRGPKPLIARLHFPVSKMKSLANPPNQSATKASK >scaffold_402270.1 pep chromosome:v.1.0:4:17360116:17360308:-1 gene:scaffold_402270.1 transcript:scaffold_402270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJ46] MRKGVGESSSKSKSVLTSIGGYAVLEYLAAVHGGVLYLTITMSYSNQEV >scaffold_402272.1 pep chromosome:v.1.0:4:17367798:17370811:-1 gene:scaffold_402272.1 transcript:scaffold_402272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITGVKARQIFDSRGNPTVEVDIHTSSGVKVTAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVGNVNNIIGPALIGKDPTQQTAIDNFMVHELDGTQNEWGWCKQKLGANAILAVSLAVCKAGAVVSGIPLYKHIANLAGNPKIVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFTEAMKMGVEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYSSDKTYDLNFKEENNDGSQKISGDALKDLYKSFVSEYPIVSIEDPFDQDDWAHYAKMTTECGTEVQIVGDDLLVTNPKRVAKAIAEKSCNALLLKVNQIGSVTESIEAVKMSKKAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGSEAIYAGVNFRKPVEPY >scaffold_402276.1 pep chromosome:v.1.0:4:17384969:17388535:1 gene:scaffold_402276.1 transcript:scaffold_402276.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:D7LJ52] MHSSHLLLEEPIRMTSILEPSKSSFFPALTKIVGTLGPKSRSVEVISGCLKAGMSVARFDFSWCDAEYHQETLENLKIAVKSTKKLCAVMLDTVGPELQVINKTEKAISLKADGLVTLTPSQDQEASSEVFPINFDGLAKAVKQGDTIFVGQYLFTGSETTSVWLEVEEVKGDDVICISRNAATLAGPLFTLHVSQVHIDMPTLTQKDKEVISTWGVQNKIDFLSLSYCRHAEDVRQARELLNSLGDLSQTQIFAKIENEEGLTHFDDILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVLTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICCEAEKVFNQDLFFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLTTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKQAGVIKSHDRVVVCQKVGDASVVKIIELED >scaffold_402281.1 pep chromosome:v.1.0:4:17401164:17403487:-1 gene:scaffold_402281.1 transcript:scaffold_402281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWNGKGTGGFILYLLAGFSVAVFSVSYVGDTTNPNHHHHLSSSSPLSATEKIWPDLKFSWKLVLATVIAFLGSACGTVGGVGGGGIFVPMLTLILGFDTKSAAAISKCMIMGASASSVWYNVRVRHPTKEVPILDYDLALLFQPMLLLGITVGVSLSVVFPYWLITVLIIILFVGTSSRSFFKGIEMWKEETLLKNEMAQQRANMVNSRGELLIDTEYEPLYPREEKSELEIIRSNLKWKRLLVLVTVWLAFLLIQIIKNEIKVCSTIYWILFILQFPVALAVFGFEAIKLYTENKKRLSSGNTECICEATIKWTPLSLIFCGLCGVIGGIVGGLLGSGGGFVLGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPIPYAMYLISVSILAGFWGQSFIRKLVAILKRASIIVFVLSGVICASALTMGVIGIEKSIKMIHNHEFMGFLGFCSSQ >scaffold_402290.1 pep chromosome:v.1.0:4:17445193:17445724:1 gene:scaffold_402290.1 transcript:scaffold_402290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKSLVMVLIFVSLFGLHQCRQIYPPDPKGIERCFVRFMGEPFPEEFLCCIEDPSICFPEFEPENCLRDCPPLRKGGAPSPSPGATLPPL >scaffold_402291.1 pep chromosome:v.1.0:4:17447337:17449496:-1 gene:scaffold_402291.1 transcript:scaffold_402291.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7LJ66] MSIFLIVLLSLSTIHISSSSESSFTSTTRTCSKHGELAFFGNHHNLPDNSLVITVDPNGHGNFTKVQNAIDAVPDLSSSKTLIIINSGVYREKVMVSVNKTNIMMKGRGYQRTIIEWNDTSRSSGRTQDSYSFGIFAANFVAYNISFKNYAPEPEPGVEGAQAVAIRIDGDQAAFYGCGFYSAQDTVLDSNGRHYFKQCVIQGSIDFIYGKGRSLYDECLIRSIAKESTSGISGIITAQGRESIDEKSGFSFLKCKIEGTGKVWLGRPWRAYATVVFSQTYMSRIISPEGWNDWGNLTRDKTVTFGEHRCYGEGSDYKGRVPYAKQLTDSEASSFTDISYIDGDQWLNGTKIPSELNIEEHEDNLISSY >scaffold_402294.1 pep chromosome:v.1.0:4:17460644:17460901:1 gene:scaffold_402294.1 transcript:scaffold_402294.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJ69] METARAGPFFSGGEDALLFSQRRAPLEVFFGKEAREANPRSLDATRILQFIWLSNKSCKTSFYSLGICSSS >scaffold_402295.1 pep chromosome:v.1.0:4:17461248:17461772:-1 gene:scaffold_402295.1 transcript:scaffold_402295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKSLVMVLIFVSLFGLHQCEDIDHPKGIERCYRRYMGRPLTIQYLCCIEAPRICFTPLTPEQCLTKCPPLRKGAPPSSSPGKGVGPSPQPSL >scaffold_402297.1 pep chromosome:v.1.0:4:17467079:17468643:-1 gene:scaffold_402297.1 transcript:scaffold_402297.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LJ72] MIRSLDSSFKSKKHQCLIFLKLCSSIKHLLQIHGQIHVSSLQNDSFIISELIRVSSLSHTKDLAFARTLLLHSSDSTPSTWNMLTRGYSSSDTPVESIWVYSEMKRRRIKPNKLTFPFLLKACASFLGLTAGRQIQVEVLKHGFDSDVYVGNNLIHLYGSCKKTSDARKVFDEMTERNVVSWNSIMTALVENGKFNLVVECFFEMIGRQFCPDETTMVVLLSACGGNLSLGKLVHSQVMVRELELNCRLGTALVDMYAKSGGLKYARLVFERMADKNVWTWSAMIVGLAQYGFAEEALQLFSKMMKESSVRPNYVTFLGVLCACSHTGLVDDGYKYFHEMEKRHKIKPMMIHYGAMVDILGRAGRLNEAYDFIQKMPFEPDAVVWRTLLSACSIHHDEDDKGIGEKVKKRLIELEPKRSGNLVIVANRFAEARMWDEAAEVRRVMKETKMKKIAGESCLELGGSFHKFFSGYDPRPEYVSIYELLDLFKFQLTCDNHLVSSDPDQCS >scaffold_402300.1 pep chromosome:v.1.0:4:17475316:17476850:-1 gene:scaffold_402300.1 transcript:scaffold_402300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LJ75] MASEETHQFHPSLHFVLFPFMAQGHMIPMIDISRLLAQRSVTITIVTTPHNAARFKNVLSRAIESGLPIKLVHVKFPYQEAGLQEGQENIDSLDSKELMVPFFKAVNMLEEPVTKLMEEMKPKPSCLISDWCLPYTSIIAKKFNIPKIVFHGMGCFCLLCMHVLRQNLEILENIKSDNEYLLVPCFPDKVEFTKPQLPVKANASGDWKEIMDGMVKAEYTSYGVVVNTFEELEPAYVKDYQEARAGKVWSIGPVSLCNKVGADKAERGNKAAIDQDDCLKWLDSKEEGSVLYVCLGSICNLPLAQLKELGLGLEESRRPFIWVIRGWEKYNELSEWMLESGFQERIKERGFLIRGWAPQVLILSHPSVGGFLTHCGWNSTLEGITSGIPLLTWPLFADQFCNEKLVVQVLKAGVRAGVEQPMKSGEEEKIGVLVDKEGVKKAVEELMGNSGDAKERRRIAKELGELAHKAVEEGGSSHSNISFLLQDIVQLVQSKN >scaffold_402304.1 pep chromosome:v.1.0:4:17496833:17498518:1 gene:scaffold_402304.1 transcript:scaffold_402304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHGKSKRDGHGRHKKQRDESIDLISSDEIKEYMAKRALKKALSASKKLKRESVFGYSNDSNPFGDSNLAETFVWRKKIEKDIHHGASLDKFSVKAERRRLRETMAEVENFKKRREETAEERARHEEDMALLARERARAEFQDWEKKEEEFKFEQSKVRSKIRLLEGRAKPIDVLYKYVDDMDIKLSEQPYMVFKGLNVKDMEDLHNDIKMYLDWDRETPTRVQYWEALSVLCDWELAKARRRDEELLAAQERGLHAGVEADVRELLDGKTHAELVQLQFDIESQLRSGAAKVVEYWEAVLKRIHIYKAKACLKEINAEILRRHQQVNHVVEENEEEVNGMNLSDEAGSFSPGDDREEEIDPKEYNTLLEMERMIVVEEQRKKRLREDNLELKAMKTMREMEEGYVVFGSNAEVNLDSKVCKWHDKYRPKKPKYFNRVHTGYEWNKYNQTHYDHHNPPPKFVQGYKFNIFYPDLVDDTEVPTCTIEKDGTSSETCIIRFEAGPPYEDIAFRIVNKEWEKSRKKGYKYTFEHGILHLYNFKRLRYRR >scaffold_402310.1 pep chromosome:v.1.0:4:17545347:17546635:-1 gene:scaffold_402310.1 transcript:scaffold_402310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase [Source:UniProtKB/TrEMBL;Acc:D7LJ85] METFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTSAKVDYEKIVRSTCREIGFISADVGLDADKCNVLVNIEQQSPDIAQGVHGHLTKKPEDIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYKNDGGAMIPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPAKYLDDNTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVAAGLARRCIVQVSYAIGVPEPLSVFVDTYKTGTIPDKDILVLIKEAFDFRPGMMAINLDLKRGGNFRFQKTAAYGHFGRDDPDFTWEVVKPLKPKA >scaffold_402311.1 pep chromosome:v.1.0:4:17547121:17547364:1 gene:scaffold_402311.1 transcript:scaffold_402311.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LJ86] MKAREKKERDLNGEQVRKTRRVTRSLFVCPLFSRASPLFRLYCCPSYDHVFSGVQCYHVFTPLIF >scaffold_402316.1 pep chromosome:v.1.0:4:17566002:17566428:-1 gene:scaffold_402316.1 transcript:scaffold_402316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTTTSGQECNVVKSQIKHCEEHNRQRWLLSQLLNVVSPDGPNTFFFFFCQHSNVV >scaffold_402318.1 pep chromosome:v.1.0:4:17581711:17586803:1 gene:scaffold_402318.1 transcript:scaffold_402318.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPGP1 [Source:UniProtKB/TrEMBL;Acc:D7LJ93] MENDGGAPPPPPTLVEEPKKAEIRGVAFKELFRFADGLDYVLMGIGSVGAFVHGCSLPLFLRFFADLVNSFGSNANNVDKMMEEVLKYALYFLVVGAAIWASSWAEISCWMWSGERQTTKMRIKYLEAALNQDIQFFDTEVRTSDVVFAINTDAVMVQDAISEKLGNFIHYMATFVSGFIVGFTAVWQLALVTLAVVPLIAVIGGIHTTTLSKLSNKSQESLSQAGNIVEQTVVQIRVVMAFVGESRASQAYSSALKIAQKLGYKTGLAKGMGLGATYIVVFCCYALLLWYGGYLVRHHLTNGGLAIATMFAVMIGGLALGQSAPSMAAFAKAKVAAAKIFRIIDHKPTIERNSESGVELDSVTGLVELKNVDFSYPSRPDVKILNNFCLSVPAGKTIALVGSSGSGKSTVVSLIERFYDPNSGQVLLDGQDLKTLKLRWLRQHIGLVSQEPALFATSIKENILLGRPDADQVEIEEAARVANAHSFIIKLPDGFDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLIIAHRLSTIRKADLVAVLQQGSVSEIGTHDELFSKGENGVYAKLIKMQEAAHETAMSNARKSSARPSSARNSVSSPIMTRNSSYGRSPYSRRLSDFSTSDFSLSIDASSYPNYRNEKLAFKDQANSFWRLAKMNSPEWKYALLGSVGSVICGSLSAFFAYVLSAVLSIYYNPDHEYMIKQIDKYCYLLIGLSSAALVFNTLQHSFWDIVGENLTKRVREKMLSAVLKNEMAWFDQEENESARIAARLALDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLVAVFPVVVAATVLQKMFMTGFSGDLEAAHAKGTQLAGEAIANVRTVAAFNSEAKIVRLYTANLEPPLKRCFWKGQIAGSGYGVAQFCLYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGQAMRSVFELLDRKTEIEPDDPDTTPVPDRLRGEVELKHIDFSYPSRPDIQIFRDLSLRARAGKTLALVGPSGCGKSSVISLIQRFYEPSSGRVMIDGKDIRKYNLKAIRKHIAIVPQEPCLFGTTIYENIAYGHECATEAEIIQAATLASAHKFISALPEGYKTYVGERGVQLSGGQKQRIAIARALVRKAEIMLLDEATSALDAESERSVQEALDQACSGRTSIVVAHRLSTIRNAHVIAVIDDGKVAEQGSHSHLLKNHPDGIYARMIQLQRFTHTQVIGMTSGSSSRVKEDDA >scaffold_402319.1 pep chromosome:v.1.0:4:17588718:17589346:1 gene:scaffold_402319.1 transcript:scaffold_402319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTKSCVCLQGSFEHFPCRHGRCINGVVYYEAWLKPDCTQRFVMSFHVRSEKFNMIKVSWRGPRGLLLAYQGKLAYHRSSHHDAFISLWVLEDAERHEWSFKHFSLPFPLKDPISKTTLCLGSVTDAGEFIYVPQNLLGPFHALYFDPKTNTVRRVIYQGVADDEFRRRHGLGNKTLEGLHIFPDHIESLLSM >scaffold_402323.1 pep chromosome:v.1.0:4:17622280:17625987:1 gene:scaffold_402323.1 transcript:scaffold_402323.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tsl-kinase interacting protein 1 [Source:UniProtKB/TrEMBL;Acc:D7LJ99] MLKQFAHYCEMQAELKPEGPNGEGRLSNQNSNPNLLSSASITVAQFPAKKPTRQWAAWTHQEEESFFTALRQVGKNFEKITSRVQSKNKDQVRHYYYRLVRRMNKLLGPELSLDAKNPKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKLFIEALEHQLLKDRRKSIRKRACQGENLSSASLGNISSHSRERGLDNRPFKLILSDGQNVKKLGPGRASTKHGESLGVILGEEKEDTAFGRGGRQRRKQGYRKWEKAAIDGVSLVADAAEHLERTSIDKDMDDQTELGPSRYLTGKSPLSLCSSGDILLSDTNMQFSAKLKLQLFPIDECTRRSLEMDKHNPHLELTLSNRKKISSVLEHLNRKWGSASCATGELLLFPYNARKETVTCHQRWTHDSFLSAAEVHSMVGSPSVFRLRYGWFVHDASGSIISQVPTSDPCPSLEDDMNVDGVNEVNMLLTESGPLSVHSTAEQATSVEPSQGLVCASGEIHDHPAESRDDYEAASTTITPLEHLSSGNAQSAGEWADSLTNISIGDLLSEVPDDIDSDGVDPPATEGSHYLLRDVPFASDSFDAAIAAHILRHQNKPSALLPLTSGSSSLWDDEETRDAFSFQKNRLADSTELASVASHRVNGEPSQLVEVLSGDEGSCNPPDHGDPMEEGPTDPQTMDSPGKTPCGLADVYWPDSLGPLDLDIRSSKYTEDLILSESLGGLSRLIATSLDAFQNCSLFGFDNKKDKSNMV >scaffold_402332.1 pep chromosome:v.1.0:4:17660022:17661394:1 gene:scaffold_402332.1 transcript:scaffold_402332.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJA8] MSRAGSVGKETRTVHCSTCGVMMRQSSIYPNDDPHERKTDIADISLVKEAIFHAMDSITNEKVPRNILLVSNDKDFKCTLEALKLRGFTVMAAIEAKTPNHMYADICGNGTWCWKEMEKGAASDQSRLPYFLPSASPGA >scaffold_402334.1 pep chromosome:v.1.0:4:17666820:17667771:-1 gene:scaffold_402334.1 transcript:scaffold_402334.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJB0] MENEDHDGPWSFPKKPVRARNLVAPDPARDPALNKDITKAVTVFIDMDTTQLPDDHGRLNDLRNQIENALKTCDPTLHVSYQIYCYGIDNEYNKSCRTFLKTRGYEFKLNPSRGSYCGKPACGTCQDDQLYNLPSFVPFHYFLFSCLTYFIFAVKRYPKKDLTELIMTQSVLLHAFDSLLQSHSSRHILLVSGDGNSGSLRKGLTKRNFITYAAVCGDSKLSLFIDMNHTWYWSRMVEGGLSIQREIDQEEAEG >scaffold_402340.1 pep chromosome:v.1.0:4:17713340:17713571:1 gene:scaffold_402340.1 transcript:scaffold_402340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJN7] MQPCISLVRGWPCSEESRQRSSQRQIKTTVAAAINKAKRRQVRQKVDDGGVAGERKMCRRETE >scaffold_402342.1 pep chromosome:v.1.0:4:17727879:17729387:1 gene:scaffold_402342.1 transcript:scaffold_402342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQAKSPGGCGSHESGGDQSPRSLHVREQDRFLPIANISRIMKRGLPANGKIAKDAKEIVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYMEPLKVYLMRYREMEGDTKGSAKGGDANAKKDGQSSQNGQFAHQGSFSQGPYGNSQAQQHMMVPMPGTD >scaffold_402345.1 pep chromosome:v.1.0:4:17740716:17742344:-1 gene:scaffold_402345.1 transcript:scaffold_402345.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:D7LJP1] MGSLERSKKKAQVWKKAVIQFSLCFVMGFFTGFAPAGKASFFSNSETTPYTSTKSQISAQPFENSTYTSHSLLNRTLTNSQGQAPAPAEWREAEAETRSLSETEDENQVKVTPRGLVIVVTPIITKDRYKNVLLRRMANTLRLVPPPLLWIVVEKHSDAEEKSSSTMLRKTGIMYRRIVFKEDFTSLESELDHQRNLALRHIEHHKLSGIVHFAGLNNIYDLDFFDKIRDIEVFGTWPMALLSANRKRVIVEGPVCESSQVLGWHLRKINNETETKPPIHISSFAFNSSILWDPERWGRPSSVEGTKQDSIKYVKQVVLEDDTKLKGLPAQDCSKIMLWRLNFPTRTRLSP >scaffold_402347.1 pep chromosome:v.1.0:4:17748755:17750086:1 gene:scaffold_402347.1 transcript:scaffold_402347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDSREAQRGDEHEESSPLLQGKENDGKICSKGDAKAASLVPPPAAEEYGWTADGLPVSQGSVIGEPIRRNQWNSGLFTCLGRNDEFCSSDLEVCLLGSVAPCVLYGTNAERLGSAPGTFSNHCLTYLGLYFVGNSLFGWNCLAPWFSYSSRSAIRRKFNLEGSFEAMNRSCGCCGSCIEDEMQREHLETTCDFVTHVLCHTCALCQEGRELRRKVLHPGFNAQSTVVVMPPREQTMGRK >scaffold_402348.1 pep chromosome:v.1.0:4:17750606:17751400:-1 gene:scaffold_402348.1 transcript:scaffold_402348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGSAGKAAVEAKGLNPGLIVLLVVGGLLVTFLIANYVLYMYAQKNLPPKKKKPLSKKKLKREKLKQGVPVPGE >scaffold_402354.1 pep chromosome:v.1.0:4:17779488:17780657:1 gene:scaffold_402354.1 transcript:scaffold_402354.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin [Source:UniProtKB/TrEMBL;Acc:D7LJQ0] MAKDVGGPDGFQTRDYEDPPPTPFFDAEELTKWSLYRAVIAEFVATLLFLYVTVLTVIGYKYKIELTVAALEFLASRGHINPAVTFGLFLARKVSLIRAVLYMVAQCLGAICGVGFVKAFQSSYYVRYGGGANSLADGYNTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIFNKSKPWDDHWIFWVGPFIGAAIAAFYHQFVLRASGSKSLGSFRSAANV >scaffold_402355.1 pep chromosome:v.1.0:4:17781247:17781788:-1 gene:scaffold_402355.1 transcript:scaffold_402355.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L12 [Source:UniProtKB/TrEMBL;Acc:D7LJQ1] MPPKLDPSQIVDVYVRVTGGEVGAASSLAPKIGPLGLAPKKIGEDIAKETAKEWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKVKNIKHNGNISFDDVIEIARIMRPRSIAKELSGTVREILGTCVSVGCTVDGKDPKDIQQEIQDGEVEIPEN >scaffold_402356.1 pep chromosome:v.1.0:4:17782056:17783128:1 gene:scaffold_402356.1 transcript:scaffold_402356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSERKTILVGLVLALVLGIAVYLRLWTIDYTLSSDDTERLRRQFDLANREAMDESAEWRRMFDKEAEKASKCNTELALIKQSSGNGNTFNQKLESLQKENAALLIRIETLKQELEASRLKCHSRQSPR >scaffold_402357.1 pep chromosome:v.1.0:4:17784313:17785356:-1 gene:scaffold_402357.1 transcript:scaffold_402357.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:D7LJQ3] MMNVSRPVIHPVDALPVAPTTGAIDRPPVRMKDVQGMPGTTGGLILRLSQFVPALISVSVMVTTSDFRSATAFCCLVLAVSLQSMWSLSLFLVDAYALLVRRSLRNHSVVQCFTIGDGVTSTLTFAAASASAGITVLINDLGQCNVNHCTRFETATAMAFISWFAVSPSFILNFWSLATH >scaffold_402360.1 pep chromosome:v.1.0:4:17803700:17806014:-1 gene:scaffold_402360.1 transcript:scaffold_402360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LJQ6] MAFISRSKPYQSRARVYLSLPRSSDSSFFSFPRLFSSIEETQTSGDANPETQSPDAKPETKNLGSTETRPLRERFQRGKRQNHEKLEDTICRMMDNRAWTTRLQNSIRDLVPEWDHSLVYNVLHGAKKLEHALQFFRWTERSGLIRHDRDTHMKMIKMLGEVQKLNHARCILLDMPEKGVPWDEDMFVVLIESYGKAGIVQESVKIFQKMKDLGVERTIKSYNTLFKVILRRGRYMMAKRYFNKMVSEGVEPTRHTYNLMLWGFFLSLRLETALRFFDDMKTRGISPDAVTYNTIINGYCRFKKMDEAEKLFVEMKGNNSEPSVVTYTTMIKGYLSVDRVDDGLRIFEEMRSFGIEPNATTYSTLLPGLCDVGKMVEAKNILKNMMAKHIAPKDNSIFLKLLVSQSKAGDMAAATEVLKAMATLNVPAEAGHYGVLIENQCKASAYNRAIKLLDTLIEKEIILRHQDTLEMEPSAYNPIIEYLCNNGQTAKAEVLFRQLMKRGVQDQDALNNLIRGHAKEGNPESSYEILKIMSRRGVPREANAYELLIKSYMSKGEPGDAKTALDSMVEDGHVPDSALFRSVIESLFEDGRVQTASRVMMIMIDKNVGIEDNMDLIAKILEALLMRGHVEEALGRIDLLNQNGHTADLDSLLSVLSEKGKTIAALKLLDFGLERDLSLDFSSYDKVLDALLGAGKTLNAYSVLCKIMEKGSSTDWKSSDELIKSLNQEGNTKQADVLSRMIKKGQGIKKQNTASL >scaffold_402370.1 pep chromosome:v.1.0:4:17835799:17837848:-1 gene:scaffold_402370.1 transcript:scaffold_402370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LJR5] MKLGFEIQRALQGLLNKAAVDGGAYGHLIQHFTRHRLPLHALQLHARIVVFSIAPDNFLASKLISFYTRQNRFHQALHVFDEITVRNAFSYNALLIAYTSREMYFDAFSLFLSWIGSSCYSSGAARPDSISISCVLKALSGCDDFWLGSLARQVHGFVIRGGSDSDVFVGNGLITYYTKCDNIESARKVFDEMSDRDVVSWNSMISGYSQSGSFEDCKKLYKAMLGCSDFKPNEVTVISVLQACGQSSDLVFGMEVHKKMIENHIQMDLSLCNAVIGFYAKCGSLDYARALFDEMSEKDSVTYGAIISGYMAHGLVKEAMALFSEMESIGLSTWNAVISGLMQNNHHEEVINSFREMIRCGSRPNTVTLSSLLPSLTYSSNLKGGKEIHAFAIRNGSDNNIYVTTSIIDNYAKLGFLLGAQRVFDNCKDRSLIVWTAIITAYAVHGDSDSACSLFDQMQCLGTKPDNVTLTAVLSAFAHSGDSDKAQHIFDSMLTKYNIEPGVEHYACMVSVLSRAGKLSNAMEFISKMPIEPIAKVWGALLNGASVLGDVEIARFACDRLFEMEPENTGNYTIMANLYTQAGRWEEAEVVRDKMKRIGLKKIPGTSWIETNKGLRSFIAKDSSCERSKEMYDIIEGLVESMSDKEYIMKQELDEAYAFSCHGLLKELL >scaffold_402372.1 pep chromosome:v.1.0:4:17840019:17841039:1 gene:scaffold_402372.1 transcript:scaffold_402372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYWEDFFKDYEWLIVFLKGMVKPAAALVVVLLAVVLSYSQNLSLEGEMIYSVFRSFLQLSVIGFVLQFIFNQENSGWIVLAYLFMVSVAGYTAGQRAKHVPRGKYVAGLSILAGTSITMILLVVLNVFPFTPRYMIPIAGMLVGNAMTVTGVTMKQLRDDIKMQLNLVETALALGATPRQATLQQVKRALVISLSPVLDSCKTVGLISLPGAMTGMIMGGASPLEAIQLQIVVMNMMVGAATVSSITSTYLCWPSFFTKAYQLQTHVFSSN >scaffold_402379.1 pep chromosome:v.1.0:4:17898938:17899628:1 gene:scaffold_402379.1 transcript:scaffold_402379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIGLSTGSYLVTSVHGILALDLHKEEFHYVSVPDKWVKQSTLIANLDDRLTIANTPTSVYSDWRLEICSMNAHEEIWSKTYSISLDGLLAFKLPRSRWCMWFTPLAVSKEGDLIFYNNYQWLFKYCPETRELHCLSSDICVISPYLENLVPLRSESGHHPDPNDNKVRTSSCGFFTKHPEPGSGISKFFKRIGLGIPEIVFTTLVIVHIWITL >scaffold_402384.1 pep chromosome:v.1.0:4:17920020:17921704:1 gene:scaffold_402384.1 transcript:scaffold_402384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKRRNTAKDKGKAPATDVDLPENFPYQFTWELSQMSKLVLLEVLDQGKMGYCWTIAYNRMIGAHLYIHDRTRLLLELSPKHLFAHIEEKFANGNLKSYEGLKNFLKDDGLVREEDCKCIAGKNEQSSTDACDKVKDKQVFKIRDLKVVEGKNVDEKEVIFLLKTIGPIAVELEFTTAYIADKTGNIYYGPPQHIKPFVLRKHIVLLTKYSTDRNGISYFKFQNSYGRGWGDNGYGKFARKVSLPKGSQSLIKSYMYPELLDQNIEM >scaffold_402391.1 pep chromosome:v.1.0:4:17969069:17969797:1 gene:scaffold_402391.1 transcript:scaffold_402391.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJU0] MNYGGFMLLRSRAPQKLNGAILVSTTISKSDDEAELYRSADSIFDCTRTLDGSIPVVSIPMDFDSVSCTSSTSWETDTDPDNSSDPGNLDLSSLTFE >scaffold_402392.1 pep chromosome:v.1.0:4:17971400:17973233:1 gene:scaffold_402392.1 transcript:scaffold_402392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRGDKDKRVRRMLFDMITFASSCDDGKQNNVMVISKTPLTDECFRVLGSLEARGFNVLLVQYDDEAELFRSADAIFDSTTLLDGSRPMDFDSVSYSSLGSWETDYDPGNCTDTGFEEHKIRMPSVPSKLLLLSLIPSLTPYHSGLSSNRLKPLEKCDWRGNLS >scaffold_402393.1 pep chromosome:v.1.0:4:17973489:17974514:1 gene:scaffold_402393.1 transcript:scaffold_402393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCGKLDFQDADFDISSPTQCSKGSKHTSFTASEDSQESDGDETGYIDPTAYEETAVQDFGKSTLSPNSLDDEDKDENLTTQTPIVLIPAIKGSREKHGLSLRKSSVSWAADVYDPPPSIASHTVTRSKKQQQKSKSKDNHRKTGKKGQKSKDNSSSRGGSSKDKKQASRKHSRDKFEWVTQMPIVAASS >scaffold_402395.1 pep chromosome:v.1.0:4:17977990:17979692:-1 gene:scaffold_402395.1 transcript:scaffold_402395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSSFRRLVAGSNSLLSSPSFCVRRCSTLTSPRLFVSGLSRLTTNEKLQDAFASFGQLVDARVITDRETGRSKGFGFVTYATIEDAEKAKAEMNAKFLDGWVIFVDPARPREPRRPLQREPPRSSSGSGFTTNKTIGWCE >scaffold_402397.1 pep chromosome:v.1.0:4:17987338:17987756:1 gene:scaffold_402397.1 transcript:scaffold_402397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHLVSSLTHRFAWRIPIFVYGATWTLFLTMTVAIISLAPEFAFVSAIFPSSSSSVEFSRRCGSYAAVLVPLDLPSEVLCLPANLFRRSKMDLVVPPVFAAIVVALSAVVVRTMGLWEAEEAH >scaffold_402398.1 pep chromosome:v.1.0:4:17988418:17990707:-1 gene:scaffold_402398.1 transcript:scaffold_402398.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LJU7] MGLYGVMTGKKGKSGFGSASTAEDVTHSIDASHLTAIITGGTSGIGLEAARVLAMRGAHVIIAARNPKAANESKEMILQMNPNARVEYIQLDVSSIKSVRSFVDQFLALNVPLNILINNAGVMFCPFKLSEDGIESQFATNHIGHFLLTNLLLDKMKSTARESGVQGRIVNLSSIAHTYTYPEGIKFQGINDPDGYSERRAYGQSKLANLLHSNALSRRLQEEGVNITINSVHPGLVTTNLFRHSGFSMKVFKAMTFLLWKNIPQGAATTCYVALHPDLEGVTGKYFGDCNIVTPSKFATNNSLADKLWDFSVKLIDSVSK >scaffold_402404.1 pep chromosome:v.1.0:4:18038983:18040336:1 gene:scaffold_402404.1 transcript:scaffold_402404.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LJV7] MVFSSIQAYLDSSNWQQAPPSNYNQDGVGAPETGGHVLRPQLQPQQQPNPNGSVGGGGSGGGSIRAGSMVDRARQANVALPEAALKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNRRTKSSSNNNNNSTATSNNTSFSSGNASTISSILSSHYGGNQESILSQILSPARLMNPTYNHLGDLTNNTKTDNNMSLLNYGGLSQDLRSIHMGASGGSLMSCVDEWRSASHHQQPSLGGGNLEDSSNPNPSSNGFYPFESPRITSTSISSALASQFSSVKVEDNPYKWVNVNGNCSSWTDLSAFGSSR >scaffold_402408.1 pep chromosome:v.1.0:4:18052904:18054501:-1 gene:scaffold_402408.1 transcript:scaffold_402408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWSGEEDALLRAYVRQFGPREWHLVSERMNKPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVIRLQEKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREEKESNKRVEPIDESKYDRILESFAEKLVKERSNVVPAAAATAATVVMANSNGGFLHSEQQVQPPNPVIPPWLATSNNGNNVVARPPSVTLTLSPSTVAAAAPQPPIPWLQQQQPERAESGPGGLVLGSMMPSCSGSSESVFLSELVECCRELEEGHRAWADHKKEAAWRLRRLELQLESEKTCRQREKMEEIEAKMKALREEQKSAMEKIEGEYREQLVGLRRDAEAKDQKLADQWTSKHIRLTKFLEQHMGCRLDRP >scaffold_402409.1 pep chromosome:v.1.0:4:18055062:18055324:-1 gene:scaffold_402409.1 transcript:scaffold_402409.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJW2] MQYSPNFYSSYSITKVTNDHQSLATAIKLCETCEYHTKPEKHCESCIHQSKLHKLCNNQDTAAASAQLKRTLK >scaffold_402410.1 pep chromosome:v.1.0:4:18056432:18058366:-1 gene:scaffold_402410.1 transcript:scaffold_402410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXPA3 [Source:UniProtKB/TrEMBL;Acc:D7LJW3] MTATAFGIGLWLAVTASFLFTASNAKIPGVYSGGPWQNAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGFSCGACFEIKCTDDPRWCVPGNPSILVTATNFCPPNFAQPSDDGGWCNPPREHFDLAMPMFLKIGLYRAGIVPVSYRRVPCRKIGGIRFTVNGFRYFNLVLVTNVAGAGDINGVSVKGSKTDWVRMSRNWGQNWQSNAVLIGQSLSFRVTASDRRSSTSWNVAPSTWQFGQTFSGKNFRV >scaffold_402413.1 pep chromosome:v.1.0:4:18077776:18078892:-1 gene:scaffold_402413.1 transcript:scaffold_402413.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:TAIR;Acc:AT2G37660](projected from arabidopsis_thaliana,AT2G37660) MAMMTTTTTFFHPLLPANTCKSGAVSSSFVSVPRSSSLQFRSLVSDSTSICGRRKFTGKNRRVSITVSAAATNEPLTVLVTGAGGRTGQIVYKKLKERSDRFVARGLVRTKESKEKINGEDEVFIGDIRDPAAIAPAVEGIDALVILTSAVPQMKPGFDPSKGGRPEFFFEDGAYPEQVDWIGQKNQIDAAKAAGVKQIVLVGSMGGTNINHPLNSIGNANILVWKRKAEQYLADSX >scaffold_402414.1 pep chromosome:v.1.0:4:18079629:18080086:1 gene:scaffold_402414.1 transcript:scaffold_402414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRDLAVGTVAVASLLTAAMLGKEVNAVENPKIYLHLAFTATFSARPEHFCPIHLNRACSPDSSKPVLASYGT >scaffold_402416.1 pep chromosome:v.1.0:4:18095108:18096067:-1 gene:scaffold_402416.1 transcript:scaffold_402416.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKC2] MDDLTFGDLSFEEMTFGDLIRLRSRNQHFVMPKAKREELAALEKMGYRCSDLEIFAYGEKIKEATELNELFDAGIKYSNHKVPYIEMLRDIVGSNHKSSSNLMVISKSHPQDTESRRVLRALNSRGSHVLLVQPQPESQASEQLFHRPDLLCCSTYLLDGRKAMDHKRGTPSPQVFTSPLCRSNFQDRSNKQDFSGMISKLLVQISSGHLY >scaffold_402417.1 pep chromosome:v.1.0:4:18098188:18098413:-1 gene:scaffold_402417.1 transcript:scaffold_402417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSTMLELLQNFRELTEVGLNVDTAPVIPVQESVVFYSGQGHCSLSNITRLTLEVIEII >scaffold_402422.1 pep chromosome:v.1.0:4:18115633:18119205:1 gene:scaffold_402422.1 transcript:scaffold_402422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNWVQASSSDFSGTPPQARRLGDFCVLDTDIWQWSELTSFGDLPTARDFAAASAIGNQKIVLKSVKHLDGVWTQLKLPGQAPSSRCGHTVTSGGHYLLLFGGHGTGGWLSRYYVYYNDTIILDRAYHTMTGIDGKLTFGDLWWLVPEDDPIAKRSSVPQLINPPEINESERELDKQERGQEGYSIVELQKKMGISVSSGLRLQSPNESEDQEFVELGTRLIEGDVADSDWKESTPRTLQLKELGSLLGDYQRLVTRKFATQSSLTSADFGLPGTKTFMFYHIKSSSQEIPHDFLL >scaffold_402427.1 pep chromosome:v.1.0:4:18141687:18141882:1 gene:scaffold_402427.1 transcript:scaffold_402427.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKD2] MSKSLSTVGLSVGRHVRHRVQKSCAAGERDTLLFSTRYSFPSHEAHPQAS >scaffold_402428.1 pep chromosome:v.1.0:4:18143560:18144497:-1 gene:scaffold_402428.1 transcript:scaffold_402428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVIGDLTESSLEIVSFLGKGIYGSVSTKNDSNLEKSYTKKTSTLKHSENLERELKTMLHFHANPFIVQASCPHLHFKFNTKSATLCYIYMEYASLGNLDKMISDSGGRLPEDTVRRATRMILQGLKALHSEGYVHCDFKPSNVFVFPSNTPGEPWDLKLTGFGLSKEPTMDSSLLFPGTPEYMPPEALAPKTFFGPDRLIGPARDVWSLGRTVLKMLGANPQKMGASIVWRIEYPISVVAAHFWRQCCTSRPTDRPTVDELLDHPFVADKLTSFVKERLYNIYEEVNQKRQSLDW >scaffold_402429.1 pep chromosome:v.1.0:4:18148014:18148419:1 gene:scaffold_402429.1 transcript:scaffold_402429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFCHWRFPPSLAVARMLSSPPPLHSHSPFSGGVGNSSSIGGNSKPELLFPQSQPQNLSSSPSSSLKSTVACSNAGAIRRSMTTVSQSFSDRTESSDSDLGSLVVVSFYKFADFPDHAXX >scaffold_402430.1 pep chromosome:v.1.0:4:18148663:18149800:1 gene:scaffold_402430.1 transcript:scaffold_402430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSQSFSDRTESSDSDLGSLVVVSFYKFTDFPDHRVSGGIILAPEGINGSICGIRESVERVLAFIQSDIRLNGLRQVETPVSPEQEAIHHGHSSSSPLAAGEDAPFRWDHVRVKLKKEIVTLGMPSVSPIERVGTYVSPEEWNELISDPETVVIDVRNTYETRIGKFKGAVDPCTTAFRNFPTWVENQFALKQEGNETQANVEQEECSETTEKPKTLPRIAMYCTGX >scaffold_402431.1 pep chromosome:v.1.0:4:18157379:18159243:-1 gene:scaffold_402431.1 transcript:scaffold_402431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKQSKPQRSVGLITQTGSESDRKDLPGDEAEGSGEKNVEDIDKPYYVNICSTSRISEQQQHFDIAEVVLTNFSLRERVGSSSTVTTPIEVDHDLDCSLRFRLCNVTNFVDRIKLGHWPVLSSSDITLELVDNKVSDDEAGSVIWSASFDGPGEGVSGLAHLASIKFLTLRLMPGNQGLLSPRVRVEMLQQAFDSCDSLLENTRQIWKKSMIHVMSWLRPEVMTSEARYGTRFNVKDIESSVASEAETLDSSKQSGFDAAAFYEAIKPSKTNTMLGDDITDLLPELRPYQRRAAYWMVQRERGDPITVGDKEDNQFSSPLSISVGFLDSATKMFFNPFSTNAADEMGLGKTVELLACIFSHRKPAEDEISVSNGSSFTDDLNAGLRRLKRERVECICGAVSESRKYKGVWVQCDLCDAWQHADCVGYSPKGKAX >scaffold_402433.1 pep chromosome:v.1.0:4:18164987:18165243:-1 gene:scaffold_402433.1 transcript:scaffold_402433.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKD5] MMMMVVMLGHCLHGIQGKLTVSSYLTISYINERERGETERVRETESEMVTCVILCCVFQVCFWGMIRIDL >scaffold_402435.1 pep chromosome:v.1.0:4:18176614:18180750:1 gene:scaffold_402435.1 transcript:scaffold_402435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCWFLLRNLIRSPKVISSKCFRKALGIDTRHYNAWFGLGRIYLCQEKFEFAQHQFQLALQINPRSSVIMCYYGIALHESKRNNEALRMMEKAVLTDAKNPVAKYFKANILNSLGDYHKAQKVLEELKECFPQEGSVHALLGKTYNQLKQYDKALLHFGIALDLSLHGEVDRASRAEDGGEFVDSSCRLLADFKATLKRGKNLMFHNRRRVPVTASAVTSHPQKEYEFSCSDTPNYTFPSNMAASKKKSHHNSLFSYGHAPPTLDDDTSVSRAVLELLNSGGNHDQGNNTPPLSVEALTALSTYLPGFGRSNPSVRPLRVTDSPFPLREDCDVANGYVDKAADEFVKKFYKNLNQQKKMIESSTN >scaffold_402437.1 pep chromosome:v.1.0:4:18188045:18188270:-1 gene:scaffold_402437.1 transcript:scaffold_402437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSTMLELLQNFRELTEVGLNVDTAPVIPVQESVVFYSGQGHCSLSNITRLTLEVIEII >scaffold_402439.1 pep chromosome:v.1.0:4:18190612:18191325:1 gene:scaffold_402439.1 transcript:scaffold_402439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNWVQASSSDFSGLLRRRGDESDGQVGPTPPAFHVAITIDCHMFIFGLEIDS >scaffold_402441.1 pep chromosome:v.1.0:4:18196757:18197406:1 gene:scaffold_402441.1 transcript:scaffold_402441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNVSIGKKLLADFKATLKRGKNLMFHNRRRVPVTASAVASHPQKEYEFSCSDTPNYTFPSNMAASKKKSHHNSLFSYGHAPPTLDDDTSVSRAVLELLNSGGNHDQGNNTPPLSVEALTALSTYLPGFGRSNPSVRPLRVTDSPFPLREDCDVANGYVDKAADEFVKKFYKNLNQQKKMIESSTN >scaffold_402443.1 pep chromosome:v.1.0:4:18205593:18206574:-1 gene:scaffold_402443.1 transcript:scaffold_402443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITDDPNGEYRLRSINFGVEKLLSKCKIWLSVLALSKDTALPKLGLTDLIQMWSFGLEHEIRMYHLLFQFILPSSIKHPHLTVDSEMHLADALLIWLDAGRRMSDFSESSSSQDNTINLMEQLTFRRSVTYAEITFSETFRTKLVYTFFALWNSGPRFKKQMILSLRLLSKCKSWLSVLASSNDTALPKLELSDLIQIWSFGLEHG >scaffold_402445.1 pep chromosome:v.1.0:4:18224307:18224547:1 gene:scaffold_402445.1 transcript:scaffold_402445.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKE9] MDITFVTFIVLACFAVILLVCFCVSGCNNWEEEKNLSDAVDLENSQTRTKDVPSLTSSGHHPSSSS >scaffold_402451.1 pep chromosome:v.1.0:4:18241705:18242083:-1 gene:scaffold_402451.1 transcript:scaffold_402451.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKF5] MAGEGTLFALIHNSAQYVDNSVCFAFGCRFPGFSCRRPCERVSICISNVLEKAYFYIFSPLIMVLSIRIK >scaffold_402454.1 pep chromosome:v.1.0:4:18249135:18251832:-1 gene:scaffold_402454.1 transcript:scaffold_402454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFDPFKLRHKPPSIFPATTVKPSHVLSLVAKFFFTICIVIFFAMIFFYIIFSGCSDCHHINGHRRLGPDNVNSTTNSSASSLNILGQNQSSEATDISHIVFGIGGSIQTWRDRSRYSELWWRPNVTRGFVWLEEEPPLNMTWLPTSPPYQVSADTSRFNYTCWFGSRSAIRMARIIKESFGLGLTNVRWFVMGDDDTVFFVDNLVTVLNKYDHNQMYYIGGNSESVEQDIVHSYAMAYGGGGIAISYPLAVELVKILDGCIDRYASLYGSDQKIEACISEIGVPLTKELGFHQVDIRGNPYGLLAAHPVAPLVTLHHLDYVDPIFPATTQIDALRRLVSAYKTDPRRILQHSFCHDPTRDWFVSVSWGYTIQIYPSLVTAKELETPFLTFKSWRTSSSEPFSFDTRPISEDPCERPIVYFLDRVYEVGSGQTLTTYRKHVDVGETQCKSLDYSRANSVEFIDVSATTWMPDLWKMAPRRQCCEVVNSEEDSESVINVKIRHFNPFESVTPQSKHPSDEWTDSVLSIETTI >scaffold_402455.1 pep chromosome:v.1.0:4:18256191:18257151:-1 gene:scaffold_402455.1 transcript:scaffold_402455.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LKF9] MEKPGGFWIPKTSNKESSWEELAFAEDDAAGSLWPPRSYTCSFCRREFKSAQALGGHMNVHRRDRARLKQADDQYLFPKYPSSPEYPSHKDNDNIHETSSYTLVFNSKPNYFKTQHSCVIDLSSSSSLPYLTPSRVSSGSPGKQKTSSSSPPYFVVEPSKNSKKIPSSSPWSFPSTFVEQKRCDLYEIPATEGEKKRKTESDVPKIGHKAKISLGNTTDLSVSMNLVIHQSFPITAHGSDEEIGRGDIRKRRRRRHESPSQQSVLISSLSCKSDIITRNEESKHKGDRLEDLDLELRLGIDPPKGN >scaffold_402457.1 pep chromosome:v.1.0:4:18263258:18265035:1 gene:scaffold_402457.1 transcript:scaffold_402457.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LKP7] MAAPIRFFELNTGAQLPCIGLGTYAMVATTIEHAIKIGYRHIDCASIYGNEKEIGGVLKKLIGDGFVKREELFITSKLWSNDHLPEDVPKALDKTLQDLQIDYVDLYLIHWPASLKKESLMPTPEMLTKPDIPSTWKAMEALYDSGKARAIGVSNFSSKKLIDLLNVARVAPAVNQVECHPVWQQQGLHELCKSKGVHLSGYSPLGSQSKGEVRLKVLQNPIVAEVAEKLGKTTAQVALRWGLQTGHSVLPKSSTEARLQENLDVFDWSIPEDLFAKFSNIPQEKLCRGAEFAHETHGFYKTIEELWDGEI >scaffold_402470.1 pep chromosome:v.1.0:4:18308996:18309209:1 gene:scaffold_402470.1 transcript:scaffold_402470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKP9] MAANVMIVESVHMLKKIKAQVVADAGGVPLMVNPALNSALCIQTSRVAYSLVVRRQ >scaffold_402483.1 pep chromosome:v.1.0:4:18355469:18356460:1 gene:scaffold_402483.1 transcript:scaffold_402483.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKR1] MNRRRKARRLAIQQEKQQASTQPSSQNTKSREHKIKEKNPTEIESGDKEGDKKGLQRLAEMVQKIDEKIDHSENTIQTVVSSVKEWSLNNFNSVNSRIRQTQKNVSTLSQAINEELSLSRQNRSAQAAADAANSSRLISLGQDSSLRMLQYLTTSINSTTSDMHGKVGCMSDLILGMDPSDRKFVDRAQPILRQADHVLERLLNTTRGSPVAIIQSLRVSLKVLLVDDGFYELL >scaffold_402484.1 pep chromosome:v.1.0:4:18357488:18357928:1 gene:scaffold_402484.1 transcript:scaffold_402484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAEKLKNFGLIKDEKLPSYLVGEIICRLPTKSLARFRVVSKTWNAFWEDKSFSKKYLARTQPQFIIWTNSQICSVGINDDDGDDPKLEVRDIS >scaffold_402486.1 pep chromosome:v.1.0:4:18362401:18364704:-1 gene:scaffold_402486.1 transcript:scaffold_402486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNRSKTSERIIGNGEVTPIQVAFLVDRYLCDNRFLKTRSLFRSEASSLISNSPVREVPNSLLPLNEILNEYIRLKKEKMMMDQEKTKLDQEKTRVQNLLNGMQDVMNAYNSTVIAAPPPPPPAIAAAASKEVQVVASSSIQNNFGVSSSGCTVYNTANVMPVSLPGNKRVGNFTAPCISQSITKKRKSPEVSLGAPSVTRKGMKKMPQADKVTNYLTFQTPSEMQTPVNNGVANESSDLTSSVAKCLFDKSGTSPPTNSACPRTPQQKVSPQSDKEVTPTNCTIVTKERITVSPLKQIASYTVERSHTVSSFSPVKSNLKMSSKRDHVKGRLNFDDTEATMHLDAPATADIVSTSSSGSEAEADLFDIDFSNIDLLSEDFSFSELLVDFDLGCEGMPDPCLPQPSNFHIENASGSSPESRNTNLEPDQVVSEYTSTVTEMIQGKDMNTQGSDSMTTVKSITKCLQILSPAKNCRQRVTID >scaffold_402487.1 pep chromosome:v.1.0:4:18366423:18367140:1 gene:scaffold_402487.1 transcript:scaffold_402487.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soul heme-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7LKR5] MGIVFGTTYIMGMVFGKIVVETPKYTVTKSGDGYEIREYPPAVAAEVTYDASEFKGDKDGGFQVLAKYIGVFGKPENEKPEKIAMTAPVITKEGEKIAMTAPVITKESEKIVMTSPVVTKEGGEGGKKMVTMQFLLPSMYKKAEEAPRPTDERVVIREEGGRKYGVVKFSGTASDSVVSEKVKKLTSDLEKDGFKITGDFILARYNPPWTLPPFRTNEVMIPVE >scaffold_402488.1 pep chromosome:v.1.0:4:18367288:18367564:-1 gene:scaffold_402488.1 transcript:scaffold_402488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWTLMEGLLLFANALAILNEDRFLAPKGWTLAELHQTGKRNSLKGQIIGLIHACHYMRLPLMLFNLIVIVFKLFSG >scaffold_402490.1 pep chromosome:v.1.0:4:18373132:18373598:1 gene:scaffold_402490.1 transcript:scaffold_402490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKR8] MVDTRSTNSLSLSRHTSTCATPHKSFAANIIGHVTLTRYISLLSPATTWIHSKHIPDLKPVGKSTSVKFVFCIFFVP >scaffold_402495.1 pep chromosome:v.1.0:4:18390379:18393442:1 gene:scaffold_402495.1 transcript:scaffold_402495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKEVDNAELSDKALVVAIRKALTSAQSTLLKILSRSVACLDEVHHKVLLDRIFGTTIWDHKPNVNVMYALLDLIVSLATTSGKHLSSCLNMLVSNLLSQPRILDNKMLELLSRVHAALHKISFLVPLAPSILLPILAQSLPSVHDKDRFQLVVPYVENLLQLENSSIGKVFGNVILRVVMERLLDLDLEIGWDDILQDDSAIAMFDMELDLAVEGTTNEGEEFPVRSLNQNGNAVSDLLDDLMVLYFDHLKSCQDSGRLDEVFENLFESFVNLILNAQKTKISQFLMFYACSLDPENCGVKFASKLLDIFLNSNNNNQVTRTNAVAYLASYLARAKFLPASFVASMLKRLVDECADYCRTCNDDARPEEHQVFYSVCQAILYLLCFRMRSILDVPRFRSQITPLESVLSHKLDPLRVCLPSVVSEFLYQAKAGGLFIVSDAFIFDDLPESELSRALGGFFPFDPCLLKKTNRFTILTLVFLFYFVSIASITK >scaffold_402508.1 pep chromosome:v.1.0:4:18468028:18468224:-1 gene:scaffold_402508.1 transcript:scaffold_402508.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKT4] MARDSDEESTCDLTPHPCGPKDMTGSVGPTCPCSLFNFKHYLPLSKKVSK >scaffold_402515.1 pep chromosome:v.1.0:4:18481494:18482750:-1 gene:scaffold_402515.1 transcript:scaffold_402515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDNSSKKSLSEVLDVRQLRHTNSPVFSTAIFGVIVLLVVAGTILSNMSLESTFFWSSPTSEVIQINKMERKSLAPPKNSTSRDRIAWLRSHLTEFEVFGSTNLSEQFHQRVVDSLDDKCEVRFFMTWFSPAEFFGKRELLAVESVFKSHPRGCLMIVSGSMESQQGDSILKPLIDRGYKVFAATPDISLLLENTPAKSWFQEMKSCKRDPGRIPLHQNLSNLARLAILYKYGGVYLDTDFIVTRSFKGLRNSIGVQTLLEGDSKNWKTLNNAVLIFEKHHPLVYSFMEEFASTFDGNKWGHNGPCLVTRVVQRARETIGDNFTVLPPVAFYPFNWLDIPRLFQTPRSSNDSTLLKTDLVKLNRESYGLHLWNKFTRKLKIGKGSVIDIIVSDHCVVCRGMQR >scaffold_402518.1 pep chromosome:v.1.0:4:18492523:18494128:1 gene:scaffold_402518.1 transcript:scaffold_402518.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LLG0] MVGPERPQIVLFGSSIVQYSFTDRGWGATLADLYSRTADIILRGYAGWNSRFALKVLHQVFPKDAVIQPSLVIVYFGGNDSTHPHPSGHGPHVPLSEFIENMRKIGEHLLSLSDKTRVIFLTPPPMNEKQIEVVFGDVIRGRSNELCRPYAEELLNLCREINVKGIDIWTAIQQQDDWLNSCFTDGIHFTAKASEIVIKEILKVVREADWKPSLYWKSLPVEFPFDFDVPNSISLNDLELTRNNQFEQPHPGSLCDQELTRNEQQLEPQHPLSLSDHELTRNEQLEPPPPTARL >scaffold_402521.1 pep chromosome:v.1.0:4:18503660:18504634:1 gene:scaffold_402521.1 transcript:scaffold_402521.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPDX1.1 [Source:UniProtKB/TrEMBL;Acc:D7LLG2] MAATGVVAVYGEGAMTETKQKSPFSVKVGLAQMLRGGVIMDVVNAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPEMIKEIKNAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADEDNHINKHNFKIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVNGAIRLLRNMDDDEVFTFAKKIAAPYDLVVQTKELGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPVKRAKAIVQAVTNYRDAAVLAEVSCGLGEAMVGLNLDDKVERFASRSE >scaffold_402525.1 pep chromosome:v.1.0:4:18521488:18521870:-1 gene:scaffold_402525.1 transcript:scaffold_402525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAVRASIFYQNFIAALLPFFCVFVALVVVGFLFLFFKIYFCSDEQQDIAPGLMAVPMEQFVFRYPERKCSICLGKFESNVPLANTVCGHAFHFHCLSQWNNTCPVCRAILF >scaffold_402527.1 pep chromosome:v.1.0:4:18530496:18531959:-1 gene:scaffold_402527.1 transcript:scaffold_402527.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cax-interacting protein 2 [Source:UniProtKB/TrEMBL;Acc:D7LLG9] MAAITFSSSLHASASPRVFSPHITPVITLYSRFTPSFSFPSLSFTLSDTIRSRRRPFLIASAVKSLTETELLPITGADSIPSASGVYAVYDKSDELQFVGISRNIAASVSTHLKSVPELCGSVKVGIVEEPDKAVLTQAWKLWIEEHIKVTGKVPPGNKSGNNTFVKQTPRKKSDIRLTPGRHVELTVPLEELIDRLVKESKVVAFIKGSRSAPQCGFSQRVVGILESQGVDYETVDVLDDEYNPGLRETLKNYSNWPTFPQIFVKGELVGGCDILTSMYENGELGNILN >scaffold_402535.1 pep chromosome:v.1.0:4:18555298:18558082:-1 gene:scaffold_402535.1 transcript:scaffold_402535.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:D7LLH1] MAGAYDPSLPAVPEWLNKGDNAWQLTAATLVGLQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLLCWVLLCYKMAFGDELLPFWGKGGPAFDQGYLKEQAKIPNSNVPAPYFPMATLVYFQFTFAAITTILVAGSVLGRMNIKAWMAFVPLWLIFSYTVGAYSIWGGGFLYQWGVIDYSGGYVIHLSSGVAGFVAAYWVGPRPKADRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANLTSSIAVLNTNLSAATSLLVWTTLDVIFFGKPSVIGAIQGMVTGLAGVTPGAGLIQTWAAIIVGIVSGTVPWASMMIIHKKSALLQKVDDTLAVFYTHAVAGLLGGIMTGLFAHPELCVLILPLPETNGAFYGRNGGKQLLKQLAGAAFIAVWNVVSTTIILLAIRVFIPLRMAEEELGIGDDAAHGEEAYALWGDGEKFDATRHVQQLREIKKLHILLMFMVLEVSPLFYDFSYSSFCYFLCVLFSL >scaffold_402537.1 pep chromosome:v.1.0:4:18564698:18564947:-1 gene:scaffold_402537.1 transcript:scaffold_402537.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LLH3] MWTCRSRRKMEVKCKEERSGDEKLVKDRWQVSLHVGGMTKFFFFQLHQSLQFPLLNNFFCLASRTFFY >scaffold_402545.1 pep chromosome:v.1.0:4:18584601:18585038:-1 gene:scaffold_402545.1 transcript:scaffold_402545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVTHNNKSTDLNRTLVLFGWVTLAIACSLVLGFLLYYIVTSFIAYYNSLVEQSLPTNIPDVGTADQTQTVPRYSPIAIENFNFEVGEECAICLEEFSHSRLPLASLECGHLFHFSCITRWHSSCPLCRR >scaffold_402548.1 pep chromosome:v.1.0:4:18589318:18589755:-1 gene:scaffold_402548.1 transcript:scaffold_402548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVTHNNKSTDLNRTLVLFGWVTLAIACSLVLGFLLYYIVTSFIAYYNSLVEQSLPTNIPDVGTADQTQTVPRYSPIAIENFNFEVGEECAICLEEFSHSRLPLASLECGHLFHFSCITRWHSSCPLCRR >scaffold_402549.1 pep chromosome:v.1.0:4:18590317:18590820:1 gene:scaffold_402549.1 transcript:scaffold_402549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDALASADENHIGDGDVPPITGGPDVDESQSSHQINVVATSSIEDGKREEEGR >scaffold_402551.1 pep chromosome:v.1.0:4:18594585:18594948:1 gene:scaffold_402551.1 transcript:scaffold_402551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLRDGNNPDLRRRVLTGEISPEKLITLSAEEMASDKRKQENNQIKEKALFDCERGLAAKASTDQFKCGRCGQRKCTYYQMQTRSADEPMTTYVTCVNCDNHWKFC >scaffold_402552.1 pep chromosome:v.1.0:4:18595775:18596441:1 gene:scaffold_402552.1 transcript:scaffold_402552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVHRPSSAVSDGDSVQIPMMIASFQKRFPSLSRDSTAARFHTHEVGPNQCCSAVIQEISAPISTVWSVVRRFDNPQAYKHFLKSCSVIGGDGDNVGSLRQVHVVSGLPAASSTERLDILDDERHVISFSVVGGDHRLSNYRSVTTLHPSPISGTVVVESYVVDVPPGNTKEETCDFVDVIVRCNLQSLAKIAENTAAESKKMSL >scaffold_402553.1 pep chromosome:v.1.0:4:18600944:18601275:-1 gene:scaffold_402553.1 transcript:scaffold_402553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNCWSKGFKRVCFEGDNKEVADLLNGNKLNFGMFNWIREARSWKSRFTDRQFIWCHRNSNTPADLLANQQIPLNSCFYFHSFVQQTRCKAISQTF >scaffold_402555.1 pep chromosome:v.1.0:4:18606122:18606323:-1 gene:scaffold_402555.1 transcript:scaffold_402555.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLI8] MEEILGRNQNNYWSVTSGRLYDSVSVLPTSQFLRLPLCDTDFNTHSFSCLIFL >scaffold_402568.1 pep chromosome:v.1.0:4:18649582:18651814:1 gene:scaffold_402568.1 transcript:scaffold_402568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFTAKRSVQNISVSLWRRCISSTSQAATASVKDSDEFQARLPPFAYTPPPYTGPSADVILEKRKEFLSPSMFCLYRKPLNIVDGKMQYLFDESGRRYLDAFAGIAVVNCGHCHPDVVEPVINQIKRLQHPTVLYLNHAIAEFSEALASKLPGDLKVVFFTNSGTEANELALMMAKLYTGCQDIVSVRNGYHGNAAATMGATGQSMWKFNVVQNSVHHALNPDPYRGVFGSDGEKYAKELQDLIQYGTTGHIAGFICEAIQGVGGIVELAPGYLSAAYDTVKKAGGLFIADEVQSGFARTGNFWGFEAHNVVPDIVTMAKGIGNGFPLGAVVTTPEIAAVLTRRSYFNTFGGNSVSTTAGLAVLNVIEKEKLQENAAMVGSYLKEKLTQLKEKHEIIGDVRGRGLMLGVELVSDRKLKTPATAETLHIMDQMKELGVLIGKGGYFGNVFRITPPLCFTKDDADFLVEAMDYSMSKM >scaffold_402570.1 pep chromosome:v.1.0:4:18657202:18658477:-1 gene:scaffold_402570.1 transcript:scaffold_402570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMISNLPTVLIEEILIRVPLKSLRAVRLTCKIWNTLSNSRSFLKLYIGKPATATREEDSMMVAMMNFDLYSMRVVVDDVDPSIAFKRKPSFLEEQVKVSQVFHCEGLLLCIFKDNTKVVVWNPYCGETRWIEPRFSHRPRGWDRFSYALGYKDKESCDSFKFLRFIDYFCFAPENQFFWYEIYDFDSDSWTTLDVTPNWCIMFANLGITLKGNTYWCAGERNSEDDIADHIICFDFTSERFGPLLPLPTSVRDHEYEYVTLSCVREEKLAALFQHNESNPYELEIWITTEINAEMVSWSKFLMMDTGPRIEVPLIWEGFFIDEEKRNAMGFDEEFSRKTFIIIGEAGYVRKLDIGVDIDCRPKVCSYVPSLVQIKKPAGGKRKRQSSLETGLFEQNMLRLEAFEKLKLV >scaffold_402571.1 pep chromosome:v.1.0:4:18659785:18661081:-1 gene:scaffold_402571.1 transcript:scaffold_402571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMIYNLPRDLIEDIISRVPLKFMKAVRLTCKSWNDLSKSESFSKMHIGKSTRKGESMMIAMMPHNLYLMSGVVDDVDPSIEHKGQLISFLHNQVSISRVFHYEGLILCILKDVTRIVVWNPYLGQTRWINLRFSHRPHRWDWFNYALGYEDKESRRGIKLLRFLDYFHKAPEKQFFWYEIYDFDSGLWTTLDVIPHWGIYCSSHSVSLKGNTYWSATERSSEGRKNHIICFDFTRERDLGRFCLCRLKIAALFHHHDKYDTEFEIWITTKIEVEMVTWSKFLRMDTGPKIGFPDTFFIDEEKKVFMDCGTHYDSDDHYRRFIDIIGEAGYLRKLDPGVPADKNCRPDVCSYVPSLSQIKKPARGKRIEQSSLEKRLFDQNMLRLAAVEKIMETQYGYW >scaffold_402579.1 pep chromosome:v.1.0:4:18675227:18680874:1 gene:scaffold_402579.1 transcript:scaffold_402579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTRYQSRNEYGLADPDLYQAADKDDPEALLEGVAMAGLVGILRQLGDLAEFAAEMFHDLHEEVMATASRSHGLMARVQQLEIEFPSIEKALLCQTDHSPFFSNKGVEWHPNLQLEQSVVTSGDLPRCVMDSYEECRGPPRLFLLDKFDISGAGACLKRYTDPSFVRLETSSYEESWDDIQKEKKSQKAKRRASQWRNGGTPENALSSHAKLHELFLEEHLEAHHSDPARVVKLKTRKLDGCSLISKSGESYMEKFVQTRVDSKISYEIITQNPGLLTWNMDSARDLVTDIAEISMVGAMDKSHGGSRAEVSFPSEQNNVADININGGIIEKDIETVPESTYNEIPGTTSTKDSQINLNGKPGFFQQRSYSEDLTSEADNYVDAPATMESETETDDECRPKSRSGALKDGNHRTYSDADKEKMEDPPQFSFFHSNGNTPVSENGRSSFGKRSTSYSYSDSASISIDDQSDGEKLSGCLPSTSSFKSELVDSTSHVTPEAKKVSDFNVQESVSSSNVDGQASLSLNGTCSSPRPVSQNDQSCSLTVQCLEPEVDETSPELVRFDLMKGGNDGSKVDPFDSSKSCASFDAKHSNLPSETSSISSTSEGSRCDITIEKNCMVASNLVNSGTSPQVFVDSQTGKQLPIADNDIETNSTVACSEVLANSGSDPGGLDGSGLTGKPSSAGMGMEVSPDMPSKVCGPSTVDGIHLKDTLDDDTDCVTVTNVVADVDSKNSVAEVDSKNSVAEVGSQSSVADVDSQSSVADIDSQSSVAEISDEHSCAFGNTADVSVSESHEDTLENGMSIPDEVDSKLTSDFNSGGEKLVVDASPTCSKCDEHISHEGFHDLSGLDNATTDIVLNVELAVYDNDNDTSSGGVNHAVSLSSTSLNGSLPWISTNTYKSSSDAGEIFHDTVVESEGTLPADNNPESEIKMQKSPLEVSSEGLSTALDNKDAESCESISPKPSLDQRDRNTETKSSGESILDDNYIDSSPVNNLNVLESEVEHSVREQTPCASHEAADEELLQSYVFRGLEFVPHSAGLEFAPQPAGLELNRPKQELNLDPAFPSFGFIPETTPPNPEDMPPLPPLPPMQWRIGKVPHSFPTFMVESVETSNSAPSAAPPIGSSLNFQIGSQPSELCISLGSDESEQLPGGFVNNASEKPLQSSIQFPTMGTDLNSQYDIPELPTMPNQECIEDFGSEENNLLADHAAKNHELVYSQASSTQDLSVKYEDFKDDADVHESQSSSDDHHFPETKALTPTQSTKVEDTGHSAPDASNAATAVSSNTSVQTIIPASVGDAMWPVKVVPTVRLPRPRSPLVDAVAAHDRRKMKKVSEMVQPPIKSKQDDKDSLLAQIRNKSVNLKPAVATRPSIQTGPRTDLRVAAILEKANTIRMAMVGSDEDEDSDSWSDS >scaffold_402588.1 pep chromosome:v.1.0:4:18713010:18714510:1 gene:scaffold_402588.1 transcript:scaffold_402588.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7LBN9] MQVGEEMASLTKIACPIVMTSLLIFSRSIISMWFLSHLGKVELAGGALAMGFGNITGVSILKGLSVGMDPICGQAFGAKRWTVLSHTFQKMFCLLIVVSAPIAVAWLNIEPIFLRLGQDPDITKVAKTYMLFFVPELLAQAMLHPLRTFLRTQGLTSPLTISAIVSILLHPLFNYVFVVRMRLGVKGVAIAMAFNTMNINVGLLVYTCFSDSLIKPWEGLALRSLFRGWWPLLSLAAPSAISVCLEYWWYEIMLFLCGLLGNPKASVAAMGILIQTTGILYVVPFAISSAIATRVGHALGGGQPTRAQCTTVIGLILAVAYGLAAAVFVTALRSVWGKMFTDEPEILGLISAALPILGLCEIGNSPQTAACGVLTGTARPKDGARVNLCAFYIVGLPVAVTTTFGFKVGFRGLWFGLLSAQMTCLVMLLYTLIRTDWTHQVKRAEELTSAAAEKSHSEEETVHAEVGDNDDISSNDLEIGLLQNTN >scaffold_402598.1 pep chromosome:v.1.0:4:18742401:18743343:1 gene:scaffold_402598.1 transcript:scaffold_402598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYVKLLGTPTEQQWPGVMALRDWHVYPKWEPQDFSRAVPSLSPEGRDLLTQMLRYNPTERISAKAALDHPYFDSLDKSQFSFGVLKLMAQIYCHHHLGVLCCVLKRDLFLLHNKIKSLFVQLKRMQMFLARVRFFFKWPILFVDVYKSIKQKWFILASRQIILVYKNGSPNLKSLLLHYNHNCCSNTSKLQIT >scaffold_402599.1 pep chromosome:v.1.0:4:18743384:18745408:-1 gene:scaffold_402599.1 transcript:scaffold_402599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGRRIIANPRPCSGSKRVVAKKRSRPDGIVNSVKKLQRREISSRMDRAFSISTAQERFRNMRLVEQYDTHDPKGYCLVSLPNLLKRSKVIEIVAARDIVFALTLSGVCASFSRETNKRVCFLNVSPDEVIRSLFYNKNNDSLITVSVYASDNYSSLKCRSTRIEYILRGQADAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDSVYKVFDLKNYALLYSVSDKNVQEIKISPGIMLLIFKRAVSHVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNAELIEVSRTEFMTPSAFIFLYENQLFLTFRNRNVSVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADTEDQWIEGNAGSINISNILTGKCLAKIKADNGPPKEEDCSSSDMGNSNRRISAVAEALEDITALFYDEERNEIYTGNRHGFLHVWSN >scaffold_402601.1 pep chromosome:v.1.0:4:18749772:18749984:-1 gene:scaffold_402601.1 transcript:scaffold_402601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFSPMKGETTWPEEKLGGVAGADAVATASSGSKSRLLRNVFQNVNAYKLHLKGL >scaffold_402604.1 pep chromosome:v.1.0:4:18757631:18760335:1 gene:scaffold_402604.1 transcript:scaffold_402604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEKEKIVGSCIVGGAAFAVGASFLHLFLKGELPLGLGLGLSCPWRILRKRKPVRVYMDGCFDMMHYGHCNALRQARALGDQLVVGVVSDEEIIANKGPPVTPLHERMTMVKAVKWVDEVISDAPYAITEDFMKKLFDEYQIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCVRERSISDTHSRSSLQRQFSHGHSSPKFEDGASSAGTRVSHFLPTSRRIVQFSNGKGPGPDARIIYIDGAFDLFHAGHVEILRRARELGDFLLVGIHNDQTVSAKRGAHRPIMNLHERSLSVLACRYVDEVIIGAPWEVSRDTIMTFDISLVVHGTVAESDDFQKEEDNPYSVPISMGIFQVLDSPLDITTSTIIRRIVANHEAYQKRNAKKEASEKKYYEQKSFVSGD >scaffold_402613.1 pep chromosome:v.1.0:4:18804046:18805431:-1 gene:scaffold_402613.1 transcript:scaffold_402613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFSDLNPSESSKPSLSHLAPLEAILFDVDGTLCDSDPIHLIAFQELLQEIGFNNGVPIDEKFFVENIAGKHNSEIAQILFPDDVSRGLKFCDEKEALYRKIVAEKIKPLDGLIKLTKWIEDRGLKRAAVTNAPKENAELMISKLGLTDFFQAVILGSECEHPKPHPGPYLKALEVLKVSKEHTLVFEDSISGIKAGVAAGMPVIGLTTGNPASLLVQAKPAFLIENYADPKLWAVLEELDNNS >scaffold_402623.1 pep chromosome:v.1.0:4:18837279:18838322:1 gene:scaffold_402623.1 transcript:scaffold_402623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSQVRFIEKALLATGSFALSYTDPDQKWLIRKHLTSLLQDYPNFELSTDIFNHNNGAKVQLFCLEGSLGIRNSTTQLPAVQLTIWIHENYPLTPPLVFINPNSIPIRTNHPFVNSSGFTNSRYIETWEHPRCNLLDFIRNLKKVLANDHPFLHTESIPTRNQSVSRTEALDRLATSLHYDVLTIMERSEEEIENLWKLQSEVKQRSESVKTIINELEMERETLKVRALNLKDDSDVLATWVETNYDTLMKATSMDMGIEEMFEIEPEVEGLAGDDAIEDVLRVLEEAAERGELEIALYLKQVRVLAREQFFIRHYRLKLEFPYLSML >scaffold_402625.1 pep chromosome:v.1.0:4:18844613:18845130:-1 gene:scaffold_402625.1 transcript:scaffold_402625.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCC5] MSLLRFVSMDSFKSPASLLLVLLRIWLTIFFHDVDCWITSSFPKNKCFLREQYEESKLFAVRREHVVICVFILCELADLMRLDITSVLRNHTKNSLTKTFVIRICLDLNVLFGALCIIQPHMVTFRAPAHVEEMEGTNDGLLVSDSPKSNKDKICNIV >scaffold_402628.1 pep chromosome:v.1.0:4:18852002:18852435:1 gene:scaffold_402628.1 transcript:scaffold_402628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRDCLEEMVKFTLDCRVEFDLELTDDFCFGLLCGESILLDGERIESSSHALLHRFGGVPDYPLYKLLALGLLKSIVSGSVCGTFENISLGKEREDEWSKLINQKGSELVNVRQSQSFILQKITFLID >scaffold_402629.1 pep chromosome:v.1.0:4:18853176:18854913:1 gene:scaffold_402629.1 transcript:scaffold_402629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPSSPAGDGGESGGSVREQDRYLPIANISRIMKKALPPNGKIGKDAKDTVQECVSEFISFITSEASDKCQKEKRKTVNGDDLLWAMATLGFEDYLEPLKIYLARYRELEGDNKGSGKSGDGSNRDAGGGVSGEEMPSW >scaffold_402634.1 pep chromosome:v.1.0:4:18864111:18864320:-1 gene:scaffold_402634.1 transcript:scaffold_402634.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LCD4] MDGHAIVLSLFSLVPSSPPLIISSSDLLRIKSFDQILQSQYVSRQFPFRKTCIRR >scaffold_402651.1 pep chromosome:v.1.0:4:18964375:18966896:-1 gene:scaffold_402651.1 transcript:scaffold_402651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISCISYPTTNSKILSTHHFSKQSTSPSSFSLKFALRREEDKPKSSFFLPSTSSKMATPIQASSSSTIGETSDGLKVQSHVSIGANDLLIVGPGVLGRLVAEQWRQEHPECQIVGQTVTTNHHDELEKLGIKPSLKGTEYGGKFSYVIFCAPPSQSADYAGEVRNAASNWNGEGSFLFTSSSAPYDCFDNGECNEDSPVVPLGKSPRTDVLLKAEKVVLECGGTVLRLTRGAHTYWLSKGTVDARPDHILNLIHYEDAASLAVAIMKKKPGGRIFLGCDNHPLSRQEVMDLMDQSGKYDKKFEGFTSTSGPLGKKLNNSKTRAEIGWEPKYPSFAQFLGVSM >scaffold_402653.1 pep chromosome:v.1.0:4:18971896:18973265:1 gene:scaffold_402653.1 transcript:scaffold_402653.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LCF0] MKRGEKFAERVILTALREKSCLICLENLTERRSAAVITVCKHGYCLACIRKWRPYRLKYHKEHLPILRDRETLTYHRNNPSGRRRIIRRWRDVLENSSSRSRPLPWRRSFGRPGSVPDSIIFQRKLQWRASVGSKCSIYDKQLRAVRLHSRRSLELCLAGNDQTRAKITERIEPWIRRELQAVLGDPDPSIIVHFASALFIKRLERENNRHSGQTGMLVEDEVSSLRIFLSDKVDIFWHELRCFAESILTMETYDAVVEYNEVAY >scaffold_402655.1 pep chromosome:v.1.0:4:18976311:18977517:1 gene:scaffold_402655.1 transcript:scaffold_402655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIRRHAKTLASSCTNLTQKRTYVDVYMKWKRDPYFDNIEHILRSSQLKSVIGLKNCIVQDPNRCIPISAISKKTRQFDVSTKIAQFLRKFPSIFEEFVGPEYNLPWFRLTPEATELDKQERIVYETYAEDLRDRLKKLILMSKDNVLPLSIVQGMKWYLGLPDDYLQFPEMNLDSSFRFVDMEDGVKGLAVDYNGDKVLSVLQKNAMKKRGRRGELDLEEIEFPLFPSKGCRLRVKIEDWLKEFQKLPYVSPYDDYSCLDPSSDVAEKRVVGFLHELLCLFVEHSAERKNLLCLKKHFGLPQKVHKAFERHPQIFYLSMKNKTCTAILREPYRDKASVETHPVLAVRKKYIQLMKNSELILKSRRNRFGFRDEGIVEKDLDLDFEG >scaffold_402660.1 pep chromosome:v.1.0:4:19006456:19012616:1 gene:scaffold_402660.1 transcript:scaffold_402660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSPSHFADVVRNDVDFLKNKIGIGLWWANEAFRVPEVTKSAEELFWLRHLEDHASSSLESQSWPQPSYSGLSGVDLFMADVKALEAYAAYFYCLSKMWSRPLPEVYDSQAVADYFNCRPHVVAFRLLEVFSAFMVAAIRLRTSASDKSKSLEASEQNFGMVLKETMLHLGPTFIKVGQSLSTRPDIIGTEISKALSELHDRIPPFPWPEAVKIIEEELGGPVESFFSQFSQETVAAASFGQVYRGRTLDGSDVAVKVQRPDLRHAVLRDIYILRLGLGVLRKVAKRENDIRVYADELGMGLAGELDFTLEAANALEFQEAHSRFSYIRVPKVYKHLTRKRVLTMEWMVGESPTDLLAISSGYSDHDNESHEKQKIEARRRLLDLVNKGVEATLVQLLDTGILHADPHPGNLRYTTSRQIGFLDFGLVCRMQRKHQLAMLASIVHIVNGDWAFLVESLTDMDVITPGVNTRRFTLDLEYALGEVKLINGIPDIEFTKVLSKIVKVALKYQLRMPPYFTLVLRSLACLEVICVLFVFPGLAAAGDPNFKTFEAAYPFVVQKLITENSAATRKILHSAVLNRKKEFRWERVALFLSKSSTRKQSPLVTLSRDETSVDSSSNLTDKDADNVSLVLRLLASKNGVVLRRLLMAANGTSLIRTFLSREGHVIRQKLCMTIANTMYQWMVGSLGIESLKFISLSDPPLSSGINITVKDFKILIRDKRVRVILRKILESAKSDQILMLRFCWTSFVMFLTTTALACHRFVISVSEGYINYLSLSAPVALRT >scaffold_402661.1 pep chromosome:v.1.0:4:19013121:19016716:-1 gene:scaffold_402661.1 transcript:scaffold_402661.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:D7LCF8] MAIKERSLEETPTWAVAVVCFVLLFISIMIEYFLHFVGHWFKKKHKKALSEALEKVKAELMLLGFISLLLVVLQTPVSQICIPRNIAATWHPCSNHQEIAKYGKDYIDDGRKILEDFDSKDFYSPRRNLATKGYDKCAEKGKVALVSAYGIHQLHIFIFVLAVFHVLYCIITYALGKTKMKKWKSWERETKTIEYQYANDPERFRFARDTSFGRRHLNIWSKSTFTLWITCFFRQFFGSVTKVDYLTLRHGFIMAHLPAGSAARFDFQKYIERSLEQDFTVVVGISPLIWCIAVLFILTNTHGWDSYLWLPFIPLIVILIVGAKLQMIISKLGLRIQEKGDVVKGAPVVEPGDDLFWFGRPRFILFLIHLVLFTNAFQLAFFVWSTYEFTLKNCFHHKTEDIAIRITMGVLIQVLCSYITLPLYALVTQMGTSMRPTIFNDRVANALKKWHHTAKKQTKHGHSGSNTPHSSRPTTPTHGMSPVHLLHNYNNRSLDQQTSFTASPSPPRFSDYSGQGHGHQHFFDPESQNHSYQREITDSEFSNSHHPQVDMASPVREEKEIIEHVKVDLSEFTFKK >scaffold_402664.1 pep chromosome:v.1.0:4:19035078:19036756:-1 gene:scaffold_402664.1 transcript:scaffold_402664.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:D7LCG1] MQRVHNKAIDSIGAFKHLIKQSNGGDGGVTVADMQEPSIETDKLSYEIFSILESKFLFGYDDDPKLMESRSRDPSPEQETASPAMVDCLNGVVPGSIKNQRGKVCVLSIDSGGMRGIIPGKALAYLEHALKSKSGDPNARIADYFDVASGSGIGGIFTAMLFASSDGNRPIFKAEDTWRFLAKKGKSFYNKSPPGILNRVMKTGSGGSGGSGSKLEKAMKESFEELTLKDTLKPVLIPCYDLTSSAPFLFSRADALETDGYDFKLWEVCRATWAEPGVFEPVEMRSVDGKTRCVAVDGGLAMSNPTAAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLVDVKYDCDKVLKWKAKHWARPAVRISADGAADTVDQAVSMAFGQCRRSNYVRIQANGSSFGPCKPNIDTDASPSNVNMLVGVAEEMLRQKNAESVLFGGKKINEESNYEKLDWLAGELVLEHQRRSCRIAPTVAFKQSGDRRVDQQTIFKDIDCMF >scaffold_402665.1 pep chromosome:v.1.0:4:19041458:19044111:1 gene:scaffold_402665.1 transcript:scaffold_402665.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LCG2] MSTFVASKRFRPPLFLHRLINPKPISSQYRFLHPPDNQSRDISDSTTEVFSELEFPHKASGPNHSPLISTSETDNHVDDAHVIEVLLGRRNDPVSALQYCNWVKPLRSLCEGGDVFWVLIHILFSSPHTHDRASNLLVMFVSSNPTLIPSAMVNNLVDSSKRFDFELSSRAFNYLLNAYIRNRRMDYAVDCFNLMVDRNVVPFVPYVNNVLSSLVRSNLIDEAKEIYNKMVLIGVAGDNVTTQLLMRASLRERKPEEAMKIFRRVMSRGAEPDGLLFSLAVQAACKMKDLVMALDLLREMREKGGVPASQETYTSVIVACVKEGNMEEAVKVKDEMVGFGIPMSVIAATSLITGFCNGNELGKALDFFNRMEEEGLAPDKVMFSVMIEWFCKNMEMEKAVEIYKRMKSVGIAPSSVLVHKMIQGCLKAESPEAALEIFNDSFETWIAHGFMCNKIFLLLCKQGKVDAATSFLRMMENKGIEPNVVFYNNMMLAHCRMKNMDLARSIFSEMLEKGLQPNNFTYSILIDGFFKNQDEQNAWEVINQMIASNFEANEVIYNTIINGLCKVGQTSKAKEMLQNLIKEKRYSMGCTSYNSIIDGFFKEGDTDSAVEAYREMSENGISPNVVTFTSLINGFCKSNRMDLALEMIHEMKSKDLKLDVPAYGALIDGFCKKNDMKTAYTLFSELLELGLMPNVSVYNNLISGFRNLGKMDAAIDLYKKMVNDGISCDLFTYTTMIDGLLKDGNLILASDLYSELLALGIVPDEILYVVLVNGLSKKGQFVRASKMLEEMKKKDATPNVLIYSTVIAGHHREGNLNEAFRVHDEMLEKGLVHDDTIFNLLVSGRVEKPPAAAKISSLASPEMRSSY >scaffold_402668.1 pep chromosome:v.1.0:4:19054263:19061373:-1 gene:scaffold_402668.1 transcript:scaffold_402668.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7LD23] MDHPEDETHSEKQDDEEALARLEEIKKSVEAKMTLRQNNLNPERPDSTYLRTLDSSIKRNTAVIKKLKQINEEQREGLMDDLRGVNLSKFVSEAVTAICEAKLKSSDIQAAVQICSLLHQRYKEFSPSLTQGLLKVFFPGKSAEDLDADKNSKAMKKRSTLKLLLELYYVGVIEDSNIFINIIKDLTSVEQLKDRDTTQTNLTLLASFARQGRVFLGLPISGQDEDFFKGLDVSADQKKSFKKAFNTYYDALADLLQSEHKLLLQMEKENAKLVNAKGELSEDSASSYEKLRKSYDHLYRNISSLAESLDMQPPVMPEDGTTRLTAGDEASPSGAVKDTSVPEPIWDDEDTKTFYECLPDLRAFVPAVLLGEAEPKSNEQSAKAKDKSSESSSEVVENQQTTEDTTEVSADSGSMADGSNAEQPKEKEEVEKEKAKDARKEKGKEKDGDKKMENEKEKGKSLDVANFERLLQRLPGCVSRDLIDQLTVEYCYLNSKTNRKKLVKALFNVPRTSLELLAYYSRMVATLASCMKDIPSMLVQMLEDEFNSLVHKKDQMNIETKIRNIRFIGELCKFKIVPAGLVFSCLKACLDEFTHHNIDVACNLLETCGRFLYRSPETTLRMTNMLDILMRLKNVKNLDPRQSTLVENAYYLCKPPERSARISKVRPPLHQYIRKLLFSDLDKDSIANVLKQLRKLPWSECEQYILKCFMKVHKGKYGQIHLIASLTSGLSRHHDEFAVAVVDEVLEEIRVGLELNEYGAQQKRLAHMRFLGELYNYEHVDSSVIFETLYLTLLYGHDTSEQEVLDPPEDFFRIRMVIILLETCGHYFDRGSSKKRLDQFLIHFQRYILSKGHLPLDIEFDLQDLFANLRPNMTRYATIDEVNAAILQLEEREHASSGDKVSIERHSDTKPSNRPSSDVISSNGKSTAKDIRENGEAHGEESDSDSGSGSVVRDGQNEELDDGNHERGSESGDGDDFDDGDGPGSDDDKFRVRQKVVTVDPEEQADFDQELKALLQESMEQRKLELRGRPALNMTIPMSVFEGSGKDHHHFGRVVGENGEDLLDEENGEQREVQVKVLVKRGNKQQTRQMLIPSDCSLVQSTKQKEAAELEEKQDIKRLVLEYNERDEEEANALGTQILNWTSGGSRGSTRTGEGSGKSGGSRHRFYYHQGGGGSYHARRK >scaffold_402673.1 pep chromosome:v.1.0:4:19073943:19074328:-1 gene:scaffold_402673.1 transcript:scaffold_402673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAYVGSFLPCCSSVCLEVVVAANFKLYQILHNFWYNQLRIQKACIIRCFTPERQFKESGSLSSCSSNGSSEFLDRYIDGEEHLERSKQKSGSSHSSLSGSTKGKRLPLRALL >scaffold_402678.1 pep chromosome:v.1.0:4:19094567:19100408:1 gene:scaffold_402678.1 transcript:scaffold_402678.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP family protein [Source:UniProtKB/TrEMBL;Acc:D7LD34] MNQGGNTQAVAPMDPNSIENRYGVDGSQAHKYSYQYSTGSDSAPWTAHSVENQAVENGNYSNSNYYHPQPTGPATSNVQEIPNTSSFTSSSTSGTANVAQDYSGYTPYQTSSDPHNYSNTGYSNYYSGYQQQPSQSYPQPVGTYQNTGAPQPLSSFQNPGSYAGTASYSGTYYNPADYQTAGGYQSTNYNNQTAGSYPSTNYSNQTPASNQGNYMDYTSNPYQNYTPDAANSHSSTIATTPPVHYQQNYQQWSEYYSQTEVPCAPGTEKLSATSAYSQSFPVPGVTSEMPASNSQPAPSYVQPWRPETDASHPPSQQPGTAVSTSNDAYWIHQAPSLQAHHPVPPQNHYPSPLETKPLYETPFQGHQRATYPQEMNSQPSFNQAPLGYRQPTQTAPSVDSQRVSKVQIPTNPRIASNLPSGFTKMDKDSTSASAAQAPAYVSVSMPKPKDHTTAMPDPNTFPKSLRGFVERAFARCKDDKEKASCEVALRKIVKKAMEDNTLYTRDWDTEPLSTVTTTNVTNSESSSTQLSSLQNKSPTRRPKSRWEPLVEGKPFVKPASTFRSAVKFGAWNHQNENNKKSSESFQKVDAATGFKPTYSGQNSAKKNFQRPVKRQRFSGGAATAIDDEASSDSDKDLTPYYSSAMALASSAEEKKRRDSRSKRFEKVQGHSRGNDLTKPKNANVGNLHTRRATALRLSKVFDESGSRAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEDVLEKALIMVQDSQKNYLYKCDQLKSIRQDLTVQRIHNQLTAKVYETHARLALEAGDLPEYNQCLSQLKTLYAEGIEGCSLEFAAYSLLYITLHSNNNRELLSSMSRLSEEDKKDEAVRHALSVRAAVTSGNYVMFFRLYKTAPNMNSCVMDLYVEKMRYKAVNFMSRSCRPTIPVSYIVQVLGFTGAASEATDEKESDGMEECLEWLKTHGANIIIDSNGDMLLDTKASSTSLFMPEPEDAVAHGDRNLDVNDFFTRT >scaffold_402680.1 pep chromosome:v.1.0:4:19108215:19109382:1 gene:scaffold_402680.1 transcript:scaffold_402680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLDLPRDLLEDIVSRVPDESLRELRCTCKQWNALFKDPGFTKKTIRQNSKRSYCNEECKARIESGSLFANDYIGNAKIQISDIFHCDGLLLFRTMSGNMLIVWNPCSDKTMYVYPNEYTGSIFALGYHKELCDKVIKGILLLLSFDFTTESFGRMNLPFQRLGYEILALSVVKEEKLSVLQQSLDTSRVEIWVTTSDKIDQTKVSLLWSKFLAVDLTTYCDHRFTCDVSFFIEQENEVAVCWDKAKAYIFGEVHHNIDMDFEENIGWIPTFPAVMCQGWFKFKLKRTRRYIREICFSELLL >scaffold_402681.1 pep chromosome:v.1.0:4:19109655:19112137:-1 gene:scaffold_402681.1 transcript:scaffold_402681.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LD37] MINLKLFLELKLCFLITVLCSSHVSSVSDTFFINCGSPTNVTVNNRTFVSDNNLVQGISVGTTDSNSGDESTLFQTARVFSDESSTYRFPIEKHGWFLIRLYFLPLVSASQDLTTARFSVSAQNFTLIREYKPSTTSVVKEYSLNISNDNLSLEFRRQAGSIAFINALEVFRLPENLIPEDAKLIGTQKDLKLRSHAMETVSRVNMGNLSVSRDQDKLWRQWDSDSAYKAQFGTPVMNLEAVNFSAGGITDDIAPVYVYGTATRLNSDDPRTNANLTWTFKIVPGFDYFVRFHFCNIIVDPFGFERQIRFDIFVNSENVRSVDMTEVANGTFGAPYFFDAVMRKARSREGFLNLSIGLGMDVSSYPVSFINGFEILKLSNDKQSLDAFDAVFHDGSSRNKSSNTRIGFIAGLSAALCVALVFGVVVFWWCVRKRRRRNRQMQTVHSRGDDHQMKKNETGESLIFSSSKIGYRYPLALIKEATDDFDESLVIGVGGFGKVYKGVLRDKTEIAVKRGAPQSRQGLAEFKTEIEMLTQFRHRHLVSLIGYCDENSEMIIVYEYMEKGTLKDHLYDSDDNPRLSWRQRLEICVGAARGLHYLHTGSARAIIHRDVKSANILLDENFMAKVADFGLSKTGPDLDQTHVSTAVKGSFGYLDPEYLTRQQLTEKSDVYSFGVVMLEVVCGRPVIDPSLPREKVNLIEWAMKLVQKGKLEDIIDPFLEGKVKLEEVKKYCEITEKCLCQNGIERPTMGDLLWNLEFMLQVQAKDEKAAMVDDKPEASVVGSTVQFSVNGVGDIAGVSMSKVFAQMVREETR >scaffold_402687.1 pep chromosome:v.1.0:4:19132863:19134716:-1 gene:scaffold_402687.1 transcript:scaffold_402687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGGNGDGATAGDCYAHHHRRDIKLAGDSSENLDSISSLPDVILQQILSYLPTKLSIRTSVLSTRWRHVWYDTPSLYFDGPGTFYRGLKADTLNKTLARYKLPKIMSFHLYTNMLDNVPYIDGWIEFAISRNVENLSLDLGESNGRGFHSIPEFIYTSSSVKQLSLRHCHLIPRCAVSWTSLKNLSLHTCSLSDELFAKTLCGFPFLESLKLFYVINLMVIDLSKSLRLKTLEIYSYFEPGPMHIVAPHIHSLKLTKSKFSSTLVDVSSLTEATVEAIPDVDFCMRNQPTNLQVMTLKMLEKLQNVEKLTFGANFLKLLSIAKVHGVSFPMFKAKALTVETTMYEHCVTPGVVSVLQNAPELKWLTLVHIMDSGSGFIQKDDLLYNLDPRDQHCRCWVFERTFSLKTKHVASFLKIILKNTKTLEKMVVRLKDYLEEKCFEELLQIVPSLSLDNNISIVLS >scaffold_402693.1 pep chromosome:v.1.0:4:19148423:19148633:1 gene:scaffold_402693.1 transcript:scaffold_402693.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LD47] MGDGEARTREFCKSKQRQHSHQPIYPSINSCAIFNVLRFSAATGSHRSLCFPYSLV >scaffold_402694.1 pep chromosome:v.1.0:4:19148722:19150016:1 gene:scaffold_402694.1 transcript:scaffold_402694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVNYQTESKPIVYKSTKSIHPPKFGTTTLRTKDRPSTIGLTAEGEKHLAEPMPTCSFDGGKCYFPGNMPEWPTEDPFDNGKRFYVLKNSEMQDNDWIRLYLELAVAKYTSDKKDPDLSNLKIVNVAIDIQDLNEGLNAKNATVYISYKDEARVGKDVDRIAIARRNFDERTGCFSLMGKHQSLEIIPKKGENQSEDDPCDDVDHIRRSFYEHTRCFNPKNQDLSAENKCKYQRVIRRLRVIKPWRFSSPRRWQPEPYRKSCCRCTPRLHKTRSI >scaffold_402700.1 pep chromosome:v.1.0:4:19170834:19171824:1 gene:scaffold_402700.1 transcript:scaffold_402700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSATKACLDEFTHHNIDVACNLLETCGRFLYRSPETTLRMTNMLDILMRLKNVKNLDPRQSTLVENAYYLCKPPERSARISKVRPPLHQYIRKLLFSDLDKDSIANVLKQLRKLPWRRKMVGLQLTERKYTKASHTPLNKAKAEILQLQRHP >scaffold_402701.1 pep chromosome:v.1.0:4:19171974:19172295:-1 gene:scaffold_402701.1 transcript:scaffold_402701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDVKTIVKDKRFWVASFLIVWAAGLQGHMMWLQKQESFKQKFGTIDEDDHK >scaffold_402702.1 pep chromosome:v.1.0:4:19174456:19174780:1 gene:scaffold_402702.1 transcript:scaffold_402702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAPEDPNLPEPPCMSTLLPYPYNLRFQNLDVEYKKLFYASQPAVKRYAPEDPSLPEPWKRLESSNTYSYYWNTETNVTQYERPPSSS >scaffold_402703.1 pep chromosome:v.1.0:4:19175627:19175952:1 gene:scaffold_402703.1 transcript:scaffold_402703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFDVKTIVKDKRFWVASFLIVWAAGLQGHMMWLQKQESFKQKFGTIDEDDHK >scaffold_402705.1 pep chromosome:v.1.0:4:19184023:19184932:-1 gene:scaffold_402705.1 transcript:scaffold_402705.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 4D2 [Source:UniProtKB/Swiss-Prot;Acc:D7LD59] MAPPPPSPPPVSLKVSLLLLRVLTGVFLVIALIILSTNSVTIVSQGSALKFHFKDVYAYRYMLSAAVIGLLYAVIQLFFTISEFATGMKNPFNYQLDFYGDKLISYLVATGSAAGFGVSKDLKDAFIALVALDSTDPVDKFFSRGYASASLLLFSFICLAVLSVFSSLAIAKRN >scaffold_402706.1 pep chromosome:v.1.0:4:19188175:19189167:-1 gene:scaffold_402706.1 transcript:scaffold_402706.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 4D1 [Source:UniProtKB/Swiss-Prot;Acc:D7LD60] MAPPPPSPPSVTLRTVLLLLRVLTAAFLVITVVLISTNTVTLEVSSTSIKMRFNDVYAYRYMLSAAVIGLLYAVVQLFLTISQFATGTTHPLNYQFNFYGDKIISYLLATGSAAGFGVSKDLKETFLALIEFDSTDPVDKFFSKGYASASLLLFAFVSLAVLSVFSSLALSKRPIQVS >scaffold_402715.1 pep chromosome:v.1.0:4:19225460:19226654:-1 gene:scaffold_402715.1 transcript:scaffold_402715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDLRVVPAEEWLNRGRHSSHDGERDLGLMVTDFLETGGGGSGGAGSWCSSDSDSGFPDPSYLSDKIQYLKYSVAQHETEVLSAVRTLMLTIKEKDLHSVKSGTCNASCIRFYLAKLLRLSGYDAAVCSARWQGGGKVPGGDNEYIDIILSDTEVGQDDRLIVDIDFRSHFEIARAVDSYQRIMESLPVVYVGTVARLNQFLQVMVDAAKFSLKQNSMPLPPWRSLNYLRSKWHSPHKRHLGPIDHQGPGMFSPGLHGQCAENLKRLQFALQVEQESERFMKKSSGFSRRNKSEIMRIQGALAP >scaffold_402718.1 pep chromosome:v.1.0:4:19239009:19240153:1 gene:scaffold_402718.1 transcript:scaffold_402718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNLLQLENDITDEISFSMTKGEELRKQIGAAAYIECSSKTQQIPWPKPPTKWDDFCVL >scaffold_402724.1 pep chromosome:v.1.0:4:19278377:19279619:-1 gene:scaffold_402724.1 transcript:scaffold_402724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSYWCYSCSRFVWVSDSLSCPDCDGGFLEHIQETLDFTPSDSFHRLTTTTQHRSPTRFPPSSSSSSTPSASMHASTAENSPTPTTVTRTRSNRSPNPVIVLRGSGAPSDVSEGLDRSAFQMYYDDGTDSGLRPLPPSMTEFLLGSGFDRLLDQISQIELNTNRIHRSCEHPPASKSAIEALPLIEIDLTHLESDSQSHCAVCKENFVLQSSAREMPCNHIYHPDCILPWLAIRNSCPVCRHELPAEDLTDASGAALTVTATAEEEEDSAAGLTIWRLPGGGFAVGRIPGGWRGGDRMMPVVYTEVDGGRLGDERLPRRVAWGSRRGGRDGGSRERGGGFAGRIMRLFGCFSGSSGSIAAAAASSGSGSRIRVTRRTRSFSMFSTASSSSRRRNWLA >scaffold_402729.1 pep chromosome:v.1.0:4:19294440:19297274:1 gene:scaffold_402729.1 transcript:scaffold_402729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVGGVEQLIPDSISTSFIETVNGSHQFTIKGYSLAKGMSPGKFIQSDVFSVGGYDWAIYFYPDGKNPEDQSLYISLFIALASDSNDIRALFELTLMDQSGKGKHKVHSHFDRALEGGPYTLKYKGSMWGYKRFFKRSALETSDYLKDDCLVINCTVGVVRARLEGPKQYGIVLPLSNMGQGLKDLLDSEVGCDIAFQVGDETYKAHKLILAARSPVFRAQFFGPIGNNNVDRIVIEDIEASIFKAMLSFIYTDVLPDVHEITGSTSASSFTNMIQHLLAAADLYDLARLKILCEVLLCEKLDVDNVATTLALAEQHQFLQLKAFCLKFVASPANLGAVMKSEGFKHLKQSCPTLLSELLNTVAAGDKSSTSGQSNKKRSASSVLGCDTTNVRQVRRRTWDRSKC >scaffold_402730.1 pep chromosome:v.1.0:4:19311833:19312178:-1 gene:scaffold_402730.1 transcript:scaffold_402730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDR7] MADLELFSRLFANREEPAGDRVNMCFKLNTIKVVLKALTPEEIETIRPCFGKLLDVYSKHVFSGKLAHFLLTRQLNVVSGQNSKKFPCTCFIPLLSRLYSI >scaffold_402732.1 pep chromosome:v.1.0:4:19321606:19323868:1 gene:scaffold_402732.1 transcript:scaffold_402732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRICLLLLVVFLAGAFAGDVIEVNKSQREFDYFALSLQWPGTYCRGTRHCCSKNACCRGSDAPTQFTIHGLWPDYNDGSWPSCCYRSDFNEKEISTLMDGLEKYWPSLSCGSPSSCNGGKGSFWGHEWEKHGTCSSPVFHDEYNYFLTTLNIYLKHNVTDVLYQAGYVASNSEKYPLGGIVTAIQNAFHITPEVVCKKDAIDEIRICFYKDFKPRDCVGSKELMSRKSCPQYVSLPEYTPLDGEAMVLKMPTEREAL >scaffold_402735.1 pep chromosome:v.1.0:4:19326864:19327883:1 gene:scaffold_402735.1 transcript:scaffold_402735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAWGVRKSATKLASVCGRVRSISAVVNRPSLALNPSPLSHLVSRGFLYTMAVDQLTSEQTLLLVIESELNSALQTDDRNLEEEMDLGSFPFRIEDDPGDQSVTMTRDYNEEHIEVSLGMPYLGADVIDAFGTRKDELSFPLVVTVTKKSGLSLEFTCEAYADYIDLTDLTVNYPEDSLEYLMETDWPRFKNLDDNLKKAFQRYLATRVETSTVKLLHKYMMSKIKREYLVWLKNVKKFVHE >scaffold_402737.1 pep chromosome:v.1.0:4:19330213:19331329:1 gene:scaffold_402737.1 transcript:scaffold_402737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAWCVVRRSGSKFASVCGGQVRSISTVVNRPSLALLKPSPLRPFVSRGFHNSTVVDDHQLSSEQTLLCEIDSEIKSAFQVNDVDSDEDTAPESLPFRIDDDGGETVTLTRDYEGEHIKVVVGMPRTYTTGDPNHGDDHEELKIPLIVNVTKKSGLSLEFRCAVSEDDIDIDGVFVNHSGDSSKDQLANEVSDFKNVNYDLEMAFYKYLDTRLEKSTLDFLYEYMTENRQYVLWLKDVEKFLEE >scaffold_402738.1 pep chromosome:v.1.0:4:19331684:19332203:-1 gene:scaffold_402738.1 transcript:scaffold_402738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTQRQLSSSFMKFLEDKNRDLEAVFAYMDANRDGRISAEELKKSFNTLGEQISDEEAEAAVKLSDIDGDGMLDFHEFAQLIKGNDEFTEEEKKRKIMEAFRMYIADGEDCITPESLKMMLMKLGESRTTDDCKVMIQAFDNNADGVLSFDEFALMML >scaffold_402739.1 pep chromosome:v.1.0:4:19334845:19336066:1 gene:scaffold_402739.1 transcript:scaffold_402739.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7LDS6] MALAWCVVRRSASKFASVYGGRVRSISAVVNRASLARNPSPVRPLVSRGFNYSTAIDRMSSEQSLIRVIDSEINSASQTDNIDLDEEITPGSFPFRIEDNPGHQNVTLTRDYNGEHIKVVVSMPSLVSDENDDDADDDEGPSNESSIPLVVTVTKKSGLSLEFSCMAFPDEIAIDALSVKHPGDSLEDQMANEGPDFEDLDENLKKTFYKFLEIRGVKASTTNFLHEYMMRKVNREYLLWLKNVKEFMEE >scaffold_402741.1 pep chromosome:v.1.0:4:19351275:19354080:-1 gene:scaffold_402741.1 transcript:scaffold_402741.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:D7LDS8] MDESFANLQTSHLLGSVPAVISDDKRSTNVPIASVNEGPSANMQIFPPNLGNNTKGYQTLESPTVQGPEQQPSNNWKGFFNVYSYTQYFDVDTDVVLNRLMSSLYPTSGDFFNKIDANPDLYGLVWICTTLVFVLASLGNCATYLVKKRTDSNAPWIFDVNYMNLAASIIYGYAIIVPLGFYFSLRYMGSKADLLRFWCLWGYSLFIFVPTSLPLLIPVEFLRWVIILLAGSASSCFVALNLRSYLETNNDITVVMAAAFGLQMVLSIFIKVWFFP >scaffold_402742.1 pep chromosome:v.1.0:4:19354565:19359606:1 gene:scaffold_402742.1 transcript:scaffold_402742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTREINGFASAARSISLPTQPNYSSKPVQEALKHLASINLRELCNEAKVERCRATRDLASCGRFVNYVLNPCGHASLCSECCQRCDVCPICRSTLPKYGDRLRLRLYYECVEAGLISRTHEEASQDSDEDEDQLAADVHRLYSLFDVAMNNNLISVVCHYITNVCMDETAVSSDPVIAFLLDEVVVKDWVKRTFRSILAELQEIYSLETKEMQAWLDKLLRCSKQVAGICSVLEVMESAFNGSVSSQLQDVLKLRENIGKTKQHLDIMVWCIRHGFLEDVRSRYSNFTSWNALVGERKSNAIKRAWPDAVDQSSDCNVQSASLFIEDALQNLEREPEYSQEIGADLEVGCLQKDKRSFLRSKIEGTSGSYPFENLRTAADMLFLHGGSDLVVAKQAIFLYYLFDRHWTTPEKYWKHIIDDLAATFGITRHSLLESFVFYLLDDHSEEALQEACRILPEICGPETYPKVAQVLLERENPETALMVLRWSGRDGVSELVSIGEAVTAVRVRVECGLLSEAFTYQRTLCLKVKENNLKNGAVKHVSDDLDSWSWTEWMEILVNEFCFLSIRRNLVDRIIELPWNPDEEKYLHRCLLDSATDDPSSVVGSLLVVFYLQRYRYIQAYQVDLRLQKIEEAFVSDNQTGEEVMFRMRSQSHWRKELVDRAIDILPVIQQQQVRSGKFSEMEDASESASEGVKNSDLPDASDMITSSVPFAATNSVFLQRANNASAREPVANNGSPFQPGHLIGNASLDLSHGRLFTNANRGQKSEVRSITKALKFGEMSTPFKDLNRTRGNSQLKGKRTEETSPETNVDRFMENNMSSPYLRRVTANNPVTVKSSSNHLNGSAQKPESTFFGARMQADKDNFVDLDDPMDMSSSLKDNNNVLATESRNNSGGLRWRSDETSDEEDELKLGMELTNFSSMPVKGRRRRRFAAR >scaffold_402760.1 pep chromosome:v.1.0:4:19436355:19436774:1 gene:scaffold_402760.1 transcript:scaffold_402760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFVMVVGASGIGDGGDKKYNYKVVAWTNEDDRRQTKIVTTNADPEFREVLHLPQNKAASFLNLELFSVNSADTDAFFCGRANTALPMKTNANVYRKVKLENLDTSGNIVTVGYLEVYLGLETG >scaffold_402761.1 pep chromosome:v.1.0:4:19437029:19438520:-1 gene:scaffold_402761.1 transcript:scaffold_402761.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LDU8] MPSLENSVTINSRSRVFPDQKSTLVDLKLSVSDLPMLSCHYIQKGCLFTRPHLPLHALLSHLKHSLSITLSHFPPLAGRLSTSSSGHVFLTCNDAGADFVFAEAKSVHVSDVIAGIDVPNVVKEFFTYDRAVSYEGHNRPILAVQVTELIDGVFIGCSVNHAVTDGTSLWNFINTFAEVSRGVKNVTRQPDFTRESVLISPAVLKVPQGGPKVTFDEKAPLRERIFSFSKESIQELKAAANKKKWLTVDNGEVDGVELLGKQSNDKLNGKENGILTEMLESLFGRNDAVSKPVVVEISSFQSLCALLWRAITRARKLPSSKTTTFRMAVNCRHRLSPKLNPEYFGNAIQSVPTFATAGEVLSRDLKWCADQLNQSVAAHQDGRIRSVVADWEANPRCFPLGNSDGASVTMGSSPRFPMYDNDFGWGRPVAVRSGRSNKFDGKISAFPGREGNGTVDLEVVLSPETMAGIESDSEFMRYVTKK >scaffold_402767.1 pep chromosome:v.1.0:4:19479046:19479433:-1 gene:scaffold_402767.1 transcript:scaffold_402767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYTISLMSITTHRPWRVLFKPMVVSKQVNLFFYDSKKRLFKYYPETYSLCCLSLDICVISPFVENLFSLNLSSGFHYVDHASCSRISKFFRPIEFLFSPCILLTTALASLVIVV >scaffold_402770.1 pep chromosome:v.1.0:4:19488929:19489719:1 gene:scaffold_402770.1 transcript:scaffold_402770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDAARDRMRVFGFHERVISESIKELLEVYGEDQWFLIEDDSYGPLLAICLEKQAEKDKQLAEVQAYQLPENQDEEMAEDQNQEIAEEEQPNQLAEEEQEEEKHDPSFVIQEQEQDMQIEYGRDQADKLSINSDAVMDPSPAALHLGEALADYAQGSVGGSKSFYSYP >scaffold_402775.1 pep chromosome:v.1.0:4:19522808:19523195:-1 gene:scaffold_402775.1 transcript:scaffold_402775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNGETKRRRNVAEEAEQGGGGEDPAMWENLDRNFRQVQSVLDRNRSLIQQVNDNHQSRMADNMSKNVALIQELNGNISKVVNMYSDLNTSFSSGFHGGKNGHDGGGAAGTRA >scaffold_402776.1 pep chromosome:v.1.0:4:19525614:19526333:1 gene:scaffold_402776.1 transcript:scaffold_402776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNGGFHEVEKDGKLFLVYHHPKYCPIPETQTLTSSVDLPFQPLFLCPNVRWWNTNSSPEYVFPTTTDSNDHHVLPLFWCNNKEFDIDGGCDMCEGSNFGTDYYFCIIYDEKFHRECVQSPLKIKHPYHPEHSLQLSFHFFSNIECLCCRRRAYMLVYHCTICQAYMHPTCAMKPIPFIIDQPKNS >scaffold_402781.1 pep chromosome:v.1.0:4:19542058:19543328:1 gene:scaffold_402781.1 transcript:scaffold_402781.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:D7LEF6] MGRLFVVNLEGKIYSCKHCKTHLATYEDIISKSFHCKHGKAYLFNKVANVSIGETEERLMMTGKHTVADIFCVSCGSIVGWRYETAHEKNQKYKEGKSVLERFKISGPDGSNYWVSSHGRHIGGSDADDA >scaffold_402783.1 pep chromosome:v.1.0:4:19545727:19548350:1 gene:scaffold_402783.1 transcript:scaffold_402783.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:D7LEF8] MGKEKKTESYNNDSGSYNYKMFKCFNRKFKINEVTPTDDVRDAFCQFAVGGGGGGGGGTDGDSSDGDGSSGVMGAEQLCSFLDDHQGESGTTVAEAQRLIDEVIRRRHHVTRFTRHGLDLDDFFNFLFYEDLNPPITPHVHQDMTAPLSHYFIYTGHNSYLTGNQLSSDCSEVPVIKALQRGVRVIELDLWPNSTGTDINVLHGRTLTTPVPLIKCLKSIRDYAFSSSPYPVIITLEDHLTPDLQAKVAEMATQIFGQMLYYPESDSLVEFPSPASLLHRIIISTKPPKEYLESRNPIVKQKDNNVSPSSEEETPRTEEIQTLESMLFDQDFESKSDSDQEDEEASEDQKPAYKRLITIHAGKPKGTVKEEMKVVVDKVRRLSLSEQELDRTCSSNSQDVVRFTQRNLLRIYPKGTRFNSSNYKPLIGWTHGAQMIAFNMQGYGKSLWLMHGMFRANGGCGYVKKPNFLMKKGFHDEVFDPRKKLPVKETLKVKVYMGDGWRMDFSHTHFDAYSPPDFYTKMFIVGVPADNAKKKTKIIEDNWYPIWDEEFSFPLTVPELALLRIEVREYDMSEKDDFGGQTCLPVAELRPGIRSVPLYDKKGEKMKSVRLLMRFIFE >scaffold_402785.1 pep chromosome:v.1.0:4:19550531:19552189:-1 gene:scaffold_402785.1 transcript:scaffold_402785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFPNLNSDAGLKKLDEYLLTRYYISSYKATKDDIIVYGALLKPPTSQYVNACRWYNHMEILLRRGISFNSSEGSGVIIDGSSAVDSKKAAEERRATSLETSTKKETWKSTLMVIIPPDDEMDMEKLEKDVRSIQMEGLVWGPSKLFPVGYGVKLLRIIFIHEEDLCDDDTLVDTHIYNCGRVRSVETCNLEVCPAKDDGDLLEEEIEEEKKASGKSGLVVFRYGGKTDIQKVEERVRSKQKEGVSWGATKLFNVGYGFTYFQTIFTIVDDRVSLDTIRRKGLRFIPLNRICKCSKSIFKLLLDYMLMPKMFVWY >scaffold_402793.1 pep chromosome:v.1.0:4:19590979:19591403:-1 gene:scaffold_402793.1 transcript:scaffold_402793.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early methionine-labelled 6 [Source:UniProtKB/TrEMBL;Acc:D7LEG6] MASQQEKKQLDERAKKGETVVPGGTGGKSFEAQQHLAEGRSRGGQTRKEQLGTEGYQEMGRKGGLSTGDKPGGENAEDEGVEIDESKFRTKT >scaffold_402795.1 pep chromosome:v.1.0:4:19596958:19597816:-1 gene:scaffold_402795.1 transcript:scaffold_402795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIYYSSMDDPTYVKLSEDAKMFGLKVCTGPFHQHSCMVGYHAVTMVDVMLIDGRWVAICKMNNGVDVASQSYAYVCLSTMYMFVGCTLEGR >scaffold_402796.1 pep chromosome:v.1.0:4:19598907:19599429:1 gene:scaffold_402796.1 transcript:scaffold_402796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRFSNFKGKLKKMATKNEKSVVTNEKSMRRKTVPQSIRSRRNTGLTRPSLRTTEMEDTAKSFSSTAKELLSVVEFNKQSSKS >scaffold_402804.1 pep chromosome:v.1.0:4:19637201:19638257:1 gene:scaffold_402804.1 transcript:scaffold_402804.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LEH5] MSLLRRRFLKQPVNSRTFLHILADRSFSTVKIPSGRRKTTEFDKLINEAGSSGDFETVRRLLNNRIVLGSFNTSETFKFLTNTVSYSSALEDIRRVLPQIDGGFSRKNAYDILISRLCKLGRINDALIVIGDMSNGRLSLTPSTFHPILCSLTRKNKIDEAWRVVESMRSKSVPMDVTAYNYFLTSHCYDGEMESASEVMRKIEEDGISTDSRSYDALVLGACRAGKVEAAMAILRRMEEDCVTVLYSTHAHVIAGLVEGGYYALGLEFVMAYAGKDLGLDGESFGFLAGKLVKRKRFEEAKIVVKEMVMRGLRMGDELRQFFEGNVRNDDDDEDDYN >scaffold_402807.1 pep chromosome:v.1.0:4:19649060:19650419:1 gene:scaffold_402807.1 transcript:scaffold_402807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVMLDEASLWLNEHEPPLRKQKLGAPPSPVEDLYFSDEEDMSPEEREKYRLQVVESCGFDVDFFKHTFNGIMPSGCCPYDTLFAKAGLHCYNLEKGKNLQFKTVVKVNAEIGSLYNSYSTSEVMDPVNNSLHTFQTLVTDAGKMNKARLILVTKICRIKPQVPDVFYKGDMPNWPLNDAFIKGDKLLQFYEVKESELRDNEWLYLYAEAALFSEWHSDMSVPFEMKKVVVQTKEDVESSMKLKSSNAIFYMSFKVGEGPDCRGIVRKTSDGRTGHMCLEARCWIDK >scaffold_402808.1 pep chromosome:v.1.0:4:19651235:19652644:1 gene:scaffold_402808.1 transcript:scaffold_402808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVMLDEANLWFHEHEPPLRKRKLEAPPSPVEDVYFSDEEDMNPEEQEKYRLQVVESCGFDVDLFIHTFNGIIPSGCTPYDTLFAKAGLHCYNLEKGKKLQFKSVLKVNAEIGSLYNSYSTSEVMDPVNNSLHTFQTLVTDAGKMNKARLILVTKICRLKPQVPGMGDANVFWNFDAIDGFYKGDMPDWPLNDEFTKGDKLLQFYEVKESEFRDNEWISLYAEAALFSEWHSDMSVYTPFEMKKVVVQTKEDVESSMKLKSSNAIFYMSFKVGEGPDCRGIVRKTSDGRTGHMCLEARCWIDK >scaffold_402810.1 pep chromosome:v.1.0:4:19655340:19657014:-1 gene:scaffold_402810.1 transcript:scaffold_402810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWQWLQFRFLPFDRKAFGFHRKTLVRYPYKDLPSRKDRKNRVVAATITPSSSPQPSPKHVSTKSSTVPEPQKRSSTQDVSPSPSVSLVNPSTPRNAHSSSVAVPLVVGCVGGAFFLLLVATGLYFFTSKAGKTVNPWRTGLSGQLRKYSLLIEAACEDFSNVIGSCPIGKLFKGTLSSGVEIAVASFATTSAKDWKDNTEIHFRKKIEMLSKINHKNFANLLGYCEEKEPFARILIFEYAPNGSLFEHLHFKESEHLDWGMRLRIAMGLAYCLDHMHQLNPPIAHTNLVSSSLQLTEDYAVKVSDFSFGPSETETSINDTVIDTNISVLNPEENVYSFGLLLFEMISGKLPESVNKPDSVDSALVDFLRGETLAKMVDPTLESYDDKIENIGEVIKSCLRTDPKERPTMREVTGWLREITGISPNDATPKLSPLWWAELEVLSTA >scaffold_402811.1 pep chromosome:v.1.0:4:19657131:19657617:1 gene:scaffold_402811.1 transcript:scaffold_402811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWPSNDDKLQFYEVNESELRDNEWLHLYAEVALFSEWESDMVRILKAYRYVSLGHSFLKSSNAIFYMSFKVREGPDCRGIIRKTSDGRTGHMRLEARCWIDK >scaffold_402812.1 pep chromosome:v.1.0:4:19657773:19658040:-1 gene:scaffold_402812.1 transcript:scaffold_402812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGKLPESVNKLDSVDRGLVDFLIGETLWPTLESYNKKIENARSHGWLWEITANDATSKFSPLWWPELEVLSTA >scaffold_402816.1 pep chromosome:v.1.0:4:19666711:19667527:-1 gene:scaffold_402816.1 transcript:scaffold_402816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNWLQFRRRRHQISPDSPKWPRHFSCSSFKDIQNLLHEDDSPPKPYSIRGQIIHQPRSPKIHGCISNANFLHPIPTPTLFSTVDIPNADHRGVVLYYTSLRIIRKTFEECKSVYIGGMKEIKQLQENDELRKLIDTLPPSDKIFDEICDLCRGWSFVVCDRCNGSHKIFLEKSGFTNCTSCNVQGLIRCVSCFPMHRRRNSESSGRVKCMN >scaffold_402818.1 pep chromosome:v.1.0:4:19671109:19673793:-1 gene:scaffold_402818.1 transcript:scaffold_402818.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7LF23] MAISVQHVVVLLLSTLLIAITFFLFTSDNATFPFPSLSPTDYYTPNGPNPKSPNPHQNVDVSSDQTPQKMKLNSSQEVDELKWDLCKGAESVDYIPCLDNYAAIKQLKSRRHMEHRERHCPEPSPQCLVTLPDNYKPPVPWPKSRDMIWYDNVPHPKLVEYKKEQNWVKKEGEFLVFPGGGTQFKFGVTHYVEFIEKALPSIKWGKNIRVVLDVGCGVASFGGSLLDKDVITMSFAPKDEHEAQIQFALERGIPATLSVIGTQQLTFPSNAFDLIHCARCRVHWDADGGKPLLELNRVLRPGGFFIWSATPVYRDNDRDSRIWNAMVSLTKSICWKVVTKTVDSSGIGLVIYQKPISESCYNKRSTQDPPLCDKKEANASWYVPLAKCISKLPSGNVQSWPELWPKRLVSVKPQSISVEAETLKKDTEKWSAIVSDVYLEHLAVNWSTVRNVMDMNAGFGGFAAALINRPLWVMNVVPVNKPDTLSVVYDRGLIGIYHDWCESLNTYPRTYDLLHSSFLLGDTDLTQRCEIVQVVAEIDRIVRPGGYLVVQDTMETIKKLEYILGSLHWSTKIYQDRFFVGRKGFWRPAKPEL >scaffold_402822.1 pep chromosome:v.1.0:4:19687228:19689520:1 gene:scaffold_402822.1 transcript:scaffold_402822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKLWIPRFILITLVLSSFRVNSQCEFSFTGRNKVFDFNLASSIRNFPHGVLSEDGFYRVEANSTVLWFQLCDVLIFNHDPPRCVGCEDCGGPSHCGTSCSALVSENVRGYDVCTSLGHASSTKVDIIDKEDPGKGVIVKMSGGDRNQNCSLSVSVICQKNKVDGPLTLAKSGTCHYATQLRHPSGCAAAISGHSSGWGWFSTLLIIILCLFGAYLLGGAVYRYFSLGIRGIDVIPNVDYWATVPHSVQSCFGSLFSRFGGSSRGQRTSYSQVNF >scaffold_402823.1 pep chromosome:v.1.0:4:19689968:19690169:-1 gene:scaffold_402823.1 transcript:scaffold_402823.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LF28] MATADWTGLVLAEPALERLLQNMSDPADQSVSASQKKKKDMFDRFGSAKSAQI >scaffold_402826.1 pep chromosome:v.1.0:4:19704296:19705389:-1 gene:scaffold_402826.1 transcript:scaffold_402826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLEHVANLASMPFDSPRKRKSRGTRDVAEILRQWREYNEQTEADSCIDGGVPKPVRNPPPKGSRKGCMKGKGGPENGICDYRGVRQRTWGKWVAEIREPGRGARLWLGTFSSSYEAALAYDEAAKAIYGQSARLNLPEITNRSSSTAATVSGSVTAFSDESEVCAREDTNERSGFGQVKLEDCNDEYVLLDSSQCIKEELKVKEEVMEEHNSAVGFGIGQDPKREILDAWLMGNGNEQEPLEFGVDETFDINELLGILDDNNVSGQETMQNQVDRQPNFSYQTQFQDANLLGSLNPMEIAHPGVDYGYPYVQPSEMENNGIDLDHHRFNDLDIQDLDFGGEKDVHGST >scaffold_402828.1 pep chromosome:v.1.0:4:19713019:19715453:-1 gene:scaffold_402828.1 transcript:scaffold_402828.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:D7LF33] MEIVKSLIFISLAVVLLFASIAEANIKAHHHEFIIQATKVKRLCETHNSITVNGMFPGPMLVVNNGDTLVVKVINRARYNITIHWHGVRQMRTGWADGPEFVTQCPIRPGSSYTYRFTIQGQEGTLWWHAHSSWLRATVYGSLLVLPPAGSSYPFTNPHRNVPLLLGEWWDANPVDVLRESIRTGGAPNNSDAYTINGQPGDLYKCSSQDTTIVPINVGETILLRVINSALNQPLFFTVANHKLTVVGADASYLKPFTTNVIVLGPGQTTDVLITGDQPPNRYYMAARAYQSAQNAPFGNTTTTAILQYKSAPCCGVGGGSGTKKGISVKLIMPILPAYNDTNTVTRFSQSFRSLRRAEVPTEIDENLFVTVGLGLNNCPKNFRSRRCQGPNGTRFTASMNNISFALPSNYSLLQAHHHGIPGVFTTDFPAKPPVKFDYTGNNISRSLYQPDRGTKLYKLKYGSRVQIVLQDTGIVTPENHPIHLHGYDFYIIAEGFGNFNPKKDTAKFNLEDPPLRNTVGVPVNGWAVIRFVADNPGVWIMHCHLDAHISWGLAMAFLVENGNGVLQTMEQPPADLPVCY >scaffold_402835.1 pep chromosome:v.1.0:4:19737887:19740812:-1 gene:scaffold_402835.1 transcript:scaffold_402835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSKTSRKGKKAWRANISTEDIEDFFEKTTKDALSGGNLSAAPSEDLFHVDKSHDLPVKRKIEKHRERVLRVDSILKKNPFVQLVPSSKPKLKKSKKTIVIEDKAPKQVQKNVGDDSVMVDLWGDDSKGEDESNPRKIFKKPSIIPAVEIEHPGCSYNPTTESHQDMLAEAVAQEMQKVYKTELGPAPVPLTVEGDSLSEDERYFLDVDNFGEGEDDVLKKNPFVQLVPSSKPNLKTIVIEDKTPRQAQKSVGDDSVMVDMLGDDSKEDLRYFLELGNVGEGENNEDVENEVSEAGNIFARKTKRVTRVELNKRCRQKALRKKETKEKAKEKILNEIDSLPNILEEIAREDEDKQNKHLRRVIAKEEVLKIRPPRLGKYKFEAPPVQVLLTEEMTGSLRKLKACCTLARDRFKSLEKRGILVPSKQIRRF >scaffold_402837.1 pep chromosome:v.1.0:4:19749590:19750310:-1 gene:scaffold_402837.1 transcript:scaffold_402837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETNKELFVGGFAKILKEQRQVDVRLKAGDSGEGGASISAHKLVLSARSEVFKKMLESDEIKTSAQLETITLCEMKHEELEAFLEFLYSDGSMLSEKEKQHVRSLYLAADKYGIPHLRDLCRSELISYLNSSNALDILELAQIPFDDALHDSAFNNIITNLGARIFRGNGRYCHQYRY >scaffold_402841.1 pep chromosome:v.1.0:4:19769279:19769897:-1 gene:scaffold_402841.1 transcript:scaffold_402841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSEDDTNGVISSKIIVKESSQVNSSSRIYYYGGASVPFLWETQPGTPKHSLFSESFRVPPLTPPPSYYSSSSSSGNHMSKARTKQTRFVKTLLSRHVSRPSFSWSSTSSSSSSSYSSSSPPSKAEHRPRKCYSCSRSYVKEDDEEEIGSSSPTSTLCYKRGFSSSMGSMKRALCSVLSHGSSRKDLRLM >scaffold_402843.1 pep chromosome:v.1.0:4:19779318:19781412:1 gene:scaffold_402843.1 transcript:scaffold_402843.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase [Source:UniProtKB/TrEMBL;Acc:D7LF48] MSILQVSTSSLSSSILLSISPRKSLSSPKSCRIVRCSVEGTTVTERKVSAISEPLLLRAVKGEVVDRPPVWLMRQAGRYMKSYQTLCEKYPSFRDRSENADLVVEISLQPWKVFKPDGVILFSDILTPLSGMNIPFDIVKGKGPIIFNPPQSAADVDQVREFIPEESVPYVGEALRRLRNEVNNEAAVLGFVGAPFTLSSYVIEGGSSKNFTQIKRLAFSQPKVLHALLQKFTTSMITYIRYQADSGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVEAVKQTHPNLPLILYASGSGGLLERLARTGVDVVSLDWTVDMAEGRDRLGRDLAVQGNVDPGVLFGSKEFITSRIHDTVKKAGRDKHILNLGHGIKVGTPEENVAHFFEVAQGIRY >scaffold_402844.1 pep chromosome:v.1.0:4:19781960:19782911:1 gene:scaffold_402844.1 transcript:scaffold_402844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRRDDDWIVDESSLSKVSSLGGGSFGRVSLEKDSNSGRLYAKKSSRMHLQKILEKELRIMHRFRNHPRIVQASNKLHFQTKPYEYCNIFMEYASKGNLYKILSGFPDKSQPIPESLVSRAARMILEGLVALHSHGYVHCDLKPSNVLVFPSTTPGEPWDLKLADFGSSKEPDSEYDFMSLGTAQYIPSESFGPNGLINPGHIDPRLDIYALGCVVYEMFGALPKQEYFEYYYDWILHREISPEAQDFLRRCHDMHPHRPNATELLNHSFITQRLPWPTTENDKEISARSLIPSVSKLLLT >scaffold_402846.1 pep chromosome:v.1.0:4:19788044:19790417:1 gene:scaffold_402846.1 transcript:scaffold_402846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQEKVPVSLSQAPSSSSLSPTTTPIGEELWLIAEERAQEILFAIQPMYLSERSRNEIINHLQTLMRERLGIEVFLFGSVPLKTYLPDGDIDLTVLTPYGMEENCAKALRNILEAERGESDFQVTDVQYIHAQVKVIKCTIRNVALDISFNQMAGLSALCFLEQVDRAFGRDHLFKRSIILIKAWCFYESRILGANNGLISTYALAILVLNIVNMSYSSVSGPLAVLYKFMDFYGSFDWENYCITVTGLVPISSFPDITETRNHEVFLDEKFFRECIESYSGPANVVEANRKYFPVKHYNILDPLKHSNNLGRSVSEGNAIRLRHCFRRGAQKLRDVLTFPGETVGWKLEDFFGNSLDRNGKGQRQDVEEPVIPFGTGKADYSNLRGDFYGYFLCFIYGKWFHRESLHNWIPQGLDISSWETVRWFMTRRRNVFNGRNLNGGSTSTSMPNKRKSKGTGTYIPEMGQQSYTGRSSSKPSTVKSLPSASQTHQCKKNPQTHRCKKNPQTHQCKKNP >scaffold_402850.1 pep chromosome:v.1.0:4:19804475:19805426:-1 gene:scaffold_402850.1 transcript:scaffold_402850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLWRDDDWIVDESSLSKVSSLGGGSFGRVSLEKDSNSGRLYAKKSSRMHLQKILEKELRIMHRFRNHPRIVQASNKLHFQTKPYEYCNIFMEYASKGNLYKILSGFPDKSQPIPESLVSRAARMILEGLVALHSHGYVHCDLKPSNVLVFPSTTPGEPWDLKLADFGSSKEPDSEYDFMSLGTAQYIPSESFGPNGLINPGHIDPRLDIYALGCVVYEMFGALPKQEYFEYYYDWILHREISPEAQDFLRRCHDMHPHRPSATQLLNHSFITQRLPWPTTEDDKEISARLLIPSVSKLLLT >scaffold_402854.1 pep chromosome:v.1.0:4:19813140:19814115:-1 gene:scaffold_402854.1 transcript:scaffold_402854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLCRDDDWIEDESSLTTLSLLCQGNFSYVCLKEDSKSRLCAKKASPMHHKKILEKELRIMHRFRDHPRIVQASNKLHLHKQSNRPSECFINNRPSECFIYMEYASKGNLNKFIYGFRRKEQKIPETLVSRTARMILEGLEALHSHGYVHCNLKPSNVLLFPSKTPGEPWDLKLADFGSSKEPNTDYDSKYLGTAKYMPAESFPPNGLIIDPGLDIYALGCVVYEMLGAIALEEYFEEFYEWHLRRVISPESRDFLRRCQDIHSRRPTAAELLNHPFITQELLPSPTTEDNKEISRSLIPGVSKLLLT >scaffold_402856.1 pep chromosome:v.1.0:4:19817282:19818718:-1 gene:scaffold_402856.1 transcript:scaffold_402856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDGKEVAFKLSDYCVLKIVQGDITNWSVDGSSDAIVNPANERMLGGNGADGAIHDAAGPQLRAACYEVPEVSPGVRCPTGESRITPGFNLPASHVIHTVGPIYNAEKNPKKLLESAYKNSLRVAKENNIRYIAFTAISCGIFRYPLEEAASIAISTVKQFGKDLKEVHFVMFNEETYTVWLNKAKDLPPPPQGRNFSPSPRTTKTRFFSNLNCCFKMV >scaffold_402857.1 pep chromosome:v.1.0:4:19819108:19820444:-1 gene:scaffold_402857.1 transcript:scaffold_402857.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXPA8 [Source:UniProtKB/TrEMBL;Acc:D7LF62] MSITSASKYSIISIISLLSLSFFLQGTHGDDGGWQGGHATFYGGEDASGTMGGACGYGNLYGQGYGTNTAALSTALFNNGLTCGACYEMKCNDDPRWCLGSTITVTATNFCPPNPGLSNDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPVSFRRVPCMKKGGIRFTINGHSYFNLVLISNVGGAGDVHAVSIKGSKTQSWQAMSRNWGQNWQSNSYMNDQSLSFQVTTSDGRTLVSNDVAPSNWQFGQTYQGGQF >scaffold_402866.1 pep chromosome:v.1.0:4:19850021:19854570:1 gene:scaffold_402866.1 transcript:scaffold_402866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIANEGRDGDGATATADERSSSHGCCKIKEPGDSSEGLDSISSMPDVILQVILSLIPTRFAIRTSVLSRRWRHVWSYIPSLYFHKDRPDANSINETLARYKAPKMMSFRICTSELDNLPNMDSWIKFALSRNVENLSLYLERRSDYNIPEFLYVNSSVKNLCIESIFYSNIIPKCSVSWTSLKNMSLWCCKLSDESIAKILFGCPILESLTLCFCKELKVLDLSKSPRLKTLVIYNDSVNPGPRKIVAPHIHRLRLKNTQLPCDLLVDVSSLTEAKLDISYNSYREKLNADLLHIMVLERLDKCQNVEKLTLGESFLEILSLAELRGVPFPNFKVRALTLETPISHYVIPGIVRVLQNSPELKKLTLKYKRDDGFIPGIYIDENLRSHGLDTDKCWTSEARVFKDICPLRAYSLFLQVVLKTTETLEKMVVMLEPYFIAKGVMKELLEMIPLLTRNINVSIVKTRCRFKIQVKRFTTNAYQQKSKPSLEIKIVFCGDDADMRFKLHDVHIRRRLSRLADSYISPASVNSGIRALIQKGEYLQALHLYTKHDGSSPLWTSVFTFPSLLKACSSLTNLSSGKTIHGSIIVLGWRYDPFIATSLVNMYVKCGFLDYAVQVFDGWSQSGVSARDVTVCNSMIDGYFKFRRFKEGVGCFRRMLVLGVRPDAFSLSIVVSVLCKEGNFRREDGKQIHGYMLRNSLDGDSFLKTALIDMYFKFGLSTDAWRVFVEIEDKSNVVLWNVMIVGFGGSEICESSLELYMLAKSNSVKLVSTSFTGALGACSQSENSAFGRQIHCDVVKMGLDNDPYVSTSLLSMYSKCGMVGEAETVFSCVVDKRLEIWNAMVAAYVENDNGYSALELFGFMRQKSVLPDSFTLSNVISCCSMFGLYDYGKSVHAELFKRPIQSTPAIESALLTLYSKCGCDTDAYLVFKSMEEKDMVAWGSLISGLCKNGKFKEALKVFGDMKDDDDSLKPDSDIMTSVINACAGLEALSFGLQVHGSMIKTGQVLNVFVGSSLIDLYSKCGLPEMALKVFTSMRPENIVAWNSMISCYSRNNLPELSIELFNLMLSQGIFPDSVSITSVLVAISSTASLLKGKSLHGYTLRLGIPSDTHLKNALIDMYVKCGFSKYAENIFKKMQHKSLITWNLMIYGYGSHGDCRTALSLFDELKKAGETPDDVTFLSLISACNHSGFVEEGKNFFEIMKQDYGIEPKMEHYANMVDLLGRAGRLEEAYSFIKAMPTEADSSIWLCLLSASRTHHNVELGILSAEKLLRMEPERGSTYVQLINLYMEAGLKNEAAKLLGEMKERGLQKQPGCSWIEVSDISNVFFSGGSSSPIKAEIFKVLNRLKSNMVTEDKAT >scaffold_402867.1 pep chromosome:v.1.0:4:19854761:19860532:-1 gene:scaffold_402867.1 transcript:scaffold_402867.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LFT7] MFKFLKGVVAGSGTGLKDLPYNIGDPYPSAWGSWSHFRGTSKDDGSPVSIFALSGNNAQDGHLAAGRNGVKRLRTVRHPNILSFLHSTEVETHDGSTTKVTIYIVTEPVMPLSDKIKELGLKATQRDEYFALGLHQIGKAVSFLNNDCKLVHGNVCLASVVVTPTLDWKLHAFDVLSEFDGSNESASGPMLPYEWLVGTQYKPMEMVKSDWVAIRKSPPWAIDSWGLGCLIYELFSGSKLAKTEELRNTVGIPKSLLPDYQRLLSSMPSRRLNTSKLLENGEYFQNKLVDTIHFMDILNLKDSVEKDTFFRKLPNVAEQLPREIVLKKLLPLLASSLEYGSAAAPALTALLKMGSWLSTEDFSVKVLPTIVKLFASNDRAIRVSLLQHVDQFGESMSGQIVDEQVYPHVATGFADTSAFLRELTLKSMLVLAPKLSQRTLSGSLLKYLSKLQVDEEPAIRTNTTILLGNIATYLNEGTRKRVLINAFTVRALRDTFPPARGAGIVALCATSTTYDDTEIATRILPNIVVLTIDQDSDVRSKAFQAVEQFLQILKQNYEKTNAGEIGATGGASAIPETAGLIGWAMSSLTLKGKPLEQAPLASSSSAPSLAAAASNATSTATEAPSVKASHHTRSNSDFTDQPAPPSPTSTDGWGDAENGISEGHESDKDGWDLEPLDEPKPSPALANIQAAQKRPVSQSSRPSAATSSRPKISTVKAAVKSEDDDLWGSIAAPPPATTSRPLNLKKTVQSDDEDPWAAIAAPPPTTRAKPLSSGRGRGAKPAALKLGAQRINRTSSGM >scaffold_402870.1 pep chromosome:v.1.0:4:19875939:19876912:-1 gene:scaffold_402870.1 transcript:scaffold_402870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKVIGDLTESSLKIVSVLDKGIYGSVSNNKNDSNLEKSYMKKTSTLKHSDNLERELKAMLHFHTNPFIVQASCPHLHFECNTKSATLCYIYMEYASLGNLDKMISDAGGRLPEYSVRRATRMILQGLKALHSEGYVHCDLKPSNVFVFPSNTPGEPWDLKLTGLGLSKGKEPTMDSSLSFPGTLEYMPPEATAERYRFSGPDLLVGPARDIWSLGRTVLKMFGGVPHEMGNCIAWRIEGYLSPAAQDFWRQCRTWRPTDRPTVDKLLDHPFVAEKLSSFLEVPFFHRKGYYKMCEGFNPKPGDINWLF >scaffold_402877.1 pep chromosome:v.1.0:4:19894222:19896321:-1 gene:scaffold_402877.1 transcript:scaffold_402877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPSDFKAIQGFIRLHYTRVNPVTIGRSNPSALSSPAIPSNGVSQLQPKFSFHSLSSKPTSTNVGLSQILSSPKLNPKLQQALGLPRVNVSFASSFRLVSNKSSGFRKIDGNFARKVVDKPVKAVSSTFARYREAIGLHVDAFWKKNSLVVFGAGGVFVCIFLWRIMFGIASTFVGLSEGMAKYGFLALSSAIVAFSGLYLRSRFTINPDKVYRMTMRKINTAAEILEVMGAPLSGSDLRAYVMSGGGITFKKFKPTIRSKRCFLLFPVQGSERKGLVSVEVKKKKGQYDMKLLAVDIPMASGPDQRLFLIGDEEEYRVGGGLISVLRDPVVKAMAATKEFDNLDRIEEEEDAERELEEAERKHREEIEKLEKEGS >scaffold_402878.1 pep chromosome:v.1.0:4:19897632:19897822:-1 gene:scaffold_402878.1 transcript:scaffold_402878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMVVMLGHCLHGIQGKLTVSSYLTISYINEREXXXXXXXXXXXXXXXX >scaffold_402890.1 pep chromosome:v.1.0:4:19935355:19937622:-1 gene:scaffold_402890.1 transcript:scaffold_402890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP98A3 [Source:UniProtKB/TrEMBL;Acc:D7LFV5] MSWFLIAVAFVAAVVSYKLIQGLRFKFPPGPRPKPIVGNLYDIKPVRFRCYYEWAQSYGPIISVWIGSILNVVVSSAELAKEVLKEHDQKLADRHRNRSTEAFSRNGQDLIWADYGPHYVKVRKVCTLELFTPKRLESLRPIREDEVTAMVESVFRDCNLPENRAKGLQLRKYLGAVAFNNITRLVFGKRFMNAEGVVDDQGLEFKAIVSNGLKLGASLSIAEHIPWLRWMFPADEKAFAEHGARRDRLTRAIMEEHTLARQKSSGAKQHFVDALLTLKDQYDLSEDTIIGLLWDMITAGMDTTAITAEWAMAEMIKNPRVQQKVQEEFDRVVGLDRILTEPDFSRLPYLQCVVKESFRLHPPTPLMLPHRSNADVKIGGYDIPKGSNVHVNVWAVARDPAVWKNPLEFRPERFLEEDVDMKGHDFRLLPFGAGRRVCPGAQLGINLVTSMMSHLLHHFVWTPPQGTKPEDIDMSENPGLVTYMRTPVQAFATPRLPSDLYKRVPFDM >scaffold_402896.1 pep chromosome:v.1.0:4:19963418:19969411:-1 gene:scaffold_402896.1 transcript:scaffold_402896.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 5 [Source:UniProtKB/TrEMBL;Acc:D7LFW0] MAEVSMGSSSSSTDLSPEEERVFIRDIAIAAEANSKEGDTFYLITQRWWQDWIEYVNQDQPCNTNDGSSLSEHCDSPGSSTLKKPSRIDNSDLIYDSSLEDPSNTSEIIETLQEGRDYVLLPQEVWNQLRSWYGGGPTLARRVISSGLSQTELAVEVYPLRLQLLLMPKSDHSSIRISKKETIRELHRRACEIFDLNSEHVRIWDYYGHQKYSLMNDLDKTLDDANLQMDQDILVEVLDINGTLSSAHIQSAQENGLVDEDSTSILIEPSKSSLAAAGGFSSSRNAFRTGSVEVSQSFDSTYSSTGVTTRGSTAGLTGLLNLGNTCFMNSAIQCLVHTPEFASYFQEDYHQEINWQNPLGMVGELALAFGDLLRKLWAPGRTPIAPRPFKAKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYINSRDADGRPDEEVADEFWANHIARNDSIIVDVCQGQYKSTLVCPICNKVSVTFDPFMYLSLPLQFNTTRAITVTVFSCDKTALPSTITVNVSKQGRCRDLIQALTSACSLKQSEELKLAEIRNNFIHRLFEDPLIPLSTIKDDDHLAAYKLSKSSENTTLLRLVLRRRDQKAGERESTVQLKPCGTPLLSSASRGDALTKGKIHCLIQNMLSPFRREESVGKRGNSDSSIPERRSARFNNSEEEDKVDGLKKAKKSNSSELGASKLSLQLIDENNKTINLPDDEAEAIKLPSSATVTIYLDWTPELSGMYDITCLESLPEVLKYGPATKKARSEPLSLYACLEAFLREEPLVPDEMWFCPQCNERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVNFPVHDLDLTKYVANKNLSQPQLYELYALTNHYGGMGSGHYTAHIKLLDDNRWYNFDDSHISHINEDDVKSGAAYVLFYRRKSDAGGEMK >scaffold_402898.1 pep chromosome:v.1.0:4:19973485:19975736:-1 gene:scaffold_402898.1 transcript:scaffold_402898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCDCFETHVNQDDLLVKYQYISDALIALAYFSIPLELIYFVQKSAFFPYKWVLMQFGAFIILCGATHFINLWMFFMHSKAVAIVMTIAKVSCAVVSCATALMLVHIIPDLLSVKNRELFLKKKADELDREMGLILTQEETGRHVRMLTHGIRRTLDRHTILRTTLVELGKTLCLEECALWMPSQSGLYLQLSHTLSHKIQVGSSVPINLPIINELFNSAQAMHIPHTCPLAKIGPPVGRYSPPEVVSVRVPLLHLSNFQGNDWSDLSGKGYAIMVLILPTDGARKWRDHELELVENVADQVAVALSHAAILEESMHARDQLMEQNFALDKARQEAEMAVHARNDFLAVMNHEMRTPMHAIISLSSLLLETELSPEQRVMIETILKSSNLVATLISDVLDLSRLEDGSLLLENEPFSLQAIFEEVISLIKPIASVKKLSTNLILSADLPTYAIGDEKRLMQTILNIMGNAVKFTKEGYISIIASIMKPESLQELPSPEFFPVLSDSHFYLCVQVKDTGCGIHTQDIPLLFTKFVQPRTGTQRNHSGGGLGLALCKRFVGLMGGYMWIESEGLEKGCTASFIIRLGICNGLSSSSGSMALHLAAKSQTRPWNW >scaffold_402899.1 pep chromosome:v.1.0:4:19977039:19979590:-1 gene:scaffold_402899.1 transcript:scaffold_402899.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7LGH5] MAEPITEEQPPPPAPDPNSTFPPPSDFDSISIPPFDDHFYHSGSDHTPIGELMSDLGFPDGEFELTFDGMDDLYFPAENESFLIPVNTSNQEQFGDFTPESEGSGISGDCPVLPKDADKSITTSGCINRDSDDRCSGADRSLDLPTPLSSQGSGNCGSDVSEATNESSPKSRNVVVDQKVKVEEAATTTSIITKRKKEIDEDLTDESRNSKYRRSGEDADASAVTGEEDEKKKARLMRNRESAQLSRQRKKHYVEELEEKVRNMHSTITDLNGKISYFMAENATLRQQLGGNGMCPPHIPPPPMGMYPPMAPMPYPWMPCPPYMVKQQGSQVPLIPIPRLKPQNTLGTSKAKKSESKKSEAKTKKVASISFLGLLFCLFLFGALAPIVNVNYGGISGAFYGNYRSNYITDQIYSQHRDRVLDTSRSGTGTGVSNSNGMHCGRDSDRGARKNISATESSVPPGNGSEPLVASLFVPRNDKLVKIDGNLIINSILASERAVALRKASESKERKADLVISKDYSPALPLPDVGKTEEMAKHLYRSKAEKQKALSSGSTDTLKDQFKTKAANGEMQQWFREGVAGPMFSSGMCTEVFQFDVSSTSGAIIPASPATNVSTEHGKNTTDTHKQKNRRILRGLPIPLPGSDFNLTKEHQRNSSSKEIKPASSMVVSVLVDPREGGDGDIDGMIGGPKSLSRVFVVVLLDSAKYVTYSCVLPRSGAPHLVTT >scaffold_402901.1 pep chromosome:v.1.0:4:19985693:19986482:-1 gene:scaffold_402901.1 transcript:scaffold_402901.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LGH7] MREDNPNWFLRWEEELPSPEELIPISQTLITPHLALAFQIGSPNHHLGSKRTTAIYHQKLQPSATPTPTPTPPPMMMNSDFGGGDSTDLGSGSIGGEPARTLKRPRLVWTPQLHKRFVDAVGHLGIKNAVPKTIMQLMSVEGLTRENVASHLQKYRLYLRRMQGGNGNGISGGHVIVSDSATDRLFAGSPVPVDFLSPEYLMPPLQHSYLGKHVITQQNQVVRNLRYEDSEYGHGDGGRKILKLFPAGN >scaffold_402904.1 pep chromosome:v.1.0:4:19995154:19995359:-1 gene:scaffold_402904.1 transcript:scaffold_402904.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGI0] MARAGELTKLGLLAKNQEKKIENCCNGEICYVKDVPERMDVGVDEQFQWRRKKL >scaffold_402905.1 pep chromosome:v.1.0:4:19997044:19997469:1 gene:scaffold_402905.1 transcript:scaffold_402905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITMKSLVAIIFTGLLIVSSVHCRVTTISTPGYGIKHEDRRCLQGPEGTKLCSSGSVRDCLKFCIIRGYTSATCITPDECCCHIPPN >scaffold_402906.1 pep chromosome:v.1.0:4:19998488:19998913:1 gene:scaffold_402906.1 transcript:scaffold_402906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITMKSLVAIIFTALLIVSSVHCHMTTISTPGYGIKQEDRRCLQGPEGTKLCSSGSVRDCLKFCIIRGYSSATCITPDECCCHIPPN >scaffold_402912.1 pep chromosome:v.1.0:4:20016876:20018505:1 gene:scaffold_402912.1 transcript:scaffold_402912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSSSSAIALLNKPFLPNRSSFFSSNSHSTLLRFSASASVRPRFPSAAISTVAPESDINKNETPKIKIEEAQVFACPVCYQPLMRKGPSGINLQAIYRSGFKCGQCNKTYSSKDEYLDLTVTADLDDYNEVKPITTELFRSPLVSFLYERGWRQAFKRSGFPGPDEEFRMAEEYFKESEGGILVDVSCGSGLFSRKFAKSGKYSGVIALDYSENMLRQCKEFIKNDNTFDNSTNIAVVRADVSRLPFPSGSVDAVHAGAALHCWPSPTNAIAEICRVLRSGGVFVGTTFLRYSPSTPWIIRPFQSRILQSYNYLMQDEIKDVCTSCGLTDYEDYIQDSFIMFTARKP >scaffold_402913.1 pep chromosome:v.1.0:4:20018820:20021111:-1 gene:scaffold_402913.1 transcript:scaffold_402913.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LGI7] MFLHSSFRDGLSLSLGVISVISWGVAEIPQIMTNYTEKSTEGLSIAFLTTWMIGDIFNLLGCLMEPATLPTQFYMALLYTVTTSVLYVQSIYYGHIYPRLKNRRNQMVEAERISSISSDVKIPGRWRNSSDTTTCGGQTTPITMIPGSHRTSFTGRELFYTSARSLSSSHTPPAGSVLAQRMARGHSEPTLEEPLLPGDSTPPSLPPSTKSMLCVVSVFLFLGTFNLPNMLSESRTMALGERDRVFVVRAARKLLQVTSSNVGEHSGGESSRIGMFLGWAMAAIYMGGRLPQICLNMRRGHVEGLNPLMFFFALVGNMTYVASILVNSVEWLKIAPNLPWLVDAGGCVVLDFLILLQFFHFRCRKDKDTDKKKHETAEAV >scaffold_402914.1 pep chromosome:v.1.0:4:20022537:20023920:1 gene:scaffold_402914.1 transcript:scaffold_402914.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LGI8] MAKKRKLESESNETSEPTEKQLQCEKEDPEFRNDDKQRDHDEEVVGEDALKEEAKGEDNTEAETSSGSANRGNGDDDEEEPIEDLLEPFSKDQLLILLKEAAERHSDVANRIRIVADEDSVHRKIFVHGLGWDTKAETLIEAFKQYGEIEDCKCVVDKISGQSKGYGFILFKSRSGARNALKQPQKKIGTRMTACQLASIGPVQGTPVVAPAQHFNPENVQRKIYVSNVSADLDPQKLLEFFSRFGEIEEGPLGLDKVTGRPKGFALFVYRSLESAKKALEEPHKTFEGHVLHCHKANDGPKQVKQHQHNHNSHNQNSRYQRNDSNGYGAPGGHGHFIAGNNQAAQAFNPAIGQALTALLASQGAGLGLNQAFGQALLGTLGTASPGAVGGMPSSYGTQANITPGVYPGYAAQAGFQGGYQTQQPGQGSAGRGQHGVGYGGPYMGQM >scaffold_402917.1 pep chromosome:v.1.0:4:20031166:20032109:1 gene:scaffold_402917.1 transcript:scaffold_402917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQLTYEQISEFKEAFRVFDKNGDGVITRKELGTVMRSLGQNLTQAELQDAMNEVDIDGDGTIDFPEFVCVMAGNLSHDQVPPRQTKKTMVDYQLTDDQISEFKEAFRVFDKNGDGYITVNELRITMSSLGENQTKAELQDMINEADADGDGTISFPEFVCVMAGKMTDSEEEAYRVVNQGQGQVPRHTKNDRTGGANWERDIAVGVASNIIASPITDFIKDLFKELFF >scaffold_402918.1 pep chromosome:v.1.0:4:20033591:20034446:1 gene:scaffold_402918.1 transcript:scaffold_402918.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-2 [Source:UniProtKB/TrEMBL;Acc:D7LGJ2] MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIKEADVDGDGQINYEEFVKVMMAK >scaffold_402920.1 pep chromosome:v.1.0:4:20038562:20039825:1 gene:scaffold_402920.1 transcript:scaffold_402920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7LGJ4] MQPETSDQMLYSFLAGNEVGGGGYCVSGDYMTTMQSLCGSSSSTSSYYPLAISGIGETMAQDRAIAALRNHKEAERRRRERINSHLNKLRNVLSCNSKTDKATLLAKVVQRVKELTQQTLEISDSDQTLLPSETDEISVLHFGDYSNDGHIIFKASLCCEDRSDLLPDLMEILKSLNMKTLRAEMVTLGGRTRSVLVVAADKEMHGVESVHFLQNALKSLLERSSKSLMERSSGGGGGERSKRRRALDHIIMV >scaffold_402926.1 pep chromosome:v.1.0:4:20065952:20068598:-1 gene:scaffold_402926.1 transcript:scaffold_402926.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7LGK0] MEDKNNDKEKKPDFTFEDDEDNEDLEENSSKYENDSETDQSDLGDLPGDADGGDDNDEPFISQVQWPQSFRETTDSYTIAASPIFGSLRSNPSFYRASRSNLDVESKAPLLPERHEDSDKASATQSAWSHKGSFADELPIGGYGCSVTQTIFNAINVMAGVGLLSTPYTVKEAGWASMVILLLFAVICCYTATLMKDCFENKTGIITYPDIGEAAFGKYGRILICMLLYTELYSYCVEFIILEGDNLTGLFPGTSLDLLGFRLDSKHLFGILTALIVLPTVWLKDLRIISYLSAGGVIATGLIAVSVFFLGTTGGIGFHHTGQAVKWNGIPFAIGIYGFCYSGHSVFPNIYQSMADKTKFNKAVITCFILCVLLYGGVAIMGYLMFGEATLSQITLNMPQNQFFSKVAQWTTVVNPFTKYALLMNPLARSIEELLPDRMSENIWCFLLLRTALVASSVCSAFLIPFFGLMMALIGSLLSILVAIVMPALCFIKIMGNKATRTQMILSSTIVAIGLVSGTLGTYSSVAKIIRNYQ >scaffold_402928.1 pep chromosome:v.1.0:4:20072864:20075887:1 gene:scaffold_402928.1 transcript:scaffold_402928.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LGK2] MSKDQSYVLKAWEVTVRKTQQAKKRANSVFGTVSVAPHTDNDTTTDENDDETTTNRSSSEEFYHAERVLPNGDYYTGQWYDNFPHGHGKYLWTDGCMYIGDWYNGKTMGNGKFGWPSGATYEGEFKSGYMDGIGTYTGPSGDAYKGQWVMNLKHGHGVKSFANGDAYDGEWRRGLQEGQGKYQWSDGSYYIGEWKNGTICGKGSFVWTNGNRYDGFWDEGFPRGNGTFKWDNGSFYVGHWSKDPEEMNGTYYPSGNEGNLEWDPKDLFNNLSEYTICSGERVPTLPSQKKLSVWNSSKRIEKPRRTSVDGRVSVGVDRAFEKMNLWGNEIGEGGADMRKELDAELMRLDAEGIQSLKSSPVPMKLPKAGRKQGETISKGHRNYELMLNLQLGIRHSVGRQAPAASLDLKPSAFDPKDKIWRRFPREGTKYTPPHQSTEFKWKDYCPLVFRSLRKLFKVDPADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSETKVLLGMLAAYYNHVRAFENSLVIRFFGLHCVKLNGPTQKKVRFVIMGNLFCSKYSVHRRFDLKGSSLGRTTDKPESEIDSNTILKDLDLNFIFRLQKAWYQEFIRQIDKDCEFLEQERIMDYSLLVGIHFREASVAGELIPSGARTPIGESEEESGPRLSRAEVDELLSDPSRWASIRLGTNMPARAERTMRKNDCELQLVGEPTGEFYEVVMIFGIIDILQDYDISKKLEHAYKSIQYDPSSISAVDPRQYSRRFRDFIFKVFTDDN >scaffold_402931.1 pep chromosome:v.1.0:4:20096599:20096906:-1 gene:scaffold_402931.1 transcript:scaffold_402931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHDQRLRFDVTPKPMGLTGSSLITARSVALLLFLSLLLLILPPFLPPLPPPPATLLLLPLLLLILLIFLAFSPSNEPSLAVEPLDP >scaffold_402933.1 pep chromosome:v.1.0:4:20102574:20104304:-1 gene:scaffold_402933.1 transcript:scaffold_402933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRIRKATTTLLYSGGTDRIARTGALLSRWISSASSSSAVVAEDESGGIYGLGSVLKEYEDYRRSLYGEITHKALLVDAVGTLLVPAQPTAQIYKNIGEKYGVEYSEAEILTRYRRAYQKPWGGSHLRYVNDARPFWQYIVTESTGCSDSQYFEELYSYFTTEQAWMLCDPDAGKVFKAIKEAGVKVAIVSNFDTRLRPLLRALRCDDWFDAVAVSAEVEAEKPNPTIFLKACELLEVNPEDAVHVGDDRRNDLWGARDAGCDAWLWGSEVTSFKQVAQRIGVKV >scaffold_402936.1 pep chromosome:v.1.0:4:20114974:20115937:1 gene:scaffold_402936.1 transcript:scaffold_402936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLKSLVLLALLFSFSLAVFANTSNDATHVKDEVKPSTEATDAIEPQKREGKPQIEGAEVEANDAVVEPQQGWGGGGCRYGCCGGWWYGRCRYCCRSPAEANEVVETVEPNDVEPQQGGRGGDGGGGRGGCRYGCCGGWWRGRCRYCCRTQAEASEVVETVEPNDVEPQQGGRGGGGGDGRGGCRYGCCGGWWRGRCRYCCRTQAEASEVVETVEPNDVEPQQGGRGGGGRGGCRYGCCGGWWRGRCRYCCRTQAEANEVVETVEAQQAKP >scaffold_402943.1 pep chromosome:v.1.0:4:20139786:20140452:1 gene:scaffold_402943.1 transcript:scaffold_402943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLEMAMLLTKTVWFALSGWILTCLSIADEIAGSLRNREVGPVHVLQELRMSYVRNLYQFDMKKL >scaffold_402944.1 pep chromosome:v.1.0:4:20141441:20142707:1 gene:scaffold_402944.1 transcript:scaffold_402944.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7LH54] MGSSVSKTASSSSSLNLPPETEFNIPSSSVPKAFTFPMPSIHHPPVKKGDTHHFVSLTSTTYGSLLLDGASDRQTLPHISVTGKNNKKMPETEEARESLSPDSVINTWELMNGLDDDLDSENSDTSKRNSVVNLDCFSKPIKNRDVLINGSSLKLDESYEAEEDWRLLPFKPKQPLWKHMSEESFLSDLDPNIISSYKKALSSKQLSKNTSNGHKSPKALSCSHSNQSTLPESVSSTPLTSQTLEDQEKPRLLEKEDNKNKIVLYFTSLRGIRKTYEDCCCVRTILRGFQVAVEERDISMDSEYRKELQNALGEEKPVCLPQVFIRGVRIGGIEEIKILNDGGELAEMLKDFPACESVGACESCGDARFVPCTNCGGSTKVFEEQEDGFKRCNECNENGLVRCNRCCH >scaffold_402947.1 pep chromosome:v.1.0:4:20154902:20156838:1 gene:scaffold_402947.1 transcript:scaffold_402947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVTGDLAAVSEAKGGSDAARISEVKAWLTSQFEAAGKEVPNFEYTHRSITHLYNLATASQAKSQAATIVANDFRLKASEYRAQAARIREILESAGMSQESLPSNVVSSAQVLANVANLLNIRDTELSSFLVAMGDISLRKTGVEEKRAKAQKESNALLDYTRKAIQRLTYLKKILAQLEDDVVPCESQMENWKTNLEVMAVKEEQYIQQYKKYEMLLNRVGYTPKISHRELVEMAEHRKELDKMTKPVLDTLRSYQDLPPDKALAALAIEDKKRQFAASEKYLEEVLQSALETNNE >scaffold_402949.1 pep chromosome:v.1.0:4:20159217:20159845:1 gene:scaffold_402949.1 transcript:scaffold_402949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSLNRPCSGWNFTICQTVQGRISQNRVREILESAGMSQESLPSNVVSSAQVLANVANLLNIRDTELSRYTACGANI >scaffold_402950.1 pep chromosome:v.1.0:4:20160833:20161989:1 gene:scaffold_402950.1 transcript:scaffold_402950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LH60] MARNVSSWSSLSCLPDEMVLNCLVRVPRRYYENVACVSRRLRSLVRTPELYRMRSLLHKDSVYVCFCDRENYSTDATYLWFTLRPTRTTGYQLVPISFPSHCFMFRSSTVAVDSEIYFVGGRPNPTELWILDTRSGKLRQGPIKPESRRIASSNAVGVFGGKIYVIQDLIQDETEEQVYDLETQTWKVVGVPVPDEKVDTKPMMASSVSLEGKVYAMDNDSIIVYNLRQGTRKEKLDMPIDGNWVWCICVANNVLFAFFTKCGLMWLDTKLNVWRVVTGDVQTLQRKLYGSAMAEYYGKLAIFWRERDISTTITTKKRKKMNNNNKEEKIWCALIALDRVGEGISGTVEWSGVVATIPYVCQFLHCLVASD >scaffold_402952.1 pep chromosome:v.1.0:4:20166388:20168621:-1 gene:scaffold_402952.1 transcript:scaffold_402952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEESLRSLSLDFLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGTDSPQPVTGIDPTRHGSVPASPTRGSTAPAGVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEPRPNCGERGCWHTHCSAAVDLALDTLAASRYFGVEQLALLTQKQLASMVEKASIEDVMKVLIASRKQDMHQLWTTCSHLVAKSGLPPEILAKHLPIDVVAKIEELRLKSSIARRSLMPHNHHHDLSVAQDLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDESLALHYAVESCSREVVKALLELGAADVNYPAGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVGGITPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAAMVISREEGNNSNNQNNDNNTGIYPHMNEEHNSGSSGGSNNNLDSRLVYLNLGAGTGQMGPGRDQGDDHISQREGMSRHHHHHHQDPSTMYHHHQHHF >scaffold_402953.1 pep chromosome:v.1.0:4:20183809:20184877:1 gene:scaffold_402953.1 transcript:scaffold_402953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFIKQAEQYAAARPSYPTKLFEYLASKTPCHDLAWDVGAGSGQASRWLAGIYKNVIATDTSSKQLEFAARLPNVRYEITPPTMSSSEIEKLVAPESSVDLVTVAQALHWFDLTNFYSNVKHVLKKPDGVIAAWCYTNPEVNAAVDKVFQRFYDEKLGPHWDKARRLVEDGYRGIEFPFEKVDNDESTESQSLPIRFVTEKEMVYEEYMTYLRSSSAYQTAKEKGLELLTTEMEGKFADSWKEDGKEKKVVRFPIHLLIGRVGEDGI >scaffold_402954.1 pep chromosome:v.1.0:4:20185278:20185582:-1 gene:scaffold_402954.1 transcript:scaffold_402954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDMASSKVIMNREELLLFVENKRKEDTRRDCALALTELVESFVAANKESFVQLIKKKKMSDSASRDGTTPSIQVLGFDWTYQIQE >scaffold_402957.1 pep chromosome:v.1.0:4:20192536:20192921:-1 gene:scaffold_402957.1 transcript:scaffold_402957.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LH67] MDKPASSKVIMDKEQPLWFVENKRKQDSRKDSHPPYETESYLEVSMDKTESSKAIMSKEDCLLGSNDIISAFIASQDKMMAEQDSAAGDGSTRVLKSWALIGPIRLKNEKPFLM >scaffold_402958.1 pep chromosome:v.1.0:4:20194805:20195506:-1 gene:scaffold_402958.1 transcript:scaffold_402958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAASLNRLSPKRLFRSKSKASVSRSEPSSFSSNASSSSSDGSYGNLKQGPTATPISVLPQNSGDFYAELIQAFKLIDRDDDGVVSRGDLAALISRLSPEPPSQEEVSLMLREVDGGDGGCISLEELASRVAGTSGEGSVETEELREVFEIFDVDRNGKISAEELHRVFGVIGDERCTLEECMRMIATVDGNGDGFVCFEDFCRMMAPVMNDHHH >scaffold_402965.1 pep chromosome:v.1.0:4:20215741:20216857:-1 gene:scaffold_402965.1 transcript:scaffold_402965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELPFDLVCEILARVPVEDLLRFRCVCKSWRSLFQDEGFIRKHTTHAPSMFLLAANWWPQYRLRTCTYEGLTLKMIFQEPELNNDGEKTSVIGHCDGLFCLELEDTSLAVWNPALRELTKVPRIDQQQTRGMRIGFCYDHSIQDHKIVLMPLKNCSKAHVLTLKSSVSRMIDFPWRQNCELMTVKKEGILVGENIFWPLYSHEFTIENGENILSFSVVSETFNYCSCPGGKQVYRVLKVLRGSLCAVDEERTNCHVMVVWCADHEKEDKGRIKSWRKILRLTASDIERSTRWQVVEFRLGAVINRSGLLLVLVRTFEIGFILYEYNLEKDTMRQVDTSFCYKLNYKLEEYIATLVSY >scaffold_402972.1 pep chromosome:v.1.0:4:20241714:20241934:-1 gene:scaffold_402972.1 transcript:scaffold_402972.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LH81] MAERRQISAIFKTNWDNRSCGSTIRMEIRILQRLVNSIENRFFKVDFSSYCNFFA >scaffold_402973.1 pep chromosome:v.1.0:4:20242272:20247398:1 gene:scaffold_402973.1 transcript:scaffold_402973.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LH82] MSPAAVEIGSPVEKVSSFLNPTDNFSAFFPESVNSHDHRTRDSSFSFGFGAGSGQTTKTRQKPRLVKLRKNGREVKRPSFSGEILSGFNPFAPPSKGSLHMNSGNDRAAGESPGGKCFVFGASGNSSGATASPGNATIASLSDEDEFSTPIGDSELDSESIKDHSHGVMGKVYNDRENIRPCSESTTCDATNGVKLDRGVNGSWKSVENPDAVKEFFRRQYDMDKKSASKSCSKSNSVQYADGKTVDHRDGLELNLLADMEKLNISDSRVHGGSDYEEASKSNNTPVFTFSSFGKVDPKCKEGASTSRPYSFSSNGFHQSNNAADEKPTFHSKTTYVNNLTNTSFGTKTSFDDFKVPEWDPSLLKRSLFPEVNRNPVLARSNRSSKDKRSKKVMEKIKQRKQDRCNDQTAEGIEAQEKLNSPGYCSPMDYSPYQGETASNQLPTETPLTPSHSREPSARDSFLFTAEDHGSSCMPNFSFSASTSQGTIPHKKLQAVKKYRRKVNNSVPKNNLNTTMRNNEENQRVNTGQSKQDSGSTSMMPDVCEVWRLRGNQAYKNGNMCKAEECYTHGISSSPSNDNSEYSVKPLALCYGNRAAARISLGRLREAISDCEMAASLDPSYIKAYTRAANCHLVLGELGAAVQYFNKCLESTSSVCLDRRTTIEAAEGLQRAQRVADFTSCASNFLEKRTPDGASDALVPIANALTISSCSDKLLQMKAEALFMIRRYKEVIELCENTLQTAERNFVSAGLSGTTNVDGLGSTYHSLIVWRWNMISKSHFYLGNLEKALDISEKLQQVGYTCNENHEECRESPASLVATISELLRYKNTGNEAVRDRKYMEAVEQYTAALSRNVDSRPFAAICFCNRAAANQALVQIADAIADCSLAMALDENYTKAVSRRATLHEMIRDYDQAASDLQRLISILVKQSDKTKTPEISVDRASSRKELKQARQRLSVMEEKSKEGNPLDFFLIMGVKTSDSAADIKKAYRKAALRHHPDKAAQILVRSESEGPWLKEILEEVHKGADRLFKMIGEAYSVLSDPIKRSDYELDEEIRKARASRESYRRKAAEASSPPYQTSRRHWKDSGRTNRNTPSWWW >scaffold_402974.1 pep chromosome:v.1.0:4:20248355:20250211:-1 gene:scaffold_402974.1 transcript:scaffold_402974.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-formylglutathione hydrolase [Source:UniProtKB/TrEMBL;Acc:D7LH83] MDSGLSEIGSTKMFDGHNKRYKHYSETLGCSMTFSIYFPPSASSSHKSPVLYWLSGLTCTDENFIIKSGAQRAASTHGIALVAPDTSPRGLNIEGEADSYDFGVGAGFYLNAAQEKWKNWRMYDYVVKELPKLLSENFSQLDTTKASISGHSMGGHGALTIYLKNLDKYKSVSAFAPIANPINCAWGQKAFTNYLGDNKATWEEYDATCLISKFNNLSATILIDQGESDQFYPDQLLPSNFEEACKKVNAPLLLRLQPGYDHSYYFIATFIEDHISHHAQALKL >scaffold_402985.1 pep chromosome:v.1.0:4:20282113:20283988:-1 gene:scaffold_402985.1 transcript:scaffold_402985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAYCTDCKKETELVVDHSAGDTLCSECGLVLESHSIDETSEWRTFANESSNSDPNRVGGPTNPLLADSALTTVIAKPNGSSGDFLSSSLGRWQNRNSNSDRGLIQAFKTIATMSERLGLVATIKDRANEIYKRLEDQKTTRGRNQDALYAACLYIACRQEDKPRTIKEICVIANGATKKEIGRAKDYIVKTLGLDPGQSVELGTIHAGDFMRRFCSNLGMSNHAVKAAQEAVQKSEEFDIRRSPISIAAVVIYIITQLSDDKKTLKDISTATGVAEGTIRNSYKDLYPHLAKIAPSWYAKEEDLKNLSSP >scaffold_402996.1 pep chromosome:v.1.0:4:20340233:20340476:1 gene:scaffold_402996.1 transcript:scaffold_402996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDRAASSPAKPPKSQIRELPTHQQIRRRFSEPKNLDFSTWFSENLSRIAVFSLLIVTIVALFFL >scaffold_402997.1 pep chromosome:v.1.0:4:20340649:20341142:1 gene:scaffold_402997.1 transcript:scaffold_402997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNHLPYDSFVRKSVGYLFAIQHGAKKIYDADDRGEVIDGDLGKHFDVELVGVDSKQQPILQYSHENSNRTVVNPYIHFGQHSVWPRGLPLENVGEINHEEYYTEVFGGTQFIQQGISNG >scaffold_402998.1 pep chromosome:v.1.0:4:20341205:20342233:1 gene:scaffold_402998.1 transcript:scaffold_402998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVSVSSMASDVLRGCWGQRLLWELGGYVAVYPPTAHRFDRRGGSSWFSTEQDLKFTAAWLQDLIAVGYQQPRLMSLELDRPRASFGHGDRREFVPRNLPSVHLGVEETGTVSTEIGNLIRWRKNFGNVLLVVFCNGPVERTALEWRLLYGRIFKTVVILSSQKNSDLYVEEAKLDHIYKHLPKIFDRYSSAEGFLFVEDDTILNYWNLRFGLQRQGIKVMDVSETDREL >scaffold_403003.1 pep chromosome:v.1.0:4:20357534:20359162:-1 gene:scaffold_403003.1 transcript:scaffold_403003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEIQSFPYLRDWKLDHKPDLKPKICIRTSTSAGLEPTLPWIVYHKVIGVSTFYLFVEGTATSPNVSRVFETIPVAGYKEATRGNPNYFLSYANGKSAARIQDHLQPNGAHRWLNYMKYPNIMELGEAAILHYTYSKFSDLTSRRDRCGCKPTKEDVKRCFMLDFDRAAFIIASTSTSEEMLQWYRERVVWTDDNLILKLVRKGILTRIYAPMVIIQELREAGVFSSVVNSAHMSLSRNRSNSSTSKITRESSQATTRKVLEFDLEDLDDESPSASPIPPKSSPGLETIQNVSL >scaffold_403006.1 pep chromosome:v.1.0:4:20377852:20379935:1 gene:scaffold_403006.1 transcript:scaffold_403006.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7LHA2] MVMMLKKTVKKGLIGGMSFAKDAGKINWFPGHMAAATRAIRNRLKLSDLVIEVRDARIPLSSANEDLQSQMSAKRRIIALNKKDLANPNVLNKWTRHFESSKQDCIAINAHSRSSVMKLLDLVELKLKEVIAREPTLLVMVVGVPNVGKSALINSVHQIAAARFPVQERLKRATVGPLPGVTQDIAGFKIAHRPSIYVLDSPGVLVPSIPDIETGLKLALSGSVKDSVVGEERIAQYFLAILNIRGTPLHWKYLVEGRNEGPHADCIDKPSYNLKDLRHQRTKQPDSSALHYVGDMISEVQRSLYITLSEFDGDTEDENDLECLIEQQFEVLQKALKIPHKASEARLMVSKKFLTLFRTGRLGPFILDDVPETETDHPNSRRVVVS >scaffold_403012.1 pep chromosome:v.1.0:4:20408614:20411976:-1 gene:scaffold_403012.1 transcript:scaffold_403012.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2654 [Source:UniProtKB/TrEMBL;Acc:D7LHA8] MATVTNFKLVTPPESSRADKPGATKTSEAFQEKKSVSVNYDRGEHEVSIRVGGLRKADIPRRYRIRVENDRFQKDWSVSEVVDRLMALNRWEEVDGVLNSWVGRFARKNFPVLIRELSRRGCIELCVNVFKWMKSQKNYCARNDIYNMMIRLHARHNWVDQARGLFFEMQKWSCKPDAETYDALINAHGRAGQWRWAMNLMDDMLRAAIAPSRSTYNNLINACGSSGNWRQALEVCKKMTDNGVGPDLVTHNIVLSAYKSGRQYSKALSYFELMKGAKVRPDTTTFNIIIYCLSKLGQSSQALDVFNSMRDKRAECRPDVVTFTSIMHLYSVRGEIENCRAVFEAMLAEGLKPNIVSYNALMGAYAVHGMSENALSVFGDIKRNGIVPDVVSYTSLLNSYGRSRQPGKAKEVFLMMRKERRKPNVVTYNALIDAYGSNGFLAEAVEIFRQMEQDGTKPNVVSVCTLLAACSRSKKKVNVETVLSAAQSRGINLNTAAYNSAIGSYINAAELEKAIALYQTMRKKKVKADSVTFTILISGSCRMSKYPEAISYLKEMEDLSIPLTKEVYSSVLCAYSKQGQVTEAESIFNQMKMAGCKPDVIAYTSMLHAYNASEKWGKACELFLEMEANGIEPDSIACSALMRAFNKGGQPSNVFVLMDLMREKEVPFTGAVFFEIFSACNTLQEWKRAIDLIQMMDPYLPSLSIGLTNQMLYLFGKSGKVEAMMKLFYKIIASGVEINFKTYAILLEHLLAVGNWRKYIEVLEWMSDAGIQPSNQMYRDIISFGERSAGIEFEPLIRQKLNSLRNIGEDLSPTFRHQGTLL >scaffold_403015.1 pep chromosome:v.1.0:4:20425522:20426321:-1 gene:scaffold_403015.1 transcript:scaffold_403015.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DTW domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LHB1] MEEEQDAHHRRQICNNCDRPNAICLCHVLPADLIPTNTKIIILHHPHESRHKLNTTPLLTKSLRNVIRIPARRILRRHISTVGETSSPSPPTIYLFPSSPSSPAITISEFKSLNLLNHRDISNPPPLRLIVFDATWKHAKEMVKASEEVLREAGAVRVCLDTEIDASVSGGTIYDSELVLRKEPFGGCVTTAEAVARCLGAIEPDGEEIEKKLISVLKEMVRFQSKYLKPMKPRPKLLKKRFQNQQPLEQE >scaffold_403016.1 pep chromosome:v.1.0:4:20426611:20428198:1 gene:scaffold_403016.1 transcript:scaffold_403016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTSELIAMDATRFQHTPYYCEENVYLLCKTLCENGVADATDSDLFVVFISNEKKQVPLWHQKASSRADGVVLWDYHVICVQRKKESDSEPLVWDLDSTLPFPSPLASYVTETIQPSFQLFADYQRFFRIVHAPLFFKHFASDRRHMKEPDGSWTAQPPPYEPIVAQDGILHNLSEYISMSGADTLSSLDAETVRAAISQKLGVVVSHTQLQDLFTKLP >scaffold_403018.1 pep chromosome:v.1.0:4:20431855:20432360:1 gene:scaffold_403018.1 transcript:scaffold_403018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDETENGKIVMNKEQLLLFMENRRKQEEHRLALEKATRSEMVDRKGMVSKASGKIVMNNDHDQQLMFDEDHRLAVKKATRSEMVDRELEGIVSKASLIMNNVPRPAFNEEHILAIKNIISEIMMKASRDRASTTKKLVEQDSAAGDAWDYPE >scaffold_403019.1 pep chromosome:v.1.0:4:20433146:20434044:1 gene:scaffold_403019.1 transcript:scaffold_403019.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHY0] MFPKAESLVGNKVISLEEVSRGVADIVRSTTLAPKASGEVIKTDDRSTKMEGFQPVGLDQETRPNGSPIQSFNNPQRLRLLGPSETNNVFDFNRWSRDFVFVTCHFLQMLAPAPILFCSAISSLFRFLRGFETNLVSVCKKYCFVFA >scaffold_403025.1 pep chromosome:v.1.0:4:20468455:20469878:-1 gene:scaffold_403025.1 transcript:scaffold_403025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPDLGKHCSVDVCKQIDFLPFTCDRCLQVFCLDHRSYMKHSCPKGDREDVTVVICPLCAKGVRLNPNEDPNITWEKHVNTDCDPSNYEKATKKKKCPVPRCKEQLTFSNTIKCRDCNIDHCLKHRFGPDHTCPGPRKLPFMGFLSSSSSSSRKEAKTTRPTKAHASTSSSSSSSRWSNLLSSAEAGISRLGNDISQKLQFLSSSSDGIVEVCPQCGAKFSSVTTLVEHVEKTHERNRKQNHGNVTVDVCPKCSRGFRDPVDLVNHIERDHRGTSKA >scaffold_403031.1 pep chromosome:v.1.0:4:20490322:20492644:-1 gene:scaffold_403031.1 transcript:scaffold_403031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRALLLSLSLGFFLAFQFVVSEIQLGSRLVVGENSLWVSNNGDFALGFFNPPGLPNRFSIGIRFNSNSIPSDQRKVVWVAGADVVVSDNSSYFELTRDGELVLCDSSLGVPVWNSKTNRFSVSSALLRDDGNLVLLNDREEIVWQSFGTPTDTLLPNQKLPASEMLRAASDSRSSYYSLHLEDSGRLELRWESSITFWSSGNEVVKKKKKKKNIGAVLTSEGALFLEDQDLMRPVWSVFGEDHNDTVKFRFLRLDRDGNLRMYSWNEVSGIWKPVWQAVENQCRVFATCGSQVCSFNSSGYTKCSCPFNAFISASDPKCLVPYQKPGCKSGFNMVKFKNLELYGIYPANDSVISQINSQRCKKLCLEDSACTAVTYTNDGDPQCRMKLTRYISGYSDPSLSSISYVKTCLDPIAVDPNDSKESPVTVTKPHSICLPCLVAATSTTLVLFLGFQLGIVVYIYQRKKKLAKKKADRFSKATNPKCLMIFSVDEIKAMTDNFDHNIGPQIFKGVMPENELVAVKEVESTLTEERKFRSSASKIGTMHHKNLAKLEGYCCELGRRFLVYEYAKNGSILDHIVDPLRSKKLTWRIRTETCLSVAKALCYLHTECREFVSHGNLNCGNILLGEALESKLTEYGFGLCAADKDVEDFGKTVLALVTGRYEPEGVVSEWVYREWIEGRKESVVDKRLEGCFDVEELERVLRISFWCVQMDERLRPSMGEVVKVLEGTLSVDPPPPPFACARSSPTNSSESSQSLYEP >scaffold_403033.1 pep chromosome:v.1.0:4:20498323:20498540:-1 gene:scaffold_403033.1 transcript:scaffold_403033.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHZ5] MDGMNMSKRTIGHNVMDGMVINEHNLAETFVCKSIVCKATRSVSIWPTRLYANPQYK >scaffold_403039.1 pep chromosome:v.1.0:4:20525936:20526734:1 gene:scaffold_403039.1 transcript:scaffold_403039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETNGRRETHDFMNVNVESFSQLPFIRRTPPKEKAAIIRLFGQELVGGDSENLSAESSDQTTTKNDESSENIKDKDKDKDNNNSRRFECHYCFRNFPTSQALGGHQNAHKRERQHAKRGSMTSYLHHHHQPHDPHHVYGFLNNHHHRHYPSWTTEARSYYGGGPGHQTSSYYSRSTLAPPCSNPPTINGSPLGVWRVPPSTSTNAIQGVYSSSPASVFRTHEQETKEPNNWPYRLMKPNVQDHVSLDLHL >scaffold_403044.1 pep chromosome:v.1.0:4:20541937:20543337:-1 gene:scaffold_403044.1 transcript:scaffold_403044.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:D7LI06] MAPGGSALKEALESNSTGLDYEVKMSRVETNSKPTKSGSGPIGKFHSSNGVYELLECLVCTNLMYPPIHQCPNGHTLCSSCKLRVQNTCPTCRYELGNIRCLALEKVAESLEVPCRYQNLGCHDIFPYYSKLKHEQHCRFRSYNCPYAGSECSVTGDIPTLVDHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDENEAKKFSYSLEVGAHSRKLTWQGIPRSIRDSHRKVRDSQDGLIIPRNLALYFSGSDKEELKLRVTGRIWKEE >scaffold_403045.1 pep chromosome:v.1.0:4:20546175:20547333:1 gene:scaffold_403045.1 transcript:scaffold_403045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEATSIDAAALSPPRSAIRPLYYVQSPSNHDVEKMSFGSGCSLMGSPSHPHYYHCSPIHHSRESSTSRFSDRALLSYKSIRERRRYVNDGDDKSDGGDDDPFRNVRLYAWLLLSVVFLFTVFSLILWGASKHYPPKVVVKGMLVRNLNVQAGNDLSGVPTDMLSLNSTVRIFYRNPSNFFAVHVTASPLLLHYSNLLLSSGEMNKFTVARHDERTVVTVVQGHQIPLYGGVSFHLDTLSLPLNLTLVLHTKAYILGRLVTSNFYTRFICSFTLNADHLPKPISLLRSCKDYH >scaffold_403046.1 pep chromosome:v.1.0:4:20548446:20548771:-1 gene:scaffold_403046.1 transcript:scaffold_403046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGKGSSVTVCNDRCGCPSPCPGGKSCRCMMREASGGDQGHMLCPCGEHCGCNPCNCPKTQSQTQTSAKD >scaffold_403049.1 pep chromosome:v.1.0:4:20551730:20556840:-1 gene:scaffold_403049.1 transcript:scaffold_403049.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D beta 1 [Source:UniProtKB/TrEMBL;Acc:D7LI11] MDNHGPRYPYPYGQYPYPYPYPAPYRPPSSEPYPPPPTNQYNAPYYPYPPPPYATPPPQPPYASPPPHQHTSGSHSGPLDYSHNPQPSSHAAPPEYHRHSFDYQPSPYPYSGHQPQANFGAYGPPPHYSSYQEPAQYPPPETKPQEPPPQTQGYPEYRRQDCLSSGGTGHDNVSNSGSSYPPVDELLGGLHISTNQPGPSVPQLSSLPSNSWQSRPGDLYGYPNSSFPSNSHLPHLGRVDSSSSYTPSYASTESPHSADMQMTLFGKGSLKVLLLHGNLDIWIYHAKNLPNMDMFHKTLGDMFGRLPGKIEGQLSSKITSDPYVSVSVAGAVIGRTYVMSNSENPVWMQHFYVPVAHHAAEVHFVVKDSDVVGSQLIGLVTIPVEQIYSGAKIEGTYPILNSNGKPCKPGANLSLSIQYTPMEKLSVYHHGVGAGPDYQGVPGTYFPLRKGGTVRLYQDAHVPEGMLPGIRLDNGMSYEHGKCWHDMFDAIRQARRLIYITGWSVWHKVRLVRDKLGPASECTLGELLRSKSQEGVRVLLLIWDDPTSRSILGYKTDGVMATHDEETRRFFKHSSVQVLLCPRNAGKRHSWVKQREVGTIYTHHQKNVIVDADAGGNRRKIVAFVGGLDLCDGRYDTPQHPLFRTLQTVHKDDFHNPTFTGNLSGCPREPWHDLHSKIDGPAAYDVLTNFEERWLKAAKPSGIKKFKTSYDDALLRIDRIPDILGVSDTPTVSENDPEAWHVQIFRSIDSNSVKGFPKDPKDATCKNLVCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFIGSSYNWNAHKDIGANNLIPMEIALKIAEKIRANERFAAYIVIPMWPEGVPTGAATQRILYWQHKTMQMMYETIYKALVETGLEGAFSPQDYLNFFCLGNREMVDGIDNSGTGSPSNANTPQALSRKSRRFMVYVHSKGMVVDDEYVLIGSANINQRSMEGTRDTEIAMGAYQPQHTWARKHSGPRGQIYGYRMSLWAEHMATLDDCFTQPESIECVRKVRTMGERNWKQFAAEEVSDMRGHLLKYPVEVDRKGKVRPLPGSETFPDVGGNIVGSFIAIQENLTI >scaffold_403057.1 pep chromosome:v.1.0:4:20581316:20583074:1 gene:scaffold_403057.1 transcript:scaffold_403057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPIVCDNGTGMIKAGLAGDDAPKAMFPSIMGRPRHKRVMLGMDQKEFYVGDEAQAKRGILTLKYPMEHGIVNNWDDMEKIWHHTFHNELRVAPQEHPVLLTDSPLNPKANREKMAQIMFETFNTPATYVAVQAVLALYASGRTSGIVLDSGDGVSHIVPIYHGYTLPHAIMRLDLAGRDLTDYLMNIMMERGYTYTTSAEREIVRDIKEKLCYVALDYEQELENATTYKTYELPDGQVITLGAERIRCPEVLFQPSLVGMESSGIHEMTYKSIMKCDVDIRKDLYGNIVMSGGTTMFPGIAQRMCKEISALAPANMKIKVVIPPGRKYSAWIGGSILASLSSFEQKWITKAEYEETGAAIVHKKCLY >scaffold_403063.1 pep chromosome:v.1.0:4:20594241:20594809:-1 gene:scaffold_403063.1 transcript:scaffold_403063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEATTVQKRRSIPTIAMHKQSRTLTKSKPKIRIIHIFAPEIIKTDVSNFRKIVQNLTGKQDHDHDLPHQKGLKRNPRSRGSHDHDDHHQVHHMNKRFCTNSEVEDEEEVGMVSMTWNGNGGESSGGFFNGLGDFGGFIQELDEFPYLPFTIDPAVASSAHLHGNVFAEPNHYA >scaffold_403066.1 pep chromosome:v.1.0:4:20599833:20602492:-1 gene:scaffold_403066.1 transcript:scaffold_403066.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (Ubiquitin-hydrolase) domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LI26] MFILRVHSVDSERPISIEEEESGFTYASKRTQPPLKLIQPSLKLTERKGLIHLYRNSSHSSLPNPSSRSTTLFIVAVPNYMSSLDFIRFFDSRISQVSDILFIRNDGMEDRYSVLITLSDQSAADGFYNYLNGKTFSPSEAEVCHILYVMSVEHTEFDEVAAEAPAGFTELPTCPICLERLDPDTSGIVSTLCDHSFQCSCTSKWTYLSCQVCRLCQQQDEILSCSICGKTENVWACLVCGFLGCGRYKEGHSIRHWKETHHCYSLDLRTQQIWDYVGDSYVHRLNHSKIDGKSVEMNTRCLSHDGDCGLCECSEDTGISGAIFNSKVDSIVIEYNDLLASQLKGQRQYYESLIVEARSKQECSVAEAVEQTVVNKMQELQNEIEKCEEEKSGITEVNRKLIKEQETWRKKAKEIEEREAALLGSKDEMIADLQEQIRDITVFIEAKKTLKKMSSDSDGIREGTVLPVPINAEPVSSVRRQKKSNRRK >scaffold_403069.1 pep chromosome:v.1.0:4:20609659:20611519:1 gene:scaffold_403069.1 transcript:scaffold_403069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSNSGPGHGPGQAESGGSSTESSSFSGGLMFGQKIYFEDGGGGSGSSSSGGSNRRVRGGGSGQSGQIPRCQVEGCGMDLTNAKGYYSRHRVCGVHSKTPKVIVAGIEQRFCQQCSRFHQLPEFDLEKRSCRRRLAGHNERRRKPQPASLSVLSSRYGRIAPSLYGNADAGMNGSFLGNQEMGWPSSRTLDTRVMRRPVSSPSWQINPMNVFSQGSVNGGGTSFSSPEIMDTKLESYKGIGDSNCALSLLSNPHQPQDNNNTWRASSGFGPMTVTMAQPPPAPGQHQYLNPPWVFKDDDNDMSPVLNLGPYTEPDNCQISSGTTMGEFELSDHHHQSRRQYMEDENTRAYDSSSHHTNWSL >scaffold_403073.1 pep chromosome:v.1.0:4:20618658:20620815:1 gene:scaffold_403073.1 transcript:scaffold_403073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYLAAYYNLPAIVPPPQPGVAPIPITSAHSVFLPTHVSIGARDEVRTLFVAGLPEDVKPREIYNLFREFPGYETSHLRSSDGAKPFAFAVFSDLQSAVTVMHALNGMVFDLEKYSTLHIDLAKSNPKSKRSRTDDGWESLKKPKPWSTTTESGFGSFHTPGMSSSTYNTIGYSPAQSQGIANVAGRAPTTGNSSKAADPCPTLFIANMGPNCTEAELIQVFSRCRGFLKLKIQGTYGTPVAFVDFQDVSCSSEALHTLQGTVLYSSLTGEGLRLQSRMGMRKKINSG >scaffold_403077.1 pep chromosome:v.1.0:4:20632503:20634285:-1 gene:scaffold_403077.1 transcript:scaffold_403077.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7LI37] MDSNNHLYDPNHTGSGLLRFRSAPSSVLAAFVDDDKIGFDSDRLLSRFVSSNGVNDDLGSPKFEDKSPVSLTNTSVSYAATLPPPPQLEPSSFLGLPPHYPRQSKGMMNSVGLDQFLGINNHHTKPVESNLLRQSSSPAGMFTNLSDHNGYGSMRNMMNYEEDEESPSNSNGLRRHCSLSSRPPSSLGMLSQIPEIAPETNFQYSHWNDPSSFIDNLSSLKRETEDDGKLFLGAQNGESGNRMQLLSHHLSLPKSSSTASDMVSVDKYLQLQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTSDMLDLAVDYIKDLQRQYKILNDNRANCKCMNKEKKSI >scaffold_403080.1 pep chromosome:v.1.0:4:20647081:20647465:1 gene:scaffold_403080.1 transcript:scaffold_403080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTQSLTVAAKTLRNRIFSRSGSTSAGPSRWATPGHEERPKGYFMNRTPPAPGQSRKWEDWELPCYITSFLTIVILGVGLNAKPDLSIETWAHQKALERLEMEKLATAGDSSD >scaffold_403084.1 pep chromosome:v.1.0:4:20661643:20662095:-1 gene:scaffold_403084.1 transcript:scaffold_403084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSISTKAPVCVCLWLLLAQSEILDPADTETIEALKKEFSTALGLLKIVQQLHKVLFPTRVGAGQVRVAAGNNISGQVKATPGNNICGQVRASAGNIISGQVRASAGNIISGQVRAGNIISEQAGLRKHVGNRWE >scaffold_403087.1 pep chromosome:v.1.0:4:20676658:20677799:1 gene:scaffold_403087.1 transcript:scaffold_403087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMRRRKMSGFGHRVYKNYDPRAKIIKNLADKVFSIVGRDLLIETQVKERMVMDESKESDRLGQVLTSKCIKKMSTQQYLFCLNLKIDLEGFARVVEKMPQIVSLKSYYLDVKSYT >scaffold_403088.1 pep chromosome:v.1.0:4:20678104:20678651:-1 gene:scaffold_403088.1 transcript:scaffold_403088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNTAALDAVGCVSAGSVPLVQRDPMASQDVHLQLLQERGMATNSGSSFEAEKSDGECVTTTKAQQKVRGRRRIDSYLFCYQNFYTLPEMIKYMKENHGVGETKVKSVFRELLQGRKAEAYLRESQERMKTRTTTTT >scaffold_403089.1 pep chromosome:v.1.0:4:20678967:20680049:-1 gene:scaffold_403089.1 transcript:scaffold_403089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSMEFIKPLGKGSYGSVDLVRFTKPDGSNPYYQAVKTSYPQDFESLLKEFKILSKLRECPRIVQTCGTSLSRGVNDYGIRVYRMVMEYAAGGSLTSLMETRLLTDSMIRDFTRMILEGLVSIHSHGYVHCDLKPENILVFPRTCEGSVSYELKISDFGMSTKVGEDSEFWEYDSPFLGTSLYMSPESVQNGIAEEALDLWSLGCIVLEMYTGEPPWQLEDSKKLLPLLLNENAPEIPVSLPWDARQFLQTCFASNPLERGSASELLKHKFLQNVSNDKKGRVTGAGDKRTSVLVLKSKYFSKKPLKIKIIPRKPRQFKRISNRPLRLKIIPPKPPGCNLVPVQ >scaffold_403094.1 pep chromosome:v.1.0:4:20692261:20692552:-1 gene:scaffold_403094.1 transcript:scaffold_403094.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LI54] MSSQRLASSLLFNLLLPCESLQPSSLLFNLLLPCESLQPSSLLFNLLLLSFIIALYRCLFIYQVLSLAADDIYVSDGDDARRE >scaffold_403095.1 pep chromosome:v.1.0:4:20693006:20694242:-1 gene:scaffold_403095.1 transcript:scaffold_403095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQCVVLCSLLAIVVVSVASISPLGVHPLDEKYFDSDIIKCKDGSKSFSKDRLNDNFCDCLDGTDEPGTSACPNGKFYCRNIGSSPKFVYSSRVNDRICDCCDGSDEYESSIHCPNTCVMGGNVNYIYKPRTNLKSIHLQLGSTLHPKESYTMGNLQDMVKNLQGMKLVFALQMVFIGFLVILWMLTRRARSKRRRYLLKNVSPSNRSAN >scaffold_403098.1 pep chromosome:v.1.0:4:20705436:20706126:1 gene:scaffold_403098.1 transcript:scaffold_403098.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LI58] MKRTHLASFSNTNKTQEEEEEDTNIGDNRVIMNHYKNYEAGLIPWPPKNYTCSFCRREFRSAQALGGHMNVHRRDRAKLRQIPSWLFEPHHHIPIGNPNPNFSSSSSSLTTPAHLEPSLTNQRSKTTTFPSARFDLLDSTTSYGGLMVDREKNKSNVCSREIKKSAIDACHSVRCEISRGDLMNKKDDQVMGLELGMSLKNPKQVLDLELRLGYL >scaffold_403099.1 pep chromosome:v.1.0:4:20711385:20712893:1 gene:scaffold_403099.1 transcript:scaffold_403099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGNGTTPGTGSPCGACKFLRRKCASDCIFAPYFSSEQGAARFAAIHKVFGASNVSKLLLNVPIHDRCEAVVTIAYEAQARLHDPVYGCVSHIFALQQQVAYLQGQVMQMKAQIAGHQTSAAGDLRHSSESTNQFMTWQQTSGSPIGSAYSTPYNQHQPYYGHVNPNNPVSPQSSLDESFSNTSSDVTTTANVRETHQTGVGVYGHDGLGFHEGYPNKKRSVSYCSSDLGELQALALRMMKN >scaffold_403102.1 pep chromosome:v.1.0:4:20720033:20720279:1 gene:scaffold_403102.1 transcript:scaffold_403102.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LI61] MVRNGGLATIQLEPSISSSLSPPPLSFYPSSAETSIPTAGARRGLDPFQRCRWGCKSLDPSRGCRCSS >scaffold_403105.1 pep chromosome:v.1.0:4:20729676:20732161:-1 gene:scaffold_403105.1 transcript:scaffold_403105.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7LIS0] MANRALNRLLRNFFVEGSPFKKRRGLYEGVVYNVIRRFQSHLSETGKVGKELEEQEAEKRKSSSVTSLRSGLENRRQFESGIDCDSSSDEDTGDGKWKLDLAWLTKALEPAMQLRRWALPTGEKSMPGSRSLSEIIASIQRSKLGIEGWTFGDLTIGLYLIYLRQASLCPFEDVKGVEVVSESTVYDLIYNAELAKGCYRDSVSGLAKNTMLRENNILKFVKDSSVMRPGYYIGVDHRRKLVVFGIRGTHTIYDLITDIVSSSDEEVTFEGYSTHFGTAEAARWFLNHELQTIRRCLAKYEGYKLRLVGHSLGGAIASLMAIMLRKMPREELGFDAEIISAVGYATPPCVSKELAENCSDFVTTIVMQDDIIPRLSAASLARLRNEILQTDWTSIIEKEEWKNIMDLVTNAKQVVTSVQDVARKVSDYANFGNKKEVPEISSSKNNQSETLISESTTKDVVKLPEELYVPGAVYYLMRNLRGNPKIAAGKQVEYFSLWKRDPGQHFQRILLSGNFITDHKCDSHYYALRDVLKGFPSFIDESIFKKRCK >scaffold_403116.1 pep chromosome:v.1.0:4:20761038:20762730:-1 gene:scaffold_403116.1 transcript:scaffold_403116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNQKPSYRFEIDNFSEKKSVITSQVFVSGGCEWCLKLYPKGDGVVKLDDYLSLYVNVANPKSLRSGWKRIANFYFVLLNQSDKELYRSPIGGQESTPFCAASPSWGWRYFLSLSKFQKTGLLEDDRLIIEVYINIVEAFDGEEEDVSSQKEETVDINGFHVVATQVTPVTKIFEEHPDLAEDLKVKNQVVKTVYMNVLLNLIETLNKLSQNHSETELRNAHSELSELVEVGFKLDWLKLKLDEVSLKRKKADVDVKKLEPVDLDFKMDPLKTKIEEVPLERKKSDDADWSRVHQLEERLKNLELMELDLKLDCLKSKLEEVSLEKKKADAGVQQLEGSVKNHVIMVSDLKVELDNEKAKSSADGFLLVD >scaffold_403119.1 pep chromosome:v.1.0:4:20768138:20770069:-1 gene:scaffold_403119.1 transcript:scaffold_403119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKQVQRNSSFRFQINNFSEKESAIKSQTFMSHGCEWYLYVYPKGDSRSDVHLPIYLFIANPKSLGSECTFQFVLLNQSNKELYRSPIGQGLFCAKSTGYGFAQTLPHRKLREKVFLEKDRLTVEVYISRVEVVSEKKKTVDINGFQVLASQVSYFSEKRRKIFTEHPDFAEDFKPKNQVVKKEYMNVLLNLVETLNKPSQNHSETDLSNAHSNLSELMEQGFKLEWLKSKLDEVSLMRKKADADVQQLDERVKKLELMNLDLKTKLEEVSLERKKSNDADRSRVQQLEECFKNLEMVVLDLKVKLGKEEAKSSADGFLLVDEFSLRSPSIRYTVETIENCVSLHEEGH >scaffold_403120.1 pep chromosome:v.1.0:4:20770861:20775023:-1 gene:scaffold_403120.1 transcript:scaffold_403120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:D7LIT1] MASASKKTTACPHHGGSVSPPKLVSAAPDTVAVWSDGDDQRASKVVLESVIRPVDSLPDTAKKPANKGISVMPRTETKHPLDPLSAAEISVAVATVRAAGANPEVRDGMRFIEVASVEPDKQVVALADAYFFPPFQPSLLPRTKSGPVIPMKLPPRRARLVVYNQKSNETSVWIVELSEVHAVTRGGHHRGRVVSSQVIPDVQPPMDAAEYAECEAIVKDFPPFIEAMKRRGIEDMDLVMVDPWCVGYHSEADAPSRRLAKPLIYCRTDSDSPMENGYARPVEGIYVLVDMQNMVVIEFEDRKFVPLPPPDPLRNYTPGESRGGVDRSDVKPLQIIQPEGPSFRVRGYFVEWQKWNFRIGFTPREGLVIHSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGSIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFLCTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFIARMDMSVDCKPGEAFNQVVEVNVRVDEPGENNVHSNAFYAEEKLLRSEAVAMRDCDPLSARHWIVRNTRTVNRTGQLTGYKLVPGSNCLPLARPEAKFLRRAAFLKHNLWVTRYAPDEKFPGGEFPNQNPRAGEGLATWVKQNRSLEESDVVLWYVFGITHVPRLEDWPVMPVEHIGFTLMPHGFFNCSPAVDVPPNPCELETKDSEVKEVVAPKPLQTGLLSKL >scaffold_403121.1 pep chromosome:v.1.0:4:20777990:20781366:-1 gene:scaffold_403121.1 transcript:scaffold_403121.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LIT2] MGANSIPTDATIDLDEQISQLMQCKPLSEQQVRALCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGMCPDTNYLFMGDYVDRGYYSVETVTLLVALKMRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMDGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >scaffold_403125.1 pep chromosome:v.1.0:4:20792102:20792318:1 gene:scaffold_403125.1 transcript:scaffold_403125.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIT6] MGTSLEFGSHTMESPKESSQPWTQILQSPRFVDKPHHPKLLIPPIVAVLADFESPMLR >scaffold_403127.1 pep chromosome:v.1.0:4:20795166:20795514:1 gene:scaffold_403127.1 transcript:scaffold_403127.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LIT8] MRMTKEREAINHSEQHQGEDTSANLKDYHNIQSKQSLCLVRKRTQQATETNDQRRGDKGRKGEPRLGSTILKPTAHQQQRDRNGKHKKGYNNTEQVTCMWMQ >scaffold_403134.1 pep chromosome:v.1.0:4:20834606:20838783:-1 gene:scaffold_403134.1 transcript:scaffold_403134.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase [Source:UniProtKB/TrEMBL;Acc:D7LIU5] MAARNLEKMASIDAQLRLLAPGKVSEDDKLIEYDALLLDRFLDILQDLHGEDVREFVQECYEVAADYDGNRNTEKLEELGNMLTSLDPGDSIVVTKSFSNMLSLANLAEEVQIAYRRRIKKLKKGDFADEASATTESDIEETLKRLLQLNKTPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKFGRIRDCLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFEMSMWRCNEELRVRAERQRCAKRDAKHYIEFWKQIPANEPYRAILGDVRDKLYNTRERARQLLSSGVSDVPEDAVFTSVDQFLEPLELCYRSLCDCGDRPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDAITTHLGIGSYKEWSEDKRQEWLLSELSGKRPLFGPDLPKTEEVADVLDTFKVISELPSDSFGAYIISMATAPSDVLAVELLQRECGITNPLRVVPLFEKLADLESAPASVARLFSIEWYRNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKTQEELVKVAKEYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGQLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRVLMDEMAIIATEEYRSVVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGGAFKRVIQKDSKNLNMLKEMYNQWPFFRVTIDLVEMVFAKGDPGIAALYDRLLVSEELQPFGEQLRVNYQETRRLLLQVAGHKDILEGDPYLRQRLQLRDPYITTLNVCQAYTLKQIRDPSFHVKVRPHLSKDYMESSPAAELVKLNPKSEYAPGLEDTVILTMKGIAAGMQNTG >scaffold_403135.1 pep chromosome:v.1.0:4:20850063:20850638:1 gene:scaffold_403135.1 transcript:scaffold_403135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRERGKNLMESSGSEPPVTPSRYESQKRRDWNTFGQYLKNQRPPVPMSHCSCNHVLDFLRYLDQFGKTKVHVPGCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPEANPFASGAIRVYLREVRECQAKARGIPYKKKKKKKPTTEMGGGRDDSSSSSSSFSFS >scaffold_403136.1 pep chromosome:v.1.0:4:20860573:20862697:1 gene:scaffold_403136.1 transcript:scaffold_403136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTTLSDLPDVILSTIFSLVSDSRARNSLSLVSHKFLALERSTRSLLTLRGNARDLSLVPGCFRSISHLDLSFLSPWGHTLLASLPIDHQNLLALRLKICFPSVVSLNVYSRSPSSLELLLPQWPRIRHIKLLRWHQRASQIPVGGDFAPIFEHCGGFLESLDLSAFYHWTEDLPPVLLRYADVAARLTRLDLLTASFTEGYKSSEIVSITKSCPNLKDFRVACTFDPRYFEFVGDETLSAVATNCPKLTHLHMVDTASLANPRAIPGTEAGDSAVTAGTLIEVFSGLPNLEELVLDVGKDVKHSGVALEALNSICKKLRALKLGQFQGVCSATEWRRFDGVALCGGLQSLSIKNSGDLTDMGLVAIGRGCCKLTKFEIQGCENVTVDGLRTMVSLRSKTLTDVRISCCKNLDATASLKAVEPICDRIKKLHIDCVWSGSEEEVEERVETSEANHEDDDDVYERSQKRCKYSLEEEHCSTSDGNGFCSEDRVWEKLEYLSLWISVGEFLTPLPMTGLDDCPNLEEIRIKIEGDCRGKRRPAEPEFGLSCLALYPKLSKMQLDCGDTIGFALTAPPMQMDLSLWERFFLTGIGSLSLSELDYWPPQDRDVNQRSLSLPGAGLLQECLTLRKLFIHGTAHEHFMNFLLRIPNLRDVQLRADYYPAPENDMSTEMRVGSCSRFEDQLNSRNIID >scaffold_403137.1 pep chromosome:v.1.0:4:20862911:20868063:-1 gene:scaffold_403137.1 transcript:scaffold_403137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFGTFATEELEMGANCCKCCQGSVESVHNSTALTLADIEVQATADLEVQATDLREVTVEETRDVAGPSEPNPPSPTLRPSQVEEISKSVCVGETSNTKESPKNLVQDRLSSYEASNILWSTGFFSDPIPSGFYSVIPVDRLQLFKSIPTMEVINALGKERFKADAICVDLKNDIQLVMIMEFFIKSVKGKDSKEVIKKTAVMVADVYRIKTPLQSPARTVRSFQIHGFPLLGKIKHGSCRARAILFKVLADAVGLESKLVVGFPSDLRSSASVDSCNHMSVVVEHNNVEMLVDLKRCPGQLTPFSPKAVYMAHISTAWQTDFVDNDSCVSPLEPNSPMERSCPPSVLQSGLSRSLSAPNIATEFFWRKVIKEQPPADFRAVELMMQRDFLKERGDDDSSPCSPDDVSSFQLDSHDQVSGERSTLNRQKAISFPSSPRSYQIQPSERSEPSRKKISQIWNEVLESPMFQNNPLLPYEQWNINFSDLTVGAFVGSGSSGVVCRGIWNKTEVAIKMLFGQQLTAENMKDFCNEISILSRLRHPNVILFLGACTKPPQLSMITEYMNRGSLYDILRTRKKGLSWERKLKILSDICRGLMGIHQMGIVHRDLKSANCLLNKGIVKICDFGLSRMKNGTTVEDTEAAGTPEWMAPELIRNEPVTEKCDIFSFGVIMWELCTLSQPWKGVPKEKVIHIVANEGARLTLPEGPLRQLIADCWLEPEQRPSCKEIMHRLKTCKFPIC >scaffold_403138.1 pep chromosome:v.1.0:4:20869709:20870868:1 gene:scaffold_403138.1 transcript:scaffold_403138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSPKTVDDAVKALVKEGNKKSKTEKPHLLEEDEFFYLVVALKKIPQRNFTNAYRIPLPHPLISTTEDSPELCLIIDDRSESGLTKEDAMKKIKLENIPITKVVKVSELKSDYGSFESKRKLCDSYDMFFSDRRVIPLLPRLIGKNFFLRKKIPVAIDLKHRNWKEQIEKACGAAMFFMRTGTCSVIKVAKLSMESDEIAENVMATLNGVVDVLPSKWKYIRSLHLKLSESLALPLYQTVPYLKLKIDPSGVEEVRNGEELVKSDDVDDSSKSVKTKKKKGRIHEVRYMDSNVSETLGEDKIEKNVEQDEVTGDLKGSGDKKKRKKMTSSKSAVSEKPDIVKSKNGQKSKKLKNDIDGSGGGLKAKTKRR >scaffold_403140.1 pep chromosome:v.1.0:4:20876130:20877926:-1 gene:scaffold_403140.1 transcript:scaffold_403140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYFAQRLYNTCKASFSSDAPISDEALEKVRNVLEKIKPSDVGIEQEAQLARTRSGPLNERNGSHQSPPAIKYLHLHECDSFSIGIFCMPPSSMIPLHNHPGMTVLSKLVYGSMHVKSYDWLEPELTEPDDPSQARPAKLVKDTEMTAPSPATTLYPKSGGNIHCFKAITHCAVLDILAPPYSSEHDRHCTYFRKSRREDLPGEVEVNGEVVTEVTWLEEFQPPDDFVIRRVPYRGPVIRT >scaffold_403141.1 pep chromosome:v.1.0:4:20879396:20880590:1 gene:scaffold_403141.1 transcript:scaffold_403141.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMBF1A/MBF1A [Source:UniProtKB/TrEMBL;Acc:D7LJB8] MAGVGPMTQDWEPVVIRKKAPNSAAKRDDKTVNAARRAGADIETVRKFNAGTNKAASSGTSLNTKRLDDDTENLTHERVPTELKKAIMQARTDKKLTQSQLAQIINEKPQVIQEYESGKAIPNQQILSKLERALGAKLRGKK >scaffold_403143.1 pep chromosome:v.1.0:4:20882407:20884115:-1 gene:scaffold_403143.1 transcript:scaffold_403143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPTWEELLGRDNWQNILEPLDHSLRQLILRTGDFCQATYDTFINDQNSIYCGASRYGKPSFFHKVMLDDARHYEVVSFLYATARVSDHEAFFLSSMSRESWDRETNWIGYIAVTSDERTAEIGRREIYVVFRGTTRNYEWVNVMGAKLTSVKELLMDGGDGPEVMLGWFTIYTTANPNSPFTKMSARSQLLTKIKELLEIYKDENPSIVFTGHSLGATIATLAAFDIGENVTSGYGNVPPVTAIVFGSPRVGNREFWDRIKGHNNVRILHVKNEIDLITRYPAKIMGYVNIGTKLKIDSRVSPFLKETHHPGDWHNLQASHSIAMLHVVAGWNGKNGKFEMKVNRNIALVNKSCALLKEECLVPECWWVEKNKGMLKTEGGDWVMATPDDEDKPVVEFD >scaffold_403151.1 pep chromosome:v.1.0:4:20901059:20903193:1 gene:scaffold_403151.1 transcript:scaffold_403151.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LJC7] MAQILSPVCTDLLKFQNSILSSRSGTSPRFSAKTTGASSSWGLPRYAGRRRSGSIGRLRVATEDASSLSTGDVADDYYAVLGLLPDATQEEIKKAYYNCMKSCHPDLSGNDPETTNFCMFINDIYEILSDPVQRMVYDEIHGYTVTAINPFLDDSTPRDHVFVDEFACIGCKNCANVAPDIFKIEEDFGRARACNQRGNPDLVQQAVETCPVDCIHQTSAAQLSLLEDEMRRVERVNVALMLSGMGSGAVDVFRMARSRWEKRQAKVLNQARSRMMKRKNTDETPSYWDNLWGKQNDYQKSEEEVQERAQRAAAAARRWREYSRRGVDKRPTFKLPDSASRGDN >scaffold_403157.1 pep chromosome:v.1.0:4:20925204:20926651:-1 gene:scaffold_403157.1 transcript:scaffold_403157.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LJD1] MTMKRALPSPSSLLFFLLITPLFLCQENRVSASMPPSESETLFKIMESMSSDQQWRQSHPNPCAPGSSWPGIECKTGPDHLSHVSRLDFGSAPNPSCKSSASFPSSIFSLPFLQSVFFFNCFTHFPTTIIFPIKLLPNSSLQQLSLRSNPSLSGQIPPRISSLKSLQILTLSQNRLTGDIPPAIFSLKSLVHLDLSYNKLTGKIPVQLGNLNNLVGLDLSYNSLTGTIPPTISQLGMLQKLDLSSNSLLGRIPEGVEKLRSLSFMALSNNKLKGAFPKGISKLQSLQYFIMDNNPMFVALPVELGFLPKLQELQLENSGYSGVIPESYTKLMNLSSLSLANNRLAGEIPSGFESLPHVFHLNFSRNLLIGVVPFDSSFLRRLGKNLDLSGNRGLCLNPEDEFSVVKTGVDVCGKNVTGGGVLPVHSPKKKSQAAPSRYYRSCFFSNALFQFALFQFALFLSLHQRLVL >scaffold_403163.1 pep chromosome:v.1.0:4:20954794:20955654:1 gene:scaffold_403163.1 transcript:scaffold_403163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGEETIEEPNNSIDETRSEEEDERIRGIIADRVDPVDSTEEGTTGPSNSHTIVSKDHDFSESDMEDEEQVLDFFRRSSGLLPEVAKRNNFPYLNKSEGMVLQFQEGTPAETEQTNVMFHSLNDSNEIADLSAVNLNSPDTLEGIKSSSASDEDTEESSSNSDLDSSLSRYYFDGSLPVSAHVEEFETHQDESPVPKHENQMPLLCLKQPVLQPTSWRNCCGLLELLWAADP >scaffold_403164.1 pep chromosome:v.1.0:4:20957442:20957838:-1 gene:scaffold_403164.1 transcript:scaffold_403164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLATPDTTRRSLSPSCSAAVKSRAAGFERRTKRRLSETNASVREDREEEEEEDEVKEKIEALQRIIPGGTALGVDALFEETAGYIMSLQCQIKTIKVLTSFLQRVDQEDMKFGG >scaffold_403166.1 pep chromosome:v.1.0:4:20972831:20975874:1 gene:scaffold_403166.1 transcript:scaffold_403166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVICISKENQPAFDHPLLMYHKIQEAPTEIPRVIGTNKKSEWPTSEAHVSTAKCPQDKVPIQNMTALSHRAKPERGNNNTSVIPKHEHAVALARGIPKVYGTKAVINIWEPVVEDKKIEMSISQIWITSGDFDTNDLNSIEVGWQVDPIVYKDNKPRLFVYWTSDAYRTTGGYNLRKPGFIQTSSEIVLGGSISPVSSFGGSQFEITILVWKMVDDTNTLQPIEVKRYYSDGPYYSTKKLHTDEWGTHFFFGGPGLSLYFIYFSFSFFIII >scaffold_403167.1 pep chromosome:v.1.0:4:20978086:20978585:-1 gene:scaffold_403167.1 transcript:scaffold_403167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITKTSVTVFLVIMLTISFSYCNVVAESVIEPEKYGPCTFICDNRRDNFACFSDCLSDIYPDGGHCVGNPARCCCIRK >scaffold_403172.1 pep chromosome:v.1.0:4:21000242:21000691:-1 gene:scaffold_403172.1 transcript:scaffold_403172.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein 17 [Source:UniProtKB/TrEMBL;Acc:D7LJE5] MKTKMLITLFALISVAGTSEATGRLAAQQNTSIIPTYSLWCVENPYAYFTRVIWNLKWACKNGADCSPLAKGGRCQDLDYYRSRASYVFNDYYQKNPIPRNCDFGGAAVLTIQDPSNSKHFTFEKIKLKITRVPL >scaffold_403174.1 pep chromosome:v.1.0:4:21002768:21005275:1 gene:scaffold_403174.1 transcript:scaffold_403174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANHNDGYHNLWTNGLICAFEFCQGRRKNTTSVHGDSSENSLRIKKQEFGAGEEEEHSRSYWRGIGWDRISELVKTVQVDNTWELRNIDLDEDEATVAELAAPYWERPLAGPTWWCHVDASHQGIASWLRNVPVRVAGGLLFELLGQSAGDPFIQEDDIPIVLRSWQAQNFLVTALHVKGFALNISVLGITQVQEILIAGGACIPRTVHELIAHLACRLARWDDRLFRKYVFGAADEVELMFMNKRLYEDLNLFTTILNREIRRLSTQVIRVKWSLHAREEIVFELLQKLKGNRTKDLLEGIKKSTRDMINEQEAVRGRLFTIQDVMQNTVRAWLQDRSLTVTHNLGIFGGVGLLLTIVTGLFGINVDGIPGAKDFPHAFVLFSAVLFFSGLVLVVAALLYLGLKEPVAEENVETRKLELDEMVKKFQREAESHAQVCKKVPQNRERTTSSSRMIVHDPNGYVLLE >scaffold_403177.1 pep chromosome:v.1.0:4:21018810:21020439:-1 gene:scaffold_403177.1 transcript:scaffold_403177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLSIILGLILFSVSPFSGDCRTLSRKHDHNSSSLYGFNSQDTMRFGSVSSSTSNDCGFSSKEHDPAKEHTRESVKLHLRRREIKQETKRTTHSVVDLQIQDLTRIQTLHARFKKSKKQRNEKVKKKITSDISLVGAPEVSPGKLIATLESGMTLGSGEYFMDVLVGTPPKHFSLILDTGSDLNWLQCLPCYDCFHQNEAFYDPKTSASFKNITCNDPRCSLISSPEPPVQCKSDNQSCPYFYWYGDRSNTTGDFAVETFTVNLTTTEGRSSEYKVENMMFGCGHWNRGLFSGASGLLGLGRGPLSFSSQLQSLYGHSFSYCLVDRNSDTNVSSKLIFGEDKDLLNHTNLNFTSFVNGKENSVETFYYIQIKSILVGGEALDIPEETWNISPDGAGGTIIDSGTTLSYFAEPAYEIIKNKFAEKMKENYLVFRDFPVLDPCFNVSGIEENNIHLPELGIAFADGAVWNFPAENSFIWLSEDLVCLAILGTPKSTFSIIGNYQQQNFHILYDTKMSRLGFTPTKCADI >scaffold_403178.1 pep chromosome:v.1.0:4:21023635:21024820:1 gene:scaffold_403178.1 transcript:scaffold_403178.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LJF1] MATHYLSPSILCIILITLVSIAGAKVPAIIVFGDSSVDSGNNNFISTMARANFEPYGRDFPGGRATGRFCNGRLSSDFTSEAYGLKPTIPAYLDPSYNISDFATGVCFASAGTGYDNSTADVLGVIPLWKEVEYFKEYQGNLYAYLGHRRAAKIIRESLYLVSIGTNDFLENYYTLPDRRSQFSISQYQDFLIEIAEVFLKDLYRLGARKMSFTGISPMGCLPLERVTNLDDPFSCARSYNDLAVDFNGRLRRLVTKLNRELTRIKIYFANPYDIMWDIVAKPNLYGLEISSSACCGTGLFEMGFLCGQDNPLTCSDANKFVFWDAFHPTEKTNQIVSDHFSKHLKNLFH >scaffold_403186.1 pep chromosome:v.1.0:4:21057522:21057771:1 gene:scaffold_403186.1 transcript:scaffold_403186.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJX3] MDNHTNSPRSHIGKTNIPCIARLEPAEKLSMEEKIDWRSFLWQRRGGLQCDIAGKSLAIIFAGEIAFAS >scaffold_403188.1 pep chromosome:v.1.0:4:21062455:21066147:-1 gene:scaffold_403188.1 transcript:scaffold_403188.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease-associated domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LJX5] MSSFDPPNHRYSSLVLILLLLGFSVAAAEDVSSWTEDSSLESPGCTNKFQMVKVLNWVDGVEGDYLTGLTAQFGAALPSDADQSLRFPAAFVDPLDSCSNLSSRLDGRIALSIRGNCAFTEKAKHAEAAGASALLVINDKEDLDEMGCMEKDTSLNVSIPVLMISKSSGDALNKSMVDNKSVELLLYAPKRPVVDLTAGLLLLMAVGTVVVASLWSELTDPDQANESYSILAKEFPSAGTRKDDPEKEILDISVTGAVFFIVTASIFLLLLFYFMSSWFVWVLTIFFCIGGMQGMHNIIMAVILRKCRHLGRKSVKLPLLGTMSVLSLLVNIVCLAFAVFWFIERHTSYSWVGQDILGICLMITALQVVRLPNIKVASVLLCCAFVYDIFWVFISPLIFHESVMIVVAQGDSSSGESIPMLLRIPRFFDPWGGYDMIGFGDILFPGLLISFASRYDKIKKRVISNGYFLWLTIGYGIGLLLTYVGLYLMDGHGQPALLYVVPCTLGLAVILGLVRGELKELWNHGSEESESHTTEDPMPVA >scaffold_403189.1 pep chromosome:v.1.0:4:21066961:21069804:1 gene:scaffold_403189.1 transcript:scaffold_403189.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-P4H-1 [Source:UniProtKB/TrEMBL;Acc:D7LJX6] MAPAMKIVFGLLTFVTVGMVIASLLQLAFINRLEDSYGPGFPSLRGLRGQNTRYLRDVSRWANDKDAELLRIGNVKPEVVSWSPRIIVLHDFLSPEECEYLKAIARPRLQVSTVVDVKTGKGVKSDVRTSSGMFLTHVERSNPIIQAIEKRIAVFSQVPAENGELIQVLRYEPKQFYKPHHDYFADTFNLKRGGQRVATMLMYLTDDVEGGETYFPLAGDGDCTCGGKIMKGISVKPTKGDAVLFWSMGLDGQSDPRSIHGGCEVLSGEKWSATKWMRQKATS >scaffold_403192.1 pep chromosome:v.1.0:4:21076837:21077481:1 gene:scaffold_403192.1 transcript:scaffold_403192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGKPNCTQMKKRSRQESKTRAMRSSFHSCCLYIVFLCTLWLLGTLSYTYYAATTKDALPETPGFKLRRMSLRAKHPVVFILGIVTGALDLWEGKQCANRFFRERFWGGSFANLLIEIQL >scaffold_403195.1 pep chromosome:v.1.0:4:21087719:21088772:-1 gene:scaffold_403195.1 transcript:scaffold_403195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDERGEEYLFKIVIIGDSAVGKSNLLTRYARNEFNPNSKATIGVEFQTQSMVIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDITRSSTFENVGRWLDELNTHSDTTVAKMLIGNKCDLESIRAVSVEEGKSLAESEGLFFMETSALDSTNVKTAFEMVIREIYSNISRKQLNSDSYKEELTVNRVSLVKNENEGTKTFSCCSR >scaffold_403197.1 pep chromosome:v.1.0:4:21097682:21097886:-1 gene:scaffold_403197.1 transcript:scaffold_403197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDIRNSDLWQVMELEDESYLEKLLSYYNRISDLHEEYIPKFEELQSRRSDSIX >scaffold_403198.1 pep chromosome:v.1.0:4:21104049:21104349:-1 gene:scaffold_403198.1 transcript:scaffold_403198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLTSCRSDRNLRINCCKQIKSINKDNSWKQRNNMVHNQQSIPILFKLLDREIRNTITAKSREKAILQSYATMDVMNSLHVTS >scaffold_403201.1 pep chromosome:v.1.0:4:21130748:21132812:-1 gene:scaffold_403201.1 transcript:scaffold_403201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSFCSSSPPRILHKQSKSSFFPSDSSSFTQTVGESSAKPISVSRRFRVAVNSTAVSDESGNAKLLSSPAKKLREIMQSPGVLQGPCCFDALSAKLIERAGFPYCITTGFSISAARLGLPDKGLISYGEMFDQGQQITQSVSIPVIGDGGNGFGNAMNVKRTVKGYINAGFAGIIINDQVCCEDTKSERRVVSREEAVMRIKAAVDARRECGSDIVIVAQTDSREAISLEESLVRARAFTDAGADVLSVDSLFSREEMKSFCNVYPLVPKLANMLEIGGKFPILNPLELEEIGYKLVAYPISLIGVSIQAMQDALLAIKGGRIPPPGSMASVEEIKEILGFDTYQEEEKRNATSSSDRETSSSSIYGNQRVAQDDPEQREDPIVEVITPEVYNEPRNPFSRIWSRSLRIKIIGRDGFEKLDVRIPAGFLEGVTNIVPALGGVNLKQLMDDAADEVGGKLLLDFKDTAGDRIQVFLE >scaffold_403202.1 pep chromosome:v.1.0:4:21133450:21135022:1 gene:scaffold_403202.1 transcript:scaffold_403202.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P family protein [Source:UniProtKB/TrEMBL;Acc:D7LJY7] MGTQTVVHDQARWAMAAMERRLAVAKAQLLQQQQKSEKDKKGTSDVDVSTKESHQADSLPTPSKTSIKKVDPKDDDSVAYTRLSHPVDENLLATNVKFSSAKGTIVDKVLHNLLRSGDSAQKYLQGTKSVKLDNYILLDNFVQSRSSASGSKKASQKDSKRSRSRMSMKRLKKSGALHMPKDLQKFDLFKPMHCMWESYMMKLIKVTGKIQLSLTLLSADLHGAFMFVAECKIASFTGVQGIMVRETSETFGIITRDDKFRVVPKKLSVFIIQLDCWKITLHGDKFISRDNIVQR >scaffold_403205.1 pep chromosome:v.1.0:4:21141463:21141771:1 gene:scaffold_403205.1 transcript:scaffold_403205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYFWYEHPVSLSRDELFGCQEYETNAKWNGMHSSCIPRPVTKKIDHPCHPNHPLELLLQGPPNYSAGNQARL >scaffold_403210.1 pep chromosome:v.1.0:4:21163374:21163582:-1 gene:scaffold_403210.1 transcript:scaffold_403210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LJZ4] MEDIDEGLAVVAAVINEVEAEPEEPPNIGEMVHAAAVYVLNKGFALYVFYQSFRN >scaffold_403212.1 pep chromosome:v.1.0:4:21168185:21169200:-1 gene:scaffold_403212.1 transcript:scaffold_403212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRGQTQNINEVYDTIKRVASRLGISEDQATVLLLIYKWDEERLVSKFKQSPNDCVNDHYFVTKPVFDLDWTECLLCKHFTACINLGCGDHFCDKCFREYYESKIHKDNLDMDCPNDNCCKFLPRSLIKDNMMSKEVRKRYEASLLENFRHATKQPHTEEDSLLKKSGSQIFGKGKDLASGSGENKK >scaffold_403215.1 pep chromosome:v.1.0:4:21174725:21175522:-1 gene:scaffold_403215.1 transcript:scaffold_403215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIFLLYNILNSFLLSLVPKKLQTLFPLSWLDKTLHKNSPPSPSTMLPSPPSSSAPTKRIDPSELKRVFQMFDKNGDGRITKEELNDSLENLGIYIPDKDLTQMIHKIDANGDGCVDIDEFESLYSSIVDEHHNDGETEEEDMKDAFNVFDQDGDGFITVDELKSVMASLGLKQGKTLDGCKKMIMQVDADGDGRVNYKEFLQMMKGGGFSSSN >scaffold_403221.1 pep chromosome:v.1.0:4:21194627:21196991:-1 gene:scaffold_403221.1 transcript:scaffold_403221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVRSVFRSRIRPSVSGGLQSVSCYSSSAASAEAERTIREGPRNDWSKDEIKSVYDSPVLDLLFHGAQVHRHVHNFREVQQCTLLSIKTGGCSEDCSYCPQSSRYSTGVKAQRLMSKDAVIDAAKKAKEAGSTRFCMGAAWRDTIGRKTNFNQILEYIKEIRGMGMEVCCTLGMIEKQQALELKKAGLTAYNHNLDTSREYYPNVITTRSYDDRLETLEHVREAGINVCSGGIIGLGEAEEDRVGLLHTLATLPMHPESVPINALLAVKGTPLEDQKPVEIWEMIRMIGTARIVMPKAMVRLSAGRVRFSMAEQALCFLAGANSIFTGEKLLTTPNNDFDADQLMFKTLGLIPKPPSFSEDNSEAENCDKVASASH >scaffold_403224.1 pep chromosome:v.1.0:4:21202965:21203364:-1 gene:scaffold_403224.1 transcript:scaffold_403224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFVSNYRFRMREIALDSFPMKEGGCKESFTAWRNSPTEMNYVMMMNCMKAHREYYQPVIAPTEASGEKFKKELEAFFMPSKEDLKLPEEEREEELLMRVFDFMKGGPCKEIFGGGL >scaffold_403226.1 pep chromosome:v.1.0:4:21211268:21211551:1 gene:scaffold_403226.1 transcript:scaffold_403226.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LK10] MAIERKTVIVSIAVLLMIVAMTVTEVDGRTNFGRNLLEGQTNEGRNLYDIVGAVVGKHCCNKIITTCCGPAPAPQPTRKP >scaffold_403227.1 pep chromosome:v.1.0:4:21212424:21212810:-1 gene:scaffold_403227.1 transcript:scaffold_403227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPEQTYMYAYVSLPHHDQRERYSQKCYRDGRRVVLASYDLMGSERFSFKEKLRKSMKGINESAERWVSEVRQGTTKRRFAIRVLRTKLGFYSCFIRSVGCFTPCVGHRDNFMK >scaffold_403228.1 pep chromosome:v.1.0:4:21216908:21217921:-1 gene:scaffold_403228.1 transcript:scaffold_403228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNPNSMYLSAHLLGELFLKLPLKSLGRFKSVSKEWKSILESKWFVDKHLILAKSCQKILLAYDCECDVSPSLLPGVEDCEWGLEFVYLHCDATRPSMSYEGLVCFPETEWVNVLNPSTGQLRRFHCPSLLNPQPNSTTFREESWTTYFPGYCAMGFGRDNAKGSYKVVRIFRDPNYCDILDVNNGEWRKLWKPNRYKVDVARKSACVNGSVYWLRIRHDHVYTILALDLHTEEFHDVPRPPLPKGIMLEAQIANIRDRLAIAMPVSHPLQRYGVWMMHHKIHGARLIPLV >scaffold_403234.1 pep chromosome:v.1.0:4:21241552:21242674:-1 gene:scaffold_403234.1 transcript:scaffold_403234.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L38 [Source:UniProtKB/TrEMBL;Acc:D7LK18] MPKQIHEIKDFLLTARRKDARSVKIKRSKDIVKFKVRCSRYLYTLCVFDQEKADKLKQSLPPGLSVQDL >scaffold_403237.1 pep chromosome:v.1.0:4:21250130:21251140:1 gene:scaffold_403237.1 transcript:scaffold_403237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGKNENPNLNADILEELFLRLPLKSLGRLKSVSKEWKSILESMWFVEKHLSLAKSSRKILLAYDCECGVSPSLLPGLRDFEWSQEFVYLHCDATRPSMSYEGFVCFPEAERVNVLNPSTGQLRRFHCPSLSNPRPKSSTFREESWTTYFPGYCAMGFGRDNVKGSYKVVRIFFDPTYCDILDVNTGKWRKLWKPRRCKVDVGRKSARVNGSIYWLRIRRGHVYAIVALDLHTEEFHDVPRPHLPKGIMFEAQIVNIRDRLAIAMPVSHPLHQYELEIYMEYGWTKRNMEQDSLH >scaffold_403239.1 pep chromosome:v.1.0:4:21256959:21260614:-1 gene:scaffold_403239.1 transcript:scaffold_403239.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RabGAP/TBC domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LK22] MCSGGEGKQWSCGKAGVVSLQKVGSLVRDLSEPCLSQSRIQVVITIGKMLKPEKWQASFDSDGRVSGFQKALKLIILGGIDPSIRAEVWEFLLGCYALGSTSEYRNQLRVARRKRYNDLLKQCQTMHSSVGTGSLAYVVGSKVMDMRKSYRDESVKVATTDENREEAFIDNNDNANTENHHSDWSNNGTDTSHVHRRGSSSESVDLVSGRESPESVVYNTSSFVSASSPYGFASPDGYFDFPSLPVTDLFGRNSLDKIEVSTPDEDASLKSDLRSEDEAMHNFRIDKNADLVREQRRSTPEIEVMHQGSVGPSSYTGRNAEIVDGLKISDVPEMASVKETPSRVGNVTEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDPGNLGRMSDILAVYAWVDPATGYCQGMSDLVSPFVVLFEDNADAFWCFEMLIRRTRANFQMEGPTGVMDQLQSLWHILQITDKDIFSHLSRIGAESLHFAFRMLLVLFRRELSFNEALRMWEMMWAADYDESVAETLENDCLEPLVIQLPRKSEPEVSEESIEDGIGNSTKREPTISKSGPISKSSGLLSRSGLLPKSGPLPKTAGPFSDESEIKAASSSYHFCGLTRSLWSRNDRTTHVPCVVSSIKKGDDALPVFCVAAILIMNRHKIMKETRSIDDMIQIFNDKVLVFRVRRCIRTAMKLRRKYMYKSQVIKTKSHTQSQNLNQVQIQHQTHMESQRPEEIQSHGENQSQTPSLHHSPATQNGD >scaffold_403245.1 pep chromosome:v.1.0:4:21283528:21284022:1 gene:scaffold_403245.1 transcript:scaffold_403245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSVSTFAIFIILVLVIFGISKIEAQDSECLKEYGVDDDGDDVGFRFCAPRIFPTLCYRRCQKDKGAKGGKCLWDEEGSGNVKCLCDFCSEEPFNQFISPT >scaffold_403248.1 pep chromosome:v.1.0:4:21285933:21286657:1 gene:scaffold_403248.1 transcript:scaffold_403248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWNNVRYISKVGNKQRKMKSVSIFLVLFVFFLVVLEAPEKIEAKDKFICVVEYGGDVGPTFCNPKFFPTLCRQNCRSFKGAKGGKCVKQPKHKTIKCFCDYCKDD >scaffold_403250.1 pep chromosome:v.1.0:4:21288879:21289298:-1 gene:scaffold_403250.1 transcript:scaffold_403250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNMKFVSFAFATCSSFLGWLFLMLKGWFLIKESGSLCCNSHPTFGVCNSSEDEDRCNTWCLGGCANGKGGPCKHLLYGGQCHCFY >scaffold_403253.1 pep chromosome:v.1.0:4:21300321:21300780:-1 gene:scaffold_403253.1 transcript:scaffold_403253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPKSSNPEKKRRCKCTMNFASLFAVGAPEALVIGVVALLVFGPKGLDEDEESENMEVFQVFKELSSAEN >scaffold_403254.1 pep chromosome:v.1.0:4:21300863:21301163:-1 gene:scaffold_403254.1 transcript:scaffold_403254.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LK36] MMVFDRRHLDRFIFGPPWSFNGRICGYQLDSRVLGLIAVVFGDPFERFWSWEGTNIVVSPNRSIFLSVFRCLRSSVQAQLPPPPPL >scaffold_403255.1 pep chromosome:v.1.0:4:21302681:21303548:-1 gene:scaffold_403255.1 transcript:scaffold_403255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKIASVFLIYLFSFYSQTAKSQFCGCSPILCCSQSGYCGITDQHCGSGCKSGPCRQSRDPVDKIVTQQFFNGIIDTRNGCAGKGFYTRDSFLQAIASMFAHFTHETERFCNIEEVNGTSSDYCDKNNSLYPCAPGKSYFGRGPIQLSWNYNYGTCGQSLGLDLLRQPELVGSNSTVAFQTGLWFWMNSVRPVLDQGFGATIRAINAMECNGGNLGAVNARVR >scaffold_403264.1 pep chromosome:v.1.0:4:21328455:21332197:1 gene:scaffold_403264.1 transcript:scaffold_403264.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/U3 ribonucleoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7LKG7] MGKKGGTLKRSSKSTKNRKDIVEDQYDDEIDAFHKQRDIVPLDVNDDTDESDEDDVQPVFDLKGVDDESDEDEEDTEDEEESENGLTAKMIRQKKYLRAKFGDGDDEMADDDKDKDEEEKRSTWGGRSGLYHSGDNVDFDLQSSDDEDLKAEEEEVIRLRREQLGSITAADAGLDDDSEEESDRELTMEEISKKGKQATKSITDKKEKDDKDTHVEEIKKDINSLSKEEQMDVVYSSAPEIVGLLSELNYAVEELESKINPVLSKLKEGEISLNGGTRYLEVKQLLLLTYCQSITFYLLLKSEGQPIRDHPVLARLVDIKSLLDKIKELDGELPPGFEESLARSIANGTVQKVVKEDQLTSPVSDSVDRITQDTAEPMKIDGAREEKKKKGEKRKHQQNDQVDVQSEEMLKLRAALEGKLRSNGVLGSTVSKSDKAQKRQKLANRKLETFDDYVDDADNSTHNVTADKLTKLVSTKRKPKTVSGDDDLPQRDDIGERRRKFELRVLAGAGVKSSEGDGRNENGAFASDDDNDIDGGNNVMVDNDGESEGSEDEFYKQVKQKQEAKRAAKAEIYSRKPHLIPSSPERVEGKRHITNQIMSNRGLTRQRNKDLKNPRKKYRNKHEKKVIDRKGQVRDIRKQTGPYSGETRGINPNTSRSIRIKN >scaffold_403267.1 pep chromosome:v.1.0:4:21338853:21341227:1 gene:scaffold_403267.1 transcript:scaffold_403267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGSWFSAIKRVFTPHSKEKLANQEPERKSVKEKRKKGFGKLRHGETNSFLPIFREPSSIEKILGEAERDHNLVFRPPTPDRSNPFSASPPPPPRPASPRVPSPRPTSPRVASPRAASPKPPSPRAEVPRSLSPKPSSPRADLPRSLSPKPKPSSASAIAPPLRPASTRVPSQRITPPSVPSPRPSSPRGASPQAVSSKPPSPRAELPTLDTPRPPSPRAASSRAEPPTLDTPRPPSPKTASPRADPPRFDAPRPTTPKPPSPRADTPRLDAPRPTTPKPPSPRADAPRLDAPRPTTPKPSSPRAVSPRGVQRREVVYRPEATLPVQHASATKIQGAFRGYMARKSFRALKGLVRLQGVVRGYSVKRQTINAMKYMQQVVRVQSQIQSRRIKMLENQAQVEKDEVKWGASEAGNDNWDDSVLTKEERDARSQRKTDAIIKRERSMAYAYSRKLWKNSPKSTQDNRSSGGFPQWWNWVDRQHPLASPAPSYSQAQRDFRLTPSRLCPSPLSQSSKQHHIRLDNHFDTSTPRSSRSTFHTPSRPIHTGPSRYSRGRLRGQDSPFKDDDSLTSCPPFPSYMAPTVSAKAKVRPNSNPKERVMGTPVSEKRRMSFPPMQQGLDTFRWNKGSLLMSNSSSQRGPGSPGGVVLEKHKTLKSVGNLSIGSTASMATTVGRKEFNRFV >scaffold_403268.1 pep chromosome:v.1.0:4:21342359:21344388:1 gene:scaffold_403268.1 transcript:scaffold_403268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKINWLMVLVIIALFNLENSLGRLVFEGSAGLMNGFTTLTSTKKHAYGQAFDDKPFPFKNSINGDVTSFSFTFFFAIVPEHRHKGSHGMAFVISPTRGIPGASADQYLGIFNDTNNGKSSNHIIAVELDIHKDDEFGDIDDNHVGININGMRSTVSAPAGYYDQKGQFKNLSLISGNLLRVTILYSQEEKQLNVTLSPAEEANVPKLPLLSLNHDLSPYLSKNMYVGFIASTGSVGAIHYMWMWYVFTFIIVPQLDFAIPTFPPYPKEESQVKLIVLVTCLTLALFVALAASAFSVFLYKRHKKVMEVLEEWEIECGPHRFSYKELFNATNGFKQRIGKGGFGPVFKGTLPGSNAKIAVKRVSHDSSQGMREFLAEISTIGRLRHPNLVRLLGYCRYKEELYLVYDFMPNGSLDKYLYGISDQEQLSWSQRFKIVKDVASALSYLHHEWVHVVIHRDIKPANVLIDDKMNACLGDFGLAKVYDQGYDPQTSRVAGTFGYMAPEIMRTGRPTMGTDVYAFGVFMLEVSCGRKLFEPRAESEEAILTNWAINRWENGDIVEAATERVRQDHDKGQLELVLKLGVLCSHQAEEVRPDMATVVKILNGVSELPDNLLDIVRSEKFENWYERYSKVLDPVTTVESIGNLAITEPILPSGRPRLFL >scaffold_403271.1 pep chromosome:v.1.0:4:21352792:21355272:1 gene:scaffold_403271.1 transcript:scaffold_403271.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] desaturase [Source:UniProtKB/TrEMBL;Acc:D7LKH4] MALKLNPLVASQPYKFPSSTRPPTSSFRSPKFLCLASSSPALSSGAKDVESLKKPFTPPREVHVQVLHSMPPQKIEIFKSMENWAEENLLIHLKDVEKSWQPQDFLPDPASDGFEDQVRELRERARELPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASPTSWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARQAKEHGDMKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDGTVMAFADMMRKKISMPAHLMYDGRNDNLFDNFSSVAQRLGVYTAKDYADILEFLVGRWKIQDLTGLSGEGNKAQDYLCGLSPRIKRLDERAQARAKKGPKIPFSWIHDREVQL >scaffold_403275.1 pep chromosome:v.1.0:4:21361424:21362554:-1 gene:scaffold_403275.1 transcript:scaffold_403275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVGPIGSKSTRGYKWDEKGHNMISYIYVEHDDDRNIITSIQFVYFHKKAPIMSKKHGCYVQGEKFLMIRLNHDEYVTGLSGIDWEGGVTSLTFYTNQRKHGPICKRFDYLKDRKREINVGIRDRREFGGFFGSFSTIIGCLSSIGIYVCPITSINDAVRTKYKVTEVTNADDDQLTLYQSSDPLALINHNRTLEYQIPHEASDGFHVKPIIHKPKFKDELSLYQSSDLLARSTNNRTLEYQNLEFLDVFHRVKPIGGKRKLKESILSKLGRLLKNLLD >scaffold_403276.1 pep chromosome:v.1.0:4:21363561:21364195:-1 gene:scaffold_403276.1 transcript:scaffold_403276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMKLGPVGDHRNGKNWEEVCSDRISHIFVSFDERALTSIQFGYAKTGAPVLSKKHGSSSNSHSTRIVRLNHVSEFITGISGQCFCGDIISLTFHTNQKAHEAFRSTSNTSMTTGREFHSGMLDRREFGGFFGSCSSSRLNSIGIYLKPIVAAVPSGFAQYRGLPFNWS >scaffold_403279.1 pep chromosome:v.1.0:4:21370400:21373252:-1 gene:scaffold_403279.1 transcript:scaffold_403279.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:D7LKI2] MAATSSSAFLLNPLTSRHRPFIYSPEFSSLSLSSRKAAAFDVSSAALTLKRQSRSDVVCKAVSVKPEAGVEGLNIADNAAQLIGKTPMVYLNNVVKGCVASVAAKLEIMEPCCSVKDRIGYSMITDAEEKGLITPGKSVLVESTSGNTGIGLAFIAASKGYKLILTMPASMSLERRVLLRAFGAELVLTEPAKGMTGAIQKAEEILKKTPNSYMLQQFDNPANPKIHYETTGPEIWEDTRGKIDILVAGIGTGGTITGVGRFIKERKPELKVIGVEPTESAILSGGKPGPHKIQGIGAGFVPKNLDLAIVDEYIAISSEEAIETSKQLALQEGLLVGISSGAAAAAAIQVAKRPENAGKLIAVVFPSFGERYLSTQLFQSIREECEQMQPEL >scaffold_403282.1 pep chromosome:v.1.0:4:21377362:21377774:-1 gene:scaffold_403282.1 transcript:scaffold_403282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPGFSYLGPKSKNTVVAGGLTAFVFGVYFYTMRAVGGTDELQVAIDKFEGQKQVETDTKAPSKV >scaffold_403283.1 pep chromosome:v.1.0:4:21380165:21382456:1 gene:scaffold_403283.1 transcript:scaffold_403283.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:D7LKI6] MDGGSGQPAADTEMTEALGGVPAAASSQPSPQMPGIENIPATLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSAMNSETNESVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPPLRNAFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSESDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEEELEFLNENAKRYIRQLPPYPRQSITDKFPTVHPLAIDLIEKMLTFDPRRRITVLDALAHPYLNSLHDISDEPECTIPFNFDFENHALSEEQMKELIYREALAFNPEYQQ >scaffold_403286.1 pep chromosome:v.1.0:4:21392741:21394029:1 gene:scaffold_403286.1 transcript:scaffold_403286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGEKASGTTKTPADFLKSIRGKPVVVKLNSGVDYRGILTCLDGYMNIAMEQTEEYVNGQLKNKYGDAFVRGNNVLYISTTKGTLSDGA >scaffold_403289.1 pep chromosome:v.1.0:4:21400128:21402675:-1 gene:scaffold_403289.1 transcript:scaffold_403289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENITAQLKRGISRQFSTGSIRRTLSRQFTRQSSLDPRRTNMRFSFGRQSSLDPIRRSPDSVRSDDEPHMSVPENLDSTMQLLFMASKGDVRGIEELLDEGIDVNSIDLDGRTALHIAACEGHLGVVKALLSRRANIDARDRWGSTAAADAKYYGNLDVYNLLKARGAKVPKTRKTPMTVSNPREVPEYELNPLEIQVRKADGISKGAYQVAKWNGTRVSVKILDKDSYSDPERINAFRHELTLLEKVRHPNVIQFVGAVTQNIPMMIVVEYNPKGDLSVYLQKKGRLSPSKALRFALDIARGMNYLHECKPDPIIHCDLKPKNILLDRGGQLKISGFGMIRLSKISQDKAKVANHKAHIDLSNYYIAPEVYKDEIFDRRVDAHSFGVILYEITEGVPVFHPRPPEEVAKMMCLEGKRPVFKTKSRSYPPDIKELIEKCWHQEAAIRPTFSEIIIRLDKIVANCSKQGWWKDTFKFPWK >scaffold_403291.1 pep chromosome:v.1.0:4:21410328:21410595:1 gene:scaffold_403291.1 transcript:scaffold_403291.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKJ4] MWPTTSCAYDLMRLYQRLLLLNRHNSPEPATAAEPHVVEESINQTIQINTPDRSSM >scaffold_403293.1 pep chromosome:v.1.0:4:21418319:21419803:1 gene:scaffold_403293.1 transcript:scaffold_403293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNISFPCAIIFFFNVFFFINSSHAMPSFNVQRYGARGDGRTDATKSFLTAWSLACGSRARAMVYVPRGTYLVKNLVFWGPCKNIITFKNDGTLVAPANYWDIGNSGYWILFAKVNRISVYGGTIDARGAGYWSCRKKGSHCPQGARSISFSWCNNVLLSGLTSFNSQNMHVTVHHSSNVRIQNIRIRAPSGSPNTDGIHIQSSSGVTISGGTIATGDDCIALSQGSRNVWIERVNCGPGHGISIGSLGDYANEEGVQNVTVTSSVFTKTQNGVRIKTWARPSRGFVNNVVFRNLIMNNVGNPVIIDQNYCPNGNGCPRQSSGVKISGVTFANIKGTSTTPIAMKLDCSGSSHCTGLRLQDIKLTYMRRSSASYCRNAHGRASGVMVPRNCM >scaffold_403294.1 pep chromosome:v.1.0:4:21422606:21424194:1 gene:scaffold_403294.1 transcript:scaffold_403294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNKLVAVLVILLMKSSTAANNYNVVSFGAKPDGRTDSTEAFLGAWQAACRSAAAVTVTVPRGSFLLKPVEFRGPCRSRITFQIYGTIVAPSDYRGLGNSGYWILFVKVNRISINGGTLDARGASFWACRKSGKSCPVGARSMTFNWANDVVVTGLTSINSQATHLVINSCNNVIVRKVKLVAPDQSPNTDGLHVQGSAGVTVTDSTFQTGDDCISIGPGTRNLYMSKLNCGPGHGISIGSLGRDSKEAGVENITLINSVFSGSDNGVRIKTWARQSTGFVRNVLFQNLIMKNVQNPIIVDQNYCPANQGCPKQGSGVKISQVVYRNIQGTSRTQQALTFDCSRSNPCQAIRLHDIKLMFNGRSATATCKNIKGVKAGVVMPQGCL >scaffold_403303.1 pep chromosome:v.1.0:4:21452696:21453934:-1 gene:scaffold_403303.1 transcript:scaffold_403303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETPPLPPDDDDDLRRIMLERLSITAARGGLEMEEKLKTIFFGLDIPSCSFVFPKGRDHHLYKQKIAEYTKSPPLHSPPPPLPPGLVAQCDFKSSLTEQVALNHELFAFKVRVPEGAQFVVRYGRYFWWALRDRVSTDTQFKFLDKGGPRYHFFSRICLQFGHLIRAWEDPPASMEALLEGFFTAFNAFKKKLEDDEELVDKVKTDRRDFVVCDEYFVDPENKALELIPMAMRHPPLNEHHSQGTFRLPPDLILFRVRIPQGGMMRKELGITKFTALFVVRYGNEFWDAMWDRVSTDTQFTFLKKNHGNDRGRYTLLFSQFLLAYGNVIKPWGLMPRKSNPPARMEAVLEGFFTAFNAFKKKQEDKEDEVVNKVVSCD >scaffold_403309.1 pep chromosome:v.1.0:4:21467139:21468705:-1 gene:scaffold_403309.1 transcript:scaffold_403309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLLRRNKFLALIRRQSLIFPITSTETKTLINPDPNIPQFQNPCSIFRIAHYATQSSKFPEYEMPTVTWGVIQGKKEKLVNRVKICDYLKGLGIITDELESIELPSTIEVMCERVEFLQKLGLTIDDINEYPLMLGCSVRKNLIPVLAYLEKIGISRSKLGEFVKNYPQVLHASVVVELAPVVKFLRGLDVEKQDLGYVLMKYPELLGFKLEGTMSTSVAYLVSIGVSPRDIGPMVTQYPYLLGMRVGTMIKPLVDYLISIGLPKKIVARMLEKRAYIVGYNLEETVKPNVDCLISFGVKKELLPLLIAQYPQILGLPVKAKMSTQQYFFSLKLKIDPEGFARVVEKMPQIVSLKQNVIMKPIEFLLGRAFQVEDIAKMVVRCPQILCSRVELMKNSYYFYKTEMGRPMKELVEYPEYFTYSLESRIKPRYQKLQSKGIRSSLNWFLNCSDQRFEERLQGNFIDPDTEGPMFDMGGKLEMPGGEIVSDEEEDESDDEVLYRRTLTL >scaffold_403311.1 pep chromosome:v.1.0:4:21471570:21473167:1 gene:scaffold_403311.1 transcript:scaffold_403311.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6,7-dimethyl-8-ribityllumazine synthase [Source:UniProtKB/TrEMBL;Acc:D7LKV3] MKSLASPPCLRLIPTAHRQLNSRQSSSACSIHGGSSVNKSNNLSFSSSTSGFASPLTVEKELRSSFLQTAAVRHVTGSLIRGEGLRFAIVVARFNEVVTKLLLEGAIETFKKYSVREEDIEVIWVPGSFEIGVVAQNLGKSGKFHAVLCIGAVIRGDTTHYDAVANSAASGVLSASINSGVPCIFGVLTCEDMDQALNRSGGKAGNKGSETALTALEMASLFEHHLK >scaffold_403322.1 pep chromosome:v.1.0:4:21512805:21514908:-1 gene:scaffold_403322.1 transcript:scaffold_403322.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine protease [Source:UniProtKB/TrEMBL;Acc:D7LKW2] MKALCDRFVPQQCSSSSKSDTHDKSPVVSDSGPSDNKSKFTLWSNVFTSSSSVSQPYRESSTSGHKQVCTTRNGWTAFVKRVSMATGAIRRFQERVLGPNRTGLPSTTSDVWLLGVCYKISEDEASGETNTGCVLAAFQQDFSSKILMTYRRGFEPFRDTTYTSDVNWGCMIRSSQMLFAQALLFHRLGRSWTKKSELPEQEYLETLEPFGDSESSAFSIHNLIIAGSSYGLAAGSWVGPYAICRAWESLACKKRKQTDSKNQTLPMAVHIVSGSEDGERGGAPILCIEDATKSCLEFSKGQSEWTPILLLVPLVLGLDSVNPRYIPSLIATFTFPQSVGILGGKPGASTYIVGVQEDKGFYLDPHEVQQVVTVNKETPDVDTSSYHCNVIRYVPLESLDPSLALGFYCRDKDDFDDFCLRASKLAEDSNGAPLFTITQTHSAINQNNYGFSDDDSEDEREDDWQML >scaffold_403323.1 pep chromosome:v.1.0:4:21515683:21515927:1 gene:scaffold_403323.1 transcript:scaffold_403323.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LKW3] MVQQTMEMENARERRRRRSISCCILLASTSRRRYLRAKKQRVFTLEVLGQSQWRFFFLFYLLQILRW >scaffold_403327.1 pep chromosome:v.1.0:4:21526699:21528043:-1 gene:scaffold_403327.1 transcript:scaffold_403327.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LKW7] MSDPKPNPVEDAQGADARSSQIQLAKDDTSRGTIVGRLLHCQCCSKTHSGFWETQPVAQIKDIGDTSSPEGPIEPATLVSEVKPEPYNLPGQFEWTICDMNSDDMCSEMYNFLKENYPDDGHRFKVDYSKEFLKWALCPPGYYQNWHIGVRVKTSKKLVAFISGVPARIRVRGEVVKVAKINLLCVHKKLRYKGLAFFMMKEVTRRVHLQNVWQAAYATSYILSRPVTTCLYSGRMLNPRKLMDVGLTMLRERMTMSMAIKLYKLPDAPITPGFREMERRDVPAVTELLRNYLCQFGVAIDFDENDVRHWLLPREHVVYSYLVVSPETHDVTDFCSFYSVPATITNRKYKTVECAYSYYNVATVTSLPKLMNDALIVSKQKGFDVFYALDVMQNETFLKELRFSAKNSPLHYYLYNYRLRNALKTSEIGLVF >scaffold_403330.1 pep chromosome:v.1.0:4:21534301:21536287:-1 gene:scaffold_403330.1 transcript:scaffold_403330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LKX0] MMALYRILHSSMCPVSSNALCIEHNVQKTEMSDQGTDLSWTTSELKTQPNSPTNGDQDGVEVNPHRALLEFTLFELNQAVTHASASSDWADAAVLSPSEYILIDDQQNLQLETQDRLALIAVMAKVAAAAAKLAASAALQAKLFAEDAIPKSDDIFKSAKLATEAISLAGIFVSTCCVNKLVEAEESLKRLTVDALVPDAKLKDMGDTSLAEGPVVPATILSEVKKEMKPSDVNACDRAEDCKSSKIQLENMSKSQPGSKTEKADQIVADTKFKDVGDTSLPDGGPVEPATPLSEVKQEPYNLPSPYEWTTCDMNSDDMCSAVYNFLKEQFPDKRYLFQENYSREFLRWALCPPGYHQSWHIGVRAKNSTKLAAFISGVPERIRVHDKVVEIAKITLFCIHKKLRSKKVLPTVMIKEVTRRVHLQNIWQAAYSLPAKRLMDVRFSCALDNTTAKRLTVKLYNLPDATITPGFRKMEQRDVPAVTGLLRNYLSQFGVATDFDENDIEHWFLPREHVIYSYVVETHDVITDLCSFYAVQLTIDDNNPKHETVECAYSYYNVATQTSLLQLMKDALIVSKKEGFDVFYALDVMHNESFLKDLKFKLDDSQMHYYLYNYRLRSALKPSEFGIVF >scaffold_403331.1 pep chromosome:v.1.0:4:21542366:21543673:1 gene:scaffold_403331.1 transcript:scaffold_403331.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LKX1] MKPSDVNACDRAEDCKSSKIQLENMSKSQPGSKTEKADQIVADTKFKDVGDTSLPDGGPVEPATPLSEVKQEPYNLPSPYEWTTCDMNSDDMCSAVYNFLKEQFPDKRYLFQENYSREFLRWALCPPGYHQSWHIGVRAKNSTKLAAFISGVPERIRVHDKVVEIAKITLFCIHKKLRSKKVLPTVMIKEVTRRVHLQNIWQAAYSLPAKRATPLITCQYWVRMLNPMKLMDVRFSCALDNTTAKRLTVKLYNLPDATITPGFRKMEQRDIPAVTGLLRNYLSQFGVATDFDENDIEHWFLPREHVIYSYVVETHDVITDLCSFYAVQLTIDDNNPKHETVECAYSYYNVATQTSLLQLMKDALIVSKKEGFDVFHALDVMHNESFLKDLKFKLDNSQMHYYLYNYRLRSALKPSEFGIVF >scaffold_403333.1 pep chromosome:v.1.0:4:21550537:21552777:1 gene:scaffold_403333.1 transcript:scaffold_403333.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LKX3] MSKSQPGSKEEKGDQSVRANPFDYSKTHKFWETQPVAQFKDIGDTSLPEGPIEPVTPLSEFKQEPYILPDALEWTTCDMNSDDMCSQVYNFLKENYPDERSPFRVDYSREFLRWALCPPGYHQSWHIGVRVKTSKKLVAFISGVPARIKVRDVVVNMAKIDFLCVIKQYRSKGFAPILIKEVTRRVHLENIWQAAYTSLDILPTPFSSCQYWGRLLNPKKLIDVGYSELRQRMTMSRAVKLYKLPDAPITPGFREMERRQWLLRKYLSQFGVATDFDEIEHWLLPRKDVVYSYLVETHDVITDFCSFYAVPFTISDNPKYTTVECAYSYYNVAETTSFPKLMNDVLIVSKKKGFDVFNALDVMHNKSFLKELKFDDPGDAAKLHYYLYNYHLRSALKPSELGLVLCSMITKGWEDFPQCRWGDSRSASSYGALSDHKM >scaffold_403336.1 pep chromosome:v.1.0:4:21558380:21559068:1 gene:scaffold_403336.1 transcript:scaffold_403336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKKGWHTGSLRNIEKIWKAEQKHEAEQKKIEELPLQIQQEKERSEFRAIKELAGLVPRRPERLEFLYDIELLGVKENANSSGHGVSFQNQDQRARADASSVSSSNKKKKQKQSLPGALFDDDKTNFANDSWRKFNSDPLLIIRQQEQEEARKSVSVPFHSFVTPFMCPACVSVSGYSFG >scaffold_403339.1 pep chromosome:v.1.0:4:21567615:21569319:1 gene:scaffold_403339.1 transcript:scaffold_403339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTGFIVAVMVTAVIFVICVDGNEFFDHREIKVLRHLKRFNKPTVKSIKSEDGDVIDCVPITNQPAFDHPLLKNHTIQMRPSFYPVSDSTYTKKEAKAITQVWHKTGECPKNTVPIRRTKKEDLLRPKSIRSFGRKSHQSIPRTTTFDPTLGHQYTLMGVRNGKFMGLGNWWLRVNEKDIIGYWPGSLFSSLGREATRVEWGGEIINSKTGGRHTTTDMGSGHFADEGFKKASYVRIQKS >scaffold_403342.1 pep chromosome:v.1.0:4:21580708:21582732:1 gene:scaffold_403342.1 transcript:scaffold_403342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMAGFLPDHWEIEVQNLLKRLNKPAVKSIKMRPSFIPKGNHSTNTKKNAKAITQVWHKNGECPENTVAIRRTNKEEILRSKSIESFSKKTHQSSPGDHETWLWSESDNGLNTIEAGWQANVYQGSGCYNHACSGFVQRSNRITVGGSLAPMSQYDGAQYSLPMLIWKVT >scaffold_403346.1 pep chromosome:v.1.0:4:21592537:21594949:-1 gene:scaffold_403346.1 transcript:scaffold_403346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVTVGMEEEEDPSTKPLGRLSVFYYGVGHMLNDITASCWFTYLLLFLTQIGLSPRDAAIVMLSGQVADGFATIFIGELIDRFGHFKIWHAAGSLLVAISFSSVFGGCFPCSLLHSNSSTVETLSYCMFAAIFNVGWAATQVSHMAMVNCITLNSTSRVALTSSRNAFSMVANLGLYAIALVVFGVSKAVTKENTETQYRWIAYSSITVGCCFVVIFLMGTKEPRMKINLRETSRSRIPWSYWFRKILYYQVAMVYLLTRLVLNVSQAYLAFFVIDDLQMAQSAKALIPAIIYICSFVVSVMLQEIPWNGKRLKAYYCAGGIIWIFCGISILLLPRSINSYMYAISVFIGIANALMLVTAISMQSVLIGSELGGCAFVCGSLSFLDKMSCGLALYVLQSHQGTSPKVDVNIKEYFYFSVTRYGLGLVPAVCSLVGVVVTYFMELDSTILKPLCQPLLLE >scaffold_403347.1 pep chromosome:v.1.0:4:21596579:21597597:-1 gene:scaffold_403347.1 transcript:scaffold_403347.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7LKY6] MGSWRINLMATAIALIMVVAMVVDAGDDKEKDKEGCTEKLVGMATCLPYVQGQAKSPTPDCCSGLKQVLNSDMKCLCVIIQDRNDPDLGLQVNVSLALGLPSVCHATADITKCPALLHLDPKSPEAHVFYQLAKGLNETGPASAPTGSAPEPTSMSSTPASGDGNNSGRTTSAPGRNHAQSFSKQWLGIEVVAHFFVIFYIFILI >scaffold_403349.1 pep chromosome:v.1.0:4:21601851:21602320:1 gene:scaffold_403349.1 transcript:scaffold_403349.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7LKY8] MGVVVIDGSTVRSFVDDEEQFKKSVDERFTALDLNKDGVLSRSELRKAFESMRLLESHFGVDVVTPPDELTKLYDSIFEKFDTDQSGSVDLEEFRSEMKKIVLAIADGLGSCPITMVLDDDENNFLKKAADLEASKLEKASS >scaffold_403350.1 pep chromosome:v.1.0:4:21603518:21603759:1 gene:scaffold_403350.1 transcript:scaffold_403350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LKY9] MVTNALGERLWTAENSETTKIWIYSGTTLFYQPEGRKRLPMRQEREKGRQTVAPSPDTFLTQPEG >scaffold_403353.1 pep chromosome:v.1.0:4:21612265:21612620:-1 gene:scaffold_403353.1 transcript:scaffold_403353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLRCSSLSLPRSLPSPPVKFGSEINHPRLADVGDETEMMDSIELTAYFSFKTKLRSSIITLVDSLIQLAGEILSFKPKDFSTNQRSDKETLRHIQFHCS >scaffold_403362.1 pep chromosome:v.1.0:4:21647073:21648332:1 gene:scaffold_403362.1 transcript:scaffold_403362.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7LLL1] MTSSVQGETMDLDLNQEPSSDSESPGGLMTELSPWLNELESAQERIQERIRQLEAIVSRIREREITTTTTPALVSLNEHRDSTAGVIHERSRERLVENGENKTYLIAKALNMEKTSSVPGGFFDCNICLEKAEDPILTCCGHLFCWGCFYQLPLIYLNIKECPVCDGEVTDTEVIPIYGNGDDCDGTKPKLETCGISLPPRPNAKRVESVRQKIINRAIPFPGHDETIEHIRRTIDSIGLQALAQGDEFGLTNIINNGGQQQQHHHHHHHPPFGPLRLMTPYAAFPGLVVDTSDIPPFDDDAFDVDSFGDTTSLRRNRRRPSPAVRASYQRNRTNNASQTISFRLGSSASSAPREFAVPSSSITTRSQTVNPTEVVTLGTSASSSRRRTEDVNNGPRTRSRRRLR >scaffold_403364.1 pep chromosome:v.1.0:4:21652007:21654097:1 gene:scaffold_403364.1 transcript:scaffold_403364.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal asparagine amidohydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LLL3] MIYVGGVPVRDENSSSSLSSSSQRSSLLLDVMSHPVITLASDSFKNLEEKNVSFDESDSESSTKDRYVYIFQREFAVVNPALVDFVGTDEATTCVGLVIRNRKSGMTSVAHMDSPEIVDLGISQMLSLVLEDDVDAELDVHMVGGYEDVDIKNADGSGGDYAKPEGYSFPLCCKLVETLQKRRENFHIQTLFILGHNTKLDAQANTCPIFNGCLVNTSTGAIFPASFDRTSRCPDEIVRRIRVSSSFLDSSWKGKLLDTYDTKTDRFIIAPCRWTMRLVEYVWELNQLTDEEILINCSTSPSAEGPDFVDNSRRIWEYLLKHPDWSKTFPKRQPRVFERTVDGHWKRC >scaffold_403368.1 pep chromosome:v.1.0:4:21669923:21672917:1 gene:scaffold_403368.1 transcript:scaffold_403368.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7LLL7] MKGDHLSLLVVLIVLASNEVLANNNSSTPKLRRSDFPEDFIFGSATSAYQVEGAAHEDGRGPSIWDTFSEKYPEKIKDGSNGSVADNSYHLYKEDVALLHQIGFNAYRFSISWSRILPRGNLRGGINQAGIDYYNNLINALLSKGIKPFATMFHWDTPQALEDAYGGFRGAEIVNDFRDYADICFKSFGDRVKHWMTLNEPLTVVQQGYVAGVMAPGRCSKFTNPNCTGGNGATEPYIVGHNLILAHGAAVQVYREKYKASQNGQVGIALNAGWNLPYTESPEDRLAAARAMAFTFDYFMEPLVTGKYPVDMVNNVKGGRLPIFTAQQSKMLKGSYDFIGINYYSSTYAKDVPCSTKDVTMFSDPCASVTGERDGVPIGPKAASDWLLIYPKGIRDLVLYAKYKFKDPVMYITENGRDEFSTNKIFLQDGDRIDYYARHLEMVQDAISVGANVKGFFAWSLLDNFEWATGYTVRFGLVYVDFKDGCKRYPKKSAEWFKKLLNEKKNN >scaffold_403369.1 pep chromosome:v.1.0:4:21676070:21679567:1 gene:scaffold_403369.1 transcript:scaffold_403369.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7LLL8] MKMQFFILLIIISGLSEKITSLPPESRVLDRHGFPDNFVFGTAASAFQYEGATSEGGKSPAIWDYFSHTFPERTRMQNADVAVDFYHRYKDDIKLMKDLNMDAFRFSISWARLIPSGKVKDGVNQEGVQFYKALIDELVANGIQPSMTLYHWDHPQALEDEYGGFLSPQIVEDFRDFSRVCFEEFGNKVKMWTTINEPYVITVAGYDTGNKAVGRCSKWVNSKCQGGDSGTEPYIASHHLLLAHAAAVQEFRKCNKTQDGQIGIVLSPLWFEPYDSASPSDNEAVKRALATELDWHLDPVIYGDYPEMMKKLAGNRLPSFTPEQSKMLKNSSDFIGINYYTARYVAHIPHVDPARPRFVTDHQLQWRVTNHSNHQFGPGEDRGILQSHPEGLRKVLNYIKDKYNNPIVYIKENGINDYDDGTKSREDILNDTFRISYHEDHLQQLQKAIIEDGCDVRGYYVWSLLDNFEWEHGYSTRFGLYYVDYNNDLTRIPKDSVNWFKQFLDLKNEETNDEEIWDLSHERSHEERYNKTFDDSESFEASVGSIIYLMTNISRREEEKRDQCAFRNLNDQSGLLLGS >scaffold_403370.1 pep chromosome:v.1.0:4:21680287:21681714:1 gene:scaffold_403370.1 transcript:scaffold_403370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDNAEFSDTALAYAIRNFLMFYACLLDPETCGVKFASKLFDAATNLELLGNLVCAMLPSEINPRCSSLPIVAYTIGVSFISQTKPVDGKAGGLFIVLEAFIFDAESEVSRAFGSFERLDTFFPLDPCLLKISNRFTFLTLDFLPITKQARSNMRNLSKFLDSYDDLPDLSIFNANSTAYL >scaffold_403374.1 pep chromosome:v.1.0:4:21700575:21703325:1 gene:scaffold_403374.1 transcript:scaffold_403374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQRTFPTEMSKGRASFPKGFLFGTASSSYQYEGAVSEGARGQSMWDHFSNRFPHRISDSSDGNVAVDFFHRYKEDIKRMKDINMDSFRLSIAWPRVLPYGKRERGVSEEGIKFYNDVIDELLANEITPLVTIFHWDIPQDLEDEYGGFLSEQIIDDFRDYASLCFERFGDRVSLWCTMNEPWVYSVAGYDTGRKAPGRCSKYVNGASIAGMSGYEAYIVSHNMLLAHAEAVEVFRKCDHIKNGKIGIAHNPLWYEPYDPNDPEDVEGCNRAMDFMLGWHHHPTACGDYPETMKKSIGDRLPSFTPEQSKKLIGSCDYVGINYYSSLFVKSIKNVDPTQPTWRTDQGVDWMKTNIDGKQIAKQGGSEWSFTYPTGLRNILKYVKNTYGNPPILITENGYGEVAEQSQSLYMYNPSIDTERLEYIEGHIHAIHQAIHEDGVRVEGYYVWSLLDNFEWNSGYGVRYGLYYIDYKDGLRRYPKMSALWLKEFLRFDQESSSTSKEEEKKESYGKQLLHSVQDSQFVHSIKDSGALPAVLGSLFVVSATVGTSLFFKGANN >scaffold_403376.1 pep chromosome:v.1.0:4:21715008:21716684:1 gene:scaffold_403376.1 transcript:scaffold_403376.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BCCIP homolog [Source:UniProtKB/TrEMBL;Acc:D7LLM4] MPRRPSSGRRVLKYQPLAFSPFMRLLSFASMARRNLPHPEDCQCSDEEISFDEKEKIPNLSKKGKEQQLSDSSDEEDSQEDVQADFEFFDPKPTDFHGVKILLQNYLDDKEWDLSSFVDFILEQTTVGTVVKVADDEDESVFALVTALNMARYKDNKCFRDLKEFLRKVCSEKTIANNLEMLLEKKAQDVGLLVSQRVMNLPPQLLPPLYDGLFDEVSWATEDEPTEELRRSFRFKSYLLITKIYKLKNPKQRKPRHGEDIEETIFLKPEDELFLELSSWSFTFPMRSQLVTSQEMKNYQLMGLVMAVEANKIPKFRKMLNSLIDEQ >scaffold_403379.1 pep chromosome:v.1.0:4:21721195:21723459:1 gene:scaffold_403379.1 transcript:scaffold_403379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVQPSPTFPALHLRRSSLIRPPSSVRFPLKCNAADPYKFDGGNSAGFHLLTGDTVPASFSRSRLEDSIYQNTTRLRIFSGTANPILAQEISCYLGLELGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLVMIDACRRASAKTITAVIPYFGYARADRKTQGRESIAAKLVANLITQSGADRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKAISSEDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAIMVDDMIDTAGTISKGAALLHQEGAREVYACTTHAVFSPPAISRLSSGLFQEVIITNTIPLSEQNYFPQLTVLSVANLLGETIWRVHDDCSGAIEPFSTLGID >scaffold_403381.1 pep chromosome:v.1.0:4:21726360:21728141:-1 gene:scaffold_403381.1 transcript:scaffold_403381.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7LLM9] MSQLKNGSSQCLWTSICIVLIVMSTARGAVSTNYGEALTKSLLYFEAQRSGKLPSNQRVTWRGDSALRDGSDAHVDLTGGYYDAGDNMKFGFPLAFMTTMLAWSNIEMASQLKAHQEQENALAALKWATDFLIKAHPEPNVLYGQVGDGNSDHGCWMRPEDMTTPRPSFRIDAQHPGSDLAGETAAAMAAASIAFAPSDEAYAKILIGHAKDLFEFAKAYPGIYQNSITNAGGFYASSGYEDELLWAAAWLHRATNDQIYLDYLTQASGTGGPRTVFAWDDKFVGAQVLVAKLALEGKVESNGKIAEYKSMAEQFICNCAQKGSNNVKKTPGGLLYFLPWNNLQYTAAASFVLSAYSKYLEDAKASIQCPNGALQASDLLDLTRSQVDYILGSNPQNMSYMVGVGTNYPKKPHHRAASIVSITKDKTPVTCSEGFDAWFNNPAPNPNVLMGAVVGGPNDNDVYGDERTDYQHAEPAPATAAPFVGVLAAVA >scaffold_403383.1 pep chromosome:v.1.0:4:21733655:21734133:-1 gene:scaffold_403383.1 transcript:scaffold_403383.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7LLN1] MFVESVIRFSHFIVEFVIIASIVVFTLRLFFRFACFLASRPWRRYRTFTVHHRRRWRKTTAEEKHSSPYCTICLEDAAEGEKMRRITTCNHCFHADCIDPWLEKKSTCPLCRAEIPPVPPGNPLVALFVPPGVIEMFTKGIISDA >scaffold_403384.1 pep chromosome:v.1.0:4:21736439:21737126:-1 gene:scaffold_403384.1 transcript:scaffold_403384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESVIRFSEFIAESVIIVSVVVFSLRLFFRFACFLASRPWRRYRTFTVHHRRRWRKTTAEEKHSSPYCTICLEYATEGEKMRRISACNHCFHADCIDPWFEKKSTCPLCRAEIPPVPPGNPLVALFVPPCVIEMFTKGIISDAKRRTSTILCIRV >scaffold_403388.1 pep chromosome:v.1.0:4:21754954:21757138:-1 gene:scaffold_403388.1 transcript:scaffold_403388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTTKWIDEVRTERGSDVIVVLVGNKTDLVDKRQVSIEEAEAKARELNVMFIETSAKAGFNIKALFRKIAAALPGMETLSSTKQEDMVDVNLKSSNANASLAQQQSGGCSC >scaffold_403391.1 pep chromosome:v.1.0:4:21761951:21763340:-1 gene:scaffold_403391.1 transcript:scaffold_403391.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LLN9] MEPSDVNAYDRAADPGSKEEKSKQTVEANPLAKDDTSVGRLQCYSKTHKFWETQPVVQYKDIGDTSLPEGPIDPATLVSEVKPEPYNLPGQFEWTTCDMKSDDTCAEVYKFFKENYPDDGYQFMVEYSREFLRWALCPPGYHQSWHIGVRVKTSKKLVAFISGVPSRIRVRAEVLKMPKINLLCVHKKNLRSKGLAPVLIKELTRRVHLQNIWQAAYTSLDVLPTPVTTCQYWVRMLKPKKLIDVGYSTLRERMTLSMTVKLYKLPDAPITPGFREMDRRDVPAVTKLLRNYLRQFGVATDFGEKDVEHWLLPRKDVVHSYLVEETHDVITDFCSFYTVPLTIVGNPKYKTVECAYSYYNVATKTSFPQLMNDALIISKQKGFDVFYALDVMHNESFLKELKFDQGDGQMHYYLYNYRLRSALKPSELGLVLW >scaffold_403392.1 pep chromosome:v.1.0:4:21764822:21765872:-1 gene:scaffold_403392.1 transcript:scaffold_403392.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHL-CPN10 [Source:UniProtKB/TrEMBL;Acc:D7LLP1] MASTFVSLPKPFFAFPVKTTTPSTANHKLLGSRRGCLRIKAISTKWEPTKVVPQGDRVLVRLEDLPMKSSGGVLLPKAAVKFERYLTGEVISVGSEVGQQVGPGKKVLFSDVSAYEVDLGTDARHCFCKESDLLALVE >scaffold_403393.1 pep chromosome:v.1.0:4:21766154:21768247:-1 gene:scaffold_403393.1 transcript:scaffold_403393.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-glucosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LLP2] MDHRENIDRRLLLWFFAVATAVKLLLIPSYRSTDFEVHRNWLAITNSLPLTKWYFDETSQWTLDYPPFFAYFERFLSIFARLIDPRIVDLQSGLDYSSESVIYFQRISVIVSDLCLLCGVYRLTRKLEPMKRNLICAMVIWSPGLLIVDHIHFQYNGFLLGWLLLSISFLQEGRDLLGGFLFAVLLCFKHLFAVAAPVYFVYLLRHYCWSGLVTGFRRLVTIGAVVVAVFAAAYGPFIYHGQIQQVISRMFPFGRGLCHAYWAPNFWVFYIILDKGLAFLLRKLGFEIQIPSASFTGGLVGDSSPFAVLPQITPLTTFAMVLLAISPCLIKAWKKTHPGHVARWIAYAYTCGFLFGWHVHEKASLHFTIPLSIVAVQSLEDAKHYFLVSIVSCYSLFPLLYEPQEYSIKVLLLLLHSMIMWLGFVAQYTNNNKVQKENRVSKSKLRIGCFEKSYLMGLVIVEIVSQFLHPYYLGDKFPFLPLMLISTYCTVGIMYSWIWQIRKILT >scaffold_403397.1 pep chromosome:v.1.0:4:21774180:21774917:-1 gene:scaffold_403397.1 transcript:scaffold_403397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFWRRKCVKGCIFAPCFDPEQGTARFAAVHKVFGASNASMILLRLPLHKRLDAVVTLCYEAMARLRGPVYGSVGHLFPPQH >scaffold_403407.1 pep chromosome:v.1.0:4:21800779:21800972:1 gene:scaffold_403407.1 transcript:scaffold_403407.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBR6] MGEKEVVKEVIECGGGTSKLPSISRCVLKLMMDTTPTKVTSSQIMSNPPS >scaffold_403408.1 pep chromosome:v.1.0:4:21804572:21804788:1 gene:scaffold_403408.1 transcript:scaffold_403408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSFYNRLEGSTEMRFGGLISTSNLVKEEKIIVESDSDLLWTISIKKTGISVQDHTP >scaffold_403411.1 pep chromosome:v.1.0:4:21812966:21813240:-1 gene:scaffold_403411.1 transcript:scaffold_403411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATENHSEGDTKIDLKTVEPKYFICSTPGNCLGGMKLAITVVASPSSPPTPESPPADGTHEADSGSTTPPPPPPHK >scaffold_403415.1 pep chromosome:v.1.0:4:21825640:21825856:1 gene:scaffold_403415.1 transcript:scaffold_403415.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LBS3] MGSILTKDPPETNQRRASFSVKLSNYGRLGLSIPAATSRRQGALLFFFPPRYYRVISF >scaffold_403422.1 pep chromosome:v.1.0:4:21869559:21870321:1 gene:scaffold_403422.1 transcript:scaffold_403422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFEYSDSLSSSDSVNNGVNSRMFFRNPSFSNVILNDNWSDLPLSVDDSQDMAIYNTLRDAVSSGWTPSVPPVTSPAEEVSVRGEEKPPATASGSHAPRQKGMQYRGVRRRPWGKFAAEIRDPKKNGARVWLGTYETPEDAAVAYDRAAFQLRGSKAKLNFPHLIGSCKYEPVRIRPRRRSPEPSVSDQLTSEQKRESHVDDGESILVVPELDFTVDQFYFDGSLLMDQSECSYSGNRT >scaffold_403423.1 pep chromosome:v.1.0:4:21872526:21872955:-1 gene:scaffold_403423.1 transcript:scaffold_403423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYKLFLIAFLVSLSSSTMINTCSASRKLLQQQPLPQIPNLPIPTTGLPPLPSTLPQPSLPTLPTMPTVTSPFPMPSTLPQPNLPTIPTAMPPMPTLPSFPTIISSLPTNLPSIPFFSPPPSTTTSSP >scaffold_403426.1 pep chromosome:v.1.0:4:21879121:21880836:1 gene:scaffold_403426.1 transcript:scaffold_403426.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LBT2] MLRHAIETNVQIFTKFLVISASAVGIGYARKLFDQRPHREDSFLCNSMIKAYLETRHYNDSFAFYRDLRKETCLAPDNFTFTTMTKSCTLSMCVYQGLQLHSQIWRSGFCADMYVSTGVVDMYAKFGKMGCARNVFDEMPQRSEVSWTALICGYVRFGELDLASKLFDQMPQVKDVVIYNAMMDGFVKSGDMTSARRLFDEMTHKTVITWTTMIHGYCNSNDIDSARKLFDAMPERNLVSWNTMIGGYCQNKQPQEAIRLFQEMQATTSLDPDDVTILSVLPAISDTGALSLGEWCHCFVQRKNLDKKVKVCTAILDMYSKCGEIEKAKRIFDEMPEKQVASWNAMIHGYALNGNAHAALDLFLTMAKEEKPDEITMLAVISACNHGGLVEEGRKWFQMMRKFGLNAKIEHYGCMVDLLGRAGNLKQAEHLITNMPFKPNGIILSSFLSACGQYKDIERAERILKKAVELEPQNDGNYVLLRNLYAADKRWDDFGMVKNMMRKNEAKKEVGCSLIEINYIVSEFISGDTTHPHRQSIHLVLEKLLVHMKEEEANW >scaffold_403428.1 pep chromosome:v.1.0:4:21883519:21887608:-1 gene:scaffold_403428.1 transcript:scaffold_403428.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LBT5] MSRRVRRKLEEEKGKDKVVVLPSYPETSISNEDLVAPQLLHGFVDWTSLPYDTVLQLFTCLNYRDRASLASTCKTWRCLGASSCLWSSLDLRPHKFDASMAASLASRCVNLHNLRFRGVESADSLIHLKARNLLEVSGDYCRKITDATLSMIVARHEALESLQLGPDFCEKITSDAIKAVAFCCPKLTKLRLSGIRDVTSEAIEALAKHCPQLSDLGFLDCLNIDEEAMGKVVSVRYLSVAGTSNIKWSTASNSWDKLPKLTGLDVSRTDIGPTAVSRFLTSSQSLKVLCALNCHVLEEDTSFFSSNRFKGKVLLALFTNVFDGLASIFADKTKKPKDIFAYWRELMKTTKDKTVDDFMHWIEWIISHTLLRTAECNPQGLDDFWLNQGAALLLNLMQSSQEDVQERSATGLATFVVIDDENANIDCGRAEAVMKDGGIRLLLELAKSWREGLQSEAAKAIANLSVNANVAKSVAEEGGIKILAGLAKSMNRLVAEEAAGGLWNLSVGEEHKNAIALAGGVKALVDLIFRWPNGCDGVLERAAGALANLAADDKCSMEVATAGGVHALVMLARNCKYEGVQEQAARALANLAAHGDSNNNNAAVGQEAGALEALVQLTQSLHEGVRQEAAGALWNLSFDDKNRESIAVAGGVEALVVLAQSCSNASTGLQERAAGALWGLSVSEANSVAIGREGGVPPLIALARSEAEDVHETAAGALWNLAFNPGNALRIVEEGGVPALVHLCSSSVSKMARFMAALALAYMFDGRMDEYALMIGTSSSESTSKSISLDGARNMALKHIEAFVLTFIDPHIFESPVVSSTPTMLAQVTERARIQEAGHLRCSGAEIGRFVTMLRNPDSTLKACAAFALLQFTIPGGRHAMHHVSLMQNGGESRFLRSAAASAKTPREAKIFTKIILRNLEHHQAESSI >scaffold_403431.1 pep chromosome:v.1.0:4:21904481:21905337:1 gene:scaffold_403431.1 transcript:scaffold_403431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGGSVLNAYALEFNPNPDKRCIFVTFSNGFPLTESQIFGYFDRQVMYPGSVVDVYVHKPRPTGRVARQGLFGKVMFNSHYIPGCVLGHCEKVCVVIDGRPMYCRRFVSQRSRTANAAALGDRR >scaffold_403441.1 pep chromosome:v.1.0:4:21962501:21963548:1 gene:scaffold_403441.1 transcript:scaffold_403441.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LBV0] MDVYGLSSPDLLRIDDLLDFSNEDIFSASSSGGSTAATSSSSFPPPQNPNFHHHHLPSSADHHSFLHDICVPSDDAAHLEWLSQFVDDSFADFPANPLGGTMTSAKTETSFPGKPRSKRSRAPAPFAGTWSPMPTESEHHQLHSAAKFKPKKEHSGGGGGGRHQSSSSESAEGGGMRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLVPEYRPASSPTFVLTQHSNSHRKVMELRRQKEVMRQPQQVQLHHHHHPF >scaffold_403442.1 pep chromosome:v.1.0:4:21964161:21966093:-1 gene:scaffold_403442.1 transcript:scaffold_403442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGESLSSEMDNTNPPEIQQSSPVHPPEYQKIATTTVENAASWIDDALRQALVYQKTISETVDSTIDASKARLSQIRDTSVAHTSQTIDSLRDIASEYNVYEQMVFGKIKDGVNVAASHPLISGTLAFGVGIFALKKTRKFVYYNTVRMFVSEEALLSRADLKVKELRQSMDRLKAESEKLERVATVAEEELIRGRMKLRQAGKQIRGAISSAYKIEKQAAGLKDVLKELPTREASRFRTQISNRASEVKQERNALTKEVNKISNYGISV >scaffold_403451.1 pep chromosome:v.1.0:4:21995901:21996531:-1 gene:scaffold_403451.1 transcript:scaffold_403451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISRAELALVVMYMYLNKTEARDKLCRAILQYGSKFLSGGQPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVPKGTLLPPVLLGKSKNALLSTYLFLDQIVWLGRSGGIYKVKVGGRDQSVCTSITVMPFSV >scaffold_403452.1 pep chromosome:v.1.0:4:21997078:21997789:1 gene:scaffold_403452.1 transcript:scaffold_403452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSESRTTTTAIEDIQKKPLIAIQCRRCLSDSSPVVIVNPCDHRFCVKCILFLWSRQKQREPCVCPLDGEKIILYSFFNLY >scaffold_403456.1 pep chromosome:v.1.0:4:22008154:22008376:1 gene:scaffold_403456.1 transcript:scaffold_403456.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBW3] MYRVLVPSLSSLFANAARDSTLYKLNLSYSLDCSRKLSKSLFFLIECCLSYLCTTNLVIL >scaffold_403457.1 pep chromosome:v.1.0:4:22012232:22012455:-1 gene:scaffold_403457.1 transcript:scaffold_403457.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LBW4] MPNLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQYAWIYSPCSVSRSSRRVF >scaffold_403460.1 pep chromosome:v.1.0:4:22017911:22020200:-1 gene:scaffold_403460.1 transcript:scaffold_403460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSMSSPSSAVCSPDHFSPSEHLCYVQCNFCQTILAVSVPYTSLFKTVTVRCGCCTNLLSVNMRSYVLPASNQLQLQLGPHSYFNPQDILEELRDAPSNMNMMMMNQHPTMNDIPSFMDLHQQHEIPKAPPVNRPPEKRQRVPSAYNRFIKEEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLVPDNQPVKKTNMPQQEGEDNMVMKEGFYAPAAANVGVTPY >scaffold_403461.1 pep chromosome:v.1.0:4:22027779:22029994:-1 gene:scaffold_403461.1 transcript:scaffold_403461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESSLDLQESGWEELRREARKIEGDLDVKLSSYAKLGARFTQGGYVDAGSPTVGSGRSWKSMEMEIQSLLEKLLDINDSMSRCAASAAPTTSVTQKLARHRDILHEYTQEFRRIKGNINSMREHAELLSSVRDDISEYKASGSMSPGVQVLRERASIHGSISHIDDVIGQAQATRAVLGSQRSLFSDVQGKVKNLGDKFPVIRGLLGSIKRKRSRDTLILSAVIAACTLFLIIYWLSK >scaffold_403462.1 pep chromosome:v.1.0:4:22032369:22032897:1 gene:scaffold_403462.1 transcript:scaffold_403462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIIGFRIGRRVSRWILRKTRIRRSGYNRIHSTRQACMLRPFSKLKSWGQRLKQSFRRFRSTRRSGYIPVDHKKPDPVPRGHLAIYVGQKDGDCHRVLVPIVYFNHPLFGELLREAEKEYGFCHEGGITIPCLYSDFERVKTRIASGSSSRIFPWSRHCRN >scaffold_403464.1 pep chromosome:v.1.0:4:22042246:22042522:1 gene:scaffold_403464.1 transcript:scaffold_403464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKIRVYCRIRPFNEKWTSESEKQMLTVVDECTLEFLWKDDKKKHIYDHVFDMRASQDDIFENIHQQPLATLELRV >scaffold_403465.1 pep chromosome:v.1.0:4:22043623:22046451:1 gene:scaffold_403465.1 transcript:scaffold_403465.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:D7LCH0] MAGESADASTIATLSCARCEKPAHLQCPKCMDLKLPREQASFCTQECFKAAWSSHKSVHVKAQLSSIATGDQNSDLISQGWLYCVKKGQARTLKLPHFDWTGPLKQYPISTKCVVPAEIEKPDWAIDGTPKVEPNSDLQHVVEIKTPEQIQRMRETCKIAREVLDAAAKVIRPGVTTDEIDRVVHEATIAAGGYPSPLNYYFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVCYKGCHGDLNETYFVGNVDEASRQLVKCTYECLEKAIAIVKPGVRFREIGEVVNRHATMSGLSVVRSYCGHGIGDLFHCAPNIPHYARNKAVGVMKAGQTFTIEPMINAGTWRDRTWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPSSPDVYPWLTK >scaffold_403469.1 pep chromosome:v.1.0:4:22057016:22058012:1 gene:scaffold_403469.1 transcript:scaffold_403469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQPCTSRNLDHAGNSTTSIPLKLTFEILSRLPAKSIIRFRSVSMLWRSIIDSKDFADAFLTWSRTRPRLLFSFNHLDSRKLFIFSAPEHEKSSTVVARHDMTISNLGYNKRYDAYPYYITCPPVNGLICCTRGSSIAVCNPTTRQLLILPNVRANGRVTHARLGYDPVEDEYKVLCVMMMLDGHERGRRGIKLEQEHVVSTLSSCRPECWRRVETPTGESYTDVEGGLCINGTIYYGVGHNTIARFDLRYEKMMFIQAPKDDDITSWNFINHRGKFGGIEYDYLYDEMRLWVQEKEELWNNMTCDVIQESCRFWV >scaffold_403471.1 pep chromosome:v.1.0:4:22062672:22064034:1 gene:scaffold_403471.1 transcript:scaffold_403471.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-glucuronate 4-epimerase 4 [Source:UniProtKB/TrEMBL;Acc:D7LCH5] MSRLDDIPSSPGKFKMEKSSYLHRLRFQSSLTKFAFFSFFLLCLISLLFLRSPLSINPSSPSDPSRRSLRTNSYGGPAWEKRLRSSARIRTSTTNGITVLVTGAAGFVGTHVSAALKRRGDGVIGLDNFNDYYDPSLKRARQALLERSGIFIVEGDINDVELLRKLFKIVSFTHVMHLAAQAGVRYAMENPSSYVHSNIAGFVNLLEICKSVNPQPAIVWASSSSVYGLNTKVPFSEKDKTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILKGKSISIFESANHGTVARDFTYIDDIVKGCLAALDTAEKSTGSGGKKRGPAQLRVFNLGNTSPVPVSDLVRILERQLKVKAKKNLIKMPRNGDVPFTHANISLAQRELGYKPTTDLQTGLKKFVRWYLSYYSGEKKAAAR >scaffold_403472.1 pep chromosome:v.1.0:4:22064191:22066197:-1 gene:scaffold_403472.1 transcript:scaffold_403472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRDYRSMRSCSGWRRILLLIPVLFLLPHLSSLLGISSDSAKRNDARTIPNKKLDHLVLGPVAGQGLSDRLHCRGTKALNKTHGSSHVSGAGNGVSFVTVFTVYNTSLGNAKSSNMVSVVGNVTYSKPERSMAVLNAFAYFIQVTMPKSNVVILTDPASDLSIQQSNVMVQPVQGDYSRGNLMLQRIRSYITFLEMKLEKNEGGINHYIFTDSDIAVVDDIRAIFDKHPSFHLALTFRNNKDQPLNSGFIAVRGTREGILRAKVFLEEVLKAYKTKYMKASRMLGDQLALVWVVKSHPSFDAKRFTKPQAFTQEIAGASVLFLPCVLYNWTPPEGAGQFHGMPLDVKIVHFKGSRKRLMLEAWNFYKSTSNIPDMLCLVLGSGRTKYDF >scaffold_403475.1 pep chromosome:v.1.0:4:22075249:22076704:-1 gene:scaffold_403475.1 transcript:scaffold_403475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRGSEFSRATDVSLSHASGTMLLKCRCNCSIASKLFAEMPEKDLISWNSMIDGYVKHGRIEDAKGLFDVMPRRDVVTCATMIDGYAKLGFVHQAKTLFDQMPLRDVVAYNSMMAGYVQNKCHMEALEIFNDMEKESHLSPDETTLVIILSAIAQLGRLSKATDMHLYIVEKQFYLGGKLGVALIDMYSKCGSIQHAMLVFEGIENKSIDHWNAMIGGLAVHGLGESAFNMLLQIERLSIIPDDITFVGVLNACSHSGLVKEGLLCFELMRRKHKIEPRLQHYGCMVDILSRSGSIELAKNLIEEMPIEPNDVIWRTFLTACSHHKEFETGELVAKHLILQAGYNPSSYVLLSNMYASFGMWKDVRRVRTMMKERKIEKIPGCSWIELDGRVHEFFVDSIEVSNTL >scaffold_403477.1 pep chromosome:v.1.0:4:22080004:22081458:-1 gene:scaffold_403477.1 transcript:scaffold_403477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRGSEEASSLEHRCPTQRLTPSGTMLLKCRCNCSIASKLFAEMPEKDLISWNSMIDGYVKHGRIEDAKGLFDVMPRRDVVTCATMIDGYAKLGFVHQAKTLFDQMPLRDVVAYNSMMAGYVQNKCHMEALEIFNDMEKESHLSPDETTLVIILSAIAQLGRLSKATDMHLYIVEKQFYLGGKLGVALIDMYSKCGSIQHAMLVFEGIENKSIDHWNAMIGGLAVHGLGESAFNMLLQIERLSIIPDDITFVGVLNACSHSGLVKEGLLCFELMRRKHKIEPRLQHYGCMVDILSRSGSIELAKNLIEEMPIEPNDVIWRTFLTACSHHKEFETGELVAKHLILQAGYNPSSYVLLSNMYASFGMWKDVRRVRTMMKERKIEKIPGCSWIELDGRVHEFFVDSIEVSNTL >scaffold_403482.1 pep chromosome:v.1.0:4:22094065:22095872:-1 gene:scaffold_403482.1 transcript:scaffold_403482.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LCI6] MHRSGTTMAWNVFKFCTALRGLGSIMILLVLGVVGVTYYAVVWTNYGPTLSDGGLGSLAAFAIIILFHFLLAMLLWSYFSVVFTDPGVVPPNWRLASDEEQRGESDALNSLEFCVLQPDSSNQRTRFCRKCNQPKPPRCHHCSVCGRCVLKMDHHCVWVVSCVGALNYKYFLLFLLYTFLETTLVTLLLMPHFIAFFSDEEIPGTPGTLATTFLAFVLNLAFALSVMGFLIMHISLVAANTTTIEAYEKKTSPKWPYDLGRKKNFEQVFGMDKRYWLIPAYSEEDLRRMPELQGLEYPSKPDFDSQDF >scaffold_403483.1 pep chromosome:v.1.0:4:22100354:22102861:-1 gene:scaffold_403483.1 transcript:scaffold_403483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTSLRSAPIHHHRRLINMSTLSYLNRASSVPSSPPMSKFESDPSSIHKRVIMLIKLSNLDAAAEHARLAVLSRGEPKVTAKTCVAIIDALCRYGRYSEAYDLFHYFAAKSNSDLIPFICCDPIINAYCDEGKVDEALELYQHLLRSGLSSRNQLVLAQGLVKAGRIDEAIDLFYCVSRSLYDIFIRGYLDMGNLERANQLFEELKSSGDLDEDFSKIHVTAGNSLLKVLLRYGKKTEAWSLFSQMLEQSRFPGLEEYRNTRKFDSESCNIMVNECFKLGHVSEAIEIFHKVVGTISDPQLCYRNMITRFCEHGMLSDAERYFADMCSKKYLVPDVPTYRTMMDAYVKEVRVSLSAATGWIISQPNRSHVTTILKLLLQSAVYHVWRERNARIFTSIPSSAASLRLAIDRSLRNRLLSFPSPDLRSPSLLQVYFSYISYPL >scaffold_403488.1 pep chromosome:v.1.0:4:22129753:22130751:1 gene:scaffold_403488.1 transcript:scaffold_403488.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:D7LCJ2] MDQVSRSLPPPFLSRDLHLHPHHQFQHQQQQQQNHGHDIDQHRIGGLKRDRDADIDPNEHSSAGKDQNTPGSGGESGGGGGGDNHITRRPRGRPAGSKNKPKPPIIITRDSANALKSHVMEVANGCDVMESVTVFARRRQRGICVLSGNGAVTNVTIRQPASVPGGGSSVVNLHGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQVVGGSVVGPLMASGPVVIMAASFGNAAYERLPLEEDDQEEQTAGAVANNIDGNATMGGGTQTQTQTQQQQQQQQQLMQDPTSFIQGLPPNLMNSVQLPAEAYWGTPRPSF >scaffold_403495.1 pep chromosome:v.1.0:4:22151982:22153413:-1 gene:scaffold_403495.1 transcript:scaffold_403495.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAUR3 [Source:UniProtKB/TrEMBL;Acc:D7LCJ8] MSKRSTESDAGDTEKQWSLADFEIGGPLGKGKFGRVYLAREAKSKYIVALKVIFKEQIEKYKIHHQLRREMEIQTSLSHPNILRLFGWFDDDERIFLILEYAHGGELYGVLKKNGHLTEQQAATYIASLSEALAYCHGKCVIHRDIKPENLLLDHEGRLKIADFGWSVQSSNKRKTMCGTLDYLAPEMVENRDHDYAVDNWTLGILCYEFLYGNPPFEAESQKDTFKRILKIDLSFPLTPNVSEEAKNLISQLLVKDPSKRLSLEKIMQHPWIVKNADPKGVCASIDV >scaffold_403506.1 pep chromosome:v.1.0:4:22196826:22197851:1 gene:scaffold_403506.1 transcript:scaffold_403506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPSSDPYKFLNITLNSDGSLTRHREFPKLPPTEQSKDIPLNQTNNTFIRIFKPRNIPPESKLPILVYFHGGGFILYSAASAPFHESCTKMADRLQTIILSVEYRLAPEHRLPAAYEDAVEAVLWLRDQARGAINGGDCDTWLKDGVDFSKCFVMGSSSGGNIVYNVALRVVDTDLTPVKIQGLIMNQAFFGGVEPSDSESRLKDDKICPLPATHLLWSLCLPDGVDRDHVYCNPIKSSGPNEKEKMGRFPSTLINGYGGDPLVDRQRHVAEMLKARGVHVETRFDKDGFHACELFDGNKAKALYETVEAFMKSCSSTGPSPNM >scaffold_403509.1 pep chromosome:v.1.0:4:22203421:22204532:1 gene:scaffold_403509.1 transcript:scaffold_403509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSILSTTENLPRVLIVKRPAAMAVLGDRFVASTKFEILKAFESPLPLPAFLANHSHSVSAVIAPVAAPVTADLIRLLPNLRLVVTTSAGVDHVDLVECRRRGISVANAGSSFSEDVADTAVGLLIDVFRRISAANRFVKQRFWPLKGDYPLGSKLGRKRIGIVGLGSIGSKVATRLEPFGCQISYSSRNQKPYDVPYHYYMDIEEMAANSDALIICCELNEKTMHLINKDVLSALGKQGVIVNVARGAIIDEVEMVRCLREGEIGGAGLDVFEDEPNVPKELFELDNVVFSPHCAFMSLEGLEELGKLLVANIEAFFSNKPLLTPVL >scaffold_403510.1 pep chromosome:v.1.0:4:22206956:22208395:-1 gene:scaffold_403510.1 transcript:scaffold_403510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAARRQGGGRPLPPPPRGANQPNRPKPEPVDREKTCPLLLRVFTKSGGHHTNEDYAVRGREPKDEVQIYTWKDATLRELTDLVKEVSVAARRRNARLSFAFVYPDKQGRFTMREVGQTMSFPNRKQPDDSKTLSDLRFEIGDYLDVAIY >scaffold_403512.1 pep chromosome:v.1.0:4:22217296:22219990:-1 gene:scaffold_403512.1 transcript:scaffold_403512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVSLIIFSPKGKLYEFASSNMQDTIDRYLRHTKDRVSTKPVSEENMQHLKYEAANMMKKIEQLEAAKRKLLGEGIGTCSIEELQQIEHQLEKSVKCIRARKTQVFKEQIEQLKQKEKALAAENEKLSEKWGSHEIVIWSSKNQESTGRGDEESSPSSEVETQLFIGLPCSSRK >scaffold_403524.1 pep chromosome:v.1.0:4:22269695:22270545:-1 gene:scaffold_403524.1 transcript:scaffold_403524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLARIFGQAAKKNITLADKLHGKTFGGLPFHFGSRYYSNALPVTRQWLNTNKRELKRTFLRAYVIPQRKRKPRRPTKVPPVDGPYIERGLKSIKEQFLILLQS >scaffold_403528.1 pep chromosome:v.1.0:4:22282544:22283502:1 gene:scaffold_403528.1 transcript:scaffold_403528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSRIFCSLFSDLAASSSVSAAATSEDYGCFALLDLICKSSSHKEEFCINSIGMVKGSHQSPLSKRIRRRKLLHQALPLFEG >scaffold_403530.1 pep chromosome:v.1.0:4:22287844:22289760:1 gene:scaffold_403530.1 transcript:scaffold_403530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomannomutase [Source:UniProtKB/TrEMBL;Acc:D7LD89] MAAIKPGVIALFDVDGTLTAPRKEATPELLDFIRELRKVVTIGVVGGSDLSKISEQLGKTVTNDYDYCFSENGLVAHKDGKSIGVQSLKLHLGDDKLKELINFTLHYIADLDIPIKRGTFIEFRNGMLNVSPIGRNCSQEERDEFERYDKVQNIRPKMVAALRERFAHLNLTFSIGGQISFDVFPKGWDKTYCLQYLEDFNEIHFFGDKTYEGGNDYEIYESPKTIGHSVTSPDDTMAKCKALFMS >scaffold_403540.1 pep chromosome:v.1.0:4:22320085:22320407:-1 gene:scaffold_403540.1 transcript:scaffold_403540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LD99] MVEVARASLHTFNEPVSFSSLVYAHTPSSCQAFASHTPLSPRHARALHTRESLVFQFSRCTLLHAPSLSHGQIRPFSPAGDLPSSSPLSWFR >scaffold_403545.1 pep chromosome:v.1.0:4:22340992:22342307:1 gene:scaffold_403545.1 transcript:scaffold_403545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETVSSRILEEKIYVAVGRNVSKNTSNLLWALQNSEGNKICILHIHQPSPMIPVLGTKFEASTADDVSVRAYRGIETAKTDKILQEYLSICLRKGVQAEILCFEMDSVEKGIVEMIHQHRIRKFVMGAAADKHYSMKMEDLKSRKAKFVCKQASATCQIQFTCKGNLIHTREARMDEIRALSALLSEFQRLVLPQTSTDPIQSLNVENERAPSLDTSSCQSADTLSQPATSLSLAQEEQNDSSSYVFPCSGMMNFLINSIKLWKKLTIQNHENCE >scaffold_403547.1 pep chromosome:v.1.0:4:22344248:22345439:1 gene:scaffold_403547.1 transcript:scaffold_403547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCVTYCGEAPEAGAMTELRMFDPAKEEYFTVRDKPLTKELVTSRLVGSSHGWGVFLGSPNSILISDYCNPSSSKSNPKMIPLPPRSYEYSCQNELVSGVAMSSSPEEEDFVMAVKFTGRRVSIYRPGQHRKGNHRFSSTELFDYFEQANLMYSKRDQRFYMPSSGGHHLWSWNGIISTDSQYHDLRFHNLPLFSLSELQLLRSCYRTQQLVESPSGQRFLVKWYVQTLGRSVQSLAHRSVKFSFGGTKLFMVFREEEDMNMCYTQDIGDLCIFLGNNEPFCVKASSFPGLNPNSIYFLGDRYGEGYGIYDIATRTPRSFNPKSFSAFSDLPNGQGYVVPNWVPHWIPPFPL >scaffold_403550.1 pep chromosome:v.1.0:4:22355025:22356643:-1 gene:scaffold_403550.1 transcript:scaffold_403550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP86A8 [Source:UniProtKB/TrEMBL;Acc:D7LDA9] MEISTALMILSAITAYFLWLTFISRCLKGPRVWPILGSLPGLIENCERMHDWISDNLRACSGTYQTCICAIPFLAKKQGLVTVTCDPRNLEHILKNRFDNYPKGPTWQAVFHDLLGQGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVNRAIKLRFLPILETARLGSEPIDLQDLLLRLTFDNICGLTFGKDPRTCAPGLPVNTFAVAFDRATEASLQRFILPEILWKFKRWLRLGLEVSLTRSLVQVDNYLSEIITTRKQEMMTQHNDGKHHDDLLSRFIKKKESYSDETLQRVALNFILAGRDTSSVALSWFFWLITQHPTIEDIILREICTVLIETRGDDVALWTDEPLSCEELDRLVYLKAALSETLRLYPSVPEDSKRAVKDDVLPDGTFVPAGSSVTYSIYSAGRMKSTWGEDCLEFKPERWISQSDGGRFINHDPFKFVAFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLTVVTGHKVEQKMSLTLFMKYGLLVNVHERDLTVIAADLRVKTV >scaffold_403551.1 pep chromosome:v.1.0:4:22360958:22361250:1 gene:scaffold_403551.1 transcript:scaffold_403551.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDV1] MTDLYFVSTTATHAKACIGVTLILFGSQNMICDFSSIFTLFTIFVKWQFILYTRSLISSIACHFVNFQLNKLCVMLLQIHFTI >scaffold_403552.1 pep chromosome:v.1.0:4:22361641:22362597:-1 gene:scaffold_403552.1 transcript:scaffold_403552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKEDREEHPPRGNEWEVVSLTSSAYAAAPGPYNVESRDVRKYDAYYGAETSRDLYMSEHFVFPPSEHENLPIDEHLFKEEQRKDGGDLMLEGQGLSDQFHYEAGNNQQSIYGESALGSSRHMESFGSESAMYEHGLVDAEPNEYAEGNLDPHSDTEGEKDAEKSTHNLPCEAWWKRRAISMYSRTREANAIWSLFFAAAVAGLVVLGQRWQQERWQVLQLKWKSSISSEKLSRVLEPLSRLKDVIVRSNPQASLVRSGSSSEV >scaffold_403553.1 pep chromosome:v.1.0:4:22363329:22365064:1 gene:scaffold_403553.1 transcript:scaffold_403553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPDLFEGVDSALEEWIVDQMHIVRPVVETGYENLLLVRLLLETRIPSIRKSSVAEGLTVDGILESWAKIKPVIMEAWDEDKDALIDLFGKVRDDWINKDLTTWIGANRFYPGVSDALKFASSKIYIVTTKQGRFAEALLREIAGVIIPSERIYGLGSGPKVEVLKLIQDKPEHQGLTLHFVEDRLATLKNVIKEPELDKWNLYLGNWGYNTEKERAEAASIPRIQVIELSTFSNKLK >scaffold_403560.1 pep chromosome:v.1.0:4:22390265:22391704:-1 gene:scaffold_403560.1 transcript:scaffold_403560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLIILGLSKSSPLSNRNLCSIAARRDRLSSMYEKIKWENSRRQSNSHKTCLLLSNPPYPIPKNREPQRPLHNKSNQHYGESYLHSTVTFLIHNLSDLDTAMEYARLTAFTKTRGATTTATCDLIIAALCEAKRYRDAYDLFHYFFNESNIKLSVRCCNHVVKALCDDGRAHEALQLHHHMRSDGNASLCLDYQTYRILTKGLWDAGKIGEALDLVKDVFSLRFAKPEDYDIMVSHFLDHQQDFDKSWALCCAANLGMSELNNGNNSYPTVTVTAITVTLIEHCFSRRQEETAMEFYTDLLAKRPVIEDASIKPLLEVLYNGVDEDSDHSEPINAMINELFKLGMSKEAFDSFLKVRSKQRFFMNRFTHVPYANIITRCIEDGNLLSKADMIFRELLKDNPSACKISTFEEMINAYLKAGRLHDALKTANKMVDANLSQVSSLLKS >scaffold_403562.1 pep chromosome:v.1.0:4:22394452:22395535:-1 gene:scaffold_403562.1 transcript:scaffold_403562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAQDPPRGFFPFGNPFRMLSPKGSDLSPWLLSLLNGFELRLEERLKKLMPKSKDEILTLSWMKLAMESLCETHNNINTLITDLQLPVSDWEEKWVDVYLNISVRLLDLCNAFSSELTRLNQGDLFLKCVLHNLQSDSGEKYLQARSSLDSWRQHVNANNPRIENCRAVLDSLVKSLSLPKVKNSPKGKVLMRAFYGVKVQTVYICSVFTAAWSDSTKDLFDLPVSEKPLWAKVFTDMQSVVNAEIRHMLSSGRTTILKELESVDASVEKLYPMIQDGVDPVEVECFKDYVMELGTQAEKLSQGLDQLLEEVDSFFKLTLSGRDVLLCNLRSSDSISGNAVGEDVE >scaffold_403565.1 pep chromosome:v.1.0:4:22401366:22403288:-1 gene:scaffold_403565.1 transcript:scaffold_403565.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-methyl-2-oxobutanoate hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LDW4] MASSLTRNCSRFSKAISVRFMSNLPENTVYGGPKPQNPNQRVTLTHLRQKHRKGEPITVVTAYDYPSAVHLDTAAGIDVCLVGDSASMVVHGHDTTLPISLDEMLVHCRAVARGAKRPLLVGDLPLVPTSQALAVDTVVRVLKEGGMDAIKLEGGSASRITAAKAIVEAGIAVIGHVGLTPQAISVLGGFRPQGRNIASAVKVVETAMALQEAGCFSVVLECIPPPVAAAATSALKIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQYANVGEVINKALMEYKEEVSKKVFPGPSHSPYKITASELDGFLTELQNLGFDKAVSAAASATENMEPSK >scaffold_403570.1 pep chromosome:v.1.0:4:22415935:22417413:1 gene:scaffold_403570.1 transcript:scaffold_403570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:D7LDX0] MAEEIEKSVPAEESLMEKIAEKIHHHDSSSSSESEYEKPDSPSAVKAKIYRLFGREKPVHKVLGGGKPADVFLWRDKKLSAAVLGVATAIWVLFELVEYHLLSLLCHISILALGGLFLWSNVHTFINKASPQIPEIHVPEEAFLVIASSLRNELNQAFVILRSIALGRDLKKFLMVVVGLWIISVVGNWCNFLTLVYFCFVILHTVPMLYEKHEDKVDPLAEKALKELQKQYVVFDEKVLSKIPIASLKAKAKLG >scaffold_403582.1 pep chromosome:v.1.0:4:22455656:22457548:-1 gene:scaffold_403582.1 transcript:scaffold_403582.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit I [Source:UniProtKB/TrEMBL;Acc:D7LDY2] MRPILMKGHERPLTFLRYNKDGDLLFSCAKDHTPTLWFADNGERLGTYRGHNGAVWCCDVSRDSSRLITGSADQTAKLWDVKSGKELFTFKFGSPTRSVDFALGDHLAVITTDHFVDRMAAIHVKRIAEDPEDQVSDSVLVLQCPDGKKRINRAVWGPLNQTIVSAGEDTVIRIWDTETGKLLNETNEEVGHKKAITSLCKAADDSHFLTGSHDKTAKLWDMRTLTLIKTYTTGVPVNAVAMSPLLNHVVLGGGQDASAVTTTDHRAGKFEAKFYDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDSDYFNIKI >scaffold_403583.1 pep chromosome:v.1.0:4:22458340:22458663:1 gene:scaffold_403583.1 transcript:scaffold_403583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSGAAPILHKLIIFWDQPEALHTTALVYATTIPERWMPRKFDVARHSHQLFHVLVVAGAFTHYTDGLVYLKWRDIKGC >scaffold_403584.1 pep chromosome:v.1.0:4:22459347:22459915:1 gene:scaffold_403584.1 transcript:scaffold_403584.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDY4] MFLLEISYRENRRSRDHQADRCESDKVTDKSRRNCNSKDVSCLINQNDSKSGLSNLTRAKDLATVQELLWMQHMRLTLALATVATENEDFVA >scaffold_403593.1 pep chromosome:v.1.0:4:22502901:22503324:1 gene:scaffold_403593.1 transcript:scaffold_403593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNTRNTGGRSSQEKISDGRDPNIWDCESTLYDSYELVSFVHIIERKLMPYSPLAPKSGLSLRAVMDKDNDDCSSASTKRGRCIHRRKYWWNRKKNDEMKERIHKKKKKMFDCSLWWNSCYKNLFL >scaffold_403597.1 pep chromosome:v.1.0:4:22518203:22518907:-1 gene:scaffold_403597.1 transcript:scaffold_403597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLVGDSLSYMARRWELIAGRIPGRTPEEIERYWLMKHGVVFANRRREVFRK >scaffold_403599.1 pep chromosome:v.1.0:4:22529181:22532046:1 gene:scaffold_403599.1 transcript:scaffold_403599.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCNGC3 [Source:UniProtKB/TrEMBL;Acc:D7LEI4] MMNPQRNKFVRFNGNDEFSTKTTRPSVTSVMKTVRRGFEKGSAKIRTFKQPLSFHSKKNQENKKKKILRVMNPNDSYLQNWNKIFLLLSVVALAFDPLFFYIPVVNPVRFCLNLDTKLEAIACIFRTFIDAFYVVHMLFQFHTGFIAPSSRGFGRGELNENPKEIAIRYLSSYFLVDLLSILPIPQVVVLAIVPRMRVPASLVAKELLKWVIFCQYVPRIARIYPLFKEVTRTSGLVTETAWAGAALNLFLYMLASHVFGSFWYLISIERKDRCWREACAKIVGCSHEKLYCSPTGEDNRQFLNGSCPLIDPEEISNSTVFNFGIFADALQSGVVESRDFPKKFFYCFWWGLRNLSALGQNLKTSTFEGEIIFAIVICVSGLVLFALLIGNMQKYLQSTTVRVEEMRVKRRDAEQWMSHRMLPDDLRKRIRKYEQYKWQETKGVEEEALLSSLPKDLRKDIKRHLCLNLLKKVPWFQAMDDRLLDALCARLKTVLYTENSYIVREGEPVEDMLFIMRGNLISTTTYGGKTGFFNSVRLVAGDFCGDLLTWALDPLSSNFPISSRTVQALTEVEGFVLSADDLKFVATQYRRLHSKQLRHMFRFYSVQWQTWAACFIQAAWKRHCRRKLSKALREEESKLHNTLQNDDSGGNKLNLGAAIYASRFASHALRNLRANAAARNSRFPHMLSLLPQKPADPEFSMDGT >scaffold_403600.1 pep chromosome:v.1.0:4:22532508:22537650:1 gene:scaffold_403600.1 transcript:scaffold_403600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITISALNASLLSLSLLIASFCCSRRICYCSLGFSRGANAKEEFLKEVNRVEEFLKDPCVSSKVFNGDTVQVRVPKVVPAPQTASILGVGMEMVLLVLELMNWRRKLLLRRNVLLFRDKLLLRSKLLRIMLVGNLRYLRRSKSCLLLKKQLPARNLLNHTRNLASSVDGKFKSGRGRLKKFYRKMKTLENWRKTVLLACVVALAIDPLFLFIPLIDSHRFCFTFDKTVVAVVCVIRTLIDTFYVIHIIYYLITEIIAPRSQASLRGKTVEHSKDTMKTRLLFRFMVDIFSVLPIPQVVVVTLIPRSASLVSEEILKLIILCQYLPRIIRMYPLYKEVTGAVGTVAESKWINAASLNFFLYILHSYDIRLPSYLRNLICKRGGGDNSRFLNKSCPLIDPDKITNSTDFDFGLYIDALKSGVLEVKPRDFPRKFVYCFWWGLRNISALGQNLQTSNSTGEIIFAIIICVSGLLLFAVLIANVQVPWLSFMDDGWLLEAVCDRVKPVFYSADSYIVREGHPVEEMLIVTRAMLESSTTGSHEIGGRGYNCCFLEAGDICGELLFNGSRLPTSTRTVMTMTEVEGFILLPDDVNFIASHLNVFQRQKLQQTFRQVSNQSSTRDFFTTLNKLQDSNSPFLGNGHPFFRFYSEKWQSWAVFFTQRAWREHCKRKLSKILRAK >scaffold_403603.1 pep chromosome:v.1.0:4:22545251:22546098:1 gene:scaffold_403603.1 transcript:scaffold_403603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLNVDLLEIILARLPIKSITTCNLVCKEWKSIVESEFLRELFLSHHKKSHSSWSLICTESKKEVLDHLKYNTLRVVAHTDVGLILICLKSHYLRRTYYVANPISRQCVEIPRPPPPPPATRLRIFRPVPSGLVTKVENGVVIGYKVVVMNTSNIIDVITLLIYSSETGLWGFTTLHSSLLLRRIVWHNPVNVNGSLYWLGNKQCNPAIQVVVSHDFYAESDLCQVLDFPDLDNKADFKSSK >scaffold_403606.1 pep chromosome:v.1.0:4:22549386:22549921:1 gene:scaffold_403606.1 transcript:scaffold_403606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLRPVMVSRTMGGFTINIIDTPGLVEAEYVNHQALELIKGFRFLVNRTIDVFYVDRLDVYRVDELDKQVVKAITQTFGKEIYGENSCLF >scaffold_403607.1 pep chromosome:v.1.0:4:22553138:22554363:-1 gene:scaffold_403607.1 transcript:scaffold_403607.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LEJ2] MAGRSERRSRFRDLRRCSFPVIVAFLCFLASSTFLSQLHIYFTSTHRLICTAENSCLCWLPEHYQMRLTLEYYLLPAPMRNFPRRENLENPNHYHYALFSDNVLAYPNYKSMLNLLRFYISIIFPKLEKILLLDDDDVVVQKDLTPLWSIDLKGKVNGAVETCGVTFHRLDTYLNFSDQHISDNSERMEKEQHNRSLSFLAKTAGLIMFYNLTLPLERKWHLLGLGYDKEIDEKEIANSAVIHFNGPLKPWKELGVTKYQPYFVGFVCLQNMADILSCYTFLL >scaffold_403611.1 pep chromosome:v.1.0:4:22561806:22563031:-1 gene:scaffold_403611.1 transcript:scaffold_403611.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LEJ2] MAGRSERRSRFRDLRRCSFPVIVAFLCFLASSTFLSQLHIYFTSTHRLICTAENSCLCWLPEHYQMRLTLEYYLLPAPMRNFPRRENLENPNHYHYALFSDNVLAYPNYKSMLNLLRFYISIIFPKLEKILLLDDDDVVVQKDLTPLWSIDLKGKVNGAVETCGVTFHRLDTYLNFSDQHISDNSERMEKEQHNRSLSFLAKTAGLIMFYNLTLPLERKWHLLGLGYDKEIDEKEIANSAVIHFNGPLKPWKELGVTKYQPYFVGFVCLQNMADILSCYTFLL >scaffold_403613.1 pep chromosome:v.1.0:4:22566299:22567524:-1 gene:scaffold_403613.1 transcript:scaffold_403613.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LEJ8] MAGRSERRSRFRDLRRCSFPVIVAFLCFLASSTFLSQLHIYFTSTHRLICTAENSCLCWLPEHYQMRLTLEYYLLPAPMRNFPRRENLENPNHYHYALFSDNVLAYPNYKSMLNLLRFYISIIFPKLEKILLLDDDDVVVQKDLTPLWSIDLKGKVNGAVETCGVTFHRLDTYLNFSDQHISDNSERMEKEQHNRSLSFLAKTVGLIMFYNLTLPLERKWHLLGLGYDKEIDEKEIANSAVIHFNGPLKPWKELGVTKYQPYFVGFVCLQNMADILSCYTFLL >scaffold_403618.1 pep chromosome:v.1.0:4:22578391:22578877:-1 gene:scaffold_403618.1 transcript:scaffold_403618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRTILDLHRQTQRASLSKSLPFSMSHISSASAVRNPLGRDISSIPFALAQKLKPESTNLVTDRSISSSIGQSDVNKAAKFSRLSSSRGYTNASFLRKIPILFHIHEGMEEILADYVHQEMTRNLMVISLGLFQIIVIKDIVLFLL >scaffold_403620.1 pep chromosome:v.1.0:4:22590002:22592963:1 gene:scaffold_403620.1 transcript:scaffold_403620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNRQTLEFLSQCFLNTLSPIPEPRRTAEKALSDAADLPNYGLAVLRLVAEPAIDEQTRHAAAVNFKNHLRSRWLPAADSGISPIVDSEKEQIKTLIVSLMLSSSPRIQSQLSEALAVIGKHDFPKSWPALLPELIANLQKAALAGDYVSVNGILGTASSIFKKFRYQYRTDDLFLDLKYCLDGFAAPLTEIFLKTSSLIDSAASSGGTSAILKPLFESQRLCCRIFYSLNFQDLPEFFEDHMNEWMGEFKKYLSSNYPALESTEEGLTLVDDLRAAICENINLYIEKNEEEFQGFLNDFASVVWTLLRDVSKSPSRDQLATTAIKFLTTVSTSVHHALFAGDNVIKEICQSIVIPNVSLRVEDEEIFEMNYIEFIRRDMEGSDVDTRRRIACELLKGLATNYKTQVTEVVSLEIQKLLSSFSANPSAHWKDKDCAIYLVVSLSTKKAGGASVSTDLIDVQNFFTSIILPELQSRDVNSFPMLKAGSLKFLTMFRSHIPKPFAMQLFPELVRFLKAESNVVHSYAASCIEKLLLVKEEGGRGNRYVAGDISPFLLQLMTNLFDALKFPESEENQYLMKCIMRVLGVADISAEVAGPCIGGLTSILSEVCKNPKNPIFNHYLFESVAVLVRRACERDISLTSAFETSLFPSLQLILANDITEFLPYAFQLLAQLVELNRPPLSPNYMQIFLLLLSPESWKRNGNVPALVRLLQAFLQKAPHEVTQENRLSQVLGIFEKLVASPSTDEQGFYILNTIIENLDYSVIAPYMKGVWSALFTRLQNKKTVKFQKSLVIFMSLFLVKHGQAYLVETMNTVQPNIFTAILEHFWIPNLKLIMGSIEVKLTAVAATRLICETPALLDPSAAKLWGKMLDSIVTLVSRPEQERVLDEPEMPEISENVGYTAAFVNLHNAGKKEEDPLKDIKDPKQFLVASVSRLSSASPGRYPQIIGENLEQANQAALLQLCNAYNCGIA >scaffold_403621.1 pep chromosome:v.1.0:4:22599874:22602990:1 gene:scaffold_403621.1 transcript:scaffold_403621.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:D7LEK5] MANVDADFRTSRSNDDQLYSELWKACAGPLVEVPRSNERVFYFPQGHMEQLVASTNQGVVDQEIPVFNLPPKILCRVLSVMLKAEHETDEVYAQITLQPEEDQSEPTSLDPPLVEPAKPTVDSFVKILTASDTSTHGGFSVLRKHATECLPSLDMTQPTPTQELVARDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGQTGDLRVGVRRLAKQQSTMPASVISSQSMRLGVLATASHAVTTTTIFVVFYKPRISQFIISVNKYMVAMKNGFSLGMRFRMRFEGEESPERIFTGTIVGSGDLSSQWPASKWRSLQIQWDEPSSIPRPNKVSPWEIEPFSPSALTPTPTQQQSKSKRSRPIEITGSPAASNFLSSFPQSHESNPSVKLLFHDIATERNSNKSVFSSGLQCKKTEAPVTSCCRLFGFDLTSKPASAPIPCNKQLISVDSNISDSTPKCQDPNSSNSPKEQKQQTSTRSRIKVQMQGTAVGRAVDLTLLRSYDELIKELEKMFEIEGELSPKDKWTIVFTDDEGDMMLVGDDPWNEFCKMAKKLFIYPSDEVKKLSSKSLLPDEGTIVYLESDQRTVHV >scaffold_403625.1 pep chromosome:v.1.0:4:22610586:22621498:-1 gene:scaffold_403625.1 transcript:scaffold_403625.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7LEK9] MMIDSNFDQLIFSHTLKDPIDNLPLRQIRSEIVPPAPNRSQSSIDWLPDFAGYSWLAYGASTLLVISHLPSPLRGEDSTNGPFFRQILEVSGDVSSPVTSVSWSPVTPSVGELAVGSGNYIFLFARDSSALNGSFCWSQNAILVQETKVEAIEWTGSGDGIIVGGTDIVLWKRRNQSWEIAWKFSGDHLQDLVSSTWSFEGPFATATSWRKLPDECGEAGKSVLAYYSDGESYHKFELPHPQRISMIQWRPMAAEQSAIGIGKSMRNLLMTCCLDGAVRLWSEVDGGKTKKGMKDVPDHKKSFCVAAVIEINQVLDGCLGRDLFLFWGTRTGGILKTTEGTNQFFSMEKYDHENVGKCEWLVGYGPGNLATLWAVHCLDDISPMRFPRVTLWAKQESNEIGAVSLSLADATGSSDRLPLKKVSVLRNNLYGTPLICSSIYLSPQNTVYWSSLHTIKSHDSEDSSPNKSSLLKCIDGKVLYLNGHGGKILQVAFDPFIGEAGYTASLDSNGLIIIWSSSTYLNRAIDHPISVASWKPCGWLQNQEFRLKYTSLCWAPSSLKDERFLLVGHAGGVDCFSVRNCGKGDDGYLTHYICTIPSAVNSPLQSGPTSIFARPLSNSCGKTFKSNRFLLLSVWMKEKQFDALSWSVTLHHFDTAGSTCVCHFHDFDSTGLGKWLFEDTFAGKKNCIAIRSCSSEIPESHRDDEVTSFAVVNPSGRAIENVMNSESQAYTMATGQADGSLKIWRSSFQESSTPCVPWELVGMLTIGQNPVSAIFLTDSGHKIAALCTENHSKATCTISIWEIIHLIDSGVFILEDKLHVDAEVVAVRWSIASNDQLLLGVCTQKELRVYGIARQSCKSTSFAVSDYSSEAQIWQCFAVTHTFSAICDLWWGPKAMTCLVHNDYISLHGQWLAVVDKKQKIDNYPEIFAANLPNLVNATEEGRGSELLSDSGTNDIKEADTAYISRGCIPLPSTSNAIDDGQVNSMSLIGTAYGSDTINEIMSMGHMVEKLGGALPLYHPQALLVAIHSGNWKRASAALRHLSEYITSSDASEKVYTVKSVLCPDILLSKYYEGSLSTGPNPKDFQWGGTSGSSFNMESYSPNSSHSSPATDLEFSGFCEQLKKLSDGGNISRIEKLQYFAIADLLCEISNPHSTSVYASLDEAGRRFWVTLRFKQLFLARSSGKTASLEELDIDSSMIGWAFHSESQENLSGSLLPNEASWQQMRSLGFGFWYSNVAQLRSRMEKLARQQYLKNKNPKDCALLYIALNRVQVLAGLFKLSKDEKDKPLVVFLSRNFQEEKNKAAALKNAYVLMGKHQLELAIGFFLLGGEASSAINVCVKNLQDEQLALVICRLIDGQGGALESNLIKKYILPSAVQRGDFWLASLLKWELGEYHQSIFAMAGCLGNPVTESSTVSSNHISFVDPSIGLYCLMLATKNNVKNAVGEKIASTLSRWATLMAATAFSRCGLPLEALECLSASASGHGGTHQTSDPSNGQLRTPKGVFDHSVPHSSNWVSSGVSSAVDTHFRLGLAVQFLSRILREATAPLMNSEIVSCEKISRFQHELETALEQFYQRFSLSSSYLRNMMILSAYNRGLLSMGHNIFQENSSSGLSDDKSHTDEDIFQYSALSKLILKATEEKSFVLSRIIATCSVTCLHSVPWFEENKVSSGPEPKWSNALRFYFQGILESFSNLRTSLRLCLSSSVEDLKTRLAVVFDLVEYCSRLAIAWVLGDVNCLFRMVQPLVIAYFHGHIPYEVDLESVKRVYHQEASASVPDASDVGVNSKVSRDVENYEVGYPVYSIPEDERCLVTQACFWKHVSDFVKHKLGSISINLDDGISNNGSPENFDAQTSLDSSDDIVCVTEKIMSVLGKTLISTLAQLSSYHVKQLVLVLKPKLEKKIQVPTLLWLLECRGSQANFLKRDIPDAGIENENNGDPVVSVRFWKLCVDPHLLYEAFLLENFDIFEWSKSKPLEYWSDMYREVTRKNELHVPCNQDGRSSNEVASLASHASNSSQKAAITANENSAFQNPKEIHKRTGELIEALCINAINHRLAALASNRKGIIFFNLEDVDSCKNQSDYIWSDADWPHNGWANSESTPVPTCVSLGVGLGDKKGAHLGLGWETQEEFEEFVDPPPTVETVITRAFSNHPTMPLFLVGSSNTHIYLWEFGKDRATATYGVLPAANVPPPYALASISAVQFGPCGHRFASAALDGTVCTWQSEVGERSNIHPVESSLCFNGHASDVEYISSSGSIVAASGYSSSGTNVVVWDTLAPPSTSQASINCHEGGARSISVFDNDIGSGSISPMIVTGGKNGDIGLHDFRYIATGKMKKQRNPDGRSSTDGDQNKNGMLWYIPKAHLGSVTKIATIPRTSLFLTGSKDGEVKLWDAKAAKLIHHWPKLHERHTFLQPNSRGYGGIIRAGVTDIQYCPNGFITCGGDGTVKFVSLRDPCEDGK >scaffold_403629.1 pep chromosome:v.1.0:4:22628704:22630550:1 gene:scaffold_403629.1 transcript:scaffold_403629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKWTQGFQELMNVKPMEQIMIPNNNTHQSNTTSNARPNTILTSNGVSSAGATVSGVSNNNNNTAVVAERKARPQEKLNCPRCNSTNTKFCYYNNYSLTQPRYFCKGCRRYWTEGGSLRNVPVGGSSRKNKRSSSSNILQTTPSSLGLTTTLPDLNPPILFSNQIHNKSKGSSQDLNLLSFPVMQDQHHHHVHMSQFLQMPKMEGNGNLTHQQQPSSSSSLYGSSSSPVSALELLRTGVNVSARSGINSFMPSGSMMDSNNVLYTSSGFPTMVDYKPSNLSFSTDHQGLGHNSNNRSEALHNDHHQQGRVLFPFGDQMKELSSSITQEVDHDDNQQQKSHGNNNNNNSSPNNGYWTGMFSTTGGGSSW >scaffold_403630.1 pep chromosome:v.1.0:4:22631949:22632477:1 gene:scaffold_403630.1 transcript:scaffold_403630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTGKSMILETTTTTKMETKYEDMLPVMAEMMDVEEFVSELCKGFSLLADPERDLITAESLRRNSGILGIQGMSKEDAQGMVREGDLDGDGALNQTEFCVLMVRLSPEMMEDAETWLEKALTQELRNHNLSSMP >scaffold_403633.1 pep chromosome:v.1.0:4:22642022:22643219:1 gene:scaffold_403633.1 transcript:scaffold_403633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIRPWFRLSSIARPTSQGSSEPPPPQPRPTPRRTVVVRPPAKQPSPPRQRQPPSPPRQQQPPSPPRQQQQPLTPPRQQQQPTSPPQERSPYHSPPSRHMSPPTPPKAATPPPPPPRSSYTPPPSPKEVQEALPPRKPNSPRSPAHSSRSTSSESVKTRSASESENHRKAPSPRVLSPYSLPPSQLHSERETTQKNILTAEKTSQTHEPSHHNQNHNYNQNHNYNQNHNHQGNNPKKMHRQPSTSDSENIMSTRVITIAGENKGAVMEILRSPQGNKTGGSGTHSSRVSHGTGEKGRRLQSSSSSSSDEGEGKKKTTKNPNNGNSNLPMKAFMNSNVQMINNSIVYNSTASHHDPGVHLKISRKPGSDNGFHVSDYGNNGGYTN >scaffold_403634.1 pep chromosome:v.1.0:4:22646184:22648147:1 gene:scaffold_403634.1 transcript:scaffold_403634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFNWVQKKLHQNVIKDGVTKNEKKKRNEGISEIEKNTKAILDQVGLVDALDNWFDGVLTIGTFGFDTLNFKEEDEKEDDDECESVDLDYVVIDGSIIKNVDQELDPLISEENKFYDHHKDVGEEAEIEPQKKRTTLAELFMEDHEVHDEGYDTWHGKQPKNPNLDGEEVKYYKQNGSKLSKKFSFVKKKLVMSKSKDEEKDLRPIKKMRQMIKRMLKKKIHPDIDVPYQPTRNCEALESHCLLNIQDCVA >scaffold_403638.1 pep chromosome:v.1.0:4:22660893:22661875:-1 gene:scaffold_403638.1 transcript:scaffold_403638.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHB-7 [Source:UniProtKB/TrEMBL;Acc:D7LF74] MRQGGEYSPAMMSSDPFLTMKKIKKSNHNKNNQRRFSDEQIKSLEMMFESETRLEPRKKVQLARELGLQPRQVAIWFQNKRARWKSKQLETEYNILRQNYDNLASQFESLKKEKQALVSELQRLKEAMQKKTQDEERQCCRDQAVVALSSTDHESENEENRRREPEEVRPEMEMKDEKGHHGVMCDHNHDDYDDDDDGYNNNIKREYFGGFEEEPDHLMNIVEPADSCLTSSDDWRGFKSDTTTLLDQSSNNYPWWDFWS >scaffold_403639.1 pep chromosome:v.1.0:4:22670888:22671389:-1 gene:scaffold_403639.1 transcript:scaffold_403639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSTSDVITLMDIGLKNRVVGSTALNERRSRSHSIVIVHVRGIDFKTGYVLYGKDVRDLMEQLASLKDTIARKDKEIERLHQVKDIQHHETGE >scaffold_403640.1 pep chromosome:v.1.0:4:22672717:22673699:-1 gene:scaffold_403640.1 transcript:scaffold_403640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHB-7 [Source:UniProtKB/TrEMBL;Acc:D7LF77] MRQGGEYSPAMMSSDPFLTMKKIKKSNHNKNNQRRFSDEQIKSLEMMFESETRLEPRKKVQLARELGLQPRQVAIWFQNKRARWKSKQLETEYNILRQNYDNLASQFESLKKEKQALVSELQRLKEAMQKKTQDEERQCCRDQAVVALSSTDHESENEENRRREPEEVRPEMEMKDEKGHHGVMCDHHNHDDYDDDDGYNNNIKREYFGGFEEEPDHLMNIVEPADSCLTSSDDWRGFKSDTTTLLDQSSNNYPWWDFWS >scaffold_403641.1 pep chromosome:v.1.0:4:22690470:22690958:1 gene:scaffold_403641.1 transcript:scaffold_403641.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7LF78] MGTGEKTLKSFQLHRKQSVKVKDVPKGCLAIKVGSQGEEQHRFIVPVLYFNHPLFMQLLKEAEDEYGFDQKGTITIPCHVEEFRYVQALIDGERSVYNGNNNHHRHGGRDQYHHLVGCFRA >scaffold_403645.1 pep chromosome:v.1.0:4:22713164:22713683:1 gene:scaffold_403645.1 transcript:scaffold_403645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIVKPAAMPVQFLMRPTVATSVGYSHPPPHNYDGIHRRFINIPTKSQTSESVGGLGQQILLRTADSNPSKPIPKEKEISGSDVLWAIQRATAQRKRTNAGKKKMKKIRGVELSSSAGESTEDNGVDYSNVAPLRIKSDWGHRLEEFEKLLKEFQDTEL >scaffold_403647.1 pep chromosome:v.1.0:4:22717301:22719847:-1 gene:scaffold_403647.1 transcript:scaffold_403647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSPPSYGSQNATFWRTLIGLYYIFTLVHTAVSTPPDDPVKCVSGNMNCTVTNSYGAFPDRSTCRAANVAYPKNEAELVSVVAAATQAGRKMRVTTRYSHSITKLVCTDGTDGLFISTKFLNHTVQANATAMTLTVESGTTLRQLIAEAAKIGLALPYAPYWWGLTVGGLMGTGAHGSSLWGKGSAVHDYVTEIRMVSPGSANDGFAKIRVLSEITTPNEFKAAKVSLGVLGVISQRSLTYTMKNDSDFEDQAVTFGKKQEFADFIWLPSQGKVVYRRDDEYPSTHRAMETQETFRDVNGKCVGATIISSTLFATSYGLTNNGNYFHFTYTFSLILQRIINILTYAYLYVSIFSGFRHYIHWLSGRWKPRPYDVVRIMSRQPSRWIDHGVCVGLTHKRRIFHQTTFSVPLTQVKSFISDIKSLVKIEPKSLCGLELHYGILMRYVTSSPAYLVKETEALDFDITYYRAKDPLTPRLYKDFIEEIEQIALFKYNALPHWYNNALAFLKVKESYDPKGLFSSEWTDQILGIKGNVTIVKDGCALEGLCICSKDVIVPRPKAICVDREKCTKRLGFVHVLMV >scaffold_403649.1 pep chromosome:v.1.0:4:22743350:22745911:-1 gene:scaffold_403649.1 transcript:scaffold_403649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSMSISVNGQSQVPPGFRFHPTEEELLQYYLRKKVNSIEIDLDVIRDVDLNKLEPWDIQEMCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKIIYSNGRRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDNIISPEDVTVHEVVNIIGEASQDEGWVVCRIFKKKNLHKTLNSPVGGASLSGGGDMGTSSQIFNEDTLEQFLELMGRSCKEELNLDSFMKLPNLESPNSQTINNCHVSSPDTNHNIHVSNVVDSSFVTSWAALDRLVASQLNGPTSYSIAAVDESHVDQDHLALPSLNRSASYHAGLTQEYTLEMELWNTTTSSLPSSPGPFCHVSNGSG >scaffold_403653.1 pep chromosome:v.1.0:4:22780304:22781950:1 gene:scaffold_403653.1 transcript:scaffold_403653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSIQGQNKLCCLDQKLNVRRSLQVQGTVEDHQSFALEEDQLSTPSLLQDTTIPFLQMLQQSEDPSPFLSFKDPSFLALLSLQTLEKPWELENYLPHEVPEFHSPIHSETNHYYHNPSLEGANEAISSKELPFNPLENANPRRKRKNNNLATLMTREKRKRRRTKPTKNIEEIESQRMTHIAVERNRRRQMNVHLNSLRSIIPPSYIQRGDQASIVGGAIDFVKILEQHLQSLEAQKRTQQSDDNKEQIPELRDISSNKLRASSKEEQSSKLQIEATVIESHVNLKIQCRRKQGLLLRSIILLEKLRFTVLHLNITSPTNTSVSYSFNLKMEDDCNLGSADEITAAIRQIFDS >scaffold_403655.1 pep chromosome:v.1.0:4:22785438:22788263:1 gene:scaffold_403655.1 transcript:scaffold_403655.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCA1 protein [Source:UniProtKB/TrEMBL;Acc:D7LF92] METNSSGEDLVIKTRKPYTITKQRERWTEEEHNRFIEALRLYGRAWQKIEEHVATKTAVQIRSHAQKFFSKVEKEAESKGVAMGQALDIAIPPPRPKRKPSNPYPRKTGSGSIPMLKAGVNDGKESLGSEKVSHPEMANEDRQQSKPEENNNLQEDNCSDCFTHQYLSAASSMNKSSIETSNASTFREFLPSREEGSQNNRVRKESNSENYCDLNAKSLETDNEQGPQTYPMRIPVLMPLGSSITSSLSHPPSEPDSHPHIVAGDYQSLPNHIMSTLLQTPALYTAATFASSFWPPDSSGSSPVQGNSPPNLAAMAAATVAAASAWWAANGLLPLCAPLSSGGFTSHPPSTFGPSGDVEYTKTSTLQHVSAQSREQEHSEASKGRSSLVSEEIEKKSKPDCHEHPSVTPESDAKGSDGAGDRKQVDRSSCGSNTPSSSDDVEADASERQEDGTKGEVKEMNEDTNNPQTSESNARRSRISSNITDPWKSVSDEGRIAFQALFSREVLPQSFTYREEHREEEQQQQERYPMALDLNFTAQLTPVDEQEEKRNTGFLGIGLDASKLISRGRTGFKPYKRCSMEAKESRILNTNPIIHVEQKDPKRMRLETQAST >scaffold_403660.1 pep chromosome:v.1.0:4:22807274:22808589:-1 gene:scaffold_403660.1 transcript:scaffold_403660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNLRNAIIAFLAPLPSIVFYLTFLRNHSPASDSELSFIHSWCLNHPLLLANLLFFLNVNVLFWVIGLLQSSHWMIDVYWTVIPVMLVHYFASHPLAQYNKLRSMIVVTLTWIWSIRLTHNYFRRENWEWGAREDWRFNDLRKQYGKHWWWLSFFSVYVSQQIFLIGICLPLYVIHSVDAPLNIWDFISSAICLTGIVMAYYADTQLHEFVTGNQKLKEQGKPKIPNLDTGLWHYSRHPNYLGEQLWWWGLVIFAWNLGQGWTLIGALVNTLCLVYVTILVERRMVKQQYRAEAYRAYQKTTSVWIPWFKSHVAATKDKNT >scaffold_403662.1 pep chromosome:v.1.0:4:22812329:22813801:1 gene:scaffold_403662.1 transcript:scaffold_403662.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein pap [Source:UniProtKB/TrEMBL;Acc:D7LFW6] MDRIASATFSCPAISMPRVCRVNPFGLNINTNHRKRFSCPIAVASGETTARVVDNELDLEHKKHDLLRAVQDTQRGLTATSDQRSIIEEALVTVEGFNGGEEIDLVKLDGTWRLQYTSAPDVVVLFEAASRLPFFQVGQVFQKFECRDRSDGGIIRNVVQWSLPSLLEEQEGATLVVTAKFDKVCSRNIYLQFEEISVRNININEQLQALIAPAILPRSFLSLQLLQFIRTFKAQIPVTATSPGRRSVGGLYYLSYLDNNMLLGRSVGGGGVFVFTKSQPLEL >scaffold_403663.1 pep chromosome:v.1.0:4:22813909:22817724:-1 gene:scaffold_403663.1 transcript:scaffold_403663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRNLTTSFVALPSRSKPLSPSLRRRFVRCRLTDAATFAPLGVVSGESERAIGSLTELKNGGGWKVEDELPPAEDGREGGVEDDDSEEEEEGISSIHVPREKYINLSKSDLVNGIVTKLLDSQDGDTDIFILLSSCLDSILHAEHKRILEQMRADFVATQSLEENSEPRSVNGYDGLSFPLADGFDIWNFLISSGKDAKKRSAESVMAATRFQRSFIQLLDNAGFEELSARDLALTSALNTDYLLTLPVYVDWKKASESNAIVFRRGFATEKEKGLLLVEKLDYIQSKVLQGIFSTIAKPLRKVGKLINKALREASQTQEIQDLSERMKVWLKDLSLFKESYLDQTSDNFLKEGFLPDSVLPMRLAAQRAVSRYEGLLTPVGPRAKLFRKLLGWIGFISPDYETPSELANDSNASEPYLRPIFLSRMTLADIWKPASKKACGNDVWKRIKTSISILLSPSTLQEPAFEELILLYTKDASEKDDKNKDETRSSLQLEIFERIPIPDLPVIFPHKKLYFRIIDTVRLDIASILGLTAYFVNYKFENISSSPSAFFLDVIAITALLIYATRVVLGYKQTWDRYQLLVNKTLFEKTLASGFGSVHFLLDASEQQQYKEAILTYAIILQAGKNQNMSYQGVRDRCERFMYDTFKIKVEMRVEKAISTLVRLGLVTETLVDSNTKLQAVPCPQAYISLKELWSSLLV >scaffold_403664.1 pep chromosome:v.1.0:4:22818093:22820991:-1 gene:scaffold_403664.1 transcript:scaffold_403664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGTSRVVGCFVPSNEKNGVDLEFLEPLDEGLGHSFCYVRPSIFESPDITPSNSERFTIDSSTLDSETLSGSFRNEVVDDPSFLNRHNSKGLAETTFKAISGASVSANVSTARTGNQMALCSSDVLEPAASFESTSSFASIPLQPLPRGGSGPLNGFMSGPLERGFASGPLDRNNGFMSGPIEKGVMSGPLDVSDKSNFSAPLYFRRKKPRFQRFMRSVSGPMKSTLARTFSRRSGGLSWMHRFFLHPETRVSWPVGKDGKLHGEDPESCLESNRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFVMSHLYKAIDKELEGLLWDYEESSVDNQLLPDQEPPTEENSCDPETISEQHSNSVVAGSEEVMIDNNSSPGNADTQIADGPPGNLAGPGKRSTRLYELLQLERWEGEEIGLKDSHGGSVALNDMTNQFENPSTSGGGAGNDPCTTDRSILDGIPTSGQSHGTKKSQISSKIRRMYQKQKSLRKKLFPWSYDWHREEGTCVEEKIVESPGPIRRRWSGTVDHDAVLRAMARALESTEEAYMEMVEKSLDINPELALMGSCVLVMLMKDQDVYVMNVGDSRAILAQERLHDRHSNPGFGNDEGIGHKSRSRESLVRMELDRISEESPIHNQTTPISVSNKNRDVTSYRLKMRAVQLSSDHSTSVEEEISRIRSEHPEDDQSILKDRVKGQLKVTRAFGAGFLKKPNFNEALLEMFQVEYIGTDPYITCEPCTVHHRLTSSDRFMVLSSDGLYEYFSNEEVVAHVTWFIENVPEGDPAQYLIAELLSRAATKNGMEFHDLLDIPQGDRRKYHDDVSVMVVSLEGRIWRSSGQYYPERKQKFNR >scaffold_403669.1 pep chromosome:v.1.0:4:22837737:22839668:-1 gene:scaffold_403669.1 transcript:scaffold_403669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSTSLFLLMEFLLVVVPKIWECCRILVWRPLMLSRRFKKQGISGPKYSLFYGNMREIRKMKIDPTPTIFSLAFFLIFRETFLYWNGTEPTICTSDPELAKQILSSKLGFSVRSKRRPELLIFFGKGLSFSEGADWVRHRRILNPALSTDRLKVMTKSMVDCTLRIFEEWRKQNKYEETEQNVMKMEMKKEFYRLTADIIATTAFGSSYAEGNEIFRAHVELRNCCVTSATKVFIHGKQYLPTPLNLRIWKLDRKVKNMIRRIIDTRLKWKNHGDDDLLGIMLNAATSEDPEKKLSIDEIIEECKNFFISGHGTTSILLAWTTMLLRPIIQMSRVATQDMNVGRVEIPKGTSIIFPLLKMHSDRDVWGDDADKFNPLRFANGVSQAANNPNALLAFSFGPRACIGQHFAMMEAKTVLTMILQRFRLNLSREYKHAPMDYISLHPQYGLPIMVKPLHI >scaffold_403670.1 pep chromosome:v.1.0:4:22841343:22843326:-1 gene:scaffold_403670.1 transcript:scaffold_403670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPLASSSSQPNMISPSSSFKPKLKDQDYMELVCENGQILAKGRRHKNNGSFQNQRRQSLLDLYETEYNEGFKKNIKNLGDTQVVPVNESQPQQDKENNEHMNKKKLKSSKIESERNVSKSNKRVESSTLIDDSKGPKNVEVTRTPPDEQSAAVGRSTELYSASSSMFSRGSSRDLSLCSLKRKHGDIEEEESTYLSNNPDDESDDAKTQVHARIRKPVTKRKRSTEVHKLYERKRRDEFNKKMRALQDILPNCYKDDKASLLDEAVKYMRTLQHQVQMMSMGNGLIRPPMMLPMGHYPPMGLGMHVGAAATPTSVPQFLPMNIQGTSFPGINNASSQMLRFLNHPTGLIPNTPIFSPLENCSQQFVVPSCVPQTHATSFTQFPKSASTSNLEDAMQYRGSNGYSYYPSQN >scaffold_403673.1 pep chromosome:v.1.0:4:22858766:22859675:1 gene:scaffold_403673.1 transcript:scaffold_403673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFFLHHRRQWKRESFDLLSVVSLSQSPPSLSVSGFILVEEESASTSITLLFCLVWLVKIWRRATANQQIKHRTSWITSSFCGTCTSYITFMARESEEEDTLVEYQAKVAKKLTRKSYPIFCRPCPKLDQDL >scaffold_403676.1 pep chromosome:v.1.0:4:22870115:22872326:-1 gene:scaffold_403676.1 transcript:scaffold_403676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLIRAKFLSNLIRYCSRGTHSDDRSLRSSKMIRLYTTGMEPQLSPDLIKIMDQRLSAIEHRNALLQKLINQPEYSPEEFSRANKELRKLRDSMFLINDLRAKQKEIDGLKSLVSESSDDKDMLDMAVSELDEAVEEEKRLQTLLLKSLLPKDEADERDCILEVRAGTGGEEASLFAMDIFRMYERYSQKKAWKFDIVDITESDMKGYKEASAAICGASVYGKLKFESGIHRVQRIPITEKSGRIHTSAISVAILPQADEVDVQLRNEDLRIDTYRSGGSGGQHANTTNSAVRIIHLPTGMMVSIQDERSQHMNRAKALKVLCARLYEIERLRIQSSRSKLRSDQIGSGDRSGRIRTYNFPQGRVTDHRVGITLHAIEDMMQGEILDSFIDALLLRQEMDAIASFSSTS >scaffold_403681.1 pep chromosome:v.1.0:4:22896978:22897263:1 gene:scaffold_403681.1 transcript:scaffold_403681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIVLSLGNAHATPRPKPPVLHPCDVRDTTRTPPGTCNKHNGASLCQKLCFNGGVKGSTFGTCVTTPKGKMCTCHNCKF >scaffold_403682.1 pep chromosome:v.1.0:4:22897782:22898067:1 gene:scaffold_403682.1 transcript:scaffold_403682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTELSLGNAHARPRPKPQSLHPCDVRDTTRTSPGTYNKHNGVSSCQESCFNGGVKGSTYGTCVTLPKGKVCTCHNCKL >scaffold_403684.1 pep chromosome:v.1.0:4:22902876:22903721:-1 gene:scaffold_403684.1 transcript:scaffold_403684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLLSLPSRPFLRNLALKCHHTLRMFSSSTTTNPYLMYRLTHYGSSFDDINVTSNIHYFDPVKEDEVIVRDKAFPMELRNTSLVGMSHGWGVFNVESDEHKALYVSDYCNPCGSKSNPKVIPLHPMGQPNITQKRIITNAGMTYSPDQSKHFVVAANCLGLEINFFRPCGKPEYSGSGGFKTQSHYFDQSKVMYSKRDEKFYTPSVGGHFLAFWDSCFEEIMTF >scaffold_403685.1 pep chromosome:v.1.0:4:22903979:22905384:1 gene:scaffold_403685.1 transcript:scaffold_403685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLLSLSSRPSLRKLALRCHTLRVFSSSTTTNPYLMYSVTYCGEYPEAGAITKLRMFDPAKEDYFTVGDKPLSKELAKSRLVGSSHGWGVFISSRDSILISDYCNPSSSKSNPKMIPLYVQSLSRYVKSLMLRSVKFNFGGTKLFMVFREEEDMNMCYTEDIGDLCIFLGNNEPFCVKASSFPGLNPNSIYFLGEGYGEGYGVYDIATRTPRSFNPKSFSAFSDIADSPNGKVYVLPDWVPHWIPPSPL >scaffold_403687.1 pep chromosome:v.1.0:4:22908710:22909234:1 gene:scaffold_403687.1 transcript:scaffold_403687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLAVLQFYKVDGSGKVQRLRKECPNATCGAGTFMASHFDRHYCGKCGLTYVYQKEGAQE >scaffold_403698.1 pep chromosome:v.1.0:4:22952855:22956056:1 gene:scaffold_403698.1 transcript:scaffold_403698.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LG02] MGGTDAKDILGLPKTPLSLTQEKKSRPQKESHRKPDGISREVYALTGGVAPLMPSIDLKRRPPPDEKVAWKWLSFTNSARKDDLQLYHWVRVVNDVPPTGDYSFAKYNKSVDILKYTDEEYENHLTDPVWTKEETDQLFEFCQNFDLRFVVIADRFPVSRTVEELKDRYYSVNRALLRARAQSPADVANHPLMKEPYDITRDRERKRALSMVLSQSRHQEKKDAEILAEAKRITEMRLAARRAEEPDVSANENAGLDKADGVVPGRSVSPTSNSQLPATAVAPSTLTMADYASTLASLRMLHVYLRTYGLEQMVQAASSAVGLRTIKRVEQTLQDLGVNLKPKVPTKTVCDEHLELRKEILTLLNLQKQLQYKESEGSSHREGSYAAMPDTPKDRVFASDPFSFGAERPIKKDQKRKGPGRQADTPSPAHKRPRKLKASDL >scaffold_403700.1 pep chromosome:v.1.0:4:22964639:22968566:1 gene:scaffold_403700.1 transcript:scaffold_403700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-fatty-acid--CoA ligase family protein [Source:UniProtKB/TrEMBL;Acc:D7LGL1] MKSFAAKAEEGVKGIDGKPSVGPVYRNLLSEKGFPPIDSEITTAWDIFSKSVEKFPDNNMLGWRRIVDEKVGPYMWKTYKEVYEEVLQIGSALRAVGAEPGCRVGIYGINCPQWIIAMEACAAHTLICVPLYDTLGSGAVDYIVEHAEIDFVFVQDTKIKGLLEPDCKCAKRLKAIVSFTNVSDELSHKASEIGVKTYSWIDFLHMGREKPEETNPPKALNICTIMYTSGTSGDPKGVVLTHQAVATFVVGMDIFMDQFEDKMTHDDVYLSFLPLAHILDRLNEEYFFRKGASVGYYHGDLNVLRDDIQELKPTYLAGVPRVFERIHEGIQKALQELNPRRRLIFNALYKHKLAWMNRGYSHSKASPMADFIAFRKIRDKLGGRIRLLVSGGAPLSPEIEEFLRVTCCCFVVQGYGLTETLGGTALGFPDEMCMLGTVGIPAVYNEIRLEEVAEMGYDPLGENPAGEICIRGQCMFSGYYKNPELTEEVIKDGWFHTGDIGEILPNGVLKIIDRKKNLIKLSQGEYVALEHLENIFGQNSVVQDIWVYGDSFKSMLVAVVVPNPETVNRWAKDLGFTKPFEELCSFPELKEHIISELKSTAEKNKLRKFEYIKAVAVETKPFDVERDLVTATLKNRRNNLLKYYQVQIDEMYRKLASKKI >scaffold_403702.1 pep chromosome:v.1.0:4:22974378:22974768:1 gene:scaffold_403702.1 transcript:scaffold_403702.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGL3] MDPNNRQIILTSRHKDQCVRWDCRRLPLRPLEYCHVSCEQMATSDAQSTDPFLLSTVYTSTSEFALIANKIFNLIKAGKESGVPRLSRQVFQNPPWLSSIVSITLEFLILYDIML >scaffold_403708.1 pep chromosome:v.1.0:4:23001304:23002463:1 gene:scaffold_403708.1 transcript:scaffold_403708.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7LGL8] MAKIKPQALLQQSKKKKGPSRISITNIVIYTLALLLLVFVLFSAYRRWTHRSEIPTHNGRSVLEDAAFPGMKNVDLPRFAALDTGKGSVTIELFKDTAPNVVDQFMKLCQDGYFKGFLFRRVVKHFVIQAGDSAEFDAVKDWALERKNIDTSSKHEEFMVGTPKAKNELGGFEFFIVSAQFKDLNEKLTVFGRVSKGQDVVQEIQEVETDDQYQPKSPIEIMSVTLLQDM >scaffold_403710.1 pep chromosome:v.1.0:4:23006090:23006957:1 gene:scaffold_403710.1 transcript:scaffold_403710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7LGM0] MEPYYKSIILIGVTVCCYTFSGVQATGRSVEVPASSPDASLLEPPVSSISAVPASAPAPTSEDISTESNLTPTAAVSAPGASQEDIDINFDSVTNIADLAPKFEHINKIDFSSMKIDTTAKDLCKNTDYNNECIAAILPDLQKQAGGGGGGFEAKDVMRMEAESLFKKANATLDYAKRVVEDSKTPMAVKEAMSVCVENYDSLMSGLEEAKMAMEEGDYGRLDSVLSAAISDVSTCSDNFVDVPGVDSPTASLDELMKKLCSNVLAMSQKVQNR >scaffold_403711.1 pep chromosome:v.1.0:4:23009489:23011979:1 gene:scaffold_403711.1 transcript:scaffold_403711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGTAYCDGMRNTVRKKRSLTCRRPRPEGSSVLTSSDHFSKISSDDIPAFDTNPRRKEFSLSHCISRAESIAESERGNNDSRRREIINRNKRSTEGLLAPASSKNTSRKDEGNGGMINGKGTDLGELEGETKRMKLKIGGVSRLVHANGSSRKSSKPVTDTIRSNHDLQESSEDCNSPLDKKADLEGVTWDAEIDGSMTGRRKQGEPSGSVRKSKRAPKKRVFDSDDDSDDEIRYLEKLKYKRVSVSNEDTESGRTQLKPSGITNGENSGKKKAVSEQVSEDMDCAEQIEAASDEKEIGNDSKRESTMTSRQRALASGRSSAIDFSNGLPPTSRRKKETLSEMEQQLKKAEAAQRRKAQIEKAARESEEGAIKKILGQDSSRKKRGDKIKKRLDELAQEKAAHEERASTCYIRTIMGPNGTTVSFPIDKVPSLFDPKPSGYPPPRENCAGPSCTNPYKYRDSKTKVPLCSLKCYKAVQEQQIAPA >scaffold_403712.1 pep chromosome:v.1.0:4:23012703:23013661:1 gene:scaffold_403712.1 transcript:scaffold_403712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGGKWRKEAEQLVVKPFRLVTTTLLSLLLPLSFLLLSRLSSASFLFSLTKSQPQTESSFFFSLFLRANPAVVHAIVSSISVYTLVLGLTTKITATDPKRSIAFYPHVSIAWLILFLVQISVGLGLETTISNGLIIGSERNFLSRLVFFFGLHEVMLLWYRVIVRPVVDNTLLGGEDGQRREETVVERVALAVSCGTLWWWKLRDEVEALVGVAEAKRALLLLLPIDGNVNVIFNVGTVDFVNWWLYYMVVTIGMVRIIKGSLWFGMILLFEQGSRRRNPRGISTASSTPSTLHFDDQGDNKV >scaffold_403718.1 pep chromosome:v.1.0:4:23033983:23035299:1 gene:scaffold_403718.1 transcript:scaffold_403718.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LGM8] MAGGKMRKEKPKASNRAPSNHYQGGISFHKSKGQHILKNPLLVDSIVQKAGIKSTDVILEIGPGTGNLTKKLLEAGKEVIAVELDSRMVLELQRRFQGTPYSNRLKVIQGDVLKTELPRFDICVANIPYQISSPLTFKLLFHPTSFRCAVIMYQREFAMRLVAQPGDNLYCRLSVNTQLYARVSHLLKVGKNNFRPPPKVDSSVVRIEPRRPGPQVNKKEWDGFLRVCFIRKNKTLGSIFKQKSVLSMLEKNYKTLQAVLASLQNNGDAALNTSMDLGDQSMGMEDDDNEMDDDDMEMDDGEGDGGETSEFKEKVMNVLKEGGFEEKRSSKLSQQEFLYLLSLFNKSGIHFT >scaffold_403721.1 pep chromosome:v.1.0:4:23060254:23061396:1 gene:scaffold_403721.1 transcript:scaffold_403721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCALVIHQSLSRIKLSPPKSSPSGFSLESLPIRRIQLCFRGAICAAVQKNYEETTSSVEEEDDDDESSYGEVKRIIGSRMAAELEAMEYLIEWKDGHSPSWVPSSYIAADVVSEYETPWWTAARKADEQALSQLLEDRDVNAVDENGRTALLFVAGLGSDKCVRLLAEAGADLDHRDMRGGLTALHMAAGYVKPEVVEALVELGADIEVEDERGLTALELAREILKTTPKGNPMQFGRRIGLEKVINVLEGQVFEYAEVEEIVEKRGKGKDVEYLVRWKDGEDCEWVKGVHVAEDVAKDYEDGLEYAVAERVIGKRVADDGKTIEYLVKWTDMSDATWEPQDNVDSTLVLLYQQEQQQQQPMNE >scaffold_403723.1 pep chromosome:v.1.0:4:23071263:23073537:1 gene:scaffold_403723.1 transcript:scaffold_403723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSQIWFGFALLALLLVSAIADDVVVLTDDSFEKEVGKDKGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEQKSVCTKYGVSGYPTIQWFPKGSLEPQKYEGPRNAEALAEYVNKEGGTNVKLAAVPQNVVVLTPDNFDEIVLDQNKDVLVEFYAPWCGHCKSLAPTYEKVATVFKQEEGVVIANLDADAHKALGEKYGVSGFPTLKFFPKDNKAGHDYDGGRDLDDFVSFINEKSGTSRDSKGQLTSKAGIVESLDALVKELVAASEDEKKAVLSRIEEEASNLKGSTARYGKLYLKLAKSYIEKGSDYASKETERLGRVLGKSISPVKADELTLKRNILTTFVASS >scaffold_403724.1 pep chromosome:v.1.0:4:23074054:23074426:-1 gene:scaffold_403724.1 transcript:scaffold_403724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHDGCGYGSDQRTYGTRDRSTEIVSGKSNQIYGTQDYPPSLPPPPPGEVAARKSNASSTTKLSWGLSDAEMKRKKRIARYKAYTVEGKVKSTVKNGFRWIKNKCSHRF >scaffold_403731.1 pep chromosome:v.1.0:4:23103598:23103989:-1 gene:scaffold_403731.1 transcript:scaffold_403731.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LGP1] MISGQVTGAGGEKKKGKKKNAIRFSQKNGTVSAFSHLVRRRPRRRSAASSSPSGPPLLMRNKVSSQKKKSPPAAPLSSPPLREFPASAGAQPPIPSPQSSLRHPSLRFHRPSPQIC >scaffold_403737.1 pep chromosome:v.1.0:4:23124010:23126376:-1 gene:scaffold_403737.1 transcript:scaffold_403737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILNQTQELQEASKVLGHVRCENFFIFPGENTLSDGLRGVLYFLGLAYCFIGLSAITARFFKSMENVVKHSRKVVAVDPITKAEVITYKKVWNFTIADISLLAFGTSFPQISLATIDAIRNIGERYAGGLGPGTLVGSAAFDLFPIHAVCVVVPKAGELKKISDLGVWLVELVWSFWAYIWLYIILEVWSPNVITLVEALLTVLQYGLLLVHAYAQDKRWPYLSLPMSRGDRPEEWVPEEIDTSKDENDNDVHDVYSDAAQEAVESGSRNIVDIFSIHSANNNTGITYHTVADTPPDSATKKGKAKNSTVFGIWKHQFVDAITLETSESKKVDSIYLRIAKSFWQLLLAPWKLLFAFVPPCNIAHGWIAFICSLLFISGVAFVVTRLTDLISCVTGINPYVIAFTALAGGTSWPDLVASKIAAERQLTADSAIANITCSNSVNIYVGIGVPWLINTVYNYFAYREPLYIENAKGLSFSLLIFFATSVGCIVVLVLRRLIIGAELGGPRLWAWLTSAYFMMLWVVFVVLSSLKVSGII >scaffold_403739.1 pep chromosome:v.1.0:4:23130420:23132706:1 gene:scaffold_403739.1 transcript:scaffold_403739.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSWI3A [Source:UniProtKB/TrEMBL;Acc:D7LGP9] MEATDPSAELELYTIPAQSSWFLWDDIHEIERREFEEFFTESSITRTPKVYKEYRDFIINKFREDTSRRLTFTSVRKFLVGDVNLLQKVFLFLQKWGLINFSSSLKKSDHLSSVDNARIEQGTPAGIRVTATPNSLRPITAPPLVEETSETGIKLPPLTSYSDVFSDLKKPDDVLVCGHCRERCDSPFYRHNKSIVNICENCFKNGNYGENNAADDFKLIGNSAAAVWTEEETLLLLESVLKHGDDWELIAQSVSTKSRLDCISKLIELPFGEFLMGSASGRLISSIPTEDENAEQVKTDDPEHEETETREEKEDHVDEDEPPAKRKRVALISDGDSSLMKQVAAMASKVGPSVATAAAKAALAALCDEASCPKEIFDTGDYSNFTVDRADGDKDTDLEEQQEDKDGPQGLPVALRIRASVATALGAAAAQAKILADQEEREMEELAATVIDQQLKKLQSKLKFLEHLESIMDAEEEVIEGVKETIIQERISVLQCAFRSGITKRWDHTYVK >scaffold_403740.1 pep chromosome:v.1.0:4:23133107:23135465:1 gene:scaffold_403740.1 transcript:scaffold_403740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7LGQ0] MKSSEFQYHEEYIRNSRGVELFACRWIPSSSSSPKALVFLCHGYGMECSDSMKECGIRLASAGYAVFGMDYEGHGRSMGSRCYIKKFSNVVNDCYDYYTSICAQEEYMDKGRFLYGESMGGAVTLLLHKKDPLFWNGAVLVAPMCKISEKVKPHPVVINLLTRVEEIIPKWKIVPTKDVIDAAFKDLVKREEVRNNKLIYQDKPRLKTALEMLRTSMNLEDTLHEITMPFFVLHGEADTVTDPEISKALYEKASTRDKTLKLYPGMWHALTSGEPDCNVDLVFADIITWLDLRTADPASLTVTPIRVGNTTTVQRVTTVSNGQRRSKRPFFNLLCCLNRGRLVPRSTV >scaffold_403743.1 pep chromosome:v.1.0:4:23153531:23154191:-1 gene:scaffold_403743.1 transcript:scaffold_403743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSITFSILLLSLLVALNQNPSLASTSSNDNTNDIVTQYSTYVRNACNVTRYQRLCVRTLWPFAIVARNNTSKWARASVAVTITDTKRMLRLLLKTQRSAVGERERIALSDCRELFVDSLDNLYKSLAVLRTLNADEFQRQISDLATWLSAALTDEDTCLDGFEETSSRSRTVRMVRRKATKCMRLCSNALALLNKLAFDGL >scaffold_403745.1 pep chromosome:v.1.0:4:23160903:23161653:1 gene:scaffold_403745.1 transcript:scaffold_403745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGITGNKGRCYDFWMDFSECMSHCREPKDCTLLREDYLECLHHSKEFQRRNRIYKEEQRKLRAASRKGEEGVDGTHTHH >scaffold_403747.1 pep chromosome:v.1.0:4:23164718:23165681:-1 gene:scaffold_403747.1 transcript:scaffold_403747.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein family protein [Source:UniProtKB/TrEMBL;Acc:D7LHB6] MATGDEKSVMVVGVDDSEQSTYALEWTLDRFFAPYAPNYPFKLFIVHAKPNAVSAVGLAGPGTAEVVPYVDADLKHTAAKVVEKAKAICQSKSVHGAVIEVFEGDARNILCEVVDKHHASILVVGSHGYGAIKRAVLGSTSDYCAHHAHCSVMIVKKPKIKV >scaffold_403751.1 pep chromosome:v.1.0:4:23178385:23180988:-1 gene:scaffold_403751.1 transcript:scaffold_403751.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g47760/F17A22.15 [Source:UniProtKB/TrEMBL;Acc:D7LHC0] MAGASSPASLRASRNRQLGKETILPDLLKNPKLPFAFALILADAILVGLIIAYVPYTKIDWDAYMSQVSGFLGGERDYGNLKGDTGPLVYPAGFLYLYSAVQNLTGGQVYPAQILFGVLYIVNLGIVLFIYVKTDVVPWWALCLLCLSKRIHSIFVLRLFNDCFAMTLLHSSMALFLYRKWHLGMLVFSGAVSIKMNVLLYAPPLLLLLLKAMNIIGVVSALAGAALVQILVGLPFLMTYPVSYIANAFDLGRVFIHFWSVNFKFVPERVFVSKEFAVCLLIAHLCLLAAFANYKWCKHEGGIIGFMRSRHFFLMLPSSLSISDVSASRILTKEHIVTTMFVGNFIGIVCARSLHYQFYSWYFYSLPYLLWRTPFPTWLRLILFLGIELCWNVYPSTPASSGLLLCLHFIILVGLWLAPSDDPYQLKEDPKSQIHKKHK >scaffold_403754.1 pep chromosome:v.1.0:4:23183782:23184629:1 gene:scaffold_403754.1 transcript:scaffold_403754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDEIVVEEEQSQPPEVTPVPPSSSPSPSLVVEDDDEMKLKHLEFIQVAAVYFAACFSTLYELAKDNAGPLQLGVENIEDSVRTVLAPLYDKFHDVPFKLLLFVDRKVDDVFFDVETYVPSLVKQASSQALTVATEVQRAGVVDTTKSIARSVRDKYEPVAEYYAATLWRLLNQVPLFPEVAHLVIPTAFYWSEKYNDAVRYVGDRDYFGAEYLPMIPIEKISDILEQDQCRSD >scaffold_403755.1 pep chromosome:v.1.0:4:23184796:23186707:-1 gene:scaffold_403755.1 transcript:scaffold_403755.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7LHC3] MEEASSEMEVEVQNRQLSDSSSAQNVGLKNSIQTNFGSDYVFQIVPKIDWTAIAVSLSTNTVKLYSPVTGQYYGECKGHSDTVNQIAFSSDSAASPHVLHSCSSDGTIRSWDTRSFQQVSCIVAGDDQEVFSFSYGGAADHLLAGGCKEQVLLWDWRNSKQVACLEESHMDDVTQVHFVPNNPNKLLSASVDGLICLFNTEGDINDDDHLESVINVGTSIGKIGFLGDCYKKLWCLTHIETLSIWNWQDGSCEVNLEKARELASDSWAQDNVDYFVDCHCPGGEDLWVIGGTCAGTIGYFPVNYRQPGSIGTAGAILGGGHVDVVRSVLQMPCEYGGAAGLFGWTGGEDGRLCCWNSDENSTENNRSWTSSELVVKPPRNRKKNRHSPY >scaffold_403761.1 pep chromosome:v.1.0:4:23217850:23220129:1 gene:scaffold_403761.1 transcript:scaffold_403761.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7LHC9] MYARNPPINGSQSAQAPDWTTPGDADTTGLEESMWRLGLGCESYPERPGAPDCAYYMRTGVCGYGNRCRYNHPRDRASVEAAVRATGQYPERLGEPPCQFYLKTGTCKFGASCKFHHPKNAGGSMTHVPLNIYGYPVREGDNECSYYLKTGQCKFGITCKFHHPQPAGTTVPPPPPASAPQFYPSVQSLMPDQYGGPSSSLRVARTLLPGSYMQGAYGPMLLTPGVVPIPGWSPYSAPVSPALSPGAQHAVGATSLYGVTQLSSTTPSLPGVYPSLSSPTGVIQKEQAFPERPGEPECQYYLKTGDCKFGTSCKFHHPRDRVPPRANCILSPIGLPLRPGVQRCTFYVQNGFCKFGSTCKFDHPMGTIRYNPSASSLADAPVAPYPVSSLLGALAAAPSSSSTELITGGAKDPYLTGVPTSRSTSNISAGLILSQSGGSIPFSDLQLSSQTSLPLTGSRITRQGREIRRSF >scaffold_403763.1 pep chromosome:v.1.0:4:23225506:23225859:1 gene:scaffold_403763.1 transcript:scaffold_403763.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7LHD1] MERVRDLASKKAAVIFTKSSCCMCHSIKTLFYELGASPAIHELDKDPQGRDMERALFRVFGSNPAVPAVFVGGRYVGSAKDVISFHVDGSLKQMLKDSKAIWL >scaffold_403764.1 pep chromosome:v.1.0:4:23227659:23228008:1 gene:scaffold_403764.1 transcript:scaffold_403764.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7LHD2] MDKVMRMSSEKGVVIFTKSSCCLCYAVQILFRDLRVQPTIHEIDNDPDCREIEKALLRLGCSTAVPAVFVGGKLVGSTNEVMSLHLSGSLVPLIKPYQSILY >scaffold_403766.1 pep chromosome:v.1.0:4:23233894:23234259:1 gene:scaffold_403766.1 transcript:scaffold_403766.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LHD4] MGPGGPGGPGGPGGPGGPGFLPPGGPGFLPPGGPGFFPPIGGFFSGFSDMICSCLSCLCCCWLLRDCFGGSPPQGPPLLPPYN >scaffold_403768.1 pep chromosome:v.1.0:4:23237777:23238133:1 gene:scaffold_403768.1 transcript:scaffold_403768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLVMLGRANSVFSLSALSNLTKKITSREDQLQKTDTVGWWVRGAVSIALAYNKFKRSGHS >scaffold_403770.1 pep chromosome:v.1.0:4:23239574:23240379:1 gene:scaffold_403770.1 transcript:scaffold_403770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEEKSRWWWFESHKSSKHSQWLQSTLAELDAKTKAMLKLIEGNADSFAQRAETYYKKRPELICFVEDFYRAHRSLAEKFDHLKSSDYGSRSSKFPQQSMDSVSDSNSHFQDAHSEIADPEDDELDSPFASHKEDETWNLEQERSKLIEESDALRKQLLDKDEEKREVIRQLSLTLETLKDENLTLKRRLAHHSLKRRTFLEFKPLDKFFGKLFYIVCDGNKVV >scaffold_403771.1 pep chromosome:v.1.0:4:23240804:23241266:-1 gene:scaffold_403771.1 transcript:scaffold_403771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSLFTAFTVLSLCLHTSTSEFLELQLSTISAAPSFLPEAPSSFSASPPAISPDTSPLFPTPGSREMSPSPSESSIMPTIPSSLSPPNPDAVSHDPLLEFSPVGSPLPASSSVCLVSSQLSSLLLVLLMLLLAFCSIF >scaffold_403776.1 pep chromosome:v.1.0:4:23256561:23261921:1 gene:scaffold_403776.1 transcript:scaffold_403776.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister-chromatide cohesion protein 3 [Source:UniProtKB/TrEMBL;Acc:D7LHE4] MEDSPQGLKRSRDRDQDDGSGGENQERSSDQIELDFQETRPKPKRSRTHPPPQQNLIEVVKGNGDLISKSVKIWVERYEHSPRLATTELLSMLFEACGAKYSIKEDLLDETDVDDVVVALVHLARTGDIEDYQSSRKKELKNFKENLVSFWNDLIIECQNGPLFDKVLFDKCMDYIIALSCTPPRVYRQTATLMGLQLVTSFISVANTLGSQRETTQRQLNAESKKRADGPRVESLNKRLSVTHEQITTLEDMMRKIFTGLFVHRYRDIDNDIRMSCIQSLGIWILSYPSLFLQDLYLKYLGWTLNDKNAGVRKASLLALRNLYETDENVPTLGLFTERFSNRMIEMADDVDMSAAVCAIGLVKQLLRHQLIPDDDLGPLYDLLIDQPQEIRRAIGELVYDHLIAQKFNSSPSSLTGHDDSSSEIHIFRMLQILREFSTDPILSVYVIDDVWEYMKAMKDWKCIISMLLDQNPRTGSTTEEDSTNLIRLLFASIRKAVGEKIIPSTDNRKQYHSKAQREMFENNKKDITVAMMKNYPQLLRKFMADKAKVSSLVEIIMFMKLELYSLKRQEQSFKAAVRLIKDAFFKHGEKEALRSCVKAITFCASESKGELQDFSRGKLKDLEDELLDKLTSAIREVKDGNDEYSLLVNLKRLYELQLSKPVLVESMFDEIALTLHNFRNLDEEVICFLLINMYMYLAWSLHSIINCEAISEASLSSLISKRDTLFEELSYFLNGIEESRKYGNQLSLRICAILAETWCLFRKSNYDSSKLERLGYCPDSVFLEKFWKLCAEIFNTSDETDEEDENKEYIEETNRDVAVIGACKLVTSDVVPKDYLGPEIISHFGMHGPGVTGIIKNLITCLRKKEDDISNIYLESLKRAYHRYSSEVSSGSEESRVEKCLEVCRELAGGLSGMYIGAARNKYRLEILSVVKEGVEFAFRDAPKQLLFLEVAILPFATRLSVPDIIDIKKDVQGRIVHVNTDEDPSGWRPCFTFLETLEEKCLKNEDLQDDKEAATVRRRGRPRKRPETERKRLFDEQSGSDEDESISGGSDREDKLDEDAPLIETIRSAARRKALRGERSKGH >scaffold_403777.1 pep chromosome:v.1.0:4:23262095:23263725:-1 gene:scaffold_403777.1 transcript:scaffold_403777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELRVRLNDHQVSKVFPVKPKSTAKPVSKSETPESRYWSSFKNHSIPDLVSSVSALAFSPVHPHSLAVAHSATVSLFSSHSLSSSRRFSFRDVVSSVCFRSDGALFAACDLSGVVQVFDIKERMALRTLRSHSAPARFVKYPFQDKLHLVSAGDDGVVKYWDVAGATVISDLLGHKDYVRCGDCSPVNDSMLVTGSYDHTVKLWDTRVDKSKWIAEINHGLPVEDVVYLPSGGMIATAGGNTVKVWDLIGGGKMVCSMESHNKTVTSLCVGRMESAETRLVSVALDGYMKVFDYGRAKVTFSMRFPAPLMSLGLSPDGSTRVIGGSNGMVFAGKKKLRDVVGEKKSLSLWSVRSQVDETRRRALRPTYFRYFQRGQSEKPSEEDFLVKEKKGLKLTRHDKLLKKFRHKEALVSVLEEKKPANVVAVMEELVARRKLMKCVSNMEEGELGMLLGFLQRYCTVQRYSGLLMGLTKKVLETRAEDIKGKNEFTGLLRNLKREVNQEIRIQQSLLEIQGVIAPLMRIAGRS >scaffold_403780.1 pep chromosome:v.1.0:4:23267751:23269633:1 gene:scaffold_403780.1 transcript:scaffold_403780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLRGIAVVFILLTSFSAAQNSTCPLDFSVLQPFRRPKPDGATTCQYLLQGLRLLYSHHLRQTGSFLPPPDSAPSCWAALQSSIAGFLPRFDVRSTCGFQTPWISQGCMNITTRSQFESLIPNPSLTTTAMRCNTSLESNTPCASCTQSLSAFQPFLTGPSLGNVSDCASFPSIYAAAFANSFGPTDQGTAKCLFQLDLASPSSGNGKLITILVSLSVLLVASALVTTACFWYCRRKKNKPRDTSLEAGTQSRLDSMSESTTLVKFSFDEIKKATNNFSRHNIIGRGGYGNVFKGVLPDGTQVAFKRFKNCSAGGDANFAHEVEVIASIRHVNLLALRGYCTATTPYEGHQRIIVCDLVSNGSLHDHLFGDLEAQLPWPLRQRIALGMARGLAYLHYGAQPSIIHRDIKASNILLDERFEAKVADFGLAKFNPEGMTHMSTRVAGTMGYVAPEYALYGQLTEKSDVYSFGVVLLELLSRRKAIVTDEEGQPVSVADWAWSLVREGQTLDVVEDGMPEKGPPEVLEKYVLIAVLCSHPQLHARPTMDQVVKMLESNEFTVISIPQRPIPLVACREEIDRSVSSSSGSGKLTSPTGYQAFSFGGDGPSGNTNTT >scaffold_403789.1 pep chromosome:v.1.0:4:23296895:23297101:-1 gene:scaffold_403789.1 transcript:scaffold_403789.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LHF7] MVSHVPPLAEAKMGYCNLKKQSKDYKTWQLLQADLHMCCLVFQSKSVGLFTYL >scaffold_40800001.1 pep scaffold:JGI8X:scaffold_408:231:432:-1 gene:scaffold_40800001.1 transcript:scaffold_40800001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXH4] MSALVRFFSVLSRITSDQASSDSLCNQIILPAVSHDVIVPIFFLFVFHTKNIF >scaffold_4100004.1 pep scaffold:JGI8X:scaffold_41:72445:74708:1 gene:scaffold_4100004.1 transcript:scaffold_4100004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTGLQSHLADPMYHQYARFSENADSFDLLNDPSMDRSYMGNSYMNMLELQRAYLGAQKSQYGLPYKSGSPNSHSYYGSPTFGSNMSYPGSPLAHPGMPNSLMSPYSPMRRGEVNMRYPSATRNYSGGVMGSWHMDASLDEGFGSSMLEEFKSNKTRGFELSEIAGHVVEFSSDQYGSRFIQQKLETATTDEKNMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPPQRRELGEKLIDNVLPLSLQMYGCRVIQKAIEVVDLDQKIKMVKELDGHVMRCVRDQNGNHVVQKCIECVPEENIEFIISTFFGHVVTLSTHPYGCRVIQRVLEHCHDPDTQSKVMEEILSTVSMLAQDQYGNYVVQHVLEHGKPDERTVIIKELAGKIVQMSQQKFASNVVEKCLTFGGPEERELLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILTRIKVHLNALKKYTYGKHIVARVEKLVAAGERRMALQSLTQPLVA >scaffold_4100005.1 pep scaffold:JGI8X:scaffold_41:78395:78674:-1 gene:scaffold_4100005.1 transcript:scaffold_4100005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGVVHINVGSIYGATKGAMNQLAKNLACEWASDNIRVNSVCPWFIATPLANNVRQ >scaffold_4100006.1 pep scaffold:JGI8X:scaffold_41:81898:82554:1 gene:scaffold_4100006.1 transcript:scaffold_4100006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTTPSLSLSNFRPRFQHPVKPHHISPLSWSVSRRKILSSRVLRVHKEKTQLWRVSATPEEISQEIVSSDSSSEAIVSSGGQDGVALIIQVLLFVAFLALTVLTIGVVYIGVTEFLGKREREKFEKDEAAKKSKKGGKKKAMRAKAGPRGFGQKIEDDDIDIDLE >scaffold_41700001.1 pep scaffold:JGI8X:scaffold_417:3520:3822:1 gene:scaffold_41700001.1 transcript:scaffold_41700001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQRLWLFRIIFIVTYISHASCLPDKAGYYSFMKDATSAPMFARFDYIVIGGGTSGCSX >scaffold_41700002.1 pep scaffold:JGI8X:scaffold_417:9238:10872:-1 gene:scaffold_41700002.1 transcript:scaffold_41700002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEAAYEWVEKKLVFKPLVMGWQSALRDGLLEAGVVPYNGFTFDHIVGTKIGGTIFDPAGHRHSAANLLEYANPDTIVVYLHALVHKILFTTKGRSRPKACGVIYQDANGVFHKVKLAKNAMSEVILCAGAIGSPQLLMLSGVGPKSHLEAHGVDPVIDQPMVGQGMGDNPMNLVLVPSPQLVELSLVEVVGITKFYDFIEGGSGLSLSQNLTRRFLDSNLNILNETLSTQSIVDFFKSLDLPLNMMENAGLIFHKVDGPVSRGYLELRNKNPDENPSVTFNYYQEPEDLERCVKGLNTIIKVIKSKAFLKYKYPNETVRGLLNRTLSLPINLRPKHVSSKSNLTQFCIDTVMTIWHYHGGCQVGRVVDKNYKVLGIDALRVIDGSTFLKSPGTNPQATVMMLGRYVGQKILRERAAFREKDYDYLIVSPTKDETSI >scaffold_42800001.1 pep scaffold:JGI8X:scaffold_428:1992:3622:-1 gene:scaffold_42800001.1 transcript:scaffold_42800001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFSPNLDFDDSIFCQPRKKNRNKNPNDVQERFNDFVDKAFALKGSENINKFSLKLSRTYKEKLYNIDRWICNALEQGISEFHLDIKPTWYGWHDLPSEVFTSTTLVKLSLGTTLRCQGLPSDTSLPALKILFLDSILFEEDQSFDVFLAACPALEDLTIHYKVYQGNSYVVSSPGSIYLRAISRIISLDTPNVVNFYYSDYILESPQCRWDSRTKATFDLYFCNDDKHYVQNGAEVTDLITGIRNVKTLHLTSSTVEVILVCLPVFNNLVDLVFLSKKEGWKLLLPRLLQHSPNLKTLVLSYQGYASELKHIRHFLLKMECLEVVQIYV >scaffold_42900001.1 pep scaffold:JGI8X:scaffold_429:2038:2480:1 gene:scaffold_42900001.1 transcript:scaffold_42900001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGGCALVLQTLAAGFKLDPLSNRVKESELCAFYLLRQQHLSLLSLWNGTLVNPSLNQSENALGSFVLFEDVKSAVSKQISLNKEIQEVSDDAKERDL >scaffold_4300004.1 pep scaffold:JGI8X:scaffold_43:17485:17888:-1 gene:scaffold_4300004.1 transcript:scaffold_4300004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIFFFITLAVLVSSCASNIMTKSIPEEKIQFSNPPLSSDIDPADEHVGHSPDDMKIFFCQECAYHCLEKRKNVFGCENSICRCTFDDIL >scaffold_4300005.1 pep scaffold:JGI8X:scaffold_43:42892:43326:-1 gene:scaffold_4300005.1 transcript:scaffold_4300005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEPIRGGESEPDQRKSGTPRLYIKELVMRNFKSYAGEQRVGPFHKSFSAVVDLKGAYHYLRIRCTVTVKQLLGRSPPX >scaffold_4300007.1 pep scaffold:JGI8X:scaffold_43:50591:52164:-1 gene:scaffold_4300007.1 transcript:scaffold_4300007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLSHHGSLSFLPSRRNSVKQNRGGDCVFLPSRRKVRYDSLVVVAATSSGQSIDAPLVPRSAQGRFLSTVLVKKRQLFHFAVADLLKQLADDKEASLSRMFLSSGSDEASLHRRIAQLKESDCQTAIEDIMYMLILYKFSEIRVPLVPKLPSCIYNGRLEISPSKDWELESIHSFDVLELIKEHSNAVISLRVNSSLTDDCATTEIDKNHLSKVYTASVLYGYFLKSASLRHQLECSLSQHHGSFTKQLRHYISEFDPKILQRCAKPRSHEAKSLIEKQSLALFGPEESSKESIVTSFSDLKRLLLEAVAFGTFLWDTEEYVDGAFKLKENENAEEEENSSV >scaffold_4300008.1 pep scaffold:JGI8X:scaffold_43:55350:56549:1 gene:scaffold_4300008.1 transcript:scaffold_4300008.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7MM39] MASKMSLHCSLFLIFFSLISLQGFAKKTGDVSELQIGVKFKPKTCEVQAHKGDTIKVHYRGKLTDGTVFDSSFERGDPFEFKLGSGQVIKGWDQGLLGACVGEKRKLKIPAKLGYGEQGSPPTIPGGATLIFDTELIAVNEKPAGGEEDGGDEDDEGYGNDEL >scaffold_43300001.1 pep scaffold:JGI8X:scaffold_433:3478:3841:-1 gene:scaffold_43300001.1 transcript:scaffold_43300001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLRDGNNPDLRRRVLTGEISPEKLITLSAEEMASDKRKQENNHIKEKALFDCERGLAAKASTEQFKCGRCGQRKCTYYQMQTRSADEPMTTYVTCVNCDNHWKFC >scaffold_43300002.1 pep scaffold:JGI8X:scaffold_433:6385:7235:1 gene:scaffold_43300002.1 transcript:scaffold_43300002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLATLDIFAGCGGLSYGLEKAVAQAFKQNHPDATVFVDNCNVILRAIMEKCGDVDDCISTVEAAELAAKLDDNQKSTLPLPGQVDFINGGPPCQGFSGMNRFSDRSWSKVQCEMILAFLSFADYFRPKYFLLENVKKIVSFNNGQTFQLTIASLLEMGYQKS >scaffold_43500001.1 pep scaffold:JGI8X:scaffold_435:447:3901:-1 gene:scaffold_43500001.1 transcript:scaffold_43500001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCISSLSNKDVKMEKPFANTSAGKIFPFFELSDVGKRTPPWASSETPRNLSRPSLRDIQMQEIDAPSAIRSIQIEEKAMKDLGRFYSSVKGTVRTKNLTVKMKLFDAPCHLQDKRVFDEASQLISALFSCRCYQLRSQWNLRDWDLVKEMGETYPSVVPCFGLHPCDTDESGAETENFLVDAIESSDSPLLGNETARDEKYFGKRRKIASWSIALVCIGVLGLASAASAERLPSFPRFTICGVSAVILLGSLITLGYIDEDEERHNFGHKGGFLCPFVPYLPVLCILINTYLIINIGWDMDQGLGMATYWKHDLSLLWPISQLTEQCGLRSKDDLYQKNNRSSCLRLVVQCSS >scaffold_43900001.1 pep scaffold:JGI8X:scaffold_439:3900:4512:1 gene:scaffold_43900001.1 transcript:scaffold_43900001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXJ4] MMVVWEVPAVASQALLSFALEETGENGEGKCIAEKQTDRLDLELSKAEAKQSNEREKQVVY >scaffold_43900002.1 pep scaffold:JGI8X:scaffold_439:4668:5885:-1 gene:scaffold_43900002.1 transcript:scaffold_43900002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPELTKDSVEEILCRVPATNLKRLRCTCKQWNRLFKDDERFAREHCDKAAKEVLALMLTEKYRISPMSVNLNGDVPSVELKSFTLCTHEGSLRYGSQIVVWNPFTGQTRWIESGNRCKEGKIFVLGYYYQDKNKSCSKSYKVLCLSPFGNDTEIYELNSDSWRTIPDGPGYYISDSGHMVSLKGNTYWFAREIAKPHLGISLLGFDFQTEKSSSFCLPLPYQGPLYEILSLSVVREEKLSVFIQPVYTSKTEIWVTSKIDNTTTKGAVSWTKVLSLEKKRKITDLQIKDPDLQITDQEKKIVLCCERWMVMEDETKSFDKIFILGEDNKVIEVSFGVGITEGCWPAILNYVPSLARVEPAGGKRKRGD >scaffold_44300001.1 pep scaffold:JGI8X:scaffold_443:3697:4092:-1 gene:scaffold_44300001.1 transcript:scaffold_44300001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTFIVDGFYLNLLDWGVLAIALGHTLYLWDASTGVTIDEEKGPFNWAPDGRHVHLWDSASNRNQLRTLKSRITGMFLLLEE >scaffold_44300002.1 pep scaffold:JGI8X:scaffold_443:4312:4540:1 gene:scaffold_44300002.1 transcript:scaffold_44300002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXJ7] MYTEGNEIRKKLVFNTDLFNRHLYFADGSSTATDDDGTRRPEASACSRSTEIYVVPAGAEKN >scaffold_44600001.1 pep scaffold:JGI8X:scaffold_446:488:1939:1 gene:scaffold_44600001.1 transcript:scaffold_44600001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVAISEFGRLEIARSSTSRLRMKKLRAWLKKNHQLAYGFDFGCSSSEFKIVRPRLRDDYDHLTLYARLGLYCYNFHKGTSLKFVRWEKYNTLFTCDLDYYITLEAMDPASNCVFSFKFCSRSSLFKGNKPVDDYWDRDEEIVPFYTGEMPKWLSDEALTSDNKKYYVVQESELHENEWLHLFIEIAFYSKAKTNPELVEINKVVVETKEDYISEAREKLHAENAIFYISYKYTGVSSSGLAGDHKAIIRKTMDGITEHMSLEVASEHG >scaffold_44600002.1 pep scaffold:JGI8X:scaffold_446:2703:3091:-1 gene:scaffold_44600002.1 transcript:scaffold_44600002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHGGLFVGNVTMLSSLATPEIIDLIESRLEELVGEMPLKVCYPAIGSHEWRIVTGCDPKNTRWSYHNNLLSILHIYLDSKVTFFHCSVLVVQCLYGY >scaffold_44600003.1 pep scaffold:JGI8X:scaffold_446:3110:3713:-1 gene:scaffold_44600003.1 transcript:scaffold_44600003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSFKVSHDPVRKLRADFCKSAIGRVASVDSGDWWSTLLRAYTKSIECEKSIQLILSMCLSEGFDILHTLLCDDGCCLIDHSTGVYGYLIEIQALFFMALRCAVLLLLKEDGEGTKMVEQIIKQLHALRSYFWLDLKQHNDIYQYKTEE >scaffold_44600004.1 pep scaffold:JGI8X:scaffold_446:4577:4766:-1 gene:scaffold_44600004.1 transcript:scaffold_44600004.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MXK1] MQTRDLLEETNLKQRRLETRERSKSKESLRNETKRTRASEREMVRRRWV >scaffold_44600005.1 pep scaffold:JGI8X:scaffold_446:6246:6514:1 gene:scaffold_44600005.1 transcript:scaffold_44600005.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXK2] MPPLPDSAQAPEVVHAEPPDEIPKSPPSLELVSEETHMPPLPDSSQAPEAVHAEPPGEIPKSLPSLELHVISGQF >scaffold_45000003.1 pep scaffold:JGI8X:scaffold_450:7401:7672:-1 gene:scaffold_45000003.1 transcript:scaffold_45000003.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LSB7] MARRTSNEAIENVKNAGNGIAVSKAGYTGRRDGMARWDGEMGRQNRTTSGSISRQGKPDGQNHRSKSLSLKGLER >scaffold_45000004.1 pep scaffold:JGI8X:scaffold_450:7702:7928:-1 gene:scaffold_45000004.1 transcript:scaffold_45000004.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSB8] MIIEDERSVGAKDVDGGLNVTVFKRVSDPANDQSGVIRRRGNRRTGRRSMKEVAGKQSTTR >scaffold_45100002.1 pep scaffold:JGI8X:scaffold_451:2745:2937:-1 gene:scaffold_45100002.1 transcript:scaffold_45100002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPEHVSSAHKRLSVSFLVSLMVICARHASRVSKKLKPKTSRKQTHLX >scaffold_45300002.1 pep scaffold:JGI8X:scaffold_453:3907:5072:-1 gene:scaffold_45300002.1 transcript:scaffold_45300002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXK9] MAGSSWVVSQKPAHLLSSTLLTALGLKIPPEPTVNPPQPPNPPVPPDPPDSLPRRRCLEALVTTSLSHSLHLILDAALAGFSLNKDQICSLFSEHPWIPMSPLPFNLTSEGLVSITLLCIVQWYRNDPLLVPFYLFMRFVPQYEDDRLIRFARSLVSMDVLSLIESMPLCRQSLATVGFGFGVNLFVSGYLSDHFYGDFDFPCFKDGVRLVLTFSVVKDGGKFEVLCGKIFHLFQQPVQMIEEHQDTFACIPNASWTSMSIGYGMGWFMEDPLKASIQFGSSLRPFVFFALVEELALKVAIIAALAVGVSRMAYYSDWQEFPLLLNVSGHAFAVDDFIADIKRMKTKTLCLRFLLLLRFENAFASCIFSSFYGV >scaffold_45500001.1 pep scaffold:JGI8X:scaffold_455:5171:5408:-1 gene:scaffold_45500001.1 transcript:scaffold_45500001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXL1] MAKTRGKPRGCSSMARVRQRVQTQIYGDVIKAHIQPIYKKEPVLFLNSGFHDRVSYRVGSKRGPS >scaffold_45500002.1 pep scaffold:JGI8X:scaffold_455:5456:5892:1 gene:scaffold_45500002.1 transcript:scaffold_45500002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLRFLGRRICNPAAEKVRLSSSLSEGGDFPVLKGQKAAQDLSKDTLKYHEITKEKEGQHKEAKKEFKIYRWNPDKPNSKPFLQSFFVDLSSCGPMVIN >scaffold_45500003.1 pep scaffold:JGI8X:scaffold_455:6435:6946:1 gene:scaffold_45500003.1 transcript:scaffold_45500003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWLKTRKPPKDGREHRQSPKDRKKLDGLYECILCACCTTSCPSYWWNPEEFPGPAALLQAYRWISDSRDEFGEERLQAITENQTKVYRCRAIKNCTATCPKGLNPASAILKMKSKHFLSDPVVRTESL >scaffold_45700003.1 pep scaffold:JGI8X:scaffold_457:6178:6646:1 gene:scaffold_45700003.1 transcript:scaffold_45700003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRMPEGWPPWLISACGDSIKDLTPRRATTYEKLEKIGQGTYSNVYKAKNLLTGKIVVLKKVRFDNLEAESDSWLERFLCCDGLIILMFGYFPGFV >scaffold_45700004.1 pep scaffold:JGI8X:scaffold_457:7061:7266:1 gene:scaffold_45700004.1 transcript:scaffold_45700004.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7MXL7] MVMEIGFVPTYEDKDGDWMLVGDVPWDMFSSSCKRLGIMKGCSCSRLFLMIYRR >scaffold_46000001.1 pep scaffold:JGI8X:scaffold_460:184:1004:1 gene:scaffold_46000001.1 transcript:scaffold_46000001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLTHEEDYVVKAKNTEDNDDYNSILRPAFAVDGEPDFDSGPPEDGLEYLRRVRWEAKRIPKVKVAKVNGSKYREKEQSVYMPQISEIPKCPEHLLPVKEWEESLLSDFSHIRLIQGMDSVTRVSKLRKRICLVEKESGLESSDCKWVVALCASVDTPLDADTCACLX >scaffold_46300002.1 pep scaffold:JGI8X:scaffold_463:2196:3186:-1 gene:scaffold_46300002.1 transcript:scaffold_46300002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L23A [Source:UniProtKB/TrEMBL;Acc:D7LD50] MSPAKVDTTKKVDPKAKALKAAKAVKSGQTFKKKDKKIRTKVTFHRPKTLTKPRDGKYPRISATPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >scaffold_46800001.1 pep scaffold:JGI8X:scaffold_468:11:276:-1 gene:scaffold_46800001.1 transcript:scaffold_46800001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKFVVRHEDSSFDVDYDTEDGLQVSSSLTLFLFISINIIISRRLRCKSVLSCFSVGFTVSNLFSNFGSS >scaffold_47400001.1 pep scaffold:JGI8X:scaffold_474:1033:1422:1 gene:scaffold_47400001.1 transcript:scaffold_47400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFSSQITMLFIVVALVCVFVPVFSVEEAEAKSLWNTCLVKINPKCALDIIGVVFENGTISDPCCNDLVKEGKVCHDTLIKYIADKPMLIAHETQYLKKSADLWNHCVSISKSA >scaffold_4800001.1 pep scaffold:JGI8X:scaffold_48:2091:5490:-1 gene:scaffold_4800001.1 transcript:scaffold_4800001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MVY4] MTNLAASTCLHSCLLVLFLSSVSAVIYEDQQVYIVYMGSLSSRADYIPTSDHMSILQQVTGESSIEGRLVRSYKRSFNGFAARLTESERTLIAEMEGVVSVFPNKMLQLQTTTSWDFMGLKQGNNIKRNPAVESDTIIGVIDSGITPESLSFSDKGFGPPPKKWKGVCSGGKNFTCNNKLIGARDYTSEGTRDTSGHGTHTASTAAGNAVVDASFFGIGNGTVRGGVPASRIAAYKVCTPSGCSSEALLSAFDDAIADGVDLITISIGFTFASIFEDDPIAIGAFHAMDKGILTVSSAGNSGPNPTTVSHVAPWIFTVASSTTNRGFITKVVLGNGKTLVGRSVNAFDMKGKKYPLVYGKSAASSACDAKTAGLCAPACLNKSRVKGKILVCAGPSGFKIAKSVGAIAVISKSTRPDVAFTHHLPASDLQPKDFKSLVSYIESQDSPKAALLKTETIFNRTSPVVASFSSRGPNTIAVDILKPDITAPGVEILAAFSPDGEPSQDDTRHVKYSVSSGTSMSCPHVAGVAAYVKTFHPKWSPSMIQSAIMTTAWTVKANGRGIASTEFAYGSGHVNPIAALNPGLVYELDKADHIAFLCGMNYTSKTLRIISGDTVKCSKKNKILPRNLNYPSMSAKLSGTDSTFTVTFNRTLTNLGTPNSTYKSKVVAGHGSKLGIKVTPSVLYFKTMNEKQSFRVTVTGRDV >scaffold_4800002.1 pep scaffold:JGI8X:scaffold_48:10298:10535:-1 gene:scaffold_4800002.1 transcript:scaffold_4800002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAKVFQIITTSASVVQSSANTFRKASSSNKFAVLDLASDVVLPDDSEGDFLPGRMIQMRISF >scaffold_4800003.1 pep scaffold:JGI8X:scaffold_48:34348:35095:-1 gene:scaffold_4800003.1 transcript:scaffold_4800003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRAISSCLFSCLFALFLNSILGVTNDPQDQQVYVVYMGSLPSSEDYTPMSVHMNILQEVTGEIESSIENRLVRSYKRSFNGFAARLTESEREKVAKMEGVVSVFPNMNLKLQTTTSWDFMGLMEGKRTKX >scaffold_4800006.1 pep scaffold:JGI8X:scaffold_48:41515:41710:1 gene:scaffold_4800006.1 transcript:scaffold_4800006.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MR81] MVSEYLKTLFIFFLLLPFMRYKSVATTACEGQGEMITKLIISRFHNADQRT >scaffold_4800008.1 pep scaffold:JGI8X:scaffold_48:46482:47484:-1 gene:scaffold_4800008.1 transcript:scaffold_4800008.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 family protein [Source:UniProtKB/TrEMBL;Acc:D7MR79] MRETVKLISMEGFEFVIDREAAMVSQTIRSMLTSPGGFSESKDGVVTFPDISTTILEKICQYFYWSLQYSRGKETEFHIEPELTLELMMAANYLHT >scaffold_4800010.1 pep scaffold:JGI8X:scaffold_48:54548:55385:1 gene:scaffold_4800010.1 transcript:scaffold_4800010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich extensin-like family protein [Source:TAIR;Acc:AT5G59170](projected from arabidopsis_thaliana,AT5G59170) MKSFVATFALAVLVTQGSIFSLCLASSSSTNSFSNWFHHWPPFKWRPKFPYSPPTPPPTTYPPPEQYPPPIKKYPPPEQYPPPIKKYPPPEQYPPPIKKYPPSPYETYPHPPPIKTYPHPPVKYPPPIKTYPHPPVKYPPPIKTYPHPPVKYPPPIKTYPHPPVKYPPPEQYPPPIKKYPPPTYPHPPVKYPPPIKTYPHPPVKYPPPPYKTYPHPPIKTYPPPKECPPPPVHYPFPPIKKYPPPVVYPSPPYKKYPPPSYHLTXX >scaffold_4800011.1 pep scaffold:JGI8X:scaffold_48:67944:69161:-1 gene:scaffold_4800011.1 transcript:scaffold_4800011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDAAVVLREDLSAQVRTLKRRVKEAEEEQYRAEEDAASLRAELNSIQQQAMGTSFTGLSPMGVSSDQLPILEKEMANLKLELQKESVLRQQEQQRLAEEQTRVASLMSEKQELEQKISVLSSRASEVSESGQKALSVEDKEKLEKQLHDMAVALERLESSRQKLLMEIDNQSSEIERLFEENSNLSASYQESINVSNQWENQVSVSHLFLL >scaffold_48600002.1 pep scaffold:JGI8X:scaffold_486:6176:6455:1 gene:scaffold_48600002.1 transcript:scaffold_48600002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MXP3] MFPVLAMLSMLSVLEFASMLSMLELTMTMTTKAMSRAMAIPSMSYSMPVRVVRGMTNMVSSIGCCSPCYHASDSTTRMS >scaffold_48700001.1 pep scaffold:JGI8X:scaffold_487:97:541:1 gene:scaffold_48700001.1 transcript:scaffold_48700001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDIDLEPIALMTEGFSGADLQALLSDAQLAAVHEYLNREDKPETGTTPIITDPLLKSIASKTKPSVSETEKQKLYDIYSQFLDSRKSTREAKGKRATLA >scaffold_48700003.1 pep scaffold:JGI8X:scaffold_487:3059:3396:-1 gene:scaffold_48700003.1 transcript:scaffold_48700003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGHSVANHLLQAESDDTGNHVLISNMYAADAKWEGVMELRNLMKKREMKKPAGCSWLEVDGKRDVFVSGDCSHPRRDSIFDLVNALYLQMKEPVVF >scaffold_49600001.1 pep scaffold:JGI8X:scaffold_496:1127:1986:-1 gene:scaffold_49600001.1 transcript:scaffold_49600001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7MXQ6] MAKRIFGFFLLAITTFTVLLGCCSATVHKVGDSDGWAPKEDDNWTDREEFHVGDSLVFEYDRNFNDVTQVSGGLEYEFCDSSFPKAVYNTGHDVVTLKEPGSYYFITSNHTQCTSGQKLDVLVTHDPSSPIPPPSPSKILPSGNIYKVGDSKRWSVYDSEFYYQWSKEKQFHVGDSLLFEYNNEVNDVFEISGDLEFLYCDPISPVAVHKTGHDLIKLTEPGIHYFISSEPGHCEAGLKLQVVVGPTANVPKLSPLERLTRWLNSFTFNHH >scaffold_49600002.1 pep scaffold:JGI8X:scaffold_496:5704:6563:-1 gene:scaffold_49600002.1 transcript:scaffold_49600002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7M4U9] MVKRIFGFFLLVITTFTVLLGCFSATVHKVGDSDGWTPKEDDNWTDSEEFHVGDSLIFEYDRNFNDVTQVSGALEYEFCDSSFPKAVYNTGHDVVTLKEPGSYYFITSNHTQCTSGQRLDVLVTHDPSSPIPPPSPSKILPSGNIYKVGDSKRWSVYDSEFYYQWSKEKQFHVGDSLLFEYNNEVNDVFEISGDLEFLYCDPISPVAVHKTGHDLIKLTEPGIHYFISSEPGHCEAGLKLQVVVGPTANVPKLSPLERLTRWLNSFTFNHH >scaffold_50000001.1 pep scaffold:JGI8X:scaffold_500:448:763:-1 gene:scaffold_50000001.1 transcript:scaffold_50000001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQWEEEVDEEVVIRCYDDSRDRIQMGRVEKSCEIGHDQQTLLFTDTLGDPICRIRNSPFFIMLVKISLSFSLCSLKDYSLFLNKNHTKI >scaffold_500002.1 pep chromosome:v.1.0:5:50931:51138:-1 gene:scaffold_500002.1 transcript:scaffold_500002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQZ4] MSRTGQTALHLVWSLMAPPVVKLVSWMAFTCPIGHPQSYPVQKLEPSLNQICGK >scaffold_500022.1 pep chromosome:v.1.0:5:145408:148138:1 gene:scaffold_500022.1 transcript:scaffold_500022.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfhydryl oxidase [Source:UniProtKB/TrEMBL;Acc:D7LR14] MSLVHLLLFAGLVIAASSSSPGSRLILREIADQKDKAVELNTTNFDSVLKDTPAKYAVVEFFAHWCPACRNYKPHYEKVARLFNGPDAIHPGIVLMTRVDCAMKTNTNLCDKFSVSHYPMLFWGPPIKFVSGSWEPKKDKSEILVIDDGRTAERLLNWINKQIGSSYGLDDQKFENEHALSNITNYNQISQAVYDVEEATAEAFDIILAHKAIKSSETGASFLRFIQLLAAHHLSRRCRRGAAEILVNYDDLCPSGNCSYEKSGGNDTLGNFPICGKDVPRGYYMFCRGSKNDTRGFSCGLWVLMHSLSVRIEDGESHFAFTAICDFVNNFFMCDECRLHFNDMCLSVKTPFKKARDFVLWVWSTHNKVNERLMKDEASLGTGDPKFPKIIWPPKELCPLCYLSSNQKSIEWDHDHVYKFLKNYYGPKLVSLYKEKSVSGSKEETVAATEDLTGATNALVVPIGAALAIAIASCAFGALACYWRTQQKNRKPRRR >scaffold_500026.1 pep chromosome:v.1.0:5:157726:158232:-1 gene:scaffold_500026.1 transcript:scaffold_500026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLLSSPASISPLRFHPLVLSQRTTTSQKKKTMTMSVSASGKDHYYGGGRLVDENMIVLRKRIHEMKMVERNYEPPSHWMDWEKRFYSSYDSVICDSVGLLQSFLMNSRPNVAIATLLFLLVSIPVSSTAIAFRLLDLFHWLLAVATSALVT >scaffold_500028.1 pep chromosome:v.1.0:5:165377:167795:-1 gene:scaffold_500028.1 transcript:scaffold_500028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLETFPCVPSTERGRGILISGDPKSDTILYCNGRSVFIRSLRQPQDVHVYGEHGYAVTVARYSPNGEWIASADVSGTVRVWGTHNDFVLKNEFRVLAGRIDDLQWSFDGLRIVASGDGKGKSLVRSFALDYLEMLEWSLGLDQFAFVIYMYRWDSGNTMGDFDGHSRRVLSCAFKPTRPFRIATCGEDFLVNFYDGPPFKFHSSHREHSNFVNCIRYSPDGTKFITVSSDKKGMIYDGKTGDKIGELASEDGHKGSIYAVSWSPDSKRVLTVSADKSAKVWEVAEDGTVGSVIKTLTFMESGGAEDMLVGCLWQNDHLITVSLGGTMSLFSADDMDKPPLLLSGHIKNVTSLAVLGANQKTILSCSYDGLIVKWLQGVGYSCKLQMKDTKIKRLAATESSIFLSGFDNKVWRIPLTDNEFGAAAHVDIGHQPLDISIAVDSPESTSLVSFDSGVVLLNGLNILSKIDLGFAVAASVISPDGKEAIVGGQDGKLHIYSVSGDNSLKEEAVLEKHRGALTVIRYSPDLTMFASGDANREAVVWDRETKQVKLNNMLFHTARINCLAWSPNSTMVATGSIDTCVIVYEVDKPASSRITVRNAHLGGVNAVGFIDDCTVASSGEDASVRLWHIEPQ >scaffold_500031.1 pep chromosome:v.1.0:5:177343:179911:-1 gene:scaffold_500031.1 transcript:scaffold_500031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISYPNFIIRSESEGKGVFESGAWIPQGHPLSDVRKGINDGPVYLYAKVNGKKYVLAILSRKHFPLITFDLIMEKDFVLSHSMDEGVIHLTGYKTPPGYKTPEVEEEEVEARYVKSKTTVWWDIERCPLPHVYDASLVGPCIDRALQYLGYLGPISITAIGNLKHTPDHVLRALSSSGILVKHVPNGTSSIFAQLFAWKLQSRPPATFMLISDSPVRFDLYHSLESLQEKGYNILVVYRHKPQPGMITSFEWLLWESLLANTCNTQLTRKRQLLQESARFSCTLCHVAFQSRKYFETHLKGEKHEMNTTLENVVVNPGADSSQYKGVVWWDIDRCPVPNGYDASLVGPRINQMLQSFGFYDPLTIIAIGSLRYTSSHVMRAISSSGIVSKHVPFGGPSIIEDVLTWANTNPPPAKIILVTSSSLMECMSPALYSLEEKGYNILLACTQTLPEGLNRYVNWRWEDLLTGNRPETRRQKDELSSCFICTTCDLSYKSYESFDIHMGGDEHAMRAFRIGYYYLNQEPGSGTRYSPAVKKLSKEGLNISSFLTKLMSEFIKSKLNKQVDEAGVVGSDSLTTATVKKQSKASF >scaffold_500034.1 pep chromosome:v.1.0:5:186981:188045:1 gene:scaffold_500034.1 transcript:scaffold_500034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKKKQRKTHRAHKVSNIPQAFKRKFNVNFTCTAMRRHQLSILFTKRRLQAMKAPTKVWWDIENQRIPANMEEEYIVQVGHRIIQEIRNLGYVGDVEIRAIGSVDRKLSERVKRCLHNPRSGVKLSFVGEEGLEVADAEIMKEMRAWLKETIKSGVPGNVLLIVGDKGYLALVEQTVRSGSNFFLSYDPLNGSPILKAMAKHFWSLRPLIGAPEERAEKPKWPKRNKTSRRKPMQ >scaffold_500039.1 pep chromosome:v.1.0:5:207894:208638:-1 gene:scaffold_500039.1 transcript:scaffold_500039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRGGKGKRGRGSKIPPQNRPTSSQPVRVESKTLNRRPRGLPSHYEFTPANRQAPLQDSEQEPIAQPPTGPTIRDYPPPTQLFQSGEGSPRGSGSTPFRASGSTQPRSGGSVHRLASNQSPAPVQREAYNQSPAPVQREASNQSPASVQPEASNPPPRQASNPPPRASVSHHSSQAQNSHAEEDEDEEAEANYERESTLPEDSLATLHELLLQPGREKFTTVISPTFEPGTYW >scaffold_500049.1 pep chromosome:v.1.0:5:257644:257999:-1 gene:scaffold_500049.1 transcript:scaffold_500049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACVGNEQDIRRRTRRRNGRPPPTTAVFFWGILIFAQFGFSSSALFATPSLPRKADPFHEMASFQAPKASVSFTGQRREEENRDDVYKDDKRLVHTGPNPLHN >scaffold_500051.1 pep chromosome:v.1.0:5:265032:265855:1 gene:scaffold_500051.1 transcript:scaffold_500051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGTYVTEVPLKGSAEKHYKRWRSENHLFPDAVGHHIQGVTIHDGEWDSHGAIKIWNYTCDGKPEVFKERREIDDENMAVTFRGLEGHVMEQLKVYDVIFQFIQKSPDDIICKITMIWEKQNDDMPEPSNYMKFVKSLVADMDDHVLKA >scaffold_500055.1 pep chromosome:v.1.0:5:275392:275588:1 gene:scaffold_500055.1 transcript:scaffold_500055.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLS4] MVETTLITREFMLSHCTTARASFLLRFSAASSFKFGFSKEGWYYRCIGVDP >scaffold_500058.1 pep chromosome:v.1.0:5:280025:280228:1 gene:scaffold_500058.1 transcript:scaffold_500058.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLS7] MVETAPITKEFMLSHCTTARASFLLRRLSAASSFKFGFCKEGWCYRCIGVDP >scaffold_500059.1 pep chromosome:v.1.0:5:280232:280761:1 gene:scaffold_500059.1 transcript:scaffold_500059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYNKQKRNQKLKTTKRFLVSINVLGSAGPIRFVVKEDETVANVIDYALKCYAREGRLPLLGSDSSFFLLYCPYYASQAFDPWGNIGSTGSRNFVLSKKLETQNLEDSVMTTTAIWRLKAWLNKSLGLMVPSH >scaffold_500070.1 pep chromosome:v.1.0:5:344453:347866:1 gene:scaffold_500070.1 transcript:scaffold_500070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLQSWRKAYGALKDSTKVGLVRVNSEYADLDVAIVKATNHVECPPKDRHLRKIFAATSVTRARADVAYCIHALSRRLHKTRNWTVALKTLIVIHRLLREGDPTFREELLNFSQRGRILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRVLKYDTEAERLPKSTPGQDKGYSRTRDLDGEELLEQLPALQQLLYRLIGCRPEGAANHNHVIQYALALVLKESFKVYCAINDGIINLIDKFFEMAKHEAITSLEIYKRAGQQARSLSDFYEACKGLELARNFQFPVLREPPQSFLTTMEEYIKEAPRVVDVPAEPLLLTYRPDDGLTAEDTEPSLEEREMLPSDDVVVPEETEPSPPPPSSANAQTFIDNDDLLGLNTSAPDASVIEDQNALALAIISTDANPSTPRFGQANDYDPTGWELALVTAPSSDISAATERKLAGGLDTLTLSSLYDDGAYIASQRPVYGAPAPNPFASHDPFASSNGTTPPPQQPAVNNPFGAYQPTYQHQSNPPTNNSNPFGDFGEFPVNPVSQQPNTTGYGDFAVNQHNNPFRSTGLI >scaffold_500072.1 pep chromosome:v.1.0:5:351498:352216:-1 gene:scaffold_500072.1 transcript:scaffold_500072.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7LLT9] MVGQSHPTTFLFFTTFLFICGSISAVRLPPRPNTTTTNDLDFIRTSCNATLYPDVCFTSLAGYASAVQDSPARLAKLAIGVSLSQAKSTAAYLSKLSRSAAVTSAARYSGDGHQTASAVIRDCVSNVEDAVDEMRGSLRQLRDMNGRGSVPAARRSVETFRFQMSNVQTWMSAALTDEDTCTDGFEDMDEGGLIKTTVCDRLEEVKRLTSNALALVNTYANNGAP >scaffold_500073.1 pep chromosome:v.1.0:5:357753:357999:-1 gene:scaffold_500073.1 transcript:scaffold_500073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTTYVEYLARYLVDFTRPELRCLSRKTHFCYPLLVKDALEFISKKGIERKDARPFCQTCEKDLQTY >scaffold_500074.1 pep chromosome:v.1.0:5:359615:360681:1 gene:scaffold_500074.1 transcript:scaffold_500074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEEEWRSVHEVLLIVLPYLHSLFELLSMTRVSRSLRDAIRDETALWTNFVIEPPLSSRLTDDILSEITSKSAGKLKTLILRQCLRVTDKGLRRVVDANPLITKIIVPGCSVLTPKGIMECVESLSKNNHKLETLHINGVNGFTKQHLLALSTYLSPEGTIDVEVCPKCDQVMMIPPCSRESCKQTNERKCRGCWLCIPRCAECSVCLVAPDTESQEAACGNDDVLCLECWLVLPKCRFCNKPYCTIHSTRRHEIAISDAASRPSFECEACYYRAGTNPYEVDYQI >scaffold_500079.1 pep chromosome:v.1.0:5:376303:377240:-1 gene:scaffold_500079.1 transcript:scaffold_500079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKMVCLASRTGRQFQRYNKGRRQVVGCVPYRFKLSNDGKISDEVEVLVISSQKGHALMFPKGGWELDESVEEAASRECLEEAGVLGNVEHQLGKWDFLSKSRGTYYEGLMFPMLVTEQLELWPEQHVRQRIWMNVTEAREACRDWWMKEALDVLVVRLSSPMNQPKEEKTISISIETMC >scaffold_500086.1 pep chromosome:v.1.0:5:410159:411881:-1 gene:scaffold_500086.1 transcript:scaffold_500086.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LLV1] MVKEALQFLSRLRKSSNLPDPVTCNKHIHQLINSNCGALSLKFLAYLVSRGYAPHRSSFNSVVSFVCKLGQVKFAVDIVHSMPRFGCEPDVISYNSLIDGHCRNGDIRSACLVLESLRASYGFTCKPDIVSFNTLFNGFSKMKMLDEVFVYMGVMLKCCSPNVVTYSTWIDMFCKSGELKLALKSFNCMKRDALFPNVVTFTCLIDGYCKAGDLEVVVSLYEEMRRVRMSLNVVTYTALIDGFCKKGEMQRAGGMYLRMLEDRVEPNSLVYTTIINGFFQRGDSDNAMKFLAKMLNQGMRLDITAYGVIISGLCGIGKLKEATEIVEDMEKGDLVPDMMIFTTMMNAYFKSGRMKAAVNMYHKLIERGFEPDVVALSTMIDGIAKNGQLHEAISYFCTEKANDVMYTVLIDALCKEEDFIEVERLFSKISEAGLVPDKFMYTSWIAGLCKQGNLVDAFKLKTKMVQEGLELDLFAYTTLIYGLTSKGLMVEARQVFDEMLRSGVCPDSAVFDLLIRAYEKEGNMTTASDLLLDMQTRGLARAVSDADCSKQCDNEVSCS >scaffold_500087.1 pep chromosome:v.1.0:5:413874:414142:-1 gene:scaffold_500087.1 transcript:scaffold_500087.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLV2] MARTLLYQRILDVCSSFAAPFVVDAPSGVTAPSIIDAPSVCRRCAFCRCAPTVVVAAPTDALAVASIEETNIINI >scaffold_500089.1 pep chromosome:v.1.0:5:426410:426774:1 gene:scaffold_500089.1 transcript:scaffold_500089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFSEIHIYELKGPNDQLCNQLLESIGLQIVHLKKLKPFLLDDHNTSQKSKFLINGEFGYKNYYKKMSKIDCFLQPDERALNKCRNHLLIDEFGYNHNKNKQEVQN >scaffold_500090.1 pep chromosome:v.1.0:5:441819:442327:-1 gene:scaffold_500090.1 transcript:scaffold_500090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKANKIGELVDSMLQSPHHGGFSVFSGVAERTREGNDLYREMIESSVIKLGEKQVFNCASDVKTDLM >scaffold_500093.1 pep chromosome:v.1.0:5:447887:449403:1 gene:scaffold_500093.1 transcript:scaffold_500093.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:D7LPV7] MAINDQFPSGLRILLVDDDTSCLFILEKMLLRLMYQVTICSQADVALTILRERKDSFDLVLSDVHMPGMNGYKLLQQVGLLELDLPVIMMSVDGRTTTVMTGINHGACDYLIKPIRPEELKNIWQHVVRRKCVKKKELRNSQALEDNKNSGSLETVFSVSECSEGSLMKRRKKKKKKRSVDREDNENDLDLLDPGNSKKSRVVWSIELHQQFVNAVNKLEIDKAVPKRILELMNVPGLSRENVASHLQKFRMYLKRLSGEASQNNDTESIKRYENIQALVSSGQLHPQTLAALYGQPIDNHHSASFGVWIPNDDHLGRSQNEHFSVDVSSASNRPVSVAVHGLSSSANFRQRGDVNNNTDHRITQGYGSIVNEESWILERSSRQRY >scaffold_500095.1 pep chromosome:v.1.0:5:453607:454118:-1 gene:scaffold_500095.1 transcript:scaffold_500095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRNAYSFLCSPSLPASFRQQRLLLATAPITIVSPRELFELGFFKLFSNNNFVLVDQAGTRVWGTKFPGVISSMLVVELLNDGNFIIKNTWDNDTAGFLWQSFDFPTDTLLRGMALGWNFHKGINRYLMA >scaffold_500111.1 pep chromosome:v.1.0:5:557228:558200:1 gene:scaffold_500111.1 transcript:scaffold_500111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWRRTAGAIKDKLSLITAADEKFTAAIIKATSHNDLSMNIENVQFIYRYLQSNPSSFKPIIRAVSLRVERTRNWTVALKCLMLLHGLFFSGIMAVDSIGRLPFDLSDFGKRKSRFSRTGRFNIFVRAYFSFLDERSILFYNKNMIRIEIIVKMQRIVDSLMRIKPIGETPLVIEAMEYVISEVVVINGHICRGFASFLSNVQSNMLEISSAEAELAMKIVAKSLSQREQLFKYFEFCRDFGVTNAHEISNFVRITESQVIVLDKLLHIAPELDRKAAKVTPVTAADMAEVDLVTTEECSNSPSDLISF >scaffold_500115.1 pep chromosome:v.1.0:5:586542:586893:-1 gene:scaffold_500115.1 transcript:scaffold_500115.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPX7] MEDTTSEQFGVEEGLEETDQDKVAEAQSEDPEDAKETGRKQRGPLLLKGVSSKKRIVQLLTSPRKRTTTKEGDQGTEGSIPTNHGTVKGATGGGKPPRPKVDN >scaffold_500116.1 pep chromosome:v.1.0:5:590696:590966:-1 gene:scaffold_500116.1 transcript:scaffold_500116.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPX8] MLRSSEEGTTSKDKGSPRPHHHYIRHKTSPKTGPPPDLDLNTSIKLTKLVHRHISAVNLQIHQLHERIHHLFTLPK >scaffold_500119.1 pep chromosome:v.1.0:5:599724:602452:-1 gene:scaffold_500119.1 transcript:scaffold_500119.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:D7LPY1] MRLPTSLLLFIGALIFSGAGNVRSDASDHRYKDGDSVPLYANKVGPFHNPSETYRYFDLPFCIPDGVKDKKEALGEVLNGDRLVSAPYKLNFREEKDSDVYCRKKLSREQVEQFRRAVEKDYYFQMYYDDLPIWGFIGKVDKESKSDPSEFKYFLYKHIQFEILYNKDRVIEINARMDPHSLVDLTEDKEVDAEFMYTVKWKETETPFEKRMDKYAMSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFMKYAQDEEAADDQEETGWKYIHGDVFRFPKHKSLFAASLGSGTQLFTLTIFIFMLSLVGVFYPYNRGALFTALVVIYALTSGIAGYTASSFYCQLEGKNWVRNLLLTGGLFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPVRTTKYPREIPPLPWYRSAIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRAALLFVRHIYRSIKCE >scaffold_500127.1 pep chromosome:v.1.0:5:648502:650575:1 gene:scaffold_500127.1 transcript:scaffold_500127.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7LPY6] MGSIDEERSLLEVEEVKLYAEDGSIDIHGNPPLKQKTGNWKACPFIFANECCERLAYYGIAKNLITYFTNELHETNVSAARHVMTWQGTCYITPLIGALIADAYWGRYWTIACFSAIYFTGMVALTLSASVPGLKPAECIGSLCPPATMAQSTVLFSGLYLIALGTGGIKPCVSSFGADQFDKTDPSERVRKASFFNWFYFTINIGAFVSSTVLVWIQENCGWELGFLIPTVFMGLATVSFFFGTPLYRFQKPRGSPITRVFQVLVAAYRKSNLKVPEDCKIEHTDGEAESEPFPDPWKLCTVTQVEEVKILLRLFPIWASGIIFSVLHSQIYTLFVQQGRSMKRTIGSFEIPPATLGMFDTASVLISVPIYDRIIVPFVRRFTGLAKGLTELQRMGIGLFISVLSLTFAAIVETVRLQLARDLDLVESGDTVPLTIFWQIPQYFFMGTAGVFYFVGRIEFFYEQSPDSMRSLCSAWALLTTTLGNYLSSLIITLVAYLSGKDCWILQTTLTMDILITSSGFWSVLDVLTYPFLSSSLSNTLTRRLDLFFCSCVYFQNVWTFVLQIESYMALCFGFRI >scaffold_500129.1 pep chromosome:v.1.0:5:654269:655479:1 gene:scaffold_500129.1 transcript:scaffold_500129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWKSHEDVCLMEGIKRYGLRRWDKISASLLLSHRSIAECKKRFESFLEPKTWTYEDDKKLSDLAQIHKPSWTTIGDLMGRDGNSCFRRFISLPSLARENNIQAGGMKMSKPMKKFKPKRKVAQLEEIPAFGDRSEMKKRKGYCMKTKVQLD >scaffold_500135.1 pep chromosome:v.1.0:5:690443:694256:1 gene:scaffold_500135.1 transcript:scaffold_500135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSAASFFGVRQDDQSHLLPSNSSAAAPPPPPPHHQTPLPPLEAPPQKKKRNQPRTPNSDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSTKEVKRKVYLCPEPSCVHHDPSRALGDLTGIKKHYYRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTKEYRCDCGTLFSRRDSFITHRAFCDALAQESARHPTSLTSLPSHHFPYGQNTNNSNNNTSSMILGLSHMGAPQNHDHQSGDVLRLGSGGGGAASRSSSDLIAGNASGYFMQDQNPSFHDQQDHHHHHQQGFLAANNNIKPSPMGFQQNLMQFSHDNHNSASSNLFNLSFLSGNNGVTSATSNPNAANAAAVSSSNLMISNHFDGDNAVGGGGGGEGSTGLFPNNLMSSADRITSGSVPSLFSSSMQNPNSAPHMSATALLQKAAQMGSTSSNNNNGSNNNNNNNASSILRSFGSGIYGENESNLQDLMNSFSNPGATGNVNGVDSPFGSYGGVNKGLSADKQSMTRDFLGVGQIVRSMSGSGGFQQQQQQQQQQQQHGNSRERVGSSSDSADRSSMNVYTGGGPASTSPPYGIHHASF >scaffold_500136.1 pep chromosome:v.1.0:5:726349:729724:-1 gene:scaffold_500136.1 transcript:scaffold_500136.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATIDD4-DOMAIN 4 [Source:UniProtKB/TrEMBL;Acc:D7LPZ5] MSSSSYNTSVIPSSSSSAQPFFITSSGTGDNDFNRKDTFMSMIQQPNSSAPPPKKRRNQPGNPNPDAEVVALSPKTLMATNRFICDVCNKGFQREQNLQLHRRGHNLPWKLKQKSTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYYRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTKEYRCDCGTIFSRRDSYITHRAFCDALIQETARNPTVSFTSMAAASSGVGSGGIYGRLGGGSALSHHHLSDHPSSGFSPLAGYNLNIATSENRRDFLPQSSNPNFLIQCASSQGMSTTMPNNNDQSFMNQHGLIQFDPVDNINLKNSNTNNSFFNLGFFQENTKNSETTFPSLYSTDVLVHHREENLNTGSNVSATALLQKATQMGSVTSNDPSALFRGLASSSNSSSVIANHFGGGRIMENDNNGNLQGLMNSLAAVNGGGGSGGSIFDVQFGDNGNMSGSDKLTLDFLGVGGMVRNVNRGGGGGRGSGRGGVSLDGEVKFPEQNHPFGRG >scaffold_500137.1 pep chromosome:v.1.0:5:731084:732666:-1 gene:scaffold_500137.1 transcript:scaffold_500137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGCEAIEDDGDGDDPDDDDVRLCPRYGFDFRGPVFADGSIYWVTGDEDGDPTSNTKLIVFDIHTEMFQIIQTPPFITSDCYGDSIVLCNLHGRLCISEWIGDKQEIWWRVKDNTWEKIFSVHLPSTSNYSTLFGTHDIPHPPLTPLAICRDTNKVILVLRDEDNLVAFDLNPHSTGYYHLYHSCYKRLAVPYFPSLSLSFY >scaffold_500138.1 pep chromosome:v.1.0:5:733673:734379:-1 gene:scaffold_500138.1 transcript:scaffold_500138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMEKTQKLLTNKQVASSARKEIKRRRSEFEKIHIPNDIVEEIMVMLPVKTLMRFLAVSKHWRSFIMSKDFVERYMALEQSKEFALEPTSASVIDEKALKFEITEWNLYISESCDGLVCLYAVDIAVKVVNPATKTYIELPLSRIQQICMNKQVDPDSVQDPNPVKYPRLSFSQFGFGKYWRYAKCVSCGPSNMDR >scaffold_500139.1 pep chromosome:v.1.0:5:735777:736135:-1 gene:scaffold_500139.1 transcript:scaffold_500139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVVETPPFITSYLYGNLIVLCNLHGHLCISQVLVDNYLDTQEFWWRVKDNTWERIFSVHLPSTCTLFGIDFPHPLTPLAICRDTNKAILTLQVIICISLVTKA >scaffold_500140.1 pep chromosome:v.1.0:5:736502:736991:-1 gene:scaffold_500140.1 transcript:scaffold_500140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMEKTQKLLTNKQVASSARKEIKRRGSEFEKIHIPNDIVEEIMVMLPVKSLMRFRAVSKHWRSLITSKEFGERYKALEQSKECKLLLVSNYFKDNVAQKTNFSLITVALEPTSASVVDEKALKFEKLNGNSIFQRAAMALSAYTPLT >scaffold_500141.1 pep chromosome:v.1.0:5:737958:738192:1 gene:scaffold_500141.1 transcript:scaffold_500141.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ00] MGWTHIEDSLLLEDVRKYGTLKWKQVAVSMLSHQHSHVACKARILELIESNVAFSFIRSFRSKR >scaffold_500146.1 pep chromosome:v.1.0:5:754473:754945:1 gene:scaffold_500146.1 transcript:scaffold_500146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLRLISALLLSVMLLFATGMGPVEARTCESPSNKFQGVCLNSQSCAKACPSEGFSGGRCSSLRCYCSKAC >scaffold_500161.1 pep chromosome:v.1.0:5:806016:807555:-1 gene:scaffold_500161.1 transcript:scaffold_500161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTHDIKSSSGCGGGIDVPRPSPFDYLPEDCISNIISFTTPRDACVAASVSKTFESAVQSDTVWEKFLPPDYSSLVPQSRVFLSKKDLYFSLCHEPLLIEDGKKSFWLEKTSGKRCIMLSPKEMWITWVSSPQYWRWISISEARFEEVPKLLNVCWFEVRGRMSTRYLSPGTHYSVYIVFKPKDQCPGLGDLPIKVKVGLVGQELFQRFIRFVGPMDQRCGREMSDVTRPEEREDGWMEAELGEFFNEISCDDHVEVSVVEIKSPYWKSGLIIQGIEFRPTKTPVNEKMSHNSPFVNHKPLISFLCLHIPFPINEM >scaffold_500162.1 pep chromosome:v.1.0:5:808489:809678:-1 gene:scaffold_500162.1 transcript:scaffold_500162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWEKDVSGGSSMGQKLGFDSRGKGIEEIVSRLSPFDELPEDCVSNIISLTSPRDACIAASVSRTLRLTVQSDSVWEKFLPTEYASLIHEWRVFLSKKELYFSLCQVPILIEDGQKSFWLEKASAKRCLMLSPKQLVITWGNSPQYWQWISIPESRFEKVPELLDVCAFEIHGWMNTQILSPRTHYSAYVVYKSRTGCYGFRDLPIQVGIGLVGQKPPKRFICFDESTDRIKKWARRELIKSHEREDGWIEAGIGEFFNEGGLSLGCDEIELSIVDITSPYWKRGLVIQGIEFRPAKQ >scaffold_500163.1 pep chromosome:v.1.0:5:810054:810697:-1 gene:scaffold_500163.1 transcript:scaffold_500163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAMNFSIAWGDDPRYWQWISIPESRFEKVAELLQVCWFDVRGKTNTRVLSPKTHYSAYMVFKKADQCYGFKDEAIEAVVGMVGQEASRRYICFDEAIDGEFQRGERGMRPLVKPEEREDGWMEIELGEFFNEGGLMNSEEIEMGALETKRLNGKYGLIIQGIEIRPAKIR >scaffold_500164.1 pep chromosome:v.1.0:5:810974:811269:-1 gene:scaffold_500164.1 transcript:scaffold_500164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVSESSPFDSLPVDCISNIISFTSPLDACIVTSVSKTFESRPSQISYGKSFFRQSLNLWFIHRQFSCQTRNSIALSDTILF >scaffold_500165.1 pep chromosome:v.1.0:5:811675:812052:-1 gene:scaffold_500165.1 transcript:scaffold_500165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHFRKLVQRLKKTLRLSASDKSHGVTEPLSLDDLPEECISLIIYKSSRRVRFGFGFENLNLNLLSKPILCGRSLLFHPSMNLCVLNRKISHRRRSSFSLIRDESGFNQR >scaffold_500168.1 pep chromosome:v.1.0:5:819097:820347:-1 gene:scaffold_500168.1 transcript:scaffold_500168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQSVESSGGGGGEIVSDSSPFDSLPEECIPNIISFTSPRDACVAASISKTFESAVKSDFVWEKFIPPDYESLVPRSREFSSKKELYFALCNDPLLIDDGKKSIWLEKASGKRCIMLSAMNLSMVWGEERHYWQWIPIPESRLEIRGRTNTRVLSPRTRYSVYIVFMESDQCYGFADVAVEAEVGMVGHEPSRRFICFDKAMNGQFITRDGGRRDVVKPKEREDRWMEIELGEFFNEGGLMNSDEIEMSALENKRITWRGGLIIQGIEIRPAKSPLK >scaffold_500171.1 pep chromosome:v.1.0:5:825987:827923:1 gene:scaffold_500171.1 transcript:scaffold_500171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLKLYSYWRSSCAHRVRIALTLKGLDYEYIPVILLKGDQFDPDFKKINPMGTVPALVDGDVVINDSFAIIMYLDDKYPEPPLLPSDLHKRAVNYQATSIVMSGIQPHQNMALFRYLEEKINAEEKTAWITNVITKGFTALENLLVSCAGKYATGDEVYLADLFLAPQIHAAFNRFQINMEPYPTLAKFHESYNELPAFQNAVPENQPDTPSTI >scaffold_500176.1 pep chromosome:v.1.0:5:849936:853063:1 gene:scaffold_500176.1 transcript:scaffold_500176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYSREDDVTNALAVDLSSEIEAEKKNEEFTGNLKRQLAKLLVVCLKLTVPDEPSFEPLVAASAAGKFGDYQCNNAMGLWSIIKGKGTQFKGPPAVGLALVKSLPTSEMVESCSVARPGYINVVLSAKWMAKLIFPLPTLQNKYMLVILRSTIIGDMLARMLEYSKVEVLRRNHVDDWGTKFGMLIEYLFEKFPDTDSVIETDVVFDRCFTRHQKKKFDLDEDFKERAQQAVVRLQAQGGDPVYRKAWAKICEISRTEFAKVYQRLRVELEERYWLDEEKAQWMIYNTAAGQEQHLSTFFKKEGWLPGNEKTYPRVNHVGFGLVLGEDGKRFRTRATDVVRLVDLVDEAKTRSKLALIERGKDKEWTPEELDQTTEAVGYGAVNEFS >scaffold_500177.1 pep chromosome:v.1.0:5:855575:855790:1 gene:scaffold_500177.1 transcript:scaffold_500177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFHGFMLNGGGGGGGGVEGGHEDIDSGDESRSEHGEEGGYDSVATGGEEGGEKVR >scaffold_500178.1 pep chromosome:v.1.0:5:855934:856993:-1 gene:scaffold_500178.1 transcript:scaffold_500178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGEPIAFRRLVAFHAAQVYYTAATSFLFTFFILPELGAFVSNLFWDVFVEKRLRVLASIVCLAVLVHYLFRNDEDYMELADQAFPVYPPVDLTFPPPDLQWYPQSYQLYRSFCQPSSPPVATESFQFHRNFSPSSSPPVETESNQFHRNFSPSSSPLVETESFQIHRNFSPPSYPPVATESYQLHQNFSPPSSPPVATESFQFHRNFSPQSSPPVETESFQIHRNFSPPSYPLVATESYQLHQNFSPPSSPPVATESFQFHRNFSPQSSPPVATESYQFHQTFSPPSSPPVEQTESYQFHQTFSPPSSPPVEQTESYQFHRTFSPPSSPPVEQT >scaffold_500179.1 pep chromosome:v.1.0:5:870401:870794:-1 gene:scaffold_500179.1 transcript:scaffold_500179.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ35] MDDEPIPFRRLVAFHATQLYYSAAVSVLFTGCDALPIPQQRRVHGGACISAITTCLSAGRSYTQNEYKTCSSGSSGDKHRRQ >scaffold_500181.1 pep chromosome:v.1.0:5:892143:894058:1 gene:scaffold_500181.1 transcript:scaffold_500181.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LQ37] MEGITHPIPRTVEEVFSDFRGRRAGLIKALTNDMVKFYQTCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWVSLVAVHSDSWLLSVAFYFGARFGFGKNERKRLFQMINELPTIFEVVSGSNAKQSKDLSVNNNNSKSKPSGIKSRQSESLTKVAKMSSPPPKEEDDESEDEEEDDEQGAVCGACGDNYGTDEFWICCDACEKWFHGKCVKITPAKAEHIKHYKCPSCSNKRARP >scaffold_500183.1 pep chromosome:v.1.0:5:908924:909289:1 gene:scaffold_500183.1 transcript:scaffold_500183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIVKFSPITMVVTLFFLVFGLAHARYPTLPIVKPPPPPNQNVKISVNQIQVPHLPSPPKYPGHPPHQNTKVSVNQFPGVFPIPHYPEPPKHPGHPPHQNAKISLN >scaffold_500186.1 pep chromosome:v.1.0:5:916361:916681:1 gene:scaffold_500186.1 transcript:scaffold_500186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIVKLPAKTMVVTLLLLASGLGHARVEPFSPTLNPKFQTDSKPFYPPIIPTEPFPPPPPSNHDAVISVKQFPVRPYCRPGYQNPGCPPSS >scaffold_500187.1 pep chromosome:v.1.0:5:919832:920306:1 gene:scaffold_500187.1 transcript:scaffold_500187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKELEKQAYEALLCAFGVENKVIDDDVKNLLNIEQESAEERNKKAYEAFLLATTLNYSSAESRDAMLARLRNQLHITDGFHRECQNRVSNNISVVQLTREASGSNNVG >scaffold_500192.1 pep chromosome:v.1.0:5:949629:952087:-1 gene:scaffold_500192.1 transcript:scaffold_500192.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein [Source:UniProtKB/TrEMBL;Acc:D7LQ48] MEGGVEELSIEVLASNLSTYKEQLEQVRQLLSEDPRNLEYADMEKELKEVIALTEELLATAKQNEISLTDAGVSAGATAGSPDLESAWENMGSRNDPIHEGKFPVGTKVQAVFSDDGEWYDATIEAHTANGYFVAYDEWGNKEEVDPENVRPIEQNAIVEAERLAQATKNALKRKIEQAASSDYQTKTLPAKLKIDPNDPEDVKIAKRKKIHAFKSKARFEQLEVVQNKKQNDWQQFQTTKAKTKKVGFFTGRKKESIFKSPEDPFGKVGVTGSGKGLTDFQKREKHLHLKSGNSEGTDE >scaffold_500193.1 pep chromosome:v.1.0:5:953013:954436:1 gene:scaffold_500193.1 transcript:scaffold_500193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTLLSISSFTLSSSSSTKTHLLTSTPTSRVYSSPSSPKSKQNRLLQSQSFSLFHPLRRNFTRFCSSPDGFLRKTKEDEEGNEIIQLPSIGVNPVKFAICVVLWASFSLLWFARSGDAKAATDSIKSSSFGLKIASTLRRFGWPDEAVVFALATLPVIELRGAIPAGYWMQLKPIVLTFFSVLGNMVPVPFIVLYLKKFASFVAGKSQTASKLLDILFKRAKEKAGPVEEFQWLGLMLFVAVPFPGTGAWTGAIIASILDMPFWPAVSSNFCGVVLAGLLVNLLVNLGLKQAIVAGIALFFVSTFMWSVLRNIRKSIKPSLP >scaffold_500195.1 pep chromosome:v.1.0:5:967400:967698:1 gene:scaffold_500195.1 transcript:scaffold_500195.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ50] MEVAEDAGESEKLAAGSPRICSGGTQVAAASVRVAVKEYAKCRFFSSSETAKTIGVRFFSSSESGETEKKVRDCVCRVQQIKKKL >scaffold_500196.1 pep chromosome:v.1.0:5:972210:972462:1 gene:scaffold_500196.1 transcript:scaffold_500196.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ51] MASSSSQRMAALLNPIRFSDYPYSDDFFLNSSSFSIESGNRYLGRYLFQFHCKFCSFESSALFSKSYTCS >scaffold_500197.1 pep chromosome:v.1.0:5:973231:973477:1 gene:scaffold_500197.1 transcript:scaffold_500197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWGYWSRLLLTVDGWLLEKKIASNDVDLLRDMVWKKDRRIHDTYLDVKDKNERDAISAFLMIHCGD >scaffold_500201.1 pep chromosome:v.1.0:5:989223:991375:-1 gene:scaffold_500201.1 transcript:scaffold_500201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQSGIGLSKILILAGAGYTSTILIKNGKMADILGELQALVKRFEKSGDHVDDDSDAMTTQMQRLAMEVRQLASSRQITVMNGAQGADFTPFIVPAATLGALGYGYMRFKGISFSDIMCVTKRNMENAVSNLTKHLDTVSEAISNAKKHLSQRLQKVDDKLDLQKDLLKGVQDNVGLALEDLANIGDDFDAMHSIFGGMGGKLDSIEYKQNIANMGLIYLCDSLGGENHKMPDILMQEKLRLSGKSNTCIVLTNEETSSSEGLKESDKIELLDDC >scaffold_500203.1 pep chromosome:v.1.0:5:995801:997679:-1 gene:scaffold_500203.1 transcript:scaffold_500203.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LQ58] MINLTRHRVLNLVTGGSSLDVILSHSPNKFTFPPLLKSCAKLGDVVQGRILHAHVVKTGFFVDVFTATALVSMYMKVKQVTDALKVLDEMPERGIASVNAAVSGLLENGFSRDAFRMFGDARVSGSGMNSVTVASVLGGCGDIEGGMQMHCLAMKSGFEMEVYVGTSLVSMYSRCGEWILAARMFEKVPHKSVVTYNAFISGLMENGVMHLVPNVFNLMRKFSSEEPNDVTFVNAITACASLLNLQYGRQLHGLVMKTEFQFDTMVGTALIDMYSKCRCWKSAYSVFTELKDTRNLISWNSVISGMMLNGQHETAVELFEQLDSEGLKPDSATWNSLISGFSQLGKVVEAFKFFERMLSVVMVPSLKCLTSLLSACSDIWTLKNGKEIHGHVIKAAAERDIFVLTSLIDMYMKCGFSLLARRIFDRFEPKPKDPVFWNVMISGYGKHGECESAIEIFDLLREEEVEPSLATFTAVLSACSHCGNVEKGSQIFRLMQEEYGYKPSTEHIGCMVDLLGRFGRLREAKEVIDRMSDPSSSVYSSLLGSCRQHLDPVLGEEAAMKLAELEPGNPAPFVILSSIYAALERWEDVESIRQVIDQKQLVKLPGLSLSG >scaffold_500211.1 pep chromosome:v.1.0:5:1035259:1035520:-1 gene:scaffold_500211.1 transcript:scaffold_500211.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LQ65] MASEYAVGISSEIFRRYSDGHFRHLSDGLSTNISDDFPTNISDEFSDNSSRQKFLTNFRRILFSDQKIPTNWV >scaffold_500213.1 pep chromosome:v.1.0:5:1043115:1043344:1 gene:scaffold_500213.1 transcript:scaffold_500213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMQLDMLSEMDDAGSSMAMDVDDLEAMEIFSEGGGASESKLADADFFNKFEDDFDDADIN >scaffold_500215.1 pep chromosome:v.1.0:5:1063235:1064099:-1 gene:scaffold_500215.1 transcript:scaffold_500215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNPSHVDLDGPVFHNLFDYCRAYAGGTISAAAKLNRQEADIAINWAGGMQNAKKDKASDFGYANDVVLGILELLKTFKRVLYVDIGFRHGDGVEEAFKDTDRVMTVYFHKIGDSGDISDFGEGRGQYYSLNAPLKDGLDQGSKNP >scaffold_500216.1 pep chromosome:v.1.0:5:1068614:1070515:1 gene:scaffold_500216.1 transcript:scaffold_500216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELGKLVAFFDFKDGRDGLLHFSGMTACSETLSVITRCRFCVQATDNTGKPASTTPARDKNKPTLDRYYKSVYFILHDKFWIGHGLVNSDWAKLEEEFNHIGKPSPKPKGIIDTVPFISSGTKLAQLAVLFGLGEPSLGYYNNCEENYDAIKQCRDAMPILDMEKEKDMGSNIYPMISHLRKFQQPPEKATTKSARGSEPRKSQKLEGSNGLLHFGGMEVTSKTLAVITETGFCVQATQINGRPARNTPAWLKNEPTLSESYNRVYYMLHNKTWIGHDLRKSDFPMLEKECKHIGKSPPRPKEIIDTVPFISSGSKLGKLAVLLGLGKPAARLDCRNCQVNYNAIKKCRDAMPILDVSLLYKAQKGTEIRNPLLFVLFFGLQVEIEQDKESNMHPMISLIKKYQQPPEEETSILFGHEEETTKRKSPQGKVKDGGVRKSLRLKEASQRLKKKSM >scaffold_500217.1 pep chromosome:v.1.0:5:1084966:1085717:-1 gene:scaffold_500217.1 transcript:scaffold_500217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKWIGARSYFGFDPIDKHFKVLCLGLGVIVEKYQVMTLGIGKLSWRMIECCKAHYPLYDGICINGVLYYRAEVKRGSFWTLINYKGKLGAVDKKNATCFRFLVLEDANNHKFSMHIVNMMPDLLRAQARMTELCLVGATSSGEIMLPQFYLSDPFYVYYYNFKRNAFIRVRIQGLEALDHTQRVHTFLDYVEDVCILCKCLGIFL >scaffold_500218.1 pep chromosome:v.1.0:5:1085867:1086180:-1 gene:scaffold_500218.1 transcript:scaffold_500218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLQVSEDGLTISRCNISNIFDLPNDLVNNILSRLPVKSIAKLCCISKLWSSIFRRPHISELLSIKSSGSPRIYRSIVILLITSTSRSY >scaffold_500220.1 pep chromosome:v.1.0:5:1103288:1104039:-1 gene:scaffold_500220.1 transcript:scaffold_500220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKWIGARSYFGFDPIDKHFKVLCLGLGVIVEKYQVMTLGIGKLSWRMIECCKAHYPLYDGICINGVLYYRAEVKRGSFWTLINYKGKLGAVDKKNATCFRFLVLEDANNHKFSMHIVNMMPDLLRAQARMTELCLVGATSSGEIMLPQFYLSDPFYVYYYNFKRNAFIRVRIQGLEALDHTQRVHTFLDYVEDVCILCKCLGIFL >scaffold_500221.1 pep chromosome:v.1.0:5:1104189:1104502:-1 gene:scaffold_500221.1 transcript:scaffold_500221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLQVSEDGLTISRCNISNIFDLPNDLVNNILSRLPVKSIAKLCCISKLWSSIFRRPHISELLSIKSSGSPRIYRSIVILLITSTSRSY >scaffold_500222.1 pep chromosome:v.1.0:5:1106424:1108325:1 gene:scaffold_500222.1 transcript:scaffold_500222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELGKLVAFFDFKDGRDGLLHFSGMTACSETLSVITRHRFCVQATDNTGKPASTTPARDKNKPTLDRYYKSVYFILHDKFWIGHGLVNSDWAKLEEEFNHIGKPSPKPKGIIDTVPFISSGTKLAQLAVLFGLGEPSLGYYNNCEENYDAIKQCRDAMPILDMEKEKDMGSNIYPMISHLRKFQQPPEKATTKSARGSEPRKSQKLEGKENPPRKSLAESPSSLEERLLVAMKREDRVTFFDFKKGSNGLLHFGGMEVTSKTLEFITETRFCVQATQINGRPARNTPAWLKNEPTLSESYNRVYYMLHNKTWIGHDLRKSDFPMLEKECKHIGKSPPRPKEIIDTVPFISSGSKLGKLAVLLGLGKPAARLDCRNCQVNYNAIKKCRDAMPILDVSLLYKAQKGTEIRNPLLFVLFFGLQVEIEQDKESNMHPMISLIKKYQQPPEEETSILFGHEEETTKRKSPQGKVKDGGVRKSLRLKEASQRLKKKSM >scaffold_500223.1 pep chromosome:v.1.0:5:1110128:1110901:1 gene:scaffold_500223.1 transcript:scaffold_500223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYIVIYFTLLWLFTTSQAQPCRVTTNWFGFIRLKKKTNVVVDSVDLTLPSYSYQSQAVWIQVPGSVKSAATKSAVEKKNLSFKSGLHAACHALLQVVPLFVRCNYSDLAPKCPNPLEQRYYPSRILLYGTGISVQV >scaffold_500224.1 pep chromosome:v.1.0:5:1111081:1111410:1 gene:scaffold_500224.1 transcript:scaffold_500224.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQ77] MPKIFIISKSFKLNLSYFLFFYIIVENVKSSKATWQKLWGNNNFPHLVGAMKRYWNVFCRIPATGMYSSTIDVLWHLVIYINQRQDMYHANEKY >scaffold_500227.1 pep chromosome:v.1.0:5:1124490:1124977:1 gene:scaffold_500227.1 transcript:scaffold_500227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSISFAIRCLDVRKLSVIIFEDLIILTLKCMPFQKDQFFSLEVPLLRIEGHVVLQLLETPLLNLINYASLIATNAARHRFGRKRNWLRVIEIVN >scaffold_500229.1 pep chromosome:v.1.0:5:1140510:1141406:1 gene:scaffold_500229.1 transcript:scaffold_500229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIKYSDVKFLHASVARCKKLVIDWISASDLEQGVKKENPDAYKAAWKLLKGADGILVPGGFGNRGVEGKMLAAKYARENRVPYLGICLGMQLAVIEFARTVLGLPDANSTELDPNTKNPCVIFMPEGSKTHMGGTMRLGSRRTYFQAKDSKSAKLYEPDIPIRPKSQNKCWTAN >scaffold_500230.1 pep chromosome:v.1.0:5:1143029:1143299:1 gene:scaffold_500230.1 transcript:scaffold_500230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLMMIALTSMSLLLTAGESIPTTLDGPFKPLTRRFDPSLRRGSDDLPIDHPRLRKRNVSSDFPEQIVLGSDSIP >scaffold_500231.1 pep chromosome:v.1.0:5:1152239:1154260:1 gene:scaffold_500231.1 transcript:scaffold_500231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSWVTGLTSNTTTTVDHLMENDPSLVIIVGDMTYANQYRTTGGKGVSCFSCSFPDAPIRETYQPRWDAWGRFMEPLTSKVPTMVIEGNHEIEPQASGITFKSYSERFAVPSSESGSNSNFYYSFDVGGVHFVMLGAQYAWLKEDLSKVDRTVTPWLVATMHLPWYNSYSSHYQEFECMRQEMEELLYQHRVDLVFAGHVHAYERMNRIYNYTLDPCGPVYITIGDGGNIEKVDVDFASFAGTKQPDWSAFRESSFGHGMLEVMNSTHALWTWHRNQDVYKNNSYGDQIYIVRQPNVCTSPTISRY >scaffold_500232.1 pep chromosome:v.1.0:5:1158613:1159550:-1 gene:scaffold_500232.1 transcript:scaffold_500232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGEGSNVSKSKQVVFTINLDDDCRICLKSLADDRTPVKLLCGHQYHLALQVKISMYVPLVHPLMGGLPTPVRRESILPLIGRQLGAILPNSTRPSTMSQPLMDDFPAPVRRESVLPSVERKFGTILPNSSRSTVVQPLMDGLPAPVRRESVLPSVGRQFDVILPNSARSTVVQPLMDGLPATGQA >scaffold_500240.1 pep chromosome:v.1.0:5:1271504:1271733:1 gene:scaffold_500240.1 transcript:scaffold_500240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMQLDMLSEMDDAGSSMAMDVDDLEAMEIFSEGGGASESKLADADFFNKFEDDFDDADIN >scaffold_500242.1 pep chromosome:v.1.0:5:1349737:1350224:1 gene:scaffold_500242.1 transcript:scaffold_500242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSISFAIRCLDVRKLSVIIFEDLIILTLKCMPFQKDQFFSLEVPLLRIEGHVVLQLLETPLLNLINYASLIATNAARHRFRRKRNWLRVIEIVN >scaffold_500243.1 pep chromosome:v.1.0:5:1383409:1384347:-1 gene:scaffold_500243.1 transcript:scaffold_500243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGEGSNVSKSKQVVFTINLDDDCRICLKSLADDRTPVKLLCGHQYHLALQVKISMYVPLVHPLMGGLPTPVRRESILPLIGRQLGAILPNSTRPSTMSQPLMDDFPAPVRRESVLPSVERKFGTILPNSSRSTVVQPLMDGLPAPVRRESVLPSVGRQFDAILPNSARSTVVQPLMDGLPATGQA >scaffold_500252.1 pep chromosome:v.1.0:5:1481759:1483501:1 gene:scaffold_500252.1 transcript:scaffold_500252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLHRVIGLITRTTPNPISSRISHTRLNLPSILVNLMSTKSGNDEDKWNDAWESAWLPDDLTDKIRAPWETDVNFSVKESTATTEEIDVEAKAFVEDMNEHWNERRGKSGKVEKREEKNKKEIGDGEESSSSLYSLETMKKDYRLKKQRVHASLWVKEIEKLEEAKLGDSGSGGGADDIDRLLDSCSEIFDSVDHDFDKLEVSSGSELKNKPDGWESTAKEQDGNLWEMSQREEDILLQEFDRRTAFCKFQIASFIKQHIFSRRRPIDGWRYMIEVIGPNARKGKGSVSRLPALSDVSTQPFKEETGSLTTSKRR >scaffold_500254.1 pep chromosome:v.1.0:5:1488826:1490140:-1 gene:scaffold_500254.1 transcript:scaffold_500254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSSLTNDLIMEILSRLPSKSVARFRCVSKRWASMFASPYFKELFVTRSSAKPRLLFAMAGNVTEEDDYVWSFFSTPQLENPYEKSSPTLVAAAEFHVKFSPEKLQIYHSYDPRYFSIGYASGLIYIYGCRYQGRPVICNPNTGRYAILPDRYTYRKAYSFFGFDPIDKHYKVLSMRYSCGPGHNKILTLGDGDLTWRKIKISPERPEIESDGICINGVLYFLAVGVELKFEYVIVCFDVRSETFTYIGVERFCRLINYKGKLAVIYWEDDEDIYEICFYGKDLDDYWEENLDAINELRVWVLEDLEKQKWSKYAYTWTDDKFFRRHLSIAGATASGEIVFSMRKYTSKQPFYVFYFNPERNTLQRVEIQGFGEAFKKTCTVCTFVNHVEDLDVNDLKQLKSVHPPLVKSEYYESSDSESD >scaffold_500256.1 pep chromosome:v.1.0:5:1494932:1496224:-1 gene:scaffold_500256.1 transcript:scaffold_500256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSFNSKKRIRHSYFNELFQTRSSAKPRLLFAIAEEDDCVWSFFSTPQLENTYEKSSSTLVAAAEFHMKLSPDKLIGIYHSYDPRYFSIGYASGLIYMYGDRYMARPLICNPNTGRYVILPNRYTYRKAYSFFGFDPIDKQYKVLSMRYPSGPGHNKILTLGDGDLTWRKIKCPLPQPGIKSDGICINGVLYFLAVRVECSFEYVIVCFDVRSEKFTYIDVERICQLINYNGKLAVIYWEDDVDIYEICYYGKNLDDYLEKNLNVDATNELRVWVLEDVEKHEWSKYAYTWTDDTFFRRHVSIAGATASGEIVFSMRKYSSKQPFYVFYFNPERNTLQRVEIQGFGEAFKKTCSVRTFVNHVEDLDVNDLKQLKSVHPPLVKPEFYCLPESESDTDSEAESDSDSESDGQGDEEG >scaffold_500258.1 pep chromosome:v.1.0:5:1498552:1500108:-1 gene:scaffold_500258.1 transcript:scaffold_500258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKTPFPRKDDRRRSNTAEFHVKFSPENLRINYYSELKYFSIGYASGLIYIYGNRYQARPVICNPNTGRYAILPNRYTYRKAYSFFGFDPIGKQYKALSIIYPTGPGRNKILTLGDGDFEWRKIKFPLGRPEIKSDGICINGVLYFLAESYQGSFEYVIVCFDVRYETFTYIDVEKFCRLINYKGKLAMIYWEDDVDIYAMCNIPKDLDEYLEENLDVDATNELRVWVLEDVEKQKWSKYAYTWTDDTFFRRHLSIAGATASGEIVFSMRKYTCKQPFYVFYFNPERNTLQRVEIQGFGEAFKKTCSVRTFVNHIEDLDVNDLKQLKSVHPPLKPEFYCLPEADTESDSDSDSESDGQGDEEG >scaffold_500261.1 pep chromosome:v.1.0:5:1505808:1506544:1 gene:scaffold_500261.1 transcript:scaffold_500261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRDRDCRRRWTRTRRIYLPNVNNGFFKKECKDNDCGYDGVNAEHSLAVGIPATATVQVTKNKKESNIHLDSPLSAKHGENGSTMAGHVGKQLAYVVRGETKFKNLRNNKTTVGGSVTFLGENIATGLGFMSISLSRSCVFSRC >scaffold_500264.1 pep chromosome:v.1.0:5:1517173:1517666:1 gene:scaffold_500264.1 transcript:scaffold_500264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRRIICNECENDAFELEDGFFYCQRCSVRVEGIIQTVVIDEDDFINEVAWSIPTNAWRSPPASRWTVEMLPNITVVMVEKGECVICLEEWSKGDMETELPCKHKYHLKCVKKWLEIHSTCPQCRYEMMPLEGEVVGVSVDPVGAGVSL >scaffold_500267.1 pep chromosome:v.1.0:5:1524309:1527029:-1 gene:scaffold_500267.1 transcript:scaffold_500267.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7LQC3] MRRSHARSRVKNSSKTDMDPIKFQIRSGNRASSSSSTYTLTKSNSKHAKSNLMLTVASISIVFGFLFLFYSILFSGGNLRGSLRYSVVIDGGSTGTRIHVFGYRIESGKPVFEFRGANYASLKLHPGLSAFADDPDGASVSLTELVEFAKGRIPKGMWMETEVRLMATAGMRLLELPVQEKILGVARRVLKSSGFLFRDEWASVISGSDEGVYAWVVANFALGSLGADPLKTTGIVELGGASAQVTFVSSEPMPPEFSRTISFGNVSYNLYSHSFLHFGQNAAHDKLWGSLLLRDHNPAMESTREKIFTDPCAPRGYNLDANTQKHLSGLLAEESRISDSFQAGGNYSQCRSAALNILQEGNEKCSYQHCSIGSTFTPKLRGRFLATENFFYTSKFFGLGEKAWLSKMISAGERFCGEDWSKLRVKDPSLDEEDILRYCFSSAYIVSLLHDTFGIPLDDERIGFANQAGDIPLDWALGAFILQTATETSQHSSSDNLHWFHALFSHDSKLLHYIIGIPILMTALVYLVTKWRKPQLKTIYDLEKGRYIVTRIR >scaffold_500270.1 pep chromosome:v.1.0:5:1533216:1533722:1 gene:scaffold_500270.1 transcript:scaffold_500270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQC6] MRRTSRKLSIQEVVHCIDKLLLGHNGALRHTADALRVIVERRTVLEGNRPLTYNITITCFIDDDSIKRHYLIEWRIYWRRDYGDVEFEAHLISFLETSPVSVTVRSYNSFGDIRGTEIYIPTLLELSQIPNMSHAMLCRRLFFCVPRQWRPRTW >scaffold_500273.1 pep chromosome:v.1.0:5:1544901:1546203:-1 gene:scaffold_500273.1 transcript:scaffold_500273.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXPA15 [Source:UniProtKB/TrEMBL;Acc:D7LQD0] MFMGKMSLLGFVLVGFAVMVCSVHGYDAGWVNAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFEIKCQSDGAWCLPGAIIVTATNFCPPNNALPNNAGGWCNPPLHHFDLSQPIFQRIAQYKAGVVPVSYRRVPCMRRGGIRFTINGHSYFNLVLVTNVGGAGDVHSVAVKGSRTRWQQMSRNWGQNWQSNNLLNGQALSFKVTASDGRTVVSNNIAPASWSFGQTFTGRQFR >scaffold_500274.1 pep chromosome:v.1.0:5:1571501:1572596:-1 gene:scaffold_500274.1 transcript:scaffold_500274.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZ79] MSSRFTSSSIERYNDFSRLNPAIVGWHVHVKVLRRFHTDDYISKGGLGLLLVDDKGNQIEALICSPLTSHYSTFIEEDEFYTIMNFRVVENSGFTKLTRSDFKIMFYDGTIVKEASSFSQDDYIVATPFGAIFNGYHDTSYLITLVGRVVESSDLTNVTDEPSVIGGYRYSFTIEDQEKKTLKCCAYGGVAIECHDRFRNVIGTDVTCVLRWWKVYQLLDGWRHVRIYSHPPYSKILVQSDADNVEHS >scaffold_500276.1 pep chromosome:v.1.0:5:1582261:1583648:-1 gene:scaffold_500276.1 transcript:scaffold_500276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRKSKNSLTFIDDINPIHDQNKIKVLILRLWKAYQRDAGNTIEMVLVDEKGSRIHATVEDKNIKKFDSVLKEGDVVTLNPFKLIKYSGDYKSNSLSFKILFYRTTQVKPCDDFPKEVPEKYFVEFGDVLNGSRDTRVFVDVIGQIVNVGPIEDIKIRGKSTPKLDVELRDRGNVRLICTLWADFAKQVKVYTEANPAAVVCVIRCAQVKEWKGNWTISNAMGATRVLLDPPGVFVDEFRSGLPTDGVVLTNHDNSELFAGSTVSIRDQFLVKNHKRTVRDIVEALEEGMCVTIVLYIHAF >scaffold_500284.1 pep chromosome:v.1.0:5:1607254:1609978:1 gene:scaffold_500284.1 transcript:scaffold_500284.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase family protein [Source:UniProtKB/TrEMBL;Acc:D7LQE4] MKNCERFANLALAGLTLAPLVVRVNPNLNVILTACITVYVGCFRSVKDTPPTETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTAYFFVLGIVALSATLLPAIRRFLPNPWNDNLIVWRFPYFKSLEVEFTKSQVVAGIPGTFFCAWYAWKKHWLANNILGLSFCIQGIEMLSLGSFKTGAILLAGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDALRPYSMLGLGDIVIPGIFVALALRFDVSRRRQPQYFTSAFIGYAVGVILTIVVMNWFQAAQPALLYIVPAVIGFLASHCIWNGDIKPLLAFDESKTEEPKTDESKTSEEGNKAHDE >scaffold_500286.1 pep chromosome:v.1.0:5:1611860:1619777:1 gene:scaffold_500286.1 transcript:scaffold_500286.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:UniProtKB/TrEMBL;Acc:D7LQE6] MYSSSSSSSSIFLQFPPARTTTASPFILRRSSYVFHTRKRGPRFKRHLVNSSIFPPPFDGSGPLDASLASFLASGLAVFLSSRLFGRSLEISDTSRRRRSIVDDVVGEWILFTTPTPFNRFVLLRCSLLSFDDDSDKSLSDRLLTAERHFLNLDTGKIIVSAADEKTPPLEYQRVCITTEDGGVLSLDWPANVDIREERGLDTTVVFIPGTPDGSMDEGVRSFVCEALRRGLFPVVMNPRGCAGSPLTTPRLFTAGDSDDISTALRFLTKTRPWTTLTAVGRGYGANMLTKYLAEAGERTPLTAAVCIDNPFDLAEITRTSPYSTSLDQQLTSGLVEILLANKELFQGRTKAFDVGKALSSKSVREFDKALSMVTYGCESIEDFYSSCATRDVIGEVKVPVLFIQNDDVVPPYTIPRSSIAENPFTSLLMCSSSPNLIDERTVAVSWCQDLAIEIDFPMSAMQWLTAVELGLLKGRHPLLKDVDITVNPSKGLVFSEAKAPEKSIGAKKLVQPADEKMVNGYHVDPFRETLEDSDISPNSNLSSGIDLEKNVKGDYGADETENSRVSTSSLVEVESIEDNESNVEESDRGQVLQTAEVVVNMLDVTMPGTLKAEEKKKVMDAVGRGETVLTALQDAVPEEVREKLTTAVTGILQSGGTKLNLEKLKLPSIAPGLKKAEEARKEPSSAIDQKDSHSPDPIDKSDGLVSGSDETVSGSDNSPGGIELEHSPSKVSQKNGDSGKSQPVDSDQDDSLGNYESHTNEKTSAADDSEMASEAKSDIANQGSVGAEDVTNSDEKVDQGSGVATHQRQVETNKNDEKGAPIASEKSSVADASEKASDAKNDSTNPQPVGADDITSDGDKVDQGTVLSQQQKKEETNKNDENAKQSATDQNKVTSTDNEGDAGKSSASQPVEKDEGNDQSKETKVIQPVSDQTKPAIQEANQPKFNVSHAFEALTGMDDSTQVAVNSVFGVLENMITQLDEEKKEGSEVSDEKNLKDEKTVTNEVLSLSEEEIPSKRETESLMPSEKSQDPACSVNETEKCSDSEKVTGVMTEKPLGRDDVIGKHSPKILPERITDSVEKSSNDGYLGEELSKEKVAKQLDLNTTTALMLDYYPEEGKWKLLDQQPEHLGDNYYPEEGKWKLLDQQPEYLGNVADNAAASRDTHENVQVHSLSVGNEKNIIEPSYVILDHEKELELSEMHDAADNQNDGPHKLDEGCEELENLIKVIVSDSLNVEVQRRMGSAGMRQIESQLSRDIKMVAKTFSYAVVYEEPTWTFKRNSKTSDGPAGKVGKLHGDAIIRAIASAVQEAHFLRQVLPIGVVVGSVLAALRKYFDVSTTTSNAKRDIVPGRTQKYENNDVKTSVVPDKISQETEQNNSSIGEMVESGLKKFNNEGVMVGAVTAALGASAMLVQHEDPQKGGIMSKSSEKVSQHKESGKLDQNSIVASFAEKAMSIAGPAVPTKETGEVDQDRIVAMLADLGQRGGILRLVGKLALLWGGLRGAMSLTDKLIQFLRMDEWPLLKRAVGFIGMVLVLWSPVVIPLLPTLLQSWSTSNPSRVAELASVVGLYVAVFILVMLWGKRVRKYENPFKQYGLDFKASNKEKIQEFLKAFAGGITVVLLIQFINAISGAAIFSRPPYFPHPFDAMKCLKGFGQFLLLIIRGFTAATFVVLVEELLFRSWMPAEIAIDLGYHQSIIITGLIFALFQRSLRSIPGLWLLSLALAGARERSQGNLIVPIGLRAGIIATSFILQSGGFLTYNPSSPVWIAGSRPLQPFSGVVGLMVSLALALILYPKPSPETKMLKYN >scaffold_500290.1 pep chromosome:v.1.0:5:1633334:1633562:1 gene:scaffold_500290.1 transcript:scaffold_500290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHK7] MKNLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCSVSRSSRRVF >scaffold_500291.1 pep chromosome:v.1.0:5:1634742:1635381:1 gene:scaffold_500291.1 transcript:scaffold_500291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNMIVLTSSDGESFQVEEVVARKLQIVGHMLEDDCVINAIPLQNVTGKTLSMVLEYCKKHVDDVVADDVVPESTEGDGASEEPKKKVDDVVVPKSSEEDDASEEAKKKLDAWDAKFMKDLNTETIFSIILAANYLNVKGLLDLTSQTVADYIKDMTPEEVRELFNIENDFTPEEEEAIRKENAWTFEAASAQEVPKP >scaffold_500292.1 pep chromosome:v.1.0:5:1636435:1636963:1 gene:scaffold_500292.1 transcript:scaffold_500292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKIVLTSSDGESFEVEEVVARKLQIVGHMLEDDCVINEIPLQNVTGDILSMVIEYCKTHVDEEESEEAQTKLKTWDEEFMKKFDIKTLLQIILAANYLNVKGLLDLVSQTIADTIKDYTPEQIREVFGVENDYTEEEEAEVRKENAWAFDEADTPKP >scaffold_500294.1 pep chromosome:v.1.0:5:1655170:1656601:-1 gene:scaffold_500294.1 transcript:scaffold_500294.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7LQF2] MASSSSSFSLLFPFFLILFSCFIAVSSSRRSLIDRPLPKNLPRSGFRLSLRHVDSGKNLTKIQKIQRGINRGFHRLNRLGAVAVLAVASNPDDTNNIKAPTHGGSGEFLMELSIGNPAVKYAAIVDTGSDLIWTQCKPCTECFDQPTPIFDPEKSSSYSKVGCSSGLCNALPRSNCNEDKDSCEYLYTYGDYSSTRGLLATETFTFEDENSISGIGFGCGVENEGDGFSQGSGLVGLGRGPLSLISQLKETKFSYCLTSIEDSEASSSLFIGSLASGIVNKTGANLDGEVTKTMSLLRNPDQPSFYYLELQGITVGAKRLSVEKSTFELSEDGTGGMIIDSGTTITYLEETAFKVLKEEFTSRMSLPVDDSGSTGLDLCFKLPNAAKNIAVPKLIFHFKGADLELPGENYMVADSSTGVLCLAMGSSNGMSIFGNVQQQNFNVLHDLEKETVTFVPTECGKL >scaffold_500308.1 pep chromosome:v.1.0:5:1750378:1751043:-1 gene:scaffold_500308.1 transcript:scaffold_500308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTEAEQEEEEFSEWVVIQTSPKSPRSDASTPPNSPILQPSHRSENPTTNDDDDDDEDSVVPSTVNLWLPWRVIDTTKKRLLKNSVCFFQAAERVRCSYLTRKRVFWSLTIIGGFSLVLSLVYVKLVRWWRRLHEEKLRFLLLLLREKDQKIKELMVEIGRLNELLLSRRRVRVVRIV >scaffold_500309.1 pep chromosome:v.1.0:5:1767416:1767698:-1 gene:scaffold_500309.1 transcript:scaffold_500309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVVFIKLLQHTLINVVTILVGNKSDLKDLREVSTAEGKSLAEAQGLFFMETSTLDSSNVAAAFETVVKEIYNILSVD >scaffold_500311.1 pep chromosome:v.1.0:5:1776771:1777221:-1 gene:scaffold_500311.1 transcript:scaffold_500311.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:D7LQG4] MKVRSYVKQMCEFCKTVKRRGRVYIICSSVLPFLSTSKERQGFSSFAYEGIIPFPFKLHTSSCAS >scaffold_500319.1 pep chromosome:v.1.0:5:1803839:1805655:-1 gene:scaffold_500319.1 transcript:scaffold_500319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSQSLSTLTICGSVKVSSLLRNRLNSSKASSLIGDRCVSCQFLRKSPSFRSHWKSIKQRNLLRVEARWPFQGGGGEQGLDPSSERSESANEDILIFFFQLDLATRVQYAMNLEQYDIAQQLREKLTEVEEESIRLQEGKRGSSAKSEAQDKGISIIRLRADLQNAIDSEDYGLAAKLRDEISKLEAESLAVSAKALAFENAEYAFRLGQKLRHKTFGYRAVVCGMDPICCESSSWMEAAEVEKLPRGSNQPFYQVLVDARTHPDLVVAYVAEDNLLAPEKPDKERFDHPYISFLYYGADTAGDFIPVKQLREKYNRPRHEVPFDSQEED >scaffold_500325.1 pep chromosome:v.1.0:5:1846242:1847325:1 gene:scaffold_500325.1 transcript:scaffold_500325.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mo25 family protein [Source:UniProtKB/TrEMBL;Acc:D7LQH6] MKGLFKNKSRLPGEIVRQTRDLIALAESEEEIDSRNSKRLGICAELCRNISDLKSILYGNSEAEPVPEACLMLTQEFFREDTLRPLIKSIPKLDLEARKDATQIVANLQKQQVESRLVASEYLESNLDVIDSLVEGIDHDHELALHYTGMLKECVRHQVVAKYILESKNLDKFFDYVQLPYFDVATDASKIFRELLTRHKSTVAEYLTKNYEWFFAEYNTKLLEKGSYFTKRQASKLLGDVLMDRSNSGVMVKYVSSLDNLRIMMNLLREPTKNIQLEAFHIFKLFVANENKPEDIVAILVANRNKILRLFADLKAEKEDVGFETDKSLVMNEIATLSLLDIKTADR >scaffold_500326.1 pep chromosome:v.1.0:5:1847431:1848316:-1 gene:scaffold_500326.1 transcript:scaffold_500326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTKISSFSRPSFTLHEPRVVHNPRISMAICSLSSSSSSPSPFHHLLCKSTFPLAASLTLLFSPCTAEAGLMSGSPGIESVPGPELPKIEFLDRFNARNQKYYAENDARFKESPLLKKLLENSKLNKEKNEREIQDKYCLRGAEWGVGDCSTTGMTDEEKEKFITMLKKKTGVE >scaffold_500328.1 pep chromosome:v.1.0:5:1850992:1851588:-1 gene:scaffold_500328.1 transcript:scaffold_500328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTDQVKKKFSDKKPENSDPEPNHNKNKPGHTDPTTHKPVSNTDQSTHRPASNAELMASAKIVAEAAQAAARNESDKLDKAKVAGATADILDAASRYGKLDEKSGVGQYLEKAEQYLHKYETSHSHSSTGGSHGSQGGVGSGGSHGGVSGGAPAAKKEDEKKSGGGHGFGDYAKMAQGFMK >scaffold_500333.1 pep chromosome:v.1.0:5:1876535:1879052:1 gene:scaffold_500333.1 transcript:scaffold_500333.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LQI3] MASSSELSLDCKPQSYSMLLKSFGDNFQSDPTTQKLEDLLSRLEQERLKIDAFKRELPLCMQLLNNAVEVYKRQLEAYRANSDNNNQSVATRPVLEEFIPLRNQPEKTNKGSNWMTTAQLWSQSETKPKNIDSTTDQSLPKDEIISSPKLGHFDAKQRNGSGAFLPFSKEQSLPELALSTEVKRVSPTNEHTNGQDGNDESMINNDNNNNNNNNNNNSNSNGVSSTTSQSNRKARRCWSPDLHRRFVQALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPNPQTSGGPGPHLVVLGGIWVPPEYTTTHGGTPTLYHHQVHHHHTTTAGPPPPHFCSSQEFYTTPPPTQPLHHHHFQTFNGSSGGAASTDSTHHQLTDSATEEGKSSESGGGERKGLAALRDEGEDQSNINGSEITLKF >scaffold_500334.1 pep chromosome:v.1.0:5:1881798:1882806:1 gene:scaffold_500334.1 transcript:scaffold_500334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLGLLFLLALTTYSSATYCLCRDGIEEKDLQTSIDYACGVLKDCNQIHDKGPCYQPNTVKSHCDWAVNTYFQRFGQISGSCNFSGTATTSQNPPSTVVTGCIYPSSPGSAGTTPTTGTPSGTQPFQGPPAFGPVGVLDPSGNSASSLFISIALTLGFSVIAFL >scaffold_500338.1 pep chromosome:v.1.0:5:1900914:1901152:1 gene:scaffold_500338.1 transcript:scaffold_500338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVESKGSANTLRDVGGCLPQHTYLDYSITNLLAAIIIAFTFGQIGSTKDDSPNFLTQLAQVYT >scaffold_500340.1 pep chromosome:v.1.0:5:1909909:1911413:1 gene:scaffold_500340.1 transcript:scaffold_500340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDPDEEKIYRELAGVSDKSYCLASSGNWLLMADSRLDFYIVNLLTGKRINLPPMESKIRGAQVRFEQSRECSHWGYLLIDQRCMFIVEKEIFQYKRSAVLWINERTGDYFVAWIFNKHYLFTHKKGDDSWCWHRKWTKAGYLDLAYKNNKLYICTTDNYIKIFDFSGDFPKEEIKNNPYRNRSFNYNRGVGEIIWERRIAIQKSGEVLIILRVKAGDHSCSFWIFKMNPESRKWERVDSIGDDEMIIFGHGATIRAPVQDVGDGVKSGSIWFVKFDHRPFRGVFDLATVIPITPFITREADGHRIGVCNLDVLSDAELKYSDALSSKSTASLLVES >scaffold_500341.1 pep chromosome:v.1.0:5:1912101:1913338:1 gene:scaffold_500341.1 transcript:scaffold_500341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEESQKVSENHDWSNLCPDLLRKIIESLSSIDYYRAKIVCSDWYGVWKTCVKRRLCPWRIVCQYDSYKFYDPGEDEIYTPQYVGLSDNSYYMANSGNWLLMINLPSIESPIRGAQVRFERSGESRYTWGHFVEPCRKDNVSKDILHCKRSGVVLWINERTGDYVVAWILKRHYLFTYKKGDDSWWNWNKDWITGAKNLGYLDVAYKNSKLYLYTTDNHIKIIDFSGDFPKEEIENNPYLDHPFNYAPRGCEVTSKRRIAIQKSGEVLIILNLVLIGESKLLFYIFKMNLESGKWERVQSIGDDEMLIFGHGVTIRAPVQDVGDGIKSGSIFFVDDSGLRADYRCYSDCGIFDIATSRIKWPKQFHCLMDKSHWFAPGFA >scaffold_500343.1 pep chromosome:v.1.0:5:1917582:1918797:1 gene:scaffold_500343.1 transcript:scaffold_500343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPNDLMREEKGQKVSENHDWSKLCPDLLQNIIERLSFVDFYRAQIVCSDWYTVWKTCVKKTLYPWRILYDDVSLMLFDPREDKKTRKLLGLSDDSYYMASFGNWLLMVDSRLDFYIFNLITCQRIDLPSMETPIHKRINISSRKSSSHDGHTVRFKRSNAPEYGEWGHFVEYGKCGQSAKPCRKDHVSKLIYGSQRSAVLWINEKTGDYVVAWIFKQHYLFTYKKGDESWWNWNNNWKFGQGRVNLGYLDLAYENSKLYLYTTDGHIKIINFSGDFPKEEIENNPYLDHPFNYAPHGLELISRRRIAIQKSGEVLIIVSLLDLLLDERALLFYIFKMNLESGKWEREHSIGDDEMIIFGHGVTIRAPVQDVGDGIKTGSICFVKDDVGT >scaffold_500346.1 pep chromosome:v.1.0:5:1927521:1929129:1 gene:scaffold_500346.1 transcript:scaffold_500346.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATUPS1 [Source:UniProtKB/TrEMBL;Acc:D7LQJ6] MYVIESKGGAITCILFALLFLGTCPAIMTLTERRGRLPQHTYLDYTLTNLLAAVIIAFTLGEISPSRPNFITQLSQDNCLGTLATQYAWAFVGLSVTEVITASIAVVIGTTLNYFLDDRINRAEVLFPGVACFLIAVFFGSAVHKSNAADNKSKLQDFKSLETASSFKMETSPTNEGKAKVGTADFLIELEKQRAIKVFGKSTIIGLAITFFAGICISLISPAVSLATNDQWHTLKHGVPKLNVYTAFFYFSISSFGVGMILNIIFLYWPILGLPRSSFMAYLNDWNGRGWSFLAGFLCGFGNGLQFMGGQAAGYAAAGAVQALLLVSTFWGILLFGEYKRSSRKTYILLISMLFMFIVAVAVLMASLIQ >scaffold_500348.1 pep chromosome:v.1.0:5:1937105:1938877:-1 gene:scaffold_500348.1 transcript:scaffold_500348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQLDPFSASNLPDFISSQKIGRPVNFEGQNNRGHPFSGLKKRGQSSRSWVKIDQDGNSAVLELDKATIMKRCSLPSRDLRLLDPLFIYPSSILGRERAIVVSLEKIRCIITAEEVILMNARDASVVQYQSELCTRLQSNQNLHIKDDLPFEFKALELVLELSCLSLDAQVNELEMEVYPVLDELATNISTLNLEHVRRLKGRLLTLTQKVQKVCDEIEHLMDDDDDMAEMYLTEKKERAEAHASEELEDNIGEDFESSGIVSKSAPVSPVGSTSGNFGKLQRAFSSIVGSHKSLLSSSSIGENIDQLEMLLEAYFVVVDNTLSKLSSLKEYIDDTEDLINIKLGNVQNQLIQFQLLLTAATFVAAIFAAVTAVFGMNLQDSVFQNPTTFQYVLLITGIGCGFLYFGFVLYFKHKKVFPL >scaffold_500358.1 pep chromosome:v.1.0:5:1980595:1981550:1 gene:scaffold_500358.1 transcript:scaffold_500358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDTTSQTKNALQWALTHCVQDEDNITLLHVTRTPVGQAIDETQRERNSRAHEQVHPLKNFCQLKKPNVKTEIVVVETAEEKGKTIVEESKKQGAGVLVLGQRKRTSKWRVIWKWRTKGGMGGVVEYCIHNSDCMAIAVRKKSNNGGYLITTKRHKDFWLLA >scaffold_500359.1 pep chromosome:v.1.0:5:1983286:1983556:-1 gene:scaffold_500359.1 transcript:scaffold_500359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNSNNNNDKEGTSFLGTALAVIGVVGAIAGLFSGMGSGPNKKTMKAPGKDGRIFREVFESDPKGYFRNMRNK >scaffold_500360.1 pep chromosome:v.1.0:5:1985194:1987220:-1 gene:scaffold_500360.1 transcript:scaffold_500360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCLSYSYNMDDEIAKFIRRVNPPRVVIDNEVCKDVTVIKVDSANKHGILLEVVQVLTELNLTIKKAYISSDGGWFMDVFNVTDQDGNKVTDEIVLDYIRKSLGPDESTCFSPSMRSTIGVKQSVDYTVIELTGTDRPGLLSELCAVLMDLQCNVVNAEIWTHRAKAAAVLQVTDEETCSAITDPERLSKIRKLLGYVLTGGSSGRRFREPKTMVSSALDDTHTDRKLHQLMFADRDYDEWENNVDDEDKCGRVVPDVDVSNLHDLDYSIVMIKCKDRPKLLFDTVFTLTDMNYVVSHASIDAEGPEAYQEYYIRHTDGSPVKSEAERQRVIKCLKAAIQRRVSEGLKLELCTSDRVGLLSDVTRIFRENSLTVTRAEVKTKGDKALNTFYVRDASGYQVDAKTIESIRQVIGQTILQVKGGNTDAKTSPQDSPTGFLFGVFKSRSFVNFGLIRS >scaffold_500364.1 pep chromosome:v.1.0:5:2012630:2013644:-1 gene:scaffold_500364.1 transcript:scaffold_500364.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:D7LQL2] MTKSETTLSKLLVESEFCQECKELLSSLPRDRSFYAEYLYQYQGFWYPPNLLEGVLYSQKHFQARDSDIILVSSPKSGTTWLKSLVFALIHRQEFQTPLESHPLLDNNPHTLVTFIEGFQFHTQDTSPSIYSTHIPLGSLPESVKDSSCKVVYCCRNPKDAFVSLWHFVKRLTLKEMVGCTMEEMVSGFCKGSSVYGPFWDHALEYWKESRENPKKVMFVMYEEMREQPQNSLMRIAEFLGCSFTEEEIENGVLEDIVKLCSLENLSKLEVNEKGKLLNGMETKAFFRKGEIGGWRDTLTPSLAEEIDKTTEQKLIGSDFRFFC >scaffold_500380.1 pep chromosome:v.1.0:5:2081357:2082684:-1 gene:scaffold_500380.1 transcript:scaffold_500380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSKACYKLLTITILLLSFTITSLAGNAEYADVSECRAESGDPSCHNNKIAQKFKLIAIPSILVANMIGVSLPLLSRFIPVLGPDRDMFVIVKTLASGVILATGFMHVLPDSYDDLTSKCLPEEPWRKFPFSTFIATVSALLALMIDSYATRTSKREGEAVPLENGSNSVDTQEKVNDDKTSQLLRNRVIALVSELGIVVHSFVTGLAMGASDNQCTIRSLIAALCFHQLVEGMRLGGSILQAELKSKMNWIMVFSFPVTTQVGIALGMEIHKIYDETSPTSLIVVGVLNACSAGLLIYMALVNLLAHEFFGRPKKIHFLGYVAVFIGGGGMSLMAKWA >scaffold_500382.1 pep chromosome:v.1.0:5:2084397:2085985:-1 gene:scaffold_500382.1 transcript:scaffold_500382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHISKWETRKAYVSTWKCPGGTIPIRKYERTNCTDESSASLIGGSPHEHAVGTTVTSTKIYGAKATMSVWDPTVERRDELVEVTTKTILIALKFVGSKPRLFIFWTPAPIFANLAYADDVQWGGEIVNSNISGRHTTTQMGSGHFPDEGFDRVGYVRNLEIVDNNNEFQPVQDIKVIATDPKFYTIKNMTGDDWGTYLFYGGSGYSQIHSGVSSLALSSFFYYFSFIISFII >scaffold_500384.1 pep chromosome:v.1.0:5:2097049:2100779:-1 gene:scaffold_500384.1 transcript:scaffold_500384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPVMLWMIFFLGLFIVSPSFNIKTSDEESVECVDIYEQPAFHHDLLKTHKIQKQPSEIPKPVQIKQKNKWDTREADVSTWQCPEGTVPIRNDETTSSTSTGESYPREHAVVTTNEIPPKMYGAKATMSVWNPTVETEGEFSLSQIWITSGSYKTNNLNSIEVGWQVLPDLYQDKKTRLFIYWTSDTYNVTGCYNLLCPGFIQTSNRIVLGGTITPISVFGGKQSEITVFVWKDQKSGNWWLSLGSNHSLVGYWPAEIFANLAYADEVQWGGEIVNSQSLGRHTTTHMGSGHFSDEGFGKVGYFRNLEIIDNNRFQPVQDITVKATDRKFYDIKDMFREDWGTYFFYGGPGRIHSGVSSLALSSFFFYFSFIIFFII >scaffold_500385.1 pep chromosome:v.1.0:5:2109622:2109846:-1 gene:scaffold_500385.1 transcript:scaffold_500385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGRELKEKAKKKKKRKEVIIEIPNDDVLEEIMVIRLPAKTLTRFQIVSKHWRHVYDKV >scaffold_500386.1 pep chromosome:v.1.0:5:2113181:2113429:-1 gene:scaffold_500386.1 transcript:scaffold_500386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAWCWFFPPSCFFTMGAKSLTGKTFNTVIFFGEKLIRLQDLNVDPHKALSVLLQFLPSSLFSLRPGS >scaffold_500392.1 pep chromosome:v.1.0:5:2143259:2143720:-1 gene:scaffold_500392.1 transcript:scaffold_500392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWAYVDEKDVSSWGDFLCKKTWKARLYFLPGGEKPDKRMFNDILIWEKDSPVDFPEPASVVVVSDKVRCDPYFFDMLSSMDMGRHYHVYLVDPTKRVPPESSEWPILLFDEMHSFARKRGREDENPTKRRAVAEDQG >scaffold_500393.1 pep chromosome:v.1.0:5:2146591:2146781:1 gene:scaffold_500393.1 transcript:scaffold_500393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRRADFRYSTGIFEKYTVLKPQPLILA >scaffold_500395.1 pep chromosome:v.1.0:5:2154126:2154600:1 gene:scaffold_500395.1 transcript:scaffold_500395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAISLLFISLILTCMRSMSIPKAKAQVHYHSCSTVDDCQDVHCLGATVKCIDSQCQCPPISPHSSRKSLYLKPAETPLPCKTASDCEDKLTCIFGKFVCKNSQCQCLDG >scaffold_500396.1 pep chromosome:v.1.0:5:2155331:2155884:1 gene:scaffold_500396.1 transcript:scaffold_500396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRATSLFIFFFLISCTFMLLETNASRNEHSSNLPLCTFKLNCSGIWCPEKIEKHNCIRWTCGFSEDCDKFVRCEKNAGPVCFEGICNCTQ >scaffold_500397.1 pep chromosome:v.1.0:5:2158309:2158783:1 gene:scaffold_500397.1 transcript:scaffold_500397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKAISLLFISLILTCMRSMSIPKAKAQVHFHSCSTVDDCQNVHCLGATVKCIVSQCQCPPISPHSSRKRLHLKPSETPLPCKTASDCEDKLTCIFGKFVCKNSQCQCLDG >scaffold_500398.1 pep chromosome:v.1.0:5:2159501:2159923:1 gene:scaffold_500398.1 transcript:scaffold_500398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRATSLFIFFFLISCTFMLLETNASRNKPSSNIPLCGFKLNCGGIWCPEKIGKHNCISWPCDFSEDCDKFVRCEKTGPVCMEGICNCTN >scaffold_500400.1 pep chromosome:v.1.0:5:2168176:2168689:-1 gene:scaffold_500400.1 transcript:scaffold_500400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLHMIMLVSIVMFSMDRPIYSQKIDLYSQRIPEEADISPTPLEVADSPTTESEIELAHHLHKDYILACPKKPSPKCEDEIFNNMLDETMPMTDECCRDVLNTGKDCHLAMVKIIFSTKDYKNIASKAIPKSKKAWNDCIRRVGSKIGAPISFEL >scaffold_500403.1 pep chromosome:v.1.0:5:2174679:2175192:-1 gene:scaffold_500403.1 transcript:scaffold_500403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFLMVMLISIVMFSMARPIYSQKIDPYSQGIPKEADISPTPLEVADSPTTESEIELAHHLHKEYILACPKKPSPKCEDEIFNNMLDETTPVTDECCRDVLNTGKDCHLAMVKIIFSTYDYKNIASKAIPKSKQAWNDCIRRVGNTIGAPVSFEP >scaffold_500404.1 pep chromosome:v.1.0:5:2176896:2177488:-1 gene:scaffold_500404.1 transcript:scaffold_500404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAVFESVVFLKFSSNLICSIQDISPTPLEVADSPTTESEIELAHHLHKEYILACPKKPSPKCEDEIFNNMLDETTPVTDECCRDVLNTGKDCHLAMVKIIFSTYDYKNIASKAIPKSKQAWNDCIRRVGNTIGAPVSFEP >scaffold_500406.1 pep chromosome:v.1.0:5:2181006:2181519:-1 gene:scaffold_500406.1 transcript:scaffold_500406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLLIIMLLSIVIFFMARPIYSQKIDPYSQGIPEEADISPTPLEVADSPTTESEIELAHHLHKDYILACPKKPSPKCEDEIFNNMLDETTPVTDECCRDVLNTGKDCHLAMVKIIFSTYDYKNIASKAIPKSKQAWNDCIRRVGNTIGAPVSFEP >scaffold_500409.1 pep chromosome:v.1.0:5:2185998:2186590:1 gene:scaffold_500409.1 transcript:scaffold_500409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKITFFFLSALVIAYALMVSIPTAEAQIFLPCKTNKDCEYFHCSSGTPLCIMRQCTCTISSTHQAKLENYRQ >scaffold_500411.1 pep chromosome:v.1.0:5:2205202:2205414:-1 gene:scaffold_500411.1 transcript:scaffold_500411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRQRDTNPSAHSTEILTPSTKTIVQVQDDYDEFKKAEAIFIALNLSKHTRFY >scaffold_500423.1 pep chromosome:v.1.0:5:2262666:2263699:-1 gene:scaffold_500423.1 transcript:scaffold_500423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWTPFTGGQMEYWRQVRESGGFNLEGISVPRGTTGLMSYDCEREDVRYPYPVLVERYARFGLHRYNMCNATNLQFHHLKKVSLTMICQAIYFITLAACDPATSPVTLELGVAEGCRKPGRSLSLTCFIARPLGTPSEPVQLPNTELVVVDDAQLPCWPSDFNDTQRFYEVKESELQDNDWIRLNLELALCTNDRNLEVSDLSQLQIVNVLIEGIQGNATLQAAAANVYITFKGLAMSRVGELGEHVVRKVIIRRAINELTGCMTLLGGYGFSIGEATEERFIPRPSFISLYEAGNIVPFRGPMTGPPLGGFIGRKAIELGLFPDYRQD >scaffold_500427.1 pep chromosome:v.1.0:5:2278670:2279982:1 gene:scaffold_500427.1 transcript:scaffold_500427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKGKRTATERRNDDVSLYYSTPSEFSCRKHPSVSSGVGICPYCLNDRLVNLVCSECGEQRLSSCSCSDISPNRTSNAAVDAAAGENVVRISSLIDEETTKQRKETKQRKTEEVVVFKRSSSSCVEINKRTKNYRFSRIGRFFRKINLKKERDFEKNNSNDSWVLDYNNDVKKLGVSRSRSLCSFRGKDLYCLGSEEDGSSYSGAFSAARSSSVNGGLGLCETEYPRKSNFEGRKSNFSETTEHRKSNFEGGRKSNFSETTTENRKSNFSESEPPRRSGFEARKSNFSETEYPTRRSNFSETEYNTRRGNNPVTAENHPRRSSNYEAARKSDSAAMNFTRRVMSMKESSYFTGGEEPGFIDLKFDSSGGGGDVNDGVLEHGGGGGSCRKDGGELRKSRKSFKGWKWIFGHHQHQLHHHNRDS >scaffold_500431.1 pep chromosome:v.1.0:5:2313409:2316695:-1 gene:scaffold_500431.1 transcript:scaffold_500431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPNEQESSFPKTPGANRHETSPVQDNRLSSPSHVIPCLDDDHDGPSHQSRQSSVLRQSLRPIILKFEELSYSIKSQTGKGSYWFGSQEPKPNRLILNGVSGIVKPGELLAMLGPSGSGKTTLVTALAGRLQGKLSGTVSYNGEPFTSSVKRKTGFVTQDDVLYPHLTVMETLTYTALLRLPKELTRKEKIEQAETVVSDLGLTRCCNSVIGGGLIRGISGGERKRVSIGQEMLVNPSLLLLDEPTSGLDSTTAVRIVATLRSLARGGRTVVTTIHQPSSRLYRMFDKVLVLSEGCPIYSGNSGRVMEYLGSIGYQPGSSFVNPADFVLDLANGITSDTKQYDQVEINGRLDRLEEQNSVKQSLISSYKKNLYPPLKEEVSRTFPQDQTITRSRTKSLLTNRWPTSWWMQFSVLLKRGLKERSHESFSGLRIFMVMSVSLLSGLLWWHSRVAHLQDQVGLLFFFSIFWGFFPLFNAIFTFPQERPMLIKERSSGIYRLSSYYIARTVGDLPMELILPTIFVTITYWMGGLKPSLTTFIMTLMIVLYNVLVAQGVGLALGAILMDAKKAATLSSVLMLVFLLAGGYYIQHIPGFIAWLKYVSFSHYCYKLLVGVQYTWGEVYECGSGLHCSVMDYEGIRNLRIGNMMWDVLALAIMLLLYRVLAYLALRNL >scaffold_500432.1 pep chromosome:v.1.0:5:2338855:2339698:1 gene:scaffold_500432.1 transcript:scaffold_500432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSILANTSLDSSFSLSKRYFNWKKKKVQEDDDEEEEEEEDDYNKNEEKILTRFNFSSDPTRPDQFNTQHIMKKKKKKTIEKIRYALGFSNSGLGFRVVGTLFGNRRGHVYFAVQDDPTRLPAVLIQLPTPTSVLVREMASGLVRIALETAAYKTDSKKKLLEESTWRTYCNGKKCGYAARKECGEAEWKVLKAVGPITMGAGVLPAATTVDEEGNGAVGSEKGELMYMRARFERVIGSRDSEAFYMMNPDVSSGGPELSVYFLRV >scaffold_500438.1 pep chromosome:v.1.0:5:2369024:2371723:1 gene:scaffold_500438.1 transcript:scaffold_500438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSVKKSPTSSFLFPKITPLLIRHRLTLPLLVPPHKPPRFRIVASLSGTSWVSQASKEKYGGWALVEDEEPPSPHSKTKKKWRNVVITGVGSSLAVVLATIAYFSISRKGFRFCFSNPLHYQNVELDQNANEESETLLNDENNSVSEANSDSVDYVSDTVDTASTGKTHRVTIPVAVDAAQQEAIAVLKKLKIIEDDVVADELCTRREYARWLVRSNLLLERNPMHRIVPAVALAGSSIPAFDDINTADPDFEYIQALAEAGITSSKLSGKDSQNDSGNNNFYPESFVSRLDLVNWKAQLECGFHPEIMEEISRTKVDYIDTKNINPDMALGFFLDFLTGDKSTIRNVFGRIKRFQPNRPVTKAQAAVALTSGKMVKAISEELSRLEAESLSQKAETEEIRSELLKGEIRQFWDEKIQAERSRGVEMEELYLSRVSELEEEKNTQEKWFAERLKEKAATDCQKQLLHSLSEEIDEMSQRLISDKSVYLTEHSKLQEMLSDIQSKLESLVDKRSILEAEIEALRILRSWIEDEAKASQARAKVLEEAGRRWKWNDQA >scaffold_500446.1 pep chromosome:v.1.0:5:2432731:2433409:-1 gene:scaffold_500446.1 transcript:scaffold_500446.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQU1] MVVESVALALWNLITLDSFGSTLVLSRGTIIALVRTFTAVCRFYFNLAMLEASLWQIGKRSSLSFSVPVILVHRDFYSPHLSFMELIILPNTSLVLSGIVIGSIVVKTVLLGAEARIIIHDGSRSTFVGCLTLEALFPPLCGFGKDYCFEDVCFIGGPCLDSALVELLSSPLSLSLCLRFVVVLSLSSYSITLLVVVLDVWAPCSLVVFSVF >scaffold_500447.1 pep chromosome:v.1.0:5:2433795:2434317:1 gene:scaffold_500447.1 transcript:scaffold_500447.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQU2] MAAAMGDWKHWEIVEDRRGVEGGGAEQELLRMRARSGDPVDPVAVEELVKTEAPVVVLEEVSDHGRGPNKSGNSERDLTSGEKREPSQVVNSPENCHVTGKRGPPERSNLRSKLQSQEEAAGRGTPVKGAHSVKLWVFLVFVPDTFSFHENDFDMQII >scaffold_500448.1 pep chromosome:v.1.0:5:2435465:2436498:1 gene:scaffold_500448.1 transcript:scaffold_500448.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERD12 protein [Source:UniProtKB/TrEMBL;Acc:D7LQU3] MSSSAMSLQSISMTTLNNISCNQQFHRSSLLGFSKSFQNLGVSSIGPDSSTRSSFNPKKKLTPTRALTQDLGNTENPRPSKVQELSVYEINDLDRHSPKILKNAFSLMFGLGDLVPFTNKLYTGDLKKRVGITAGLCVVIEHVPKKGERFEATYSFYFGDYGHLSVQGPYLTYEDSFLAITGGAGIFEGAYGQVKLQQIVYPTKLFYTFFLKGLANDLPLELTGTPVPPSKDAEPAPEAKALEPSGVVSNFTN >scaffold_500452.1 pep chromosome:v.1.0:5:2464617:2467729:-1 gene:scaffold_500452.1 transcript:scaffold_500452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIDEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEYAHVLLPPLETLSSVEETCVREKAVESLCRVGSQMKESDLVDHFIPLVKRLAAGEWFTARVSACGVFHIAYPSAPDMLKTELRSLYTQLCQDDMPMVRRAAATNLGKFAATIESAHLKTDIMSMFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVQHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRTELVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPEIAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEHLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVHSIRDAAANNLKRLAEEFGPEWAMQHIVPQVLEMINNPHYLYRMTILRAVSLLAPVMGSEITCSKLLPVVMTASKDRVPNIKFNVAKVLQSLIPIVDQSVVEKTIRPGLVELSEDPDVDVRFFANQALQSIDNVMMSS >scaffold_500453.1 pep chromosome:v.1.0:5:2475082:2475398:1 gene:scaffold_500453.1 transcript:scaffold_500453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECLAIRSALSSALDLGIQFLSLKTDCQVLAKAISSKRTVVEVHGVISDIFFCISQLKGFTCSFILRAANVEVDTLAKAALASYLVIF >scaffold_500454.1 pep chromosome:v.1.0:5:2476184:2476695:1 gene:scaffold_500454.1 transcript:scaffold_500454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFYQNTLTQYFHIRRPHRFLCKSMTKTTPDASSADLRRRSSNYQPSRDVLKKKVKMMLDVVKKSRLEQLELMDDLQKLFSYHFELQINDDIFSS >scaffold_500460.1 pep chromosome:v.1.0:5:2496307:2497507:1 gene:scaffold_500460.1 transcript:scaffold_500460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTLEMPYHWGTRRLETRCRSKVVQKRIQAKLEEYMQNAWISISAPTMLIHIHCAFSDEISIQVLGVIIPTPATSSPVRCSATILHLDLGTSTDELARGDVLKSVQCYMHETGASQEEARE >scaffold_500466.1 pep chromosome:v.1.0:5:2523080:2525096:1 gene:scaffold_500466.1 transcript:scaffold_500466.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:D7LQV9] MAVSSSSFLSTTSLTNSKSNISFASSISPSLRSVVFRSSIPATSHRRSMTVRSKIREIFMPALSSTMTEGKIVSWIKTEGEKLAKGESVVVVESDKADMDVETFYDGYLAAIVVGEGETAPVGTAIGLLAETEAEIEEAKTKAASKSSSSVVEAVVPSPPPVTSSPAPAIAQPAPVTAVADGPRKTVATPYAKKLAKQHKVDIGSIAGTGPFGRITASDVETAAGIAPSKSSVAQPPPPPTPVAAKATTTTTNLPPLLPDSSIVPFTAMQSAVSKNMIESLSVPTFRVGYPVNTDALDALYEKVKPKGVTMTALLAKAAGMALAQHPVVNASCKDGKSFSYNANINIAVAVAINGGLITPVLQDADKLDLYLLSQKWKELVGKARSKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADKDGFFSVKNTMLVNVTADHRIVYGADLAAFLQTFAKIIENPDSLTL >scaffold_500467.1 pep chromosome:v.1.0:5:2525880:2526441:-1 gene:scaffold_500467.1 transcript:scaffold_500467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLLEERLTRTDSVGKKRVRDELGFDSPDVKRLRDDLFDDSGFDPVIQDLDSVMKSFEDELSNTTAKGSGDGETQPDLGYLFEASDDELGLPPPPPLPLNIPPSCEETEETVTELVRASSDSSEVGELCVFEDHVTDFGSCDLGDDGLFEYSDVCLDSGDLFSWRPEFLPAE >scaffold_500468.1 pep chromosome:v.1.0:5:2539641:2540034:-1 gene:scaffold_500468.1 transcript:scaffold_500468.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nim1-interacting 2 [Source:UniProtKB/TrEMBL;Acc:D7LQW1] MSSVKREERREEDNGNIEKPSTEVVRTVTEEEVDEFFKILRRVHVATRTVAKVNGGVVERELPSKKRKRTQNLGLRNSLDSNGVRDGELDGINRVGLQGLGLDLNCKPEPDCVSLSL >scaffold_500470.1 pep chromosome:v.1.0:5:2557165:2558224:1 gene:scaffold_500470.1 transcript:scaffold_500470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKKRSSIQTNKPHKKPMKKKTFQLNHLPGLSEDLKTMRKLRFVVNDPYATDYSSSEEDERVQRRKRYVCEIDLPFAQGATQAESESSVCQESSNNGGSKSKISACSKKVFSSKVSPVVGRSSSVSKPVGVRQRKWGKWAAEIRHPITKTRTWLGTYETLEQAANAYAAKKLEFDALAAATSAASSVLSDSNESGSMISASGSNVEAVSSIDLDKMLVDSTLDPQAGESKKATFDFDFADLQIPDLGCFIDESLIPNACELDFLLTEENNNQMLDDYCGIDDLDIIGLECDGPSELPDYDFSDVEIDLGLIGTTIEKYAFVDHIATAATTPLNIACP >scaffold_500471.1 pep chromosome:v.1.0:5:2558300:2558491:-1 gene:scaffold_500471.1 transcript:scaffold_500471.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LQW4] MRNNCLQKTPPEVYNFDLTSLSSPQHQNHYVNKTFFSNTNTQNCICFYL >scaffold_500474.1 pep chromosome:v.1.0:5:2580854:2582027:1 gene:scaffold_500474.1 transcript:scaffold_500474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERKDRSVSLDKYRRSPLCCESSCGLKPSEKQVKEWEEARCPVCMEHPHNGILLICSSYENGCRPYMCDTSHRHSNCFDQFRKASKEKPSLSLLHEEEESNEPTEMEDVASDSTAVNLRGEAASESTDVSLREGERGEEEEEGERGEEEVVEEEGIVATEEDQEKNKPPKLTCPLCRGHIKEWVVVEAARYFMNSKHRSCSSETCDFSGTYSDLRKHARLLHPGVRPSEADPERQRSWRRLERQRDLGDLISTLNSSFGGEERSNDDGILFDGSLLTVIFLIRVFRPESSGSRSSSSSWSGASRARSQIGGRRRPHRPPGLWGESYEGNTGTSSRDEENNNQSSDEQESGTRRRRRSRRRAIIDDDDDDDEEEEQ >scaffold_500478.1 pep chromosome:v.1.0:5:2588121:2588913:1 gene:scaffold_500478.1 transcript:scaffold_500478.1 gene_biotype:protein_coding transcript_biotype:protein_coding METALSPSSSPATLPSFLLLFLFVYIVGYFLIFRSWKSSSHLGASCLMSLFHGTPAVIMASHALLTTTRASVPSFASPNTAVESTVLDFSMAYFTVDLLHYLIFLPNDFIFILHHIATLYVFATCRFSVGHGAHALLILLILAEATSACQNVWTIAGYRKHDVVLAKRVREVLSPPFYLFYTVVRGLAGPVALYDMAAFYGSGAAEGVIPRWAWLSWLVVIGFAIFVSVLWVLRNWVDWFREKNFSKKYK >scaffold_500479.1 pep chromosome:v.1.0:5:2594592:2596505:1 gene:scaffold_500479.1 transcript:scaffold_500479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMCDPYTPAGVPIPTNKRHNAAKIFRHPSLPPRGLDSYKLDYCYKENRITGSILSKEIKPLISTVFEGKDANVIAHRARNCGKTHPIHVLKAIPLRELGLTVLTMSEMISMAEERGDSVSVSVYEVSQETVYDLLDQEKRVVAVLEGAQGKIQLKGLPQASVMIHVTTGNANPGSLGRMNFLDMADSRKQNSALAPLEIARVNKLIYALQNVMYALNGNESHVPYRESKLTRMLKDCLKGYNRRSMTNPTKKKIYGLERSISLCSAAQRRQTPLTVSATSRKQTVLRGNVTERKD >scaffold_500481.1 pep chromosome:v.1.0:5:2609780:2610957:1 gene:scaffold_500481.1 transcript:scaffold_500481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTVAAKDIITLHGSAAIVSEFFCYAANSILYNRAVYPEESFVKVKKYGLPMLLIEDESVKSFMSNLTSQISEWLEAGKLQRVVLVIMSKATGEVLERWNFRIETDNEVVDKGVSREKSDKEIMREIQAIMRQVASSVTYLPCLDETCVFDVLAYTDTDVAVPFTWIESDPKLIANPQMVKLHGFDTKIHKVDTLVSYKNDEWDEEE >scaffold_500484.1 pep chromosome:v.1.0:5:2619030:2620314:1 gene:scaffold_500484.1 transcript:scaffold_500484.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LQX7] MATQNQTIHLTEAIWTEIIARLPLRIIARFKLVSKTWKSTIESVYFRRLFVSVHRKSSTSWSLMWYGLKDLIGFHGCETWGLPKSLSFYIPSSLYIVAASSHGLVMISEYDHACCFVGNPVLQQWIQIPPAPGYSSVLGLVTRVDDYGFVLGFKVVRLAEMRPTNNDVSGTLSVFLYSSETGIWTSKIIHCPCRITNTASLTLDGSIYFNHLSEPGVLVAYDFYSESSDQFRVIPLPDHPNHGFNHNFKGALTTSHGIFMYIRTLAQSSSNVFKAWRLNNDLSWQLLWNIALPLLIGDYLPMAMHPFDSDTVYLWSQDNRHVVSCNLRTQKNRILGAEDNDDDHLDCFFNQPICEECMDEICRYKVSVRLLQLVLPRWMESALCPPQVEMIDTSSLHSYVKSMQETRRRNWNQ >scaffold_500485.1 pep chromosome:v.1.0:5:2624483:2625791:-1 gene:scaffold_500485.1 transcript:scaffold_500485.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LQX8] MLLHSSQYPFAVLTTATSPSYHCTFFQTWKRTGSSFLLSMLGEYELGGAHVCFPWLSFSSSGGFSLLKSRSETFSFFLHSFGFLRMSPTSTKQSHHRWPCCFRRIVQLSILTYVKKSRRLKSPMISITLSEVPVRSEQLKRLHPLSLLPDLNSMMNYSVLEIFCSSIFTTIYVYVRTSITFDLEITRFSSTSTRLLVTRLNCSFPAAGISFAGAETFPDVLIAVVPICPYCAPICLTLIHSWALLIFSNVFAGISTKPNIRRLQAPSPPLRLEACWASDDESASLASVAESSWWAYVARYVSWDYGNHFLFSKPNFMSLIGLPSSFKESLFQQSSFRECLLIQLSCVLCIILLELWCTSISSYYERSLTHKCQNNNWISPNMKIFRNSVFLSCNEEYLFFTLKIVRFNISTVGFHSPSGTNI >scaffold_500486.1 pep chromosome:v.1.0:5:2627311:2629470:1 gene:scaffold_500486.1 transcript:scaffold_500486.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A regulatory subunit [Source:UniProtKB/TrEMBL;Acc:D7LQX9] MWKQILSKLPNKKSSKHEHRGREHGGHSSSSSHTSGASTSKNSDNGTGKSGNSHAKNASAGGKSAASDSGYKDGNLKSSGSNSNNSNNSNNNGVFTPYEALPSFKDVPNTEKQNLFIKKLNLCRVVFDFTDPTKNIKEKEIKRQTLLELVDYVNSPNGKFSEVGIQEVIRMVSANIFRTLNPQPRENKVIDALDLEEEEPSMDLAWPHLQLVYELFLRFVASPETDTKLAKRYIDQSFVLRLLDLFDSEDPRERDCLKTILHRIYGKFMVHRPFIRKSINNIFYRFVFETEKHNGIAEFLEILGSIINGFALPLKDEHKVFLVRVLIPLHKPKCLQMYQQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLNELEEVLEATQPPEFQRCMVPLFRQIARCLNSLHFQVAERALFLWNNNHIENLIMQNRKVILPIIFPALERNAQKHWNQAVHSLTLNVRKIFHDLDPELFKECLAKFKEDESNAAETEAKREATWKRLEELGVRKAS >scaffold_500493.1 pep chromosome:v.1.0:5:2644117:2645395:1 gene:scaffold_500493.1 transcript:scaffold_500493.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LQY6] MASLVTLYLLATLLSSAESKTYWGDVTALKELKNSVDAKSMRPGSCLSTWDFSVDPCDSVFSDTFTCGLRCVSGRVTELSFDQAGYSGSLSSFSFNLPYLHTLDLSGNYFSGPLPDSLSNLTHLTRLALSANSFSGSIPDSLGSLSLLEELLLDGNRLDGSVPVSFNGLSNLKRLEIQVNNISGEFPDLNSLKNLYFLDASDNRISGRIPSSLPESLVQISMRNNLIHGTIPQSFKLFNSLQVIDLTHNKLSGSIPSFIFTHQSLQQLTLSFNGFTSLDSPYYSPLSLPSELISIDLSNNRIQGVLPLFLGLLPKLSALSLENNNFFGMIPTQYVWKTVSSGSEFAGFQRLLLGGNFLFGVVPGPLMALKPGSTNLQLAGNCFSWCPATLFFCQGQEQRSPTECRKFSRLIP >scaffold_500494.1 pep chromosome:v.1.0:5:2645698:2647282:1 gene:scaffold_500494.1 transcript:scaffold_500494.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:UniProtKB/TrEMBL;Acc:D7LQY7] MGFIALQSWSRGASTSLHLLRPVGSNPKLYDAPLVQFDVRASSSRKSLKKLRRESQQGKDITTRNVTEEEVSSPRFEEAQVDTLTSKDSTGAVVVAAPRDKVLQACTVTSGLMAALGLIIRKASHVASTEGLPVPDCSIVVPFGFETWHLGLIAGIVVFISSSRFLLLKSWPDFADSSEAANRQILTSLEPLDYLVVAMLPGISEEMLFRGALMPLLGTNWNGIVAVGLIFGLLHLGSGRKYSFAVWASIVGIIYGYAAVLSSSLLVPMASHALNNLVGGLLWRHSSKIKSME >scaffold_500500.1 pep chromosome:v.1.0:5:2671118:2671413:-1 gene:scaffold_500500.1 transcript:scaffold_500500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LR18] MDTKEAIGSLGNLLIRTNPNSQNPKRIVLKIFDYTLSVTRPSGLQKPYDEERNAFRKRSKETFVKDLTKKTRIEINRKLWRGRC >scaffold_500511.1 pep chromosome:v.1.0:5:2735707:2737705:-1 gene:scaffold_500511.1 transcript:scaffold_500511.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B23 [Source:UniProtKB/TrEMBL;Acc:D7LR28] MSIFLCFLWLLLLLLVTIILTKKSQSSKLKLPPGPPKLPIIGNLHYLNGLPHKCLQNLSKTHGPVMQLKLGFVPLVVISSNQAAEEVLKTNDLDCCSRPETIASKTISYNFKDIGFAPYGEEWRALRKLAVIELFSLKKFNSFRYIREEENDLLVKKLSEASHKQSPVNLKKALFTLVASIVCRLAFGQNLHESEFIDEDSMEDLASRSEKIQAKFAFSNFFPGGWILDKITGQSKSLNEIFADLDGFFNQVLDDHLKPGRRVLEIPDVVDVMIDMMNKQSQDGSFKLTTDHIKGIISDIFLAGVNTSATTILWAMTELIRNPRVMKKVQDEVRTVLGEKRERITEQDLNQLNYFKLVIKETFRLHPAAPLLLPREAMAKIKIQGYDIPQKTQIMVNVYAIGRDPNLWENPEEFKPERFVDSSVDYRGLNFELLPFGSGRRICPGMTMGIATVELGLLNLLYFFDWKLPEGRTVKDIDLEEEGAIIIGKKVSLELVPTRRQ >scaffold_500515.1 pep chromosome:v.1.0:5:2744914:2746551:-1 gene:scaffold_500515.1 transcript:scaffold_500515.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B4 [Source:UniProtKB/TrEMBL;Acc:D7LR30] MVILLSFFLLLFVTIFFSLIFTKKIKESKTNLPPGPAKLPIIGNLHQLQGLLHRCLHNLSKKHGPVMHLRLGFASMVVVSSGEAAEEALKTHDLECCSRPNTIAARVFSRDGKDIGFGVYGDEWRELRKLSVREFFSVKKVQSFRYIREEENDLMAKKLRELASKQSPVDLSKTLFGLTASIIFRTAFGQSFYENKHIDQERIKELMFESQSNMTFRFSDFFPTAGLKWFIGFVSGQHQRLYNVFTRVDTFFNHIVDDHHSKKPTQDRPDMVDAILDMIDNQQQYASFKLTVDHLKGVLSNIYHAGIDTSAITMIWAMAELVRNPRVMKKVQEEIQTCIGIKQEGRIIEEDLDKLQYLKLVVKETLRLHPAAPLLLPRETMADIKIQGYDIPRKTLLFVNAWSIGRDPKYWRNPEEFNPERFIDCPVGYKGHSFELLPFGSGRRICPGIAMAIATIELGLLNLLYFFDWKMPEEKKDMDMEEAGDVTVVKKVPLELLPIPRH >scaffold_500517.1 pep chromosome:v.1.0:5:2754148:2758259:-1 gene:scaffold_500517.1 transcript:scaffold_500517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLTTAAAASASQTTTSCLFIKRPFVLPTRKVCGFNGLCFNKRASLVVKSKRPFSCNAIYNPQVQTIQEYQSETFDYIVFFQDGSGKKAKMEVATDEAFTPIKQDTKKRKLRYYPGNIYEYHLLLVFRSSETYTGELPHQSLWNLSKKYGPVMLLKLGKVPTVVLSSSETAKQALRDHDLHCCSRPSLAGGRELSYNNRDISSSPYNEYWKELRKLCAQELLSSKQIQSIQPIKDEEVKKVIDSIAESSSLKNPVNLNKTFLALTTSVVCRTAFGVSFEGTVLSNDRFNTLVREAFEMLGSFSASDFIPYIGWIIDRFTGLQGRREKSFLDLDAFYEQIFDLHNKEKQEGSEDLVDLLLRLEKEEIVVGNDKLTRNHIKAILMNILLGGIDTSAISMTWAMAELAKNPRVMKKVQSEIRSQIKNKERISFDDTDKLEYLKMVIKETWRLHPPTPLLLPREVMTEFEINGYTIPVKTRVHVNVWAIGRDPDSWKDPEMFLPERFMDSNIDAKGQNFELLSFGSGRRICPGMYMGTTMVEFGLANMLYHFDWKLPEGMAVEDIDMEEAPGLTVSKKSELLLVPVKYLEH >scaffold_500518.1 pep chromosome:v.1.0:5:2761220:2761457:-1 gene:scaffold_500518.1 transcript:scaffold_500518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLSILYVLMLSLVSIIFLKLIKHSNSKLLLSPTKIPIIGNLHQLGELPHQSLSKTYGTPVNQ >scaffold_500531.1 pep chromosome:v.1.0:5:2805823:2806355:-1 gene:scaffold_500531.1 transcript:scaffold_500531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKLEQAAAKKRRTTNRKGKRKVDENTDLIVKIGGFTIDDKTLSKTYYGTKNFRAVVYTDLEDQYPTRVLRVHHGDKLKFNEQVTIPIDSHARYLYVELLGVSSKEDPGTSRGIVVMGRAKIRLPRPLYSRQINHKASLVALDSNRSVVEKGTLAISMKLDI >scaffold_500536.1 pep chromosome:v.1.0:5:2818322:2818580:1 gene:scaffold_500536.1 transcript:scaffold_500536.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LR51] MLIRMETLCLVGLRQFEMCYFMLIVMNAWVIPQHQICKSLRYVIDLYGISRISIW >scaffold_500548.1 pep chromosome:v.1.0:5:2933421:2936966:-1 gene:scaffold_500548.1 transcript:scaffold_500548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLKSGFNPVSEIFQFLYEAGLGLKQFTSRSGITRITQPRRVAVLATAKRVAFELGLRLGKEVGFQVRYDKKIVLLLQKDFLLRRYSMIILDEVHERSLNTDILIGMLTRVIKIRQEYYEEQHKSLQSGGLVDQFITPLKLILMSATLRVEDFVSGKRLFPNIPPLVEVPTRQYPVTIHFSKRTEVVDYIGQREVDYICDKLRKSLKELVGQAALRDASVKKKCDDGSFGGVDMKEIAEAFDDDYNSQNYRFNSHGDDPFEIGDGNYDDFEEEDIYESDDDSDWEIVDDGFGSSLVEEGKHDALRETFNALEENNGPKSAEPNKENYVLPLYAMLSPAAQLRVFEDVEKEDRLVVVATNVAETSLTISGIKHVVDSGRVKVKNYDSKTGMESYEVEWISQASASQRAGRAGRTGPGHCYRLYSSAIFSNIFEESSPPEITKVPVDGVVLLMKSMNISKVENFPFPTPPEPSAIREAERCLKALEALDSNGRLTPFGKASDYSRANLVLGYAVAAVAALSLPNPLTMQFEGEKKTEFEDAGKIVKQEDKQWRKERKEKIKAARDRFSNQSSDALTVAYALHSFEVSENGAGFCELNGLHLKTMDEMSKLKDQLLRIVFSCCKPSETEDGFSWTHGTIQDVEKSWRITESSSSRNPLLQNEEELLGEAICTGWADRVARKTKATEYQACPFQEPVFFELLLTNKPYMHRATRVKPKWLVKHAKSLCVFSAPLKDPKPYYSSEGDRVMCWAVPSFGSHNWGLPAHSVAITEDIHRAAVSDALCFKERECWGLERVGSLVRVLTEKKIDSLETLRKSWEQNPNVLYAEIEAWFQRKFRHYVKELLLTNRPYMHGATRMKPEWLVKHAKSLCVFSAPLKDPKPYYSSEGDRVLCWIVPSFGPYNWELPAHSVAITENRDRAAVFGCALLQGEVLPCLKSMRALMAGKPEMLLEREAWGLERVGRLVRVLTEKKIDSLETLRKSWEQNPNVLYAEIEAWF >scaffold_500552.1 pep chromosome:v.1.0:5:2946184:2946457:-1 gene:scaffold_500552.1 transcript:scaffold_500552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSAWFLAQNTNSSATTLASVGSFTDHLQENESQGRSAAKNVESPLMAEATTLLPAIQRALELGYTTISFASNSQ >scaffold_500556.1 pep chromosome:v.1.0:5:2969463:2972893:-1 gene:scaffold_500556.1 transcript:scaffold_500556.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7LR70] MVKDKDITETLLTAAEERPDLPFLSVDDIPPITTVGGFVREFNVETKKLWYLAGPAIFTSVNQYSLGAITQVFAGHISTIALAAVSVENSVVAGFSFGIMLGMGSALETLCGQAFGAGKLSMLGIYLQRSWVILNVTALILSLLYIFAAPILASIGQTAAISSAAGIFSIYMIPQIFAYAINFPTAKFLQSQSKIMVMAAISAVALVIHVPLTWFVIVKLHWGMPGLAIVLNASWVFIDMAQLVYIFSGTCGEAWSGLSWEAFHNLWSFVRLSLASAVMLCLEVWYFMAIILFAGYLKNAEISVAALSICMNILGWTAMIAIGMNTAVSVRVSNELGANHPRTAKFSLLVAVITSTLIGFTVSMILLIFRDQYPSLFVKDEEVINLVKELTPILALSIVINNVQPVLSGVAVGAGWQAVVAYVNIVCYYVFGIPFGLLLGYKLNFGVMGIWCGMLTGTVVQTIVLTWMICKTNWDTEASMAEDRIREWGGEVSEIKQLIN >scaffold_500562.1 pep chromosome:v.1.0:5:3016282:3016806:1 gene:scaffold_500562.1 transcript:scaffold_500562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKRCAACKYLRRRCPKDCIFSPYFPPNDPAKFACIHRIYGAGNVSKMLQQLPDQTRAEAVESLCFEAKCRVDDPVYGCVGIIHLLQTQIQQTQNQLAKTQAEIAVAQTKFSQTHNSDFM >scaffold_500569.1 pep chromosome:v.1.0:5:3034889:3035931:-1 gene:scaffold_500569.1 transcript:scaffold_500569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSARTGRDHQRYDNNFRLVSGCIPYRLVKDEEEDSTSVDFENKLQVLMISSPNRHDLVFPKGGWEDDETVLEAASREAMEEAGVKGILREDPLGVWEFRSKSSSLEADCCLGGGCKGYMFALEVKEELATWPEQDNRERRWLNVKEALELCRYEWMQSALEEFLRVMAEEGTTKEDSLSISSISNRGERQIDPWYCFVV >scaffold_500572.1 pep chromosome:v.1.0:5:3044414:3044718:-1 gene:scaffold_500572.1 transcript:scaffold_500572.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LR84] MEERVMIEEVVIIGGEEEAVTEGEEENVFVCVFEEAKETGGEEEAVIEGEKESVFVGVLEWGDKLESVSPPPHLGSSDIDESDVDET >scaffold_500581.1 pep chromosome:v.1.0:5:3096373:3097959:1 gene:scaffold_500581.1 transcript:scaffold_500581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQSAEVGDEGEEEETDNEEEEEEDNGRSNTRELDNLLVKKVLEQEPEMLPCHASASPLSPQLSSLGTPRLGPSIKIWDPYNVLSPPPSIFSRIASGDEDRAVTEVYLISHGECDLNLRPDLIGGRCHVAALTGNGKRQARALAVFFKSQGVRFNSVFSSPLDRARSMAVLVCQEMSFPEEHVQSSDAIIEMSLGDWEGCNQAEIYIPETLSLIERCQPDFTAPSGESLRQVEFRMVQFLNGTVSGLAEKLRSDYSSTTNHNETHERDGGSSLPLTNWDLLHKHRPSLTRKKSGKSRLQVMTNHEPEDESPREDVNRNHNDLSDSASLITNCIGVFTHSLPIKCLLTGILGCSPVMTHKICVEDSSVTVLQHSWRNGWQIKRMNDTAHLRLL >scaffold_500583.1 pep chromosome:v.1.0:5:3102911:3104007:1 gene:scaffold_500583.1 transcript:scaffold_500583.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LR95] MANREHQNHALCLLESVVVHVFIPAISIQMPTSILAPSFLLHTLSPLKDVYLCDHRIRFLFIQKSVCPESVFCFHWIRHVGVSPLSEISDGWWKLSLLDKVRSPKPPWMSSTFHASSRLWDRTTIFILRARRITIVGKTNGGCELYRRRLHRLRCFVKDYDRGKVGLELFLHMSHVVDLDYRICSTQTYDVRWMGTHESSLKYLEDALGFEKYLTQQLWKRGHCGRKEKTIANMRKRHQRLISKKIISKLNLHRTTRLRMCWYPIVIAPECMMEALGLTMQCAIWRSGQEKLSTHTVRIIARSNIEKKNNRFNNPLANKAYLRKASSNLLLLCISHYMAHISAYVIICF >scaffold_500586.1 pep chromosome:v.1.0:5:3126387:3126623:1 gene:scaffold_500586.1 transcript:scaffold_500586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIFCLCPFGWGIWSPRLVESAVSGCVPVVIANGIQLPFSEIVRWPEILLMMAKKDDMNLQKI >scaffold_500594.1 pep chromosome:v.1.0:5:3192300:3192490:-1 gene:scaffold_500594.1 transcript:scaffold_500594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGVGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_500598.1 pep chromosome:v.1.0:5:3208432:3208765:-1 gene:scaffold_500598.1 transcript:scaffold_500598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGKKVYESKNDDIGIKYLKFGEIMSFSFRTNFWGTTEFWCDVYKGPDYKRFRGFTAYQASSLFVKDGSSYNWLARDDGIYFHKDSLPSYYKFYWK >scaffold_500606.1 pep chromosome:v.1.0:5:3318510:3320779:-1 gene:scaffold_500606.1 transcript:scaffold_500606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGTRRKRLFGKTILLSSIVFFIGFGLLLLTLRSVDPNSSFIDDDDDESESEDTARWSNSSSIGEAMVDGARLCATVEEMGSEFDGGFVDQSLRVRDLIRRHFQINGASAIRELPPEQFCRHGYVLGKTAEAGFGNEMYKILTSAALSIMLNRSLIIGQTRGKYPFGDYIAYSNATFTMSEVKHLWRQNGCVKKYKRRLVMRLDDFEKPVKSNVLCSNWKKWEEAIIWFQGTTDAVAAQFFLKNVHPEMRAAAFELFGDQGNSAPRGNMFGELMMSLISPTKDVKEAVDWVLHETGDPDISVHMRMLMSKSVRPMRAAINCLGKAINRLGISNPRVVIVSDTPSVVKIIKTNISTIAEVLHFDYKLFRGDIAQRGRGLPMLDFRIKDWGPAPRWVAFVDFFLACRAKHGVISGANRRVGTTYAQLVAALAAANSLKDGSSNSSFAFLSSFQSNLLADGLKNQVGWGHVWNRYAGPLSCPKQPNQCAFTPLAPPGWWDGLWQSPIQRDTRRIAAFGIELSGIGTVNEDRFHAYCSAKKEYLSTVTII >scaffold_500612.1 pep chromosome:v.1.0:5:3344575:3346211:1 gene:scaffold_500612.1 transcript:scaffold_500612.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCPLKPVSQNEVIQSEKDKEKYLGKLSSGKEISLPESPRPACQNIINGRTHPSWWRPNLDKPSQDLTDSSKAEYRRKKAEGKQPAISKAKNVWRRVENNRTQNWESSTRTRHTNLQESRFPSRVSDRRPDRSTAPIPRQWENLSNHPATKELKSNRETVIVSDSGRKRRLDDTFVEPNQKKSSSRERDVIPKLHHEQPRRTAMNSPGQSLKSWYDQTIEEEEETAREAREDPLETRFSKSIRIQDDLSVNPKIPQISSSQMVVEPQENWEEEEYMEEDVNENHQEGLEAGDTEWDENLAEEDQVDLEWEEDDEIAYHENENSLLDAGETMEDDDLLGEEFEDLKNTALEVDVAKGESISNASKVGLGLSKQKGKKKKK >scaffold_500613.1 pep chromosome:v.1.0:5:3354639:3357469:-1 gene:scaffold_500613.1 transcript:scaffold_500613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEIPRSAEISEALLPSESEKNATAAEEHVPEWKEQITIRGLTVSALLGTLFCIITHKLNLTVGIIPSLNVAAGLLGFFFVKSWTGFLSKLGFTVKPFTKQENTVIQTCVVACYGLAFSGGFGSYLIAMDEKTYKLIGADYPGNHAEDVINPGLWWMIGFLFVVSFLGLFSLVPLRKVMVLDYKLTYPSGTATAMLINSFHTNTGAELAGNQVKCLGKYLSLSLIWSCFKWFFSGIGDACGFDNFPTLGLTLFKNTFYFDFSPTYIGCGLICPHIVNCSVLLGAIISWGILWPFVSQHAGDWYPADLGSNDFKGLYGYKVFIAIAIILGDGLYNLVKIIAVTVKEICSSRSRRLNLPIVTDVVDDSEASEILLVKKKRDVVFLKDRIPLEFAVAGYVGLAAISTATIPIIFPPLKWYFVLCSYFIAPALAFCNSYGTGLTDWSLASTYGKIGLFIIASVVGSDGGVIAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSAKSMFVSQLVGTAMGCVIAPLTFWLFWTAFDIGDPNGPYKAPYAVIFREMAILGIEGFAELPKHCLTLCYGFFIAALIVNLLRDITPPKISQFIPIPMAMAVPFYIGAYFAIDMFVGTVILFIWERINRKDADDFAGAVASGLICGDGIWTIPSAILSILRINPPICMYFGPSSAR >scaffold_500615.1 pep chromosome:v.1.0:5:3366145:3366394:1 gene:scaffold_500615.1 transcript:scaffold_500615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDWGPVIVAVSLFILLSPGLLFQLPARTRVVEFGNMTTSGIAILVHAFIYFCILTILVIAIQIHIHF >scaffold_500621.1 pep chromosome:v.1.0:5:3380650:3382108:-1 gene:scaffold_500621.1 transcript:scaffold_500621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLQSSGMLTKEQMVYLFDRFDYLTSQSDVKKRISDAVEDKQEAVAVTTTIQEEIFLEMGIDPGFGIGCLGKLNSAYENDKELMIGFYKFLAKEEMACEEAELGPDGFEQKMKAQQQLQEQQLEMLKYMRKFSLDDQSAILQKLQKQLENAGFEPEASLLSGEEMEEAGRRRVSPVFGSR >scaffold_500624.1 pep chromosome:v.1.0:5:3398956:3399286:1 gene:scaffold_500624.1 transcript:scaffold_500624.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLV9] MAKFVLGVIVGAGGLWKLMGTFNGETSSKAISQIEQSNSSISMGWIILIFIGLVGLVLLNMNAQKIRHKAEEQAPVFVIAGIFLFLILILHLKGVF >scaffold_500628.1 pep chromosome:v.1.0:5:3414582:3416534:1 gene:scaffold_500628.1 transcript:scaffold_500628.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M48 family protein [Source:UniProtKB/TrEMBL;Acc:D7LLW3] MAVSVSAPVLSLCYNQSGDLSRRLGYRLPKKVGFSSSRRSVSYIGYGTEKVGRFRVRVPICRAVPPLLFKDLDADDFRHPLDKQNTLLLRAIPGLNEFGKALLGSMTEQIMLLENIGTSVLVSKNQLSDLHGLLVEAAEILNIEAPDLYVRQSPVPNAYTLAISGKKPFIVVHTSLIELLTRAELQAVLAHELGHLKCDHGVWLTFANILTLGAYTVPAFGQMIARTLEEQLLRWLRSAELTCDRAALLVAQDPKVVVSVLMKLAGGCPSIADQLNVDAFLEQARSYDKASSSPLGWYIRNAQTSQLSHPLPVLRAREIDEWSRSLEYRSLLKRANRISTVQKV >scaffold_500633.1 pep chromosome:v.1.0:5:3453648:3456605:-1 gene:scaffold_500633.1 transcript:scaffold_500633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSLFSFSSRYPIITCRVSPPSSPTFVASVPLPPSSSSDSLACSLQCPHFQSCSGCTQEFNLHRPAVVDEASGFFKRYGVEDFTFDSCRLWGWRCRAKLAVRGSSDNALIGLYQEGTHTVVDIPECKSHHPNINAAIELLREGIKVFDVVPFDEDQGTGDLRYVQMAVTTHSTNLRAPERYKNGKVQVSLVWNSRNERSHNADKLQALSSYLWRKGGPNSKFHLIHSVWANFQTSTNNIIFGNRWRHLLGERDFWEHVGGIDISLDPSSFGQANTRAFDSLLWKLHKYVPGGSSVADLYAGAGVIGLSLATSRKCSSVKCIEVNKEARLSFEKTIQRLPNSVDCSISWHHADASVNPLSWIIGSDVVVVDPPRRGLDASLRQMLESVPSIEKRMRSSSQSSSTNAKEEKRPWILRAKELSIQAGNKLTPEENNTLPQRLIYISCGWESFKEDCKSLLSSRAWELEKAHGFNFFPGTDSIEVLAVFKRRVAIKKKKKSGIKKVGIKKVRAT >scaffold_500635.1 pep chromosome:v.1.0:5:3476169:3476806:1 gene:scaffold_500635.1 transcript:scaffold_500635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFFLFHLRDVLCSERYFVPDSNIGLAWLASTVICYRCPQKKTEEEDDKLITELHEDCMELLGLVSVEDMWQQVSPLYSYDINVLVLKPSCSFALLILSRLVQLLFAVRATLSSLPDEVVDTVGITSLPAEDPVSERTRTLEYLDMQEELIIHHLTFLDYAFLDILYSIT >scaffold_500637.1 pep chromosome:v.1.0:5:3501994:3505343:-1 gene:scaffold_500637.1 transcript:scaffold_500637.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:D7LLX3] MPEDSNAIDYVMEKASGPHFSGLRLDGLLSSPSKSSVSSPSHFRLSNSSFSAIDDPAAPHQPFVIGVTGGTASGKTTVCDMIIQQLHDHRIVLVNQDSFYRGLTSEELEHVQEYNFDHPDAFDTEQLLHCVDTLKSGQPYQIPIYDFKTHQRKLDAFRQVNACDVIILEGILVFHDSRVRDLMNMKIFVDTDADVRLARRIRRDTVERGRDVDSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAVDLIVQHIHTKLGQHDLCKIYPNVFVIESTFQIRGMHTLIREKDISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYSGVDFCKKLCGVSVIRSGESMENALRACCKGIKIGKILIHRDGDNGMQLIYEKLPSDISERHVLLLDPVLGTGNSANQAIELLIQKGVPEAQIIFLNLISAPEGIHCVCKRFPKLKIVTSEIDQCLNEEFRVIPGLGEFGDRYFGTDE >scaffold_500642.1 pep chromosome:v.1.0:5:3534397:3536329:-1 gene:scaffold_500642.1 transcript:scaffold_500642.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LLX7] MANKPDHHHHQSSRRLMLVLYFTSVLGIGFVAAFLCLSSSILSFSTLSSISVPVNRPEIQIPNIDSKIVQKRSKQSNDTKDHLRFLSATFADIPAPELQWEQMQSAPVPRLDGYSVQINNLLYVFSGYGSLDYVHSHVDVFNFTDNKWCERFNTPKEMANSHLGIVTDGRYVYVVSGQLGPQCRGPTSRSFVLDSITKTWLEFPSLPAPRYAPATQIWRGRLHVMGGSKENRNAVAFDHWSIAVKDGKALDEWREEVPIPRGGPHRACVVANDKLLVIGGQEGDFMAKPNSPIFKCSRRREIFNGEVYMMDEEMKWKMLPPMPKNNSHIESAWIIVNNSIVIVGGTTDWHPVTKRLVLVGEIFRFQLDTLTWSVIGRLPYRVKTAMAGFWNGYLYFTSGQRDRGPDNPLPGKVIGEMWRAKLKF >scaffold_500643.1 pep chromosome:v.1.0:5:3538305:3539595:-1 gene:scaffold_500643.1 transcript:scaffold_500643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGKDKNNDFPLKEILLRLPIRSIAKFKSVCKRWKLLVESATFRSLFMSLHKKSSCSWSLLTDEYPFQEHIALNNCKRWGLPRSLSSYILSPPILDTEFDLKSFQIRASASGLLLIRLLDNIYYVGNPLLRKWVKIRPCTLSLETYYYSNICGLATRVENDAVLGYKVILLCTEKFQEASNLSFQVYSSETGKWTHSNRTGYMIVLDFYNERANRCHAISFPTKAIITSFDKRYPEKWSRSACTTSGGFIMYIEATTIYEDHRLKVWKLNGLLRWDLSWEINLRHIEFGITSSPLAMHPFDTDILYLMSLEKKCMMSINLRTQKSMLHKDSEDYSHDDCNINFFKCPTVMVCTDIYLYWQFVPTLWIDDVPVPPPCAHCGRP >scaffold_500644.1 pep chromosome:v.1.0:5:3540487:3541834:1 gene:scaffold_500644.1 transcript:scaffold_500644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANKNIIDNLPDMMLAEILVKIPLKSNTIVKLVCKRWKSLVESTIFRNHFLSLHQKKSCSWSVLHKHHIRVNTTHELIGFYGCEKWGLPRSLGSYISSPFSDAGIEIVSFIIKAISNGLLLILTQDYMIYVGNPVLREWVKIRPCTLSLKSYFQTIKFGLATRVDENGVVLGYKVVLVNTRFENATNLTLQIYSSETGEWTCENVCCPCPIPWDSSPYPEPISVNGVLHWFGHGTINAGGIIAIDLYNTSPLRENHCWFIPFPNENLFVVDGKKSYKIWCRRACTTSEGFIMYINMVSESKMKVWRLNKNYYEIESREYWQLSSEIDLAHVDYGIGSIPIVMHPFDRNVVYLWSVEKSCLVSINMRDQNSMLHKESENYSDGCTISSLEKCKSYMELRMDCFYKYLLISPQFSSSVWMDSVPRPPIPLCVCCGR >scaffold_500645.1 pep chromosome:v.1.0:5:3542226:3543708:-1 gene:scaffold_500645.1 transcript:scaffold_500645.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLY0] MDGVIELVADAICSCFGSCVDICVITKIHYMAPNIYCCGAGTTADTEAVTGILFLTLVWQILFSWLRTYQDLIDLMCRSTELNALVVFFLVSLSRYGQSIKGCKRMAKCPACTPSNTPDWCVVSGALRAGVKHFLLNSDCLQLVQAINSTALLAEVHRVLSDVFLSILNFSTSSFLSQIS >scaffold_500646.1 pep chromosome:v.1.0:5:3544878:3545686:-1 gene:scaffold_500646.1 transcript:scaffold_500646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIEDGNIRSIVYTNLNFPRPLFYFSFHINFVRHNVDGSVHVRYIKVTRECERDGLIGGGVQATVDICELLKTIGVPCYRQLVKGFKKKRPRTRRFFVPAKIPKDMPPGNANAIKYLLSPAEPPTSIEKAEYCSICMRLLAYGVGEDECVRLPLCNHGFHKPCIKTWLEVNSSCANCRSFFPI >scaffold_500647.1 pep chromosome:v.1.0:5:3547634:3548159:1 gene:scaffold_500647.1 transcript:scaffold_500647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEISCSLFYGRRGNALFSRSWLPISGELRGILIIIHGLNEHSGRYSQFAKQLNSSNLGVYTMDWIDMKTKYCSFHYSYWIDC >scaffold_500648.1 pep chromosome:v.1.0:5:3549008:3550280:1 gene:scaffold_500648.1 transcript:scaffold_500648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLKIGDGTARFRRTSICSSAVNLLMLFSVVTTNLFALYAFSSHSQANSPLLHNSNNISLVSQHLSLILREIDSSQRKLAQMEKQMLGYESIDLSRPNIVPELKLFLQRHQLPLGKDSRTGITEMVSSVGHSCEKSADLLSQYMSYKVFDRCPDDWSLGQKLILRACEPLPRRRCLAKTVQKQDLSRWPDSLWRSVSNKSVNWSGLGCKSFDCLKGKKLSRECVGCFDLGNEKDRFVKVKGKNDFLIDDVLGLGSGKIRIGFDVSGGSGTFAARMAEKNVTIITNTLNNGAPFSEFIAARGLFPLFLSLDHRFPFLDNVFDLIHASSGLDVEGKAEKLEFVMFDLDRVLKPGGLFWLDNFYCANDEKKKDLTRLIERFGYKKLKWVIGEKADAQVYLSAVLQKPVRA >scaffold_500651.1 pep chromosome:v.1.0:5:3572672:3573548:1 gene:scaffold_500651.1 transcript:scaffold_500651.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LLY6] MKLWMPRFAYNRSAMSPFILKNRQVFLMESKSINVESRAYIRILMYYSNHSCLVKDPIRRWPDVLTLHFVDVGLQYDDSEEEFVFFLKTDEVSTSLKCPLEFSDWVLVYLFCSQVDSGCFYIAYSSKIHRLLFVYYQVFTLLEILETTSWWHWKHSLWQDQWVRRQLKLPKEHGKYLSDSSSISISRRQQDIESSPTTLDLYFLLKNNANGILEFQQQADSQLKVCEGGLNRLHMCNLLPIRLINSRVTSTLHRNCFNFLYLRISVVMDGFMYLVNTF >scaffold_500653.1 pep chromosome:v.1.0:5:3581510:3582299:1 gene:scaffold_500653.1 transcript:scaffold_500653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPITYSKDLPLFFSIFIFAYLLGYLFIFNKWTPETRPLASSCLISLLHGVSAVVLATNALLSDPNRGFSSVNTRSQNSVLDFSSAYFLADLVHLAVFPSPAGGDSLFAAHHAAVLFVFLTCRYMVAHGACALLALLVVAEATSACQNTWTLADARGKDAPLAVSLHRFVTVPFYASYSVCRCVIAPLLIVKMTWFYVSGGADDVIPRWVWVSWTVVIVAAVTVSILWIWNLWVLFFQERYSKFTKKVK >scaffold_500654.1 pep chromosome:v.1.0:5:3585965:3586593:-1 gene:scaffold_500654.1 transcript:scaffold_500654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIYRPLPIGFKFRPTRFEMANNFLKKKALGQAIIARRVPEECHDIFSRHPRDLPGYPREEHWYYFCRKRNNQVTCNLWTPIGEETNVLYPKNRQLVVATRRRFTLVEKDEEEEYDWFLDEISLRQTVSFSDWVFCHIKGKKIKPEFDYLPINESESESESEDEESVDNNPAEILDLLREQDENVLPPPPPSP >scaffold_500658.1 pep chromosome:v.1.0:5:3617877:3619657:-1 gene:scaffold_500658.1 transcript:scaffold_500658.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 1 [Source:UniProtKB/TrEMBL;Acc:D7LLZ4] MFVDDPSLHTLKRRRLEIIDSMEASSSAQAKIADMREKLGREVRVFETSSNSQRPSQVSSAEDESDDFYEFTPADYYRLLATKKEDKSLKTRKLREAEEAARRSKLTKAVIRVRFPDNHTLEATFHPSEKIQGLLDLVKRVVAHPDIPFYLYTTPPKKQIKDFSQDFYSAGFVPGAIVYFANDQPKDEGASSTPYLNEEILSLKDLEVMTKAEELVESSSEPATVDSGPVPVEHEPKSTEKKTTKPKWFKM >scaffold_500659.1 pep chromosome:v.1.0:5:3620496:3621883:-1 gene:scaffold_500659.1 transcript:scaffold_500659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENHDEVMLHPVHNPAKAVMMGNTSNEIPTLESASMEIRVLPLPNMIDKQMSSLLEE >scaffold_500664.1 pep chromosome:v.1.0:5:3660567:3660891:-1 gene:scaffold_500664.1 transcript:scaffold_500664.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LM00] MDSIDYPPPPSQPLCYNVRTRNLEGRFRKTELGDESYFIIDSSCYLSDAREDEKSLHHHLQLLLRCNNEESKQNDFRCDACHQYMYNRYNLLSL >scaffold_500666.1 pep chromosome:v.1.0:5:3678312:3678648:1 gene:scaffold_500666.1 transcript:scaffold_500666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDPDPDWNETLDSLVSPTYEGINYILLLLAFQATIYYKCRERNEMKHNKTSRSHTQLAHTIDKMIRSRIMSLLTTRTRSFEVSFSSGSGQDYTKFG >scaffold_500667.1 pep chromosome:v.1.0:5:3680681:3681137:1 gene:scaffold_500667.1 transcript:scaffold_500667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFSVFLFVFSLCILGHVSGAGIRISNELKFKKLLWIRCYSKDDVLGPKTIPIGPNYRHYQNFTAFKLFGVKDQGGLWDWRAREDGIYLKKEGGSHIKNPVDMHKEYVWIN >scaffold_500668.1 pep chromosome:v.1.0:5:3686842:3687067:-1 gene:scaffold_500668.1 transcript:scaffold_500668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMFVQMTGACLVLALVIPVVSAQYDYDNTAKPSSAVTVATDIFTGLAIATVALIAGFIY >scaffold_500671.1 pep chromosome:v.1.0:5:3694133:3694541:1 gene:scaffold_500671.1 transcript:scaffold_500671.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F9C16.21 [Source:UniProtKB/TrEMBL;Acc:D7LM05] MLDHVSGGGFRISNELKFKKRLWMRCYSKDDVLGPDIIPIGQHYENLFDINFWHTTRFMCTLRQGPNYKHYQNFTVFKLFSMADQGGLWDWRARENGIYLKKVASEQVLNPVYMHKVFDWIN >scaffold_500672.1 pep chromosome:v.1.0:5:3700647:3701055:1 gene:scaffold_500672.1 transcript:scaffold_500672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHVSGGGFRISNELKNKKRLWMRCYSKDDVLGPNIIPIGQHYENLFDINFWHTTRFMCTLRQGPNYRHYQNFTAFKLFAMADQGGLWDWRARENGIYLKKEAGEYVYNAVWMHKEYDWIY >scaffold_500674.1 pep chromosome:v.1.0:5:3706135:3707582:1 gene:scaffold_500674.1 transcript:scaffold_500674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAITRVISRRIHGKSDVTVSKLAGFSIVSPKHVEVEYSDGTKFNFSSEFLRIHSPAADGKVRSIGGERVISGRRYVGIMSAEPVGNYGVRLVFDDLHRTGIYPWDYFYELGSNKFGLMRSYIKTLQKHNLSREPPASRNR >scaffold_500676.1 pep chromosome:v.1.0:5:3709809:3710115:-1 gene:scaffold_500676.1 transcript:scaffold_500676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLVTSSLEQFTEGSYASFARANYDYKLIRDVSKLGLKCESLQVSKDLPVSPSFLKSCILENSLNFYLYFSCNVLSFICMNLPLMRI >scaffold_500678.1 pep chromosome:v.1.0:5:3714802:3715315:-1 gene:scaffold_500678.1 transcript:scaffold_500678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGRQHGLVRSYRILPPPLNTRPVTSLTSPQTSELLTTLPSKPTKAQRKVWPGQVHWVRSNDIASSSYKLLTWRVKTEPVLNLPSFLDPNNCEDNVEEEEAGLETVKTEHNGDISEKEDGGSHDYDERMSFYDVGMMMMEHVLDDNEEEDGWCLV >scaffold_500680.1 pep chromosome:v.1.0:5:3718616:3719920:-1 gene:scaffold_500680.1 transcript:scaffold_500680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase [ubiquinone] iron-sulfur subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7LPF8] MASGLIGRLVGTKPSKLATAARLIPARWTSTGAEAETKASSGGGRGSNLKTFQIYRWNPDNPGKPELKDYQVDLKDCGPMVLDALIKIKNEMDPSLTFRRSCREGICGSCAMNIDGCNGLACLTKIEDGSSGTTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKTPASVPAKEILQSKKDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWISDSRDEYTKERLEAVDDEFKLYRCHTILNCARACPKGLNPGKQIAHIKQLQR >scaffold_500682.1 pep chromosome:v.1.0:5:3726870:3727089:-1 gene:scaffold_500682.1 transcript:scaffold_500682.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPG0] MASSQTRSNNGGNKSSSCAGVGANDDQIIERSAAPESSVCRGLRLDRDFETHNSFLALL >scaffold_500683.1 pep chromosome:v.1.0:5:3734486:3734938:-1 gene:scaffold_500683.1 transcript:scaffold_500683.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPG1] MARVRDGEGVYESTARRPTEPADASVEPGKETADPTEPDASMVKPGSESVGMQIDPTEEAALDDSESQLANKTVELERDDTEGQEAVDDSDIQVGNESPIEPSTEAREAAEEELVDASEEEEENVNAQVYISLRMD >scaffold_500685.1 pep chromosome:v.1.0:5:3741309:3741757:-1 gene:scaffold_500685.1 transcript:scaffold_500685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFFLVFILVILTVANGISAADSEKSQAPSSSKTLADNKPTVDNSTKSLIDSLGPSQDYPDYEIPLELAPDGVVVVGDYVPISPIGGRQTPDTLAQPEADQDVKSSTSSSASRSSSTILAVVVAMGGASLFFF >scaffold_500688.1 pep chromosome:v.1.0:5:3748786:3749148:1 gene:scaffold_500688.1 transcript:scaffold_500688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQTKKNLCSRTNIDFIPGCFHALQLAYNKNYSRLTRNCCRAVFSLPTTCVLLVYPGKTYPITTFRLICIYSDPPPASSPSIL >scaffold_500690.1 pep chromosome:v.1.0:5:3769850:3771047:-1 gene:scaffold_500690.1 transcript:scaffold_500690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGREEKNSHETLPSPRRLRHGGVINIPLDVTVEILKKLPTKSLLRFQCVSKLWSSIISSRRDLIDSIVTRSLNEPPRDAHIISLVSSNCFPNRICKSFIAFSSTTCPGNTDKELVLVPGQYHQSVRGLVCCWSHFPNVVAIYNPTTRQSFDLPELKYNHMYMCDFFFGYDPIKNQYKVIFLPRGTWDGSCQVFTLGDPTAKQWRNIQHDIRPHQTLLGVVCINGTIYYREGTRNPPFLVDIKLMSFDVRLEKFDHVEAPKVLMHLHRDSTLINYQEKLGLISCERVVEIWVMETQGWSKFFLCEKEDFHSWSIAGTTRGGEIVLVKSLYWSYDKLRVYYYDLKGNSMRYVELENCYTEDGNRKGYASTIWTGPDHVENIMGLH >scaffold_500691.1 pep chromosome:v.1.0:5:3805610:3806082:-1 gene:scaffold_500691.1 transcript:scaffold_500691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGFSQRFVSDELQFEKLAYPYHVALVATQFCLMQYAARRSGSDIEISKLSFRWVMGILASMMVSPYLAALVVRGYYKAIGSSFRDYEEMAMVIGFLSLMFFSVNLFGFWGFVFIPVSPPILALVVQFVIMKRRNDMRF >scaffold_500692.1 pep chromosome:v.1.0:5:3806660:3809427:1 gene:scaffold_500692.1 transcript:scaffold_500692.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:D7LPH0] MSQSAVDVPPKGGFSFDLCKRNDMLTQKGLKAPSFLKTGTTIVGLIFKDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLRLHRYQTGRDSRVITALTLLKKHLFSYQGHVSAALVLGGVDITGPHLHTIYPHGSTDTLPFATMGSGSLAAMSVFEAKYKEGLTRDEGIKLVAEAICSGIFNDLGSGSNVDICVITKGNKEYLRNYMEPNPRTYVSSKGYSFTKKTEVLLTKITPLLERVEITEVGEAMEE >scaffold_500695.1 pep chromosome:v.1.0:5:3818062:3820762:1 gene:scaffold_500695.1 transcript:scaffold_500695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLLTSFFGVIVGFLMGITFPTLTLTKMNLPSTLFPSIDLAYIEDKYSDISRQRLFGSWSSTKGLKLKNDIPDPPYNYSDTKIWVPTNPRGAERLPPDIVTPESDFYLRRLWGDPNEDLTIKQRYLVTFTVGYDQRKNIDTVLKKFSDNFSIMLFHYDGRASEWEEFEWSKRAIHVSIRKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVEHFDSEKYLAVVKKHGLEISQPGLEPYEGLTWEMTKKRDDTEVHKHAEERNGWCTDPNLPPCAAFVEIMAPVFSRKAWRCVWHMIQNDLVHGWGLDFAVRKCVQNAHEKIGVVDAQWIIHQGVPSLGNQGQPERGKQPWEGVRERCRREWTMFQDRLDVAEKAYFEASAHNNASSRPHG >scaffold_500707.1 pep chromosome:v.1.0:5:3890993:3891303:-1 gene:scaffold_500707.1 transcript:scaffold_500707.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPH6] MRTQNQRSSGGEKLLPDNDGKSSIGDVAMRSGRQRSMVRNKRGKQLDLGTEDYGGLTGSLLMGVGRLGSGETKPPSTVSFSTPYCFSFS >scaffold_500714.1 pep chromosome:v.1.0:5:3923684:3925570:-1 gene:scaffold_500714.1 transcript:scaffold_500714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSVVYVGSSSKPRNLPAKSKGSITSFSIDSRSTKKSERPLKKALIPEPETTSPEVIESSESSVSAESETPISIIRKKKQSEPRYYPSPTNTFYTAPLYTEAKQSFTNTEVSECASICTIGIGGIDLEKNGVMIYRGSTGSDVSDESSLSSMSNAAYKPHRANNDKRWVAIQEVRSRVGSSLEAKDFKLMKRLGGGDIGNVYLAELIGTGVSFAVKVMEKAAIAARKKLVRAQTEKEILQSLDHPFLPTLYSHFETENHSCLVMEFCPGGDLHSLRQKQRGKYFPEQAARFYVAEVLLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVRFAAITLESKSSSYCIQPTCVDQSSCIVQPDCIQPVCFTPRFLSKGKHKKKSNDMSRQIRPLPELIAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGITPFRGGDNRATLFNVVGQPLRFPEHPNVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQSVNWALIRCTSPPQIPQPVKPMDQAPSLRHGLSQGHGHGVHDNKPPTVDVKPSGNYLEIDFF >scaffold_500718.1 pep chromosome:v.1.0:5:3947050:3947410:-1 gene:scaffold_500718.1 transcript:scaffold_500718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKKSQVSRGFDTEGKKFVFATVSIRASLKPVKTKLKRPERESKAEEDDDICITPTGRGAEAPEKLKCPAAPRKRQPALKCRSNIGIEFFVPPSDLESVFIQRR >scaffold_500719.1 pep chromosome:v.1.0:5:3949088:3952296:1 gene:scaffold_500719.1 transcript:scaffold_500719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHTAQKSSIPDSPMESSRSRSVFANIGLRELNGFRVRKRPFFADSELICREIAGVAVEHDGIRTPPLAVSFCKTSRNSQLFAVSDEDGHVSLFNSSKKFASSATHQENTENARFRDWIAHYNAIFDISWIKRDSCLLTASGDQTIKVWDVEENKCTGVLIGHTGTVKSMCSHPTNSDLLVSGSRDGCFALWDLRCKSSSHKEEFCINSTGMVKGAHLSPLSKRIRRRKAASSSITSVLYLKDEITIATAGAPDSALKFWDTRKLKAPFAQASPQSDPTNTKEKRSHGIVSLSQDSSGTFLTASCKDNRIYLYNTLRLDKGPVQSFSGCRIDSFFVRTMISPDGEYVLSGSSDGNAYIWQVNKPQVDPIILKGHDFEVTAVDWSPSEIGKVATASDDFTVRLWNIENNRCTNTNATASVSRVKRRVTAFSNTEAKERLEMNRETESPQKHSSLLDDDYINNDQSTPIIRTPESQKKTSSSSSSSLSSLSSLSSLSSSEEDIMCERTPETTFNSPSSVLNPPSSVKRRTIRDYFLVTP >scaffold_500725.1 pep chromosome:v.1.0:5:3991562:3997479:1 gene:scaffold_500725.1 transcript:scaffold_500725.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7LPJ2] MATSAIQHSSFAGQTALKPSNDLIRKVGASNGGGRVVMRRTVKSTPQSIWYGPDRPKYLGPFSENTPSYLTGEYPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCTFPEILSKNGVKFGEAVWFKAGSQIFSEGGLDYLGNPNLIHAQSILAIWACQVVLMGFIEGYRIGGGPLGEGLDPLYPGGAFDPLNLAEDPEAFSELKVKELKNGRLAMFPMFGFFVQAIVTGKGPIENLFDHIADPVANNAWAYATNFVPGK >scaffold_500726.1 pep chromosome:v.1.0:5:4000159:4000603:-1 gene:scaffold_500726.1 transcript:scaffold_500726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLSVFLFVFSIYILGHVSGTGITITNELQFKKLLWMRCYSKDDVIGPKVLSIGQQYENSFRANIWGTTRFMCTLKQGPNYKHYQNFTAFKQYTGYDNGADWDWRAREDGIYLKKEGGIRKSVDMHKVYDWIN >scaffold_500729.1 pep chromosome:v.1.0:5:4030715:4032339:1 gene:scaffold_500729.1 transcript:scaffold_500729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEEEDENYSLDDDEQEDENYNEAEVDVQHVTSTKSTSQVITKKSLVAAQKESLVRVMELLSVKENQARTLLIYYQWNVDKLLSVYIDQGKDHMFSCAGLTVFDPSLVTLKKTMNCDVCMEDDLPSDVMTRMECGHSFCNDCWKEHFTVRINEGESKRILCMAHKCKAICDEDVVRKLVSPELAEKYDRFLIESYVEDNKMVKWCPSIPHCGSAIRKIEDDDVVEVECSCGLQFCFSCLSESHSPCSCLMWKLWKKKCVDESETVNWITVNTKLCPKCYKPISKQDGCNLMTCKCGQHFCWLCGEATGASHTVQSIAGHSCGRYKDDKVRQMERAKRDLDRYTHYHYRYKAHTDSLKLEDKLRKSILEKAVSNAETKDQQVFKEYSWVIDAVNRLFRSRKILSYSYPFALYMFGEELFKDEMSDEEREMKKNLFEDQQQQLEGNVEKLSKILEEPFDEYDHEKVVDMKRHLNNLSNAVDNLCKKMYECIENELLGPIQFGIHNIAPYRSKGIEQATEFCAEICQT >scaffold_500731.1 pep chromosome:v.1.0:5:4035328:4041595:-1 gene:scaffold_500731.1 transcript:scaffold_500731.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rock-N-rollers [Source:UniProtKB/TrEMBL;Acc:D7LPJ8] MDTHTLKSVSDLPGNFRSAFSFRYFNSLQSECFPLCFHSDINMVISAPTGSGKTVLFELCILRLLSKSIAKEGMFLHAKGALKAVYISPSKALVQEKLRDWNQKFNSWGISCLELTGDNETYSTRNIQDADIILTTPEKFDAVSRYRVTSGGLGFFSDIALVLIDEVHLLNDPRGAALEAIVSRIKILSSNHELRSSPLASVRLLAVSATIPNIEDLAEWLKVPTAGIKRFGEEMRPVKLTTKVFGYAAAKNDFLFEKRLQNYIYDILMQYSKGKSALVFCSTRKGAQEAAQKLAQTAMTYGYSNPFIKSREQLERLREASPMCSDKQMQSYILQGVGYHNGGLCQKDRSLVEGLFLNGDIQVICTTNTLAHGINLPAHTVVIKSTQHFNKEKGHYMEYDRSTLLQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLNGCEVVESQLLPCLIEHLTTEIVQLTISDITRAIEWMKCSYLYVRMKKNPENYAIKKGIPKDQVEKHLQELCLQKINELSQYQMIWTDTDGFVLKPEEPGRLMTKYYLKFDTMKYIINAPTGYSLDEALHIVCRAEEISWIQLRRNEKKTLNDVNADKEGRLRFHINDNKGKRKKRIQTREEKLFVLANDWLTGDPSVHDLSMTQDANSICSNGSRIARCMKEYFIYKKNYKGTISSTLLAKSLYQKLWDDSPYLLKQLPGIGMVTAKALHSMGVRSFEALSEADPRRIEIVTGRKYPFGNTIKESLSSLPPKVEIKVQEVDCQKQGISKLAVTLTRLSQPLQSTKRHYADMIVGSEEENRIHFHEKIRMEDFSSPYNVTILLERPHQQAKVTVKADLIFEEYIGIDLHETLLLKKANNNKINYKSENRLPHYYPPMVAACVVDDNPVTSGPSNRKDKKDDMPSFKLIDEDSEEEKEPYVTMEEDDCVIINEHTVFDHIREKAKCFPSLNTLNPTTSPASGKSNLKRKSLVDKSPELDPLFQYDSVFDLPTNTKDVKQSAQQITKPGYASFAEKTETERPFSDETIFNYIRKRSKNSPVLATSKLEDPITISSQEGRNAEISPYRAYGLLVSPATKKPRITSDAPSEILPFDISMVKRSGTNLEQEKRLCSTFAGKSNVSDSFLGFKSIFSFL >scaffold_500734.1 pep chromosome:v.1.0:5:4053934:4054637:1 gene:scaffold_500734.1 transcript:scaffold_500734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSQTRPPSLSLNLSVVVPKRRLVSIRCGPRDNRGPLLKGRILSTEAIQSIQSLKRAHRTGSSISLTLRPLRRLIKSDLVSVLRELLRQDYCTLAVHVLSTLRSEYPPLDLVLYADIVNALSRNKEFDEIDRLIGEIEIIDQRSDDKALAKLIRAVVGAERRESVVRVYTLLRESGWGSESWEADEYVAEVLSKGLLRLGEQDLAAQVSLKSSILNP >scaffold_500735.1 pep chromosome:v.1.0:5:4055434:4057178:-1 gene:scaffold_500735.1 transcript:scaffold_500735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLENVLELTSFDYWFNWRVLLCAIWVIAPMIVSLFVLWKYEDSSVQTQPSLNGSGNDDDADVLCIDDVWRPCFEQIHPGWLLGFRVIGFCFLLANNIVRFANRGWRIYYYYTQWTFTLIAIYFGMGSLLSIYGCLQYKKQGNTKLIADQVGIDAENGVRSPLIDGNNMVSFEKRKTSGSEALKLYVHLFQIIYQMGAGAAVLTDSIYWTFIFPFLSLQEYEMSFMTVNLHTSNLVLLLIDTSLNRLKFPLFRFSYFILWTGSFVLFQWILHMFISVGWPYPFLNLSLDMAPVWYLLVALLHLPSYGLYALIVKIKYKLIS >scaffold_500738.1 pep chromosome:v.1.0:5:4096569:4099403:1 gene:scaffold_500738.1 transcript:scaffold_500738.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMDAR4 [Source:UniProtKB/TrEMBL;Acc:D7LPK5] MGRAFVYVILGGGVAAGYAALEFTRRGVSDGELCIISEEPVAPYERPALSKGFLLPEAPARLPSFHTCVGANDEKLTPKWYKDHGIELVLGTRVKSVDVRRKTLLSSTGETISYKFLIIATGARPLKLEEFGVEGSDAENVCYLRDLADANRLATVIQSSSNGNAVVIGGGYIGMECAASLVINKINVTMVFPEAHCMARLFTPKIASLYEDYYRAKGVKFVKGTVLTSFEFDSNKKVTAVNLKDGSHLSADLVVVGIGIRPNTSLFEGQLTIEKGGIKVNSRMQSSDSSVYAIGDVATFPVKLFGEMRRLEHVDSARKSARHAVSAIMDPIKTGEFDYLPFFYSRVFAFSWQFYGDPTGDVVHFGEYEDGKSFGAYWVKKGHLVGSFLEGGTKEEYETISKATQLKPAVTIDLEELEREGLRFAHTVVSQQKVPEVKDIPSAEMVRQSASVVMIKKPLYVWHAATGVVVAASVAAFAFWYGRRRRRW >scaffold_500746.1 pep chromosome:v.1.0:5:4141731:4141920:-1 gene:scaffold_500746.1 transcript:scaffold_500746.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPL5] MVIIRLRVLHNVLKGEVINLSSYKRCQKFSIIHHHQLPPLLSHKTTTKH >scaffold_500749.1 pep chromosome:v.1.0:5:4162359:4163982:-1 gene:scaffold_500749.1 transcript:scaffold_500749.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPL9] MAISHVHLVVLLILSLLLLPTLRAIDYSDCGKNITGGVFYVDVIIDMTHLSNYKYDLCTYMACPIAPGAFVLPLDNIIPFYPLLNTVFKSEFLDRGGAVGKHVTRLGFEFHLGANNPFELHNFVV >scaffold_500751.1 pep chromosome:v.1.0:5:4172821:4173745:-1 gene:scaffold_500751.1 transcript:scaffold_500751.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LPM1] MKKILFGHEIYVLGGCINGELTSNVFVIDCLHSTFRFLPSMRVSRGCAAFGIVDGKIYVIGGYNKADSLDNWVEVFDLEKQTWESFSGLCNEDLYKITLKSVVMNEKIYIMDRRTNVVYDPKKGVWEKDFLLNSDWKVGSCVIDNMLYTFGFDCQKSVYRIHVYDPRVRVWSFVKGVENIPKMHEIQGSRMANHGGDLTVLLNLDKSGGTEIWCIQVALERRGEHREIWGKVLWSNLVLTLENSSTIVQCLDVTI >scaffold_500755.1 pep chromosome:v.1.0:5:4203351:4204080:1 gene:scaffold_500755.1 transcript:scaffold_500755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPHLSSILQVAKIISNIISFIVFSRERQIKMNPNLCRFCRLQNKQVNDCIFASLFPSNDLRKFTIMNLVFGPETLTFFLKDLSHMDRKYTTRTLYFEGSVSRSMGERSLRKNSKFRS >scaffold_500759.1 pep chromosome:v.1.0:5:4220868:4221441:-1 gene:scaffold_500759.1 transcript:scaffold_500759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKKAEPPNAAAIGPEDPNPDPGLGKNRRMRERKRRKKWASIGDEVHELKNNYFSGQISGGFKSTEYLDLSSNLIKGSLPSRFRGNRLRYFNASNNRISGEIPSGFADEIPENATVDLSFNHQ >scaffold_500760.1 pep chromosome:v.1.0:5:4221528:4221739:1 gene:scaffold_500760.1 transcript:scaffold_500760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSGGDGFLCGILSNTSQAFCFSSLGSSSGMDLVPLAYRTTAYSQSNHNPSCIS >scaffold_500762.1 pep chromosome:v.1.0:5:4247456:4248173:-1 gene:scaffold_500762.1 transcript:scaffold_500762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVRGGRGSERGKRGPKSPVKRPTVVPTRPTSSGVSSRRPRSLPSQYEFTPANPEDPNHGTEHPPNRQPSPQLSLRDYPPPLQLFQSGEGSQHAAGGSPRGSRTTPFRASVSSVHRLASGSPRASQSPAPVQPPAPVPSPVVNQQRPPRASLSGHSSQAQNVEEEEVASNEEADDETTSEDEGLRDSTLLEDVLATLHDTLVIPGRELYTTLISPTLEPGTTW >scaffold_500766.1 pep chromosome:v.1.0:5:4263549:4265289:-1 gene:scaffold_500766.1 transcript:scaffold_500766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSDNTRSRGRRGVVSRGRSSRENAGEGTSSRGASGPRRNYKWTPRTTRIFLELVIAELEAEDYTIRVPLAAGKRRIEEKFVELTGDSVKWEPEMKSKFNYLKKLWYFNNILSKRTGTHVDSSGQIEMEPSWWNDRCAEFGETNKKYITVLQNKPLPFQDLLDVIHSKHDLEQDSRYSPHMLGVHLDLEKEPYNDDDDDDDVPVDDTEENGRTVVPPDNSNLRAYDDDLPRLSRSPRETTRHARHSTHATASHARNTSTRRTHLRRANFEARIDGSFQRMEESRSELLNVVRSRQSSKPTYGDALAVLESLPIEPMNTFWWEANKLLMNDEDIRDGFMKLRSEENKIRHLERLSGMDRYGNPCDLINLRVTSSNSGSDYVRSDASSYGGTGSFGGASVGGGSTGGGSIGGGSTGGGSIGGGSTGGGSVGGGSIGGGSVGGGSGGDQGFDLGTWDNSKMMVFFLPLHYQDRFLQVIILTPGLVQGHDGRIFLHYLGLTLLDL >scaffold_500768.1 pep chromosome:v.1.0:5:4293042:4293231:-1 gene:scaffold_500768.1 transcript:scaffold_500768.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPN7] MWPPPIHSTLPLPFIPHLLRRDSPPQILPQKKSLETRQRAPPSTQPVRH >scaffold_500770.1 pep chromosome:v.1.0:5:4303119:4303728:1 gene:scaffold_500770.1 transcript:scaffold_500770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPN9] MVSVFCGLAISSFSAPAFLEFAFVLPGSISCRSSVRCGPSGLVFIRYRWNRPPSVGIFLPFGGIRGRLSSVFGMVVGVVLWFDSEFFCLTCPYFSFGLFAFACFDLLCFYFYLKCFPVLRT >scaffold_500778.1 pep chromosome:v.1.0:5:4334133:4334650:-1 gene:scaffold_500778.1 transcript:scaffold_500778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTLLQSDLRFDVVYSDAVSGFAAGIGCVGEIVKHERLVDDRFFLICKGQERFRVTDLVRTKPYLVAKVTGLEDRPSGEENLDELANEVEVLMKEVVQLSNRLNGKPDKESQDLRKNQFPTPFSFFIGSTFEGAPMEQQALLELEDTAAIKPKTTSF >scaffold_500783.1 pep chromosome:v.1.0:5:4399155:4400263:1 gene:scaffold_500783.1 transcript:scaffold_500783.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPQ4] MTPFPLSFSSHSHPFPKLSVSFLDLRPPTAPPTQRPSPSTPPEPPDPPDLRIRVLYGVSYAQPPLSAVSSLFFAPIPSPLLDLSSLCVSPVAAFLGLLQAAIKVSASDRLGGDLQSFTALCSGVQTLLIVPTAILPSVLPGSLVVVICFLAFAVNSWDWFGLVQPCVSLCDRYVAFPCAPTSVGISWAGFVMNCVCTWIQTGSLPNGQPRPSWALLSIYMTSEGLVSVTLCYGLHRPSNNLLLVPNYLSMRIYQFQVPHYEDVFKLDQNLVRMAVMFPKGWHFVIQPLEIIVSGFGFDLNSAGSRKSIGVLFVVDGLCAGLCFTEENICVIKSQLIQPPPQKMEVFLSFSEAAWF >scaffold_500784.1 pep chromosome:v.1.0:5:4400365:4400653:1 gene:scaffold_500784.1 transcript:scaffold_500784.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPQ5] MAALALGVSRLACISDCQELVLMSNTGGHANELDGILADFDLFRSMFLSMFVHFVPRSKNYGAEALASASLLSCILSSICGV >scaffold_500790.1 pep chromosome:v.1.0:5:4454856:4456015:1 gene:scaffold_500790.1 transcript:scaffold_500790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKDMMLKSSELLSAYKSACEHQPDLKSFDSSLQQQTTKVIDSLTAGAETGLSPQQAVHIEVSQSLKTSFDGQLLTTQFKLIKEQQESLFEEVCEAKKRVHGEITKKEKESFITNLLFGAAFAVVAVTSIALIATGAGAVVAFGSLSTTLLAVGWAGVYTALDNKKDALKTQLEGLKKVEGIESSVEKGIKTNEEAAETVSILVEGLEGRIHNMMKLVDNAIENEEDEADTRIVLKLISERVEKLTEKIKEVGESVENHSKLIAKARLQVLQKINRSA >scaffold_500791.1 pep chromosome:v.1.0:5:4460051:4461232:-1 gene:scaffold_500791.1 transcript:scaffold_500791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLPEDILAIIFAKLPIKIFTTFKLVCKQWESIVESPYFRDLFLSMHQTSHSSSWSLLSCCFDKEVIANYECNTWGLERSLGSYISSFVTKKFETLRNKYKVWAHSTDVGLILISELFFNMKNRSLYVANPVSQECVEIPSHHAHRSEYFYPLGIATRSENGFLLDYKVVLFDTHKSLLIYSSKTGLWSLNTVDLSVSCIDLRSTICLHGSIHLIATTSHGEDVVVSFNLYATGTSSVQCRVTSFPDFGQHRPNRSFSTWQGSIMYMNIISVTKDDGSLEDKLFVWRLENGEWQLVFEIATPFIETRVEYFPLAINPFDAKTVYFWSKKHRSFISINLNNGKFVLESKLEDNITRGLYLRLVVLPQWLHRIPNTVRMV >scaffold_500792.1 pep chromosome:v.1.0:5:4465049:4466118:-1 gene:scaffold_500792.1 transcript:scaffold_500792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDRESPYFRDLFRSMHQNSHTSSWSLMSGKDGEEVVAHYGCNTWGLEQSLGSYISSFLTKMFETEKNKYIVWAYNDVGLILISELPIWVTNRSLYVANPVSQECVEIPSHAHLKEVSCPLGIATRTENGILLDYRVVLFDEDLRLLIYSSHTGLWSLNTVDSYPLALYTQSPISLHGSIHWIASTSHSVDVVVSIDLYATGTSSVQCRVTSFPDFGQHPKFNRSFSTCQGSLMYMNIIKVDEDKLCVWRLNSGEWQLVSEITPPFIDTGFEYIQLGTNPFDAKTVYFWSMKHQTLLSINLHNGKFVFETKLLSSINPGLFFHSVVLPQWLYRIPNTMRIV >scaffold_500793.1 pep chromosome:v.1.0:5:4466809:4472028:-1 gene:scaffold_500793.1 transcript:scaffold_500793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYTCEITTKKHHHHSKIGLGCETEIMSHYGSDNWNLKHSLGSYISSFLTNKFENYNEARVVSYTDVGLILIHRVSNQSFYVANPVSRQCVEILPLASQKQERLWILGIATRVENGVVLGYKVVLLKPNFTFLIYSSETGFCLWSLNSANFPFTYISQEFNNPISLNGSLHWLAHGSNYQDFVVSINFYAIDSRSDRCRATQFPDLDKVPKFRRTCTTSQGYLMYMNIFSIPKVDGNLEDKLCVWRLESWQWRLVSEISLDSIKTGFDYIPLGINPFDAKTVYLWSHKCLLSINLHNGDFVLHKDMERSSEGRILNSVDCPRDMKYILESNFASFVLPQWMHPFPSMINSLVGFF >scaffold_500797.1 pep chromosome:v.1.0:5:4523579:4524716:-1 gene:scaffold_500797.1 transcript:scaffold_500797.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LPS3] MMSSSRLVSRLIIFSIISTAFFSVESIRLFPDSFDDASSDFTEAPAYQNGLECSVLAKNRLLLACDPSAVHIAMTLDPAYLRGTVSAVHSILKHTSCPQNIFFHFIASGSSHGSLVKTLSSVFPSLSFKVYTFDETMVKNLISSSIRQALDSPLNYARSYLSEILSSCVSRVIYLDSDVIVVDDIQKLWKISLSGSRTIGAPEYCHANFTKYFTESFWSDRKLSSVFDSKTPCYFNTGVMVIDLDRWREGDYTRKIENWMKIQKEDKRIYELGSLPPFLLVFGGDIEAIDHQWNQHGLGGDNIVSSCRSLHPGPVSLIHWSGKGKPWVRLDDGKPCPIDYLWAPYDLHKSQRQYLQYNQELEIL >scaffold_500798.1 pep chromosome:v.1.0:5:4535988:4536237:-1 gene:scaffold_500798.1 transcript:scaffold_500798.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LPS5] MSSISGFCSRDGWPFVWQRTASISGVDQLRRFLSPSGVSGSPFGDYQSALKRLLCGEWVAMSSASSGFH >scaffold_500800.1 pep chromosome:v.1.0:5:4580165:4580369:1 gene:scaffold_500800.1 transcript:scaffold_500800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPT0] MFPPTGNFLQPFSHPTRILFLSSNRSFAFDKQQETSRSVTKASPFFLIFRLINS >scaffold_500802.1 pep chromosome:v.1.0:5:4600948:4609285:-1 gene:scaffold_500802.1 transcript:scaffold_500802.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:p-glycoprotein 17 [Source:UniProtKB/TrEMBL;Acc:D7LPT3] MGKEGEKESGRDKMKSFGSIRSICMHADGVDWILMALGLIGAVGDGFITPVVVFIFNTLLNNLGTSSSNNQTFMQTISKNVVALLYVACGSWVICFLEGYCWTRTGERQTSRMREKYLRAVLRQDVGYFDLHVTSTSDVITSVSSDSLVIQDFLSEKLPNFLMNASAFVASYIVGFILLWRLTIVGFPFIILLLIPGLMYGRALVSISRKIREQYNDAGSIAEQAISSVRTIYAFGSENRMIGKFSTALKGSVKLGLRQGLAKGIAIGSNGVTHAIWGFLTWYGSRLVMNHGSKGGTVFVVISCITYGGIQLGQSLSNLKYFSEAFVAWERILEVIKRVPDIDSEKLEGQILERIEGYVEFNHVKFNYMSRPETPIFDDLCLKIPSGKTVALVGGSGSGKSTIISLLQRFYDPIAGDILIDGVSINKMQVKWLRSQMGLVSQEPVLFATSITENILFGKEDASMDEVVEAAKTSNAHTFISEFPLGYKTQVGERGVQMSGGQKQRIAIARALIKSPIILLLDEATSALDSESERVVQEALDNISIGRTTIVIAHRLSTLRNADVICVIQNGHIVETGSHEELLERIDGHYSSLVRLQQMKNEESDVNINASVKKGKVLILSNDFKYSQHNSLSSTSSSIVTNLSHSIPNDNKPLVPSFKRLMAMNRPEWKHALCGCLSAALFGIIQPISAYSAGSVISVFFLMSHDEIKEKTRIYVLLFVGLAIFSFLVNISQHYSFAYMGEYLTKRIREQMLSKILTFEVNWFDIDDNSSGSICSRLAKDANVVRSMVGDRMSLLVQTISAVSVACIIGLVIAWRLAIVLISVQPLIVVCFYTQRILLKSFSEKATKAQDECSKLAAEAVSNIRTITAFSSQERIIKLLKKVQEGPRKESVYQSWLAGIVLGTSRSLITCTSALNFWYGSRLIADRKMVSKAFFEIFMIFVTTGRVIADAGTMTTDIAKGLDAVGSVFAVLDRCTTIEPEDPSGYVPEKIKGQITFLNVDFSYPTRPDVVIFENFSIEIEEGKSTAIVGPSGSGKSTIIGLIERFYDPLKGIVKIDGRDIRSYHLRSLRKYISLVSQEPMLFAGTIRENIMYGGTSDKIDESEIIEAARAANAHDFITSLSNGYDTNCGDKGVQLSGGQKQRIAIARAVLKNPSVLLLDEATSALDSKSEHVVQDALERVMVGRTSIMIAHRLSTIQNCDMIVVLDKGKIIECGNHSSLLGKGPTGAYFSLASIQRTLS >scaffold_500803.1 pep chromosome:v.1.0:5:4612102:4616419:-1 gene:scaffold_500803.1 transcript:scaffold_500803.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:p-glycoprotein 18 [Source:UniProtKB/TrEMBL;Acc:D7LPT4] MQTVSKNAVALLYVACVAWVICFIEGYCWTRTGERQAAKMREKYLRAVLRQDVGYFDVHVTSTSDVITSVSSDSLVIQDFLSEKLPNFLMNTSAFVASYIVGFILLWRLIIVGFPFILLLLIPGLMYGRALIGISMKIREEYNEAGSIAEQVISSVRTVYAFGSEKKMIEKFSTALQGSVKLGLRQGLAKGIAIGSNGITYASWAFLTWYGSRMVMNHGSKGGTVSTVIVCVTFGGTSLGQSLSNIKYFSEAFVVGERINKVINRVPNIDSDNLEGQILETTRGEVEFNHVKFTYPSRPETPIFDDLCLRIPSGKTVALVGGSGSGKSTVISLLLRFYDPIAGEILIDGLPINKLQVNWLRSQMGLVNQEPVLFATSIKENILFGKEDASMDEVVEAAKASNAHNFISQFPNSYQTQVGERGVQLSGGQKQRIAIARAIIKSPIILLLDEATSALDSESERVVQEALDNASVGRTTIVIAHRLSTIRNADVICVVHNGRIIETGSHEELLEKIDGQYTSLVRLQQMENEESDRNINVSVEEGRVLSLSNDLKYSPKEFIHSTSSRNVREFSDLILKDRKSPVPSFKRLMAMNRPEWKHALYGCLGAALFGAVQPIYAYSTGSMISVYFLTNHDQIKEKTRIYVLLFIGLALFTFLSNISQHYSFAYMGEYLTKRIREHMLGKILTFEINWFDKDENSSGAICSRLAKDANVVRSLVGDRMSLLVQSISAVSITCAIGLVISWRFSIVMISVQPVIVVCFYTQRVLLKRMSRNANNAQDESSKLSAEAISNIRTITAFSSQERIINLLKMVQEGPRKDSARQSWLAGIMLGTSQSLITCVSALNFGYGGRLIADGKMKAKAFLEIFLIFASTGRVIAEAGTMTKDLVKGSDAVASVFAVLDRNTTIEPENPDGYVPKKVKGQIRFLNVDFAYPTRPDVIIFRNFSIEIQDGKSTAIVGPSGSGKSTIISLIERFYDPLRGIVKIDGRDIRSYHLRSLRQHIALVSQEPTLFAGTIRENIMYGGASNKIDESEVIEAAKAANAHDFITSLSDGYDTYCGDRGVQLSGGQKQRIAIARAVLKNPSVLLLDEATSALDSQSERVVQDALERLMVGRTSVVIAHRLSTIQNCDTIAVLDKGEVVECGNHSSLLAKGPTGVYFSLVSLQRTLC >scaffold_500806.1 pep chromosome:v.1.0:5:4630061:4635187:-1 gene:scaffold_500806.1 transcript:scaffold_500806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSFLRPRDRFSLAELRYLTDQLRKIQIVNEANKDLVVEALRSIAEILTYGDQHDPLFFEFFMEKQVMGEFVRILRVSKTVTVSVQLLQTMSIMIQNLKSEQAIYYLFSNEYVNYLITYTFDFQHEELLSYYISFLRAVSGKLNKHTISLLLKTENDVVVSFPLYVEGIQFAFHEENMIRTAVRALTLNVYHVGDESVNDYVVSPPHTEYFSKLISFFQKQCMDLSAMVLNTLKSPSPDSGGKLFSAVDGIEDTLYYFSDVISAGIPDIGRLITDHILQHLTLPLLLPSLCSEAVNDISVDPVTSLYLLSCILRIVKIKDLANMTAATLFCPVKAFISSSLVKPNNSLAPELLTYGNGHPDKGVTEEADQQCSSTAVMSEDGKSHVCSEDTPKSIFNNSHMTFRETLLQYISEGDDVQAQGSLFVLATLLQTKELEESMLDAFGILPQRKQHKKLLLQSLVGEDTGEEQLFSPRNGSMRDGLSSELDWYLRRLEEQFGVCCSLPGAARCPRVHRHQVVDTLVTLLCRENISAETLWDGGWLLRQLLPYSEAEFNRKHLKMLNVSYEKCKSALTREIKGTWPDLLITVLLDEWRKCKRVIEAPSPQKEPKSVLLQLDRSSSNDAVSESSFTAGERMCEVVKVFVLLHQLQIFSLGRPLPEQPPIHPPANRSETSRATIAGLDVSVPKPGTELKLVDAVPCRIAFERGKERDFSFLALSSGESGWIVLADPDNGIVRVTAPLAGCKPRIDEKHPRWLHLRIRPSTLPLLDPTKRGVYEKLKSKGLVDGRWILAFRDDESCLSAYSMVAGEIDQQCSEVERRLRPLFDLERNQQEDQ >scaffold_500807.1 pep chromosome:v.1.0:5:4636034:4636232:1 gene:scaffold_500807.1 transcript:scaffold_500807.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPT9] MPMSVSSSLIFDQRSRRLRRNPSRFLSVSPILHGYLSPTLFRQFFTVSFLFR >scaffold_500812.1 pep chromosome:v.1.0:5:4656137:4657780:-1 gene:scaffold_500812.1 transcript:scaffold_500812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLSSPIVSPLGINKNLGVSYSSFPQIHLFKVSTPLRIRKSVVSSRKNSGTGLASEDKKLLLERYGYDANDDFGSQSKKARRKEEKMSGRNGQQAEEVVVVQPRTTHRLLQVLAGTAKRKKLLSLKGMDVRPMMEVVKGAAFGILQAAGGCPTSLRPGRWLDLYSGTGSVGIEAISRGCSEAHFVEMDPWVVTNVLQPNLEHTGFVDTSVIHTARVENFLERADKLVGKDGAFDYISVTPPYMEVDYEVLMDQIAKSPAIGENTFILVEYPSRTTMLDSCGCLEKMTDRRFGRTHLAIYGPKWAQKPRKS >scaffold_500822.1 pep chromosome:v.1.0:5:4717079:4718929:-1 gene:scaffold_500822.1 transcript:scaffold_500822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVANVHPTRVRQQPATRHAVQEHLITAAATTGTLVRKGITETKEKVYVGKIKMEEAAKKTAQKSKTILTDIERWQKGVASSDVFGVLIEIIVQRQESSRPIPLILIKCADYLILTGNNVWTNGSQTFYCSYLLISSYQCDIFLLPGLNSPNLFKAEGDKKLIQQLVSAYNQDSRHSSKICLDSYNPSASIPEGVNPVDVAALMKYYLATLPTPFQAP >scaffold_500824.1 pep chromosome:v.1.0:5:4739440:4739671:-1 gene:scaffold_500824.1 transcript:scaffold_500824.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPV2] MIYIHGGGDLFSSATLRLHLASFSRYPSLLIVFFLPKPNHVHSARSSPISPLVFQLSLTSLLG >scaffold_500828.1 pep chromosome:v.1.0:5:4768403:4769507:-1 gene:scaffold_500828.1 transcript:scaffold_500828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKSTFLTLIPFSSRISFMTLPLNSPMNFVSGLNWFKLLKQGNDFNEQEVFLCLSFEVACALIIAGENLKNHVDVKNVLVDIGIYFQVQENYGKSDQSNAAIVKDLFKELDLEV >scaffold_500831.1 pep chromosome:v.1.0:5:4782518:4782929:-1 gene:scaffold_500831.1 transcript:scaffold_500831.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LM15] MAKFSYNSVILSPVILCRSSPRIVKNLDLLRSRSCSFFFSSSSSTVLVAPIPGPMVYPQPFGLVSFVYVYPQLFGLRI >scaffold_500834.1 pep chromosome:v.1.0:5:4806135:4806490:1 gene:scaffold_500834.1 transcript:scaffold_500834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHIELSYCSFEAFKILAKNYLDLDSHPLFKKIESLMKETKIAPADVAENLMKKNLEIDADGSLKDLIQALEMKKKSQGAQLDEPKDKFINKFYKAFRMSSKA >scaffold_500839.1 pep chromosome:v.1.0:5:4827860:4828053:-1 gene:scaffold_500839.1 transcript:scaffold_500839.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LM20] MPPSLIISAAITRVGDSFIYHVDTVACMLVHRFSDRDQLDREERKKKSKF >scaffold_500841.1 pep chromosome:v.1.0:5:4837984:4838747:1 gene:scaffold_500841.1 transcript:scaffold_500841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVEVFFAVSQLCDASALPGSIFYWSWRASQSESIKALASNNEGTYIVSSGSFGDIYNKPFVMSSIIEKWRYNLIFGNLIYVDVMVITMIKVLDILTNGRGKTEKGEEKEVLEWPFTSETQEDKNDSRNHFGSLTGKHE >scaffold_500843.1 pep chromosome:v.1.0:5:4848862:4851185:1 gene:scaffold_500843.1 transcript:scaffold_500843.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase [Source:UniProtKB/TrEMBL;Acc:D7LM23] MGTNLESFKVVEKLGVEKGEKGKMLSKKKNVKKDGDESESGFWFRFKFIFSCISSRSKVDSSMNATTVIAEPKKVIEKLEGQPAPIKDTGCAESGSSTPLMSGELKYSSKLRIFMFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNPDGLQGHKEWLAEINFLGNLVHPSLVKLVGYCMEEDQRLLVYEFMPRGSLENHLFRRTLPLPWSVRMKIALGAAKGLAFLHEEAEKPVIYRDFKTSNILLDAEYNSKLSDFGLAKDAPDEKKSHVSTRVMGTYGYAAPEYVMTGHLTTKSDVYSFGVVLLEILTGRRSVDKSRPNVEQNLVEWVRPHLLDKKRLCRLLDPRLEGHYSIKGAQKATQVAAQCLNRDSKARPKMSEVVEALKPLPNLKDFASSSSSFQTMQPVAKNGVRTQGGGFVSRNGPPMRSLSSLNLPQASPYRYARQSPKPKGKEP >scaffold_500844.1 pep chromosome:v.1.0:5:4852129:4854434:1 gene:scaffold_500844.1 transcript:scaffold_500844.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine methyltransferase NDUFAF7 [Source:UniProtKB/TrEMBL;Acc:D7LM24] MLRKLLTQTSSRRLLSSGIPPLFSKSSISPFSSLSSSPEPPSSESTTVEHPGTTISVDRSSLYTPPDHSHESTPETELVKHLKSIIKFRGGPISVAEYMEEVLTNPKAGFYMNRDVFGAQGDFITSPEVSQMFGEMIGVWTVCLWEQMGRPERVNLVELGPGRGTLMADLLRGTSKFRNFTESLHIHLVECSPALQKLQHQNLKCIDESSLEKKVISSLAGTPVHWHATLEEVPSGVPTLIIAHEFYDALPVHQFQKSSRGWCEKMVDVGEDSKFHFVLSPQPTPAALYLMKRCTWATPEEREKLEHVEISPKSMDLTQEMAKRIGSDGGGALIIDYGMNEIISDSLQAIRKHKFVNILDDPGSADLSAYVDFPSIKHSAEEASENVSVHGPMTQSQFLGSLGINFRVDALLQNCNDEQAESLRAGYWQLVGDGEAPFWEGPDEQTPIGMGTRYLAMTIVNKNQGIPAPFQ >scaffold_500845.1 pep chromosome:v.1.0:5:4854745:4856008:-1 gene:scaffold_500845.1 transcript:scaffold_500845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPESVTVMVLARLPIIDIARLKLVCRGWKFLLESSYFRDLYETINQRKLSSSWSILYNDQSSNTALEFFCERWGLTKSLGSCVTRFLDEMKAANNNRRVRILAITEGLILTKFGPGTFCVADPVLREWIKIPRHPPYSSQDDLQGAAIVTHMNNGDVLGYKVVRFGVSILGIGMKRDRLCFQIYSSDLGNWTYHHVSTQRPISKLLPSNPLNLNGYLHWLCRATQVIFAHDFYAPTELCRVIDLPQRSAKGYFKQPGQGDEATLTISCGSLMYMNTDTGRPNQQLKIWRLKNYISGSSKESWELLWTLRPGLDLSVGCVPVAMHPFDKEVVYLVTRKTNFLQTHAHLVIGNLRTKKFQLHKDWKQKTMEFGDYEPRLFHQFLLPQRLGSIPCPPGCTLATLTDQH >scaffold_500847.1 pep chromosome:v.1.0:5:4865655:4865990:1 gene:scaffold_500847.1 transcript:scaffold_500847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLDLGLATVPVAMHPFDKEIVYLVTCQTRFSRKHAYLVSGNLGTKKFQLHKGWKQRHREFGDYQSHLFHQFVLPQRLGSFPCPPGCTLVTLPDPH >scaffold_500848.1 pep chromosome:v.1.0:5:4866477:4867858:1 gene:scaffold_500848.1 transcript:scaffold_500848.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LM27] MGDGETTIKLTIHFGGIVNREGEDYIYKEELGVKIVHWRLSEISWKKFDDFCVTQAKNGEPIRLIWYKETAKDMKFLMYLFDSTSDVDMVDLLFLGETVGVLDIFLKQRVEDKSGNGYSSADEEVVRPKEDEEGSESEDEIPDNVAAEGYEAELDDIRDNENMSAEEDNVAVRNENVAGGRVETLVALNGIVDDGDDVVLDAGDGGDDERF >scaffold_500849.1 pep chromosome:v.1.0:5:4877860:4878229:-1 gene:scaffold_500849.1 transcript:scaffold_500849.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LM28] MASPLTLFGSHSFSQLFSQFLHLKAETFLSTSMVNRELRCESDQNLFNFRRFLPTSLRNPLPLRDPHSSKSKNVLSTRRFQFLRPKHESCLFLDEMSDVISGGFLLCH >scaffold_500852.1 pep chromosome:v.1.0:5:4897765:4898668:-1 gene:scaffold_500852.1 transcript:scaffold_500852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAANKKKRAGILAITDGLILVDVSTKGRPTMFSVANPMLRQWIDIPQPPISYDIVIQGTALVTQMNNSDLTLRFFRLIPANGLSAMSPRIVQRFSSNPINLNGCLYWLCRETNVIFAYDYYDHSEISCVIKLPTLFRSEGYEDTLTISCGSLMYMTTDYKRNQELKIWRLENYISGSSKGSWELLWNLNPGLDLSFITDPVVMHPFDNEIVYLVTRQTNYSQTHVYLVSCNLGTKKFQVHKEWNQKYRNLGDYEPRLFHQFVLPQRLSSIPCPPGCTLVTLPQQHY >scaffold_500854.1 pep chromosome:v.1.0:5:4907581:4911233:1 gene:scaffold_500854.1 transcript:scaffold_500854.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FACT complex subunit SSRP1 [Source:UniProtKB/TrEMBL;Acc:D7LM34] MTDSHSFNSISLSGRGGTNPGLLKINSGGIQWKKQGGGKAVEVDRSDIVSVSWTKVTKSNRLGVKTKDGLYYKFVGFRDQDVPSLSSFFQSSYGKTPEEKQLSISGRNWGEVDLHGNTLTFLVGSKQAFEVSLADVSQTQIQGKDDVTLEFHVDDTAGANEKDSLMEICFHIPSSNTQFVGDENRPPSHVFNDTIVAMADVSSGVEDAVVTFDSIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVVISLDPPIRKGQTMYPHIVMQFETDTVVESELSISDDLMNTKFKDKLERSYKGLIHEVFTTVLRWLSGAKITKPGKFRSSQDGFAVKSSLKAEDGVLYPLEKGFFFLPKPPTLILHDEIDYVEFERHAAGGANMHYFDLLIRLKTDHEHLFRNIQRNEYHNLYTFISSKGLKIMNLGGAGTTDGVAAVLGDDDDDDAVDPHLERIKNQAADESDEEDEDFVMGEDDDGGSPTDDSGEDDSDASEGGGGEKEKSIKKEPKKEASSSKGLPHKRKVSAADEGSSKRKKPKKKKDPNAPKRAMSGFMYFSQMERDNIKKEHPGIAFGEVGKVLGDKWRQMSAEEKEPYEAKAQVDKQRYKDEISDYKNPQPVNVDSGNESDSN >scaffold_500860.1 pep chromosome:v.1.0:5:4950006:4951291:1 gene:scaffold_500860.1 transcript:scaffold_500860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LM39] MVLERDDETKENDEQARNQIFLLGLSSFLFRAKPSVLRLSPFPSPSPAIIAVVSVPPHSSILCVHSILQIASSISSDFGFIIQAMDKSSALEYINQMFPTGTIERKDLVGSDPLLAYTLTKEAEEAKGIASTFDQIMTKYGVVRH >scaffold_500862.1 pep chromosome:v.1.0:5:4956428:4958769:-1 gene:scaffold_500862.1 transcript:scaffold_500862.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LM41] MAKFPIAVCLMLILVASSTIYEAQAGFLLRHYMKKFPQNSQDFEPFAYKGMLSFVDNLESMAPGKGEYKDFFSKLKAFMGFINTAKGSSSDFQSQMKQQSEGLFKAISALGIKGGSSADTSKLIESLMSMGKTFAEFKRSGATTMTSEQRRELVTSMAKWAQVIGQFVKKVGDQTGDGKNIDLSSLLGGGSSGFGSGGDSGSPSSDSGSPSADTGSPTDGGSYGDSTGDTGSSASSPSYPSDGGSGSTAGGPSGSTTDDGSSAGGESSMGGDSSSAVGGAAGETASATDADSGGAAGGEAASGGASGGSAETGAESASGGDASGGSAETGGESAGGGAASGGAAETGGESGGATTGGSAETGAESGGAASGGSAETGDESGGAASGGSAEAGAESGGATSGGSAETGAESGGAASGGSAETGGENASGGAASGGSAETGAESGGAASGGASGGAASGGSAETGAESGGAASGGASGGAASGGSAETGAESGGAASGGASGGAASGGSAETGGESASGGATSGGSAETGGESASGGAASGGSTETGGGAAAGGASETTSESASGGSAAAGGASGTATETSNSQGSSMASGGTYTDSTGGSPAGSPSAGGPSGSATESSMEGGASGGQSMGGQAGSVSYQSANYQKTHSKSAGKSSFSHSSEEKSSGSANADS >scaffold_500863.1 pep chromosome:v.1.0:5:4963097:4965129:-1 gene:scaffold_500863.1 transcript:scaffold_500863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLLAICLVFMVASSVVYEVQGTFLLKLYLRRKFPRRCVDFAPFASKGMLMLVSNLEGGCPATREFKQFFSTFKSYMSFISSASISSSKNIDVEMNGKCELLAKAMSALTGSKSSQSSELKITMLSMGKTLVEQKRQGSRIMSLKQKKELVVAMVKCKSGSESKTEAGSTETKTGSVGSKTEAGSGSSSSAKTKETSGGSSGNTYKDTTGSSSGASPSGSPTPTPSTPTPSTPTPSTPTPSSSTPSGGKTSEKGSESSSGSASTKKESKSKSESESAASKTKESSSGGTYKDTTGTSSGSPSGSPSGSPSLSTSTDGKTSSKGSASSGASANAEASAGANASAGESSQKKESNSKSSTSSSSTTSVKEVESQTSSEVSSFISNLEKKYTGNAELKVFFDKLKTSMSASSKLTASNAKEFVSGMRSAASKLSEAMMFVRSRFSKSEETKTSMESCQQQVMKSLQALQDINSQIVSGKTVTSTQQTELKQTITKWEQVTTQFVETAASSSSSSSSSSSSQSSAGMAMKN >scaffold_500871.1 pep chromosome:v.1.0:5:5038676:5039094:-1 gene:scaffold_500871.1 transcript:scaffold_500871.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription regulator [Source:UniProtKB/TrEMBL;Acc:D7LM50] MSNRRSRQSSSASRISDDQMIDLVSKLRQFLPEIQERRRSDKVSASKVLQETCNYIRKLHREVDNLSDRLSQLLDSVDEDSPEAAVIRSLLM >scaffold_500883.1 pep chromosome:v.1.0:5:5250650:5251572:-1 gene:scaffold_500883.1 transcript:scaffold_500883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSNQSPSHDVFVYGSFQEPAVVNLILECSPVMVSAQLHGYHVYRLKGRLHACISPSENGLINGKILSGLTDSQLENLDMIEGSEYVRKTVEVVLTDTSEKKQVETYIWANKDDPNLYGEWDFEEWKRLHMEKFIEASTKFMEWKKNPDGRSREEFEKFVFDDPPAAA >scaffold_500884.1 pep chromosome:v.1.0:5:5254817:5256018:-1 gene:scaffold_500884.1 transcript:scaffold_500884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSNPPSHNIFVYGSILEPAVAAVILDRTAVTVPAVLHSYHRYKLKGLPYPCIVPSESGKVNGKVITGVSDAELNNFDVIEGNDYERVTVEVVRMDNSEKMKVETYVWVNKDDPRMYGEWDFEEWRVIHAEKFVETFRKLLEWNKNPNGKSMEEAVGPLLSSGD >scaffold_500885.1 pep chromosome:v.1.0:5:5268760:5269079:1 gene:scaffold_500885.1 transcript:scaffold_500885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTKIFGFVLLVITTFMALSVSCSSATVYKVGDSDGWTTKDETYNYFWVEDKEFHVGDSLVFEYDPLFNDVTQVSGALVLHFQVLPLFNL >scaffold_500886.1 pep chromosome:v.1.0:5:5272211:5273123:1 gene:scaffold_500886.1 transcript:scaffold_500886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTKIFGFILLVITTFMVISVSCSSATVYKVGDSDGWTTKDETYNYFWVEDKEFHVGDSLVFEYDPLFNDVTQVSGALEYEFCDYSSAKAVYNTGHDVVTLTEPGYMYFISSNRQQCASGQRLVVHVVHDPSRPIPPPPPSKVLLPLGNIYKVGNSKGWSVPEETDFYYKWAEQSHFDIGDKLLFEYGNEENDVYEISGDLEFLSCDRISPISVHKTGHDLVTLTKPGVHYFISSKTGHCEAGLKLRVVVGPLTKPVTVPNVPAKQMELTLMDQYNRWLRSFRPQPHN >scaffold_500889.1 pep chromosome:v.1.0:5:5293956:5294495:1 gene:scaffold_500889.1 transcript:scaffold_500889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFLDLSYFPSFKDFFSSPVDDVMRRAATRDGTMILTTLNETWEAPGLVIDLFLESFKIGKGTRKFLMCCVQLQ >scaffold_500890.1 pep chromosome:v.1.0:5:5314097:5315776:-1 gene:scaffold_500890.1 transcript:scaffold_500890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQLLLCFTILFASVTLLDLVSAHLKYKPSLPQIEDPKTVKDVEPYTVKVVMVFVADLEKECPKTSKFKAFFEKLRGFAKYVCPIRRRDQVDYDRDLKAKAGGVFKAISSFAIGKIREEIQEEKMEAINTFRFMKSVAAKIMGGRKKEESEETMKLTAEQQKEIKEGILKWETIITRITNTMVMSTTNSASGEESSVGKETSSNNSKSSASGSKTGSSSGEESNVGQEASSNNNKSSGKESETSAKGESETSTKGKSETSAKGESETSAKGKSETSAKGESETSAKGESETSAKGESETSSSKTAGGSSNVEASQSSSVTVTQVEEETSKDVSTFIMNLEKKCPQKEEFKVFFEQLKGTMIAPRKERKGLFSRIKSAAGKLSGAMAVMRSRIGSKSAEVKKNMEAYQEQVMTTLQELDTIHSQIVSQNKGKGSMTCTPAQQMQIKQTITKWEKVTTQFVEVAVQSESQSSSSSSSSSSSSSGKLQAN >scaffold_500891.1 pep chromosome:v.1.0:5:5317831:5319706:-1 gene:scaffold_500891.1 transcript:scaffold_500891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVQHMIETCLTFNMSKEECMEALSKNANINPIITSSVWKELVKENKDFFEAYEQKLVKNEQISEEETNQMIQNIISGSSDD >scaffold_500894.1 pep chromosome:v.1.0:5:5341878:5343113:-1 gene:scaffold_500894.1 transcript:scaffold_500894.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB110 [Source:UniProtKB/TrEMBL;Acc:D7LM74] MEMDFSCFQEYPFEFHCRGTFNGFGENNAVSEEFCNKRRMQKKSDDLEKKKKKKKQSGSRVCSRGHWRISEDSQLMELVSVYGPQNWNHIAENMQGRTGKSCRLRWFNQLDPRINKRAFSDEEEERLLAAHRAFGNKWAMIAKLFNGRTDNALKNHWHVLMARKMRQQSTSYVQRFNGSAHKPNTDQKIFNLSPGNVDDDEDMDLKKCSWKMLKEGTTNLKAQYLQEEYCSSRMPMQGPHHPYSTFPADSLALTLHVSIQEPSSSSSSSSLSLPSSSSAQHTMVTRYFETIKPPTFIDFLGVGH >scaffold_500903.1 pep chromosome:v.1.0:5:5423411:5425223:1 gene:scaffold_500903.1 transcript:scaffold_500903.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7LNT6] MATTRIVRVAQDGSGDYCSVQDAIDSVPLGNTCRTVIRLSPGIYRQPVYVPKRKNFITFAGISPEITVLTWNNTASKIEHHQAARVIGTGTFGCGSVIVEGEDFIAENITFENSAPEGSGQAVAIRVTADRCAFYNCRFLGWQDTLYLHHGKQYLKDCYVEGSVDFIFGNSTALLEHCHINCKSQGFITAQSRKSSQESTGYVFLRCVITGNGQSGYMYLGRPWGPFGRVVLAYTYMDACIRNVGWHNWGNAENERSACFYEYRCFGPGSCSSERVPWSRELMDEEAGHFVHHSFVDPEQDRPWLCLRMGVKTPYSA >scaffold_500904.1 pep chromosome:v.1.0:5:5426550:5427828:-1 gene:scaffold_500904.1 transcript:scaffold_500904.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport v-SNARE 13 [Source:UniProtKB/TrEMBL;Acc:D7LNT7] MSQVFERYERQYCEISANLSKKCTSANALDGEQKKQKLSEIKSGVDEAEALVKKMDLEARSLPPNVKSSLLVKLREYKSDLNNFKTEVKRITSGNLNANARDELLEAGMADKLTASADQRSRLMMSTDRLGRTTDRIKDSRRTMLETEEIGVSILQDLHGQRESLLRAHETLHGVDDNVGKSKKILTAMTRRMNRNKWTIGVIITVLVLAIILILYFKLTR >scaffold_500906.1 pep chromosome:v.1.0:5:5463320:5463650:1 gene:scaffold_500906.1 transcript:scaffold_500906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTTLACITFIVVHVSFLAPVNSVNPACDKIEITGCIPAILYGDKPTAQCCGKMKAQQPCFCDFIKNPVFNKYVTSPQARAILKFCGIPYPTC >scaffold_500907.1 pep chromosome:v.1.0:5:5467641:5468430:-1 gene:scaffold_500907.1 transcript:scaffold_500907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETIRAASQEVSDEFKTLVKAEDLNSLRHLQHLILGRLQDSNAVLSHYNEFSENCFADVSLEFARNTRLLKSMKADLDYIFLKLRSIKSKILATYPDAFPDDSTSDAFDRRPDLELPQ >scaffold_500910.1 pep chromosome:v.1.0:5:5494093:5494594:1 gene:scaffold_500910.1 transcript:scaffold_500910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSIHVQGPPGSLTPEMDLISQKFPIHSKLMFLVAETMSLEPKPTKLISLVRKIFSLAISKNSKLKKLTSLCPHAQVTFKEGIIHVIEEVLRPTNNKWTCLPLNWQKIRQPGAEGYTHFFCEACNAKTIKNITRLHFIRSIYLSLSFQEGAL >scaffold_500912.1 pep chromosome:v.1.0:5:5505582:5506711:-1 gene:scaffold_500912.1 transcript:scaffold_500912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSVRYAQLPGDEDDEGYGNGVGGRRDFDPRFDYTPKAFDRVPWKSIALAVFLLLLGCLLLLLSFFIFIGHMEGDSSQGYALLVLGILTFLPGFYETRIAYYSWRGAEGYRFAAIPSY >scaffold_500918.1 pep chromosome:v.1.0:5:5586365:5589058:1 gene:scaffold_500918.1 transcript:scaffold_500918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIWNVSICVTALVVIVITKWWYRWSNPQCNGKLPPGSMGFPIIGETIDFFKPHGLLEILPFVKKRMLRYGPLFRTNIFGTNTVVATDPDVIYEIFRQENKSFVFSLPDNFLKIFGKDNLLSEHGDAHKHAKQITLNFLGSEGLKHNMIGDMDKVTREELRSKASLGSFDVKEAVTSLIITHLTPKLISNLGSETQANLIESFKACNLDWFESFTSLSTWRSFYKAFSGRKAAMKMINDVFVSRKESGENHGDFLSTMLEDDRFNEKAIMDHIFVLPVAGKDAISTVVSLAVNFITKNPKVLSELKREHKAILQNRDDENSGITWEEYRHNMTFTNMVIKETLRMANVAPVMFRKALNDVEIKGYTIPAGWMVVVASSVIHYDHTIYENPFEFNPWRWEGKELLNGSKTFMVFGGGVRSCIGAEFARLQIAIFIHNLVTNYDFSMVQDCEVTRTPLPSFPNGVHINISHSPTN >scaffold_500920.1 pep chromosome:v.1.0:5:5613009:5613337:-1 gene:scaffold_500920.1 transcript:scaffold_500920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIPNPLITDIIRRIGSQGFRYLGPFIAASPWFKEIVYSREVLLDVDLDEFMFNTRLGREESIYRPFLLRCAAEGHKTARYIESLLDSSWPVG >scaffold_500921.1 pep chromosome:v.1.0:5:5616925:5617515:1 gene:scaffold_500921.1 transcript:scaffold_500921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHHYLSDLNVHSTRWSVHVKILSMWKEPLVSGRVETRMILADEKANRIDATIPNRYYNWNFQGFLKPGVWFRLSDFEVLRPQEKKTRYCCFPVVIKCIADTTMWPIYVVCPYSFYDFVYPETVEFAQEDEKEFVTGKGFSSLIFTVS >scaffold_500922.1 pep chromosome:v.1.0:5:5620403:5621029:1 gene:scaffold_500922.1 transcript:scaffold_500922.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNV9] MDPLGQWLDDQDIDRWSPPSSRTASLDDTPPCSPRSSSGGSYASSDDYVPSSGPDTPPSSPTKGSTDTSCSEKSGSSKEGTSKEGSSQEGSSQSSPPPSSEQEMSPPAVAYADEAESSRRVRRRIGEPRPLSSPGHLGPQSLTMDTLSIQDTVKKIGPGGRDFIPGRIFYPQDFLTNSDCHVRAKTQDWLAKV >scaffold_500926.1 pep chromosome:v.1.0:5:5643607:5643889:1 gene:scaffold_500926.1 transcript:scaffold_500926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRSHFVKGMELMVLLICYRLYGKATEDSVAYALVMGSTWFLVGSWLFDQFFFNPSGFEWQKIVDDWDDWNKWISSRS >scaffold_500929.1 pep chromosome:v.1.0:5:5658551:5658819:-1 gene:scaffold_500929.1 transcript:scaffold_500929.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNW3] MVLFDSLSLCCLGLSFIILSPRLQGMATVCVHFEFSVATILSFSEKHFSVGIFCSWTLARSLGLRRVFSSLRVKL >scaffold_500932.1 pep chromosome:v.1.0:5:5664668:5665366:-1 gene:scaffold_500932.1 transcript:scaffold_500932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHHPYWWVLLLQVSKVYQLTNPLYKDKLAVIYWVDDVDIYEINYYAGRDLDKYLEENLDVEATNELHVWVLEDVEKQEWSKYAYTWTDDTFFRRHVSIAGATPLGEIVFSMRKYTSKQPFYVFYFNPERNTLQRVEIQGFGEAFKETCSVRTFVNHVEDLDVNDLKQLKSVHPPLVEPEYYEPSDSESD >scaffold_500936.1 pep chromosome:v.1.0:5:5680288:5680680:-1 gene:scaffold_500936.1 transcript:scaffold_500936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDKLHKLRPILVGVSADLLVCHKVFAIDNPVVALLQGHEDLVMEFANVFKRPTGSSGSKSDRDR >scaffold_500937.1 pep chromosome:v.1.0:5:5701760:5703639:1 gene:scaffold_500937.1 transcript:scaffold_500937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHHHHHNNNGCSRHQNHHNSIPLVATSTHCCTQINHPSPPPPPPEDNLVHLLATYLQNHQQETQCSCETSCQNFNVIRGQHRVLRQQKNVPREYDQVVMSCLLRKINDLESSLNKFSAFYEQRRDRHSTLRDTAARVIQTHFRSYLVHRSISFRQLKELAMIKSSFLSLKSSVSGKPIFPFKVVSRKATDLLLRLDSIQGRIDPMIRSSKRSLSRDLVKFLQYIDDCAVKRCEFVAKSAIRVSRRSKLNGKKPQGFGVAEDRTNEKSRNRMGNNFVTSSEDEDNNADMTDDSEEVPVSSSDKKKVASSKSRTGDVIKGNVVKTPVRKFVVLDKNRNLCQVYGNRHDLNLSAEGDSVDGDEEILVMSRGNGRRQSLKTRNGVLVNGIGGKTTRVVKTVSFDENGNVCKVYGDTDDLSSSAEEDSVDDGEETLVMSRDDGKRHSSKTGNRVLVRGSGGKTNRVVKSVSFDENGNVYKVYGDTPESSISEEDDSTSGSNDGNGDEKGNANEVEEIKYVPKENESFEDEVKEVEETDSENEVSSSEGSEGKTGANHQGSNKHEREIQLEKGSLMFSPPLPLKMEP >scaffold_500938.1 pep chromosome:v.1.0:5:5704015:5704385:1 gene:scaffold_500938.1 transcript:scaffold_500938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHQSILFTYVSSTNVNGICTKHYMVTSEAKPILVSAVNLQNTVEEGSIPFWKEAYYSLVMIEKMLKQFPDLCFENTYRAR >scaffold_500944.1 pep chromosome:v.1.0:5:5726993:5728424:-1 gene:scaffold_500944.1 transcript:scaffold_500944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIVEEHENEMDEAAMSLVMFSEQVYDFDLPPNDDDDSMDLDQLTPIQEKILDCVEWIPSHSGFEKSTTCSDVVAAQALPKLQSNSSRKCNICGKIFGCYQALGGHQRVHRPIRGKLARKREYTEDDNSLFESSDAKKIVSKPSNFEVSKEEKILDCVDSKQGFSELLPRNSKSLKIPESSSCYECKICGKSFGCYQGLGGHTKLHRSMKGQLAHTEDNNSLLDSSEAKKIVSEPSCFEVSPDEKSLHCVELKQDFSELLSHSGALPSTLRSKLQKKTQSKSSCDCKICGKSFVCSQALGNHKRVHRPINGKLARKRKYTEDYNPLSDSLEAKKIVSEPSSFEVSQEKSLHCVELKQDFGELLAHSGFDKSISCSNTRFIPLPSSLRSKTHSNISIKGKLTRKNENTEDGNSLFGVNDSEASKFASLSSSFEIYQEKTLHCVESKQDFSELFSHSGLDKSTC >scaffold_500945.1 pep chromosome:v.1.0:5:5729534:5730678:-1 gene:scaffold_500945.1 transcript:scaffold_500945.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine-containing phosphotransmitter 2 [Source:UniProtKB/TrEMBL;Acc:D7LNX9] MDALISQLQRQFRDYTISLYQQGFLDDQFTELKKLQDDGSPDFVAEVLTLFFEDCVKLISNMARSLDKTTGAVDFSQVGASVHQLKGSSSSVGAKRVKALCVSFKEYCEAKNYEGCVRCLQQVDIEYKALKTKLQDMFNLEKQIIQAGGRVPQVDIN >scaffold_500946.1 pep chromosome:v.1.0:5:5732063:5734356:-1 gene:scaffold_500946.1 transcript:scaffold_500946.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7LNY0] MPDVKYVLNSGSIITEPAEAVKMVKICCIGAGYVGGPTMAVIALKCPDVEVAVVDISVPRINAWNSDQLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVREADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSVSDKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIKDLFNPDRVLIGGRETPEGFKAVQTLKDVYAHWVPEGQIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVTQVSYAVGTDSRIGPKFLNSSVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKSRFVNRVVSSMFNSVSNKKIAVLGFAFKKDTGDTRETPAIDVCKGLLEDKAMLSIYDPQVTEDQIQRDLSMNKFDWDHPLHLQPMSPTTVKQVSVTWDAYEATKDAHGICIMTEWDEFKNLDFQKIFDHMQKPAFVFDGRNIMNLQKLREIGFIVYSIGKPLDDWLKDMPAVA >scaffold_500948.1 pep chromosome:v.1.0:5:5750862:5751291:-1 gene:scaffold_500948.1 transcript:scaffold_500948.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNY3] MAVFSQVPAENGEVNQVQDKAFSLDLMNQNLLQCRYEPKQFVVWSIMLSSIWALFYGFAERLYNSSSLLSCFFSLSRLPISSNVKDYMNLELFVRGKDEKEIADPKHGANLCT >scaffold_500949.1 pep chromosome:v.1.0:5:5760819:5761193:1 gene:scaffold_500949.1 transcript:scaffold_500949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSKTQTTRGRRSCDKLIRSRRAHVSSTTRVLRTCCGNGSRDGGKKVTEKLLALKSLLPPPANVSGGETDELFKETADYIVRLRTQVMVLKKLIEIYDNTSDQKLDVVL >scaffold_500953.1 pep chromosome:v.1.0:5:5802208:5802451:-1 gene:scaffold_500953.1 transcript:scaffold_500953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPFADMLEALRGSGLSVAFGPRNEEIQSLAQDPAAATNFVATWITPYQNDVTIKWITIGNEVFPG >scaffold_500956.1 pep chromosome:v.1.0:5:5812098:5812294:-1 gene:scaffold_500956.1 transcript:scaffold_500956.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7LNZ2] MVMEIGFVPTYEDKDRDWMLVGDVPWDMFSSLCKRLRIMKGSDAPTLDSSL >scaffold_500958.1 pep chromosome:v.1.0:5:5821173:5821428:-1 gene:scaffold_500958.1 transcript:scaffold_500958.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNZ4] MGKGYGRPICCARERKCFGLLCCARGRRDADTIITCTKIKKNWVLCPSSATFRRCDLILEDELARIFKTCK >scaffold_500961.1 pep chromosome:v.1.0:5:5857162:5862165:1 gene:scaffold_500961.1 transcript:scaffold_500961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDNDEPRVSPSNSSTTNDTSKTRQRRKRKWDQPAEQLVAAGVAFPQLLPLGNTMNVPSMSPLLPTLSVPPLGPKVNQHKIQDELIIAREIVINDAEASLRHKLTKRSTQEEIQRSTGAVVITRGKYRPPNAPLDGEKPLYLHISAAAQLNETTERILAVDRAAAMIEEMLKQKSISQVGSVGLPTVKMQSTCVYLGFEADPSSNVAARIRGPNDQYINHIMNETGATVVLRGRGSGSIENQHGEEAQLPLHLLLSGSNPKTIDDAKRLAENLMDTISVEFGASRISSNKVYGAVPPPQQLLSGAPGSEKEQTPNLTSTYGLMTSIPITAPPSTVSPFPVTPATSLYPQFPVMQPLGISNGGHFHQSPVSYLQPVAGGTSYSGYAGIYPQATPLQQVAQVLKQSISPVISTVPPTMLPATSFSTPSDISSKEKERNPPRKRKFQELPADCKVPAKAKQQSELAMTGDVTPKNIVEEPSANRVQSPRSPRSVMPPPPPKTITPPPSKTVSPRSSRSMLPPPPPSKTMSPQSSKSMLPPPPRFTPTTQPSRLQDNHITVKKPSPVPDTLVKLMEYGDDEDDDDDSDDTLIIRS >scaffold_500968.1 pep chromosome:v.1.0:5:5932481:5932774:1 gene:scaffold_500968.1 transcript:scaffold_500968.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP05] MAHVDLPARLFADREEPVGDRVNQYFKLHTIKVVLKALQPTELELIRPCFGKLLDSHRHRLFIRKDNFHNTNKDVKHFLDLHK >scaffold_500971.1 pep chromosome:v.1.0:5:5957628:5959068:-1 gene:scaffold_500971.1 transcript:scaffold_500971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKIIKISRVSPATDSSCDSVDPLVLPLTFFDLRWVRSHPTQQVIFYKLFKSSREFFYSVILPRLELSLSLVLHHYIPYAGHLTWDPQNPKPHIVVFGHDTVSLTVAESDADFLFISSKGLRPQSELRVLVPELSVSCDSTSLYSLQITLFPNQGFCIGLAEHHVVKDGVGSIMFIKSWAHICKLLGHRTLTLPCLPKDLTPILDRTLINVPPGLESKIMQSIWYFSDEKDGKRTLRPPPTGHISTDLVRITLQLTQEKVKNLKEQAKRESARSLHDLYLSTFVVTTAYLWSCLVKTRGDSEERPVLFMYAADFRNRLDPPVPERYFGNCVFPIGCFGYKAKPFLGRDGFINAIEILGDSVKSLGSQGIETLCELYIDGTKQVKPGTQVDSVSGSNRTGVYGSDFGWGKPVNHEIVSIDRYAAFTISERRDEIGGAEIGLCLKKSEMDTFMSLFNYGLDIIVSRI >scaffold_500972.1 pep chromosome:v.1.0:5:6010478:6010790:1 gene:scaffold_500972.1 transcript:scaffold_500972.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP09] MAPRVRGGRGRGRGKRGPKSPVKRPTVIPTRPTSSGVSSQRPRSLPPQCEFTPVNPQGPNPETEQSEIRQPSPRVSLRDIAYMHVMINT >scaffold_500974.1 pep chromosome:v.1.0:5:6019433:6019968:-1 gene:scaffold_500974.1 transcript:scaffold_500974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITCSLSKPPRDNLMLISSERSFVSYLDMLAMKRVPVIRELQSDEVSPIVWERFLSAGGVDSGDLDEDPGWSCEVCFSTGHGFENFLVHLKHTPRNEATKDEEEDEDDDTPRNEASEKQSEASSEASKKQSEASLAF >scaffold_500975.1 pep chromosome:v.1.0:5:6051977:6052296:-1 gene:scaffold_500975.1 transcript:scaffold_500975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIDGTKSVKLSTQSGSITGSNQFGLYGSDFGWGKPWNCEIVSIDRNEAFSMSERRDKPGGLEVGLCLKKCEMDIFISLFQNGTSLRCFVP >scaffold_500976.1 pep chromosome:v.1.0:5:6055959:6056169:1 gene:scaffold_500976.1 transcript:scaffold_500976.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP12] MAAPVVTVAYISGGTRWPWSHALSLLLHQNHDGFSQRRPCKLWNGGGNQRQQHTIK >scaffold_500977.1 pep chromosome:v.1.0:5:6058984:6060387:1 gene:scaffold_500977.1 transcript:scaffold_500977.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LP13] MTLHIIETTRVTAAADSDSVLNSANSLTIPLTFFDLPWLLFHPVKRIFFYKLTESTPEKFHSIILPKLKHSLSLLLRNYLPLTGHITWEPDEPKPSIVVYPNDAVLVTIAESEADFSHLSGYGKRPLSDLHALLPKLPVSDHSATAFSIQITLFPNQGFSIGVAAHHAVLDGKTSSTFIKAWAQICKQELDNMPENLTPSYDRSLIKDPTGLDEKMIELVRSLKEDKTNIRSLTSLPASELGDDIVLATLVLSRDDIERLREQVKNVSPSLHLSTFVIAYAYAWSCFVKARGGNGERSVSFLFVGDFRDRLDPKLPGTYFGNCMFPVGCYNRKAAEFMEEKGFVMAVEILSDLVKGLSSRKIETIADTFVEGFGFQSWSTQFGTVAGSTRLGVYEADFGWGRPVKVDIVSIDQGEAISMAERREESGGVEIGMCLKKTEMDMVMSFFNNGLQN >scaffold_500978.1 pep chromosome:v.1.0:5:6106725:6107356:-1 gene:scaffold_500978.1 transcript:scaffold_500978.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP16] MVLFLASLFAKRLVKIKHEVIHYTDDEPSLSFGGVITTILEAAGVDLTDCPFTSEEQYFDLERLGTMRIFEGACIDPNHFGYRYHVSPRLMSTIMLPCPTIPRLRNGATRWDPESSEFLSLQIGERLPFTIAGFVKKKVFDSIASQRATRAARSHESESSSLQEERARRIALEQWLVEQIALTEQMERMIRDLRH >scaffold_500979.1 pep chromosome:v.1.0:5:6215759:6216907:1 gene:scaffold_500979.1 transcript:scaffold_500979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCEPTSLFNKPRSATLFTLSSLKQESCRSLTSYGRGHHRLEKSLPLFGFYFQHLQILVTSSSMGVNSKSLRNSGILIPNLNYRRLESGLGSSIPKYHSPTISYIDQKHYLIVIAHKFLKSHDTLAMNSNGQRIAYGLGSYLANSHSLTILYSDFNHHPFANSSNAWTLSWYVYLLHVSNLRQALCGMLNLHGQGIHVREDPPVSLQFYTYHFMNPGFNFTCPHHLDLMLQRLFCEFSKRSKPYALVVKQSSIDYITIVFRIFVVALLWVVHLAPARINASILSSTSQSLMTVTILSSFESFEDDLSINHDLTCVNVLPSSCLTALLVSKSMNFIYLLMALGNVFYCTTLNFGSLKSFFLYLFLFG >scaffold_500985.1 pep chromosome:v.1.0:5:6346906:6348516:-1 gene:scaffold_500985.1 transcript:scaffold_500985.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP25] MAPTGKGKASRGRGGGRSGVNIRSLCGLNKPKCIECGNVAPASRDSDADFHVEDSKITLNVCLSKQGEGGEIFFAGTQCKKHMDTDSKPEQQASQIRIANLERLVMYWKESDPAFAAFVASQPQPTAPANTQAANATATNTTATANAPATAPTGTVAATTTPSSSF >scaffold_500986.1 pep chromosome:v.1.0:5:6392504:6393041:1 gene:scaffold_500986.1 transcript:scaffold_500986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSGSTSSFGSNSGVRKLCECGLPAKVFKSKTDKNPNRRFFGCQLYKEGGNAHCKFFRWLDEEVIGWPKRALAEAQSVIKEKTEEIEELNATILELRGDLERQNLEISSINTEDEKISIELGLQKRIDEMEKIVYRQRIIIRGLTGLLVCVVSAIVFCIVSDV >scaffold_500989.1 pep chromosome:v.1.0:5:6471170:6471529:-1 gene:scaffold_500989.1 transcript:scaffold_500989.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP29] MASSPPSSTVKGDRKPDDGDKKEKKFERVSPPARVGRKQRKQKGLEAAAKLKRSLHK >scaffold_500990.1 pep chromosome:v.1.0:5:6477270:6478642:1 gene:scaffold_500990.1 transcript:scaffold_500990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7LP30] METMKVETIAKEIIKPSSTTPNDLRTLQLSIYDHILPPVYTVAFLFYTKDDLISPEHSSQKLKTSLSETLTKFYPLAGRINGVTIDCNNEGAVFVDARVNNCPLYDFLRSPDFKTLQQLLPLDVIDDPYEAATTWPLLLVKATYFPCGGMAIGICITHKIADATSISTFIQSWAVMARGEAGDAVAGPEFAAANFYPPANELFKFPVDENANKISRITKRFVFSASKLEELRTNAASEDFVARPTRVESVTALLWKAIVEAGSSNNNACDMKVLIQPSNLRPKIPSLLPESLIGNIMFSSVVLSISQEEEVKIEKAVRDLRKKGDDLQYVIKDEGGSSSSMIGSKLANLMLTNYSQLSYETHEPYTVSSWCKLPLYEASFGWGSPVWVAGNVAPMLDNVTMLIDSKDGQGIEAFVTLPEENMMSFEQNTELLAFASVNPSVLI >scaffold_500992.1 pep chromosome:v.1.0:5:6483271:6483999:1 gene:scaffold_500992.1 transcript:scaffold_500992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQKELNCVNRKLNIAITRISNPYGDPNILAEFIAGQLKDRVSFRKAMKKAIELTEQANTKGIQVQIAGRIDGKEIAR >scaffold_500993.1 pep chromosome:v.1.0:5:6484120:6485086:1 gene:scaffold_500993.1 transcript:scaffold_500993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQEAMGTTEPMNIEDSIEKQILVIHWTGWRNKPDTLLSILIFIQRSPGINIQLNIDIERVNIPFLFLLFARSWMRRNSHVQFYSRDGISNLEPSTITQKEPDFVNNIEED >scaffold_500996.1 pep chromosome:v.1.0:5:6500905:6501115:-1 gene:scaffold_500996.1 transcript:scaffold_500996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSKAFLCAVEEAVREIGEHVQQFLVCDDAALVSCVALNSGIKDGVSVPNIIFGS >scaffold_501000.1 pep chromosome:v.1.0:5:6589503:6589801:-1 gene:scaffold_501000.1 transcript:scaffold_501000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP40] MGENPLLSAPYHAGPVTTPCDRCDREPTDWSRPLVTGVVPDAEPEYTDRTAVSPVIAPSHRTVCIVDRPWIDPRRPYPTRDRTAH >scaffold_501001.1 pep chromosome:v.1.0:5:6617028:6619459:1 gene:scaffold_501001.1 transcript:scaffold_501001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7LP41] MVQFDTKLWKAVLMMSMINIGLSVVNVMFKKMIDEGLNRMVATTYRLAAGTLFLIPFAIFLERHNRPKLTGRILCSLFFSALLGTSLVQYFFLIGLEYTSSTFSLAFSNMVPSVTFALALVFRQETLNIKSNVGRAKVLGTMICICGALVLTLYKGTALSREHSTHMQTHTRTDSTGAMTQKWAMGSIMLVISIIIWSSWFIVQAKISRVYRCQYTSTTILSFFGVIQSALLSLISERSMSMWVVKDKFQVLALLYSGIVGSGLCYVGMSWCLRQRGAVFTSSFIPLIQVFAAIFSFSFLHEQIYCGSVIGSMVIIVGLYILLWGKSKDKSASVTKQEPLNLDLEGCGTAPKELNSTAHPVSEK >scaffold_501003.1 pep chromosome:v.1.0:5:6644509:6645022:1 gene:scaffold_501003.1 transcript:scaffold_501003.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP43] MQQFKRYKHIKLQKLIKSTSWVIPFALRHSLTAVCRLYSGFDPAHVPNRFDSGFLSSVPTIYLICWLDNGIVSLIRWICVPVLVTLAFMNAMVVYKASMVSLPWLWFDILCHVYSFMEVYVLSNAPVGGKRFIVGKKIFFGEARLTFSSLCDMGRWF >scaffold_501005.1 pep chromosome:v.1.0:5:6675833:6676370:1 gene:scaffold_501005.1 transcript:scaffold_501005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSGSTSSFGSNSGVRKLCECGLPAKVFKSKTDKNPNRRFFGCQLYKEGGNAHCKFFRWLDEEVIGWPKRALAEAQSVIKEKTEEIEELNATILELRGDLERQNLEISSINTEDEKISIELGLQKRIDEMEKIVYRQRIIIRGLTGLLVCVVSAIVFCIVSDV >scaffold_501011.1 pep chromosome:v.1.0:5:6779524:6779919:1 gene:scaffold_501011.1 transcript:scaffold_501011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFFSVSFFFTVSLLFLLTAASATAFAENVTSELRYDGCSPGDTVGECITAAVEDDDEGVEALVRRILQQKRYLSYKTLQKQPTCDGRIAGNCIGTVNPKGSTCTYYQRCKRAA >scaffold_501017.1 pep chromosome:v.1.0:5:6827948:6828996:-1 gene:scaffold_501017.1 transcript:scaffold_501017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNKASWGKNRSSDSSRFSEGDRESINAISIEKLLVMYLTRKSIMLLRKYLLVTESQVSKYGFHIVKKKGDVLYPKRTKYSKYRKGRCSRGCKPDGTKLGFGRYGTKSCKAGRLSYRAIEAARRAIIGHLHRAMSGQFRRNGKIWVRVFANPPALLGGFYYFLVFIAPKLGRVLLLLRLSFCLLGLLETPTLFVVPHVDLPAADTEGNEIALNPSVSSLYNEIESSDSLRARNLQLAESWERVEGTERALQNEGDPGRRRELTARLDQEIRSLQRQIHLGRRADSIRDRQIAEWRGRFNTELARVEEESARRAFLNWCLRVLIHAHEHQPPQN >scaffold_501018.1 pep chromosome:v.1.0:5:6830084:6831087:1 gene:scaffold_501018.1 transcript:scaffold_501018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQSFILGNLVSLCMKIINSVVVVGLYYGFLTTFSIGPSYLFLLRARVMDEGEEGTEKKVSATTGFIAGQLMMFISIYYAPLHLALGRPHTITVLALPYLLFHFFWNNHKHFFDYGSTTRNEMRNLRIQCVFPNNLIFKLFNHFILPSSMLARLVNIYMFRCNNKMLFVTSSFVVCVRMLLVEWAFPLFQLFLVMKV >scaffold_501019.1 pep chromosome:v.1.0:5:6831263:6832196:1 gene:scaffold_501019.1 transcript:scaffold_501019.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP61] MQQCDADSNLWPSVPKTDALTRLRYTSRLTVIAFFFHLPPALYGFLPSSFFHLNFKNPARSRLRVQRTKGPFFIYLSSENRSGCGFEPLTQGFTVLCSNHLISVHSCLVSVYAQQLFLCEACMEVVKNGLRTTVAKRH >scaffold_501020.1 pep chromosome:v.1.0:5:6832564:6833300:-1 gene:scaffold_501020.1 transcript:scaffold_501020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFSNHYWNIAYRKSSLKQVAMLEGHFFPAYLSSALDLCLGSLIETSYT >scaffold_501021.1 pep chromosome:v.1.0:5:6833687:6834204:-1 gene:scaffold_501021.1 transcript:scaffold_501021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVFRREGINLYYYSNKTKKFSLDSWYLPQLHLLESKGNKKSKAATDQYFIHPSRTRQERDLTDRKHRPEQQQLQRRVTRWKKEVTTRSRPKETSSTHLPYHGSY >scaffold_501022.1 pep chromosome:v.1.0:5:6836355:6837272:1 gene:scaffold_501022.1 transcript:scaffold_501022.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP64] MTNQASRAQLPILCSPNSGTGGNLTNSGKQDDRHWKRRLGERCFSNSTEKERAKS >scaffold_501023.1 pep chromosome:v.1.0:5:6837332:6837768:-1 gene:scaffold_501023.1 transcript:scaffold_501023.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP65] MERSKTVGCPSERRRRVLTVAVVIPLFVVQPSGEPPSAAKVGAWLRRISILFMSLPGISSLAVASLLGSTPRFSGRFYLDAGGTHSLR >scaffold_501025.1 pep chromosome:v.1.0:5:6839298:6839778:-1 gene:scaffold_501025.1 transcript:scaffold_501025.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP67] MDTMNGKETDPPLFESLVEEELADVEGFFLILSTTSFTYSDRLQRLFQAKEITIVNSLRPYSLDLDSPT >scaffold_501026.1 pep chromosome:v.1.0:5:6840189:6840495:-1 gene:scaffold_501026.1 transcript:scaffold_501026.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LP68] MFSESIVIGLTAQGLSLYSPFWSPVIGRKPVNGISLLSFQSSSLFYKSNKLRWTSPMAIAGAFYQVRARAFYQVFLISESLKMLPIKY >scaffold_501028.1 pep chromosome:v.1.0:5:6841216:6841432:-1 gene:scaffold_501028.1 transcript:scaffold_501028.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LP70] MATEIELCPKQNQTDVENSPAAKEVLPNPDPQVGSEQRPFGPWMLPPNRRRKRTTWSA >scaffold_501032.1 pep chromosome:v.1.0:5:6863702:6864260:1 gene:scaffold_501032.1 transcript:scaffold_501032.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7LP72] MQPQTDVFSLHNYLNSSISSPYPSNFPISTPFPTNSQNPYSLYGFQSPTYNPQSMSLSSNNSTSDEAEEQQMDNNIINERKQRRMISNRESARRSRMRKQRHLDELWSQVMWLRIENHQLLDKLKNLSESHEKVLQENAQLKEETSELKQVISDMQIQSPFSCFRDDIIPIE >scaffold_501043.1 pep chromosome:v.1.0:5:7069655:7069888:1 gene:scaffold_501043.1 transcript:scaffold_501043.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LP86] MLSNGKSKRGGRGGRSGGGVRINSGGRSNGVGGSSSHSSNPSSYETGSVSRPVLPSQYPSSS >scaffold_501046.1 pep chromosome:v.1.0:5:7080931:7081172:-1 gene:scaffold_501046.1 transcript:scaffold_501046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRTGLTHPIEISTASTETTIQIQDDYDEFKKAEEIFIALDLPKYTRFYRTCISTLKGASIMA >scaffold_501049.1 pep chromosome:v.1.0:5:7185027:7185259:1 gene:scaffold_501049.1 transcript:scaffold_501049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFHWQFHPYSMKTTAFQSFSMKLIQSIEYEISQSDNTSYTLDECLVLMFQFLNSSNRERCS >scaffold_501052.1 pep chromosome:v.1.0:5:9272295:9273336:1 gene:scaffold_501052.1 transcript:scaffold_501052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSGLSNRDYNEKLHTISGDVFMWAMTHLYVPTNLLIISEDFDYADVLMIIKKQHNNIILAFPHDTPSEMLRSTASSLWLWADLSAGGSPRNCKSGSVQVSLPPTKKKKQMKLGLKPCQKKRKSSSNTKLEN >scaffold_501053.1 pep chromosome:v.1.0:5:9274985:9275235:1 gene:scaffold_501053.1 transcript:scaffold_501053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQSFLASVLMIFFPTITLLLSISHPVSANRSNNAGFLQCLSFRFNDSNIVQKSYTHQTTLIYPLS >scaffold_501054.1 pep chromosome:v.1.0:5:9278423:9278840:1 gene:scaffold_501054.1 transcript:scaffold_501054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPLRVIITNCGLDTEFLVANPVELSGKDRPRMFYDVSLSLKVLGICIFSAKIRRYMASDHEWEVYKFLPDENRLFQLGSASARNEIVSKVRNILMAW >scaffold_501055.1 pep chromosome:v.1.0:5:9292333:9292528:-1 gene:scaffold_501055.1 transcript:scaffold_501055.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPA0] MTRLLSASSAMSEQQSEEVQQIEKLYEFSEHLNASKDKSQVRLNFSTRL >scaffold_501060.1 pep chromosome:v.1.0:5:9350140:9350807:-1 gene:scaffold_501060.1 transcript:scaffold_501060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNIPFPLVTDIVRRIGISGFRHLGPFIAAGPEWSAIVFSAEVLPEVCLDESRSVCSLCIEGSPYRPFLLRCVHSNNNTAKYIEGLRLAALVGPSVQSLDMLGEAAIHNIHSYFAFGIFYALCGNPCEGSMILKKFLEKFSTFQEAVNCANQVMAQISDMGPTGKHLYRGYGGLNVIPDCGLVHYGALDICPSCFVLFYVFQIHDLC >scaffold_501064.1 pep chromosome:v.1.0:5:9379589:9380147:-1 gene:scaffold_501064.1 transcript:scaffold_501064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLTGRILETILSLRFFMFQYGIVYKLNLTGKNTSLAVKLTFWLVFSFKFNFNRVFEKLFSILLDHGKKLECIRLCFCFVGAIYSAIPLLYIIARELTMFSVLQIYGYSWIVLVAIVLLFKVCVKIFISFFSSPDLMFSFQTYSLTYYHCLFSRGLEISIILAGNRANVET >scaffold_501065.1 pep chromosome:v.1.0:5:9387441:9388211:-1 gene:scaffold_501065.1 transcript:scaffold_501065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGGKRKRGRGGGGRAPKVSPNRPVANRPTASGTSNRRPSTLPSQYTFTPANPKAPETQQSPVNPVALESQPPTHRDYPPPTQLFQSGDDSPRGSGSHPFRESGSTQVRGSGSVQGRGSVGSIHRLASRSNQPPAPVQPPAPVQPPASTQPAASNRQIPSRQQRPSPQPRASVSHHSSQAQNSHEESEDEEAEGESKEDVLRDSTLPEDVLADLHATLLIPGREKYTTVISPNLEPETTW >scaffold_501066.1 pep chromosome:v.1.0:5:9389329:9389837:1 gene:scaffold_501066.1 transcript:scaffold_501066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKANKIGELVDSMLQSPHHGGFSVFAGVAERTREGSDLYREMIESSVIKLGEKQVFNCASDVKTDLM >scaffold_501068.1 pep chromosome:v.1.0:5:9417337:9417619:-1 gene:scaffold_501068.1 transcript:scaffold_501068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINDNEVNETFPKEKLPTRKRSKPNSNGDPSDSINHGESSENVLTEMIGVGTNIINLIQQREERYQRDVEFRETQKKKE >scaffold_501069.1 pep chromosome:v.1.0:5:9419596:9427786:1 gene:scaffold_501069.1 transcript:scaffold_501069.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7LPB3] MAASKPSPTDTWDSTLPGPPSRNNFGSADLSPSGLFAFASGSSVSVVDSRSLQLVSTIPLPPPPGALSPFVTSVRWIPLPLPRDLLSTEPSASHLLLAVADRHGRVALVDFHLRSVVLWLNPSSDPNLGIQDLCWVQARQDSHVLAAISGSSFLSLYTSSGGLFWKYDAGMEILSCLRRDPYDSRHFCVLGLKGFLLSVKVLGDTENDVVIQEMLIKTDFSELLRLEREAASNGNSSSSSSSSPASAAFPLYFARFAFSPHWKNILFVTFPRELLVFDLQYETPLSTTPLPRGCAKFLDVLPDPNKELLYCAHVDGRLSIWRRKEGEQLHVMCTMEEFMPSIGMSIPSPSALAVLLSHSDSTMQTITKLHSDGTSSIDFDNPFDFYDESLLVSKTTFISLSDDGKIWKWVLSAEGVEDALKNASDLDMGTGGTEAALPGAIQENNSSSLDDELVVAPTNRSRGHTSSSSMEKSDLSFKVGGWKIFGAYTCLRRSMQISLSGQLQLLSSTVSTLAVPSPSLTATLARGGNIPAAAVPLVALGTQSGTIDVVDVSTNAVAASTSVHTGVVRGLRWLGNSRLVSFSYSQVNDKSRGYINKLVVTCLRSGLNKPFRDLQKPERTPIRALRTSSSGRYLLILFRDAPVEVWAMTKHPVMLRSLALPFTVVEWTLPAVPRPGQGGPSKQSLSASEGVTASANSWAVIDISAASVGSDGSQEETVESFAFALVNGALGVFEVQGRRIRDFRPKWPSTSFVPSDGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGQSSAFNSHRDGIRRIKFSPVVAGDRSRGRVAVLFNDNTFSVFDLDSPDPLAQSLLQPQIPGTLVLELDWLPLRTDKNDPLVLCIAGADSTFRLVEVTVNEKKAGFVPQSKSVKERFRPMPMYSPILLPVPHALALRMILQLGVKPSWFNTSSTTLEKRPHLIRGMASSSKDLRSYMIQLPPLGDPVVPEMLLKILEPYRKEGCLLDDERAKLYANVVKKGYAARFAFAASVFGETSEALFWLQLPQAIRHLMNKLTRKSPQKIPSPTLDSGVDEVAMLSKIPSTGISTPEARKIDSMCDGSLRLMAFEREELRTRANERLPWHEKLHGEDCIQKQVHELISVGNLEAAVSLLLSSAPDSPYFYPNALRAVALASAVSKSLLDLALKVVAANMVRTDNSLTGTHLLCAVGRHQEACSQLQDSGRWTDAATLAATHLEGSDYARVLQRWADHVLHAEHNVWRALILYVAAGSLQEAFAALREVQQPDTVAMFVLACQEIHSEIITELSTQDEESESASGEGTAPLPDLPGLESGKEEVTAVCEYFQQYQRKLVHLCMDSQPYTD >scaffold_501072.1 pep chromosome:v.1.0:5:9452602:9452873:1 gene:scaffold_501072.1 transcript:scaffold_501072.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPB5] MIRIRVKVPRVDMCPNVRRRRFATIVTGHILDLAQRRRRTSDPSSLTLSIGSTAADLQDFAATVLKFPAIDSNESL >scaffold_501075.1 pep chromosome:v.1.0:5:9463266:9464176:1 gene:scaffold_501075.1 transcript:scaffold_501075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQKSKEKGPYNLWVPDETQVLVELVVDAVHRSWRDNSNNFSKLTVEQKILPALNERFGCQKNHNQYLSRWKYLRTLYQNYLDLQRFNFGFGCDPEMKRFTAPNQVWDDYLKKYPKHKHLRHESNEQFEDLQLIFGCGLATSCSAIGMGETIDARTFRSGGSKRVKDNKIDDEVFELSSQEPVASPKCDMPPFSDTNPKGRVEKLRPRKRSRTLATSNVDKLKTDEEDPMIIVSNRILNVIQQREAEEKRRKSKAGS >scaffold_501084.1 pep chromosome:v.1.0:5:9542520:9547459:1 gene:scaffold_501084.1 transcript:scaffold_501084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSLKLREAHKVGGSAAFCSILWDHKAEHFVTSSSTDPSISVHDGLTPSTLPPTILRHHQDGVTALALSNDSTFLASGSIDHCVKLYKFPSGEFQTNITRFTLPIRVLAFNGSGSLLAAAGDDEGIKLINTFDGSIVRVLKGHKGPVTGLDFHPNGELLASIDTTGTVLCWELQNGIVSFTLKGVAPDTGFNTSIVNIPRWSPDGRILAVPGLRNDVVMYDRFTGEKLFALRGDHLEAICYLTWAPNGKYIATSGLDKQVLLWDVDKKQDIDRQKFEERICCMAWKPNCNALSVIDTKGKYGIWESLVPSSMLSPTVGVPDILPKKRNEILNFDEEIEEEIYRASESLDDAMGDSDDGESHHASRKRLRKKTLIDDDVDAYEELNDGSSLPSASENRKKSHRAHREKQGARSGAFKSTSASTKYKMQSPFQPGATPLEPGKRTFLCYNILGCITTIEHEGNSRIETDFHDTGRGPRVSSMIDIYGFTMASINESGCVFANPCKGEKNMSVLMYRPFRSWASNSEWTMRFEGEEVKVVANGSGWVAAVTSLNLLRIFSEGGLQKHILSLDGPVVTAVGCKDHLAVVTHVSECLPSNEQVMEFRVFNISKMTQELKGRVALTPGSRLTWIGFSDEGSLSSYDSEGVLRVFTSQYGGSWIPVFSTSKEKKQEENYWVVGLNTTSLYCIACKYPEIFPQVTPKPILTILDLSLPLASSDLGAASLENELMLKQLLLYETQRRVDDMALVGVDTTALEDEAFDLEVSQDKCILRLISSCCSSDSFVRASELMKLLTLEKSMRAAITLVTKLKLPFLAEKFSSILEERLLEEANEAITDPSENPNREVVTRVESKVQNPPASIQTSESTEAVMKSSATKLCATTLVRKAKVSEGLKLGKEQTKKDETEDAKIKEIKKLNLKNPVNNVNKEDKGQKKEVNQGEARRSSNPFLKSTVK >scaffold_501087.1 pep chromosome:v.1.0:5:9568866:9569669:1 gene:scaffold_501087.1 transcript:scaffold_501087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVSICSIDCLPDDCLIQILSLLPTKEAASTSLLSKRWRTLFTFSPNLDCNDSIFCHPEKSKRKSFRHFVYNTLANLQGRNCIKKFSLKFNEAECMSKLKNLRKGQGSFVVDRWICNALEHGVSELHLRIQNELLWPCGGFPSEVFTSTTLVKLSLGTRSHILTIPWDTYLPALKVLFLDSIWFKDDKLLNVFLVACPALEDLTIHEEMYRKAEVISSKTIKRLSVTYNNSEYFYDSGIISLDTPCDGPLLL >scaffold_501092.1 pep chromosome:v.1.0:5:9597733:9597970:1 gene:scaffold_501092.1 transcript:scaffold_501092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIMQYQGSARELKLISHFLMKMECLEVLNVHVSSAMDDPKKLQLTEDLLNLPTASAKLNIQVL >scaffold_501093.1 pep chromosome:v.1.0:5:9615902:9617847:-1 gene:scaffold_501093.1 transcript:scaffold_501093.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:D7KT17] MASGKTPGLTQEANGVAINRQNDNDVFDDMKQRFLAFKKHKYFYAQAPKCKQQFLVIACADSRVCPSTVLGFQPGDAFTVRNIANLVPSYESGPTETKAALEFSVNTLNVEDGQNLDYNHHYSFAPCNFLHRPKPMFGLEGRQEEEECGVNAYLEHRRRLPLFPMHGEDHLNGDSGAIWKYGQSNDRDCFSRDSCASLELRMN >scaffold_501096.1 pep chromosome:v.1.0:5:9624835:9625120:-1 gene:scaffold_501096.1 transcript:scaffold_501096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVDLIGNFLLRRFYFDISPTFIGCGMICPHLEKYCSGLSVAIISWGILWSFLSQHAENWYPSDLEANNFKGLYWYKVQ >scaffold_501101.1 pep chromosome:v.1.0:5:9796431:9799180:-1 gene:scaffold_501101.1 transcript:scaffold_501101.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7LPE1] MVDPLPRRFLSSHKSLVTVFWIATFASLFIWQFGGVSTNLYTGFSVFWSSSTTTTAASGEFPKLRPVVFNLTDFGAVGDGVTVNTEAFERAIYKISKLATKGGGQLNVPPGRWLTAPFNLTSYMTLFLAENAEILALQDEKYWPLLPPLPSYGYGREHHGPRYGSFIHGQNLRDVVVTGNNGSINGQGQTWWKKYRQKLLNHTRGPLVQIMWSSDIVFANITLRDSPFWTLHPYDCKNVTITNMTILAPVFEAPNTDGIDPDSCEDMLIENSYISVGDDGIAIKSGWDQYGTTYGRPSKNILIRNLIIRSMVSAGISIGSEMSGGVSNITVENILIWSSRRGVRIKTAPGRGGYVRDITFRNVTLDELRVGIVIKTDYNEHPDGGFNPQAFPILENINYTGIYGQGVRVPVRIQGSKEIPVKNVTFRDMSVGITYKKKHIFQCAYVEGRVIGTIFPAPCENLDRYDEQERLVKQSDSQNATDIDYEI >scaffold_501102.1 pep chromosome:v.1.0:5:9839271:9843519:-1 gene:scaffold_501102.1 transcript:scaffold_501102.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPE4] MMTEPIVDEAGETENCISFQDLKASKLSGYVLNILFNLNKFMASQTHDPFCIHQAPIFEGKEPPQFVALSNLWLSLRMTKKGSSDGISCTYSISGTGVHNNKALQVEAKMWKITESKSGLEEADVLVSADQRGRLAMSVKRLDQSRNRVRESRRPESATPNTSCAHKGTAITRVAFGIYQCQ >scaffold_501111.1 pep chromosome:v.1.0:5:9898537:9900162:-1 gene:scaffold_501111.1 transcript:scaffold_501111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWSTASFFFLYAGYVFNILFNLNKFMASQTHDPFRIRQEDQETAVRLAKTMKYSLKGRPVQAPIFEGKEPPQFVAVFQHMVVLKNDRVHQTESVALIQVSGTGVHNSKALQVEAYLKKMPGCLDLLFSCKRGNIELILLVCTSKKVFLRDCQGFPLVLNFAPGI >scaffold_501112.1 pep chromosome:v.1.0:5:9905891:9906426:1 gene:scaffold_501112.1 transcript:scaffold_501112.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:D7LPF3] MACSIGNYDYTFDWEFQMDGLNRVIVATSWMLMVKGTSYTNVQDLREKEADSGPLISETVIGVVHDHFLSFHLDMDIDGLANNSFVKVHLEKQSLPPGKSRRTSYLKVKKYVAKTEKDAHIKLSMYDPYKFHLVNPN >scaffold_501113.1 pep chromosome:v.1.0:5:9909276:9909486:-1 gene:scaffold_501113.1 transcript:scaffold_501113.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LPF4] MGKYRATKHGFKTSLMNTTIISRIPAIILSELIVISLQYYENNQIFFLCAYRYKLV >scaffold_501115.1 pep chromosome:v.1.0:5:9922646:9923255:-1 gene:scaffold_501115.1 transcript:scaffold_501115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDSPLEVLAFDYVNFVFNNLWTWIAVVTAAVSFWRIRATTTSGGGGRDDGFIDESPREPPKPQATKAALVVETKPPSVKVTETEDWSLLLCNDGVTKGKLTVYYEEMIDGEREEDDGETTVVKYGGGASGEWWERWERVVKMRNGDEGWYRYVDLTVINGNVVRLWDANGVRNGGWVSVQRKECYG >scaffold_501124.1 pep chromosome:v.1.0:5:10038622:10039651:1 gene:scaffold_501124.1 transcript:scaffold_501124.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LM85] MAASTKETENEVPWEFRFLGLRSSSFKRLVVLGVLQVAHPKVEEKGSGVVTAREYFCFLVSVGSQSQSLEAFDYEFVLVNIKGGVFDSLLSPIIEIEISTTETENWESRLLVLRFSGFMLDRVLGDLKVAFPQMEEEISGAVTAKESCRFIISAGPQSQSLEASEKSEEHYLDFPILFERNLMVFRKFFGRYLLGSEIWGSQIRLIESLQNQNLGEVEEEQLAEDGDKVDTNQVSDGEDMDSENNEEKLKAENQLVADGVYGGMGRKKGTICLQGISSMKRNAHLLTSPRRRHVQKGVKQLTEGRNPRNQDMVKGMAGGDKPPKHKGC >scaffold_501128.1 pep chromosome:v.1.0:5:10068185:10068968:1 gene:scaffold_501128.1 transcript:scaffold_501128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKNLNKYHPPDFDPKKIHRIKKPKNQQKKIRFMLPVRVRCNTCGNYMSEGTKFNCREEDVITETYLGIKIHRFYIKCNTCLAEVTIKTDPRNESYTVESGATCLYNGHEKVEKKRHEETENALESLEKRTVVSKREIEVMAGLDELKSMKSRRASVSVDSMLEALSRRKKQEEENVEEELLIKSIQFGKRSRIDEEKNYEKKKPKRRDSGKFPIKISSVCIISKKTGLESLCHKYGTDSDEEK >scaffold_501129.1 pep chromosome:v.1.0:5:10069997:10072782:-1 gene:scaffold_501129.1 transcript:scaffold_501129.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7LM90] MAKFLQMGSSIFFFFLITVSLCSAHKEAFSSTGLVQMECLKVPPLEFAQAAKTVVDAIQKAVAIVSKFDKKVGKSRVSNAILDCVDLLDSAAEELSWIISASQNPNGKDNSTGDVGSDLRTWISAALSNQDTCLDGFEGTNGIIKKIVAGGLSRVGTTVRNLLTMVHSPPSKAKPKPIKAHTMTKAHSGFSKFPSWVKPGDRKLLQTDNITVADAVVATDGTGNFTTISDAVLAAPDYSTKRYVIHVKRGVYEENVEIKKKKWNIMIVGDGIDATVITGNRSFIDGWTTFRSATFAVSGRGFIGRDITFQNTAGPEKHQAVAIRSDTDLGVFYRCAMRGYQDTLYAHSMRQFFRECIITGTVDFIFGDATAVFQNCQIKAKQGLPNQKNSITAQGRKDPNEPTGFTIQFSNIAADTDLLPNSNTTATYLGRPWKLYSRTVFMQNYMSDAINPEGWLEWNGNFALDTLYYGEYMNSGPGASLDRRVKWPGYHVLNTPAEANNFTVSQFIQGNLWLPSTGITFIAGLVS >scaffold_501132.1 pep chromosome:v.1.0:5:10110223:10112456:1 gene:scaffold_501132.1 transcript:scaffold_501132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTEKPRACVEARDGDFLLPSFSADGTGSVSPGVDLSVEIEKPIHEARMFDGVPAQKVQEIIHIAAAAKEIKNVTGINPALNRVVSFSGTTTVADLPIARRRSLQRFLEKRRDRSTKPDASTILPSQLTIIFGGSFSVFDGIPAEKVQEILRIAAAAKATETKNLTSINPALKRVISFSSTSTIALPGAYISTADVPIARRRSLQRFLEKRRDRFVHTNPYSATTSKAEKTMVT >scaffold_501135.1 pep chromosome:v.1.0:5:10156304:10156730:-1 gene:scaffold_501135.1 transcript:scaffold_501135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTTIIAIFMVVLVLGLVTKETQGQEFCHDYMLGAEPCEENKCVVICSWKHKGGKGACMPLPSKQCLCRFSCTV >scaffold_501139.1 pep chromosome:v.1.0:5:10209392:10209702:1 gene:scaffold_501139.1 transcript:scaffold_501139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECLAIRLALISALENGIQFLSLKTDSLVLAKALSPKSHLVQVHGVISDVFICISKFKSFSCNFIPRTANVEADALAKASLSNFIVNL >scaffold_501141.1 pep chromosome:v.1.0:5:10239329:10239717:-1 gene:scaffold_501141.1 transcript:scaffold_501141.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMA2] MAKFSYNSAILSPVILCRSSPRIVKNLDLLRVIIVNFISKFCFHSLAVVVFFFFILFDGACSTHSRTHGLSSAFWSGILSYLG >scaffold_501151.1 pep chromosome:v.1.0:5:10306347:10307216:-1 gene:scaffold_501151.1 transcript:scaffold_501151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFVTSPDENSDVVYSFSGYSPASEIVDCYLNGKSPPKLINSQSKLGFWWEDPDLYRYCDDLSELNTIEDRMMRTRKHLMDCLEKKEKSQFVSNSDQNPNTDEIFNNGGSSSSSSQIASDLGQNPSTSSPSSSQIVSFDQNSYTSLGKLCGEPSSQVTCYDQNPNFSVGEYSCDQSRYLVNEDPGFVDCLCETEEENNGMSLPQETQTPSLFTEDQSFWENLFKDEDNVFGLLNDNLEVPLQDHSSTNEEEDEFMIDISEFLSEEEEFEWPLF >scaffold_501152.1 pep chromosome:v.1.0:5:10308416:10308638:1 gene:scaffold_501152.1 transcript:scaffold_501152.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMB4] MSFRQASSVVSWRRIWWWLEVEGEGSSGIRSRRSHCCPLKTLQQSEFGSDSMADVTASLR >scaffold_501153.1 pep chromosome:v.1.0:5:10310183:10312444:1 gene:scaffold_501153.1 transcript:scaffold_501153.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB-POZ and math domain 6 [Source:UniProtKB/TrEMBL;Acc:D7LMB5] MSRLMTRPSRSLSPNTNPDRIESPTSSRSVTQTTNGSHQFVIQGYSLAKGIGVGKHIASDNFSVGGYQWTIFVYPDGKNPEDNSSYVSVFIVLASEGTEVRALFELALVDQSGKGKHKVHSHFDRSLDGGPYTLKYRGSMWGYKRFFRRSLLETSDYLKDDCLKINCTVGVVVSEIHCPQLLSIHVPDSELGSHFGKLLDTLEGSDVTFDIAGEKIQAHKLVLAARSQFFRSKFYNVPEANNNEVAISDLEPKVFKALLHFMYKDSLPGNVEPVTAHSFDLLRLSEIDDTLIVKLLAASEMYNLSRLRLLCESHICKAVSISSVSKILALADKYNATELKSVSLKFTAENLAAVLQTKAYEDLKDDCPNLQSELLKVVAGYDETSSSGGGKSQSVWAQLSDGGETSSRRVRQRTT >scaffold_501154.1 pep chromosome:v.1.0:5:10313585:10313948:1 gene:scaffold_501154.1 transcript:scaffold_501154.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMB6] MAEATSGEERMWCGHCCVETPIRREGNAGSVKSCNWCGKVLADETGTADEYNQLFLRLQALQDYVSVYNKRRTRRIKKQ >scaffold_501156.1 pep chromosome:v.1.0:5:10344858:10345072:-1 gene:scaffold_501156.1 transcript:scaffold_501156.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit beta [Source:UniProtKB/TrEMBL;Acc:D7LMC0] MITEEQNELIESAAEMLYGMIHARYILTCNRLNSIFIKYNKYDFGRCPKVYCRGQPC >scaffold_501157.1 pep chromosome:v.1.0:5:10358302:10358497:1 gene:scaffold_501157.1 transcript:scaffold_501157.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMC3] MLTAVQRFDRRALKIMMGKSNIVFAPRTTFRFPNLENTKEQPALNNYTHDG >scaffold_501158.1 pep chromosome:v.1.0:5:10367931:10368165:-1 gene:scaffold_501158.1 transcript:scaffold_501158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIGPGIKQYITKYSVNDTLGTIAKAHIVFADKEMLKAISAHAWSSHICFRLQLTFQKQIME >scaffold_501159.1 pep chromosome:v.1.0:5:10369028:10369396:1 gene:scaffold_501159.1 transcript:scaffold_501159.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMC6] MAKATTREERMWCGHCGVKTPIRREGIAGSVKSCNWCGKVLADETGTADEYNKLFLRLQVLQDSLTVTNKRARRIKKQ >scaffold_501164.1 pep chromosome:v.1.0:5:10414798:10415609:1 gene:scaffold_501164.1 transcript:scaffold_501164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTELSTSTEEDGGSRSCCVKCGEPFCINCKVPWHSNLSCDDYKRLGPNPTKNDIKLKVLANQQKWRQCGKCQHMIARIEGCNVVICRCGYKFCYKCGAEWKEGGCTHRVTHGLINCGICIVLVLIIASLLILMAYGLMACGYSFCYTCGAK >scaffold_501167.1 pep chromosome:v.1.0:5:10432146:10433834:1 gene:scaffold_501167.1 transcript:scaffold_501167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEVVVLNFWPSMFGARVIMALEEKKIKFEYKEEDVFGQKTDLLLQSNPVHKKIPVLIHNGKPVCESNIIVEYIDEVWKDDKTLRLLPSDPYQNSQCRFWADLIDKKVFDAGRRTWTKRGKEQEEAKQEFIEILKVLERELGDKVYFGGNDNVSMVDLVLISYYPWFHTWETIGGFSVEDHTPKLMDWIRKCLTRPAISKSLPDPLKIFDRVTQIIKVHEFFYGY >scaffold_501169.1 pep chromosome:v.1.0:5:10448913:10449666:1 gene:scaffold_501169.1 transcript:scaffold_501169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIYFNLKKFMAFETRDPFLIRQGGLSSGYKNRMTEKGSSDETYTMESIALIQASGTGGHNNKALQVEAVLFYLISLLLSAFSTLDCSKTWLPIRDRVMLEFSNDAWMLYSLY >scaffold_501170.1 pep chromosome:v.1.0:5:10453311:10454967:1 gene:scaffold_501170.1 transcript:scaffold_501170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPPAVINADELQRDSEVNYERRKPIANNQTCTHLLNFSLKINLIFPEKLQFDFFHGKLVDPEC >scaffold_501174.1 pep chromosome:v.1.0:5:10480109:10481044:-1 gene:scaffold_501174.1 transcript:scaffold_501174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKEAETWIFWDISSCPVSSSDVASRVGPCIKRALKNLGYSGCITLTAIGILTDIDTDVLQAVYSSGVSLTHVSSERLGITLELMWWIKEHPIPVNFMLISGDEIFRRNHRFFEEAGHTVIQNFPFDQQDVDSTPVPSRVWENFLASLRPDAIESGVLEKEPGLVCGQCCLPDQQGFENFIKHLKTHVHVSPESSPRMMKRKQPEASFSIFGEIPNVK >scaffold_501175.1 pep chromosome:v.1.0:5:10485398:10486451:1 gene:scaffold_501175.1 transcript:scaffold_501175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLIMPSKVSDCTMRKRGTKRKIEIQKRFTKQQRSVACSKRRPTLFSKAADLCLLSGANIAVFVTSPDENSDIVYSFSGYSPASEIVDCYLNAKSPPNIINPQSKLGFWWEDPDLYLYCDDLSELSIIEDRMMRTKKHLLDCLEKKEKSQFLSKSDQNPNTDEIFNNGQNPSTSSPYSSHIVSFDQNSYSSLDKLCGEPSSQVTCYDQNPIFSVGESLCDQSRYLVNEDPGFVNCLCETGEENNGMSVAQETQTQSMLIEDQSFWENLLKDEDNVFGLLNDNNLEVPLQDRSSTNEEDEFMIDISEFLSEEEEFEWPLF >scaffold_501176.1 pep chromosome:v.1.0:5:10487428:10487635:-1 gene:scaffold_501176.1 transcript:scaffold_501176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYVMAVGEGGHKPCVTTFAADLFGEANPEEIKASKTSFSSIIGIWPSSSLLP >scaffold_501177.1 pep chromosome:v.1.0:5:10487638:10487959:-1 gene:scaffold_501177.1 transcript:scaffold_501177.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LME3] MYVINSLIFAVVEMAETFAFYGRASNLITFLSNELGQSTTTAAKTHQHMDRCFLHVPHSRDVSSRLYPRPLQNRSLDFFHLSLGLYIVTKHKP >scaffold_501178.1 pep chromosome:v.1.0:5:10495861:10496295:-1 gene:scaffold_501178.1 transcript:scaffold_501178.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LME4] MARVKDALGEYESTAAHPIEPVDSSTVVDPTEETGDPREPEDAEPGSETVGMQTDPTEEAAVDASESQPGNKTVELERDDAEGQVAVDDSDIQLGKESPIEPSTEAVEAAEKELVDASEEEEETVTAQV >scaffold_501179.1 pep chromosome:v.1.0:5:10497345:10497831:-1 gene:scaffold_501179.1 transcript:scaffold_501179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKRGNLDFDKIITLAQKDKMEAVITDKLGDESCKIFRFLSKEQTFLQDYEIKSAMGIGKDLQDDLMTLWCEGFLTIQKEMVTTVDFPVLYWNIDFRKVRRNVYYLEQRFTKEIFVEKNIWHGYENFVSLKFKLLIYMCFICVTFD >scaffold_501184.1 pep chromosome:v.1.0:5:10518057:10518260:1 gene:scaffold_501184.1 transcript:scaffold_501184.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LME9] MAKSEPKSVDLRTEMSLKWCCLSGRSAVVFIFHRLWFKSESLVSTVREWFTKS >scaffold_501185.1 pep chromosome:v.1.0:5:10518415:10519504:1 gene:scaffold_501185.1 transcript:scaffold_501185.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMF0] MIEDEFRQSCLISISGSGGGKHYRRLLFVSSPLPLSHLFHHLEFKLTRANRVPRQNTGFHKSDFPYTLFLIHIKLISEHSPSTVDEL >scaffold_501186.1 pep chromosome:v.1.0:5:10521556:10522210:-1 gene:scaffold_501186.1 transcript:scaffold_501186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSSPQLPAAAADSFHEITPVAEMTVATDVEATAGHRSGGDGGDGKKKCVCSPSKHPRFYNKQ >scaffold_501194.1 pep chromosome:v.1.0:5:10579598:10580518:-1 gene:scaffold_501194.1 transcript:scaffold_501194.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S29 [Source:UniProtKB/TrEMBL;Acc:D7LMF8] MGHSNVWNSHPKKYGPGSRLCRVCGNSHGLIRKYGLNCCRQCFRSNAKEIGFIKYR >scaffold_501197.1 pep chromosome:v.1.0:5:10621270:10621970:-1 gene:scaffold_501197.1 transcript:scaffold_501197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLMIRHGRKALELHKWCSLISSLEQNASTFSNSASATSSHVSSNETFIISNLIDLYRWTRKITQLILEEDEEEEEDSDSVSNLTRSHCRISRNITCYPRHLVADGVEKAIPPKLDQFLQFRGASSSISFYNDSVRDILRADSTISPPCKQENIIRDVFILKQLGVPQELLIPLLNFNTSFNGKEKYKKSIKEVIEESFDPTTLKVFQALRVFYLLRD >scaffold_501198.1 pep chromosome:v.1.0:5:10622365:10622953:1 gene:scaffold_501198.1 transcript:scaffold_501198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYEPNPTRFVREGFDHDKFVLYQSSFDSNSQNMPNTRIKSRCFDDLNDHERLQDRLEWEGYTEIKIKSDGNCQFRALADQLYKTSDCHKRVRQEIIQQMEFSEYVKNMSNN >scaffold_501201.1 pep chromosome:v.1.0:5:10629567:10629781:1 gene:scaffold_501201.1 transcript:scaffold_501201.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMG5] MSQSTIRWRLRSMISIVKLGKSPRSTPRSKPDSGRKETFTDGEKKAMKQWKICIKK >scaffold_501205.1 pep chromosome:v.1.0:5:10649242:10650662:-1 gene:scaffold_501205.1 transcript:scaffold_501205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQAQPLLLLLLSLFFLPALHATSFSYCDKRLDPVKVTGVKISPDPVVSGEAATFKILGSTGEDISGGKVVIRVSYFGIPVHTETHDLCKETACPVAPGSFVLSHSQTLPSITPPGTYTLKMTINDKNGGRLTCISFKFKITIGSAVFAS >scaffold_501206.1 pep chromosome:v.1.0:5:10651633:10651841:-1 gene:scaffold_501206.1 transcript:scaffold_501206.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMH0] MVIKVVIRRGMVLESGIGGGRSLLQELVVVSLGCGFLQRMICCGFVSFWLPVVAR >scaffold_501207.1 pep chromosome:v.1.0:5:10655040:10657289:-1 gene:scaffold_501207.1 transcript:scaffold_501207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRGPSKKSDNTKFYEILGVPKNASPEDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFSSFFGRSPFGDGGSSRGRRQRRGEDVVHPLKVSLEDVYLGTMKKLSLSRNALCSKCNGKGSKSGASMKCGGCQGSGMKVSIRQLGPGMIQQMQHACNECKGTGETINDRDRCPQCKGDKVIPEKKVLEVNVEKGMQHSQKITFEGQADEAPDTVTGDIVFVLQQKEHPKFKRKGEDLFVEHTLSLTEALCGFQFVLTHLDGRNLLIKSNPGEVVKPDSYKAISDEGMPIYQRPFMKGKLYIHFTVEFPDSLSPDQTKALEAVLPKPSTTQLSDMEIDECEETTLHDVNIEDEMKRKAQAQREAYDDDDEDDDHPGGAQRVQCAQQ >scaffold_501208.1 pep chromosome:v.1.0:5:10658466:10660325:-1 gene:scaffold_501208.1 transcript:scaffold_501208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRTYKLIGSDYPGNNPEDVIYPGLWWMTGFLFVVSFLGLFSLVPLRKGVSEVMILDYKLTYATATAMLINSFHYNTGAELAGLVWSCFKWFFSGIGDACGFDHFPTLGLTLFKNTFYFDFSPTFIGCGMICPHLVNCSVLLGAIISWGFLWPFISQHVFIAIAIILGDGLYNLIKIIIVTVKEICNKSSKQHHLLVFTDNLGAKYLLYMFLFHLSHYLLVIVRVINKVPLMTILKTSVSNIFLRGSFCILNSDKSKTSELMREKKKRDDIFLKDRIPLEFAVSGYVGLAAISTAIIPLLFPPLKCWAHGYNGGVIAGLAACGIMMSIVSTVADLMQDFKTGYLTLSSAKSMFVTQLLGTAMGCIIAPVTFWLFWTAFDIGDPDGLYKAPYAVIYREMAILEIEGFAKLPKHCLTLCCRFFIAALIVNL >scaffold_501209.1 pep chromosome:v.1.0:5:10663338:10665815:1 gene:scaffold_501209.1 transcript:scaffold_501209.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMH4] MEKMNDIMPLLDLPLQEYFAVLATRLPKDLRKMLLLSSGGPGFLPITYIVIGNKADIAEKDGTSGSSGNLVDGLTSMVCFPIVMDFLSENFPCNGGLIMEQRFNEMAEQTDGNSEDNYWKLRW >scaffold_501212.1 pep chromosome:v.1.0:5:10686330:10686541:1 gene:scaffold_501212.1 transcript:scaffold_501212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSGGDGFLCGILSNTSQAFCFSSLGSSSGMDLVPLAYRTTAYSQSNHNPSCIS >scaffold_501213.1 pep chromosome:v.1.0:5:10690162:10690588:1 gene:scaffold_501213.1 transcript:scaffold_501213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDEFATQYESVYKDHVMLLEKIRELEVVLEKKHKHAKTSRRVAVGFLAALSLPVVAIVVVAASNPAFGMAGQAGEKSFEKMKKIYELTKKVENSKETLLGLSLLIGNRTEVNIEAMKTIKSLVEC >scaffold_501219.1 pep chromosome:v.1.0:5:10705929:10706750:-1 gene:scaffold_501219.1 transcript:scaffold_501219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIRKENAKQAVAVKSQLQQLARPMYSNPPLHGAQLVSTILEDPELKSLWLKEVKIGMFCYSGLTPEQVDRLTSEYHIYI >scaffold_501220.1 pep chromosome:v.1.0:5:10708317:10709860:1 gene:scaffold_501220.1 transcript:scaffold_501220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVTMCSMNCLPDDLLVHILSFLTTKEAASTFVLSKRWRTLFALSHNLDFDNMNLLDTEDLLKHSRRHIKKSFNDFVDHTLALHGNNTIKKFSLELSDTHIDNLHDVDRWICNALERGVSDLHLGIESELFLWSGFPSKVFTSTTLVKLSLGVGTRFYTESVPSDLSLPALKVLFLDSIIWFKGDLQLLNVFLAACPALEDLTIHYMCGSENPHVISSKTIKKLSFTYGYIYDYYGYFSRIISFDTPNVVDFYYSDYFGSESPQRHFDSIAKATLDLHFLKGDKIADVTDLISGIRNVKTLHLNSSTVECLDRYTFGRRHRFVRIQIPLNNQIKMLSIMQYHGSATMLKLISHFLLNMECLEVVKVYVARTMDDPRKMQLTEDILKLPTASSRVKIQVM >scaffold_501227.1 pep chromosome:v.1.0:5:10743025:10744116:1 gene:scaffold_501227.1 transcript:scaffold_501227.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harpin-induced family protein [Source:UniProtKB/TrEMBL;Acc:D7LMI6] MTEKECEHHHDEDEKMRKRIGAVVLGFLAVVLFVVFLVWAILHPHGPRFVLQDATIYAFNVSQPNYLTSNLQVTLSSRNPNDKIGIFYDRLDIYASYRNQQVTLATLLPATYQGHLDVTVWSPFLYGTTVPVAPYFSPALSQDLTAGMVLLNIKIDGWVRWKVGTWISGRYRLHVNCPAYITLAGHFSGDGPAVKYQLVQRCAVDV >scaffold_501228.1 pep chromosome:v.1.0:5:10755673:10756372:-1 gene:scaffold_501228.1 transcript:scaffold_501228.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMI7] MIADGAEDEEKWLAVSDAATDSIQCLKTSRSPEQNCENCLFHLLKRESVSTNFFLWQLAYTKLLFFPVL >scaffold_501229.1 pep chromosome:v.1.0:5:10763810:10764473:1 gene:scaffold_501229.1 transcript:scaffold_501229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYETLEIEDPNDLNIPVDDNYLVEPGWAAFFSLLAVYAILFSIDNLAFCQANFLIQSISVSSSSAESVWIVYFFVKKPSFRCSISYEREYVSANLGPLKSAILNVSHNQRSNGHTDFFVAFEAETEDIGPANGGDVFSGVHNLNITLLMKHKQFAANNKPGHLIVQCRNLTVVFSSDMKSGTMLGEKKIECHSSFLNLKELFPN >scaffold_501231.1 pep chromosome:v.1.0:5:10783636:10783956:1 gene:scaffold_501231.1 transcript:scaffold_501231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMKNKQCREIWSWNRNEEMSLIEDCLRNYRFIAIDTEFPGSLRQTSQDATDDERYNDMSFSVDRTKLIQLSLTLFDIELEELGKSISQIS >scaffold_501232.1 pep chromosome:v.1.0:5:10789056:10790461:-1 gene:scaffold_501232.1 transcript:scaffold_501232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYREDERGRNQHIRPSKPKLKKNEKAIGYEEKACKQVHKNVGDDSVIVDLWADDSKGEDESNPRKICKKPSIILAVEIEHRGWSYNPTSGSHRLILCSALNMQLSQPSLGALQT >scaffold_501233.1 pep chromosome:v.1.0:5:10791727:10791985:1 gene:scaffold_501233.1 transcript:scaffold_501233.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNP8] MTPRFLIRSFPTVSSAIVFLVWASLLSDLEGPRHPKFPVMERTLGLLHSFMEKNLTRSLISLFNVSRASDS >scaffold_501234.1 pep chromosome:v.1.0:5:10795356:10796705:1 gene:scaffold_501234.1 transcript:scaffold_501234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMKNKQCREIWSWNRNEEMSLIEDCLRNYRFIAIDTKFPGCLRETSQNATVDERYNNMSTWEINFSDFGVDDARNEKSIEFLRRNGLDLRKIREEGIGIKGFFSELFWILKKARNITWVTFHGYYDIAYLLKCFTGEALPYTPKRFSKAVARILGSVYDLNVMAGQHQGLSSRLGLESLAHELELNRVGTAHHAGSDRELTAQIFAKMAKIFNNVQESEGHVYGLGYRVISDHLKKKQHMNHVTRTSFYGPPPPPPPPFPLLRPMFVPGFPPFGGFVLMPRVSLS >scaffold_501249.1 pep chromosome:v.1.0:5:10880284:10884520:1 gene:scaffold_501249.1 transcript:scaffold_501249.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicalin [Source:UniProtKB/TrEMBL;Acc:D7LNR0] MVDEKKSKQRHRVMVFESMYPVLALMLILVACVELCDAATVVDVYRLIQYDISGVPFGSRFSSLNHHAASLSFQRGADLSRSVLILPLRELDIGFVQDYISQKQSLGGLLILLPQTFRPGNVGGGSLNSENDGFRKLLAQLEKILVHGNIPFPVYFAFENEETDAMLADVKKNDALGQQATATTGGYKLVISVSEPKKIASPIITNIQGWLPGLRAEGDSNQLPTIAVVASYDTFGAAPALSVGSDSNGSGVVALLEVARLFSVLYSNPKTRGRYNLLFALTSGGPYNYEGTQKWLKSLDQRMRESIDYAICLNSVGSWDSELLIHVSKPPDNAYIKQIFEGFSNVAEDLGFQVALKHKKINISNSRVAWEHEQFSRLRVTAATISELSTPPELLENAGSLSDTRQLVSEDAIIKGVKLVAESLAKHIYGHQGKDIKIFADDSSLAVNPFYVRSWLDLLSQTPRVAPFLSKNEPLIMALKKELEDYTAEVSVQHESLDGSFTFYDSTKASLNIYQVASVTFDLLLLLVLGSYLIVLFSFLVITTRGLDDLISLFRRPPSRKVKMG >scaffold_501253.1 pep chromosome:v.1.0:5:10929010:10932043:-1 gene:scaffold_501253.1 transcript:scaffold_501253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDHHPGFDSGGALWFQNLTEVPNGLYGPLFPFLIAGLHYTNTQITFTASSVHKVDKFAELAKTFKMFLNFLTFALYGLSFQMPQGSLLYWATNLSFSIAQQSILNHPVVSAKLGLQANDSVQKEAGNPILTNINEAKLTDSSSKGRLISGHNLTPKELVALSAKYLSGGNKDKSIPLLRLALEKDPEYLQAMVILGQALYQKDQFAEAAKYLELAASKLLDTSPTEVEEVDLLIVASQWAGVSNIRQGKTSEGITHLERVANMKEPDDPKSKAHYLDALVLYSSAIFNEGRREEAAKYLRRVVAYDPSFSELLKQCEEDNNTIPTSSSSNSVNKTS >scaffold_501254.1 pep chromosome:v.1.0:5:10932843:10934360:-1 gene:scaffold_501254.1 transcript:scaffold_501254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVSRYLRASSLPSLIRSYGGINSVCRFSSQSDGFSGGRFREQGLVPGESANNSGLPNTGRFGSPSEPNPPTLRTFGDMKAGLLNRGVNGFSAPNAPPTFKNSLRSRLPNSLPDQFSQTNPGLPTGGSGFSAPSVSSYENFTQSSLLNENPRSGGKSSDLDFVREVIEDEGRRTSGIFSHFHRPNLETNADIIHIKMLRNNTFVTVTDSKGNVKCKATSGSLPDLKGGRKMTNYTADATAENIGRRAKAMGLKSVVVKVNGFTHFGKKKKAIIAFRDGFTNSRSDQNPIVYIEDTTRKAHNGCRLPKKRRV >scaffold_501257.1 pep chromosome:v.1.0:5:10970934:10971309:-1 gene:scaffold_501257.1 transcript:scaffold_501257.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LNR7] MGERIQRVCMSILTVMVVMLSLSEDTKGNNDFAMAPMSEKGLLPNPMSCVADARKIPDCVESLKQGNLKDIKKECCIILLGLPEDCFGILFPMRFYYRVVLKITCKLIGIF >scaffold_501265.1 pep chromosome:v.1.0:5:11056685:11057025:1 gene:scaffold_501265.1 transcript:scaffold_501265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSDQNLSYADILLPHEARARIEVSVLNLVPNSRCSGFLKIWGIKLKILVGTDQ >scaffold_501271.1 pep chromosome:v.1.0:5:11192983:11193233:-1 gene:scaffold_501271.1 transcript:scaffold_501271.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMJ5] MVTVNRLDDVGEDSMAEVLAGKRREMNLFISKEKGFALKHETKGSRMISKQPFKLNISTLTLHIQMSQQ >scaffold_501276.1 pep chromosome:v.1.0:5:11241420:11242961:1 gene:scaffold_501276.1 transcript:scaffold_501276.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein tyrosine phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LMK0] MMYCPNLTTSPTIPSYLPFCHHTHFLPSHFRSSDKNPNLVSCFRAKPRISHSLRCFASSSMETTPTQSSETKPFSVLFVCLGNICRSPAAEGVFRDIVKKRGLDSKFIIDSAGTIDYHEGNMADPRMRSAAKRRGIELTSLSRPIKASDFREFDLILAMDDQNKEDILKAYNVWKNRGNFPPDADKKVKLMCSYCKKHNDKFVPDPYYGGAQGFEKVLDLLEDACESLLDSIPVES >scaffold_501280.1 pep chromosome:v.1.0:5:11260962:11261193:1 gene:scaffold_501280.1 transcript:scaffold_501280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMK2] MQSSHQACKKLAYLAPKEKPLDAQEGVLGDLDVLVVHQFHHSFFRLEVCYDDSPCCMVLLYKL >scaffold_501281.1 pep chromosome:v.1.0:5:11261641:11261832:-1 gene:scaffold_501281.1 transcript:scaffold_501281.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMK3] MNHFARQKKLVTHGTKKVTLSGLLNSRDGEAAIRHIIITTNYKETHIIF >scaffold_501282.1 pep chromosome:v.1.0:5:11262624:11264226:1 gene:scaffold_501282.1 transcript:scaffold_501282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTPPSHYYYPKLTASPTIASYLLFCHHTYFLPSNFRSSDKKPRISPSLRWRRLRRRAAKLNLSLFFLSVSETFVGAQQLKVSFRDFVKKRDLDSKFKIDSAGTIDDHEGNMADPRMTSAAKRRGIEITSLSRPIKASDFRDFDLILAMDEQNKEDILKAYNVWKARGNFPPDADKKVKLMCSYCKKHNDKFVPDPYYGGAQGFEKVLDLLQDACESLLDSITAQS >scaffold_501292.1 pep chromosome:v.1.0:5:11356795:11357099:-1 gene:scaffold_501292.1 transcript:scaffold_501292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKMLRVTIILECTSSFRNYIKKASAGMYNTPSRLELKKFCPYCCKHTIHGEIKKYIKLSACISIFILRRRIMLVSIYMYVYINQ >scaffold_501293.1 pep chromosome:v.1.0:5:11357412:11358450:-1 gene:scaffold_501293.1 transcript:scaffold_501293.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNF4] MVKGGDALGQQYNTPHSKLLNGVDKSSKIGPFHENNLLRPYVFFYVLDGMEHSDGSSYRNDLELLLT >scaffold_501299.1 pep chromosome:v.1.0:5:11385242:11387032:1 gene:scaffold_501299.1 transcript:scaffold_501299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYTETELLPLPVIYESDELFSEIKKICKIIPLALLVKILQVQPEAKFELIRVTSTIRKNTPTEKSIDVTYARLRKHRAMPDKTNNVDWSPYYGIVLDQENHVAAELVKAIRWIRGRENGTAYSFQELVDFVDQEKGKYVKEGGHFCYTLSIIKALTYIVKKGIQREVDRPFVGCRAVPPPRVILNSELGFIGAVKKIPKIEEALVHLQTQPLGAAFPIFLPDYEQIRGEIYRGPMYKGSRFAGMHAVSVTGASTENGESFMTVRSSHGEKIGIKGYFKVSIDVMLLRTSTECHEHEESYFQTPTPLLTRFCFPELLEESEEKKLKTKIEEERRHFKLKLSLAFWSSNSIVSYLAALIVLLLLSCNFYYVDRN >scaffold_501300.1 pep chromosome:v.1.0:5:11388088:11388993:1 gene:scaffold_501300.1 transcript:scaffold_501300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNG0] MANRENQNHALCLLESVVVHVFISAISIQIPTSILAPSFLLHTLSPLKDVYLYDHRIRFLFIQKSVCPESVFCFHWIRHAGVSPLSEISDGWWKLSLLDKVRPPKPPWMSSTFHASSRLWDRTTIFILRARRITIIGKTNGGCELYRRRLHRLRCFVKDYDRGKVGLELFLHMSHVVDLDYRICSTQTYDVRWMGTHESSLKYLEDALGFEKYLTQQLWKRGHCGKKEETIANMRKRHQRLISKKIISKSNFHRTTRLRKCWYLIVIAPEYMMEALGLTMQCAI >scaffold_501301.1 pep chromosome:v.1.0:5:11389414:11389854:1 gene:scaffold_501301.1 transcript:scaffold_501301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEVGHTYKLIASDAVFNKLAIIFNILLLGTLLPLWILRPEETEFIDLENFEISKVTFRGTMGFLALILASCYHSKCIHCFVSFMFDAAGLFDDTTERTYIEMAMFYGFLTLAFFSNESVRFRLGIFVSTS >scaffold_501302.1 pep chromosome:v.1.0:5:11391230:11391712:1 gene:scaffold_501302.1 transcript:scaffold_501302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTGMTCRWIASDSVFNKLSIKFNVLVVATLILLRMTWREFIDFENFEISKVTSREAMGLLTLMMASFYYSGSFRWILSDLLKFNDPLVRIDKELAMLYGFLTLAFYLMNLFGFFWGLLWLPVSPPSLLLVLRFAKSRNVKEFQD >scaffold_501304.1 pep chromosome:v.1.0:5:11394919:11395234:1 gene:scaffold_501304.1 transcript:scaffold_501304.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNG4] MVVFVSEKEHLLLLVISSLFDAALLGNDKTIDFKKFGKELAMMIYGFLALVFLLMYLFGFFLGVLCLPVSPPSLLLVQQFVEQRNVKEFQD >scaffold_501306.1 pep chromosome:v.1.0:5:11402587:11403097:-1 gene:scaffold_501306.1 transcript:scaffold_501306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREDSLPSLILKILYPNISRVGDSQERYRIVHNYWTNALCTSPAVVGGSMLALRISQRTVATVGGLLFFGFSVSSYFYPPLQ >scaffold_501314.1 pep chromosome:v.1.0:5:11452566:11453970:1 gene:scaffold_501314.1 transcript:scaffold_501314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEEADKKFTWVIKNFSSLESKPIDSDEFVVGGCKWCLVASPKGYKNANYLSLFLVVATLKTLPCGCGWRRHIRFRLTVVNQVSDNLSRRGEKEEWLDEYRTICGYQKMLLLSELNDKEGGFLVNNEVKIVAEVDVLQVIGKLDVSEDSQEVAQPLKRIKLIDGGVSVNESIDVNGFQVLPSQAESVKRIFERHPDMALEFRVMNQHMRTLCINLLLNIIETLCQPLKDISIHELGQAEKALRYLKDSDFKVDWLEHKLEEVKEKKMEEQIGKTRMQELEEDLKVFKQNCSDIEAQLEKEKQKCSDIEALLEKEKTKVLAAARAPPLTLDDVVC >scaffold_501316.1 pep chromosome:v.1.0:5:11456783:11458587:-1 gene:scaffold_501316.1 transcript:scaffold_501316.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNH4] MSISILLCVVSICINFSFNSASRSIQSLLFFLNLSLVLRSLSQSFIVTFHRSSSVCRLPVILWCHPGSGDVIARSSQPLFGLMMNMRSLDKAVELNYQWIAFDDVCYHVQVTVKNPNLLLLVVSLPNPPPEAMSFDGLPLGAIEAIKATYRTGFQEVEVLSHITWKPKWGMIFSDIKKKVSRNCEVSQRSTMAITISLSVERFVFSFITCT >scaffold_501318.1 pep chromosome:v.1.0:5:11468471:11469454:1 gene:scaffold_501318.1 transcript:scaffold_501318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEKFTWVINNFSSLQSKSFLSDKFVIGGCKWYLVAYPNGKHKNNYLSLYLVVATFKTLPCGWSRHIKCCLTVENQLSDNLSQQREETQCWLHRKRFYQGYPEMISLRKLNAKEGGFVVNNEVKIIVEVDVLQVIGKLDVSEGSQEVTQPLKMMRLNDDGAASSHLQTMDVNGFQVLPSQ >scaffold_501320.1 pep chromosome:v.1.0:5:11480273:11486674:1 gene:scaffold_501320.1 transcript:scaffold_501320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVPRPRGRPRKRQRLEDDNRKSNNRGKKQVLEVEPAVPISLLGSYVLKDFDDNGVFLGKIVSYDTGLYSVVYEDGDSEELESGDLRRLIIADSYLDNELRVRRNKLDKLILKEEKKKRNSPENKVVELPNQVNGEKAPAVTKSNSQAKVEDGDSYSDSDLSESENKRGSDLDTEAPIVLPVDLPPSSGTIGIPEEAVAHLLSVYGFLRSFSFQLYICPFELNDFVGALNFPGPNSLLDAVHVALMRALKGHLERLSSDESVLASKCLRCIDWSLLDVLTWPVYLVQYFTAMGNVSGPQWNIFNKFVVEKEYYSLPIGMKLKILQILCDDIFDVADLRDEIDAREESEIGFDPDRVATGLPENVPRRVHPRFAKTSAYKDKGVIDSSTNESKDLDSRCTEGGANEVSSDLDGNSDECRICGMDGTLLCCDGCPLAYHSRCIGVVKMYIPDGPWFCPECTINKKGPKIAHGTSLRGAVQFGMDPHGRLFLGTCNHLLVLNISVNGDAVVKYYNVNDISKVVLVLLSASNHALEYLEICKAITQYWDLPGGMISLREGETGLAQAKDREDGKVTEMTKSDSANISSRNHTQTVFDLPASTLGNIDNAVTGGSSGIQGKKLATGVTYLGLSFKPHTYNNHYTNGELAVSAAASLAVLSSEETHEPDLRKYNSARKAASSNILVQMKAFSLVASRFFWPSPDKKEITRERCGWCHSCKLTSASRRGCMLNAAVTGATKGAMKIFSGLFPLKNGEGVLSSIAAYILYLEESLRGLIAGPFLSESPRKQWRKQVEEASTCKALKALLLELEENICSIALSSDWFKLMDDWLVEHSIFQSAPVTVGVTQKRGPGRRKQRTQAEVTAEGSDDDSFTWWRGGKLSKVILLKAVLSQPAIRKAAWQGGSQKIPGFNYGDASYIPRRSRRSIWKAAVESSKNISQLALQVRYLDMNLRWSELVRPEQNLQDVKGPETDVAIFRNARICDKKLSDNKVSYGVFFGNQKHLPSRVMKNVIEVEKTQDGNEKYWFQEARVPLYLIKEFEESLHRVQMPSSTKKPSNKLSKLQRKQLKASRMDIFSYIASRRDKMEKCSCASCDHDVLLRDTTTCSSCQGFCHKECTWMSQHTNGKVEVLVTCKRCYLAKNRVPANINHRQSTTPQLTINGRHQNAVTPVIKIKPPSQQINGRPQNAVTPVIKIKPPSQQLPSQKPRENTSGVKQITPESTVKSKSKQKTLSCGVIWRKKNVEDTGVDFRNQNILLAGRSDQSSLEPVCGICLQPYNPGLTYIHCTKCEKWFHTEAVKLQDSQIPEVVGFKCCKCRRIRSPDCPYMDPKLMEQKQIKRIVFKNQKQRQGNSGLDSDSERMSEQKDSKPSTPLPVTPLYPPEDVFIPDDDPLLVSVSKVEHITPSSFDLEWSTAAFAPGSQKLPVRRQVKREDSNAGYPELQPIVKPEADEQALPVLTEWDSSGELLFDYEDMEFEPQTYFSLTELLTADDSGGGQYEINGDKIVSGNPHFEPTEEEECEDMGPCQRCSQMDPAPDLLCTVCGLLIHSHCSPWEEDPSALPGSSWSCGQCREWQ >scaffold_501322.1 pep chromosome:v.1.0:5:11491853:11492848:-1 gene:scaffold_501322.1 transcript:scaffold_501322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFLLLAFFGPLMLLDEAYRFTDETDRHALLVFKSQVSEGKRDVMSSWNNSFPLCKWNGVTCGRKHKRVTGMDLGGFQLGGVISPSIGNLSFLKWLNLSDNSFGELKTFCYYRKENIPEDPWSPLGVANNFSHIKSPDTSNAKTMRRPSLTGVMPPGQERSYHKVHVSL >scaffold_501329.1 pep chromosome:v.1.0:5:11550208:11550930:-1 gene:scaffold_501329.1 transcript:scaffold_501329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSFTMIGLLEAAKEKINEAISMKLDIVFTSNLVNIADFGCSTGPNTFRAVQTIIDAVEHKYYLHETEFQVFFNDSSNNDFNTLFETLPPARKYFVTGVPGSFFGRVLPRRSLHVGVSSYSLHFVSKISKEIKDRDSHVWNKDIHCTGHTQEMIPQLANAKPGMQYLIVLRRI >scaffold_501332.1 pep chromosome:v.1.0:5:11560304:11562631:1 gene:scaffold_501332.1 transcript:scaffold_501332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVLLSSTSATITKSQSKKIPFLSPTTKFPFKVSISPSRSKLFNNPLRVAAPPSIPTSDPAEEKRIEEEFGGDTEEEESEFKWRDHWYPVSLVEDLDPNVPTRFQLLGRDLVLWFDRNDQKWAAFDDLCPHRLAPLSEGRLDENGHLQCSYHGWSFSGCGSCTRIPQAATSGPEARAVKSPRACAIKFPTMVSQGLLFVWPDENGWDRANSIEPPRLPDDFDRPEFSTVTIQRDLFYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLPFKVESSGPWGFQGANDDNPRITAKFVAPCYSLNKIEIDAKLPIFGNQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFSVPGPAWWQVVPRWYEHWTSNLVYDGDMIVLQGQEKVFLSKSMESPDYDVNKQYTKLTFTPTQADRFVLAFRNWLRRHGKSQPEWFGSTTANQPLPSTVLTKRQMLDRFEQHTQVCSSCKGAYNSFQILKKFLVGATVFCAATAGVPSDVQIRLVLAGLSLISAASAYALHEQEKNFVFRDYVHSEIE >scaffold_501354.1 pep chromosome:v.1.0:5:11691624:11693867:-1 gene:scaffold_501354.1 transcript:scaffold_501354.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATNRT2.3 [Source:UniProtKB/TrEMBL;Acc:D7LNK5] MAYQNDDEGSIGTSMHGVTAREQVFSFSVDGNASHLIQVVRSDDPTAKFSLPVDSEHRAKVFKPFSFAKPHMRAFHLGWISFFTCFISTFAAAPLVPIIRDNLDLTKNDIGNAGVASVSGAIFSRLAMGAVCDLLGARYGTAFLLMLSAPTVFSMSFVANAGGYLAVRFMIGFCLATFVSCQYWTSVMFNGKIIGLVNGCAGGWGDMGGGVTQLLMPMVFHVIKLAGASPFVAWRIAFFVPGFLQVIMGILVLTLGQDLPDGNLSTLQKKGDVSKDKFSKVFWYAVMNYRTYVLFLLYGFSMGIEMTINNVISEYFFDRFQLQLHTAGMIAASFGMANFFARPLGGYASDMSARFFGMRGRLWTLWILQTSGGLFCVWLGRATSLPIAILAMMLFSVGVQAACGAIFGIVPFVSRRSLGLISGLTGAGGNFGSGLTQLIFFSSSRFHTADGLSMMGIMAMVFTLLVAFIHFPQWGSMFFGPTSDEKKVLEEHYYAAEWTEEEKRQGLHEGSLKFAENSRSERGRKAAVDGASTPENRTPAHV >scaffold_501357.1 pep chromosome:v.1.0:5:11718370:11718890:1 gene:scaffold_501357.1 transcript:scaffold_501357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSISFILLLLVSLLVVVSRHTLAYEDSCSTDEECRKNCLCDVAYCDKSRDKCDYGFHVMNKVDVGFFKHRGGRISPPQ >scaffold_501359.1 pep chromosome:v.1.0:5:11727860:11728557:1 gene:scaffold_501359.1 transcript:scaffold_501359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRNNKNNIERKERRIPTSSKDRSNRIRLPVSCASQIFQLTQELGFKTDGETVGWLLRNAEPAIFAATGHSVNTTSNETSNEFIHSYMNMGNHNNYHFTDTSGVVYHNNYNVTIDNSIHYADTSGVVSHQRENITGHHGLVFPTAAMTEYGPSTSFPAKDMRENGHLMVNHNSRPVMLRPSMPQQQPQPQPLFDEFNKVEMGNSKQAMSPHKTNDRR >scaffold_501360.1 pep chromosome:v.1.0:5:11734395:11734656:-1 gene:scaffold_501360.1 transcript:scaffold_501360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LNL2] MANEKPCRRQEHGNGKSMLTARTWQRKSHVDGKNMATEKPCRRQEHGNRKAVLAAKLTAILDLLHELSLSEKY >scaffold_501362.1 pep chromosome:v.1.0:5:11749729:11754048:-1 gene:scaffold_501362.1 transcript:scaffold_501362.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:D7LNL4] MWRLKLSEGDEESVNQHVGRQFWEYDNQFGTSEERHHIADLRGNFTLNRFSSKHSSDLLYRFQCWKEEGKGKERLPQVKVKEGGEGEINEEVVNVTLRRSLRFYSTLQSQDGFWPGDYGGPLFLSPALVISLYVTEVLDATLTAQHQMEIRRYLYNHQNKDGGWGLHIEGSSTMFCTALSYVALRLMGEEMDGGDGAMESARLWIHHRGGATFVPSWGKFWLSVLGAYEWSGNNPLPPELWLLPYSLPFHPGRMWCHCRMVYLPMSYLYGRRFVCRTNGTILSLRRELYTVPYHHIDWDTARNQCAKEDLYYPHPKIQDVLWSCLNKFGEPLLERWPLNKLRSRALQTVMQHIQYEDQNSHYICIGPVNKVLNLLCCWVDSSNSEAFKSHLSRIKDYLWVAEDGMKMQGYNGSQLWDVTLAVQAILATNLVDEYDLMLKRAHNYIKNTQIRKDTCGDPGLWYRHPCKGGWGFSTADNPWPVSDCTAEALKASLLLSQIPVDLVGEAMPEEHLFDAVDFILSLQNNNGGFASYELTRSYPALEVINPSETFGDIIIDYQYVECTSAAIQGLALFTTINPTYKRKEILICINKAVEFIEKTQLPDGSWYGSWGVCFTYATWFGIKGMLAAGKTYETSLCIRKACGFLLSKQLCCGGWGESYLSCQNKVYTNLPGNKSHIVNTSWAVLALIEAGQANRDLMPLHRGAKSLINSQMEDGDYPQQEILGVFNRNCMISYSAYRNIFPIWALGEYHKLMLSSSV >scaffold_501366.1 pep chromosome:v.1.0:5:11781708:11782293:1 gene:scaffold_501366.1 transcript:scaffold_501366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNKINNRQKATRTPRDRHVKIGGRDRRIRIPPSVAPQVFKLTKELGFKTDGETVGWLLQNAEPAIFAATGHGVNTTPSDVTHVHNHTNRGYNHFTINIGDHNNVFSYTGANIGHHEMVFPGVTMTEYAASSTLPARDKEGVSNQNQEQQQQQPQPQFELGKVEMPADEKTYVWREE >scaffold_501367.1 pep chromosome:v.1.0:5:11786726:11787789:1 gene:scaffold_501367.1 transcript:scaffold_501367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLNVASSPVICNPITGMYVSLPDLARYRKARGFLGFDPIEKQFKALSEAYVFCDQRDHHQILTLGTTGEELTWRSNTIQCPAYDRSLSEGICINGVLYYLADTRCESSCMVVSFDLMSEEFKFIHADCFSEQLDETNERPLVFDTQPTNFMEVFLATVRMEHPTNTIKLVLVNYKGKLGAIDWKYVANDADERRIIELRLCVLEDVEKNEWLKHVYTLPENEVLESCNDFSVAGVTSSGDIVLSMKHVCKPFYVFYFNPERNSLQSVEIQGFGANLEASENHGTVYAFVDHVEDLSVCDAKQLKSSISNVKHLCSCCAKETEEAAGKLKTEEDNEKKE >scaffold_501368.1 pep chromosome:v.1.0:5:11789676:11789995:-1 gene:scaffold_501368.1 transcript:scaffold_501368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKPKYIVVVIMAMMIIISSCLAARNMIPRENNQESEKISREMIIEKEEDSTEKIEHPRSSVENHHYIPRQDFNYYVPGGDNNGGGGGGGG >scaffold_501370.1 pep chromosome:v.1.0:5:11795633:11795898:-1 gene:scaffold_501370.1 transcript:scaffold_501370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPDKIRIQKWYNIYKDHITLNDYEIHDGMGLELYYN >scaffold_501372.1 pep chromosome:v.1.0:5:11807600:11808534:-1 gene:scaffold_501372.1 transcript:scaffold_501372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLNAQELHVFHAQDRDIFSKLVLKFLRPPAESLLVMATWLWLEDFGFENIFSIILTLPDPLIVGLANEAVSCFRCLYFSNPPIGRIPLTAKYMKKNISLQTIYKNRYSAITGIKNFLTTVCSRIFTDILLRVLPSSSMPSFDTRLRQPRRIPGFPHPIFGSINVMPIEVDRDNFSNNLFFIPHGLWGWNANCIATENDRSLFLTFSRGYPVTHAEIFELFTKEYGENCVEGVYMQHDNKKSPNANANRSCGQQSLFARLVMDSVATVDRVLDGDQRKELEIYGKNIWARKYEKRE >scaffold_501375.1 pep chromosome:v.1.0:5:11822301:11823815:-1 gene:scaffold_501375.1 transcript:scaffold_501375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGKSTENQNDVMVLLAKHVIATVANGSNLVFSPMSINILLCLIAAGSNCVTKEQILSFLTLPSSDHLNAVLAKTVSVAFADGLERNDLHLSTAYGVWIDKSLSFKPSFKDLLENSYNATCSQADFATKPAEVINEVNTWAEAHTNGLIKEILSHDSIKTIRESTLVFANAVYFKGAWSKKFDAKLTKNNDFHLLDGTTVKVPFMTNYKKQYLEYYDGYKVLRLPYVEDQRQFAMYIYLPNDKDGLPTLLDEISSKPGFLDNHIPRQRILVEPFGVPKFKFSFEFKASDVLKEMGLTLPFTHGSLTEMVESPSIPENLCVAENLYVSNFFHKACIEVDEEGTEAVAVSVASMTKDMLLMGDFVADHPFLFTVREEKSGVILFMGQVLDPSKH >scaffold_501377.1 pep chromosome:v.1.0:5:11833558:11833754:1 gene:scaffold_501377.1 transcript:scaffold_501377.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNM9] MAFMGDLEGSECCLILSQNSGSPLCHRYRVRRSRGMVTTESTNFAGAGVGF >scaffold_501379.1 pep chromosome:v.1.0:5:11853266:11855449:-1 gene:scaffold_501379.1 transcript:scaffold_501379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDSFSFVQAMSCFGCFGGSERSRQSPNPYDDDTYSNDGDVTSNVGGDDEEEEEEVEEQSRSKRSEEILKSKLQNGLICRQFPVKETNKLTRGEDEDGNKTINEFVRERKIGSGSYGKVVLYRSTVDDKHYAIKAFHKSYLLKLRVAPSETAMGDVLREVMIMKVLEHPNIVNLIEVIDDPEFDDFYMVLEYVDGKWAYDDSGPPGALGEITARKYLRDVVAGLMYLHAHNVIHGDIKPDNLLVTSTGRVKIGDFSVSQVFKDDDDQLRRSPGTPVFTAPECCLGITYSGRSADTWAVGVTLYCMILGQYPFLGDTLQDTYDKIVHNPLIIPEGLNPHLRDLIEGLLCKDPNQRMTLKAVAEHPWVTGEDGAISEYFCWCKRKAEEEEEPNGIETVAES >scaffold_501381.1 pep chromosome:v.1.0:5:11858213:11858606:1 gene:scaffold_501381.1 transcript:scaffold_501381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMWETIILALIMVLSISIQTQGNEKVNDLARAPSSALAPQSENGVLPKPIPCLNDLTKISNCTNAVKHFQIKRVTKSCCIILLSLPNDCFGRLFAMRWIYHTILTVVCKALGYIK >scaffold_501382.1 pep chromosome:v.1.0:5:11862515:11862906:1 gene:scaffold_501382.1 transcript:scaffold_501382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMWATIVLALIVVLSISIQTKSNEKRNDIAGPPSSALAPQSENGLLPNPTSCLADVKTIPNCVKAVKRFKLKNVTKKCCVILLNLPEDCFGYLFPIHWIYRFLLKIACKILGHI >scaffold_501383.1 pep chromosome:v.1.0:5:11869696:11870086:1 gene:scaffold_501383.1 transcript:scaffold_501383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMSMIALIIILSIFIQTKGNDLAPIPSSIAPEPQSDNQFFLDPITCIHDVKTIPKCMDAVLHFRFKQVTKKCCYAMLSLPESCFGVLFPIPYVYHLLLKAACKITYSPLIDKIF >scaffold_501386.1 pep chromosome:v.1.0:5:11885986:11887774:-1 gene:scaffold_501386.1 transcript:scaffold_501386.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LNN7] MMMPDDHHHLSFPSYVLHQEHITPNPNPNPNPTSSNSAKRKRNLPGNPDPDAEVIALSPNSLMATNRFICEICNKGFKRDQNLQLHRRGHNLPWKLKQRTNKEQVKKKVYICPEKSCVHHDPARALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVMSDWKAHSKICGTREYRCDCGTLFSRKDSFITHRAFCDALAEENARFVSVPPAPAAPAPAYLNNPLDGEVNLGNINQNHQQRQVNTTSSQLDQPGFNIHRNNIAFLGQTLPTNVFASSSSPSPRSASDSLQNLWHLQGQSSHQWLLNENKNNNILQRGISKNQEEREIKNVISNGSLFSTEARNNTNNYNQDCGHIASMSATALLQKAAQMGSKRSSSSSSNNSKTFGLMTSIFNNKQGENIKTKEVDERGFTRDFLGVGSQHRPWPLLMVNHNLPNSSPSATIDGTPTADRNQ >scaffold_501387.1 pep chromosome:v.1.0:5:11891589:11891976:1 gene:scaffold_501387.1 transcript:scaffold_501387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNKQVCVSILAVMILMLSISQITKGNNDLAFAPVSEKGLLPKPVSCAGDAEKIPGCVEAVKHFKFKNVKKECCFVLLGLTEDCFGILFPMRFAYREMLKITCKIIGFKPPSY >scaffold_501390.1 pep chromosome:v.1.0:5:11921126:11924418:-1 gene:scaffold_501390.1 transcript:scaffold_501390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isovaleryl-CoA-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7LNP1] MQRFFSAKSILGYVVKTRRRSFSSRSSCLLFDDTQLQFKESVSKFAQDIIAPHAERIDKTNSFPKDVNLWKQMGEFNLHGITAPEEYGGLGLGYLYHCIAMEEISRASGSVALSYGAHSNLCINQLVRNGTAAQKQKYLPKLISGEHVGALAMSEPNAGSDVVGMKCKAEKVDGGYILNGNKMWCTNGPSAETLVVYAKTDTKAGSKGITAFIIEKGMTGFSTAQKLDKLGMRGSDTCELVFENCFVPEENIIDKEGKGVYVLMSGLDLERLVLSAGPLGIMQACLDTVLPYIRQREQFGRPVGEFQFIQGKVADMYTALQSSRSYVYSVARDCDNGKVDPKDCAGTILCAAERATQVALQAIQCLGGNGYINEYATGRLLRDAKLYEIGAGTSEIRRIVIGRELFKEQ >scaffold_501393.1 pep chromosome:v.1.0:5:11950323:11950866:1 gene:scaffold_501393.1 transcript:scaffold_501393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESRTSGSGGISFWSILLHSLWTTITGILFFRLATTNGHKSGFIHFFAISGTILITLPWIIQLLISTTVIRLHKTKGYNLMWIVQSPTISKKVVDHTKSGTCSVSSSPSSNRQVLKESDAEEIAIRIVIGTADGKRDGCSAAPLGMLMEIEGRNKTKLLTNGSA >scaffold_501394.1 pep chromosome:v.1.0:5:11951138:11953220:-1 gene:scaffold_501394.1 transcript:scaffold_501394.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LML6] MAQRLLFLLLFLIFFVNLIRFSSQQDLSFIYNGFNQDQTDLNLDGSAKVQDGLLQLTNATTQQQGHAFFNRPFEFGSASSQSLSFSTHFVCALVPKPGVVGGHGIAFVLSSSMDFTQADPTQYLGLFNISTNGSPSSHVLAIELDTVQSAEFDDIDKNHVGIDINSLQSVESAPASYYSDREGKRKSLKLLSGNPIQVWIDYENTLLNVTLAPLKNQKPSKPLLSRTINLTAIFPDRKAFVGFSAATGSLISYQYILGWSFSRSRVLLQSLDISKLPKVPRPKKPEKTSPLLIVLLVILALIVIAVLGGFYFYRRKKYAEVKEPWEKEYGPLRYSYESLYKATRGFNKEGRLGKGGFGEVYKGSLPLVGDIAVKRLSHDAEQGMKQFVAEVVTMGSLQHKNLVPLLGYCRRKGELLLVSKYMEGGSVDQYLFHSDQPPLSWSQRLAILRDIASALCYLHTGASQVVLHRDIKASNIMLNETLQGFLGDFGMAKFDDHGANLSATAAVGTIGYMALELTTTGTSTRTDVYAFGAFMLEVTCGRRPFDPEMPVEKRHLVKWVCECWRKGSLVNAIDTRLRGKFVPEEVEMVLKLGLLCTSIIPEARPNMEQVVQYINRHQRFPEFSPDTPGIGVSTPVLMGVPSLAFTSSSVSSSVSGPSVSPSSANNSMFISHTIIYGDGR >scaffold_501396.1 pep chromosome:v.1.0:5:11957683:11959719:-1 gene:scaffold_501396.1 transcript:scaffold_501396.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LML8] MACRLYLALIFSCVYLIFLSSQQETGFVYNGFDQADLFIDGIAKILPDGLLQLTNTTELQMGHAFFKKPFDFDPSSSFSFYTHFVCALVPPKLGADGGHGIVFVVSPSMDLSHAYATQYLGVFSNLTNGTSSSHLLAIELDTVKTVEFNELEKPHVGIDLNSPISVESALPSYFSGASGKNISIDLLSGEAIQVWVDYDGSLLNVTLAPIEIQKPNQPLISRAINLSEIFQEKIYVGFSSSTGNLLSNHYILGWSFSRSKEQLQNLDLSKLPQIPLPREEKKKLSPLLIGLVILLVIPVLMVLGGMYWYRRKKYAEVKEWWEKEYGPHRFSYKSLYKATNGFRKDCRVGKGGFGEVYKGTLPGGRHIAVKRLSHDAEQGMKQFVAEVVTMGNLQHRNLVPLLGYCRRKCELLLVSEYMPNGSLDQYLFHDENPSPSWFQRISILKDIASALSYLHTGTKQVVLHRDIKASNVMLDSEFNGRLGDFGMAKFHDRGANLSATAAVGTIGYMAPELITMGTSMKTDVYAFGAFLLEVTCGRRPVEPELPVGKQYLVKWVSECWKQACLLETRDPRLGGELLPMEVEMVLKLGLLCTNAMPESRPAMEQVVQYLNRDLALPDFSPSTPGIGAFMPVSMDALSAIGVSSVRNSSVSMFVTHTILDGHGR >scaffold_501397.1 pep chromosome:v.1.0:5:11960971:11963016:-1 gene:scaffold_501397.1 transcript:scaffold_501397.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LML9] MDCRLHLVLIFSCVYLICLSGQQENGFVYNGFDQADLFIDGLAKILPGGLLQLTNATELKMGHAFFKQPFGFDPSSSLSFYTHFVCALVPPKFGAELGHGMAFVVSPSMNFSYALPTQYLGVFNSSTNVTSPSHLLAIELDTVQTVEFHDPEKAHVGIDVNNPISVESALPSYFSDALGKNISIDLLTGEPVQVWVDYDGSLLNVTLAPIEIQKPNRPLISRAINLSEIFQDKMYVGFSGSNGRLTSNQFILGWSFSKSKEFMQSLDLSKLPKAPIPRNEQAPVPREEKNKLLPLLIGLVILLLILVLMVLGGVYWYRRKKYAEVRESWEKEYGPHRYSYKSLYKATNGFVKDALVGKGGFGKVYKGTLPSGKHIAVKRLSHDAEQGMKQFVAEVVMMGNLQHRNLVPLLGYCRRKGELLLVSEYMPNGSLDQYLFCNQNPSLSWLQRISILKDIASALNYLHTGANPAVLHRDIKASNVMLDSEYNGRLGDFGMAKFQDPQANLSATAAVGTIGYMAPELIRTGTSKETDVYAFGVFLLEVTCGRRPFEPELPVQKKYLVKWVCECWKHASLLKTRDPKLGREFVSEEVEMVLKLGLLCTNDVPESRPDMGQVMQYLSRKQPLPDFSPDSPGIGGFMPVSVEASSTIGIPDSSMHVSHSILEGYGR >scaffold_501398.1 pep chromosome:v.1.0:5:11965602:11967654:-1 gene:scaffold_501398.1 transcript:scaffold_501398.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LMM0] MAQGLHLMWVIFCVHLICVSSQQETEFIYNGFGQEDLYTDGVAKILPKGLLQLTDGSGQKMGHAFFKKPFEFNSSESFSFSTHFVCALVHKPGFIGGHGIAFVLSASMDLTHADATQFLGLFNISTQGSPSSHLVAVELDTALSAEFDDIDANHVGIDVNSLMSIASTSAAYFSEIDGENKSIKLLSGHPIQVWVDYGGNVLNVTLAPLKIQKPSRPLLSRSINLSETFPDRKFFLGFSGATGTLISYQYILGWSFSRNKVSLQTLDVTKLPRVPRPKAKNKRPSVVLIVLLIFLAIIVFLALGAAYVYRRRKYAELREEWEKEYGPHRFSYKDLYTATNGFNKDGLLGKGGFGKVYKGTLPSKGQIAVKRVSHDAEEGMKQFVAEIVSMGNLKHKNMVPLHGYCRRKGELLLVSEYMPNGSLDQYLFNDEKPPFSWRRRLVIIKDIASALNYMHTGAPQVVLHRDIKASNVMLDAEFNGRLGDFGMARFHDHGADPATTAAVGTIGYMAPELSTGGACKATDVYGFGAFLLEVTCGRRPVEPGLPAERWYLVKWVCECWKMASLLGARDPRMRGEISAEEVEMVLKLGLLCTNGVPELRPSMEDIIQYLNGSLKLPDISPNSPGIGSYTPLIIGSNPLPVSPSTNTFYSSSSANDSTFVTHSIVHGHGR >scaffold_501406.1 pep chromosome:v.1.0:5:11995538:11995910:-1 gene:scaffold_501406.1 transcript:scaffold_501406.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMM7] MLLLRGRDRSLSVDGFDWFALSACKCWCSFLTFPQSFSRVSLSLVSSLLFIFLELTCWCLWLGSVADWSRSLFCRVVACCALGFSYRGSCFLAQLRCQTVSMGLLRDMMD >scaffold_501409.1 pep chromosome:v.1.0:5:12006351:12007622:1 gene:scaffold_501409.1 transcript:scaffold_501409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRISQQFASSFRVFVAGNSIRYAYIRAKEAIASEIRMHVKPPHQAMATRKTTCSICLDDDVDANQMFCVDICRHQFCFECMKRHVEVRLLEGSVIRCPHYRCKSKLTFETPWHSDLSCDDYKRLGPNPTNDDDIKFKALANRNMWRQCGKCKNMIERSEGCIKVTCRCGHKFCYQCGAKAGGCYHGGLHIYPPTP >scaffold_501411.1 pep chromosome:v.1.0:5:12011068:12011607:1 gene:scaffold_501411.1 transcript:scaffold_501411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISMASMDFLPDDLLTKSYPYFPQNKLNHLKKLSLKVLIDTYKDGDFIDRWICNALEHGVPELHLHIISLPQRHFPSIVFPSATLVNLSMRTKLYIPSVTLRAFTIFSFVFSS >scaffold_501417.1 pep chromosome:v.1.0:5:12038446:12039544:-1 gene:scaffold_501417.1 transcript:scaffold_501417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRKRLASIFPTFGVGNMIRYAYKLAREAIVSDISRLVNRPRQAKATLKRTCSICLDDDINANQMFSINKCRHQFCYECMKRHIEVRLLEGSVISCPHYSCKSKLSFGNCVNLLSPKLRKMWQQRIKEDSIPVKQRIYCPNRTCSALMSVNELSKSTKEAGVRRYFSRSTKEARVRRYCLECGQVFCINCKVRWHSNLSCHDYKRLGPNPTADDIKLKVLANQKRWRQCEKCKHMIELSEGCIKVTCRCGHKFCYECGAKAGGCRHALFHMYLPMQQQSPLPPRPPLLPLLQRPPLLPLPPMAHPPVWLTILCFVLICYLFYIFLAS >scaffold_501418.1 pep chromosome:v.1.0:5:12041797:12042701:-1 gene:scaffold_501418.1 transcript:scaffold_501418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIRQRFASIFPSLVPVNPPHQAKATCNICLDDDVNANQMFSVDRCHHRFCYECVKQHIEMWQQRIKEDSIPGTKRIYCPNPRCSALISVNKLCKSTKEAQVRKNCYKCGELFCINCKVPWHSNLSCNDYKRLGPNPTTDDLKFQALANQNLWRQCRNCRYMIDELSEGCISVTCRCGQNFCYQCGAKAGGCHHGHVVPPRARLPPHPPSPPPTSAPASARDGA >scaffold_501419.1 pep chromosome:v.1.0:5:12044312:12044750:-1 gene:scaffold_501419.1 transcript:scaffold_501419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQFPSIDDDRKPLPEKDTGDVGVRDDSLAVFEVAICGQKDNLLLQLNGPIHGSNITVFEVELTTLKLGLTEAASLGITRISIYCDYYPIYHFVSLKKALNFIRLMSILISVHDYQ >scaffold_501421.1 pep chromosome:v.1.0:5:12059259:12060163:-1 gene:scaffold_501421.1 transcript:scaffold_501421.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LMN8] METKDCEISLLVDPETCRICFDDNFKAEQMYYVALCNHKFCLECMKRFIEDKLLEGTVPICPYYQCESKLTLRSCVHFLTSKLKAMWEQRIEEESVPVTERFYCPNPRCSALMSKTKLSKFIEEDGSMRCFQCGERFCMNCKVLWHSSLSCDDYKVLGNNPTSDDKMLKVLANENLWRQCEKCQHMIELSEGCIHVTCRCGYSFCYTCGAEWKHGGCPHRQRMLIVS >scaffold_501426.1 pep chromosome:v.1.0:5:12076890:12078836:-1 gene:scaffold_501426.1 transcript:scaffold_501426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSNHLIGLVNFLTFLLSIPILGGGIWLSSRANSTDCLRFLQWPLIVIGISIMVVSLAGFAGACYRNKFLMWLYLVAMLLIIAALIGFIIFAYAVTDKGSGRTVLNRGYLDYYLQDYSGWLKDRVSDDSYWGKISSCLRDSGACRKIGRNFNGVPETADMFFLRRLSPVESGCCKPPTDCGFSYVNETGWDTRGGMIGPNQDCMVWSNDQSMLCYQCSSCKAGVLGSLKKSWRKVSVINIVVLIILVIFYVIAYAAYRNVKRIDNDEPVGEARMTKSHPSHFHL >scaffold_501429.1 pep chromosome:v.1.0:5:12091226:12093352:1 gene:scaffold_501429.1 transcript:scaffold_501429.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7LMP6] MARPRRRNTEIGDGFTEIFNREIGFSHPITISRRISASEGIVKKLDLYGKLNGHEGCVNAVEFNSTGDVLVSGSDDRQIMLWNWLSGSRTLSYPSGHCENVFQTKFIPFTDDRTIITSGADGQVRLGQILENGKVETKRLGRHHGRVYKLAVLPGDPNVFYSCGEDGFVQHFDIRSNSATMVLYSSPFTQGCRRHHSSSRIRLNSIAIDPRNSYYLAVGGSDEYARVYDTRRGQLAPVCRHVLPDAPVNTFCPRHLRETNSVHVTGLAYSKAGELLVSYNDELIYLFEKNMGYGPSPVSISPEKLQEMEEPQVYTGHRNAQTVKGVKFFGPNDEYVTSGSDCGHIFIWKKKGGKLVRAMVGDRRVVNQLESHPHIPLLASCGIEKSVKLWTPMSNDVFSLPEKIEKVMELNRVGREDQSRVTLTPDVIMHVLRLQRRQTSAFTERRYVSTDIGSDDGNDAHFIASLVDNDDESSERECTVS >scaffold_501435.1 pep chromosome:v.1.0:5:12124404:12124967:1 gene:scaffold_501435.1 transcript:scaffold_501435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKKTKGRKKINLKKKVEKYQDRMVTYSRRQKGTNTKLSELSLLCGADVGFLVISCTGKPYTFGNPSFEAVAKRFLNGEGSSLLQQDAQHKMKMEELYKVYNSLVEKIAAEEKKLMMAKAEALPFESNAWWKIDPAKVKEEKVAKQLLEKYEELYEKLHKEIAARNHGGGVP >scaffold_501438.1 pep chromosome:v.1.0:5:12154453:12154955:1 gene:scaffold_501438.1 transcript:scaffold_501438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDFSDKEAHYSSDPSRKRGGWITLPFMLVGNSRRLMSVIWLFPALVIVGIGEAFHFPTSIAIFYGEFPESLRNTATSLTSLVMGISFYLCTALIDMIQRTTKWLPNDINHGRVDNVYWVLVILGRHVN >scaffold_501441.1 pep chromosome:v.1.0:5:12162900:12164154:1 gene:scaffold_501441.1 transcript:scaffold_501441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSILCQSPSKLHLRILYAALALVTAGTAGIRVALASAGANQYDKPRHKGTFFNWYFFTVNTGAIISATSIVYTQENASWKLGFGLCAAANLISFIVFISGKRFYKHDKPMGSPFTSLIRVLVAAILKIKAVISSKEEDYHRELEKDGKTSVGMPSKSFRFLNRAALKTDEDLNEKDGSVNNIWRLCSVEEVEDLKSVLRVLPLWLAILFVGNSIGFQASMTVLQALVTDRGLGSRFKVPAGSLQVIVLISSCVFLVLNNWTMYPMYQKITHKQLTPLQQVGIGQVFNILILWLFPPLVIVGIGDAFHYMANVAVFYGEFPESQRNTATCVTSVAIGISFYLSIALINLIQRDHHVVTRRH >scaffold_501442.1 pep chromosome:v.1.0:5:12164888:12165657:1 gene:scaffold_501442.1 transcript:scaffold_501442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVESFAHGLLPETKIINDQLVKINSKGFLTINSQPSVNAERSDSPTVGWGGPVAYVYQKAYLEFFCSKEKLDAVVEKCKALPSITYMAVNKGENWVSNTAQSDVNAVTWGVFPAKEIIQPTIVDLASFKVWKDEAFGTW >scaffold_501447.1 pep chromosome:v.1.0:5:12179451:12179640:-1 gene:scaffold_501447.1 transcript:scaffold_501447.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LMR3] MSRRINTSIVLVAGTVAVTNQAHIDHELGRDVAGDGEAANGGGILWAER >scaffold_501453.1 pep chromosome:v.1.0:5:12206605:12208843:1 gene:scaffold_501453.1 transcript:scaffold_501453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCIKSRSLNQLAQATVAIQGFIHALVLVLVEAVPAVRSAVGEITDPESGDEDVFPVISLKLDKVWDLDKETKVEVDVLSIIPAPEDVVGLEDCSWADKVRDPGVELILQKIEEGAKFNRGMFLGEYRGAYVHVEPPPSEVKKGKRKVRSQSGRVSSKSKKLKVRSGRSRHTPSDQNSAAFLASVKSEIEAGMKEARGDLFAHVAVELKSMELRLEKSFKYSICSAVTDALQAKNVVQKIIEEVGVDIPDPYQQPPPNGSANPGRSSVTQQKKPAGATNLDASSEEVDGSTSRDEDSSSSQTNEELSTVVAEAGEVSSGGNDLVEAVEFASSAKFKKLVDLLTPTIELDFGDGLVLNEFEMRAVATSIPPDNPKVMDVCVSVLRESLFKSVDPTTDPRADMLPCKFNGSLALMYSKFKKCRRKDTFEFDADLLSSVTARFTSHGRKWLESIDFLYSPFNIDKNRWIAVMVDLPSHSLSLFDSTADVRRGSRLKPELDFICDMFPYLVRKLGANDLMTSYPLHPLAFVRHTTVAQATARANTGMLSILFMEAHALGGFEELDRVNESGVRERAEQLAVELYEYCCGDIEEE >scaffold_501454.1 pep chromosome:v.1.0:5:12209426:12209999:-1 gene:scaffold_501454.1 transcript:scaffold_501454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIEMLGEEMSEMSEGGSSSTTRGKSSVLEDGGYECDCGKAALVRQSWTDANPGRRFYRCGAGWKNICNYFRWRDLEKPHGWQKIALLEARDLIKSQAEELTKLRAIVGGQEARNTEDEGSELLRRLEDMEKENMALRSYVKASAAKDQTIRQVVIISWIGFACVVATIVHALK >scaffold_501459.1 pep chromosome:v.1.0:5:12247539:12248836:-1 gene:scaffold_501459.1 transcript:scaffold_501459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESEISMCSYFKRYGQVKFNEFSNTPLRKPKYSPTTSVIEISDSSSSNNSMIPPLPQNPIFTVKLSPIMLHLRRCSRKIKKKKLTQPLLKKKKKKKKSISSSVKFLSRGSFNAAVQKRSTTVTRNGGVRKVSSWVKSRLLGEGGYASVYLATSKDDRYKTERAIKSAELSKASSLMHEGRILKRLQSPFVISCYGDEIAREGTGHEYNLVLEYCAGQCLVDLIEDNHGGLSEFDVKQFSRDVLSGLSYIHSRNIVHCDIKPDNLLLSPVDHRFRFNGYLIKIADFGLSMEKGSVEYGNGCGHMRGTTRYMAPELIGHGVVDFGVDIWAFGCSVLEMLTGQMVWGEHGDLVFDDWVKLIGHTDLIPRISSRLSEEAQDFLRRCFVKEPGSRWRINELMNHPFLYSDVDFSHNGFVYD >scaffold_501464.1 pep chromosome:v.1.0:5:12277006:12277568:1 gene:scaffold_501464.1 transcript:scaffold_501464.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LMS7] MDPREAKPTLEICRLTEKDQVSAMVDSKERDLERERESVTSSAFIVPSSQSRRRDER >scaffold_501466.1 pep chromosome:v.1.0:5:12283019:12283616:1 gene:scaffold_501466.1 transcript:scaffold_501466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKGMILPPVPSQLVLLRPNPLLQWRLGALTALVFFLMLVVCNFSHLNPHFLGFCFLLSDLQMPEKGMILPPVPSQLVLLRPNPLLQWRLGALTALVFFLMLVVWSIDGCSIQSFVEPWRFNAYSVRISPFPSPLMLPKPKLQKPTKSSSFFSRRRRHRRSFFFSRRISLANFRHYLFRCQIQF >scaffold_501469.1 pep chromosome:v.1.0:5:12294967:12297386:1 gene:scaffold_501469.1 transcript:scaffold_501469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSYLISGDASVVASRRWSDVSSRRRLFLPRQLLWQPPLSSLTRCVRLVANVNDNFSKQSYATRNCFASVFSRDVGGSNGNNDNGNDGGDGGDGGWWFNGGGDNSDDSSFNLRYFCFLVLGLSCFFHFRLSAASAIAKASDSDSSGDTDKETVWEVRGSKRKRLVPDFVKDEFVSEESAFELSSSLTPENLLAQCRNLLTQFLLPEGFPNSVTSDYLDYSLWRGVQGIASQVSGVLATQSLLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIMLSKYGRHFDVHPKGWRLFADLLENAAFGMEMLTPVFPQFFVMIGAAAGAGRSAAALIQAATRSCFNAGFASQRNFAEVIAKGEAQGMVSKSMGILLGIVVANCIGTSTSLALAAFGVVTTIHMYTNLKSYQCIQLRTLNPYRASLVFSEYLISGQAPLIKEVNDEEPLFPTVRFLNMKSPEKLQDFVLSSEAKAAAEDIEERLQLGSKLSDVIHNKEEAIALFDLYRNEGYILTEHRGRFCVMLKESSTPQDMLRSLFQVNYLYWLEKNAGIEPASTYTDCKPGGRLHISLDYVRREFEHAKEDSQSVGWVTEGLIARPLPTRIRLGHDREPLSSPSSS >scaffold_501475.1 pep chromosome:v.1.0:5:12311344:12312491:-1 gene:scaffold_501475.1 transcript:scaffold_501475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVAFKSREDRRKQKELDEARKAGLVPAEVDENGKEINPHIAKYLLSPPFYAKSEKPSLKHQKNWKTKPVSTKAYYDRGAKTYQAEKYRKGACQNCGAMTHDMKTCIERPRKVGAKYTNKNIAPDEKIESLEFDYDGKRDRWNGYDPSSYRHVRDLYEAKENARENYLKEQQLKKLEDNDATSDGEEEDLRVDESKQVDFAKVKKRVRTTDGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKSRSMREDPLPDANPNEKFCLGDNQYRNSGQALEFKQLNMYSCEAFGKGQDIHMQAAPSQAELCYKRVKVTKEKLNNQIKDTIMAKYGNAAAKDDIPMELLLGQSERQVEYDRVGRRIIKSG >scaffold_501476.1 pep chromosome:v.1.0:5:12313904:12314219:1 gene:scaffold_501476.1 transcript:scaffold_501476.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMT9] MHDHRQPFPMKLPSSDDISDEASSSDLHFRRGFIDLIFNQLLNRSLSPEISNFFIFFFTNFVDKIRRVLLFNGVLLHHCCLLLFNGVQFVN >scaffold_501482.1 pep chromosome:v.1.0:5:12341793:12342233:-1 gene:scaffold_501482.1 transcript:scaffold_501482.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMU2] MKRLGCTRNLIASDATFNVLLVTTLVFLVLFRRLREEPKIVDSEMEIISFRWLMGLFAGMMGAAYVMARLVTAFVEAMGLNNRSFEEDAMLFGFLTLAYFLVNLFGFWGILWLLVSPPSVFLGFTTWKAFQD >scaffold_501486.1 pep chromosome:v.1.0:5:12357998:12358806:-1 gene:scaffold_501486.1 transcript:scaffold_501486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRISAQLFVSRLSAYTTDQSLRQLFSPFGQIKEARLIRDSETQRPKGFGFITFESEDDARKALKSLDGKIVEGRLIFVEVAKSSEEVTTDINSKKAEDRG >scaffold_501490.1 pep chromosome:v.1.0:5:12365051:12365605:-1 gene:scaffold_501490.1 transcript:scaffold_501490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LMV0] MVAESDNLDPTADTAANCLMLLSKVGEHDGGKKRVFRCKTCKRDFFSFQALGGHRASHTKLINSDDKSLPGSLKKKPKTTTTSSHTCPICGLDFPIGQALGGHMRKHRNEKEQEKASNELVTRSFLPETTTVTTLKKSSSGKRVACLDLDSTSVESLVNTELELGRTMY >scaffold_501493.1 pep chromosome:v.1.0:5:12374203:12376622:-1 gene:scaffold_501493.1 transcript:scaffold_501493.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidyl-tRNA synthetase 1 [Source:UniProtKB/TrEMBL;Acc:D7LMV3] MRAIHMRLSSSFRPILLLDFVVSCSPPPRQFSIPRRLICAAANGGGRSGSIVAAPLVVTEEDFQKKIDVNPPKGTRDFPPEDMRLRNWLFNHFKEVSRLYGFEEVDYPVLETEALFIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSVSLPLKWFAVGQCWRYERMTRVRRREHYQWNMDIIGVPQVTAEAELISSIVTFFKRIGITASDVGFKVSSRKIENIPIDEIKKELGFTGMSEDAIEQLLQVLSVKSLDDLEDILGGAGEAIADLKQLFSLAEKFGYSEWIQFDASVVRGLAYYTGIVFEGFDRKGKLRAICGGGRYDRLLSTYGGDDIAACGFGFGDAVIFELLKEKDLLPELGQEVENIVCALDKDLQEAAATVATALRDKGQTVDLVLENKPLKWVFKRAARVNARRLGRWFGQCEGVVFR >scaffold_501496.1 pep chromosome:v.1.0:5:12386931:12388142:1 gene:scaffold_501496.1 transcript:scaffold_501496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMSSRTTQDNLIIVPTRSNHHQLDSKPSGERKVPVVYYLSRNGQLDHPHFIEVPLSSHNGLYLKDVINRLNDLRGKAMASLYSWSSKRTYKNGFVWHDLSEEDFIFPVHGQEYVLKGSQILDLDNNSGKESNFSAVTHRRNQSWSSIDHYKASTESTRKLATDASTQTDDRRRRKSPAKEVDEVNEITELSKEEITSPPQSDTSPETLESLMKADGRLILFPEDQELNGTVEKMRPSAVLMQLISCGAMSFKKCGPTLRNGNTSSRVEWRTGNYRLERAEKELRSFGRVNLEEKEYFSGSLIDESSSKKELVPALKRSSSCIKSPVASFD >scaffold_501497.1 pep chromosome:v.1.0:5:12399219:12400421:1 gene:scaffold_501497.1 transcript:scaffold_501497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPKSGGDPLQHPTKEQLTDISYCITSPPPWPEAILLGATYHSNAVDMWSVGCIFVNLKVLLSNCASLSHDGSLVVGGFFDSSIKVCNSGF >scaffold_501499.1 pep chromosome:v.1.0:5:12406254:12407505:1 gene:scaffold_501499.1 transcript:scaffold_501499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRLLTIFLCFTIKMFYRESEMDSRISMSAYYRRYGQRKLNDLSNPPLKKPKYSPTKNGIVISDSSSNTMTSPLPQTPTLAVKQSPTILHRQRCSKNKRKRSSTPPPLEKSTSSSKEISEGSSTKGGLVKKSSCWVKSRLLGKGAYGSVYLATYKNEERAIKTAEISRSLSLIDEGRILRGLQSPYVISYFGDEMVREGNGHRYNLILEYCSGQSLGDLIRNNHGGLMEFDVKLFARDVLCGLIHIHEKNIIHCDIKPDNLLLSPLDHRYRSNGYIAKIGDFGLALEKGSVEYRNGSGHKRGTRRYMAPELISHGIVDFNVDTWSFGCSVLEMLTGKQVWGEYGHLTKEDWINLIGHTDLIPHIPSGLPAEAQDFLRKCLVKDPDSRWGVRELVSHPYLSYD >scaffold_501500.1 pep chromosome:v.1.0:5:12408085:12409310:1 gene:scaffold_501500.1 transcript:scaffold_501500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNSEFSMSVYRYGQVKFNDYSNPPLKKPKYSPTKSVIEISDSSSNNTMIPPLPQNPIFTLKLSPIILHIPNTKDKKRGRFTPPLLKNKKKSNSSRSVKEITKEVFDGVVRKSSSWIKSEFLGRGSYGSVYLATSKKDKGKTIMAIKSAEISRASSLMEEERILTRLLSPFVVRCYGHEIALEETLFGGSRTNYNLILEYCSGKSLADLIENNIGGLSEKDVKMFARYILNGLNYIHRENIIHCDIKPENILLSPVENRIRPNGYVTKIGDFGLALEKGSSEYEKAPGHTRGTTRYMSPELIRHGIVDYAVDIWAYGCTVLEMFTGQEVWGEHSDLGPVDWDSLIGLSSFIPYIPDWLSEEAQDFLSCCLVRDHGSRWGIGALMNHPFCNVDV >scaffold_501502.1 pep chromosome:v.1.0:5:12417485:12419699:-1 gene:scaffold_501502.1 transcript:scaffold_501502.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose transporter 5 [Source:UniProtKB/TrEMBL;Acc:D7LMW4] MAEPDSVNEAKEKKKKLWKAVFAISGIMITLVIYGLLQEKIMRVPYGLKKEYFTHSLFLVFCNRLTTSAVSAAALLGSKKVLDPVAPVYKYCLISVTNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMVWGTLIMQKKYRGFDYLVAFLVTLGCSVFILFPAGDDISPYNKGRENTVWGVSLMVGYLGFDGFTSTFQDKLFKGYNMEIHNQIFYTTICSSILSFTGLILQGHLLPAVDFVSRHRDCLFDIALLSTVATASQFFISYTIRTFGALTFAAIMTTRQLVSIMLSCIWFSHPLSWEQCIGSVIVFGSLYAKTLVKKRSEKPQAAQELPPDEEAQPLKGNP >scaffold_501503.1 pep chromosome:v.1.0:5:12423633:12424087:1 gene:scaffold_501503.1 transcript:scaffold_501503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELVNNGYYESLPFTVDGFNWTFKIYPNGNTDTTRGLVYCYVRIDNSSITDPSLDVYAAIKFFVYNNGISEYYIHTKVLNLRGSKKEKKNLRGSIHWYVRQMCILIGY >scaffold_501504.1 pep chromosome:v.1.0:5:12434384:12434574:-1 gene:scaffold_501504.1 transcript:scaffold_501504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_501505.1 pep chromosome:v.1.0:5:12437094:12437284:-1 gene:scaffold_501505.1 transcript:scaffold_501505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLALLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_501506.1 pep chromosome:v.1.0:5:12441008:12442556:1 gene:scaffold_501506.1 transcript:scaffold_501506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYELKITSKGCQQHEKLQLPLPLIFMRERPPTTYSVTFESFGKMMELVNNGYYESLPFTVDGFNWTFKIYPNGNSDTTRGLVYCYVRIDNSSLTDPPLDVYAEIKFFAYNYGLSQYYTYQEVEPVKFDSVEQEWGKWIVLTTMSSLLNATNQKFSPTMKTSAAPFSLGTSPISLHSLWTLTHPTRFLLETGTVYPNGDGVGTDNSLSLYLLSESNEKNYVRATLRVLNQIGSDNVEKPVEGWPNAAENGWGYAEFIPLADLQDSTKGFVVDDVLEVEVEIMAISKQTPIN >scaffold_501507.1 pep chromosome:v.1.0:5:12448610:12448965:1 gene:scaffold_501507.1 transcript:scaffold_501507.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMX0] MSSFKMIFQPLSLLLFLTLLVSSVTCGRFLYDFDPVPSSATTPLDTDSVGFGGAVIEVVENQAVSGSLLASLSLGNGVLLTSNENEVPLIHQITNIQHNQMISS >scaffold_501509.1 pep chromosome:v.1.0:5:12453239:12453476:1 gene:scaffold_501509.1 transcript:scaffold_501509.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LMX2] MQSKHQPEKSISYQAKPGITCTTDSTEATKTASRPICPVLGFHMTSEQAFSTISFHVVPALDCS >scaffold_501511.1 pep chromosome:v.1.0:5:12459602:12460812:-1 gene:scaffold_501511.1 transcript:scaffold_501511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISDLSEELVEEILCRVPATSLKALRSTCKKWNALSKNQIFGKTEKQFLGFMMMDYRVCSVKFNLPNEDYGADPSIKQVALLDQVKISKLFHCDGLLLCVVKDNTGLVVWNPYLGQTKWIQPRTSFLSSDRYALGYDKNRNHKILRISCFGYEIYDLSSDLWKFYCVTPDWEIPSHQRGVSLKGDSYFLGHEKITVSGGEGVRRKIEDSLLCFDFTSERFGPPLPLPLSFHSYRSETMTLSCVGEEQLAVFSYHLNLSSVYEIWVTNKLEPNAVSWSKFLRSFRGSHVHIEAGSFFIDEGKKVAVVFGLDYKYDETRYQTAHIIGQGGYFKSVNIGEAPNLAKLDKPYMFGYTPRKYCYPVVCSSYVPSLVQLQINQPGKGKERDYY >scaffold_501522.1 pep chromosome:v.1.0:5:12525126:12525639:-1 gene:scaffold_501522.1 transcript:scaffold_501522.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:17.6 kDa class I small heat shock protein [Source:UniProtKB/TrEMBL;Acc:D7LMY3] MSLIPSIFGGRRTNVFDPLSLDVWDPFEGFLTPSGVANAPAKDVAAFTNAKVDWRETPEAHVFKADLPGLKKEEVKVEVEDGNILQISGERSSESEEKSDKWHRVERSSGKFMRRFRLPENAKMEEVKASMENGVLSVTVPKVPEKKPEVKSIDISG >scaffold_501528.1 pep chromosome:v.1.0:5:12561660:12562084:-1 gene:scaffold_501528.1 transcript:scaffold_501528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALDRAKTNGGKKKKNKLPLIFGVTFASEFAILSSGFGAIFLRKRQNAKPQSNTTPMTNHVHRHGLGTGMSPLVGQQFASDTNDSYVVQEEHH >scaffold_501529.1 pep chromosome:v.1.0:5:12574165:12576694:1 gene:scaffold_501529.1 transcript:scaffold_501529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEKFGNFILISILICICHGFTPQDNYLINCGSPTNGTLMGRIFMSDKLSSKLLTSPKEILASESVNNAGSDIYQTARVFTEVSSYKFSITRGRHWVRLYFNPFDYQNFKMGSAKFAVSSQSHVLLSDFNVKSTRVVKEYSLNVTTNDLVLTFTPSGGSFAFVNAIEVISIPDTLITGSPRFIGNPVQFPDMSMQGLETIHRVNMGGPLVAANNDTLTRTWVPDSGFLLEKNLAKTVSKFSTVNFVPGYATEDSAPRTVYGSCTEMNSADNPNSIFNVTWEFDVEPGFQYYFRFHFCDIVSLSLNQLYFNLYVDSMVAATDIDLSTLVDNTLAGAYSMDFVTQTPKGSNKIRVSIGPSTVHTDYPNAIVNGLEIMKMNNSKGQLSTGSFVPGSSSSSKQSNIGMIVGSAIGSLLAVVFLGSCFVLYKKRKRGQDGHSKTWMPFSINGTSMGSKYSNGTTLTSITTNANYRIPFAAVKDATNNFDESRNIGVGGFGKVYKGELNDGTKVAVKRGNPKSQQGLAEFRTEIEMLSQFRHRHLVSLIGYCDENNEMILIYEYMENGTVKSHLYGSGLPSLTWKQRLEICIGAARGLHYLHTGDSKPVIHRDVKSANILLDENFMAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPTLPREMVNLAEWAMKWQKKGQLDQIIDQSLCGNIRPDSLRKFAETGEKCLADYGVDRPSMGDVLWNLEYALQLQEAVVDGEPEDNSTNMIGELPPQINNFSQGDTSVNVPGTAGQFEESSIDDLSGVSMSKVFSQLVKSEGR >scaffold_501530.1 pep chromosome:v.1.0:5:12577179:12577644:-1 gene:scaffold_501530.1 transcript:scaffold_501530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCIDACVNIKIDSICIRPVMTYANLYKWPMAEAEFVRSISNDGSQRRTTVVDSISCRQMYLRSYTFSTKENEEDNDRGSGEAADRRNKQSCFRGGGGRKKAAKKATRKNQTSSCRAFVLGLVWKCLSCASTTKVTNID >scaffold_501541.1 pep chromosome:v.1.0:5:12661952:12663741:1 gene:scaffold_501541.1 transcript:scaffold_501541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALDLDVDYFNTPEMLGNPIADMVLFHYEGKSDPSIGIYACGAHSDFGMMSLLATDGVMGLQICKDKDVMPQKWEYIPSIKGSTLHRVLGNGQDRYSIPFFLKPSHDCIIECLPNCQSENNLPKYISSDQMFNVHLPTLQSSTCTPKTDLGEVWSMCTTP >scaffold_501542.1 pep chromosome:v.1.0:5:12664736:12666316:1 gene:scaffold_501542.1 transcript:scaffold_501542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKTQEEASTINVSSLTCIDLANTNLHQSADALKQACLDCGFFYVTNHGISEELKDEAFEQSKKFFALPLDEKMKVLKNEKHQGDYKESFFIGIEGSNDTPFCRANIWPNPDVLPGWQATMEKYHQEALRVCKAIARVLALALDVDGDYFDKPEMLGNPLAFMRLLHYEGMSDPSKGIYGCGPHSDFGMMTLLATDSVMGLQICKDRDVKPRKWEYIPSIKGYNEIILIVGSMLHFKHFTNFQIYHICLSLVEV >scaffold_501543.1 pep chromosome:v.1.0:5:12666373:12666993:-1 gene:scaffold_501543.1 transcript:scaffold_501543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRKASAIESEEGSEEIAWIEKQKLPSGSSPVLWDSKYASSSDEEFEGFFDSSNLFLSDVHKSTSNADVLGFFQDFKCDVVSRDVQKDKKLSFVVRFSSHELAMAALEKYKDVKLEGRTISLTRSTDSRYCFAFFG >scaffold_501549.1 pep chromosome:v.1.0:5:12677939:12680687:-1 gene:scaffold_501549.1 transcript:scaffold_501549.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7LN13] MEEEKASAAQSLIDVVNEIATISDYRITVKKLCYNLARRLKLLVPMFEEIKESNEPISEDTLSTLVNLKEAMCSAKDYLKFCSEGSKIYLVMEREQVTSKLMEVSVKLEQSLSQIPYEDLDISDEVREQVELVLSQFRRAKGRVDVSDDELYEDLQSLCNKSSDVDACQPALERVAKKLQLMEIPDLAQESVALHEMVASSGGDAGENIEEMAMVLKMIKDFVQTEDDNGEEQKVGVNSRSNGQTSTAASQKIPVIPDDFRCPISLEMMRDPVIVSSGQTYERTCIEKWIEGGHSTCPKTQQALTSTTLTPNYVLRSLIAQWCEANDIEPPKPPSSLRPRKVSSFSSPAEANKIEDLMWRLAYGNPEDQRSAAGEIRLLAKRNADNRVAIAEAGAIPLLVGLLSTPDSRIQEHSVTALLNLSICENNKGAIVSAGAIPGIVQVLKKGSMEARENAAATLFSLSVIDENKVTIGALGAIPPLVVLLNEGTQRGKKDAATALFNLCIYQGNKGKAIRAGVIPTLTRLLTEPGSGMVDEALAILAILSSHPEGKAIIGSSDAVPSLVEFIRTGSPRNRENAAAVLVHLCSGDPQHLVEAQKLGLMGPLIDLAGNGTDRGKRKAAQLLERISRLAEQQEETAQSQPEEEAEPTHSVSTTEAADT >scaffold_501551.1 pep chromosome:v.1.0:5:12687537:12688804:-1 gene:scaffold_501551.1 transcript:scaffold_501551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMSKNRSSIAMGTPSFLELKKQASFFFKEKLKTARLALTDVTPLQLMTEEATDGESCGPNTQTLGSISKAAFEFEDYLAIVEVLHKRLARFDKRNWRMAYNSLIVIEHLLTHGPESVSDEFQGDRDVIFQMQTFQQIDEKGFNWGLAVRKKAEKVLKLLEKGELLKEERKRARELSRGIQGFGSFNHKSSSHSLSEDEVVQESTVYRKCNSNFTKKHNEDDQENTMVSPNDADNFPQPLVTDPSEESRTSMKENMDPEDDENTEVNPLLGSLKKEGQELAGEDENHPFTDGESKHTVVSLLDENTV >scaffold_501552.1 pep chromosome:v.1.0:5:12689809:12691114:-1 gene:scaffold_501552.1 transcript:scaffold_501552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVISISHFTLLALPYLLVLLSSTAAAINVTAVLSSFPNLSSFSNLLVSSGIAAELSGRNSLTLLAVPNSQFSSATLDLTRRLPPSALADLLRFHVLLQFLSDSDLRRLPPSGSAVTTLYEASGRTFFGSGSVNVTRDPASGSVTIGSPATKNVTVLKLLETKPPNITVLTVDSLIVPTGIDITASETLTPPPTSTSLSPPPAGINLTQILINGHNFNVALSLLVASGVITEFENDERGAGITVFVPTDSAFSDLPSNVNLQSLPAAQKAFVLKFHVLHSYYTLGSLESITNPVQPTLATEEMGAGSYTLNISRVNGSIVTINSGVVLAVVTQTAFDQNPVSVFGVSKVLLPKELFPKSGQPVATAPPHEISLSPESSDEQPSRLVSPPREIVSSGAVKTTLGFLALWCWCIAFCYVLV >scaffold_501554.1 pep chromosome:v.1.0:5:12693520:12693709:-1 gene:scaffold_501554.1 transcript:scaffold_501554.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN18] MMRTQVVHLHLFLPFSAPYHVGVNYVNLIKHVNSGKGTPLIPNRVFGYH >scaffold_501555.1 pep chromosome:v.1.0:5:12694978:12695311:1 gene:scaffold_501555.1 transcript:scaffold_501555.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN19] MEYCEEDEEIHFDPTNQEVINDYLKRKLRGEDCGDFIVMKDVYAMESWLLLLFSRKTSGITFRQELRPPRRRLMVVSTRSGRSPETTPMASTAKIIG >scaffold_501561.1 pep chromosome:v.1.0:5:12718347:12718653:-1 gene:scaffold_501561.1 transcript:scaffold_501561.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN24] MSSLSRFLQLHSFSSNLKKSVDHLFFNTYFYAKLTDLYEEKNTGVTRFDKLRQW >scaffold_501562.1 pep chromosome:v.1.0:5:12720290:12722099:1 gene:scaffold_501562.1 transcript:scaffold_501562.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7LN25] MDAILPVPVDGFRFDNGSGSCCKPRNNLESGTTNRFTCFNESESQSNPSPTESKVCSDYLPVFKYINDMLMEEDLEGQSCMLEDSLALQAAERSFYEVLQDQTPPPSDQISGDLEDGSLGNFSSITSLHQPEVSEESTRRYRHRDDDEDDDLEGGRKSKLPAISTVDELAEKFEEVLLVCQKNDQGEATEKKTRQAKGSSNRSKQQKSDQPVDMRNLLMQCAQAVASFDQRRASEKLKEIREHSSSHGDATQRLGYHFAEALEARITGTMTTPISATSSRTSMVDILKAYKGFVQACPTLIMCYFTANRTIVELASKATTLHIIDFGILYGFQWPCLIQALSKRDTGPPLLRVTGIELPQSGFRPSERVEETGRRLKRFCDKFKVPFEYSFIAKNWENITLDDLVINSGETTVVNCILRLQYTPDETVSLNSPRDTALKLFRDINPDLFVFAEINGTYNSPFFLTRFREALFHCSSLFDMYETTLSEDDNCRTLVERELIIRDAMSVIACEGSERFARPETYKQWQVRILRAGFRPAKLNKQIVKDGKEIVKQRYHKDFVIDNDNNWMFQGWKGRVLYAVSCWKPAKKQ >scaffold_501563.1 pep chromosome:v.1.0:5:12726475:12726946:1 gene:scaffold_501563.1 transcript:scaffold_501563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKLWNHTKKDAIFQTTHFSSSSKPFFTRSFSTKTSSSPSSKSHFTRSFSTKPSSSSCSSEPIFRRSFSSKPKASKSLILSRSCSTKSSADFSSKSSLSRSLSQKGASVTGKCFKVAKEQKSRFYIIKRCVLMLVCWHKHS >scaffold_501565.1 pep chromosome:v.1.0:5:12731792:12733244:-1 gene:scaffold_501565.1 transcript:scaffold_501565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLLLRTLPLLRNGFLNHRHQVGIVAGGILSHRRRLWCSLADKPQFYENDSTAEGSGAGMNTTSPAVDNSWRFEDPDYRKWKNLEAEILRDIEPIALLAKEILHSDRYLDGERLEFEDEKIVMEKLLAYHPYSKDKIGCGLDFIMVDRHPQFRHSRCLFVVRTDGGWIDFSYQKCLRAYVRDKYPSHAERFIREHFKRASS >scaffold_501569.1 pep chromosome:v.1.0:5:12745414:12746722:1 gene:scaffold_501569.1 transcript:scaffold_501569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQELEGNVVMSGKVLVNGKKKRLNFGAALTREEISDIAEATITDMGLEECSDRTIGNWHLRGISGGEKKRLSIALEILRNIASSGKTVISLIHQPSGEVFALFEDLLLLPGGETVYFGQAESSTMDSSFSLHQLHETSNTLDPLDDIPTAEIRTTLVRKFKCSVYAAASRAKSQEITLIEGLVTERKMGSRTNWWKQLRILTQRSLINMSRDLGYY >scaffold_501573.1 pep chromosome:v.1.0:5:12765238:12765689:-1 gene:scaffold_501573.1 transcript:scaffold_501573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSASVQNFTRNISHGSHDHPLLVVTPVGATADGTQHLRYIKSVWKIGILLQGEVERGTVERAVKRLIVDEEGACMRERALGLREKLKASVRGGGSSYNALDELVKHLKTE >scaffold_501578.1 pep chromosome:v.1.0:5:12784282:12785768:-1 gene:scaffold_501578.1 transcript:scaffold_501578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKAEKRRIVLVPFPLQGHITPMMQLGQALNLKGFSITVALGESNGISSSQHFPGFQFITIPESLPVSVSEMEAFGPVEFLLKLNNTIEASFKECISQLLIQQGNDIACIIYDDLLYFCEAAAKEFKIPSIIFSTTSATHKVCCCVLSKLNAEKFLIDMEDTDLQNKVVENLHPVSFKDLPIRGFGPLERFLVLCREISNKRSACGAIINTASCLESSSLTLMQQEFGIPVYPLGPLHITASTRSSLLEEDRSCIEWLNIQKPRSVIYISMGSIFEMETKEVSEVANGLGDSNQPFLWVIRPGSKPLPEEVSKMVSEKGFVVKWAPQKEVLAHPAVGGFWSHCGWNSTMESIAEGVPMICRPFDGEQKLNALYIESVWRIGILLQDEVERGEVERAVKRLIVDDEGAGMRERALVLKEKLNASVRSGGSSYDSLNELVNYLKTEQKNAKTSGSNY >scaffold_501581.1 pep chromosome:v.1.0:5:12796169:12798517:-1 gene:scaffold_501581.1 transcript:scaffold_501581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAIAGFLVGKIGNYIIEEASMLMAVKDDLEVLKTELTCIQGYLKDVQARDREDEVSKEWTKLVLDIAYDIEDVLDTYHMKLEERSQRQGFKRWANKLGRKMDSSSIVDDIRTLKGRILDVTRKRKTYGIGNLNEPRGGENNLSSWVRELPHARFVDQEELIVGLEDDAKILLEKILDYKENKRYIISIFGMGGLGKTALARKLSNSCDVKNNFEYRAWTYVSQEYKTKDMLMGIISSLGVISGEELEKIRMFAAEDLEVYLHSLLEGKKYLVVVDDIWEIEAWESLKRALPENHRGSRVIITTRIKAVAEGMDERVYAHNLRFLTFEESWKLFEKKAFRNRQWVDEDLQKIGKEMIKKCGGLPLAIVVLGGFLSRKRPRDWNEACGNLWRRLKDNSNHFSTVFDLSFKELRHELKLCFLYLSIFPEDYEINVEKLIRLLVAEGFIEEDKEMMEDVARYYIEELIDRSLVKAERIERGKVITCKVHDLLRDVAIKKAKEREVVHHQINRYLSEKHRNKRMRSFLFFGESEDLVGRDFETIYLKLKLLRVLDLGGVRFPCEEGKKSLPEVIGDLIQLRYLGIADTFLSNLPSFISNLRFLQTLDASGNESIRQTIDLRNLTSLRHVIGKFVGELLLGDTVNLQTLRSISSYSWSKLNHEVFINLRDLEIFDSMWVDQRGISLDLASFSKRKNLRALTLKVSTFKLSSESEETVRFQTLVELTLRCDIRRLPKDMDVIFPSLESLTLVGS >scaffold_501582.1 pep chromosome:v.1.0:5:12799414:12802671:-1 gene:scaffold_501582.1 transcript:scaffold_501582.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon outer membrane complex 75-III [Source:UniProtKB/TrEMBL;Acc:D7LN45] MAAFSVNGQLIPTTTSSTSSTSLSSRRKFLSPSSSRLPRISSPSPRVPSIKCSSSLPNRDTETSSKDSLLKNLAKPLAVASVSSAASFFLFRISNLPSVLTGGGGGGGGGDGNFGGFGGGDGNDGGFWGKLFAPAPAVADEEQSPDWDSHGLPANIVVQLNKLSGFKKYKVSDIMFFDRRRQTTIGTEDSFFEMVSIRPGGVYTKAQLQKELETLATCGMFEKVDLEGKTKPDGTLGVTISFAESTWQSADRFRCINVGLMVQSKPIEMDSDMTDKEKLEYYRSLEKDYKRRIDRARPCLLPAPVYGEVMQMLRDQGKVSARLLQRIRDRVQKWYHDEGYACAQVVNFGNLNTKEVVCEVVEGDITQLVIQFQDKLGNVVEGNTQVPVVRRELPKQLRQGYVFNIEAGKQALRNINSLGLFSNIEVNPRPDEKNEGGIIVEIKLKELEHKSAEVSTEWSIVPGRGGAPTLASFQPGGSVTFEHRNLQGLNRSLMGSVTTSNFLNPQDDLSFKLEYVHPYLDGVYNPRNRTFKTSCFNSRKLSPVFTGGPGVEEVPPIWVDRAGVKANITENFTRQSKFTYGLVMEEITTRDESSHIAANGQRLLPSGGISADGPPTTLSGTGIDRMAFLQANITRDTTKFVNGAVVGQRTVFQVDQGLGIGSKFPFFNRHQLTMTRFIQLREVEEGAGKSPPPVLVLHGHYGGCVGDLPSYDAFVLGGPYSVRGYNMGELGAARNIAEVGAEIRIPVKNTHVYAFVEHGNDLGSSKDVKGNPTAVYRRTGQGSSYGAGVKLGLVRAEYAIDHNNGTGALFFRFGERY >scaffold_501589.1 pep chromosome:v.1.0:5:12822726:12823053:-1 gene:scaffold_501589.1 transcript:scaffold_501589.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN51] MPMAAIVILAFAAVFWVLVSYSSGGNNNVSKKIDTRPSGIGTGSIFVIILALMAMMVLNNNAEQIRHDINDQADGIWILVIIFFCLFMVYLKGGF >scaffold_501590.1 pep chromosome:v.1.0:5:12823466:12825482:-1 gene:scaffold_501590.1 transcript:scaffold_501590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSHPPQVIQPNYYTVNFLPRSPLKPPLCSVALNNLSISSGAGAKISNNQLIQSLCKEGKLKQALRVLSQESSPSQQTYELLILCCGHRSSLSDGLRVHRHILDNGSDQDPFLATKLIGMYSDLGSVDYARKVFDKTRKRTIYVWNALFRALTLAGHGEEVLGLYWKMNRIGVESDRFTYTYVLKACVASECTADHLTKGKEIHAHLTRRGYNSHVYIMTTLVDMYARFGCVDYASYVFNGMPVRNVVSWSAMIACYAKNGKAFEALRTFREMMTETKDSSPNSVTMVSVLQACASLAALEQGRLIHGYILRRGLDSILPVISALVTMYGRCGKLDVGQRVFDRMHDRDVVSWNSLISSYGVHGYGRKAIQIFEEMLANGASPTPVTFVSVLGACSHEGLVEEGKRLFESMWRDHGIKPQVEHYACMVDLLGRANRLDEAAKMVQDMRTEPGPKVWGSLLGSCRIHGNVELAERASRRLFALEPKNAGNYVLLADIYAEAQMWDEVKRVKKLLEHRGLQKLPGRCWMEVRRKMYSFVSMDEFNPLMEQIHAFLVKLAEDMKEKGYIPQTKGVLYELETEEKERIVLGHSEKLALAFGLINTSKGEPIRITKNLRLCEDCHLFTKFISKFMEKEILVRDVNRFHRFKNGVCSCGDYW >scaffold_501593.1 pep chromosome:v.1.0:5:12842225:12842595:1 gene:scaffold_501593.1 transcript:scaffold_501593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNKMLEKVLTDSDLCHGAKLYLPKKQIEKILSTIENFAMPPSGFQVELLDNNKSYSVTIKKDSRRYYMCSGWTEIREARNLKTGDKIRLYLQDTKFIFTFNYALSL >scaffold_501597.1 pep chromosome:v.1.0:5:12859596:12861245:1 gene:scaffold_501597.1 transcript:scaffold_501597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLPFEEDPIAIGAFHVMAKGILIVNSAGNSGPQPSTVSSTSPWIFTIAASNTNRAFVTKVVLGDGKTIVGRSVNFHLNGIKYPLVYGGSSSSRCDAASTGFCSPGCLDSKRVKGKIVLCDSPQNPKEAQVMGAIASIVRSRHADVANSYHHCVLLFSGSKHYYSRSFEGRTKILAVYSLDAPPSQSDTRRVNYSVLGGTSMSCVAAYLKTFHPRRSPSMIQSAIMSTARPMNTSTSPFDHMAEFAYGAGHVNPIADWSMNYTAKSLRLISGENRSCSEEQSQSLAINLNYPSMTVKVRETKPFNLNFRRTVTNVGMAKSTYKAQVLGSELTIRVVPDFLSLKSMYQMRSFTVTVSGQGPEADKLASAHLI >scaffold_501598.1 pep chromosome:v.1.0:5:12861601:12861941:1 gene:scaffold_501598.1 transcript:scaffold_501598.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN60] MPITNKNPVGESIFLLLIAATNLTGITCNPNLNSINPDTGRVTKLELMNK >scaffold_501603.1 pep chromosome:v.1.0:5:12870158:12872183:-1 gene:scaffold_501603.1 transcript:scaffold_501603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVGGSRSDAIRSPMALSISLINLRKAAKESLRESPREKVNLLSKSDTDYIPLDLQIETLLRLPVKSLLRFQCVSKLWSSIITSQDFRNRQLNFAASSAPSRLLITFYDFLGKVILLFSLPYPNVSSSSPPSRLVRYKDLSLFKLHGRKVYNAVRGLICIGSKRKVGICNPSTRRLHVLPQIKHKDPPINLDVDPRCNYFLGYDLVGDQYKILAVDNWRWSLEQKVVVLGGERVWRKAPCAKCPHVSRTPALYMDGTLYYGANRRGMNNISIIVSFDVRLETLKNAEKEEWSMTTFHLPQSAAGLDYDIIGTFNTFDICLLPKRFFDPFCLVYYNLKTNSTRNVMIEGLNQELYGPKLKSSSRYNVNVSAHFESFMHVVRNLNAFYGFSFSTYSRTDITIFFYIKLCFEKHLIQRFHGRSHLLGSNDRINTEERFQNGMYIVN >scaffold_501604.1 pep chromosome:v.1.0:5:12872534:12873674:1 gene:scaffold_501604.1 transcript:scaffold_501604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDIPLDLQINTLLRLPVKPLLRFRCASKLWSSIITSQYFQNRHFIIITSSSAAPRLLIAFQDFRSGNLLSRLSVGICNPSTRQLHIYPQIKFEKDPCTDPWIMYFFGYDPVEDQYKVLAIHHLRWRFEHKVLVVGGGWRDAPYPTCPHVVRTLGLYMNGTLYYGASRMEIKSPNNNSIIVSFDVRFETFNIINVPAGYEKMWNCSSEADKTLINYRGKIGVVEHPRDGSFRMWVVEDAEKENWSMTTFHLPQSAAGLDFEVMETVYNGEICLLPKELPDPFCPFYYNLKTNSMRSVAIKGLPISQTKPFRTIFAIFMSLET >scaffold_501607.1 pep chromosome:v.1.0:5:12878064:12879465:-1 gene:scaffold_501607.1 transcript:scaffold_501607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTQPKSLCLDDLNDRERLQYSLHILFSMLQVTGKMVSTNSTVATLVKEVGLLQKRFSSCQSLPVCKDITFVHQLAEAAISSQIKPREETCAICYEDFQCDKMFEDCESEIHREACVGILDPEQLSVIDQRKMESEINMRDRVYCPEPTCSALMAKDKLLKHTNEFFLGAEQVGARKCMVCGTFFCINCNFKWHYHITCDEFQKTQTYQISNHAKFESVAKRHGLKKCRVCTTWVERVYGCNHMTCRYKYEFCYTCGAEWINKEQTCKCRLMD >scaffold_501608.1 pep chromosome:v.1.0:5:12880041:12881088:-1 gene:scaffold_501608.1 transcript:scaffold_501608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHIGQDNSRITYLEFEYEKGGKLETRHHGVKQERSLKGRTSFSGYKGGKKFKLEQKGRKLVGFHGKDGSAIDALGAYFGHIPTPTPIIAAKKLPAIGGNGGVFVLEDGEYLTAIDGYYDKIFGVETPMIICLQFKTNKRESTPFGMDSGEKFSIGEIGHKIVGCHGQASDVVHSIGVTVVPITTTK >scaffold_501609.1 pep chromosome:v.1.0:5:12881900:12882456:1 gene:scaffold_501609.1 transcript:scaffold_501609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTALSLFLFYSILRFLISVNIYMPLGSGNAKRERLFRDVYIWGLQHRDEPTNLMVISKNSLLDKNYVAALVPLKEKENNILLTLPQDPSELPQCVASFVWVWTSPYQLEGALLIAKAVVAHKLLLLLILLLLATELHYVLPKRRRNVLTNIHPKSTNILRTSEDTTRS >scaffold_501615.1 pep chromosome:v.1.0:5:12917455:12918293:-1 gene:scaffold_501615.1 transcript:scaffold_501615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTQTMMSLCLDGFSDREILEYRLEWEGYTEIKMKSDRNCQFRALADQFYQTSDCHKRVRQEIVKQLKSHPKIYKGFVNNMDFSQYVKNMSTNSEWGDEVTLRVVADVYGVKIVLITSIKLTPFMEFLPKSQKEPDRVIHLSYLAGIHFNSIHKKGG >scaffold_501616.1 pep chromosome:v.1.0:5:12925609:12925934:-1 gene:scaffold_501616.1 transcript:scaffold_501616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMEAKRINIHINTQLGLTVPHGKHILVENQAVENGNYSNSNYYHPQPIGPATGNVQEIPNTASFTSSSTSGTANAAQDYSGYTPYQTSSDKL >scaffold_501617.1 pep chromosome:v.1.0:5:12926097:12931744:-1 gene:scaffold_501617.1 transcript:scaffold_501617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTQIGASLNRYGADGSQAHKYSYQYSTGSDSAPWTAHSVENQAVENGNYSNSNYYHPQPTGPATGNVQEIPNTASFTSSSTSGTTNVAQEYSGYTPYLTSSDPHNYSNTGYSNYYSGYQQQPSQSYPQPVGAYQNTGAPQPLSSFQNPGSYAGTASYSGTYYNPADYQTAGGYQSTNYNNQTAGSYPSTNYSNQTSASNQGNYTDYTSNPYQNYTPDANYQQWSAYYSQTEVPCAPGTEKLSTTTTSAYSQSFPVPGVTSEMPASNSHPAPSYVQPWRPETDSSHPPSQQPGAAVSTSNDAYWMHQAPSLQAHHPVPPQNHYQSPLETKPLYEPPFQGHQRATYPQEMKSQSSFHQAPLGYRQPTQTAPSVDSQRVSKVQIPTNPRIASNLPSGFTKMDKDSTAASAAQAPAYVSVSMPKPKDHTTAMPDPGTFPKSLRGFVERAFARCKDDKEKASCEVALRKIVKKAKEDNTLYTRDGDTEPLSTVTITNVTNSESSSTQLSSLQNKSPTRRPKSRWEPLVEGKPFVKPASTFSSAVKFGASNHQNENNKKSSESFQKVDAATGFKPTYSGQNSAKKSFQRPVKRQRFSGGAATAIDDEASSDSDKDLTPYYSSAMALANSAEEKKRRDSRSKRFEKVQGHNRGNDLTKPKNANVGNLHSRRATALRLSKFFDESGSRAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEDVLAKALIMVQDSQKNYLFKCDQLKSIRQDLTVQWIHNHLTAKVYETHARLALEAGDLSEYNQCLSQLKTLYAEDIEGCSLEFVAYSLLYILYTLTTTENCYHPCPEDKKDEAVRHALSVRAAVTSGNYVMFFRLYKTAPNMNSCLMDLYVEKMCYKAVNFMSRSCRPTIPVSYIVQVLGFTGAASDGTDEKETDGMEEC >scaffold_501619.1 pep chromosome:v.1.0:5:12940491:12940716:-1 gene:scaffold_501619.1 transcript:scaffold_501619.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LN81] MEVTNERITGMVRVKLGGWSKDEQGVWRFSGAEGQMGRFIRFREGDGVEADPYLWPNYLYN >scaffold_501626.1 pep chromosome:v.1.0:5:12986658:12987236:-1 gene:scaffold_501626.1 transcript:scaffold_501626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVEKKNNNNISDAVNEPSPKLQKLDQNGIHGDSSSSPFFKVKKLSEKAVLPTRGSSLSAGYDLSSAVDSKVPARGKALIPTDLSIAVPEGTYARIAPRSGLAWKHSIDVGAGVIDADYRGPVGVILFNHSDVDFEVKFGDRIAQLIIEKIVTPVVVEVEDLDDTVRGDGGFGSTGV >scaffold_501634.1 pep chromosome:v.1.0:5:13025287:13026509:1 gene:scaffold_501634.1 transcript:scaffold_501634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQKQVTHRINYRRENSKEIPIDLLIEIFSRLSMADIARCRCVSKLWSSVPRLRYFTELFLETSSARPRILFTFPHNGKRIFYSISQHLHSEPSPFPFVPYYHMSFPEGKGFSYDYEIHAPVRGFLCSKSSKPMIYNPSTGECKTLPRAMTKRTEMKTYFGYDPIDKLFKVLCVSKVLLASEDFACRVSTLGTGEVYWRMIECSIPHRPLRGEICIDGVLYYVAECTGNKIPQRYMVVCFDVRSEKFKFLAVELIIWCSTLINCKGKLGVLWSSPFGLTHELTKYFVLWILEDANELRWSKTTYTLPSYWKNLVANNSLYIVGMTSAGEIVLSTCYLKILNYPFYIVYYNVVKKTAGKIEIQFGNIAKRAKNSRIYTFIDHVENVERMD >scaffold_501641.1 pep chromosome:v.1.0:5:13052133:13052476:-1 gene:scaffold_501641.1 transcript:scaffold_501641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLLMSFAPATVRVYATGTKGASGGAKEEKNPIDFVLGFMTKQDQFYETNPILKKVEEKEGTTGSRGTVRGGKNSAPTPVPPKKSEGGFGGLGGLFKK >scaffold_501643.1 pep chromosome:v.1.0:5:13056660:13059847:-1 gene:scaffold_501643.1 transcript:scaffold_501643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTTRFYRLFLSLAFNALMLLESHGFTDESDRQALLEFKSQVSEGKRDALSSWNNSFPLCSWKGVRCGRKHKRVTRLDLGGLQLGGVISPSIGNLSFLISLNLYDNSFGGTIPQEMGNLFRLQHLNMSYNFLGGGIPASFSNFSRLLELDLISNHLGHCVPSEIGSLTKLVRLNLGTNNLQGKLPASLGNLTSLREMSFDENNIEGRIPDDIARLTQMALLELSMNKFSGVFPPSIFNLSSLEDLYIADNHFSGRLRHDFGILLPNLRELNMAVNYLTGSIPATISNISTLQKLGMNHNSLTGSIPTFGKVPNLQWLLLDTNSLGTYSHGDLEFLSSLSNCTKLVFLLISRNRLGGDLPIIANLSATLIYLGLSANFFSGRIPHDIGNLISLQMLGLGGNMLTGPLPTSLGKLSDLGLLSLYSNRMSGEIPSFIGNFSRLTELDLSYNNFDGVVPPSLGNCRILLHLWIEYNKLNGTIPREIMQISSLVNLSMAGNSLSGSLPKDVGRLQNLVTLNVAHNKLSGKLPLDLGTCFSLEELYLQGNYFDGTIPDISGLVAVQRVNLSNNNLFGSIPGYFANFSKLQRLSLSDNNFEGCVPTEGIFQNSTIVSVFGNRNLCGGIKELKLKPCFAVGIALLLFSVIASVSLWLRKRKKNHQTNNLTSSTLGAFHGKISYGDLRNATDGFSSSNLIGSGSFGTVFKALLPTENKIVAVKVLNMQRRGAMKSFMAECESLKDIRHRNLVKLLTACASIDFQGNEFRSLIYEFMPIGSLDRWLHPEEVEEIRRPSRTLTLLKRLNIVIDVASVLDYLHVYCHEPIAHCDIKPSNVLLDDNLTAHVSDFGLARLLLKFDQESFFNQLSSAGVRGTIGYAAPEYGMGGQPSIHGDVYSFGVLVLEMFTGKRPTNELFEGSFTLHSYTKSALPERVLDIADKSILHSGLRVGFPVVECLKVILDVGLRCCEESPTNRLATSEAAKELISIRERFFKTRRMARR >scaffold_501644.1 pep chromosome:v.1.0:5:13061796:13064992:-1 gene:scaffold_501644.1 transcript:scaffold_501644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTTRFYRLFLSLAFNALMLLESHGFTDESDRQALLEFKSQVSEGKRDALSSWNNSFPLCSWKGVRCGRKHKRVTRLDLGGLQLGGVISPSIGNLSFLISLNLYDNSFGGTIPQEMGNLFRLQHLNMSYNFLGGGIPASFSNFSRLLELDLISNHLGHCVPSEIGSLTKLVRLNLGTNNLQGKLPASLGNLTSLREMSFDENNIEGRIPDDIARLTQMALLELSMNKFSGVFPPSIFNLSSLEDLYIADNHFSGRLRHDFGILLPNLRELNMAVNYLTGSIPATISNISTLQKLGMNHNSLTGSIPTFGKVPNLQWLLLDTNSLGTYSHGDLEFLSSLSNCTKLVFLLISRNRLGGDLPIIANLSATLIYLGLSANFFSGRIPHDIGNLISLQMLGLGGNMLTGPLPTSLGKLSDLGLLSLYSNRMSGEIPSFIGNFSRLTELDLSYNNFDGVVPPSLGNCRILLHLWIEYNKLNGTIPREIMQISSLVNLSMAGNSLSGSLPKDVGRLQNLVTLNVAHNKLSGKLPLDLGTCFSLEELYLQGNYFDGTIPDISGLVAVQRVNLSNNNLFGSIPGYFANFSKLQRLSLSDNNFEGCVPTEGIFQNSTIVSVFGNRNLCGGIKELKLKPCFAVGIALLLFSVIASVSLWLRKRKKNHQTNNLTSSTLGAFHGKISYGDLRNATDGFSSSNLIGSGSFGTVFKALLPTENKIVAVKVLNMQRRGAMKSFMAECESLKDIRHRNLVKLLTACASIDFQGNEFRALIYEFMPNGSLDMWLHPEEIEEIHRPSRTLTLLERLNIAIDVASVLDYLHVHCHEPIAHCDLKPSNVLLDDDLTAHVSDFGLARLLLKFDQESFFNQLSSAGVRGTIGYAAPEYGMGGQPSIHGDVYSFGVLVLEMFTGKRPTNELFEGNFTLYSYTKSALPERVLDIADKSILHNGLRVGFPVVECLKVILDVGLRCCEESPMNRLATSEAAKELISIRERFFKTRRTARR >scaffold_501647.1 pep chromosome:v.1.0:5:13080578:13081866:-1 gene:scaffold_501647.1 transcript:scaffold_501647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTQVKNLQKINARESDLGISEEASWHAKYKNSAYVYVGCIPFDLTEGDLLAVFSQYGEIVDVNLIRDKGTGKSKGFAFLAYEDQRSTVLAVDNLNGALVLGRTIKVDHCREYKKHEEEDEETRRQNREARGVCRAFQRGECTRGDSCKFSHDEKRAANTGWGHEEDRSSRWDHDKFNGAKKGGTSFGHRGDFKSDAEEKSYRGKGDGDAWYGRPKERERVEREDMGPRSRDAYDMREQKRSGPYDDSRSRSHNAGNDYNYIREDKGSRTRDWEKLKAESRRDHNDREGKGSSRQSETSTRQYRDSSRREDRRR >scaffold_501649.1 pep chromosome:v.1.0:5:13086825:13087059:-1 gene:scaffold_501649.1 transcript:scaffold_501649.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNB0] MATDKYRCVGVDANQHPYLSVANWLRVSLRDSVATSDEISYGISVVNIATFLATELSLRVGDGC >scaffold_501653.1 pep chromosome:v.1.0:5:13106015:13106690:-1 gene:scaffold_501653.1 transcript:scaffold_501653.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LNB6] MDNQGEEPKQPPNKLPDLTLFEQANSEVALAASQANSQFAPSNHDSASSRLSMIESDEESEDEDEEEESNENYYEYFDSNGFGEDEDEINEFLEDQESNSNIEDDFLEEEDEVDPDQLSYEELIALGDFIGVEKRGMNPSEISTCLNASTYIFSHNKNEIDRCVVCQMEFEERESLVVLRPCDHPYHSECITKWLETKKICPICCSDPSVS >scaffold_501657.1 pep chromosome:v.1.0:5:13112686:13115626:-1 gene:scaffold_501657.1 transcript:scaffold_501657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCSDDSQAKRVRVLELSRRLRHRGPDWSGLYQNGDNYLAHQRLAVIDPASGDQPLFNEDKTIVVTVNGEIYNHEELRKRLKNHKFRTGSDCDVIAHLYEEYGVDFVDMLDGIFSFVLLDTRDNSFMVARDAIGVTSLYIGWGLDGSVWISSEMKGLNDDCEHFETFPPGHFYSSKLGGFKQWYNPPWFNESIPSTPYEPLAIRRAFENAVIKRLMTDVPFGVLLSGGLDSSLVASITARHLAGTKAAKQWGPQLHSFCVGLEGSPDLKAGKEVAEYLGTVHHEFHFSVQDGIDAIEDVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKQEFHQETCRKIKALHKYDCLRANKSTSAFGLEARVPFLDKDFINTAMSLDPECKMIKPEEGRIEKWVLRRAFDDEERPYLPKHILYRQKEQFSDGVGYSWIDGLKDHAAQNVNDKMMSNAAHIFPHNTPNTKEAYYYRMIFERFFPQNSARLTVPGGATVACSTAKAVEWDASWSNNMDPSGRAAIGVHLSAYDGKNVALPMPPLKAIDDMPMMMGQGVVIQT >scaffold_501660.1 pep chromosome:v.1.0:5:13133473:13135072:1 gene:scaffold_501660.1 transcript:scaffold_501660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLHNILNLLLPPLTISFLVFFYPFYLLIKLVSCLYKNIRFENVAGKVVLITGASSGIGEDINFWGSTYITYFAIPHLRKSKGKIVVITSATAIIPLPSASVYSASKAALLKFFETLRVEISPCIKITIAIPEFISTDMTTQFKEMYGSDFILSESVSKCAKAIFRGIGRGETYIVEPSWIKWIFLIQNVCPEIVDYVLNYIFVRYGKPYFKRD >scaffold_501662.1 pep chromosome:v.1.0:5:13139053:13139952:-1 gene:scaffold_501662.1 transcript:scaffold_501662.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S20 [Source:UniProtKB/TrEMBL;Acc:D7LNC4] MAYEPMKPTKAGLEEPLEQIHKIRITLSSKNVKNLEKVCTDLVRGAKDKRLRVKGPVRMPTKVLKITTRKAPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >scaffold_501664.1 pep chromosome:v.1.0:5:13148470:13150347:1 gene:scaffold_501664.1 transcript:scaffold_501664.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LNC7] MPNLPLVNLGLSGGISGFSAPEALPNVCENATPSSIKSFNQFLSNEANDALISAFLVLLRAKGETYEEINGEKKLTFNEFLKDPSGMEAVINAKALQSYHLIEDTDDTYSRSCVHRDIKSSNILIDDKFNSKISE >scaffold_501667.1 pep chromosome:v.1.0:5:13162560:13165559:1 gene:scaffold_501667.1 transcript:scaffold_501667.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7LND0] MLRGIFHICLLASFLLLPFSSAVHDSGFTGGTDAPPPWDHNVSPPPETAPSPTPTSSPSTASPPSPGPVAAPSPINNGSVSGDMTWWCNKTPHAETCNYYFRKSSQNNINIRPPRFRSEFLRMLVRIALDQAVITHSQTVKFGPSCTNNQRKAAWSDCVKLFQNTVTQLNRTLKGLNPAAKDDVKCTDFDAQTWLSTAQTNIETCRSGSEDLNVSDFVMPAISNKNLSDLIGNCLAVNGVLMKQHNHTTAANHKEYFPSWVSRHERKLLVSATLAKSMPHLVVAQDRSGHFRSIQAAINFAARRRFKSRFVIYVKKGVYRENIDVGNDNHNIMLVGDGERKTIITSGRSVQHGYTTYNSATAGFGGQRFVAKDMTFINTAGPLRGQAVAVRSSSDLAVFYRVGIHGFQDTLYIHSQRQFFRECYISGTIDFIFGNAAVVFQNCMILVRRPLHGQANIITAQGRGDPFQNTGITIHSSRIIAASDLKPVIRAYKTYLGRPWQAYSRVTIMKTYIDNSISPLGWSPWLRGSNFALNTVFYGEYKNFGPGSSTRWRVRWKGFHAITSTAVASRFTVGSLIAGGSWLPATGVPFKSGL >scaffold_501670.1 pep chromosome:v.1.0:5:13180268:13180916:-1 gene:scaffold_501670.1 transcript:scaffold_501670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPRNKRKERSSPERQKQPPELQTQPPSHNPDPNNPSSSSSSVAENFSSSSTKPFIFPPGFKFVPTDQELILQNNKDSWLLKVPVHHVKIYESNPQQLSEKYEKGNYKEWFFMSERIMISKGGKRQKHGVSAGYWNPYRASKKINAGNGVIGYKTALDYYILGNNQTT >scaffold_501672.1 pep chromosome:v.1.0:5:13190491:13192197:-1 gene:scaffold_501672.1 transcript:scaffold_501672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMQSEPEMEKKPVGIRFLERIIGSKLSYNAYQAIVLIVTFLAYASYHAARKTTSIVKSALDPQSPDTGLNSLLLRFTSFGSSVKEEGGWAPFNGPDGTVLLGEIDVAFLAVYAFGMYFAGHLGDRMNLRIFLTVGMIGTGVFTSLFGVGYWANIHSFYYFLIMQMLAGLFQSSGWPSVVAVVGNWFNKKKRGLIMGIWNAHTSVGNITGSLIAAAMLRYGWGWSFVVPGVIIVVIGLVNFAFLPVNPEIVGAERDQDLDSSSEKIGNSVNEALLLSSSDSETDNRKQAVGFIEAWRIPGVAPFALCLFFAKLVAYTFLYWLPFYVSHTAIEGEYLSDETAGNLSTMFDVGGVVGGIMAGYISDRIGARAITAASFMYCSIPALFFYRSYGHVSLLANASLMFLTGMLVNGPYALITTAVSADLGTHSSLKGNSRALATVTAIIDGTGSVGAAVGPLLTGYISSRGSWSAVFTMLMGAAFVAGLLLTRLVMAEVAEKIAESRPSEEYRSPVDCVQDHVMEV >scaffold_501674.1 pep chromosome:v.1.0:5:13206861:13207856:1 gene:scaffold_501674.1 transcript:scaffold_501674.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIP5_1 [Source:UniProtKB/TrEMBL;Acc:D7LND7] MRTMIPTSFSSRFQGVISMNALRCYVSEFISTFFFVLAAVGSVMSSRKLMAGDVSGPFGVLIPAIANAFALSSSVYISWNVSGGHVNPAVTFGMAVAGRISVPTAMFYWTSQMIASVMACLVLKVTVVEQHVPIYKIAGEMTGFGASVLEGVLAFVLVYTVFTANDPRRGLPLAVGPIFIGFVAGANVLAAGPFSGGSMNPACAFGSAMVYGSFKNQAVYWVGPLLGGATAALVYDNVVVPAEDDRGSSTGDAIGV >scaffold_501678.1 pep chromosome:v.1.0:5:13221870:13222331:1 gene:scaffold_501678.1 transcript:scaffold_501678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSLWSGGYLSFLNSFPLTSPDDRTSLTSLLADEQFKLALLVPARTSAMELYSTSLSLLTVTIVSSNASSVDDSSTNRVITCTNLLHSFGLQALMDPLSNYFCYLCVAFALSFVCCCYFVLSLSILVLLATLNLVSIG >scaffold_501679.1 pep chromosome:v.1.0:5:13223789:13224383:-1 gene:scaffold_501679.1 transcript:scaffold_501679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSLLSPISLLTIVIFLFILNLMMIIQDFSSSFPCRFHLFFSNAYILFASIRNNRQNTELPIIKKVFVPNRANIKTSVEEVKAMIDDSEALYERLIEEGEEYLLEKNEMMGKEIVKEAFRLFDENQDGFIDENELKHVLCLLGYDECTNMECRKMIKVFDENRDGKIDFYEFVKLIDKSFW >scaffold_501683.1 pep chromosome:v.1.0:5:13248703:13249960:1 gene:scaffold_501683.1 transcript:scaffold_501683.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast NAD-MDH [Source:UniProtKB/TrEMBL;Acc:D7LNE5] MAAATSAASLFSTVSSYSSKPSSLPHSRLQAVKFNSVPSFTGLRSTTSLISGSDASSLTKTLRGSVTKAQTSDKKPYGFKINASYKVAVLGAAGGIGQPLSLLIKMSPLVSTLHLYDIANVKGVAADLSHCNTPSQVRDFTGPSELADCLKDVNVVVIPAGVPRKPGMTRDDLFNINANIVKTLVEAVADNCPNAFIHIISNPVNSTVPIAAEVLKKKGVYDPKKLFGVTTLDVVRANTFVSQKKNLKLIDVDVPVIGGHAGITILPLLSKTKPSVNFTDEEIQELTVRIQNAGTEVVDAKAGAGSATLSMAYAAARFVESSLRALDGDGDVYECSFVESTLTDLPFFASRIKLGKNGLEAVIESDLQGLTEYEQKALEALKPELKASIEKGVAFANKPAAAAAN >scaffold_501690.1 pep chromosome:v.1.0:5:13291709:13293867:1 gene:scaffold_501690.1 transcript:scaffold_501690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFNLLALSTLMLLEAYRFTDETDRQALLEFKSQVSEGRRDVLSSWNNSFPLCRWKGVRCGRKHKRVTLMDLNGLQLGGVISPSIGNLSFLISLNLSDNSFGGTIPREVGNLFRLEHLDMSFNFLKEGIPISLYNCSRLAEFYLFSNHLGGGVPSEIGSLTKLVELDLGQNDLKGKLPASLGNLTSLMEVSFTTNNIEGEIPNDIGRLTQIVALQLSANKFSGVFPPSIYNLSTLLFLNIFGCGFSGSLRPDFGNLLPNLEHIYMGGNYFTGAIPITLPIISNLQVLGMEDNNLRGSIPPSFGQVPNLQSLNFRANQLGSRSFGDLDFLGALTNCSQLQVVDVGENWLGGDLPNSIANLSRNLMYLSLQTNFISGSIPHDIGNLISLQSLRLNQNLGNITRLVYLYFSNNSFDGTIPPSLGKCSQLLDLRVGYNKLNGTIPQEIMVIRSLTTLSMSNNYLSGSLAKDVGRLQNLVRLSVAANKLSGELPQTLGNCFSMEFMYLQGNSFDGAIPDIRKLVGVKEVDLSNNNLSGSIPVYIANFSSLQYLNLSINNFEGMVPTEGKFQNSTIVLLFGNKNLCGGIKELKLKPCIAVAPLMETKHLSLLKKVVIGVSVGIAFLLLLFIVSLRWFIKRKKNQKTNNSAASTLESFHEKISYGVLRNATDGFSSSNMVGSGSFGTVFKALLLGKRHTITQRTKVSPELM >scaffold_501691.1 pep chromosome:v.1.0:5:13299526:13300501:1 gene:scaffold_501691.1 transcript:scaffold_501691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRGAMKSFMAECESLKDIRHRNLVELLTACASIDFQGNEFRALIYEFMPNGSLDMWLHPEEVEEIRRPSRTLTLLERLNIAIDVASVLDYLHVHCHEPIAHCDLKPSNTLLDDDLTAHVSDFGLARLLLKFDQESFFNQLSSAGVRGTIGYAAPEYGMGGQPSIHGDVYSFGVLLLEMFTGKRPTNELFGDNFTLHSYTKSALPERVLDITDKSILHNGLRVGFRIAECLTLVLEVGLRCCEESPTNRLATSEVAKELISIRERFFKTRRTARR >scaffold_501693.1 pep chromosome:v.1.0:5:13303495:13306673:1 gene:scaffold_501693.1 transcript:scaffold_501693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFLLLSLNALMLLEAYGFTDESDRQALFEFKSQVSLGKRAVLSSWDNTVHVCNWTGVTCGRKHKRVTRLELGGLQLGGMISPSIGNLSFLIWLNLSGNSFVGTIPHEVGNLFRLEHLDMNFNYIKGDIPASLANCSRLLELQINSNHLGGCVPSELGSLTKLVTLDLYGNNLKGKLPDFLGNLTSLKEVGFGGNNIEGRIPDNIVRLTRMVYLDLSRNNFLGVFPPPIYNLSSLYVLNIFGNSFSGSLRADFGNLLPNLQRLFIGRNHFTGAIPTTLPNISNLQMLGMEYNNLTGGIPSSFGKLWKLKELSLHSNFLGSGSFGDLEFLGGLTNCTKLEILEVGRNRLGGSLSGDIPSFIGNLTWLESLYLYDNLFVGFIPPSLGNCSHLLELWIGDNKLNGTIPREIMQISPLLTLSIPRNFFTGSLPEDVGRLENLVTLSLENNKLSGQLPQTLGTCLSMGELYLQGNLFDGAIPDIRGLVDIKEIDFSNNNLFGVIPGYLANFSKLQYLNLSINNFEGRVPTEGKFQNASLVSVFGNKDLCGGIRELQLKPCSRQEPPMGRKHSSLSRKAVIWVSVSIALLLLVFIALVSLRWLRKRKRNLQTNNPTPSTMGVFHERLVMEIFKMQQMVSLQALLPVENKVVAVKVLNMERRGAKKSFMAECESLKDIRHRNLVKLLTACSSIDFQGNEFRALIYDFMPNGSLDMWLHPEEIEEIHRPSRTLTLHERLNIAVDVAFVLDYLHVHCHEPIAHCDLKPSNVLLDDDLTAHVSDFGLARLLLKFDRESFLNQLSSAGVRGTIGYAAPEYGMGGQPSIHGDVYSFGVFLLEMFTGKRPTNELFGGNFTLHSYIKSALPERVLDAADESILHIGLRVGFPIVVCLKLVFEVGLRCSEESPTNRLAMSEVAKELISIRERFFKARRTTRR >scaffold_501695.1 pep chromosome:v.1.0:5:13312990:13313255:1 gene:scaffold_501695.1 transcript:scaffold_501695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQPSIQGDVYSFGVLILEMFTGKRPTNELFGGNVTLHSYTKSALPERVLDIADESILHMGLRVGFVSADEVR >scaffold_501696.1 pep chromosome:v.1.0:5:13319135:13320578:-1 gene:scaffold_501696.1 transcript:scaffold_501696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKIGVKKGPWTPEEDIILVSYIQEHGPGNWRSVPTHTGLRRCSKSCRLRWTNYLRPGIKRGNFTEHEEKMILHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKMNDSCDSTINNGLDDKDFSSSNKNTTSHQSNNSSKGQWERRLQTDINMAKQALCDALSLDKPQNPSNFSITDLGYGPSSSSSSTTTTTTTRNINPYPSGVYASSAENIARLLQNFMKDTPKTSVPSPVAATGMAITTAASSPSTTEGDGEGIDHSLFSFNSMDEAEEKPKLIDNDINGLITQGSLSLFEKWLFDEQSHDMIINNMSLEGQEVLF >scaffold_501703.1 pep chromosome:v.1.0:5:13398840:13399594:-1 gene:scaffold_501703.1 transcript:scaffold_501703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESINTLKGYDKVSDQENQIHHHHTPLPKPSSRKTLIATVSVVSLLLILAVVALTAGVFTHPPPHHPPISSASLKSVCAVTRYPETCFSSLSSSLNESDSNLNPESILELSLRVAVKNLSNLSISFRSINDMPEDAAVGDCVKLYTDALSQLNDSITEIEKEKKKGANWLTKEVVGDVKTWISAAMTDGETCSDGIEEMGTIVGNEIKKEMEMANQMMSISLAIVSQMKKLLLILH >scaffold_501715.1 pep chromosome:v.1.0:5:13468088:13470186:1 gene:scaffold_501715.1 transcript:scaffold_501715.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase [Source:UniProtKB/TrEMBL;Acc:D7LRF5] MVKKQSSLHVLGVSVLMVLLCCVNYSLCEKIKTYKLTRGSLSVTFTNYGAVMTSLLLPDRHGKQDDVVLGFDTVDGYKNDTTYFGAIVGRVANRIGGAKFKLNGQLYKTDPNEGHNTLHGGTKGFSDVIWSVQNYVPTSHITFTYNSFDGEEGFPGNVTVKVTYMLIGENKLGVKMEAKPLNKPTPINLALHTYWNLHSHNSGDILSHKIQLLAGKITPVDDKLIPTGEITSITGTPYDFLEPREIGSRIHELPGGYDINYVIDGPIGKHLRKTAVVTEEVTGRKMELWTNQPGVQFYTSNMMTRVVGKGKAVYEKYGGLCLETQGFPDSVNHKNFPSQIVNPGESYLHVMLFRFTAH >scaffold_501716.1 pep chromosome:v.1.0:5:13470375:13472191:-1 gene:scaffold_501716.1 transcript:scaffold_501716.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 29 [Source:UniProtKB/TrEMBL;Acc:D7LRF6] MVLVLALGDLHVPHRAADLPPKFKSMLVPGKIQHIICTGNLCIKEIHDYLKTICPDLHIVRGEFDEDARYPENKTLTIGQFKLGLCHGHQVIPWGDLDSLAMLQRQLGVDILVTGHTHQFTAYKHEGGVVINPGSATGAYSSINQDVNPSFVLMDIDGFRAVVYVYELIDGEVKVDKIEFKKPPTTNSAP >scaffold_501719.1 pep chromosome:v.1.0:5:13483589:13484700:1 gene:scaffold_501719.1 transcript:scaffold_501719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLNTSISSHLRSCSQKTDGALAQSRRGFHVELGAREKALLAEDASLRRFKSHKKGVHRLKRIGDVLTVVVVAGCCYEIYARVMMRKNAQAAGSS >scaffold_501722.1 pep chromosome:v.1.0:5:13489050:13491192:1 gene:scaffold_501722.1 transcript:scaffold_501722.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LRG2] MILSVRNGGTIQRFCTASISLLEKPVEKTIQVVTFDTNSHLRSLINAGNLRVARQVFDKMPHRDIVSWTAIIKGYVTANNSDEAMILFSAMRVVDPAVSPDTSVVSVVLKACGQSSNIAYGESLHAYAVKTSLLSSVFVGSSLLDMYKRVGKIEKSCRVFSEMPFRNAVTWTAIITGLVHAGRYKEGLTYFSEMSSSEELSDTFTFAIALKACAGLRQVKYGKQIHTHVIVRGFDATVWVANSLATMYTECGEMRDGLCLFENMSERDVVSWTSLIVAYNRIGHEEKAVETFIKMRNSQVPPNEQTFATMFSACASLSRLVWGEQLHCNVFSLGLNDSLSVSNSMMKMYSTCGKLDSASVLFQGMRCRDIISWSTIIGGYSQAGFGEEAFKYFSWMRQSGPKPTDFALASLLSVSGNMAVLEGGRQVHALAFCFGLEQNSTVRSTLINMYSKCGNIKEASKIFEETDRDDIVSLTAMINGYAEHGKSKEAIDLFEKSLKVGFSPDSVTFISVLTACTHSGQLDLGFHYFNLMQEKYNMRPAKEHYGCMVDLLCRAGRLSEAEKMIDEMSWKKDDVVWTTLLIACKAKGDIERGRRAAERILELDPTCATALVTLANIYSSTGNLEEAANVRKNMKAKGVIKEPGWSSIKIKDCVSAFVSGDRFHPLSEDIYNILELVVSGAEAHRFDCTIKRVFGVYSYS >scaffold_501742.1 pep chromosome:v.1.0:5:13578394:13580973:-1 gene:scaffold_501742.1 transcript:scaffold_501742.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxia-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7LRI1] MSAVEPDMEDLFQEKKRVRNPLVPLGALMTAGVLTAGLISFRRGNSQLGQVLMRARVVVQGATVALMVGTAYYYGDNPLLLSEIHETEALSPKSSSAATITLMNQKDPSSSSIVSVLCLVISVLALIIVFLGVLYLIFKFLRKSSTLFPIPHFNPNPDLSSSSSPQLQHLFFLHDSGLDQTSIDALPVFLYGNVTMSLKESFDCAVCLNEFSDTDKLRLLPVCSHAFHLHCIDTWLLSNSTCPLCRRSLSTSNVCYNHAEALVVPLSGHQQVDEGKSSLAKRVFSVRLGRFKSTNESQSQRHDVKDEIGVGMPRRCYSMGTQQYLECDQDFVVALSSSPREGNTGNNCSIHEGDSVKQDSGGLGRH >scaffold_501743.1 pep chromosome:v.1.0:5:13581482:13581923:-1 gene:scaffold_501743.1 transcript:scaffold_501743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQALFGYLLISKVRELVWVPSKHRNSAAPSWIRSDDGKLWKGGFIRIEGGIADPRGRCGIAMLAWYPNKFPNR >scaffold_501744.1 pep chromosome:v.1.0:5:13582667:13582960:1 gene:scaffold_501744.1 transcript:scaffold_501744.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:D7LRI3] MDTVIDEKIRVKEMMMKELAGGIDGGWSPIKDIKDPCIDVIAKFAVSEFNKQSNSSLKFQTVVSGNMQFVCGINYQLATAGCQ >scaffold_501750.1 pep chromosome:v.1.0:5:13607584:13609229:-1 gene:scaffold_501750.1 transcript:scaffold_501750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLRPEMLDISNDTSSLSSPELLHVLAVDDSMVDRKFIERLLRVSSCKVTVVDSATRALQYLGLDGENSSVGFEDLKINLIMTDYSMPGMTGYELLKKIKESSAFREIPVVIMSSENILPRIDRCLEEGAEDFLLKPVKLADVKRLRDSLMKAEERAFKNIMHKRELEANDIYSQLKRAKI >scaffold_501754.1 pep chromosome:v.1.0:5:13624230:13624435:-1 gene:scaffold_501754.1 transcript:scaffold_501754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVRETPTMELVKLTPDMKSFEAYKTIRVEHTMKRHAGARAKRAAEAEKEEKN >scaffold_501758.1 pep chromosome:v.1.0:5:13635977:13639639:-1 gene:scaffold_501758.1 transcript:scaffold_501758.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALDH10A9 [Source:UniProtKB/TrEMBL;Acc:D7LRJ5] MAITVPRRQLFIGGQWTEPVRRKTLPVVNPATEDIIGYIPAATSEDVELSVEAARKAFSRNNGKDWARATGAVRAKYLRAIAAKVIERKSELANLEAIDCGKPLDEAAWDMDDVAGCFEYYADLAEGLDAKQKAPLSLPMDTFKGYILKEPIGVVGLITPWNYPLLMAVWKVAPSLAAGCTAILKPSELASLTCLELADICREVGLPPGVLNILTGLGTEAGAPLASHPHVDKIVFTGSTTTGSSIMTSAAKLVKPVSLELGGKSPIIVFDDVDIDKAVEWTMFGCFWTNGQICSATSRLLVHERIADEFLDKLVKWTKNIKISDPFEEGCRLGPVVSKGQYDRVLKFVSNARNDGATVLCGGTRPEHLKKGYFVEPAIISNVTTSMEIWREEVFGPALCVKTFSTEDEAIELANDSQYGLAGAVLSNDLDRCDRVSKAFQAGIVWVNCSQPCFCQAPWGGTKRSGFGRELGEWGLENYLSVKQVTQYISDEPWGWYKPPSKL >scaffold_501759.1 pep chromosome:v.1.0:5:13640561:13640835:-1 gene:scaffold_501759.1 transcript:scaffold_501759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSWADQWDKNQASGKPNDGGDGGRGTSAKYKEKVGVGLGKTKAAASSGLKKVKIGTSLGLNWVKDKYNKTTTKN >scaffold_501766.1 pep chromosome:v.1.0:5:13700219:13700531:1 gene:scaffold_501766.1 transcript:scaffold_501766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMKVMLMVVMMMVLVGTIIGEESHADCLERCQHLCADKPLDKNCVRQCVFFNCGPPSLPTNVHHSKYKYSYYTFFSYTFGYRCIFNRM >scaffold_501768.1 pep chromosome:v.1.0:5:13714155:13714794:-1 gene:scaffold_501768.1 transcript:scaffold_501768.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LRK5] MVFVLQSFIAFLFFCESFFPTITRQGFRSSVGVFPLRIYPSGFFSFAKATVFHHHRRMVKFVINFSKTRCKLQSQIWDPGTLSIGLEDGVINFQTYCITNNRLLFFSTVMESTPRLAPIGSYDWLTFLSNLRKGEQFWICYPEKYNCDFIVCGKESWMLSFDNSRIAMSPFICMDRHFFWMESKSIKTDWIELWMHQVD >scaffold_501771.1 pep chromosome:v.1.0:5:13722519:13723184:1 gene:scaffold_501771.1 transcript:scaffold_501771.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LRK8] MVAVAENQKTKNSLKFLCSYGGRILPRSIDGKLRYVGGFTRVLSVHHSISFTELMMKLEEFCGYSVELKCQLPNGDLETLISVKSDEDLANMVEEYDRVYGGKIRAILSPPKQMSPRSSGGGGDLSPKSPFSVVASPSPPRYCLAPAENLLSRFRMRTGEYSRCCNCRVHNRDSKLIWQ >scaffold_501772.1 pep chromosome:v.1.0:5:13725869:13727768:-1 gene:scaffold_501772.1 transcript:scaffold_501772.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LRK9] MYRSKAILSSLRNAYSQISTRSYLSRAQVGFSSNSISPLDSFAIPSRFLWKFRTFSSKPDSTLQLVLENDWSKEVEEGLRKPDLSLTHETAIYVLRKLEKYPEKAYYFLDWVLRDSGLSPSTPLYSIMLRILVQQRSMKRFWMTLSEMKQGGFYLDEDTYKTIYGELNKEKSKADAVAVAHFYERMLKENAMSVVAGDVSAVVTKVDWSCEVERELQEMNLVLSDNFVIRVLKELREHPLKALAFFHWVGGSSSGYQHSTVTYNAALRVLARPNSVAEFWSVVDEMKTAGHEMDLDTYIKVSRQFQKSRMITETVKLYEYMMDGPFKPSIQDCSLLLRYLSARPNPDLDLVFRVSRKYESTGKSLSKAVYDGIHRSLTSVGRFDEAEEITKAMRNAGYEPDNITYSQLVFGLCKAKRLEEARGVLDQMEAQGCFPDIKTWTILIQGHCKNNELDRALACFANMLEKGFDIDSNLLDVLIDGFLIQNRIEGASIFLMEMVKNANVKPWQSTYKRLIDKLLEIKKGKEALDLLQLMKKQNYPAYAEAFDGYLAKFGTLEDAKKFLDVLSSKDSPSFAAYFHVIEAFYREGRLTDAKNLLFICPHHFKTHPKISELFGAAA >scaffold_501777.1 pep chromosome:v.1.0:5:13743227:13744832:1 gene:scaffold_501777.1 transcript:scaffold_501777.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71A22 [Source:UniProtKB/TrEMBL;Acc:D7LRL4] MEMMFMILLMCSIIFITTLFFINQNSRKKSNTPPSPPRLPLIGNLHQLGRHPHRSLCSLSHRYGPLMLLHLGRVPVLVVSSADVARDVLKTHDRVFASRPWSKNTEKLLYDGRDVALAPYGEYWRQMKSVCVLSLLSNKMVRSFRDVRQQEISLMMEKIGQSSSLQVNLSEILASLTNDVICRIALGRKYGGETDFKELMKRLTRLLGAVSVGNHVPWLSWIDWLCGLDGQLEKTRNDLDEFLERVVQDHVDVNGDSTDFVDVLLAIQREKSVGFEIDRVSIKAIILDIFVGGTDTSYTLMEWVMTELLHHPECLKRLQEEVRTICKGKSSVSEEDIKDMNYLKAVIKETLRLHPPLPLMVPHESTQDVKLRDYHIPAGTVVMINAWAIGREAATWGPDPEEFRPERHFNSSVDFRGQDFELIPFGAGRRICPAISFAVVLNEEVLANLVHRFDWRLPDESTEDQTGVVAESTGVAIHRMFPLYAIASSTT >scaffold_501780.1 pep chromosome:v.1.0:5:13749596:13750671:-1 gene:scaffold_501780.1 transcript:scaffold_501780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-L-isoaspartate O-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LRL7] MKQFWSPRSINKNKAMVEKLQNHGIVTSDEVAKAMEAVDRGVFVPDRSSAYVDCPMSIGYNVTISAPHMHAMCLQLLEKHLKPGMRVLDVGSGTGYLTACFAVMVGSQGRAIGVEHIPELVASSVKNIEASAASPLLKEGSLAVHVGDGRQGWAEFAPYDAIHVGAAAPEIPEALIDQLKPGGRLVIPVGNIFQDLQVVDKNSDGSVSIKNETSVRYVPLTSREAQLRGD >scaffold_501781.1 pep chromosome:v.1.0:5:13751173:13751606:1 gene:scaffold_501781.1 transcript:scaffold_501781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLMHRFLRNQSCLRAIPRLAPSLITHQKPCVSNKESVSVDFDSVPITNPVINVADKSHRFYPSFSIGYGFNPTLIHDDSGLIETVSFADAVVEEKETVIYADSVKKKRKKKMNKHKYRKLRKSLGRKS >scaffold_501791.1 pep chromosome:v.1.0:5:13808185:13813165:1 gene:scaffold_501791.1 transcript:scaffold_501791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEQSQDVFPWLKSLPVAPEFRPTLAEFQDPIAYIFKIEEEASRYGICKILPPLPPPSKKTSISNLNRSLAARAAARVRDGGESSFDYDGGPTFATRQQQIGFCPRKQRPVQRPVWQSGEHYSFGEFEAKAKTFEKNYLKKCGKKSQLSALEIETLYWRATVDKPFSVEYANDMPGSAFIPLSLAAARRREYGGDGGTVGETAWNMRAMSRAEGSLLKFMKEEIPGVTSPMVYIAMMFSWFAWHVEDHDLHSLNYLHMGAGKTWYGVPKDAAVAFEEVVRVHGYGGELNPLVTISTLGEKTTVMSPEVFVKAGIPCCRLVQNPGEFVVTFPRAYHSGFSHGFNCGEASNIATPEWLRVAKDAAIRRAAINYPPMVSHLQLLYDYALALGSRVPTSINTKPRSSRLKDKKRSEGERLTKKLFVQNIIHNNELLSSLGKGSPVALLPQSSSDISVCSDLRIGSNLITNQENPIQLKSEDLSSHSVMVGLNNGLKNTVSVKEKFTSLCERNRNHLASSEKETQETLSDAERRKNDRAVALSDQRLFSCVTCGVLSFDCVAIVQPKEAAARYLMSADCSFFNDWTVVSGSANLGQAARSLHLQSTEKHDMDFFYNVPSQTTDHSMNTGDQKTSTVSLTTAHKKDGALSLLASAYGDSSDSEEEGHKVLDAFVSEDRKYDQSGSCAYEASSFDTDGKEEARDGQSSDSNRQRLVCRKETGVVQGSNATSTCSTLSCTTEHSRLRKGSNTSLPFVPRSDNDSSRLHVFCLEHAAEVEQKLLPIGGIHLMLLSHPEYPRIEAEAKIVSEELVINHDWNDTEFRNVTREDEETIQAALDNVEAKAGNSDWTVKLGINLSYSAILSRSPLYSKQMPYNSVIYNAFGRSSPAKSSPLKPEIFGRRSSRQRKYVVGKWCGKVWMSHQVHPFLLEQDLEGEESERSCHLRAAMDEDAAGKRFFPNNVSRDATTMFGRKYCRKRKVRAKTVPRKKLTSFKREDGVSDDTSEDHSYKQQWRASGNEEESYFETGNTVSGDSSNQMSDQQLKGIRRHRGVKEFESNDEVSDRSLGEEYAVRERAISESSTENSFQLYGHSIDDNALEGSLYGHDDNKLYKHPKGLPRSKQTNVFRNPVSYDSEENDVYQQRGNIQASRIGGEYESEEDSLEEEDFCSTRKRQTRSTAKRKVKTEIVQSPRETNKEFDSYMEGPSTRLRVKIPKPSRVSSETKAKKIGKKGSRNASFSRVATEEDVEEEEEEEEKENEEEECAAYQCDMEGCTMSFSSEKQLTLHKRNICPVKGCGKNFFSHKYLVQHQRVHSDDRPLKCPWKGCNMTFKWAWSRTEHIRVHTGARPYVCAEPGCGQTFRFVSDFSRHKRKTGHSVKKTKKR >scaffold_501792.1 pep chromosome:v.1.0:5:13813553:13815842:1 gene:scaffold_501792.1 transcript:scaffold_501792.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7LRW7] MVNSEETADGFEPKPVSQSYSGDSSADRSLSDLNNAAEDLSDKLKNVGLDEVTKEKSETIVSVSESNGGLDSNVVVTSNQEEEEEEDGDDYGDGWSENESEMRETVYPVRPGAEDCSFYMRTGSCKFGSSCKFNHPLARKIQIARDNKVREKEEDGGKLGLIDCKYYFRTGGCKYGETCRFNHTLPKSCLASAPELNFLGLPIRPGEVECPYYMRNGSCKFGAECKFNHPDPTTIGGTDSLSFHGNNGVSIGTFSPKSAFQASSTSWTSPRHVNGTSPFIPVMLSQTHGVPSQTPEWNGYQASVYSSERGLFSPSTTYLMNNLSAETSMLSQYRHQMPAEEFPERPDQPDCSYYMKTGDCKFKFNCKYHHPKNRLPKLPPYALNDKGLPLRPDQNICTYYSRYGICKFGPACRFDHSVQPPYSTESSQAIVEPPQVSANGNESDGWN >scaffold_501798.1 pep chromosome:v.1.0:5:13833661:13834030:-1 gene:scaffold_501798.1 transcript:scaffold_501798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEWRWQLVSEISPTFIETGFEYLLLAINPFDANIVYLWSWKHQSLLFFNLLNGNFVIHNQIEYNDQTYIIKYVNCPVVMKIIQKIRCCLFCLPQWLYRIPDITGV >scaffold_501800.1 pep chromosome:v.1.0:5:13837789:13841152:1 gene:scaffold_501800.1 transcript:scaffold_501800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE312/PTAC10 [Source:UniProtKB/TrEMBL;Acc:D7LRX6] MQICQTKLNFTFPNPTNPNFCKPKSLSAGFQWLQPRRSTLPPCRGFSSDEFPVDETFLEKFGPKDKDTEDEARRRNWIERGWAPWEEILTPEADFARKSLNEGEEVPLQSPEAIEAFKMLRPSYRKKKIKEMGITEDEWYAKQFEIRGDKPPPLETSWAGPMVLRQIPPRDWPPRGWEVDRKELEFIREAHKLMAERVWLEDLDKDLRTGEDAAVDKMCLERFKVFLKQYNEWVEANKDRLEEESYKYDQDFYPGRRKRGKDYEDGMYELPFYYPGMICEGTVTTLHLYQGAFVDIGGVHEGWVPIKGNDWFWIRHFIKVGMHVIVEITAKRDPYRFRFPLELRFVHPNIDHMIFNKFDFPPIFHRDGDTNPDEIRRDCGRPPEPRKDPGSKPEEEGLLSDHPYVDKLWQIHVAEQMILGDYEANPAKYEGKKLSELSDDEGFDEQKDIEYGEAYYKKTKLPKVILKTSVKELDLDAALTERQHHNKLMMEAKSRGEGYKIDKLRRNIEMDEYDFLHWRRSLEEREALLRDISSRQALGLPLEEPGRYKPGSFFGKDQYDPTSALYQYDYWGEPKNSEISKQERMKDAHNKSIVGKGNVWYDMSYDDAIKQKIEKRKEGSTLASQEEEIESEEEEDDDDDDDDFDDFDYSILSDESSIGYSEQQPLVNGTQVLTD >scaffold_501802.1 pep chromosome:v.1.0:5:13846493:13848191:1 gene:scaffold_501802.1 transcript:scaffold_501802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTIDCLRRLRSNATSAKPKEDSFADMIDRALEKEFPDNDQNEETVARVKPKKNETKTKEEKSFFNLDNENGVEDTPRLIDRKLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSAAKVLHVSTLLYLSGFFVRTD >scaffold_501804.1 pep chromosome:v.1.0:5:13869881:13872204:1 gene:scaffold_501804.1 transcript:scaffold_501804.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LRY0] MATVPEIKIMRSESLGHRSDVSSPEAKLGMRVEDLWDEQKPQLSPNEKLNACFESIPVSAFPLSSDSQDIEIRSDTSLAEAVQTLSKFKVLSAPVVDVDAPEDASWIDRYIGIVEFPGIVVWLLHQLEPPSPRSPAVAASNGFSHDFTTDVLDNGDSAVTSGNFFEVLTSSELYKNTKVRDISGTFRWAPFLALQKENSFLTMLLLLSKYKMKSIPVVDLGVAKIENIITQSGVIHMLAECAGLLWFEDWGIKTLSEVGLPIMSKDHIIKIYEDEPVLQAFKLMRRKRIGGIPVIEKKSEKPVGNISLRDVQFLLTAPEIYHDYRSITTKNFLVSVREHLEKSGDTSAPIMSGVIACTKNHTLKELILMLDAEKIHRIYVVDDSGNLEGLITLRDIIARLVHEPSGYFGDFFDGVIPLPENYRV >scaffold_501808.1 pep chromosome:v.1.0:5:13888496:13888844:-1 gene:scaffold_501808.1 transcript:scaffold_501808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDSAIDPLRDFAKSSVRLVQRCHKPDRKEFTKVAVRTAIGFVVMGFVGFFVKLVFIPINNIIVGSA >scaffold_501816.1 pep chromosome:v.1.0:5:13907124:13907525:1 gene:scaffold_501816.1 transcript:scaffold_501816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKSGAILFVILAMAIVLQVRPGLAQILPHIPELFPPGSPIDIVKCSSSLFDVQGCVQEIYNSIFSGQFANIEAACCKIFLAIDTNCWPRMFPLNPFFPPLLKNNCERIATTPSSTHK >scaffold_501821.1 pep chromosome:v.1.0:5:13918880:13920489:-1 gene:scaffold_501821.1 transcript:scaffold_501821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRKRKRSKTAFYVFGNQSKRALKWIQRRKVNDDVDINNGDHATKNTNIIDDLPETLLLVEIFSKLSNPRDLIVCKSVSKRWNSLLSSSSFHYTRSLALFLNNTQPQLATNDICLESWKGFELCNYIDLDFDHPLCVLASYKDVLLCMKSPPVTRLRRSQSQFYLVNPVTMQWTRLPKFANGIIDVIPTFPLGLTGNGSKGTYYVVMLNLSKPYLLNVCVFDSKLGKWIYDFIEHPRWSPSGWCPTQYQALTFNGALHWLAEDGPIVAYNPNHMRKCIFIHRSQEMHHAFYGGGAVVSETLTVSMGHLRIIQFVCFKYPDDHHHLCIWTLEDYKQSIWKQEHEPVYFRDMVSDLPWIQDYMRGYNLNTTTNKDNVPIIMQYVDLDDYLDVEYQPPETKERIVCTRPLVCHPNNPLLVYLYLPETIVSLDVTTKELRLITRDNGSGMSSTSSYWNHYDKVIPMTLHLDPTLIPCHEHVLLPRT >scaffold_501822.1 pep chromosome:v.1.0:5:13921784:13922962:-1 gene:scaffold_501822.1 transcript:scaffold_501822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMLLQIAKDSSYSSRICSTAAEIRDMWTASVTTTWDKLLNHVEVLVSAVTRINELDSLYGVIHRNKGS >scaffold_501823.1 pep chromosome:v.1.0:5:13925739:13926366:-1 gene:scaffold_501823.1 transcript:scaffold_501823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKTMEVDKIYQVRFGGGVFTVTVAKTCAAVEDWIRNVESVHSERILRNELVAGISIQWKKNRVCLLQVCVGGSVLIVVLRFFYRLPTPLHRFLNLSTGLKLYGLGVGTYQRRLAGGRLKIKTNFINDLLGDGDSSIANLLKAAHVSNHGECLLYLQDHSISVSDWNQSYLEVRQILHASLKAIVPFYLARFA >scaffold_501825.1 pep chromosome:v.1.0:5:13930359:13930581:1 gene:scaffold_501825.1 transcript:scaffold_501825.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LS00] MAPLLRLRKLSSDVGRLVMNQSTMMRTLLQTQISRRFAKATIFHCTVIPTRSLFRMSFSA >scaffold_501826.1 pep chromosome:v.1.0:5:13932806:13935465:-1 gene:scaffold_501826.1 transcript:scaffold_501826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDILSFHFYRFDFFNDVGEVVSVRLIVNPEGKHVGYGFVEFASPCLANMALEKKNGEYLHDHKIFLGVAKTAPHPPRLKEALVMDLTSCLLSLLWRAHWVKFLIYMERSQGFLIFQVEEDETVEGLNENPSFVEAVALREKTLFVAHLSRQTKISHIINFFKDVGQVVHVRLIIDHKGKHAGYGFVEFTSADEAKKALEKKNGEYLHDREIYLDSVKTAPYRPRPKYEDYLRRESRLIDEDEAVEGLDDETPEFVEEVAVRKKTLFIANLSFKTKISDIVNFFKDVGEVFRVRLIVNHMGEHVGCGFVEFSSANEAKKALQKKNGEKLRFRYIFLDEAEIAPYPLRPKYEEYLQRDSLLIEEDGLETNPNLKKQTGNFAVRRLLFLTTIDRRR >scaffold_501827.1 pep chromosome:v.1.0:5:13936148:13936800:-1 gene:scaffold_501827.1 transcript:scaffold_501827.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LS02] MATLMSQETTKRFPEIKGKVELLETKSDQAPLKAVPSRQNTLYVTCLSPHTKISDIIDFFEDVGQVVSVKLAIKREGKRLSSGFVEFASANEAKKLRLVYSLIMKCILLVI >scaffold_501829.1 pep chromosome:v.1.0:5:13941303:13943174:1 gene:scaffold_501829.1 transcript:scaffold_501829.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate-1-semialdehyde 2,1-aminomutase 2 [Source:UniProtKB/TrEMBL;Acc:D7LS04] MAATLTGSGIALGFSCSAKFSKSASSSSSSSSNRRCIKMSVSVEEKTKKFTLQKSEEAFNAAKNLMPGGVNSPVRAFKSVGGQPVVMDSAKGSRIRDIDGNEYIDYVGSWGPAIIGHADDEVLAALAETMKKGTSFGAPCLLENVLAEMVISAVPSIEMVRFVNSGTEACMGVLRLARAFTGKQKFIKFEGCYHGHANSFLVKAGSGVATLGLPDSPGVPKSATSDTLTAPYNDIAAVEQLFEANKGEIAAIILEPVVGNSGFITPKPEFIEGIRRITKDNGALLIFDEVMTGFRLAYGGAQEYFGITPDLTTLGKIIGGGLPVGAYGGRRDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLSQPGTYEYLDKITKELTNGILEAGKKTGHAMCGGYISGMFGFFFTEGPVYDFSDAKKSDTEKFGKFFRGMLEEGVYLAPSQFEAGFTSLAHTSEDIQFTIAAAEKVLSRI >scaffold_501833.1 pep chromosome:v.1.0:5:13978676:13981318:1 gene:scaffold_501833.1 transcript:scaffold_501833.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LS08] MLDLQPSDRRHGAPSSSGGGSGGDELIRTYKGWKGNNVFFLGGRLVFGPDARSILITIFLITAPVIVFCIFVGRKFIDDFPHHRGVSILAVAVGLILLDLIFLLLTSARDPGIIPRNLYPPEPEGNEGNGEPRLAHTPQSRLPRTKDMIVNGITVKIKYCDTCMLYRPPRASHCSICNNCVEKFDHHCPWLGQCIGLRNYRFYFMFVLCSTLLCIYVHVFCWIYVKRIMDSENINIWKSFIKTPASIALIIYTFICVWFVGGLTCFHLYLMSTNQSTYENFRYRYDRHENPFNKGIVGNFMEVFCTNVAISQNSFRAKVSKEPAIPPRTVNGGMSSPSLQKVSHDIEMGRKPVWHETVEEELGDMEKDMEAGVASRDLSRMLPPEESEGRGIMHSRESSRGRGIMHSRESSRGRRGGSWELSSRVNEDLRTRDESVSRVGEDSSESSDNDASRDLHVEIYDVVTSRGRTGTGIGRL >scaffold_501834.1 pep chromosome:v.1.0:5:13982506:14013850:-1 gene:scaffold_501834.1 transcript:scaffold_501834.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7LS09] MENIHKEKAFSPNASNIKQEKSVQRSTRHQKQDLAWNYVNETIDSRGKKVIACDFCGKQYVGSEINRMKHHLAGLTNRSACQKVSSKVQHSMRKSLEENEEKSKKIIIVDLDMQDDEVKVGQSSHQSCSSSSRKRKVQHSMRKSLKENEEKLKKIIVVDLDMQDDEVHVGQSSHQSFSSSNRKRKRETKTKPVRETMAESAKQHVERIRRTKFSIGGAENPLTEDLHQAVKNLSAELYAKDVHFLMELIQNAEDNEYPEGVDPSLEFVITSDDITATGAPATLLIFNNEKGFSEKNIDSICSVGRSTKKGNRKRGYIGEKGIGFKSVFLITSQPYIFSNGYQIRFNEAPCSHCSLGYIVPEWVEQHPSLVDIQRMYGSGSALPTTTIILPLKYDKVKPVKEQLSNVHPEVLLFLSKIKRLSIREHCLDAKLSTVNSIGIVSETNFVTRKSIDAESYTIHLSASEKGKNSEQECSYYMWKQKFPVKHENRVDRRSEVDDWVITLAFPFGERLGRGNSSPGIYAFLPTEMVTNFPFIIQADFILASSRETILLDDIWNQGILSCVPIAFLNAFTTLVKKTDAPVSSVLPAFRFLPVKESNYAKLNVVRESIKARVCAEEIVPSISHLGQKFFHKPCEVGRLIPTFWDILEKARSEGASLQNISSHGIYILNSAFDRTEYDNVLNFLGLKQVSNEWYVKCMQGCYLVASVSEGTYMEVLLFIAENWQSRFQSTNMGKVPLIKYVVQKGVSSLSSLGDFSPRTLCLSTEKNQAWLLDWSDEFRCMSKFVFMPPTTRTALKACSKKETIHNWLKENLKVTNLSVSDYAKRLGENLNGDKRLVVAYAHFLHHSISKEVLSEEEAGKCCKDMPLVDNYSNVNTSRSGVLVPASAGKWVSLVGSNPWRHSGYIELSEEYLSSNRFAGLRSNKKDLLVFLKSYVKAGDIPDIEPPNAAIPALSGALKKENVFLLLDWIKCHRYSLRSNFLHSVRGGSWLRTTMNGISDYRPPSQSFYHTSSWGSILQNGSILVDIPLVDRSYYGKEIDSYKEELKTAGVMFEFSEACTFVGNHLMSLAETSTLSSANVFSILKFIRYLREKRLPPADFITAVKDGPWLKTSSGYKSPDGAVLFSQDWKAALLISDIPIIDKDFYGEVSLNGYKEELELLGVVVKFPNNHSLIVSHLNTAKLTYLTPDAMFLVLDCMRQLSPHRLINALRNSYQCFKTKKNGYKSPAECFIPDPEWSCLLSVFDCFPVINDDFYGSRIFSYKEELKQIGVKLQLEEAVKVFVSTFKQKATSSGLTRCTALSLLSCYRKLMGSSYRFPDELTKSFKEFQWLHTKLGDFRAPKDCILFDSDWEPLRLIANLPFIDDGPNWYGKSIHEFKKELESLGVTVELRNGMSHVISSLSLPDPSRIAPSSALSLLKCITFLLEDRFQQLPTEFRDKVSVKWLKTHAGYRCPEECLLFDSSWKLEPCDGPFIDEEFYGSEIKSFREELIAIGVGHDTDKACQLLASNVYKLSETDAISRVYRFLSEAEWKPERGASSGRIWIPSDEKWADVSSCVLFDKDKLFGSKLNVLQNYYGSGKDHDLLGFFSFAFGVRTNPSIEDYCELWKDWEKTKNRLSNDECCAFWSFVVRHSDTMKAEKLLSESFSCLPVKAPDCNNNEGVMLSNISDVFIADDLLLKDMFIDSHVFVWYPSPSIPTLSRTRLIELYRRIGVKEVSKCIEIAEADLTGVKVEQQEVVDPKNSLIGPGLVKLILGFLSDPSLNVEAAERLRIIHSLVDLDVIETSETITTEYTLNLPSKGEKLIAKAKRMIRWEREKGVVYAEKMEKPCGKRKLLEYATCFAEVIAKGVMWEREDLIGRLSELVKMAYLVEFDEEALEFLMKSKNLQVYEEDEKLISDEFSLK >scaffold_501840.1 pep chromosome:v.1.0:5:14028820:14031417:-1 gene:scaffold_501840.1 transcript:scaffold_501840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 29 protein [Source:UniProtKB/TrEMBL;Acc:D7LS14] MKLLHLIFLLALTTGISAVLIYIIGVSNLYESNRLSNEDLEALHSLQSGFQKCVSANGLGLQAAMGRDYCKVSINFPKDTVPKWKDPKSGELEGLSYEFDLCEAVATWEQVRNSSTILTKEYIDALPNGWEDYAWRRINKGIQLNRCQNKSLCIEKLSLVLAETPPYFPRQFGRCAVIGNSGDLLKTKFGKEIDTYDAVLRENGAPIQNYKEYVGEKSTFRLLNRGSAKALDKVVELDEKKQEVLLVKTTIHDIMNKMIREVPIKNPVYLMLGASFGSAAKGTGLKALEFALSTCDSVDMYGFTVDPGYKEWTRYFSESRQGHTPLHGRAYYQMMECLGLIKIHSPMRADPNRVVKWVPSRSTIRSARIAAEKLLRRVGAGSSDPLASCSIVKKRNKNKRPMVSHLRKPVSDHQNFVRSTSMYPLEHSPGHGQLCITPAD >scaffold_501843.1 pep chromosome:v.1.0:5:14041052:14043009:-1 gene:scaffold_501843.1 transcript:scaffold_501843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSRSLIPSFLYSSDHHRLFQSPEPSTTMTHVKSRPLIPPTSSSNGTSFAIATPNEKVEMYSPAYFAACTVAGMLCCGITHTAITPLDVIKCNMQIDPLKYKNITSAFKTTIKEQGLKGFTRGWSPTLLGYSAQGAFKYGLYEYSKKYYSDIVGPEYAAKYKTLIYLAGSASAEIVADVALCPMEAVKVRVQTQPGFARGLSDGLPKIIKSEGFRGLYKGLVPLWGRQIPYTMMKFATFENTVELIYKKVMPTPKEECSKPVQLGVSFAGGYIAGIFCAVISHPADNLVSFLNNSKGATVADAVKRLGLWGMFTRGLPLRIFMIGTLTGAQWVIYDAVKVLAGLPTTGGVSPATTLASSVSA >scaffold_501852.1 pep chromosome:v.1.0:5:14073205:14074386:-1 gene:scaffold_501852.1 transcript:scaffold_501852.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1080 [Source:UniProtKB/TrEMBL;Acc:D7LS24] MAEQTEKAFLKQPKVFLSSKKSGKGKRPGKGGNRFWKSIGLGFKTPREAIDGAYVDKKCPFTGTVSIRGRILAGTCHSAKMQRTIIVRRDYLHFVKKYQRYEKRHSNIPAHVSPCFRVKEGDHIIIGQCRPLSKTVRFNVLKVIPAGSSSSFGKKAFTGM >scaffold_501854.1 pep chromosome:v.1.0:5:14078483:14080605:1 gene:scaffold_501854.1 transcript:scaffold_501854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTSFCILVVLVIFDFPVIESQSLKSDGTHSARFPTPSRDFVEHAALNCRRQSAILTDFGAIGDGKTSNTKAFREAIRNLTSRAGDGGSQLIVPKGKWLTGSFNLTSHFTLHIKEGATILASQDESEYPMLQVLPSYRDTRFASLIYGSNLTDVVIAGDKGTINGQGKSWWAKYRNGGFKSIQRPMLIEIQSSENVQISNINLIDSPMWSIHPVYCRNVIIKGVKISNPIDSANTDGINPVGRPIEMLLIRRFSCIAPNGAGIAMGSEMSGGIKGVRMEDVTLHNTQSAIKIETAMGRGGYVQNVWARRFTIKTSKYVFLMTGSHKPIPRDGNIPKAKPVVTNINFRDITGENVSTSAKLEGMKSNPFTGVCMSNVSISLSPNASKQQFHCMDIVGESRSVKPQPCSLLPDKHPGVRFECTFPTEKIPIENVVLKRCAGHVF >scaffold_501858.1 pep chromosome:v.1.0:5:14092888:14095181:-1 gene:scaffold_501858.1 transcript:scaffold_501858.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:UniProtKB/TrEMBL;Acc:D7LS30] MDSDTLTGLLENVARKFPDRRALSVSGKFDLTHARLHDLIERAASRLVSAAGIKPGDVVALTFPNTVEFVIMFLAVIRARATAAPLNSAYTAEEFEFYLSDSDSKLLLTAKEGNAPAQEAASKLKISHVTASLLDAGSDLALSVADSDSVVDSATELVNHPDDGALFLHTSGTTSRPKGVPLTQLNLVSSVKNIKAVYKLTESDSTVIVLPLFHVHGLLAGLLSSLGAGAAVTLPAAGRFSATTFWPDMKKYNATWYTAVPTIHQIILDRHASHPEPEYPKLRFIRSCSASLAPVILSRLEEAFGAPVLEAYAMTEATHLMSSNPLPEEGPHKPGSVGKPVGQEMAILNEKGEIQEPNNKGEVCIRGPNVTKGYKNNPEANKAGFEFGWFHTGDIGYFDSDGYLHLVGRIKELINRGGEKISPIEVDAVLLTHPDVSQGVAFGVPDEKYGEEINCAVIPREGTTVTEEDIKAFCKKNLAAFKVPKKVFITDNLPKTASGKIQRRIVAQHFLEKP >scaffold_501860.1 pep chromosome:v.1.0:5:14102003:14102192:1 gene:scaffold_501860.1 transcript:scaffold_501860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LS32] MADELWDEVYTNSRLSLIARPLNPSASDSSFIFIKSMEPSYKSSWKSCR >scaffold_501866.1 pep chromosome:v.1.0:5:14138646:14139917:-1 gene:scaffold_501866.1 transcript:scaffold_501866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKVSSKIKRILASTASGSSSPKDGDDVDVREEYANAFRTESYNQFWTRVIHLSRKKSTVSSSSSPIESSSTSARLMSYRLFAHNLLDPDPNTITRILDVSRVGRPTRTLLTDYFLETANAFLLCTLLLKNIHRLRSKYESLKPKFQSENHNSLDLVDQFTEISKWFDPFISSGSRIQLIRSSCLDLLKRLESSRDKTRAKLKLINGLTHSSGLLVLALTTTLIVTIASHAFALFIAGPTLLTGRFKPAGLRNKLTKTAARLDVAAKGTYILSRDLDTISRLVTRINDEVDHVRAMAEFWVGRGSGRVRGSEEVARELKRCEESFSEELDELEEHIYLCFMTINRARNLVVREVLDSDDPPNCSFAPKSKKKL >scaffold_501867.1 pep chromosome:v.1.0:5:14140663:14142888:-1 gene:scaffold_501867.1 transcript:scaffold_501867.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S9 family protein [Source:UniProtKB/TrEMBL;Acc:D7LS38] MLSRLFLRPSNLRYVTLVTSKSNSQIFSSFIRPLSTNSTGGGGNGDGNGRNRNDVPWSFTGVNDDKSGPFSSDDSWGSSGVEGSGSVGGDGKWPEEPKRWNMKEEEDKVVFDTGGEVGQGIETGRERRGNEWEETKRWDMKKGEEEVVFGAGEDVVDGFGIRGEVKSNEWDVSKPWNLKEEEEGVVFDTGGEVPFSFENSLEMTEEERVKKELIEKEEKELLEVIKGPDRAFGDLIAKSGITDEMLDSLIALKDFQGVEGLPPLTEIENLRREKSSKKSSRAEIELQMQEDIAKARVRQVDETGRAYGTGRRKCSIARVWIQPGEGKFQVNEKEFDAYFPMLDHRAALLRPLAETKTLGRWDIKCTVKGGGTTGQVGAIQLGISRALQNWEPDMRTSLRAAGFLTRDSRVVERKKPGKAKARKSFQWVKR >scaffold_501868.1 pep chromosome:v.1.0:5:14143112:14144546:1 gene:scaffold_501868.1 transcript:scaffold_501868.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 9 kDa protein [Source:UniProtKB/TrEMBL;Acc:D7LS39] MVYIASWDEFVDRSVQIFRADPESTRYVMKYRHCDGKLVLKVTDNKECLKFKTDQAQEAKKMEKLNNIFFTLMARGPDVDLSEVTGKEQMETQPAKKGRGRKQ >scaffold_501869.1 pep chromosome:v.1.0:5:14145763:14146119:1 gene:scaffold_501869.1 transcript:scaffold_501869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYTSEITAKTTFKLVCKQCKSLAESPCFRQRFLSYHQNSHSSWSLMYIHSPEEVVGYYACKIWGLPRTLGFYISSLIREKFEYEFHHQRQRVKVEAYTRCHD >scaffold_501870.1 pep chromosome:v.1.0:5:14146760:14147082:1 gene:scaffold_501870.1 transcript:scaffold_501870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVHFDVLSLLIYSSQTGLWSFNTLQSHHPLRGLSSINPISLNGNLYWDGVNRYYREVVVSIDFYAAGTESNRCQVTLFPNAEIIPKFKRM >scaffold_501874.1 pep chromosome:v.1.0:5:14163449:14163734:1 gene:scaffold_501874.1 transcript:scaffold_501874.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LS44] MEKTISSPPPISCLRSLRFFSGFISGFISSILFHLSVSSPASSPRFYFTFPRAIFDFSSPPPILTSFDLCFSPLLRSFCC >scaffold_501876.1 pep chromosome:v.1.0:5:14193379:14196413:1 gene:scaffold_501876.1 transcript:scaffold_501876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEIITEQPPESIVGLIEKMAYVVALRGPEFEKEMIIVNRGDTTFSFMSSSDPNHALYQQKLTEYQGGKPPDFQPNTPATREPKGKAQSYDLEPPPSKEPRYTEISRREKELCTIKLIAQFVARYGKLFHEDLKRVGVMSPMFDFIKPTNSNFGLYNALVTSYSRVLKPSLGSPFFLDRIFDHLQLEKLEEGSETAMIDLFDFVGGVDFFAHMDDAHYPAILPPPQPFSMITHLSDLQADDSAIHECVGHAETSLGPPTIRITPKEFGVIKLTALFVARYRMPFRRALMMRVAMNPLFEFMFFLPSSLKKRLLFTDYPSLTKRVLFTDPSFGFVEPTEIRFNIFNLLVDAYSRVLFPCKKLKKSDACTRAVVDFFLKLLHLERLEEGVAAAVIDLHAFVGGVDRFAHLDDEDYSASMPPPERLSVMMNRVTLSEPDMLLQLPLGSQLASVRKQYKCVGDIDSLRAPTLRSVTFRVPGKGITLKELGIIKLTAQFVVRYGYDFWCVLTDRVSTNSFFQFLNPFDKQFRFYCGLLLAYTGVLKPSKMLKKPDACTVALLEGFFHRLQLWKLEEGVETAMIDLHAFVCGVDCFAHMEDGDYFAIMDPPERPSIMINQLIQIHTSLGSRLTGYHAQNQGGTQDIRPDAPATHECDSDAQRDLEFHSAWEKRIVRSYHYPYVYGGGITLEELGIMKFTALFVARYGMHFCQELMKEVVMKPQFKFMEPTNQKFSLYNVVVDAYSRVVYPFDDACTETVLEDFFRRLQLEKLAVEEEAMIDLHAFVSGVDYFADLEDVDYYALMPPPELLSIIMNRMRGMRKWLPLEYRRMYPPIPYTHGQCADSWYRHCPCTHGFADPSTWLMQTAGPKEPETKRQKFDESALVPEDQFLAKNPGPSRIRVVVADSDNGAIEITVKSLSEKVASLKKKIAREIRIPANKQMLSGKARVLKDNRSLAHYNVGAGEILTVSR >scaffold_501885.1 pep chromosome:v.1.0:5:14264080:14264853:1 gene:scaffold_501885.1 transcript:scaffold_501885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNRWSNFHQIGYSLSLQIGRNSFLAASTVYISTEFSFLNTSLSNQRSFCFAAGVLKSEAEFNSALSKARDGSLPSVLYFTDAWCGPCKCYFLESGFINLCSSD >scaffold_501886.1 pep chromosome:v.1.0:5:14275417:14277228:1 gene:scaffold_501886.1 transcript:scaffold_501886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEIITEQPPESIVGLIEKMAYVVALRGPEFEKEMIIVNRGDTTFSFMSSSDPNHALYQQKLTEYQGGKPPDFQPNTPATREPKGKAQSYDLEPPPSKEPRYTEISRREKELCTIKLIAQFVARYGKLFHEDLKRVGVMSPMFDFIKPTNSNFGLYNKLEEGSETAMIDLFDFVGGVDFFAHMEDAHFSAILPPPQPFSMITHLSDLQADDSAIHECVGHAETSLGPPTIRITPKEFGVIKLTALFVARYRMPFRRALMMRVAMNPLFEFMFFLPSSLKKRLLFTDYPSLTKRVLFTDPSFGFVEPTEIRFNIFNLLVDAYSRVLFPCKKLKKSDACTRAVVDFFLKLLHLERLEEGVAAAVIDLHAFVGGVDRFAHLDDEDYSASMPPPERLSVMMNRVTLSEPDMLLQLPLGSQLASVRKQYKCVGDIDSLRAPTLRSVTFRVPGKGITLKELGIIKLTAQFVVRYGYDFWCVLTDRVSTNSFFQFLNPFDKQFRFYCGLLLAYTGVLKPSKKHNKPHAQTHLYLRGFFTDFNCGSTGGREKTIIDKALLQASGFV >scaffold_501888.1 pep chromosome:v.1.0:5:14302066:14303615:1 gene:scaffold_501888.1 transcript:scaffold_501888.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LSE5] MENIVTVEKLTGLVIVVVKNANDVSEAMGYYERLFSATVTDIKELKGAGGQIISRWVELTIANARIVICMADAVGDDARVTSGSTVEVVTVDVNSSLEAFKKAGGVEVGNISQVEACRGVKAKVRDPYGFIWSLAEKMERPSSTLPLLFPALESEVTWWMYHHPIGNTVRRQWIDNLNHEQQYNLLRCETSMDALEKLERINGILQGVQLVFFLNPLIRGHAQVREFNKKLKEKYDLLWSGLPSFDSHPSQWSGLIAERERAACYVRQLLKSLESRRYKLNRDGKANYRSSVLNEELVMNRMMWEEVQTNWRAQDRTE >scaffold_501889.1 pep chromosome:v.1.0:5:14304485:14305427:-1 gene:scaffold_501889.1 transcript:scaffold_501889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLSGRETRVRITGGMKVKADRDESLHRICRYACCTKCRLAMQEDVTPIPNDRPAERVAEEESFFFGVAGSSYGLYSQCFIIVLSFLRVLNLLCFIIVSTLNSFGSSVIC >scaffold_501892.1 pep chromosome:v.1.0:5:14322941:14325114:1 gene:scaffold_501892.1 transcript:scaffold_501892.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSE9] MALRRTLSLRSLFSASCYKPSCSSIIYREQKLNYDTFLHQRSFTSSMILSQQHLMRSSSLLSLCTPFGVSIYHRSMSTSRFPGSDLNKNYSVLQDVASNITVVDKVVDAAHQFVFFGKMLTSLLTRCILSQNLTDLLSDHEDAIETRESMFGYKGSEWFQEKIASFTVDSAFLPDDLASPYALRMFPVLTVFYHLIGLEASQPLESESLRPGFSLVFWPFRWSFWEWFCLRRHNAISRLARCSPLRLCTVSLSMNSHEFIIHALFHYYSPMLNLLLSLSLSVFVYVVLLWCLRERKQYLLNANASNAVPTGSLYLIVFFLKKIA >scaffold_501893.1 pep chromosome:v.1.0:5:14325183:14325449:-1 gene:scaffold_501893.1 transcript:scaffold_501893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNHDNHKIETIWNPPTLPNLRQFGVQNCPKLTRAATKCLRQELQSMMMVKLKAEALWLLDFLTLCVLGSSQH >scaffold_501899.1 pep chromosome:v.1.0:5:14342104:14344429:-1 gene:scaffold_501899.1 transcript:scaffold_501899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKIRRRRGYIAETTVEKEVEEEEQPLSPAARLFHAPEFNCYIISVVGLKKKIQPDVIIEGIKQTLIRHPRFSSKLVNNRKEQKWVRTNVVVEDHVIIPKIETKIIKNANADAFLESYVSDLTTIPLDTSKPLWEVHLLDLKTSDAENVVVLRVHHSLGDGMSMMSLVLACTRKTSNPNELPSLPYQNRPSSGSSSLKTSSRYYSRFFWLVTVLWTATMLVLNTVCDALEFIATTLFLKDTETPIKGNFGLSTRKRMCMVHRTVSLDDIKLIKKAMKMTVNDVVLGVSQAGLSQYLKRRYGEQEESKRNSSNILKGIRLRGALLVNIRPTTGIQDLADMMAKGSKCRWGNWIGYIVFPFSIALCDDPLEHLRRAKSTIDRKKNSLEAVLTFVVGKILLKSLGVQRAAYVLNRALSNTTMSFSNLVGPIEEISFYGHTVTYMAPSVYGHPHALTMHFQSYMNKLTISLTVDPTVISDPHKLCDDWEESLRSIKAAVQERSSTQ >scaffold_501901.1 pep chromosome:v.1.0:5:14361409:14361638:-1 gene:scaffold_501901.1 transcript:scaffold_501901.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSF7] MCLLSSRSVPWRMPTEGWMKCNYDASHNFGYGQRKICMIVSDGLYTDFQMELMQVEYRHIVN >scaffold_501907.1 pep chromosome:v.1.0:5:14384636:14384959:1 gene:scaffold_501907.1 transcript:scaffold_501907.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSG3] MSSSNPFNYFRSWMDQPMRDPVTELLTPEYAQGLKQFMAFAANQPSYSCISSLDALIWGRVYAGVQSLVYAWRE >scaffold_501909.1 pep chromosome:v.1.0:5:14388300:14388667:-1 gene:scaffold_501909.1 transcript:scaffold_501909.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSG4] MASEMAVSDGFSTNSIGRFDLVGNLKGVGNSFQIVDVFPTIAFPTRSRRFSDNSYVWVNGWKIVGTDRRKPVGDAFRRFPNQNCCPLFHRYFVGNRLVSTFDGLLTE >scaffold_501913.1 pep chromosome:v.1.0:5:14405215:14405497:1 gene:scaffold_501913.1 transcript:scaffold_501913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLFDLYQCQNDRDHPKEIERCFRKFLGKPWTLEYFCYIEDPSNCFPFIYLEDCLKTCPPIGKGEAPSPPLGTTLPPL >scaffold_501914.1 pep chromosome:v.1.0:5:14406007:14408959:-1 gene:scaffold_501914.1 transcript:scaffold_501914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYLVFGVMAAVVAALELSKRNKDRINTSSSFNSFKNNYLLVFSIMMAGDWLQGPYVYYLYSTYGFGKGDIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCIVYILSCITKHSPQYKVLMVGRILGGIATSLLFSAFESWLIAEHNKRNFEQQWLSLTFSKAVFLGNGLVAILSGLFGNLLVDTFSFGPVAPFDAAACFLAIGMAIILATWSENFGDPSDSKDLLTQFKVAAIAIASDEKIALLGAIQSLFEASMYTFVFLWTPALSPNDEEIPHGFVFATFMLASMLGSSLAARLMSRSSLRVENYMQIVFLVSAASLLLPITTSVLVTPSKVKDEGLSLTSSIQLLGFCVFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRVPLNIFVCIVLYNVDAFPITIMFGMCSIFLFVASILQRRLMVISEKPKAEEWSPMKERNSEVDPLTL >scaffold_501916.1 pep chromosome:v.1.0:5:14413029:14413629:1 gene:scaffold_501916.1 transcript:scaffold_501916.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7LSH2] MAYVHLLISLLIFITFKSSISVVPDPPTQNLINRICKQTIDFKFCNQTITSQLIRRQTSIKTIAKLTAAKAWINAIQTLDNIEDSLLPKAKDKRDKAEFDACRKAYKLVDAHLDNALKYLYLRDYRFMRAYQALALVNISMCRTSFFHPTPMVYANWNMKMLTDIAIYAGKILSPPPPLRKKSKSP >scaffold_501917.1 pep chromosome:v.1.0:5:14431361:14432626:-1 gene:scaffold_501917.1 transcript:scaffold_501917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHLVGGLFEASAIEKHEQWMSRFNRVYSDDSEKTSRFEIFKKNLKFVESFNMNTNNTYKLDVNKFSDLTDEEFQARYMGLVPEGMTGDSQKTVSFRYENVSETGESMDWRLEGAVTPVKDQGQCGCCWAFAAVAAVEGVTKIANGELVSLSEQQLVDCSTANNNMGCDGGLALTAYDYIKENQGITSEENYPYQAVQQTCKSTDPAAATISGYEAVPKDDEEALLKAVSQHGIFEDEYCGTDSHHAVTIVGYGTSEEGIKYWLLKNSWGESWGENGYMRIKRDVDEPQGMCGLAHRAYYPVA >scaffold_501919.1 pep chromosome:v.1.0:5:14437663:14440001:-1 gene:scaffold_501919.1 transcript:scaffold_501919.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab GTPase activator [Source:UniProtKB/TrEMBL;Acc:D7LSH5] MKALRRIQTTSSSSNPSSPLSSPPSSSSSWIQIRSALFVVASSSPASCSSDRPRLKSPWSRRRRKRPLRPQQWKRFFTPDGRLRNGGVDLLKKVRSRGIEPSIRLEVWPFLLGVYGFNSSKEERVNIRNRRRKEYERLRRQCKRLQKHNNGTRKLNRGSETIEDEYDWPQVQDTDSSCSDEVVSARESLSSDEDITEDIGYVSEVSCTVEKDDGSSSRRITNATISTLNSESSDSDSSNGSEVVQVFQSSATPDVNSAYPASSSIPRTEEDFVTWQRIIRLDAVRANSEWTPYSPSQAVISEDRACRAAEAVGLKDYNHLEPYKIFQAARLVAVLEAYALYDPDIGYCQGMSDLLSPILSVIPDDHEVFWCFVGFMKKARHNFRLDEVGIRRQLNIVSKIIKSKDSQLYRHLEKLQAEDCFFVYRMVVVMFRRELTLDQTLCLWEVMWADQAAIRAGMGKSAWSRIRQRAPPTDDLVLYAIAASVLQRRKLIIEKYNSMDEILRECQSMAGQLDVWKLLDDAHDLVVTLHTKIEHSFS >scaffold_501920.1 pep chromosome:v.1.0:5:14443834:14445143:-1 gene:scaffold_501920.1 transcript:scaffold_501920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucosamine/galactosamine-6-phosphate isomerase family protein [Source:UniProtKB/TrEMBL;Acc:D7LSH6] MAPVKRRVFKTKNEMAVELAKYTADLSFKFCKERGIFTVVLSGGDLISWLWKLLEAPHIDSIEWSKWHIFWVDERVCAWDNVDSNYKLAYDGFLSKVPIPAENIYAIDNGLGAEGNAELAAERYEECLKQKVNQNIIRTYKSSGFPQFDLQLLGMGPDGHMASLFPGHDQINEKVKWVTSITDSPKPPPKRITFTLPVINCASYNVMAVCDKQQADSVAAALTHTKDLPAGRLTADVEVVWFLDQAAASKLPHGWCSIL >scaffold_501926.1 pep chromosome:v.1.0:5:14471728:14474097:1 gene:scaffold_501926.1 transcript:scaffold_501926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFSRSIYVGNLPGDIREHEIEDLFYKYGRIVDIELKVPPRPPCYCFVEFEHSRDAEDAIKGRDGYNFDGCRLRVELAHGGRGQSSSDRRGGYGGGGGGGYGGGGGGGGSARFGVSRHSEFRVIVRGLPSSASWQDLKDHMRKAGDVCFAEVTRDSDGTYGVVDYTNYDDMKYAIRKLDDTEFRNPWARGFIRVKKYESSRSRSRSPSRSRSRSRSRSRSRSRGRGRSRSRSRSLSRSKSPRKDLSKSPKRSLSRSISKSRSPSPDNKKSSPRAMSRSRSRSRSRSPSKSPPKVREGSV >scaffold_501928.1 pep chromosome:v.1.0:5:14481694:14482763:1 gene:scaffold_501928.1 transcript:scaffold_501928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFIAAAGTNTEEHRLLSWELSSTLDIEKADSKIGLVVGISVSGFVLLIVLVHTIVVVWSRKQRKKKDRDIANMISINEDLEREAAKERVLNEVKIISKLRHRNMVQLIGWCNEKNEFLLIYELVLNGSLNSHLFGKRPHLLSWDIRYKIALGLASALLYLHEEWDQCVLHREIKASNIMLDSDFNVKLGDFGLARVMNHEHGSHTTGLAGTFGYMAHEYVTKGSASKESDIYSFGIVLLEIVTGRKSLERTQEDNSDSESNEKSLVGKVWKLYGKQEVMTSSVDEK >scaffold_501929.1 pep chromosome:v.1.0:5:14486423:14487249:-1 gene:scaffold_501929.1 transcript:scaffold_501929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSELACTYAALVLHNDGIDVTAEKISTLVKTANLDIESYWPSLLAKLFQNKNMDDLIMNASAGGSAGSPRAVSSSSSSFGSATQAAPVAEEKNKEDVKEESDDDFVSGFFDSEALIYLFKYFL >scaffold_501931.1 pep chromosome:v.1.0:5:14491009:14491375:-1 gene:scaffold_501931.1 transcript:scaffold_501931.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSI6] MDYLIMNAGAGGSAAAPVAVSSSASSSGSATQAAPVAEEIKKEDEKEESDDDFVSFFFD >scaffold_501934.1 pep chromosome:v.1.0:5:14499146:14500379:-1 gene:scaffold_501934.1 transcript:scaffold_501934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMMKKRKRHVSKEDVALTISSSLGEYGENSGTLPMDLMVEILSRVPAKSAAKFHCVSKNWNSLLRSSYFTNLYLTRSPTRPRLLITFQAEGKWSFFSSPEYLISDQNSNLVVVDNHMDVPKDYSFGVCEPVCGLLCTRDEWVLSRKKDARMMICNPSTRQFQSLPKVRSRRNKVITYIGYDPIEKEYKVLCMTICERPYMFKAEEHQVLTLGTGKLKWRMLKCFVEHFPHHKEICINGVLYYLAVKDETREDIIVCFHVKHEKFQFILNKAPLSTLINYNGKLGGIRHGFMEGGVAGYELWDLDIEKEDWTRHIHILPPMWKQVVGETRVYVVGMIGTSEIVFSPFVKSNPFYIFHLNIERNSITRVEIQGTGPLEGQQVYTFINHIENVKLIM >scaffold_501939.1 pep chromosome:v.1.0:5:14509691:14510382:1 gene:scaffold_501939.1 transcript:scaffold_501939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWQKLYLKRRRPPPSNPTELPRTSSRTNLFSRGNSIGRVRASSRAVPLAKPSDSPYYIGLERVKTDPYDRIKNTDGIIQLGLRWMSENLMESMMQSDDGKFDISSIAMYKPIEGLLELRGVDNIHSLFFNFLNMKSFFAIIS >scaffold_501940.1 pep chromosome:v.1.0:5:14510513:14510904:1 gene:scaffold_501940.1 transcript:scaffold_501940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSSTKLVSCLVPCFLCIQVVTIELSFCFLIYVYMYLQIIALRRAKRRNMERLVLACGGEAVNSVDDLTPDSLCWAGFVYEHVLGKERYTFVEQVKNPHSCTILIKGTQSQTSIS >scaffold_501941.1 pep chromosome:v.1.0:5:14513443:14517151:-1 gene:scaffold_501941.1 transcript:scaffold_501941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGFRSYGGFSGIAYPLWLSSPPPPHPASAPPFQPSNPVESYDPTKEVFDPMMYPGSYQRSPDDWSNNPSYRENSRSQTPSSSFYADNTRLGNCGPRGEDYYKEMLSPSGFYSSRESSSDFVSLPADNFWERQRLNFTPTYNSATLAPVSSYADMLIKNSREPFFTPITSSGVYASSNVVDENRPGYYFPETMNVVSASHVEPYIGVTDCNPSLPMTNLKTQALFDGSQTGVRLGDGRSFPSNSDVCSQAPENFLGAQSSLQSSSVEPVNFDVLLGYGEATGHIRPSPENPDLRYRIAGSQGLRSPGSSHPLPANINSSVSLINDKLEGSGVSSLYQRPYTLVADSENGVSESSLKNASEDLNCHEPRSWSHFMVTSEGPSAPTMKADNENAQSAVNYKPPFEGGTTQTSEDVPTNQKSCNLQEQTFDIIDRDKKIMLLTDLGLDLSSRSNADDVSTGRSPEKHFCDQGDFPSPTSSPRVSSVVNAMHNLSEVLVYECFNNGSWLKLEQLENLDKVVDNLTKCLKKITDNKSTAGEASLPTQAMHEAAKGIAKDFQGFTVKPLDSFGVKEPVDKNEMTQSIKNILASNFPDGEENHPQTLLYKSLWLETEAALCSTTCMARYHRVKNEIDNLKFNNKEISADAATFMQEPSLNPQKSVPIMNNANAEKETTESISKHGSSCGNNVVTMSHDASQCSRFNSDPVDAVLSLMSRSFTGGLRPDVATSVKIPDAIRQESPASTTENKHNDVIDRFQILKQQETERKLKSQKLTDSDIDVIDRFQILKKQETNRKLKTQNCPETKKGDQEEKLEASVMANIGRSSHVSDVMDRFQILKRREAEQVKRSLNNLDVDSDSDNDQPQKRDHLWSASMFPIRGHSQRETCAADTEQSASGKGYESPNSDWEHVIKDD >scaffold_501943.1 pep chromosome:v.1.0:5:14529003:14530052:-1 gene:scaffold_501943.1 transcript:scaffold_501943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLRNGVFDNSKSKISREVSYCVSKNWKPLLRSPYFTNLYLTRSPARPFLLITFQAEGKWSFFSSPEYMISDQNSNLVVVDNHMDVPKDYSFGVCEPVCGLLCTRDEWVLSRKKDARMMICNQTRREEVYTEQSGVAGFELWDLDIEKEEWSRHIHIFPPMWKQAVAETRVYVVGMIGTNEIVFSPFVKSIPFYIFHLDMKRNSITRVEIKGTGPLEGQQVYTFINHIENVKLIM >scaffold_501948.1 pep chromosome:v.1.0:5:14543739:14545806:-1 gene:scaffold_501948.1 transcript:scaffold_501948.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC062 [Source:UniProtKB/TrEMBL;Acc:D7LSJ8] MNQNLQVLSMDSLPVGLRFRPTDEELIRYYLRRKINGHDDDVKAIREIDICKWEPWDLPDFSVIKTKDSEWLFFCPLDRKYPNGSRQNRATVAGYWKATGKDRKIKSGKTNIIGVKRTLVFHAGRAPRGTRTNWVIHEYRATEDDLSGINPGQSPFVICKLFKKQELNLAEEDSKSDEVEEPAVSSPTVEVSKSEVSEVTKTEDVKRLDVAESSLVISGDSHSDACDEATTAELVDFDWYPELESLDYTLFSPLHSQVQSELGSSYNTFQPGSSNFSGNNNNSFQIQTQYGTNEVDTYISEFLDSILKSPDEDPEKHKYVLQSGFDGAAPDPIAPAYQQSSAVDMSNGVSVTGIKIKSREAQPSGCTNDCIAQGNGPRRLRLQSNLNGINSKNPELQAIKREADDTVGETIKKGCGRLKRSKNVTDFVFKKIMSVKCSYGGLFRAAVVAVVFLMSVCSLTVDFRASAMP >scaffold_501950.1 pep chromosome:v.1.0:5:14552138:14552468:1 gene:scaffold_501950.1 transcript:scaffold_501950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNPFDSDIVYLWSRKHSCLVSGNLHTQEFIVHHQDSENWTSDEGCCRINTYDFKCYMEYMERSRNRFNATSIIMLSQFVLPQWMDSVPRPPN >scaffold_501951.1 pep chromosome:v.1.0:5:14558433:14559088:1 gene:scaffold_501951.1 transcript:scaffold_501951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERTTILESMLVEIIVRLPMKSIVRFKSVCKTLKSVIESRYFRIFFVSLNRNSSSSRSLIFATKNLHSITEHRSHDLDGRLYLWQHDSYSNEPGFLIIAHNFYAPETDDYQCRVILLPVPYNLNVKRCLTTSRGDVICIDVLHQRLKIWRLNINSLEDECWQLLTSEVNMASV >scaffold_501959.1 pep chromosome:v.1.0:5:14584576:14585567:-1 gene:scaffold_501959.1 transcript:scaffold_501959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRFRDLADKADMLYEREGVSKDRKSVSEEEEKTRFSILVDYVGMLCDEEERRILGSSPTETKTLFDDSEKSLLDHLPQKPRSSLEYFFTRVYTRRNNETLNRVSASSSLFNTAEFERTETKSPPNPNLQSSPSSCVMENTKRKRYQKRSSGKSKKAKVDPLSQTAREAPEWLFDMMRKMKEAEGSVRLIYRKTLTASDVKPSQSRLLIPFSQLLRNDFLTPEESQAIGIDKEEEDDNNNNIGMRTIVVDQLSKEWALRFKICVMKKKSGKRTLNYALNRGWNNVVSGNKLKEKDTISLWTFRCGEDRCFALEKE >scaffold_501961.1 pep chromosome:v.1.0:5:14592261:14592905:1 gene:scaffold_501961.1 transcript:scaffold_501961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMCDPYTPTGVPIPTNKRHNAAKIFRHQSLPPRSLDSYKLDYCYKKNRITGFILSKEIKPLISTVFEGKDANVIAHGARNCGKTYLIHVLKAIPLRY >scaffold_501967.1 pep chromosome:v.1.0:5:14615066:14619197:-1 gene:scaffold_501967.1 transcript:scaffold_501967.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7LSL4] MPSIRAPAAKKTTTLTVAVKCRPLMEKERGRDIVRVNNSKEVIVLDPDLSKDYLDRIQNRTKEKKYCFDHAFGPESTNKNVYRSMSSVISSVVHGLNATVFAYGSTGSGKTYTMVGTRSDPGLMVLSLNTIFDMIKSDKSSDEFEVTCSYLEVYNEVIYDLLEKSSGHLELREDPEQGIVVAGLRSIKVYSADRILELLNLGNSRRKTESTEMNGTSSRSHAVLEIAVKRRQKNQNQVMRGKLALVDLAGSERAAETNNGGQKLRDGANINRSLLALANCINALGKQHKKGLAYVPYRNSKLTRILKDGLSGNSQTVMVATISPADSQYHHTVNTLKYADRAKEIKTHIQKNIGTIDTHMSDYQRMIDNLQSEVSQLKKQLAEKESQLSIKPFERGVERELSWLDGLSHQISENVQDRINLQKALFELEETNLRNRTELQHLDDAIAKQATEKDVVEALSSRRQVILDNIRDNDEAGVNYQRDIEENEKHRCELQDMLNEAINNNGNKTYLHILNQYKLLGMGNTELQFEMAMRDQIIYNQREAQRNLWNLLMGLGVEEKQVFDLAAKQGITIEDWSSMASFPGLPYRKQTPSFIPANIPFVGHSYSKSSCTFQSYQDPSSKGQQWAPTPTLCREEHHSSYYFMGQEPPAFVNLRKSHDGWVGGSRPASRIDTGGNHRRVSYPQTVNNSSPRMAPSHLGPTFYQTPQREMLVNTTSPYSSPRAGLINGATTPSVQPFYGSPRAVTVRNGSYNNPRVPTAVSSNGARNQQRVFGTSPLAGTKGVKNSSYGQNSGTKLYRGGGGGGTKGHNKGNKNQRQHQ >scaffold_501969.1 pep chromosome:v.1.0:5:14628155:14631311:1 gene:scaffold_501969.1 transcript:scaffold_501969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLLLLLLLLLHISHSFTVAKPITELNALLSLKSSFTIDEHSPLTSWNLSTTFCSWTGVTCDVSLRHVTSLDLSGLNLSGTLSSDVSHLPLLQNLSLAANQISGPIPPEISNLYELRHLNLSNNVFNGSYPDELSSGLVNLRVLDLYNNNLTGDLPVSITNLTQLRHLHLGGNYFSGKIPATYGTWPVLEYLAVSGNELIGKIPPEIGNLTTLRELYIGYYNAFEDGLPPEIGNLSELVRFDAANCGLTGEIPPEIGKLQKLDTLFLQVNAFSGTLTSELGFISSLKSMDLSNNMFTGEIPASFSQLKNLTLLNLFRNKLYGAIPEFIGEMPELEVLQLWENNFTGGIPHKLGENGRLVILDLSSNKLTGTLPPNMCSGNRLMTLITLGNFLFGSIPDSLGKCESLTRIRMGENFLNGSIPKGLFGLPKLSQVELQDNYLTGELPISGGGVSGDLGQISLSNNQLSGPLPAAIGNFSGVQKLLLDGNKFAGPIPPEIGRLQQLSKLDFSHNLFSGRIAPEISRCKLLTFVDLSRNELSGDIPKEITGMRILNYLNLSRNHLVGSIPVTIASMQSLTSVDFSYNNLSGLVPSTGQFSYFNYTSFLGNSDLCGPYLGPCGKGTHQPHVKPLSATTKLLLVLGLLFCSMVFAIVAITKARSLRNASDAKAWRLTAFQRLDFTCDDVLDSLKEDNIIGKGGAGIVYKGIMPNGDLVAVKRLATMSHGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIALEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGKKPVGEFGDGVDIVQWVRSMTDSNKDCVLKVIDLRLSSVPVHEVTHVFYVALLCVEEQAVERPTMREVVQILTEIPKIPLLKQQAAESDVSEKAPAMNESSPDSGSPPDLLSN >scaffold_501975.1 pep chromosome:v.1.0:5:14655133:14657511:1 gene:scaffold_501975.1 transcript:scaffold_501975.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7LSM0] MKRSFLLLYVLLVHAFYGALCSVGGSLHCEYSNLASLHRPHSVSITEFGAVGDGVTLNTKAFQNALFYPNSFSDKGGAKLFVPAGQWLTGSFDLISHLTLWLDSGATILGSTSSENWPVVDPLPSYGRGRELPGRRHRSLIYGQNLTDVVITGENGTIDGQGSVWWDWFRNGELNYTRPHLVELMNSTGLIISNLTFLNSPFWNIHPVYCRDVVVKNLTILAPLESPNTDGVDPDSSTNVCIEDCYIVTRDDLVSIKTGWDEYGISYARPSSKIKINRLTGQTTSSSGIAIGSEMSGGVSEIYIKDLHLFNSNTGIRIKTSPGRGGYVRNVHILNVKLDNVKKAIRFTGKYGEHPDKNFDPKALPAIEKITFENVNGDGIGVAGLLEGIEGDEFKNICFLNVTLRVKKNSKKSPWECSNVRGYSQWVSPGITCDSLKESIFPEHRSDCFGLSENNLEISSGLSRSPWLLSW >scaffold_501977.1 pep chromosome:v.1.0:5:14661813:14662211:1 gene:scaffold_501977.1 transcript:scaffold_501977.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSM2] MSNDCVTLKRGANERCFAYGLRTEDTSQFSHSKTYISFARRFCPKLFLQSNNISIFRSIF >scaffold_501980.1 pep chromosome:v.1.0:5:14669656:14670676:-1 gene:scaffold_501980.1 transcript:scaffold_501980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:D7LSM5] MRSVLVWIAVVVCLLCSVAAVVQSGSSDGFGKPRDTETEYKLMAHSVPMVPLTLIQGADSKGADFFNWNRIKLRYCDGASFSGDSHDESSQLFYRGQRIWQVAMEEFLSLGMKQANQALLSGCSAGGLASILHCDEFRELLPSSTKVKCLSDAGMFLDS >scaffold_501986.1 pep chromosome:v.1.0:5:14707184:14709390:1 gene:scaffold_501986.1 transcript:scaffold_501986.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LSX0] MNQTQWKFKSFRDLLLKSVAERDLFTGKSLHALYVKSIVASSTYLSNHFVNLYSKCGCLSYARAAFDSTEEPNVFSYNVIVKAYAKDSKIHIARQLFDENPQPDTVSYNTLISGYADARETVAAMVLFKRMRELGFEVDGFTLSGLIAACCDRVDLIKQLHCFAVSGGFDSYSSVNNAFVTYYSKGGLLREAVSVFYGMDGLRDEVSWNSMIVAYGQHKEGAKALALYKEMIFKGFKIDMFTLASVLNALTSLDHLIGGRQFHGKLIKAGFHQNSHVGSGLIDFYSKCGGRDGMSDSEKVFQEILSPDLVLWNTMISGYSMNEEHSEEAVKSFRQMQRIGHRPDDCSFVCVTSACSNLSSPSQGKQIHGLAIKSNIPSNRISVNNALISLYYKSGNLLDARRVFDRMPELNAVSFNCMIKGYAQHGHGTEALRLYQRMLDSGIAPNNITFVAILSACAHCGKVDEGQKYFNTMKETFKIEPEAEHYSCMIDLLGRAGKLEEAERFIDAMPYKPGSVAWAALLGACRKHKNMALAERAAKELMVMQPLAATPYVMLANMYADAGKWEEMASVRKSMRGKRIRKKPGCSWIEVKKKKHVFVAEDWSHPMIREVNEYLEEMMKKMKKVGYVMDKKWAMVKEDEAGEGEEEMRLGHHSEKLAVAFGLMSTRDGEEIVVVKNLRICGDCHNAIKFMSAVAGREIIVRDNLRFHCFKDGKCSCGDYW >scaffold_501993.1 pep chromosome:v.1.0:5:14763556:14764142:-1 gene:scaffold_501993.1 transcript:scaffold_501993.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7LSY0] MMSTISPVFSTELTSVPAFETGFTPWDISHLFSVFDSSMDQIPVSAHDYGSVNQISTDVALTESTDERKKKRKLSNRESAKRSREKKQKHLEEMSMQLNQLKTQNQELTNQLRYVLYHYQQTKMENDRLRMEHRSLQDKLLNIRQVLMFRQIERSSLNCSTWPCSNSTVVTVQQDPSIML >scaffold_501996.1 pep chromosome:v.1.0:5:14778284:14778876:1 gene:scaffold_501996.1 transcript:scaffold_501996.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPSK4 [Source:UniProtKB/TrEMBL;Acc:D7LSY3] MAKFTTIFIMALLLCSTLTYAARLTPTTTTASSRENSVKEIEGDNVEEESCIGIGEEECLIRRSLVVHTDYIYTQNHKP >scaffold_502000.1 pep chromosome:v.1.0:5:14794708:14794924:-1 gene:scaffold_502000.1 transcript:scaffold_502000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSY6] MADLPFISSSSSTSSPPPCDLSISRFINQSQTRCISLIHSTSTLYSLPNLFPHASIQP >scaffold_502003.1 pep chromosome:v.1.0:5:14805442:14806641:1 gene:scaffold_502003.1 transcript:scaffold_502003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKKLKPSVTQQSILISSLPDDLILSCVARVSRLYYPTLSLVSKSFRSLLASPELYKARSLLGRTESCLYVCLRLTPFKSNSWFTLCRKPHQTLTSKKKKKSSGYVLATVPIPHSPRAHLSGLVAVGSDIYNIGGCRKIYETPPSSSVWILDCMSHTWREAPSLPVEPMRLSASVLDGKIYVAGSKESLENLFEVFDTKTQTWDPESSIPCSKTKGIFLWSKSTCIDGKLHVVADRHGVVSPMGAVIAYNCKEGRWDMVEQNMECFKFSSSHCEIDNVLYCVLHGAIKWYDTKVRLWSRLKGLVKLPKFSHDACIKLADYGGKIAVLWVDESSYSGGKKNKIWCAEIALERRQNCEIWGEVEWFGHVLTVPSTCVLEKVLAVTV >scaffold_502009.1 pep chromosome:v.1.0:5:14824849:14826378:1 gene:scaffold_502009.1 transcript:scaffold_502009.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein 43 [Source:UniProtKB/TrEMBL;Acc:D7LSZ5] MRVMKNKHNKKATFLRCSPFGLVSTVVGCVFMIHFTMLYSRRYSVDLDVSPQLLIHHPIVRELEHAEEENIHMPPPRKRSPRAIKRKPKIPTTLVEEFLDENSQIRHLFFPDMKSAFGPTKGNNDTSHYYFPGRIWTDTEGNPIQAHGGGILFDEISKVYYWYGEYKDGPTYLSHKKGAARVDIIGVGCYSSKDLWTWKNEGVVLAAEETDETHDLHKSNVLERPKVIYNSVTGKYVMWMHIDDANYTKASVGVAISDNPTGPFDYLYSRSPHGFDSRDMTVYKDDDNIAYLIYSSEDNSVLHIGPLTENYLDVKPVMKRIMVGQHREAPAIFKHQNTYYMITSGCTGWAPNEALAHAAESIMGPWETLGNPCVGGNNIFRSTTFFAQSTFVIPLPGVPGVYIFLADRWNPADLRDSRYLWLPLIVGGPADRPLEYNFGFPMWSRVSVYWHRQWRLPSAREKKIA >scaffold_502012.1 pep chromosome:v.1.0:5:14834501:14834988:1 gene:scaffold_502012.1 transcript:scaffold_502012.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L26 [Source:UniProtKB/TrEMBL;Acc:D7LSZ8] MKYNPRVTSSRRKNRKAHFTASSSERRVIMSSPLSTDLRQKYNVRSMPIRKDDEVQIVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGTTVNVGIQPSKVVITKLRLDKDRKSLLERKAKGRAAADKEKGTKFTSEDVMQNVD >scaffold_502015.1 pep chromosome:v.1.0:5:14847154:14848021:1 gene:scaffold_502015.1 transcript:scaffold_502015.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain protein 38 [Source:UniProtKB/TrEMBL;Acc:D7LT02] MSCNGCRVLRKGCSENCILRPCIQWIESPEAQGHATVFVAKFFGRAGLMSFISAVPESQCPALFQSLLYEACGRTVNPVNGAVGLLWTGNWNICQAAVETVLRGGSLKPIPELLNGGGFAGFPSPTSDEASEICTEMLNLRKDDDSGDRNIYHHCRFSSSRSRSTASPPKRKRLSSELDLSLIPTFPIKTVPFKEDTPSMYSEESVTTVSFRDNNAGDRYVRGGGGETAKLLNLFA >scaffold_502019.1 pep chromosome:v.1.0:5:14870238:14870884:1 gene:scaffold_502019.1 transcript:scaffold_502019.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LT06] MSAEVLNRWFCGGVAWFTGDDDLGRMDGKMLDGLSSITVNDEAVVYTVDDTKVIQRRLFIWMRRWWWTPRGRLLRRR >scaffold_502020.1 pep chromosome:v.1.0:5:14882213:14883120:1 gene:scaffold_502020.1 transcript:scaffold_502020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDRETYYAKRFKDAETIVFWDVNDCGIPYGYKAVEVSNNIRLALKKMNYLGAVTIYAYGDRKQIVDNLEPTAIEKTPCDDKTSIYADGDQNSPAIVFSHTTGAEFLNLTLFFYAYKFLFSTLLMYVLIYIISDRTERLELILLDMFVQAIENRSTANFMLIAGDISQNFEVAFGMNRLHMAGNNILLAQPEDEPSLETLPGDTNSVWESLSIGESRRIKRIYKPSNPRDYTCLGGSRYYGFLLVGALVVFTFTFASRRRI >scaffold_502021.1 pep chromosome:v.1.0:5:14884169:14885019:-1 gene:scaffold_502021.1 transcript:scaffold_502021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDNPFFCRISLYLLLEIVYWANTKVFVDVDDCPIPNGLDTRELLVNIKTSLENQGYFGRVTVNFYGRRDRTECITQLLDVNIFNTFPGTIAQRRTRIFIDLLYRATETYKPQNFLIIMGDISNHKGFLKSIHKLKSKRRFNFLLAQPHKASEELHDAVSTEWLWESLTAGGGPINNLSESAQQF >scaffold_502024.1 pep chromosome:v.1.0:5:14890399:14894151:-1 gene:scaffold_502024.1 transcript:scaffold_502024.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin-independent 1 [Source:UniProtKB/TrEMBL;Acc:D7LT12] MDLELANNDGERSQRRRFIYRRSPDKSCFRDLKHWKIYISQDELIYNRFSKSFRFLSHQQDTDFSEIQTKIAPLLFEAYSTIPQVSQVSYISRAGLLFSYIAESNTSVAVFANSSSTSSGGDYTWYTQTVDQLTGRLNGNATKSQPLDLTHTDWFQVAQSDNYTTAFLGTSLGGEDNETLIQSVVSLYNNKGLVSLGFPVKTLTDVLNSLNLHGEELYMWTKDETMLVRQGSLNASFFVSNGSICFSRESKSIGSQCIPYNCSSSGYEVEIKRSKFQAFCSVLEVSGVSLRYTLMFPNKSGATRIRDQAEKAMYQLIVVTIFFGLGWPLWFVWFMMQATRREMHMRAVLINQMEATQQAERKSMNKSQAFANASHDIRGALAGIKGLIDICRDEVKPGSDVDTTLRQVNVCSKDLVVLLNSVLDMSKIESGKMHLVEEDFNLAKLLEDIIDFYHPVAMKKGVDVVLDLHNGSIFKFSNVRGDSGKLKQILNNLISNAVKFTVDGHISIRAWAQRPSSKSSVVLASDPQGVSKILKSMFCKNKDQSSTCETEISNSIRNNANTMEFVFEVDDTGRGIPMEMRKSVFENNVQVRETRHQGTGLGLGIVQSSLVRLMGGEIRITDKAMGEKGTCFQFNVLLTTLDSPPVSDMKAKQDIEVGGEYVSTPNLGLTINTSLGAIMNIRNLSPRFNNCLSSSPKQECSRVVLLLKNEERRRVTEKYIKNLGIKVSVVEKWEHLSYALERLFGFSPQSSMGRAECSLSNPSSRELPLIGMDGIDSRSQLPKKRSNSFSALVLLVIDAKTGPFFELYDIVKQFRRGLPHGITCKVVWLNESSTRVSEKGDISCSRPLHGSHLMEVLKMLPEFGGTFLKETPTELQRESLLRHSFVAERSPKQNSRSSESETWVKSVRTGGNLIGNPEDEQGTSKPSDDEFLRGKRVLVVDDNFLIRKVATGKLKKMGVSEVKQCDSGKEALRLVTEGLAQRREEQGSIYNLPFDYIFMDCQIRKVEKSYGVRIPVIAVSGHDHGSREARETIQAGMDAFLDKNLNQLPNVIRDIESKTTSRH >scaffold_502025.1 pep chromosome:v.1.0:5:14907763:14908391:-1 gene:scaffold_502025.1 transcript:scaffold_502025.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease inhibitor, potato inhibitor I-type family protein [Source:UniProtKB/TrEMBL;Acc:D7LT16] MNRSCPIYGPPCQLCSCAGISCQPLFPGMKVEWPELTGVSGLEAKRRIEHDNPKVVAVIIPDDVAVVAINCCNRVILRVPVNNCPNGPVLNIPHVG >scaffold_502026.1 pep chromosome:v.1.0:5:14909889:14910465:-1 gene:scaffold_502026.1 transcript:scaffold_502026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPNKRGATRIRDQAEKTMYQLIMVTIFFGLGWPLWFVWFMMQATRREMHMRAMLINQMEATQQAERKSMNKSQAFANASHDNRGALAGIKGLIDICRDEVKPGSDVDTTLRQVNVCSKDLVVLLNSVLDMSKIESGKMHLVKVIRFA >scaffold_502033.1 pep chromosome:v.1.0:5:14938980:14940362:-1 gene:scaffold_502033.1 transcript:scaffold_502033.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCD3_3 [Source:UniProtKB/TrEMBL;Acc:D7LT24] MALEEEEESQNAPFCVLDGLFCEEESEFEEQEADLCDESVKKFPFLHLGLSDHDMFWDDDELLTLISKQEPCLYDEILDDEFLVLCREKALDWIFKVKSHYGFNSLTALLAVNYFDRFITSRKFQTDKPWMSQLTALACLSLAAKVEEIRVPLLLDFQVEEARYVFEAKTIQRMELLVLSTLEWKMHPVTAISFFDHIIRRYSFKSHQQLEFLSRCESLLLSIVPDSRFLRFSPSVLATAIMVSVIRDFKMCDEADYQSQLMTLLKVDSEKVNKCYELVLDHSPSNKRMMNWMQQPASPIGVFDASFSSDSSNESWVVSASASVSSSPSSEPLLKRRRVQEQQMRLSSINRMFLDVLSSSPR >scaffold_502047.1 pep chromosome:v.1.0:5:15005779:15006789:-1 gene:scaffold_502047.1 transcript:scaffold_502047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGSGNTNTKLILLHPYIQKQTSTTRLWLLAFVSFFTIVFLLTLLYTRDTIPSKNTSVAAAVAAVVTGGSPSSSSSPISNSNLPTSAINALLHYASRSNDSFHMSYGEMKSISDVLRRCAPPCNLLVFGLTHETLLWKSLNHNGRTVFIEENRYYAAYFEEIHPEIDVFDVQYTTKAHEAGELVTAAKEAAGNECRPVQNLLFSDCKLGLNDLPNHVYDVDWDVIFVDGPRGDAHEGPGRMSSIFTAAVLARSKKGGNPKTHVFVHDYYRDVERLCGDEFLCRENLVESNDLLAHYVLDKMDKNSTTFCSGRKKRSVSSLS >scaffold_502048.1 pep chromosome:v.1.0:5:15008945:15009158:1 gene:scaffold_502048.1 transcript:scaffold_502048.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LT37] MWISGFRIMILERLSGEEQQQRRMLLRFHWSFSPASSTNRRQRQLSNMFHKQPERRS >scaffold_502055.1 pep chromosome:v.1.0:5:15034230:15035260:1 gene:scaffold_502055.1 transcript:scaffold_502055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLVRRSQIGSLLRIFPCRFSIFRFPKTVSLPVEELKNEEDLLRKQEIERRKEKLRKYIQNRTKRSELKSLYDQCEVDGEKMESEGVPIHKMLASYISEFDVTGMEKYLNKLEEKRPKGFALAMLRKSEPLLVTNEYYDILGPIRVFGALRILYRRFGEKEDLRRLWNLARDMKAFKFINEYICTILAFWLKDDIEGAHQVLEEWDTGEHRYFEVKIKDILDFSQCRKLVIQGTELMVNGMFEEHKEEEENKKTAMEVRLNGWDPKKNLALSAYACVQYVEGHRDIESTVDVLRLLGKRESQDAIMGEDRLSRKLVEAMRGGGYVGEV >scaffold_502057.1 pep chromosome:v.1.0:5:15038581:15039508:1 gene:scaffold_502057.1 transcript:scaffold_502057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSCDRDFFEHCSTWKHHSIESRKDPSPSLSRLPSKRSPLPQTRPGNPFHLSVKILSLRCSRHLLPLSCRLVKVNNHEENTVSFYIDCNDDGLGSKFVHAIAESVYVSDLLQPDGSVSDSFKHFFPANDFKIIEGISKPLLAIQVTEMKDGVFISFGLPSKLLGPSQISHTDVFLVSSVDRT >scaffold_502058.1 pep chromosome:v.1.0:5:15041008:15042079:-1 gene:scaffold_502058.1 transcript:scaffold_502058.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPKKK20 [Source:UniProtKB/TrEMBL;Acc:D7LT46] MEWVRGETVGFGTFSTVSVATNTRDSGDFPAIMAVKSSDSYGAASLSKEKSVLDSLGDCPEIVRCYGEDLTVENGEKMHNLLLEYASRGSLATHVKKLGGEGLPESTVRRHTGSVLRGLRHIHAIGFAHCDIKLGNILLFNDGAVKIADFGLARRVDGDLTPSKKSVEIRGTPLYMAPESVNENEYGSAADVWALGCAVVEMFSGKTAWSVKEGSHFMSLLIRIGVGDELPKIPEMLSEEGKDFLLKCFVKDPEKRWTAEMLLNHSFVAVDLEDYHREDFVVKFKEEEKVLMSPKCPFEFHDWESFTSDSHTSLDSPVERLGSLVSGSSPDWSVGGSWVTVR >scaffold_502067.1 pep chromosome:v.1.0:5:15107627:15108411:1 gene:scaffold_502067.1 transcript:scaffold_502067.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LT55] MQVALGRDEFRSGIYKSPSQVPIIDGIYLEAYLPPKKIPFLSNLNIFFLGKLLQRERYSQSTRNKPSHDHSETELSNAHGEVIEQGFKLKWLKLKLDEVSLKRKKLDVDVLQLDERVKNIELMRLDFKLDCLKTNLEEVSLERKKSDDA >scaffold_502072.1 pep chromosome:v.1.0:5:15127623:15128254:1 gene:scaffold_502072.1 transcript:scaffold_502072.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LTE8] MFRELRILSLFTNETKWKLELLKTAGKEPLFMQTLLEGIDSNSREAELNQQVCRAHANLHNFVTDSANKVAELALPNPPKLCSLLFPLLQSLLLFSQILKLNYLLKLTYLLKLCSLLFPLLQFLLLLSQILNKLSNSMIPLLSNILLSSQILNKLSNSMIPLLSNIQQTLLLTLLYKLSNSMIPLLSNIQQTLCLP >scaffold_502073.1 pep chromosome:v.1.0:5:15128471:15129127:-1 gene:scaffold_502073.1 transcript:scaffold_502073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNEVASSAVQLTRKCVSMALKQARQLHAIILTAGAGSASESPYANNNLISMYVRCGSLEQARKLFNKMPERNVVSYNALYSSESLKPNSSTFTSLVQVCTVLEDVLMGSLLHSHTIKLGFSGNVVVQTSVLGMYSSCGDLESARRIFDCVNGGDAVAWNTMIVGSLRNDKIEDGLVLFRSMLMSGVDPT >scaffold_502084.1 pep chromosome:v.1.0:5:15164355:15166990:-1 gene:scaffold_502084.1 transcript:scaffold_502084.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LTG0] MMRNVGSSSSSGKGIAAVVGVGPKLGRSIARKFAHEGYTVAILARDLGRLSRVAEEIAREEKAQVFAIRIDCADPRSVREAFEGVLSLGFVEVLVYNAYHSSSSYASHHPTSFTHIPFQSFQTSISVSVFAAFLCAQQVIPGMMEKGKGTILFTGCSASLNGIAGFSELCCGKFALRALSQCLAKEYQAFGIHVAHVIIDGVVGPPRETNIPPRGMVAEQSFNVGGEDGEGEGESSGVMGMDPDVLAQTYWYLHVQDRRAWTHELDIRPSNTRF >scaffold_502096.1 pep chromosome:v.1.0:5:15224413:15224686:1 gene:scaffold_502096.1 transcript:scaffold_502096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVLWDWENCNVPAYIKPKELLGNIKNALCNLGYTMDIVMQGYDDANVLKDGYLDELALSGIRMTHVPPGKDASVK >scaffold_502097.1 pep chromosome:v.1.0:5:15226949:15229892:-1 gene:scaffold_502097.1 transcript:scaffold_502097.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 90B1 [Source:UniProtKB/TrEMBL;Acc:D7LTH1] MFETEHHTLLPLLLLPSLLSLLLFLILLKRRSRRTRFNLPPGKSGWPFLGETIGYLKPYTATTLGDFMQQHVSKYGKIYRSNLFGEPTIVSADAGLNRFILQNEGRLFECSYPRSIGGILGKWSMLVLVGDMHRDMRSISLNFLSHARLRTILLKDVERHTLFVLDSWQQHSVFSAQDEAKKFTFNLMAKHIMSMDPGEEETEQLKKEYVTFMKGVVSAPLNLPGTAYHKALQSRATILKFIERKMEERILDIKEEDQEEEVKTEDEAEMSKSDHVRKQRTDDDLLGWVLKHSNLSTEQILDLILSLLFAGHETSSVAIALAIFFLQACPKAVEELREEHLEIARGKKELGESELNWDDYKKMDFTQCVINETLRLGNVVRFLHRKALKDVRYKGYDIPSGWKVLPVISAVHLDNSRYDQPNLFNPWRWQQQNNGTSSSGSGSFSTWGNNYMPFGGGPRLCAGSELAKLEMAVFIHHLVLKFNWELAEDDQPFAFPFVDFPNGLPIRVTRIL >scaffold_502099.1 pep chromosome:v.1.0:5:15262055:15262574:-1 gene:scaffold_502099.1 transcript:scaffold_502099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSPISASLPPSFHRRNLIRRSIKPLGKVVAKAKENTNTGGVLETAAIVGGLVSTPVIGWSLYTLKTTGCGLPPGPAGSIGALEGVSYLVVVGIVGWSLYTKTKTGSGLPNGPFGLLGAVEGLSYLSVLAILVVFGLQFLDNGSVPGPLPSDQCFG >scaffold_502107.1 pep chromosome:v.1.0:5:15294610:15296235:1 gene:scaffold_502107.1 transcript:scaffold_502107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLKVLTYGSDSVVHYTAPSAPVRSTWESCMLRTRDMCALVCVPHTCDTETSSRSYVRHRNLEPELRATQKPRAGAMGPIKPSSPTMHVTVGIKICDHLMEMTLPRDLEDEILSRVPDKSLARFRCVCKQWNTQLVEETFLAQHSSRIIRVYKIVQCTGLLVCVMENQLLIWNPYLKVTRWIKCSSDFHRFDDAYGLGFIRQSPTLRNYKIVRFRCAHNSRDRPSRVEVYDFQAGLRILVA >scaffold_502108.1 pep chromosome:v.1.0:5:15300662:15301842:-1 gene:scaffold_502108.1 transcript:scaffold_502108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMWNPFCGANDLSSRKMILLDFLSCSLSYGSKPKVVLLIVGDISRHKGFKRAIDVWNSNRLGVVLLAQPQKASQEVHDAVSTEWLWEKLATASQSPQRLYME >scaffold_502109.1 pep chromosome:v.1.0:5:15303494:15304002:1 gene:scaffold_502109.1 transcript:scaffold_502109.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LTI4] MALRAPLLMFVRLAANQEINASTKQFHEVLRAVENRQSRARFVVVAFDANPNLRIQIEAACVRHGVLMFHVPSNELRIAAHGIVPVVACAILRAPRGNEGFLRMWINMLNTISGLEEQLGYHPTL >scaffold_502113.1 pep chromosome:v.1.0:5:15315429:15315807:1 gene:scaffold_502113.1 transcript:scaffold_502113.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LTI7] MVKLVSEAAKVRSLEILASARALGSVLKGKEELTKAMAKTNHPILLVLAGDADPPSLLREFEDAAVALGIPVVYVASRNDIKEATGVRSLMACVVPFDGQEVLRIDELVAMG >scaffold_502115.1 pep chromosome:v.1.0:5:15323056:15324121:1 gene:scaffold_502115.1 transcript:scaffold_502115.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LTI9] MHSSCLLSLTILAVFTVSFAGGERFKEAPKFFNSPECLTIENDDDFVCSDKAIHVAMTLDAAYLRGSMAVILSVLQHSSCPQNIVFHFVTSKQTHRLQNYVVSSFPYLKFRIYPYDVAAISGLISTSIRSALDSPLNYARNYLADILPTCLSRVVYLDSDLILVDDISKLFSTHIPTDVVLAAPEYCNANFTTYFTPTFWSNPSLSITLSINRRRPPCYFNTGVMVIELKKWREGDYTRKIIEWMELQKRIRIYELGSLPPFLLVFAGNIAPVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWVRLDDGRPCPLDALWVPYDLLESRFDLIES >scaffold_502119.1 pep chromosome:v.1.0:5:15341752:15342256:-1 gene:scaffold_502119.1 transcript:scaffold_502119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACGSRESRRTETAKLILPDGTLQEFSTPVKVWQILQKNPTSFVCNSDDMDFDDAVLAVAGSEDLRPGELYFVLPLTWLNHPLRADEMAALAVKASSALAKSGGGGLSYNDEDVGECRVKRVKRNGCGGRGCGGGGGGKGRRKFTAELSSIAE >scaffold_502122.1 pep chromosome:v.1.0:5:15358455:15359847:-1 gene:scaffold_502122.1 transcript:scaffold_502122.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II subunit O-2 [Source:UniProtKB/TrEMBL;Acc:D7LTJ5] MATSLQAAATFLQPAKIAASPSRNVHLRSNQTVGKSFGLDSSQARLTCSLHSDLKDFAGKCSEAAKIAGFALATSALVVSGASAEGAPKRLTYDEIQSKTYMEVKGTGTANQCPTIDGGSETFSFKPGKYTGKKFCFEPTSFTVKADSVSKNAPPDFQNTKLMTRLTYTLDEIEGPFEVGSDGSVKFKEEDGIDYAAVTVQLPGGERVPFLFTVKQLEASGKPENFSGKFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELSKENVKNTAASVGEITLKITKSKPETGEVIGVFESLQPSDTDLGAKVPKDVKIQGVWYGQLE >scaffold_502123.1 pep chromosome:v.1.0:5:15373769:15374416:-1 gene:scaffold_502123.1 transcript:scaffold_502123.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LTJ6] MSAEVENRCFCGGVAWVTGDDDPGRMDGKMLDGLSSITVNDEAVVYTLVDTKGIQRRLFIWMRRWWWTLRGRLLWRR >scaffold_502133.1 pep chromosome:v.1.0:5:15409275:15409662:1 gene:scaffold_502133.1 transcript:scaffold_502133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAMSTRKIHGGYEKLGDEEARLKRVSSVPASVYGQSRNPVQELKKTPTVKPTGGSVHPLLSFFDVHFQRKKKKTTKKKSLATAKPEFARYMEYVKEGGVWDPSSNAPAIHYR >scaffold_502136.1 pep chromosome:v.1.0:5:15423890:15424736:1 gene:scaffold_502136.1 transcript:scaffold_502136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIDFDENVKTEKSKASLHRFRSVGFFFRATEICVALLFVCWIFTCLPFAVKISGEFFRRLACFISTPLFMFFLGNSIVVALLTTKSTVAGRSISETDIYEAFIRSGDNRVNSSDGDLTEEEIIVFDDKQMITTAETDSNSNPTVARVDHESEKDSNSNPTVARVDHESDKDSNSVTDHPTKAYRRSKSEISAKQSIETVMKHSLRRSVTEKCRKIIESCNESPVDEIIPEDHMTNEEFQKTIEAFIAKQLIFRRRKSLAVVIHNKI >scaffold_502138.1 pep chromosome:v.1.0:5:15427505:15427698:1 gene:scaffold_502138.1 transcript:scaffold_502138.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LTL0] MSESRPYVEIEPQLAVIEMARCIRDYRGLSSHNHKSSRVCLSRNNQGRTY >scaffold_502139.1 pep chromosome:v.1.0:5:15429489:15430082:-1 gene:scaffold_502139.1 transcript:scaffold_502139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVTLFTVSYIFVSIFVFTHIQDVEARKICRIAKEYTGNHCRDGNDCLSKSTIHYKAPNLPKPFECACHNYRDDTPSLNNDTPSLNNDTPSPNKTYIFHHCICSFYC >scaffold_502143.1 pep chromosome:v.1.0:5:15443836:15444461:1 gene:scaffold_502143.1 transcript:scaffold_502143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTAMLARSVFRDYLPDEVKIYISEGFRSYFRGRFLLYFSTQMTITIEEFDGFVHNQVFEAAKAYLATKISPSNKKIKVSKHQKEKSYNVTVERDEEVVDTFNGVQFRWVLRCCHVESKNQNSKAKSEVRSFELNFHKQYKGIALESYLPFMVKRATLMKQEKKKLKIFTLDTEWYSNRR >scaffold_502149.1 pep chromosome:v.1.0:5:15459972:15460770:1 gene:scaffold_502149.1 transcript:scaffold_502149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYQNQSGAQQTHQQLDQFGIPFPATTGAYGTAGGAPVMAEGGGLSGMLHRSGSSSSSSSEDDGLGGRRRKKKGITEKIKEKLPGHHESNKTSLASTTTAYDTGTVHHEKKGMMEKIKEKLPGGHH >scaffold_502156.1 pep chromosome:v.1.0:5:15472452:15476131:1 gene:scaffold_502156.1 transcript:scaffold_502156.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FG-GAP repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LTM4] MRKRDLAILMLSGFAIFFTLQHEGDFAFKEAWFHLYDEYPVKYEADRLPPPIVADLNGDGKKEVLVATNDAKIQVLEPHSRRVDEGFSEARVLAEIPLLPDKIRIASGRRAVAMATGVIDRYYKDGTPQKQVVVVVTSGWSVLCFDHNLKKLWETNLQEDFPHNAHHREIAISISNYTLKHGDTGLVIVGGRMEMQPYNHMDPFEELGMTAQNAEQHRRSATENQASEDSGAINLRHFSVYAFAGKTGLLRWSKKTDDVEAHTSDASQLIPQHNYKLDVHALNSRHPGEFECREFRESILSVMPHHWDRREDTLLKLAHFRRHKRKTLKKQAGSKSTAYPFHKPEEHTPAGKDLSRKIPKLIGKAARYAGSAKPKKGMQYIPTITNYTKLWWVPNVVVAHQKEGIEAIHLPTGRTLCKLSLLEGGLHADINGDGVLDHVQTVGGNVGERTVVSGSMEVLKPCWAVATSGVPIREQLFNVSICHHSPFNFLHYGGDYSRHFAQARDTSTLEIATPILIPRDDGHKHRRGSHGDVIFLTNRGEVTSYTPDVHGHDAVWQWQLQTEATWSNLPSPSGLTESGTVVPTLKPFSLRIHDNQPMILAGGDQAAVIISPGGSILASIELPSQPTHALITDDFSNDGLTDVIVMTSNGVYGFVQTRQPGALFFSSLVGCLLVVMAVIFVTQHLNSIQGKPRPSSSF >scaffold_502157.1 pep chromosome:v.1.0:5:15486966:15488505:1 gene:scaffold_502157.1 transcript:scaffold_502157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFSLDGGGGGGGGGGNNQEDHRSNTNPPPPVSEAWLWYRNPNANANANANAPSSSNAALGTLELWQNHNQQEIMFQHQQHQQRLDLYSSAAGLGVGPSNHNQFDISGETSTAGAGRAAAMMMIRSGGAGGGGGGSGGVSCQDCGNQAKKDCAHMRCRTCCKSRGFECPTHVRSTWVPAAKRRERQQQLATVQPQTHLPRGESVPKRHRENLPATSSSLVCTRIPSHSGLEVGNFPAEVSSSAVFRCVRVSSVEDGEEEFAYQTAVSIGGHIFKGILYDLGPGSSGGGGYNVVAPGESSSGGGGAQQLNLITAGSVTVATASSSTPNLGGIGSSSAAAATYIDPAALYPTPINTFMAGTQFFPNPRS >scaffold_502159.1 pep chromosome:v.1.0:5:15497678:15497891:1 gene:scaffold_502159.1 transcript:scaffold_502159.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LTM7] MVFCKFEAFDRNRRVIPNRFELNKTGGENRKGKPLLLHLPKVVDGPSPNMVVNFLNG >scaffold_502165.1 pep chromosome:v.1.0:5:15525746:15531771:-1 gene:scaffold_502165.1 transcript:scaffold_502165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQQKQLQQGVPELASLAGREESSVRGIDLMRVDQCEEIGVNQVPALSVPALSVAGTVAVPVSIEQEVKVVDEVAPVKRKRGRPPRAQAKTPLHIRPPPPPPRKDDKEEDVCFICFDGGDLVLCDRRNCPKAYHPACIKRDEAFFRTTAKWNCGWHICGTCQKASSYMCYTCTFSVCKRCIKDADYVIVRGNMGLCGTCIKPIMLIENIAQGDNEAVKVDFDDKLSWEYLFKVYWLSLKEDLSLTVDELTKANNPWKEVPNTAPNVESRNDHTNNRALDVAVNGTKRRKTSDSPTLPNKLDGKNSNNILKKAPRDTSWATKELLEFVSFMKNGDTSVLSQFDVQGLLLDYIKKKNLRDPLQKSQVLCDLMLVKLFGKQRVGHFEMLKLLESHVLIQEKPKGDKTTNGETTHAVPSQIEEDSVHDPAVRDRRRKMRRKTDGRVQNENLDAYAAIDVHNINLIYLRRKFLESLLDDINKVHEKVVGTILRIKVSGSDQKVDIHRLVQVVGTSKATASYQLGAKTTDVMLEILNLDKREVISIDQLSDQNITEDECKRLRQSIKCGLNKRLTVGDILKTAATLQAMRTNEALEAEILKLNHLRDRAKKLELLKSPDERQRLLQEVPEVHTDPSMDPSHASSSDAGLGTTKQDNHIKAQSKGPQNKGAILNNVGNNAQKKYDAPILRSRNVVHADKDDCSKVHNNSSSIQETGKDDEESEIWHYRDPTGKTQGPFSMVQLRRWKSSGHFPPYLRIWRAHENQDESVLLTDALAGRFDKATTLPSSALLPQEQKPFPHDSGRTGVDVNCLQKNQTPVNTSATSSSSSTVTAHSNDPKEKQVVALVVCSGKVEDGNSVCPQSQVSCPASMSVVPGHVVSPDVRQTPGTDQSNAVRADGNHNTTKTLDDGTNGGSAINGSVQAPNLNQESHFLDFPSPTPKSSPEDLEAQAAETIQSLSSCVLVKGPSGVTWSTTTTATTDAATTTSSVVITGGQLPQVTQQNAVVLAAPSVKPIDLAADHATATQTSDNTQVAQASGWPAIVADPDECDESVSDLLAEVEAMEQNGLPSSPTSTFHCDDDDDLTKGPEKDFFNPVARMSLTPETCRLDVSQASILDNVSAGKNSMGTEAKDNTPFSHFGTAGPELLLFAPPAPAPTSISHDLTLTTTALRLGSETTVEAGSVERFPKSVLGVSSEPSPRSFISHDSGSARGNTERSPRGSQQKRSNGHSRDRQWLNNSHNSSFNNSHNNRQWPYSNSHGYDHGSGSYAAHPPKGLKICKFYESGYCKRGASCSFWHP >scaffold_502169.1 pep chromosome:v.1.0:5:15547309:15548480:-1 gene:scaffold_502169.1 transcript:scaffold_502169.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose 4,6 dehydratase [Source:UniProtKB/TrEMBL;Acc:D7LTN6] MASENNGPRSESESITKADSTVVEMPPSQPRKIALITGITGQDGSYLTEFLLGKGYEVHGLIRRSSNFNTQRLNHIYIDPHNVNKALMKLHYADLTDASSLRRWLDVIKPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHTIDSGRTVKYYQAGSSEMFGSTPPPQSETTPFHPRSPYAASKCAAHWYTVNYREAYGLFACNGILFNHESPRRGENFVTRKITRALGRIKVGLQTKLFLGNLQASRDWGFAGDYVEAMWLMLQQEKPDDYVVATEEAHTVEEFLDVSFGYLGLDWKNHVEIDPRYFRPSEVDNLQGDASKAKEVLGWKPKVGFETLVKMMVDEDLALANREKLLVDAGYMDAKQQP >scaffold_502176.1 pep chromosome:v.1.0:5:15565090:15565315:-1 gene:scaffold_502176.1 transcript:scaffold_502176.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LTS8] MPSSVLITCGQVHVNIHSFQPIIKNHHWIYFCSRPKMSFVGPYKHLAHFFETLETPIMVLS >scaffold_502177.1 pep chromosome:v.1.0:5:15565401:15566869:1 gene:scaffold_502177.1 transcript:scaffold_502177.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:D7LTS9] MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLAVEKKSTPKLQDSRSARKIVSLDNHIALACAGLKADARVLINKARIECQSHRLTLEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTRIPALYQTDPSGTFSAWKANATGRNSNSIREFLEKNYKESSGQETVKLAIRALLEVVESGGKNIEVAVMTREEEGVLKQLEEEEIDIIVAEIEAEKAAAEAAKKGPAKET >scaffold_502181.1 pep chromosome:v.1.0:5:15577385:15578552:1 gene:scaffold_502181.1 transcript:scaffold_502181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFVKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFFIDHPGAVPITTAQGEELRKQIGAPTYIECSSKTQENVKAVFDAAIRVVLQPPKQKKKKSKAQKACSIL >scaffold_502183.1 pep chromosome:v.1.0:5:15583935:15585572:-1 gene:scaffold_502183.1 transcript:scaffold_502183.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LTT5] MAKASSIRQFVTSRFISPGTGLLKGFKLVEDSNSIKHLFQVHARLITSGNFWDSSWAIRLLKCSSRFGDSSYTLSIFRSIGKLYCANPVFKAYLVSSSPKQALGFYFDILRFGFVPDTYTFVSLVSCIEKTCCVDSGKMCHGQAIKHGCDQVLPVQNSLIHMYTCCGALDLAKKLFVEIPKRDIVSWNSIIAGVVRNGDVLYAHKLFDEMPEKNMISWNIMISAYLGANNPGVSIFLFREMVGAGFQGNENTLVLLLNACGRSARLKEGRSVHASLIRTFLNSSVVIDTALIDMYGKCKEVDLARRIFDSLSVRNKVTWNVMILAHCLHGRPEDGLELFEAMINGLLRPDEVTFVGVLCGCARAGLVYQGQSYYSLMVDEFEIKPNFGHQWCMANLYSNAGFPEEAEEALKNLPEEDVTPESAKWANLLSWSRFTVNPALGESIAKSLIETDPLNYKYYHLLMNIYSVTGRWEDVNRVREVVKERKIGRIPGCGLVDLKEIVHGLRLGCEEAEKVITETSLEQCYSDSLT >scaffold_502185.1 pep chromosome:v.1.0:5:15587734:15590662:-1 gene:scaffold_502185.1 transcript:scaffold_502185.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7LTT7] MVVARHVFVLLSLLVVGFWGLERCEASGKFSFEVHHMFSDRVKQTLGLDDLVPEKGSLEYFKVLAQRDRLIRGRGLASNNEETPITFMRGNRTVSIDFLGFLHYANVSVGTPATWFLVALDTGSNLFWLPCNCGSTCIRDLKDIGLSQSRPLNLYSPNTSSTSSSIRCNDDRCFGSSQCSSPASSCPYQIQYLSKDTFTTGTLFEDVLHLVTEDVDLKPVKANITLGCGRNQTGFLQSSAAINGLLGLGMKDYSVPSILAKAKITANSFSMCFGNIIDVIGRISFGDKGYTDQMETPLLPTEPSPTYAVNVTEVSVGGDVVGVQLLALFDTGTSFTHLLEPEYGLITKAFDDHVTDKRRPIDPEIPFEFCYDLSPNSTTILFPRVAMTFEGGSLMFLRNPLFIVWNEDNTAMYCLGILKSVDFKINIIGQNFMSGYRVVFDRERMILGWKRSDCFEDESLASQAPPPPETEAPAPSVSAPLPSHPPLAAADTPPPIDARNSTRNSGTGTAANLIPLASQLLLLIPLLAFL >scaffold_502186.1 pep chromosome:v.1.0:5:15591392:15591668:-1 gene:scaffold_502186.1 transcript:scaffold_502186.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LTT8] MEGFEDESLESTTPPPEIEAPPPSVSTPPPLLPPPATSATPPPMNPRNSTRNSGTGGSANLSHLAAQLLLLLPLLAFF >scaffold_502187.1 pep chromosome:v.1.0:5:15591692:15594387:-1 gene:scaffold_502187.1 transcript:scaffold_502187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFARQVFVLLSVLVACWGLQRCESAGKFSFEVHHMFSDTVKQNLGFGDLVPEKGSLEYFKLLAQRDRLIRGRGLSSNNEEAPVTFILGNRTVSIDFLGSDLFWLPCNCGTTCIRDLEDIGLSQGGCSSPASVCPYQIPYLFNTTSTRGTLFEDVLHLVTEDEGLEPVKANITLGCGQNQTGLYRKSLAVNGLLGLGMKDYSVPSVLAKENITANSFSMCFGNIIDFIGRISFGDRGHTDQLQTPLVPIEPNPTYAVNVTEVTVGGDILEIQMLALFDTGTSFTHLLEPAYGLLTKAFDDHVTDKRRPIDPEIPFEFCYDTSPNIKSFKFPRVNMTFVGGSKLTLRDPLFTVWNEARHGAWMSSLTFSDREKKKKEYVLNAFHIWVVSENLMSGYRIVFDRERMILGWKRSDCK >scaffold_502190.1 pep chromosome:v.1.0:5:15604871:15605565:-1 gene:scaffold_502190.1 transcript:scaffold_502190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHSEVKQPLCPKPRRVCPSLPDFLKPLSCSLHSSTCQQSTEGRSDVLSIIDKVQPIEGGTIESMWYVGSPPRRTGNPLVHDLHFIHCLDLLPNFSRSKA >scaffold_502193.1 pep chromosome:v.1.0:5:15613348:15615633:1 gene:scaffold_502193.1 transcript:scaffold_502193.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LTU5] MGVCCPFLQPWDRARDQFLINLPCLSDPVRRSSLLLKLALVVLHLVFIGFLFLFDADFIEKTKRDPWYMGCYLLLFSATLLQYFVTSGSSPGYVVDAMRDVCETSAMYRNPLACSIQHASRKSESVVVNVEGGSASCPRRAPTPWGKLVLDLYPPGTSIRNLTCGYCHVEQPPRTKHCHDCDRCVLQFDHHCVWLGTCIGQKNHCKFWWYICEETTLCIWTLIMYVDYLSDVAKPWWKNAIIILLLVILAISLIFVLLLLIFHSYLILTNQSTYELVRRRRIPYMRNIPERVHPFSRGIRRNLYNVCCGNYNLDSLPTAFELEDRSRPYTCIDMLKCRCC >scaffold_502194.1 pep chromosome:v.1.0:5:15615715:15616593:-1 gene:scaffold_502194.1 transcript:scaffold_502194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYHIRSCSLPARLHPHGLNQIQQVLNQLPADDNNSLLLLSHLYDSVSHLFNDSPSSLLLPHHSFFTHLLDLSLVHLDLCSKLRDITCRIKDCLRDLRSAFRRRRHSGDSTIRCHVKAFIRSRKAVHKDIAKLLLLLKQTGLSSSESTHPLITLLQQVCSQTCHSFRTVLLSLSTAVPKPRPSKWALVTKLVIKNVTSTSGQVRTGHRNEFHMMDEELRRFSMAEEIKKDRIKSMITNLDHVDVAVEDLEESLERLYRRMIQARVSLLNILSLHI >scaffold_502204.1 pep chromosome:v.1.0:5:15646060:15646833:1 gene:scaffold_502204.1 transcript:scaffold_502204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLTSLPTTFCLSHSDECCNSRSPTKSPFPGHHPLAGRRKGHLLHYELTTVRRLVVTAATEGSRKSKESEPSWANPDSDEPPPWARNEGRSSTSQESFEVPFYVYLLASAITAIAAIGSVFEYSSKNPVFGVLDSDSIFYTPVLGFFALTGIPTSVFLWFKSVEAANKEAQEQDKRDGFL >scaffold_502213.1 pep chromosome:v.1.0:5:15682986:15685309:-1 gene:scaffold_502213.1 transcript:scaffold_502213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAAVAGSRPWNAFIQALVLISLSFPALSSAYRPGDIVRMSKMGQYHSSRTTWHDVIGKHCPIFAVNREVLIPIAKPIGYTGTDPYKIKFQVGSEKYLIHWLLVINRKSSEVPMIDVNLRYSGGDLLGVTAEVVDMPHSYLNTHPEIRKQFWDPEHWPKHVLVRYTWKEQSEIDVSSGFYVLFGSALTFSFVLSIYVLQSSREKLARFVRETVVESSSTNVGEFGKAD >scaffold_502223.1 pep chromosome:v.1.0:5:15731355:15731579:-1 gene:scaffold_502223.1 transcript:scaffold_502223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRKNSSASLDEIKPWKIKVQIVRTWKGDNKESGNSVDMVLLDSSVWLYLNYTLMYLL >scaffold_502224.1 pep chromosome:v.1.0:5:15733608:15733888:-1 gene:scaffold_502224.1 transcript:scaffold_502224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRKDSSSASLDEIKRWKIKVQIVRTCKGNNKESGNSIDMVLLDSSVWLCLNYIPLTCIFCNSIYIYQNDLHFDK >scaffold_502230.1 pep chromosome:v.1.0:5:15754917:15755831:-1 gene:scaffold_502230.1 transcript:scaffold_502230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYLTVEEMMDINRSRRLNFNAPFLSTKRHVSQEKLPGQFPEASVPFCWETAPGMPKNSSHLKNDAESETPRLKLPPGRLKVHVKGENDDFDDASESLTPARLSHMKKRNNHEHFHQTNQDTVDVLSLTQAIDMVELPKDSVSESDGSSGAGDSNGYLTMESTERSEDMSPSYIIERFLPDAAALAAVTSAASQRRKKKLSYLSGATVRQSCFSPKACGVHVLLPWSTKHRICGVKNAFSPSSHVHLQPKFITKNN >scaffold_502234.1 pep chromosome:v.1.0:5:15768267:15770617:-1 gene:scaffold_502234.1 transcript:scaffold_502234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDERDEQELSLTSPEVVTKYKTAAEIVNKALQVVLAECKPKAKIVDICEKGDAFIKEQTASMYKNAKKKIERGVAFPTCISVNNTVGHFSPLASDESVLEDGDMVKIDMGCHIDGFIALVGHTHVLQEGPVTGRKADVIRAANTAADVALRLVRPGKKNTEVTEAIQKVARAYDCKIVEGVLSHQMKQHVIDGNKVVLSVSSPETTVDEVEFEENEVYAIDIVASTGDGKPKLLDEKQTTIYKKDESVNYQLKMKASRFIISEIKQNFPRMPFTARSLEEKRARLGLVECVNHGHLQPYPVLYEKPGDFVAQIKFTVLLMPNGSDRITSHTLQELGTKISEDPEIQGWLALGIKKKKGGGKKKKAAKKAGEKGEASTEAEPMDASSNAQE >scaffold_502235.1 pep chromosome:v.1.0:5:15774336:15775753:1 gene:scaffold_502235.1 transcript:scaffold_502235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLFNINTLLMVSFHALMRFRVCQWKLASLFTPSTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTQVDRSVMSSKVKSNTVRNIIDNVGFFRSCSTLSLPMVPTLSSSFFVNIQTLFKINKNV >scaffold_502237.1 pep chromosome:v.1.0:5:15793478:15796477:-1 gene:scaffold_502237.1 transcript:scaffold_502237.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATG4/CHLG/G4 [Source:UniProtKB/TrEMBL;Acc:D7LTY8] MTSILNTVSSIHSSRVTSVDRVGVLSHRNPDSVEFTRRRSGFSTSFFESPGRRFVVRAAETDTDKVKSQAPDKAPAGGSSINQLLGIKGASQETNKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWTPEDVAKSILCMMMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISEQEVITQVWVLLLGGLGIAGILDVWAGHTTPTVFYLALGGSLLSYIYSAPPLKLKQNGWVGNFALGASYISLPWWAGQALFGTLTPDVVVLTLLYSIAGLGIAIVNDFKSVEGDRALGLQSLPVAFGTETAKWICVGAIDVTQLSVAGYLLASGKPYYALALVALIIPQIVFQFKYFLKDPVKYDVKYQASAQPFLVLGIFVTALASQH >scaffold_502243.1 pep chromosome:v.1.0:5:15826691:15827058:-1 gene:scaffold_502243.1 transcript:scaffold_502243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYVYDLPASFNDDWVTASDRCATHLFAAEVAIHRTLLSSDVRTLDPEEADFFFVPVYVSCNFSKSNGFPSLSHARSLFSSAVDFLSDHYPFWDRTQGSDHVFVAS >scaffold_502245.1 pep chromosome:v.1.0:5:15830685:15833606:1 gene:scaffold_502245.1 transcript:scaffold_502245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDRAILTFHRIPSLNSSLLTSSPAKSGTVQFRRRVLRNSARGDFGLGRFACISLVEKCGEQREFAPTTAQLLNNPLAILALVPKDAAIFAAGAIAGAAAKTVTAPLDRIKLLMQTHGIRIGHQSAKKAIGFIEAITLIAKEEGVKGYWKGNLPQVIRVLPYSAVQLLAYESYKNLFKGKDDHLSVIGRLAAGACAGMTSTLLTYPLDVLRLRLAVEPGYRTMSQVALSMLRDEGIASFYYGLGPSLVGIAPYIAVNFCIFDLVKKSLPEEYRQKAQSSLLTAVLSAGIATLTCYPLDTVRRQMQMRGTPYKSIPEAFAGIIDRDGLIGLYRGFLPNALKTLPNSSIRLTAFDMVKRLIATSEKQLQKINDDNRNRDQAQ >scaffold_502250.1 pep chromosome:v.1.0:5:15865255:15866404:-1 gene:scaffold_502250.1 transcript:scaffold_502250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFTDEQIQEFYEAFCLIDKDSDGFITKEKLTKVMKSMGKNPKAEQLQQMMSDVDIFGNGGITFDDFLYIMAQNTSQESASDELIEVFRVFDRDGDGLISPLELGEGMKDMGMKITAEEAEHMVREADLDGDGFLSFHEFSKMMIAASY >scaffold_502251.1 pep chromosome:v.1.0:5:15867713:15867944:-1 gene:scaffold_502251.1 transcript:scaffold_502251.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LU01] MADECFENAGLAAALTSDENYLRKLDFGFLTERICLQAISLFSGLERDERWSLGGLMWRDEKN >scaffold_502255.1 pep chromosome:v.1.0:5:15877099:15877299:-1 gene:scaffold_502255.1 transcript:scaffold_502255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPENHGDSSDLETAEKIILRWDSTTTEEAKENLIFQIVISALDSHRPIGE >scaffold_502259.1 pep chromosome:v.1.0:5:15894742:15895867:1 gene:scaffold_502259.1 transcript:scaffold_502259.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LU09] MGYLSCKAGSAVAIAVSSSASTSGSTSSKASARPESPIEDRPRLRRFLHRDLDSATGGFDINNLLGRGSHGSVYKAVIGSRLVAVKRPSKSREISREFHNEFEILSRIRSPRFVNLLGFSADNSKEPLLVVEYMGNGSLYDVIHSDSVLNSGAISSWSKRIKIALQIAKAVHLLHSQETPIIHRDIKSANVLMDKNLNAKLGDFGLAIRCNVDDQKVKSTPPAGTMGYLDPDYVTADRLSTKTDVFSFGILLLEIISGRKAIDVRYSPSFIVDWAIPMIKRGKIGGIYDPRIGPPIDVSVRNHLGLVAAKCVRTCREKRPGMEEVVGWLTGLTKSVRSRRWDDLSIGNPCMMVETVGRPVQ >scaffold_502260.1 pep chromosome:v.1.0:5:15896290:15896624:1 gene:scaffold_502260.1 transcript:scaffold_502260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPILHELMSEGVRVWVYNGDLDLAVPFSSTMDVLKKMNLTIVKEWRPWFTGGQLGGFTQDYQGNFTYATVKGAGHDVPKDQPIHALNLFSLYQLSS >scaffold_502262.1 pep chromosome:v.1.0:5:15900532:15900802:1 gene:scaffold_502262.1 transcript:scaffold_502262.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LU12] MSSISAASHLLTSSGASSRRNQTHLRSGEGRITKTDHRQSHKGPLHNAATRLSLAAPPTKTQGDFRCETIVDMYWI >scaffold_502266.1 pep chromosome:v.1.0:5:15923630:15926054:1 gene:scaffold_502266.1 transcript:scaffold_502266.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LU16] MGITETGDESSGRKTRRRISPSIESLDADILCIIFSFLDLFDLVHCTVVCNSWHAVIKKLKLLQASCRKMHHLGSDFPSSSTSLDGPAEIDVEDFAMKHHKMALLRGRIEIERWEAHSNRVSQCRMKKGLLLTGVGDKVMRLWSLKSYKCMEEYSLPDAASLIDFDFDEKTSVESLIWISETTSIIVGLVGTRISIWRRNGQRSIFPSREGTFPKGLCMRYIDPEAVVGCEDGTARVFDMYSKTCSQIIRTQGGPITCLSLSENQVFLSGSSLGRVTVSDPLLDQPVATLKSTITAGGIQTICFNQGTNLAFTGTTGGYVSCWDLRKMGRLWEKRVSPNVVYSIQQLRNDTSVMVAGGIDGVLRVIDQNSGRVLSRFIMEDKVSTTLRRDNQVVIEKRRGKRVSQDMEMDKIERKVRPQISCIAMGMKKIVTAHNGKCISVWRFNLS >scaffold_502267.1 pep chromosome:v.1.0:5:15926168:15926685:-1 gene:scaffold_502267.1 transcript:scaffold_502267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKSNQFKGQQKRKTIAPNRHGKSIQTRKGKRNVKPSKMTKEMDTDRELTKFINHCNEVKATNAACKVGGQLNIIKPESQAEPSKKSAK >scaffold_502269.1 pep chromosome:v.1.0:5:15932001:15932857:-1 gene:scaffold_502269.1 transcript:scaffold_502269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSKILGVLMMTTFITVLLGCCCSATIYKVGDDFSGWTAKDHTYYDWAKHKEFHVGDSLVFQYNPNFNDVTEASGALEFEFCDSSSPKAVYNTGNDVVTLTEPGYHYFITSNHGQCVAGQRFGVLVVHDLSRPIPPPPPSNANIPFGKFYKVGGDSNGWSVHEETDYYYNWSVDKQFQVGDNLVFEYDIEDNVDVLEISGHLEFKYCDPTSPVAVHKTGLDIVRLTKPGVHYFISSKTGHCAAGLKLRVMVSPILSVPKLSLIDRLTRW >scaffold_502271.1 pep chromosome:v.1.0:5:15935685:15936067:1 gene:scaffold_502271.1 transcript:scaffold_502271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSTTFYHPLCTNHRTKRQASSRHGVKSMRVMSCRKQKLPEENEGVIQRTLRRMISEAGKIGKNLKPEKKKGDVKDLMLMSLSFAVYVYISQLLVCAYFAWQHLSFPNPSW >scaffold_502273.1 pep chromosome:v.1.0:5:15940952:15942032:-1 gene:scaffold_502273.1 transcript:scaffold_502273.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRPB13.6 [Source:UniProtKB/TrEMBL;Acc:D7LU23] MNAPDRYERFVVPEGTKKVSYDRDTKIINAASFTIEREDHTIGNIVRMQLHRDENVLFAGYQLPHPLKYKIIVRIHTTSQSSPMQAYNQAINDLDKELDYLKNQFEAEVAKFSNQF >scaffold_502275.1 pep chromosome:v.1.0:5:15946864:15947126:1 gene:scaffold_502275.1 transcript:scaffold_502275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLFFTIAFSAAPLTLYIPPVRCLTVFVETMEEMGMEGRVYSRRLFPRARIAWSRLLDCFFSSSRPPLSS >scaffold_502279.1 pep chromosome:v.1.0:5:15956108:15956522:-1 gene:scaffold_502279.1 transcript:scaffold_502279.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7LU28] MMKTMGVRLAMALLMIITVLTIVIAQQADLQQPPPPPMLPEEEVGGCSRTFFSALVQLIPCRAAVAPFSPIPPTEMCCSAVVTLGRPCLCLLANGPPLSGIDRSMALQLPQRCSANFPPCDVIN >scaffold_502280.1 pep chromosome:v.1.0:5:15958672:15966738:1 gene:scaffold_502280.1 transcript:scaffold_502280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clustered mitochondria protein homolog [Source:UniProtKB/TrEMBL;Acc:D7LU29] MAGKSNKSKAKRAAQSSTTNSTDVKSDAPVTAPVTAPVTAPATTPVTAPDNGTANAVDSAVSEANEVPPTIPKADESEVQVASNDAQPKQGELRLYPVSVKTQSGGKMELQLNPGDSVMDIRQFLLDAPETCYFTCYELLLRNKDGETHHLEDYNEISEVADITLGGCSLEMVAALYDDRSIRAHVHRARDLLSLSTLHSSLSTTLALQYDAALNKVQNPGDKPKSDVPELECLGFMEDVPGSLKKLINSTSEEIKSVENIVFSSFNPPPSHRRLVGDLIYLDVVTLEGNKHCITGTTKTFYVNSSSGNILDPRPSKSGHEAATLIGLLQKLSSKFKKAFREVMEKKASAHPFENVQSLLPPHSWLRTYPVPDHKRDAARAEEALTISYGSELIGMQRDWNEELQSCREFPHTSPQERILRDRALYKVSSDFVDAALNGAIGVISRCIPPINPTDPECLHMYVHNNIFFSFAVDADIEQLSKKRPSNQVMEKVSSSEKVSCTDATCENEEHNSCNEAPLVENEQATYASANNDLKGTKLYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDALLYGSVDNGKKICWNEDFHAKVLEAAKLLHIKEHSVIDASETVFKLAAPVECKGIVGSDNRHYLLDLMRVTPRDANYTGPESRFCVLRPELITSFCQAESLEKSKLKSKADEGADDSSNVSADTSKVGDALIDGEENGASNSDQKTISDKQNTTAEDSAAGLSESSKSCDEIAFNPNVFTDFTLGGNQEEIAADEENVKKVSSYLVDVVLPKFIEDLCTLEVSPMDGQTLTEALHAHGVNVRYIGRVANGVKHLPHLWDLCLNEITVRSAKHILKDILRDIEDHDIGSAVSHFLNCFFGNYQAAGGKASAKNQKKDQPITKKGQGRGKGKSSSKKSFSSYMMVDSNILWSDIQEFAKAKYEFELPELSRTTAKKVSVLRNLCQKVGVSIAARKYDFSATSPFETSDILDLRPVIKHSVPVCSEAKDLVEMGKVQLAEGMLSESYTFFSEAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALQNMGRALLLLGLSSGPDHPDVAATFINVAMMYQDMGKMDTALRYLQEALKKNERLLGPEHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILVKQLGDDDSRTRDSLNWMKTFKMRELQMTAQKQKGQAANAANTQKAIDLLKAHPDLIHAFQNAAATGRSNALNSAVLGEPQPRGRGFDERAARAAAEVRKKAAAKGLLVRPQGGVPVQAMPPLSQLQNMINTATDSSEKGGENGEAKVQEKKESSENGKTENLAPAGLGAGLTSLDRKKQKAKK >scaffold_502290.1 pep chromosome:v.1.0:5:15997963:15999089:1 gene:scaffold_502290.1 transcript:scaffold_502290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAQDRANGSDSSPPIKLPPFITNLFAFLQPKPPPATVDANAPKPTSEKEPQKSTYETVSFPYTPPKSAEPIKFEAEPSSGRTSNSVILWQVYALGGFLVLKWAWARWNERKETSDKNEATGDDDQPSNQKDDDDQSSDGHED >scaffold_502292.1 pep chromosome:v.1.0:5:16003401:16009840:1 gene:scaffold_502292.1 transcript:scaffold_502292.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LU40] MPQDHASWDRKELLRQRKHDRPEQSSFDSPPFRWRDSPSTPSSHHVPREFSSRWGSADFRRPSCHGKQGGRHQFAEETSHGYTSSRSSARTFDNDYYRPSASRGDWRYTRNCRDDRISVSQKEWKCNTWEMSNGSSRSFERPFGIRNGRRSVDERPLHASDTHTTVVNSLDPANSTHQPDNEICTPVRSLKFKNEQKFSDQRLSLPSDPHSDCVSLFERPSLENNYGNKVCSPAKQCNDLMYGRRLANDNSLDPPVLNAELAGTWEQLHPKDPQDNNGLHGISDLDGATKCAKEASLGAIGKLPLWNSSGSFASQSSGFSHSSSLKSLGAVDSSDRKIEVLPKIVTVTQSSSGDATACATTTHLSEEMSSRKKQRLGWGEGLAKYEKKKVDVNTNEDGTPLLENGSEELHSLNKNIADKSPTAATLPDYGSPTTPSSVACSSSPGFADKSSPKAAIAASDVSNTCRSPSPMSSIHLERFPINIEELDNISMERFGCLLNELLGTDDPGTGDSSSVQLTSMNRLLAWKGEILKAVEMTESEIDLLENKHRTLKLEGGRHCRVVGPSSYFCDGDANVPKEQASCILDPKAAASSVAETLVRAPVLLAGLAKVPVDVFEDNPGVVKSLSQSFATVESNEDILPIPSMKAAASSKEINTPAFVNQETIEVSSADDSMASNDDLLCAKLLSANKKYACESSGVFNELLPKDFSSSDNSRYPGICQTQFDSHVKEKIADRVDLLRAREKILLLQFKAFQLSWKKDLDQLALTKYQPKSSKKTELYPNAKNGGYLKLPQPVRLRFSSSAPRRDSVVPTTELVSYMEKLLPGTHLKPYRDIMKMPAMILDEKERVMSRFISSNGLIEDPCDVEKERTMINPWTSEEKEIFLNLLALHGKDFKKISSYLTQKTTADCIDYYYKNHKSDCFGKIKKQRAYGKEGKHTYMLAPRKKWKREMGAASLDILGDVSIIAANAGKVASTRPISSKRITLRGCSSANSLQHDGNNSEGCSYSFDFPRKRTAGADVLAVGSLSSEQINSCLRTSVSSRERCMDHLKFNHVVKKPRISHTLHNENSNEEDDSCSEESCGETGPIHWTDDERSAFIQGFSLFGKNFASISRYVGTRSPDQCKVFFSKVRKCLGLESIQFGSGNVSTSVSVDNGNEGGGSDLEDPCPMESNSGICNNGVCAKMGMNSPTSPFNMNQDGANHSGSANVKADLSRSEQENEQTYLCLKDDNNLVNNAYINGGFPSLVSESCRDLVDINTVESQSQAAGKSKSNDLLSMEIDEGVLTSVVVSSEPLYCGLSVLSNVIVETPTEISGKGSGDQGAAMPKLSSKNQDGVMQAANRTRNSGLEPESAPSGFRYPECLHHVPIEVCTENPIGVSVPRGNPNCHTESESGNSLVGQVDKTHDLGWPKNNLELNRKLRVLGHVNPDEQNGQLNATNAASCQIPQRSVTQDLSRISRSKSDLIVKTQRTGEGFSLNKCTSSAPKPLAVSHKEGRSGHSRSHSFSLSDTERLDKNGDVKLFGTVLTADENGIKQKHNSCGIVRSSSTLSRDHDTRHHYINQQHLQNVPITSYGFWDGNRIQTGLTSLPESAKLLASCPEAFSTHLKQQIGNSKEILLDVNGGILSFGKHNEDRAESSSAKDEGNIGGVNGVAEAAT >scaffold_502293.1 pep chromosome:v.1.0:5:16010129:16013295:-1 gene:scaffold_502293.1 transcript:scaffold_502293.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:UniProtKB/TrEMBL;Acc:D7LU41] MSTPSPPPQRIGLPWPELNVGLAYKDVISSSDSELTTVSDFYFTKYKSSAPLLGWIQRIQNGQIQIDGEVVKDPNTLLRSGSKLVYHRLPWKEPDTPYSLQVLYEDDDLIALNKPSGLQVLPGGLFQQRTVLTQLQWCFRKNDSYTGSRESPHPVPVHRLGRGTSGILLCAKTKLAKTKLAAYFAEGTSLVGSGNLEQECGKGRKLSKIYRALADGIVEEDEVVIKQPLGVVRYPGVAKGLYVASPEGKPAFSKVAVLERDKQKNCTLVKVEIQSGRPHQIRIHLAYMGHPLLASYNCMRDPLYVAGGQPKCFDPDLVDDDAAFAEDGGYRRPNQAVPGDCGYHLHAHQVELPNLLNTHKVVKIVAPLPPILQTSSETEEKGLSSCK >scaffold_502298.1 pep chromosome:v.1.0:5:16024539:16025740:-1 gene:scaffold_502298.1 transcript:scaffold_502298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWSFRRRRRDVGWLPPFLGFAERGDLDLDPRFKDHRIMVTFDVEKLVSFMEENLLQLENDITD >scaffold_502307.1 pep chromosome:v.1.0:5:16047579:16047969:1 gene:scaffold_502307.1 transcript:scaffold_502307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLGFMAVFAVSGSVVFLASQFHKRLLSDYMDKFDFEIRAQQKMVMKKKVRFAADVVEPSGNNKEYRRRHSSKAKSNSKMAATI >scaffold_502309.1 pep chromosome:v.1.0:5:16061010:16062312:1 gene:scaffold_502309.1 transcript:scaffold_502309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFIRLAVVKRLRKKVEGRCRRRRRVIVKAGSRSATFKEIPEDVLMEILARLPANSVTRFKCVSKHWSSLISSRYFTNLFFEVSSPKREPRPFMFLSDKGHQYALLSTNNSFEVDSVPYLNQDLTLPGMGGYFVNSLRGLMCFRVGREVRICNLTTKQLVNLPKVKSNLLDEVEGDFHMWNYFGHDSVNDEYKVLSIVWEVSKEERVVRSEHQVFVLGSGASWRGTHSTIHPPPHRPYSQGISINGVLYYGARVHKNKCVLMSFDLITEEFNLIELPIEACIVGNTRCANLMIYRGKVAVFEYSRLMTECILDLWVVEDARESEWSHKAFVLPSHQLMQSLRFDELLMHNTSRSGELRLSEGSFDKTKVSMHVIYDLDKNRVTRGVVIGSLYPRFSGTGFSHTTLWDDVESIMCLKTLN >scaffold_502310.1 pep chromosome:v.1.0:5:16063579:16064850:1 gene:scaffold_502310.1 transcript:scaffold_502310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein cp33 [Source:UniProtKB/TrEMBL;Acc:D7LU58] MSSASCSSAVAVSAAATASSTATFNPLLFSHSNFRLFYRFTPKSFKLVANCPNPLILHSNIRRHRFFCAAETEASSADEEIQASLEEEEEVEEEEEEEVEEEDADEKQTTQASGEEGRLYVGNLPYTITSSELSQLFGEAGNVVDVQIVYDKVTDRSRGFGFVTMGTIEEAKEAIQMFNSSQIGGRTVKVNLPEVPRGGEREVMRTKIRDNNRSYVDSPHKIYAGNLGWNLTSQGLKDAFGDQPGVLGAKVIYERNTGRSRGFGFISFESAEDVQSALATMNGVEVEGRALRLNLASERERPTVSPPSVEEGETKDVSLESSEVLSNVST >scaffold_502313.1 pep chromosome:v.1.0:5:16072441:16075497:1 gene:scaffold_502313.1 transcript:scaffold_502313.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoalexin deficient 4 [Source:UniProtKB/TrEMBL;Acc:D7LU62] MEDCRFETSELQASLMMSTPLFTDSWSSCNAANCDGSIKIQLIAGITYVAIPAVPMIQLGDLVGLPVAGDFLFPGLSSDEHLPMVDAAILNLFFQLKIKEVLELELVGRKLVVITGHSTGGAVAALTALWLLSQTSLPSFRVFCITFGSPLLGNQSLSTSISRSRLAHNFCHVVSIHDLIPRSSNEQFWPFGTFLFCSDNGGVCLDNADSVRRMFHILNATGTPNIEEHQRYGHYVFTLSHMFLKSRSFLGGNIPDNSYQACVALAVEALGFSNDDTSGVLVKECIETATRIVRAPILRSAALANDLASVLPARLEIQWYKDRCDASEEELGYYDFFKRYSLKRDFKVNMSRIRLAQFWDKVVEMVETNELPFDFHLGKKWVYASQFYQLLAEPLDIANFYKNRDIKSGGHYLDQGNRPKRYEVIDKWQKGVAKPEKCERSIYTSTTQDTCFWAKLEEVKECLDEVIKESSDAQRRSLLWEKIVRFERYANTLVKKKEVSKDVKAKNSSYSVWVENLREFKVKMGYVNEIEMVDESDAMETS >scaffold_502314.1 pep chromosome:v.1.0:5:16076542:16077339:1 gene:scaffold_502314.1 transcript:scaffold_502314.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LU63] MERAEALTSSFIWRPNANANTEITPSCPRCGSSNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKSRRPKSSSGNNAKTGLTANSGSSGGGSPSIDLALVYANFLNPKTDESILQENCDLATVTTDFLVDNPTGTSMDPSWSMDINDGHHHDHYNNQVEHIVEECGYNGLPPFPGEELLSLDTNGVWSDALLIGHNHVDVGVTPAQAVHDPVVHFADESNDSTNLLFGSWSPFDFTADG >scaffold_502316.1 pep chromosome:v.1.0:5:16090014:16090942:1 gene:scaffold_502316.1 transcript:scaffold_502316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPEEETQPKPDTGPGQTSERDTNQPQPPPPQSQPPPPQTYPPVMGYPGYHQAPPYPNYPNAPYQQYPYAQAPPASYYGSSYPAQQNPVYQRPASSGFVRGIFTGLIVIVVLLCISTTITWLILRPRIPLFSVNNFSVSNFNLTGPVFSAQWTANLTIENQNTKLKGYFDRIQGLIYHQNAVGEDDFLATAFFPPVFVETKKSVVIGETLTAGDKEQPKVPSWVGEEMKKERDTGTVSFNLRMAVWVTFKTDGWAARERGLKVFCGKLKVGFEGNSGNGAVLLPKPLPCVVYV >scaffold_502317.1 pep chromosome:v.1.0:5:16093362:16093855:-1 gene:scaffold_502317.1 transcript:scaffold_502317.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LU67] MPFRRRRELFSLASVIFSARGASNCLVVVSGGGLVSVFVHGVDAVAKSGGSGLAVSSRVGGSPVGWLALVSCGFWASLRFSSSGRLLVRLSLDLQIFSLQTVSCALLVGVWFVRQVWWD >scaffold_502319.1 pep chromosome:v.1.0:5:16105044:16107353:1 gene:scaffold_502319.1 transcript:scaffold_502319.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LU71] MATTEENLELLQEIDHRIEEIVLTVPCSAWNENRSSQILDALLFIVKRTFEPRIQIILKPAWPVDPAPTGVIEEQRAAIGEYVERRGPMQEDEQRGRVVAGRNPPSVNDGIPTDRLGPSGVASGTLNPSAHTISFLHDEEQGGSGPSNGTFDQHVYLQNSRVIMAMIAVLLATIVLTVGLNPPSTIDTISVKIIFQITFWIAAVFSLAALFILGMVTPTSFDMQVHRFRCAFVSISVGLICVVVAFVASTVSMTSNLFARIVGGLIGAIGIVVFSYFTSITVRDFIYTKTGFRLTQHADNKPYALTCFSV >scaffold_502320.1 pep chromosome:v.1.0:5:16107520:16108418:-1 gene:scaffold_502320.1 transcript:scaffold_502320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIHDIVRHGFCSQCKSAVDARHYALIPFSYLGNGLQFRPEFVGTTKRHVWMKSLKEKRLTLVLGLHGTLYDSRLVSQLSDGENYLTGEVKSRFDLRRSKKFFPNQGEVLFKLRPFVHEFLREANKLFQMTVFELCSPEQGEEVISFLDPHGTYFEKRIITNRDSEMKNLDLVLADERGIVILDDKHVYWWPDDTTNLLQIAPYHFFKRNNNNTWITKLVNFFKKTLSIDDESDPKSYAEERRDEDAEDGGLENALELLKEVHKNFFDEEDEDSRDVRALLFP >scaffold_502321.1 pep chromosome:v.1.0:5:16109239:16110316:-1 gene:scaffold_502321.1 transcript:scaffold_502321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPCKHWIVHRQICCRCKSPVKTYDANTKVAKETSLNPNCRHRLYQNRRCCRCGYYLDTWYFARAFNYIAKSLSMSPEFEATTKKQKLGIALGKRKLHLVLSLEHTLIDLISVSKLSEIDRYHLLEEADSGSRDDLFRLANESFYSSDALVKFRPFVREFLREAEKIFTMHVYTNYGPGLAKKVVKLLDPHMIYFGNRIITSKDSNGDLKSLELVLAEPRGVLIVDYDHRLWKSPGHNVIFMSKYVYFKEISNEDGVLAKTLNLLKKISLTGDYKVVDLEGKSEGESPDDDDELLLKVLLRSLKELHELFFNGGYQEVNPLLPRFFTPRNLNDYQSNGFTFSFT >scaffold_502325.1 pep chromosome:v.1.0:5:16136330:16137505:-1 gene:scaffold_502325.1 transcript:scaffold_502325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFRLAAVKGLMKKEIRSTADEFERPRKRRRVMVKPDSKPCGLENLTEDLLMDILAKEVTRSMCVSQFWADQREYVLVSSSSSPPDHSDVSVLDQDLNMPGMGGYFVNALRGLLCVRLGRRVRICNLTTKQRVTLPIIRSSLLAEANDNVWSYFGHDSVNDEYKVLSTVWEESKREGIVRSEHQILVLGPGAYWRNTYSTIPPPPYSQGISIDGVLYYGAWVDKNRCVLMSFDLSSEEFNLIELPVEAGIIWHTTRANLINYRGKLTVFEYSRFASDASVDLWVMENAGKKKWSNKTVVLPLSQMNFAPSDKLGMQGTSRCNEVRLAMIKRIPTTEPRRSVI >scaffold_502327.1 pep chromosome:v.1.0:5:16140806:16141325:1 gene:scaffold_502327.1 transcript:scaffold_502327.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOFP6/OFP6 [Source:UniProtKB/TrEMBL;Acc:D7LU78] MAAKSKKKILKTVSVVDISCGNCIKPTFSSIFHFFSKKPKRPSSNYRHCHSSISSATPSSTPLATAAIAVEKDSDDPYLDFRQSMLQMILENQIYSKDELRELLQCFLSLNSHYHHGIIVRAFSEIWEDVSSAAASAVEASPLFTRHVSRASRDYYNYY >scaffold_502328.1 pep chromosome:v.1.0:5:16147607:16147816:1 gene:scaffold_502328.1 transcript:scaffold_502328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLGGYFVNALRGLICVGLGKRVRICNLTTKQRVTLPFIRSSLLAEANDDIWN >scaffold_502329.1 pep chromosome:v.1.0:5:16148881:16149909:-1 gene:scaffold_502329.1 transcript:scaffold_502329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSFRLAAVKGLRKKEIRSDEFEKPRKRRRVMNSKPCGGGNYLPLPLGQGDLVNILARLPAKEVTKSMCVSQLWYTVISSRVFINLFRNRQRLLLSIVDKADPHKCGFLSSSSSSPRDHSDTSVSVLDQYLKMPGLGGYVVNALRGLLCVRLGRRVRICNLTTKQRVTLPIIRSSLLAEHSDNIWSYFGHDPVHDEYKVLSTTWVVTEEQRVRSEHQVLVLGPGASWRSTQSRTLPPAHHPFSQGISINGMLYYGAWLEANKCWLMSLD >scaffold_502330.1 pep chromosome:v.1.0:5:16150516:16151448:1 gene:scaffold_502330.1 transcript:scaffold_502330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSDSKSSGFENLTEDLFIEILLKLSAKQVTRAMCVSQLWYSVISSRYFTNLFLESSSLSKRPRLLMFLVDKKYQRNYEFLSSSSHIDHVDTPVSVFDQTLDIKGLGGYFVNALRGLLCVRLGRSVRICNLTTMQLVDLPIRSRLLAEANDNVWSYFGHDPVHDEYKVLSTVWEDSEEEGIVRFENQMLVLGPGANWRNTYSITPPPHRPYSQGISINGVFIMELELPTEAGIVWHKYRANLINYGGKLAVLEYSSLSSNANVDLWVLEDPGDNEVVKQESGLTSFTDEFCSWR >scaffold_502331.1 pep chromosome:v.1.0:5:16152897:16154179:1 gene:scaffold_502331.1 transcript:scaffold_502331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSFRLAAGKRERKRRRTVVKPQWKISIPENVLMEILPRLPAKSLMRFKCVSKLWYSLISSRYLTNIFHKSSSSTQGRRLFMSLVDKEMNYNYALVSSSRDSVPVISPYDSVPVFDLDQYLDMRGIEGCFVNALRGLVCFRIGTRVRICNLTTRQHVTLPILRPITVAKPIDNVWNYFGHDPVHDEYKVFSTVWEMSEEERVVRSEHHVLVLGPEASWRNTQNTITPPPHRPYSQGISINNVLYYGAWVDKNRCVVMSFDMRSEEFTLIELPLEAGIVWNTSPANLMNYKGKLAVFEYSSVLNSGSMDLWVVKDAGKSQWSNKKTIDLPIFQTRSLAMSNGVLIHATNHSCEIRLSSKEINIHKPHSVIYDLEKNKITRHIQVMNLFDSFCICKFLDVTFWDDIESIMYLET >scaffold_502334.1 pep chromosome:v.1.0:5:16163685:16164570:-1 gene:scaffold_502334.1 transcript:scaffold_502334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKMKFPFLNKNTSSSSFSSNSSSSSSSWPWPSCHQQNLKTTISSKASFVVNKPKHVYESEPPPRSFSSSPSSSYSSFSSTSHAMENPPEIESIENVIKGIKSSKRLIFEQSGTSNSILEDATKREDHEEEEDFMLLSLESNDPYSDFKNSMEKMVEAHVLHHDWISLEKLLFWFLKVNVKTSHRYIFAAFVDLVLNLAVGPSKDVVGEPNSDVVVEDSLSSSWPVSLYSSSDETSSTSVRLLPETSIGEKSRDVCCLSSLFELEEKIKDNIDSNGYVSS >scaffold_502335.1 pep chromosome:v.1.0:5:16167186:16167771:-1 gene:scaffold_502335.1 transcript:scaffold_502335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPFFNKSFSSSCYSNSNPSSWAWPSCHQNPRTQSFRVTISAINPNDVEDEEEEEDKEEEEEEEEKREEGKEEEDENLLPDIIISSPSSSISSNIDAIEELPETESIENVIKGIKSSKRLIFERKGESNSILEEMRNKDSFSCPWNPTTLSLISSGSWWRWLRYTRFIAATPNCIVKTTE >scaffold_502339.1 pep chromosome:v.1.0:5:16181677:16182949:1 gene:scaffold_502339.1 transcript:scaffold_502339.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S14 [Source:UniProtKB/TrEMBL;Acc:D7LU90] MSKRKTKEPKVETSLGPTVREGENVFGVVHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGVTAIHVKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKSGRRGRRL >scaffold_502342.1 pep chromosome:v.1.0:5:16188584:16190571:1 gene:scaffold_502342.1 transcript:scaffold_502342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPNRVPKSMTRPVGGTEYSWCRAIDGGTGIAVIALLLSRTPKLQNLQNTLDKLQIYHPTLRSNIRFDASANSFSFVVTSAADSRVEIHPFDSESTAQIIRDSDDPCADPHRIILEHELNKNTWINPHRWINSESRVFIVSLYDLTDDGEQRILAFRLNTAAVDRTAAVTLLREFMKETASHGCENGPVTAAGLGYPIEELIPSGKGNKPFWARGIDVLGYSLNAFRFTNLNFVDAENSNRRSQVVRLKLERDQTLKLVAGCKARGLKLWATLAASGLIAAYSSKNPTPYQGEKYAVVTLSDCRSILEPPLTSNDFGFYHAGILHTHDLTGEETLWDLAKRCYDSFTSAKNSNKQFTDMSDLNFLMCKAIENPNLTPSSSLRTAFISIFEDPVIDESPEPELASVGVHDYIGCASIHGVGPSVAVFDALRDGKLDCTFVYPSPLHSREQMDGLIQHMKTILLEGSASSF >scaffold_502347.1 pep chromosome:v.1.0:5:16211323:16211536:-1 gene:scaffold_502347.1 transcript:scaffold_502347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFEKSVAGVKLFGMTFLVGERSENLPTNDSVYAIKEIAKLLGSLIGPSWASFLA >scaffold_502348.1 pep chromosome:v.1.0:5:16223588:16224169:1 gene:scaffold_502348.1 transcript:scaffold_502348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWWGLLLASFMAVTFTVIVRYITSIANQIDVLSLVHRIGAVNIPVTWYLLRKHLSERLPANSPWTCPSDRVSFNASVIWGLVGPKRIFGRLGNYSVLNWLY >scaffold_502349.1 pep chromosome:v.1.0:5:16224310:16225608:-1 gene:scaffold_502349.1 transcript:scaffold_502349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFSKQVAPFPELDKSLNLHTSESVSAMENSLAKYYTNEYANSFMVDIQPWCMLAITVAPTNSIIANRFQAKDDMFCILSGSIENIDYLASKFHFNKDIDQPTMFIEAYKSQRRINDGPETKLQKDQREFYWLNLVRAAKGKFTIILFDNLKKTVFAATDRDAHLPFYWGIDVEGDLILTTNSDMAQLGCQRAYGSFPRGCYISTSDGLKTFDDQNTELHVEEDVDSVGVSYLNIVMVVESPSSGSGQGSTESVNSSLRSLTH >scaffold_502351.1 pep chromosome:v.1.0:5:16240576:16241494:1 gene:scaffold_502351.1 transcript:scaffold_502351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVVSSVCAGGSDRKISCETLADDNEDSTHDPKIRPVSISSVDFPPESYSLSKEAQLEWLNDNAFFERKESQKGNSSAPNSNSNPNSNPNLSSQRISLKSKASIIRLPKPQKTCFNEAKKRRNCRIARTLMIPKRIGSRLKSDPSLSEPCSPKVSCIGRVRSKRDRSRRMQRQKSGRTDSFKDKPVRVKKPGFFASFRAIFRTGGGCKDLSASGVHAPRRDVVVSPPRVSVRRSTDIRGRLPPEYVGNSSPPGNSTGSRRSSIDGGEPVLPGLGGMTRFTSGRRPDLLVDV >scaffold_502354.1 pep chromosome:v.1.0:5:16251431:16252692:-1 gene:scaffold_502354.1 transcript:scaffold_502354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAARRNQKGAFEGFYKLIMRRNSVYVTFIIAGAFFGERAVDYGVHKLWERNNVGKRYEDISVLGQRPVEE >scaffold_502358.1 pep chromosome:v.1.0:5:16268545:16268788:-1 gene:scaffold_502358.1 transcript:scaffold_502358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNSKLYVENCYIMKENERLRKKAELLNQENQQLLFQLKQKLSKTKNPNNGSNNDNKSSSASGQS >scaffold_502360.1 pep chromosome:v.1.0:5:16283052:16283697:1 gene:scaffold_502360.1 transcript:scaffold_502360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMCDPYTPAGVPIPTNKRHNAAKIFRHQSLPPRSLDSYKLDYCYKKNRITGLILSKEIKPLISTVFEGKDANVIAHGARNCGKTYLIHVLKAIPLRY >scaffold_502361.1 pep chromosome:v.1.0:5:16286074:16286332:-1 gene:scaffold_502361.1 transcript:scaffold_502361.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LUB1] MSVVYALLLRSLRITSCSSMSFSEVGNLIFSRPYPQQRLLYSWVELLYWTQRSSSPYTFKELPTHQRLAS >scaffold_502367.1 pep chromosome:v.1.0:5:16313660:16317719:1 gene:scaffold_502367.1 transcript:scaffold_502367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGFFTLSFLLILNLSMGRFVVEKNNLKVTSPDSIKGIYECAIGNFGVPQYGGTLVGTVVYPKSNQKACKSYSDFDISFKSKPGRLPTFVLIDRGDCYFTLKAWIAQQAGAAAILVADSKVEPLITMDTPEEDKSDADYLQNITIPSALITKTLGDSIKSALSGGDMVNMKLDWTESVPHPDERVEYELWTNSNDECGKKCDTQIEFLKNFKGAAQILEKGGHTQFTPHYITWYCPEAFTLSKQCKSQCINHGRYCAPDPEQDFTKGYDGKDVVVQNLRQACVYRVMNESGKPWVWWDYVTDFAIRCPMKEKKYTKECADEIIKSLGIDLKKVDKCIGDPEADVENPVLKAEQESQIGKGSRGDVTILPTLVVNNRQYRGKLEKGAVLKAMCSGFQESTEPAICLTEDLETNECLENNGGCWQDKSANITACRDTFRGRLCECPTVQGVKFVGDGYTHCKASGALHCGINNGGCWRESRGGFTYSACVDDHSKDCKCPHGFKGDGVKSCEDVDECKEKTVCQCPECKCKNTWGSYECSCSNGLLYMREHDTCIGSGKVGTTKLSWSFLWFLIIGVGVAGLSGYAVYKYRIRSYMDAEIRGIMAQYMPLESQPPNTSGHHMDI >scaffold_502368.1 pep chromosome:v.1.0:5:16317989:16318984:-1 gene:scaffold_502368.1 transcript:scaffold_502368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQQKPPPSSDPSPSPPDRPPGITSPETPSNNQNHDIEDIMACVTALEAALLPCLPARELQAIDRSPHPSHQIDVERHARDFMEAAKKLQLYFMGLKREDRSPTRAESLRKEIAVMEEELQTKDELIKKHSRLFQESQKLVKEQIEKHRVELEKV >scaffold_502377.1 pep chromosome:v.1.0:5:16363233:16364746:-1 gene:scaffold_502377.1 transcript:scaffold_502377.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:D7LUC5] MSAFTSKFADELIANAAYIGTPGKGILAADESTGTIGKRLASINVENVETNRRNLRELLFTAPGALPCLSGVILFEETLYQKSSDGKLFVDILKEGGVLPGIKVDKGTVELAGTNGETTTQGLDGLGDRCKKYYEAGARFAKWRAVLKIGENEPSELSIHENAYGLARYAVICQENGLVPIVEPEILVDGSHDIQKCAAVTERVLAACYKALSDHHVLLEGTLLKPNMVTPGSDSPKVSPEVIAEHTVRALQRTVPAAVPAIVFLSGGQSEEEATRNLNAMNQLKTKKPWSLSFSFGRALQQSTLKTWAGKEENVKAAQEALYVRCKANSEATLGTYKGDAKLGDGAAESLHVKDYKY >scaffold_502378.1 pep chromosome:v.1.0:5:16366144:16368917:-1 gene:scaffold_502378.1 transcript:scaffold_502378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDMDLGVLLPSLIPSLQSVYVLVFYFVYLAVAGEILPGKVIRGVLLSDGSQPRYRCNGLLALTLLVAILGICAKIGIVSPLVVADRGLELLSATFIFCVLVTLALYVTGRNSSDKSSSLKPHVSGNLVHDWWFGIQLNPQFMSIDLKFFFVRAGMMGWLLINLSILAKSVQNGSLSQSMILYQIFCALYILDYFVHEEYMTSTWDIIAERLGFMLVFGDLLWIPFTFSIQGWWLLHNKVELTIPAIVANCLVFVIGYMVFRGANKQKHIFKKNPKTPIWGKPPVVVGGKLLASGYWGIARHCNYLGDLMLALSFSLPCGVSSPVPYFYPIYLLILLIWRERRDEVRCAEKYKEIWAEYLRLVPWRILPYVY >scaffold_502380.1 pep chromosome:v.1.0:5:16374437:16377390:-1 gene:scaffold_502380.1 transcript:scaffold_502380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase [Source:UniProtKB/TrEMBL;Acc:D7LUC8] MATITAIKARQIFDSRGNPTVEVDVHTSTGVKVRAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVGNVNSIIGPALIGNDPTQQTAIDNFMVHELDGTQNEWGWCKQKLGANAILAVSLAVCKAGAVVNGIPLYKHIANLAGNPKLMLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYSSDKKYDLNFKEENNDGSQKISGDALKDLYKSFVSEYPIVSIEDPFDQDDWEHYAKMTAECGEKVQIVGDDLLVTNPKRVEKAINEKSCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMASHRSGETEDTFIADLSVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGADAIYAGANFRKPVEPY >scaffold_502384.1 pep chromosome:v.1.0:5:16390473:16393732:1 gene:scaffold_502384.1 transcript:scaffold_502384.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:D7LUD2] MHSSHLLLEEPIRMASILEPSKSSFFPALTKIVGTLGPKSRSVEALSGCLKAGMSVARFDFSWGDADYHQETLDNLKVAVRSTKKLCAVMLDTVGPELQVINKSEKAITLKADGLVTLTPNQDQEASSEVLPINFNGLAKAVKKGDTIFVGQYLFTGSETTSVWLEVDEVKGDDVICLSRNAATLAGSLFTLHASQVHIDLPTLPEKDKEVISTWGVQNKIDFLSLSYCRHAEDVRQTREMLKKLGDLSQTQIFAKIENVEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVLTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVISVVIPRVKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKHAGVIKSHDRVVVCQKVGDASVVKIIELED >scaffold_502385.1 pep chromosome:v.1.0:5:16399526:16401088:1 gene:scaffold_502385.1 transcript:scaffold_502385.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-A15 [Source:UniProtKB/TrEMBL;Acc:D7LUD3] MGSSLSNLNDGTNCLAMGPGLGDIPESCVACVFMYLTPPEICNLAGLNRSFRGAASSDSVWEKKLPANYQDLLDLLPPERYHSLSKKDIFAVLSRPIPFDDDNKELWIDRVTGRVCMAISARGMAITGIEDRRYWNWIPTEESRFHVVAYLQQIWWFEVDGTVRFHLPPGIYSLSFRIHLGRFTKRLGRRVCHFEHTHGWDLKPVRFSLSTSDGQEASCEYYLDDVERDEALKQHKRGCWIDYRVGEFIVSGSEPSTEIQWSMKQIDCTHSKGGLCVDSVFINPIGDVKEHTKTPKAFDSFKV >scaffold_502390.1 pep chromosome:v.1.0:5:16412721:16413405:1 gene:scaffold_502390.1 transcript:scaffold_502390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPIGSDVPPSPKCQKLEETTSDDSDDDYYEQLELFNQEMRKGGGFEIDFSKFRNCFDWQSLDLDDSTMADEPETNRDLMTMLSNRALAKHNDENGTSLELGKILRANFHPSSGVTYYISFEVNDPSDGNQTKPYQAVVRHDIAGNARVISCNPKPPSS >scaffold_502391.1 pep chromosome:v.1.0:5:16413864:16415218:-1 gene:scaffold_502391.1 transcript:scaffold_502391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDDTTSQDSLVGVGAGGKWKFFASSSKKFKGSGSSSTKDLPSTYTEYIKSWNKILRAAMDQGDFHLCWAVAIARCMEAWLALRGVVVELSPQHLINNIKNMCKTSGKIGKYDEIKKFLTEHGLVLEKTCPYSGKLKQICPKSYVAKTVDLVHKKDKEVKEEELIQLVNDGPLLAVIDCYKSFKKCKDEIYEGQTYCKDTPMGLHVVLVTGFGTTPEGVNYWEIQNSWGTGWGQNGFGKITRKSSRGKNKPSMFVETIQLVV >scaffold_502393.1 pep chromosome:v.1.0:5:16421347:16421810:1 gene:scaffold_502393.1 transcript:scaffold_502393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILFRSTKRAVAFQSAMIKGMDEDKCADNGIPLPNVTSKILLLVIEYCKKHVVENEEEEYLKKWDTEFMKKMEQSIVFDVMMAANYLNIQSLIDLTCKTVADFLSGKTPEEIRAYFKIENDFTPEEEAEILRENQWAFE >scaffold_502399.1 pep chromosome:v.1.0:5:16435676:16437280:-1 gene:scaffold_502399.1 transcript:scaffold_502399.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LUE7] MQEMRVTGFRVLLLVSCFFCKSKGAIVPALIMFGDSIVDVGNNNNLLSIVKSNFPPYGRDFIDQRPTGRFCNGKLAVDFSAEYLGFSSYPPAFLSREASNETLLIGANFASASSGYYDATSVPFGAISLTRQLSYYRAYQNRVTRMIGRENARRLFSRGIHILSAGSSDFLQNYYINPLLNILNTPDQFADILMRSYSEFIQNLYELGARRIGVISLPPMGCLPAAITLFGAGNKSCVERLNNDAIKFNTKLETTTQLLMNRHSGLRLVAFNVYQPFLDIITNPIDNGFFETKRACCGTGTIETSFLCNSLSLGTCVNATGYVFWDGFHPTEAVNELLAGQLLGQGISLIN >scaffold_502401.1 pep chromosome:v.1.0:5:16441345:16442943:-1 gene:scaffold_502401.1 transcript:scaffold_502401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFWGSKDQQQGQSRPQEASSQSPWYPPSLVSSPSSSRPQTSGQIGANVSPGEAAGIIAFLKDKSVDELRKLLSDKDAYQQFLLSLDQVKIQNNIKDELRRETLQLARENLEKEPQIMELRNQCRIIRTTELAAAQEKLNELERQKEEILKFYSPGSLLHKLQEAMNQVDEESEALQEKFLEKEIDTVAFVQKYKKLRTTYHRRALIHLAAKTSNI >scaffold_502404.1 pep chromosome:v.1.0:5:16448189:16449755:-1 gene:scaffold_502404.1 transcript:scaffold_502404.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LUF1] MESKLVSKAKKLHFVLIPLMAQGHLIPMVDISKILARQGNIVTIVTTPQNASRFAKTVDRARSESGLKVINVVNFPIPYKEFGLPKDCETLDTLPSKDLLRKFYDAVDKLQEPLERFLEQHDIPPSCIISDKCLFWTSKTAKRFKIPRIVFHGMCCFSLLSSHNVHLHSPHLSVSSASEPFSIPGMPHRIEIARDQLPGAFKKLANMDDVREKMRESESEAFGVIVNSFQELEPGYAEAYAEAINKKVWFVGPVSLCNDRVVDLFDRGNNGNIAISQTECLQFLDSMRPRSVLYVCLGSLCRLIPNQLIELGLGLEESGNPFIWVIKTEEKHMTELDEWLKRENFEERVRGRGIIIKGWSPQAMILSHGSTGGFLTHCGWNSTIEAICFGVPMITWPLFAEQFLNEKLIVEVLNIGVRVGVEIPVRWGDEERLGVLVKKQGVVKAIKLLMDEDCQRVDEDDDSEFVRRRRRIQELAVMAKKAVEEKGSSSINVSILIQDVLEQLSLE >scaffold_502413.1 pep chromosome:v.1.0:5:16486504:16486717:-1 gene:scaffold_502413.1 transcript:scaffold_502413.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DVL20/RTFL1 [Source:UniProtKB/TrEMBL;Acc:D7LUG0] MGEENSTSGTCKPSKTFKAKCSHMVKKQRAKFYILGRCIAMLVCGRGRDRERDRILI >scaffold_502414.1 pep chromosome:v.1.0:5:16496331:16496622:-1 gene:scaffold_502414.1 transcript:scaffold_502414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQTRHVLVVVVVVMVVMSSFTVEGGGRGIYTKDQRLHHVQKDRSVIPSARGVDNHHNIPRQNFDNWGGNGGGGSGGDDGTG >scaffold_502417.1 pep chromosome:v.1.0:5:16505323:16507732:-1 gene:scaffold_502417.1 transcript:scaffold_502417.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:D7LUG4] MDQIEAMLCGGGEKTKVAVTTKTLADPLNWGLAADQMKGSHLDEVKKMVEEYRRPVVNLGGETLTIGQVAAISIVGGNVKVELAEASRAGVKASSDWVMESMNKGTDSYGVTTGFGATSHRRTKNGTALQTELIRFLNAGIFGNTKETCHTLPQSATRAAMLVRVNTLLQGYSGIRFEILEAITSLLNHNISPSLPLRGTITASGDLVPLSYIAGLLTGRPNSKATGPDGESLTAKEAFEKAGISTGFFDLQPKEGLALVNGTAVGSGMASMVLFEANVQAVLAEVLSAIFAEVMSGKPEFTDHLTHRLKHHPGQIEAAAIMEHILDGSSYMKLAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRQATKSIEREINSVNDNPLIDVSRNKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLTASSNPSLDYGFKGAEIAMASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISSRKTSEAVDILKLMSTTFLVGICQAVDLRHLEENLRQTVKNTVSQVAKKVLTTGINGELHPSRFCEKDLLKVVDREQVFTYVDDPCSATYPLMQRLRQVIVDHALSNGETEKNAVTSIFHKIGAFEEELKAVLPKEVEAARAAYGNGTAPIPNRIKECRSYPLYRFVREELGTKLLTGEKVVSPGEEFDKVFTAMCEGKIIDPLMDCLKEWNGAPIPIC >scaffold_502419.1 pep chromosome:v.1.0:5:16517265:16519009:1 gene:scaffold_502419.1 transcript:scaffold_502419.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B5 [Source:UniProtKB/TrEMBL;Acc:D7LUG6] MSIFLCFLLLLPLSIIFLKKLLPSKGKLPPGPKGLPIIGNLHQLGRFLHKSLHKISQKYGPVMLLHFGVVPVIIVSSKEGAEEVLKTHDLETCSRPKTVGSGLFTYNFKDIGFAPYGENWREMRKIAVLELFSPKKLKSFRYIREEESEFLVKRVSSSARETPTSSVNLRKVIFTFAASIICRLAFGQNFCDFVDMETVEELVLESESNLGSLAFADFLPAGWIIDRISGQHSTVNKAFAKLTNFFELVIDDHLKSGKIQDHSDIISVMLDMINKPTKVGSYKVTDDHLKGVMSDVFLAGVNAGSITMIWTMTELSRHPRVMKKLQEEIRATLGPNKEKITEEDLEKVEYLKLVIEEAFRLHPPAPLLLPRLTMSDIKIQGYNIPKNTMIQVNTYTIGRDPKTWTEPNEFIPERFVDNPIEYKGQHFELLPFGAGRRICPGMATGITIVELGLLNLLYFFDWSLPNGMTTKDIDMEEDGAFVIAKKISLELVPTLHRW >scaffold_502422.1 pep chromosome:v.1.0:5:16527946:16529368:-1 gene:scaffold_502422.1 transcript:scaffold_502422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNSDLYPRFFKVFLSETASDSLVIPLSFNEFLADPLPKTVKLQGIGGKIWTVSLEKISGAVYFATGWSKFAKDHELKNGEFMTFVYDGHRTFEVSVFERFGFKETRAEIQAIQLSDSHSDSVVEEEEDSSDVDDGSCGEDEEISQSLYPIDDEETKTDAAVFEGNLDIEALTNPHFPTRLKNRIYELLIPANVVNDNKLKFGDSIKYIDGEGTLIGLKGKWADKRICFKGWDRICRRNRLKKHQDTVECELLHDDQKMVHSIRVHVLRRTD >scaffold_502424.1 pep chromosome:v.1.0:5:16534661:16536036:1 gene:scaffold_502424.1 transcript:scaffold_502424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITKKIFGFVLAITILLGCCSAKIYKVGGSNGWTAKKNSWATHKEFYVGDSLVFEYDQNVNDVTQVSDASKYESCDSSSPKAVYNTGHDVITFKEPGYHYFISSNHIQCVYGLKIDVLVVHDKSRPIPPPTPPSDILPFGKIYKVGGSGGWSGKKNSWAEHKEFHVGDSLVFEYDQNVNDVTQVFDALKYESCDSSSPKAVYNTGYDVVTLKEPGYHYFISSNHIQCVYGLKLDVLVVHDKSRPIPPPPPPSKIHEPSHPIPSPPPPSKIHEPSRPITPPPPPSKIHEPSRPIPPPLSPPSKVLPLGKIYKVGDSRGWSVYNSYYYYRWSEGKQFHVGDTLYFEYNKYLNDVREISDDLEFKSCEQNSTVAVYKTGHDLIKLTKPGVHYFVSLKTGLCQAGIKLRVTVQPLTEAVTLFPNVPKKKLSPIVNRWWLHPFRPHH >scaffold_502425.1 pep chromosome:v.1.0:5:16536681:16538171:-1 gene:scaffold_502425.1 transcript:scaffold_502425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQTGGGGGGGSHESGGDQSPRSLNVREQDRFLPIANISRIMKRGLPLNGKIAKDAKETMQECVSEFISFVTSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKVYLMRYREMEGDTKGSGKGGESSAKRDGQPSQVSQFSQVPQQGSFSQGPYGNPQASNMMVQMPGTE >scaffold_502429.1 pep chromosome:v.1.0:5:16551608:16555258:1 gene:scaffold_502429.1 transcript:scaffold_502429.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7LUH6] MMNTSNGMISAPSSSSSPAANPQSPGIKTYFKTPEGKYKLHYEKTHSSGLLHYAHGKKVTQVTLAQLKERAAPSTPTGTSSGYSASSGFRSATARLLGTGNGSRALSFVGGNGGGKSVSTSSRISGSFAPSNSSTSMTNTNFDGKGTYLVFNVSDAIFICDLNSQDKDPVKSIHFSNSNPMCHAFDPDAKDGHDLLIGLNSGDVYTVSLRQQLQDVSKKLVGALHYNKDGSVNNSRCTSISWVPGGDGAFVVAHADGNLYVYEKNKDGATESTFPAIRDPTQFSVDKAKYSKSNPVARWHICQGSINSIAFSNDGAHLATVGRDGYLRIFDFLTQKLVCGGKSYYGALLCCSWSMDGKYILTGGEDDLVQVWSMDDRKVVAWGEGHNSWVSGVAFDSYWSSPNSDGSGEHVMYRFGSVGQDTQLLLWDLEMDEIVVPLRRAPGGSPTYSTGSSAHWDNVIPMGTLQPAPCKRDVPKLSPIIAHRVHTEPLSGLMFTQEAVVTACREGHIKIWTRPSESETQSNSSEANPTSALLSTSFTKDNKASLSSKIIGGSTFKQ >scaffold_502431.1 pep chromosome:v.1.0:5:16558981:16560418:1 gene:scaffold_502431.1 transcript:scaffold_502431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEMLKLKLMPSSFRRRVILRSLVIVCAFSVVSVLRNLGGAYQGENSNNTQPCKVDECAVNFAFLGPFLFSGNGLLSNRFLKPVLNYLESEKCKENIYLTTEVVRELTGMNLLSNDSNALCIGRRSVSAVLAMNRQGISDVSVAYMPPVFAFKHRKFTSELHYEDASFGFVFSMDLETVTVPASLVYEIERILKPGGTGAMLVGTISGSDSNELVRSVSPVSSLLKNSSVVHVASLGEQVLVVFKRDGEDSFRLDQTHHDLPADCSSVLKNRPYIGLLEPLLEEKRSDFERRIHYLPEFIDHSSRKRLVYIDIGAADHVKASSNWFFPSYPIDRKAFNSYFVHHNTSILTSYVKSPGVTFIYHPGLAATKTTTASLGDQEEPFVEDDSFDFLAWFKETASFADFVVLKMNTSNTELKFLSELIKTGAICSVDELFLHCTGYRDCTGIFKSLRNSGVFVHQWWED >scaffold_502432.1 pep chromosome:v.1.0:5:16561768:16562938:-1 gene:scaffold_502432.1 transcript:scaffold_502432.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LUH8] MGNFISGGSRPQYHRDHQSPPPLNPNPQFEGNYPLPYHHQQDCARYPYGEMASPLQYVEHQEAVTIRNDINLKKETFRFEPDEQNPGKFLLSFTFNASVPGSISVMFFAKEGKECNFNATKEDLFPSTTVSFAKGMGQRFKQACGTGIDFSALSETDLVEASESDVYHVAVIAEVVSEDDHPESETLNRQITHVVLEKGHKDEYKARVVKQILWVNGKRYVLQEIYGIGSTVDDNGEDANERGKECVICLSEPRDTTVLPCRHMCMCSGCAKLLRFQTNLCPICRQPVDRLLEITVNTNDKNQ >scaffold_502433.1 pep chromosome:v.1.0:5:16567286:16567476:-1 gene:scaffold_502433.1 transcript:scaffold_502433.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCU5] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_502435.1 pep chromosome:v.1.0:5:16581569:16582117:-1 gene:scaffold_502435.1 transcript:scaffold_502435.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L12 [Source:UniProtKB/TrEMBL;Acc:D7LUI1] MPPKLDPSQIVDVYVRVTGGEVGAASSLAPKIGPLGLAPKKIGEDIAKETAKEWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKVKNIKHNGNISFDDVIEIARIMRPRSIAKELSGTVREILGTCVSVGCTVDGKDPKDLQQEIQEGEIDIPEN >scaffold_502446.1 pep chromosome:v.1.0:5:16623366:16627315:-1 gene:scaffold_502446.1 transcript:scaffold_502446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFRLSDLSSRDRLPSSQVPTSHQGKKQKSQKLKSSRSSSEFNSCLSEALSENKQDFHTGVPMKSLLAQEMSKHKESKKRSPSIIARLMGLDVLPSQSSPHRQQKSMESQQGRSGGGSSYDGNKSLGWRSKGEQKFKDVFEVLDAKMAESNRNLYHQGRVNANLTQAEMAFIRHKFMEAKRLSTDDKLRHSKEFNDALEALDSNKDLLLKFLQHPDSLFTKHLHDLQSTPHKPQYRQAPSLKSPNSQRHVDSVKTQKVDKDLSRKSHRSPHRHGGGGSGCPSRSHTRRASYDTIDLPNEELRKRSELQPTKIVVLKPNLGEPRYAGRNFASPSSSSDEFRADRRLPCTTNHGRQKSNEDVRLSRQNSRDSGEMAKIMARQRKASCGNGSAMSFETSGFRGYAGDESSSGSDSASESELVPVTSGTRTAFNRRNYHRSLPSKSTTSSVSREAKRRLSERWKLTHKFEHEIEISRSGTLAEMLATSDREARPASFNGLSFEDGISKRFENNIHWSELPEPVGISSRDGWKGYCSRSFSKSKTIMNQESTCGGYTIVLPKGLINRDGLVQGNSSHHGEPSLSSKSRPGSNKSHSSYNSSPEVSITPSLSKFVYMNEGVPKEKLSPFQARNSFSGDANSDTEDSSASDDIKTAMSSEALDLSTVTSVTDPDISRRTTEDVNHSLVPEPQSHESLKEGDQPSPVSVLEASFDDDVSSGSECFESVSADLQGLRMQLQLLKLESATYNEGGMLVSSDEEDTDQEESSTITDEAMITHELGEEDWKSLYLVDLLANSNFSGSDHSIVMATTTPVDPSLFEDLEKKYSSVKTSTRLERKLLFDQISREVLYMLKQFSDPHPWVKSTRVCPKWDANKIQETLQELVTRKDEKPSKDNVEEELQWLSLEDDIEIIGREIEEMLTDELIAELVVGAIF >scaffold_502449.1 pep chromosome:v.1.0:5:16637261:16637477:1 gene:scaffold_502449.1 transcript:scaffold_502449.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LUJ5] MAAPMQISFVDDDCFVVLVVALKRKFFFTNVKPTEFGLVGALFSCLLNTNPKIIFGF >scaffold_502450.1 pep chromosome:v.1.0:5:16638472:16639534:-1 gene:scaffold_502450.1 transcript:scaffold_502450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast lumen common family protein [Source:UniProtKB/TrEMBL;Acc:D7LUJ6] MESLGKLQLHHQPFQLSFTHTSSSSFPKNLFRTSIRPISSFKSASIKASSSKFQDSITLLPKSTPFRLLKSTCITLTTAAALLLANLHLKSPAIAAPVAPPPSVESKENVTLEDEERALDEHLITHPSDVDALRSLMEVKIRSRKLSEAVEVIDRLIKLEPEEKEWPVLKANIFTYSGDLDLAKTGFEEILAKDPLRVEAYHGLLMAYSDAGLDLKEVESRIEEAMLKCKKENNHNDFRDFKLLVAQIRVIEGKHSEALKLYQELVKEEPRDFRPYLCQGIIYTLLKKKDKAEEQFDNFRKLVPKNHPYREYFMDNMIATKLFSEKAQREMAEEMAGSSS >scaffold_502451.1 pep chromosome:v.1.0:5:16640002:16642826:-1 gene:scaffold_502451.1 transcript:scaffold_502451.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fus3-complementing gene 1 [Source:UniProtKB/TrEMBL;Acc:D7LUJ7] MQSSVYRDKASSIAMILETQRNVEFPHRIVDKRPRKRPRLTWDAAAPLLPPPPPPPPPTVFQPPLYYGPEFASGVVPNFVYPNMFYNGLPRQGSPPWRPDDKDGHYVFVVGDTLTPRYQILSKMGEGTFGQVLECFDNKNKEVVAIKVIRSISKYREAAMIEIDVLQRLTRHDVGGSRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVRELGRQLLESVAYMHDLRLIHTDLKPENILLVSSEYIKIPDYKFLSRPTKDGSYFKNLPKSSAIKLIDFGSTTFEHQDHNYIVSTRHYRAPEVILGVGWNYPCDLWSIGCILVELCSGEALFQTHENLEHLAMMERVLGPLPPHMVLRADRRSEKYFRRGAKLDWPEGATSRDSLKAVWKLPRLPNLIMQHVDHSAGDLIDLLQGLLRYDPTERFKAREALNHPFFTRSREQSIPFNPNPHPFLYNQKN >scaffold_502452.1 pep chromosome:v.1.0:5:16643474:16645796:1 gene:scaffold_502452.1 transcript:scaffold_502452.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diaminopimelate epimerase family protein [Source:UniProtKB/TrEMBL;Acc:D7LUJ8] MEIAAASSVSVAPQSRQLLNVFSRKLGSVSSLSFGFFEKEYCFKSPSLRVSAAASMDAVIAEKFSPASFLDKKETGVLHFVKYHGLGNDFILVDNRDSSEPKITQEQAAKLCDRNFGVGADGVIFAMPGVNGTDYAMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGKHSFTIHTGAGLIVPEIQDDGQVKVDMGTPILKAQDVPTKLPGNKGEAVVQAELVVDGESWNVTCVSMGNPHCITFGKKGGPNLKVDDLNLPEIGPKFERHEMFPARTNTEFVEVLSHSHLKMRVWERGAGATLACGTGACALVVAAVLEGRADRKCTVDLPGGPLEIEWKQEDNHIYMTGPAEAVFYGSALL >scaffold_502453.1 pep chromosome:v.1.0:5:16646191:16650522:-1 gene:scaffold_502453.1 transcript:scaffold_502453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNLTGRIPLEIGRISSLKLLLLNGNKFTGSLPPELGNLQNLNRLQVDENNITGSVPFSFGNLRSIKHLHLNNNTISGEIPVELSKLPKLVHLILDNNNLTGTLPPELAQLPSLTILQLDNNNFEGSTIPEAYGDVSRLVKLSLRNCGLQGSIPDLSRIPNLSYLDLSWNHLTGTIPESKLSDNMTTIELSYNHLTGFIPQSFSELGSLQLLSLENNSLSGSVPTEIWQDKSFENNKLQVDLRNNNFSDATGNLRTPDNNVKVSPGICLCTAPLSIDYRLKSPSFFFFTPYIERQFREYITSSLQLETHQLAIDRLLDENRLRPRMYLKLVPKGKITFNKSEVIRIRDRFMSWSFNKTDFFGPYELLDFPLQGPYGSIVTATVISVSATLLYVRKRREKSHTLTKKRFFRAMSREIKGVKKFSFVELSDATNGFDSSTMIGRGSYGKVYKGILPNKTEVAIKRGEETSLQSEKEFLNEIDLLSRLHHRNLVSLIGYSSDIGEQMLVYEYMPNGNVRDWLSVVLHCHAANATDTLSFSMRSHVALGSAKGILYLHTEANPPVIHRDIKTSNILLDCQLRAKVADFGLSRLAPAFGEGDGEPAHVSTVVRGTPGYLDPEYFMTQQLTVKSDVYSFGVVLLELLTGMHPFFEGTHIIREVHFLTELPRKPDNGVRTANECGTVLSVADSRMGQCSPDKVKKLAELALWCCEDRPETRPPMSKVVKELEGICQSVREPEMFSETTKLLCTKTSPSSSSVPSPLSLLPGSDLDSGFFHAVKPR >scaffold_502454.1 pep chromosome:v.1.0:5:16650592:16651470:-1 gene:scaffold_502454.1 transcript:scaffold_502454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRTCGSLFLVTFCCLLLHVAGSRTDPSEVNALREVKRSIIDPMRNLSNWAKGDPCNSNWTGIICFGSSHDDGHFHVRELQLMRLNLSGELAPEVGQLLYLEIL >scaffold_502455.1 pep chromosome:v.1.0:5:16653600:16653792:-1 gene:scaffold_502455.1 transcript:scaffold_502455.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LUK1] MKMRKSQKSAITQHSDRVSTPGVQISNLRRKRREAVVSSSSPARLGSGLV >scaffold_502462.1 pep chromosome:v.1.0:5:16673054:16673378:-1 gene:scaffold_502462.1 transcript:scaffold_502462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGIDKLVIEIFEKEASEMNKRYFKYAWVLYKLKAEREREITIDNPDHLDFITITNMITDTSQADCAVEKNCYEDSNHSNPRPQYHSLTTGPR >scaffold_502463.1 pep chromosome:v.1.0:5:16674631:16675272:1 gene:scaffold_502463.1 transcript:scaffold_502463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLIIKSKVKRCLGKQQHCHSDSLNSSPTTNLRSRRLAIDTDSSSSSSTTLESFEKWSSVGENDELEVFIRNFEIIENDVDVTDSADSSIVPIQEKGEDFEVMTLTEKNMDVNDSGRADPFTATTLNDERGGVSLTSLVIRSIDFQVILMISFIRFFNVVICEVLVKSF >scaffold_502469.1 pep chromosome:v.1.0:5:16699374:16700478:-1 gene:scaffold_502469.1 transcript:scaffold_502469.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LUL3] MATQSVNAVIVDLPGDVNDDGDNSDCFIFSSTPLSARGSTKRDAISVENYDRDRKLQRLWVYPPHNRAIKSESPIYIDLDLYNDEDDDIRILCFPPPIHTKSLEKGQSSSSATVTFDCEICVETKSIIETFRIGGCSHFYCNDCVSKYIAAKLQDNILSIECPVSGCSGRLEPDQCRQILPREVFDRWGDALCEAVVMRSKRFYCPYKDCSALLFMDESEVMKDSECPHCHRMVCVECGTKWHPEMTCEEFQKLAENERGRDDILLATMAKKKKWKRCPSCKFYIEKSHGCLYMKCRCGLAFCYNCGTPSRDHSHYCYNCKR >scaffold_502470.1 pep chromosome:v.1.0:5:16700689:16702998:1 gene:scaffold_502470.1 transcript:scaffold_502470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSCLKFYPFSISQAVTLTHHSFSLKLTPPSSTISFASPNSAALSSSDVKLLDSLRSQADDSAALRLFNLASKKPNFSPEPALYEEILLRLGRSGSFDDMRKILEDMKNSGCEMGTSPFLILIESYAQFELQDEILGVVHWMIDDFGLKPDTHFYNRMLNLLVDGNNLKLVEIAHAKMSVWGIKPDVSTFNVLIKALCRAHQLRPAILMLEDMPSYGLVPDEKTFTTIMQGYIEEGDLDGALRIREQMVEFGCSWSNVSVNVIVHGFCKEGRVEDALNFIQEMSNQDGFFPDQYTFNTLVNGLCKAGHVKHAIEIMDVMLQEGYDPDVYTYNSVISGLCKLGEVKEAVEFLDQMITRDCSPNTVTYNTLISTLCKENQVEEATELARVLTSKGILPDVCTFNSLIQGLCLTRNHRVAMELFEEMRSKGCEPDEFTYNMLIDSLCSKGKLDEALNMLKQMELSGCARSVITYNTLIDGFCKANKIREAEEIFDEMEVHGVSRNSVTYNTLIDGLCKSRRVEDASQLMDQMIMEGQKPDKFTYNSLLTHFCRGGDIKKAADIVQAMTSNGCEPDIVTYGTLISGLCKAGRVEVASKLLRSIQMKGIALTPHAYNPVIQGLFRKRKTTEAINLFREMLEQNEAAPDAVSYRIVFRGLCNGGGPIREAVDFLVELLEKGFVPEFSSLYMLAEGLLTLSMEETLVKLVNMVMQKARFSEEEVSMVKGLLKIRKFQDALATLGGVLDSRQPRRTYRSR >scaffold_502472.1 pep chromosome:v.1.0:5:16708733:16713775:-1 gene:scaffold_502472.1 transcript:scaffold_502472.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger [Source:UniProtKB/TrEMBL;Acc:D7LUL6] MPFNITAVKTSSNGAWQGDNPLNFAFPLLIVQTALIIAVSRSLAVLFKPLRQPKVIAEIVGGILLGPSALGRNTAYMDRIFPKWSMPILESVASIGLLFFLFLVGLELDLSSIRRSGKRAFGIAVAGITLPFIAGVGVAFVIRNTLYTAADRPGYAEFLVFMGVALSITAFPVLARILAELKLLTTRIGETAMAAAAFNDVAAWILLALAVALAGDGGEGGGEKKSPLVSLWVLLSGAGFVVFMLVVIRPGMKWVAKRGSPENDVVRESYVCLTLAGVMVSGFATDLIGIHSIFGAFVFGLTIPKDGEFGQRLIERIEDFVSGLLLPLYFATSGLKTDVAKIRGAESWGMLGLVVVTACAGKIVGTFVVAVMVKVPAREALTLGFLMNTKGLVELIVLNIGKEKKVLNDETFAILVLMALFTTFITTPTVMAIYKPARGTHRKLKDLSASDGSTKEELRILACLHGPANVSSLISLVESIRTTKILQLKLFVMHLMELTERSSSIIMVQRARKNGLPFVHRYRHGECHSSVIGGFEAYRQLGRVAVRPITAVSPLPTMHEDICHMAETKRVTMIILPFHKRWNVDHGHGHHHQDGGDGNVPENVGHGWRLVNQRVLKNAPCSVAVLVDRGLGSIEAKTSSLDGSNVVERVCVIFFGGPDDREALELGGRMAEHPAVKVTVIRFLVRETLRSNVVTLRPAPSKGKEKNYAFLTTNVDPEKEKELDEGALEDFKSKWKEMVEYKEKEPNNIIEEILSIGQSKDFDLIVVGRGRIPSAEVAALADHQAEHPELGPIGDVLASSINHIIPSILVVQQHNKAHVEDITVSKIVSESSLSIINGDTNV >scaffold_502474.1 pep chromosome:v.1.0:5:16720475:16720874:1 gene:scaffold_502474.1 transcript:scaffold_502474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDVLDQIYLSNNWFSGEIPPAIGNFPNLQTLFLDRNRFRGNLPREIFELKHLSKINTSANNITGSIPTRIGNMTSLTTLYLSFKDLSGRVPLGGQFMVSRFVGISGLMGQLIGLVSG >scaffold_502484.1 pep chromosome:v.1.0:5:16751440:16751912:1 gene:scaffold_502484.1 transcript:scaffold_502484.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LUM7] MNRKYLDRRSYSWSGSQARPYICEFCERGFSNAQALGGHMNIHRKDRAKLRQANLKEEDSEEAICTTSRNRFEQELIELPFFVDTVGPRRKEEDDRSKNCSGDEEEKKMRILQNALSQSAEVIDLELRLGLDPYRKSTSTST >scaffold_502498.1 pep chromosome:v.1.0:5:16793238:16795910:-1 gene:scaffold_502498.1 transcript:scaffold_502498.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7LUP0] MEHNKVDTELQEAYDDQQKWVLDSSTDSRGEIPLRAKTGAWRAALFIIGIEFSERLSYFGISTNLVVYLTTILHQDLKMAVKNTNYWSGVTTLMPLLGGFVADAYLGRYGTVLLATTVYLMGLILLTLSWFIPGLKACHEEMCVEPRKAHEIAFFIAIYLISIGTGGHKPALESFGADQFEDGHSEERKMKMSYFNWWTAGLCAGILTAVTAMVYIEDRIGWGVAGIILTIVMATSFFIFLIGKPFYRYRAPSGSPLTPILQVFVAAIAKRHLPCPSDSSLLHELTNEEYIKGRLLSSTKNLKFLDKAAVIEDRNENTKAEKQSPWRLATVTKVEEVKLLINMIPIWFFTLAFGVCATQSSTLFIKQAIIMDRHITGTSFIVPPASLFSLIALSIIITVTIYEKLLVPLLRPATGNERGISILQRIGIGMVFSLFAMIIAALIEKKRLDYAKEHHMSKTMTLSAIWLAPQFLVLGVADAFTLVGLQEYFYDQVPDSMRSLGIAFYLSVLGAASFVNNLLITVSDHLAEEISGKGWFGKDLNSSRLDRFYWMLAALTAANIFCFVIVAMRYTYKSVQPSLAVVPDSGDDVETATGTNNTSKFT >scaffold_502501.1 pep chromosome:v.1.0:5:16806413:16807883:-1 gene:scaffold_502501.1 transcript:scaffold_502501.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein family protein [Source:UniProtKB/TrEMBL;Acc:D7LUP3] MPKDRKIGIAMDFSESSKNALQWAIENLADKGDTLYIIHTLPLSDDESRNSLWFKSGSPLIPLAEFREPEVMEKYGVKIDIACLDMLDTGSRQKEVHVVTKLYWGDAREKLVDAVKDLKLDSIVMGSRGLSALQRIIMGSVSSFVIQHAPCPVTVVKDNDSH >scaffold_502503.1 pep chromosome:v.1.0:5:16826357:16830579:1 gene:scaffold_502503.1 transcript:scaffold_502503.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-type peptidyl-prolyl cis-trans isomerase family protein [Source:TAIR;Acc:AT3G54010](projected from arabidopsis_thaliana,AT3G54010) MAVGDQTEQNYLPKKKKSETEDDKRRKKIVPGSLLKAVVRPGGGDSSPVDGDQVIYHCTVRTLDGVVVESTRSECGGRGLPIRDVLGKSKMILGLLEGIPTMHKGETAMFKMKPEMHYAENNCPVSALGNFPKDDELHFEIELLDISKAKIASDDLGVIKKILNEGEGWESPREPYEVKARISAKSGDGQVIFSYAEEPYFFTFGKSEVPKGLEIGIGTMARKEKAVIYVRKQYLTESPLLHIDQDLEEVHFEVELVHFIQVRDMLGDGRLIKRRVRDGRGEFPMDCPLQDSRLSVHYKGMLLNEEKTVFYDSKIDNNDQPLEFSSGEGLVPEGFEMCTRLMLPGEIALVTCPPDYAYDKFPRPPGVSEGAHVQWEIELLGFETPRDWTGLNFQSIMDEADKIRSTGNRLFKEGKFELAKAKYEKVLREFNHVNPQDEDEGKIFGDTRNMLHLNVAACLLKMGEWRKSIETCNKVLEAKPGHVKGLYRRGMAYIAGGEYDDARNDFNMMIKVDKSSEADATAALLKMKQKEQRAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIEEVDETKDNDNDETQEEEGTTTVSAERKRKWSEKAWPFLKNVMLQIGIQLGVVLLGILIFQFVGAKFT >scaffold_502504.1 pep chromosome:v.1.0:5:16831625:16833657:1 gene:scaffold_502504.1 transcript:scaffold_502504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYIRREASKLWKRFCSEITTEIGLLAENWKYLLAGLLCQYIHGLAARGVHYIHRPGPTLQDSGFFVLPELGQDKGYISETVFTCVFLSFFLWTFHPFIVKSKKIYTVLIWCRVLAFLVACQFLRVITFYSTQLPGPNYHCREGSELARLPRPHNVLEVLLLNFPRGVIYGCGDLIFSSHMIFTLVFIRTYQKYGSKRFIKLLGWVVAILQSLLIIASRKHYTVDVVVAWYTVNLVVFFLDKKLPELPDRTTALLPVISKDRSKEENHKLLNGNGVDPADRRLRAQVNGKDSNGGHTDNGTNGA >scaffold_502506.1 pep chromosome:v.1.0:5:16841301:16841957:-1 gene:scaffold_502506.1 transcript:scaffold_502506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCFLPGDAYNTSDPVNMDYRGAASIYADENQDKSPEVVVNHSPANVMLILGEIEEHHSFVAAVHRLQNLKCHNVLFAQPENKSVPLDFPISTKCLWETLSVGGLHIVQTEPVENTLKGTRRSPLRRFIFACRNFSLYCFPKAVSTTPA >scaffold_502517.1 pep chromosome:v.1.0:5:16868022:16871452:-1 gene:scaffold_502517.1 transcript:scaffold_502517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGAINPVPIAPTTRRRVGDSLDVISERPSNSSDYSNTVNQPIVGSTDLDGGDASGQDSSPSSESSASSTGSHYHHDHYHRFYNHPAIRYLLLRKFWIPFGGGSTVVIGQGFRSGRNVGRRILGLLMVLVVASVFLRVYLMGGVRVVDHARLKEFVVVRTLRDDWSMAQREVAENQASSQPMRVLEKLPIPEIWQKPESGNYRQCVARPKNYTRLYRQTNGYLLVHANGGLNQMRTGICDMVAVAKIMNATLVLPLLDHESFWTDPSTFKDIFDWRNFMNVLKHDVDIVEYLPPQYAAMKPLLKAPVSWSKASYYRSEMLPLLKRHKVLKFMLTDSRLANNGLPPSIQRLRCRANYQALLYTKEIEDLGKILVNRLRNNSEPYIALHLRYEKDMLAFTGCNHNLTTEDAEELRIMRYSVKHWKEKEIDSRERRIQGGCPMSPREAAIFLKAMGYPSSTTVYIVAGEIYGSKSMDAFRAEYPNVFSHSTLATEEELEPFSQYQNRLAALDYIVALESDVFVYTYDGNMAKAVQGHRRFEGFRKSINPDRLNFVRLIDHFDEGIISWEEFSSEVKRLNRDRIGAAYARLPAALPRVEENFYANPQPDCICNKSHPEQLRKQSSLRTDSKSWKKSALR >scaffold_502519.1 pep chromosome:v.1.0:5:16874969:16875862:-1 gene:scaffold_502519.1 transcript:scaffold_502519.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:D7LUQ5] MGSSSDKLFNRERTLHEILGGGIVADVMLWRKKNVSVGIVTVTIASWMVFEAFAYTIFTLISSVLLLLLSILFLWSKSASILNRPSPPLPEFQISEAMAEEASICLRLHVNKLLQVSHDIAMGRDSELYTKVAVSLFLISLIGSLMDFQTLCHTSVLVVMTVPAVYERYEDHIDGSILFICNKAKELYLRVEVLAFPENKKLS >scaffold_502521.1 pep chromosome:v.1.0:5:16878625:16878845:1 gene:scaffold_502521.1 transcript:scaffold_502521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSETVMGMVRLVMPAFRNNHDKLVFAVHASFIISGFGLIATGRPAFALDAQSSSSQG >scaffold_502523.1 pep chromosome:v.1.0:5:16880281:16880780:-1 gene:scaffold_502523.1 transcript:scaffold_502523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLYGSLSGSSPRRVLLDKRGFVPVIDTLKSDKEGSSSIYREVFKYWRTVKYDLVIPLLIGITNKTPCLMCLPTEIKMKMIELLPGASVAKMACLCTELRSLSLDDDLWKRKWLKEAKNVVVITRFGVPVNLEGKFCCFLEETPTRKVKEH >scaffold_502529.1 pep chromosome:v.1.0:5:16900449:16902608:-1 gene:scaffold_502529.1 transcript:scaffold_502529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRITASPRPCSGRRVVAKKRSRPDGFVNSVKKLQRREISSRKDRAFSISTAQERFRNMRLVEQYDTHDPKGHCLVALPFLMKRTKVIEIVAARDIVFALAHSGVCAAFSRESNKRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYILRGQPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSVYKVFDLKNYTMLYSISDKNVQEIKISPGIMLLIFKRAASHVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNAELMEVSRAEFMTPSAFIFLYENQLFLTFRNRNVSVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADTEDQWIEGNAGSINISNILTGKCLAKITPSSGPPKEDESSSSSCLGKNSKQRRNAVAEALEDITALFYDEERNEIYTGNRHGLVHVWSN >scaffold_502535.1 pep chromosome:v.1.0:5:16924244:16926731:-1 gene:scaffold_502535.1 transcript:scaffold_502535.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diphosphomevalonate decarboxylase [Source:UniProtKB/TrEMBL;Acc:D7LUS0] MATEKWVFMVTAKTPTNIAVIKYWGKRDEVRILPVNDSISVTLDPDHLCTVTTVAVSPAFDRDRMWLNGKEISLSGSRYQNCLREIRSRAGDVEDKEKGIKIGKKDWEKLHLHIASHNNFPTAAGLASSAAGFACLVFSLAKLMNVDEDPSHLSAIARQGSGSACRSLFGGFVKWTMGSKEDGSDSVAVQLADEKHWDDLVIIIAVVSSRQKETSSTSGMRESVETSLLLQHRAKEVVPKRILQMEEAIKNRDFASFTQLTCTDSNQFHAVCVDTSPPIFYMNDTSHRIISLVEKWNRSEGTPQVAYTFDAGPNAVLIARNRKVAVQLLQGLLYYFPPKSDTDMKSYVAGDNSILKEAGLDGASGVENLQPPPEIKDNIGSQDQKGEVSYFICTRPGKGPIVLHDQTQALLNPETGLPK >scaffold_502537.1 pep chromosome:v.1.0:5:16931169:16933477:-1 gene:scaffold_502537.1 transcript:scaffold_502537.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-phosphatase 3 [Source:UniProtKB/TrEMBL;Acc:D7LUS2] MDRLEGPPRLILVADLDCTLVDHDDPENTDLLRFNALWEAHYRHDSLLIYCTGRSFSSYMSLRKKRPLLTPDIAVTSVGSEIVYSGESTVSDVVWTARLDYKWNRDIVVEETLKFPKLEPQPDKSQEEHKVSFFVEREDAVEIMKELPGILEERGVDVKLVYSNGYAFDVLPRGAGKQGALTYLLDKLDIEGNQPSNTLVCGDSGNDSELFNISQVYGVMVSNSHEELLQWHEENAKDNPKIFHASERCGAGIIEAIQRFNLGPSVSPRDVLDSEIFQAESLNLAHEVVQFYLFYERWRCGEVEKSDKYLQNLKSLSSPLGIFVHPSGVEKPIHEWIDDMEHLHGDGKEKKLRIWLDNVSSSHISSDTWLAKFDKHELSEGKVRSCSTKVLLSYKEEKQRLTWMHIHQSWLDESFSDDQEKWVF >scaffold_502542.1 pep chromosome:v.1.0:5:16960811:16962551:-1 gene:scaffold_502542.1 transcript:scaffold_502542.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATVAMP727 [Source:UniProtKB/TrEMBL;Acc:D7LUS6] MSQKGLIYSFVAKGTVVLAEHTPYSGNFSTIAVQCLQKLPTNSSKYTYSCDGHTFNFLVDNGFVFLVVADESTGRSVPFVFLERVKEDFKKRYEASIKNDERHPLADEDEDDDLFGDRFSIAYNLDREFGPILKEHMQYCMSHPEEMSKLSKLKAQITEVKGIMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRKMWLQSLQMKLMVAGAVLSFILIVWVVACGGFKCSS >scaffold_502546.1 pep chromosome:v.1.0:5:16976562:16980299:-1 gene:scaffold_502546.1 transcript:scaffold_502546.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1967 [Source:UniProtKB/TrEMBL;Acc:D7LUT0] MGALAQVVPWIPEDDLLLKNAVEAGASLESLAKGAVQFSRRFSIRELQDRWHALLYDPVVSAEAAFRMTELERTNPNFPTKFGRTGYSKENKSSSRKRNAERLRSTYHSLRKKFRTEPFNSLDLGFLVPPNDSHFMDNGDATHLGLEDSHMDIIHNAFPEILAEGGCVTTHVVLEDDLQRKIPYVGGENLTFTEHAGPSGCDVVHQDSEQKLENTVHEEITTMASTDFLAQLSTSLFEEDVEPFMEVDGKEVDKSYYDGLSSLLVNSTNDTNREAFPNSTEQDPSIAPTHSGDATLDDHVMLELDGTIAIASALDPHPEIVGGVICCLLNQEDPDIPCNDDIFLSNNSHPMSVSSLARRNFKDTNNPLTTCVRDLSVSKEKSEGYSLQTLKKNPGRLQGSTQGKPEMGQPSQASKFRASTSAELKNIVAPGGSTCAGSAQACSNTLLSTGTGAKDGKKETASGTLFVGSDGHGSYQEKDSENCKEKNVVPPVNESPHAKNTADGLIEITDPELEITRAEAEVEAHVCESDEDLPNYSDIEAMILDMDLEPDDQDNFDLEVAKYQSQDMKRTIIRLEQAAYSYMQRAIASRGALAVLYGRYSKHYIKKPEVLVGRSTEDLAVDIDLGREKRGSKISRRQAIIRLGDDGSFHMKNLGKYSISVNEKEVDPGQSLILKSDCLVEIRGMPFIFETNQSRMQEYLKRTGKLN >scaffold_502551.1 pep chromosome:v.1.0:5:17005070:17007420:-1 gene:scaffold_502551.1 transcript:scaffold_502551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHLLILLISLLILKSESINCNEKSHSSDLRVFHINSQCSPFKTSVSWADTLLQDKARFLYLSSLAGVTKSSVPIASGRGIVQSPTYIVRANIGTPAQAMLVALDTSNDAAWIPCSGCVGCSSSVLFDPSKSSSSRTLQCEAPQCKQAPNPSCTVSKSCGFNMTYGGSAIEAYLTQDTLTLATDVIPNYTFGCINKASGTSLPAQGLMGLGRGPLSLISQSQNLYQSTFSYCLPNSKSSNFSGSLRLGPKNQPIRIKTTPLLKNPRRSSLYYVNLVGIRVGNKIVDIPTSALAFDPATGAGTIFDSGTVYTRLVEPAYVAMRNEFRRRVKNANATSLGGFDTCYSGSVVFPSVTFMFAGMNVTLPPDNLLIHSSAGNLSCLAMAAAPTNVNSVLNVIASMQQQNHRVLIDVPNSRLGISRETCT >scaffold_502558.1 pep chromosome:v.1.0:5:17036006:17037978:-1 gene:scaffold_502558.1 transcript:scaffold_502558.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ump synthase [Source:UniProtKB/TrEMBL;Acc:D7LUU2] MSAMEALILQLHEIGAVKFGNFKLKSGIFSPVYIDLRLIVSYPSLLTQISQTLISSLPPSTTFDVICGVPYTALPIATVVSVSNGIPMLMRRKEIKDYGTSKAIEGIFEKDQTCLIIEDLVTSGASVLETAAPLRAVGLKVSDAVVLIDRQQGGRENLAENGIKLHSMIMLTDMVRVLKEKGKIEEEVEVNLLKFLEENRRVSVPSVEKPKPKPRVLGFKERSELSKNPTGKKLFDIMLKKETNLCLAADVGTAAELLDIADKVGPEICLLKTHVDILPDFTPDFGSKLRAIADKHKFLIFEDRKFADIGNTVTMQYEGGIFKILEWADIVNAHIISGPGIVDGLKLKGMPRGRGLLLLAEMSSAGNLATGDYTAAAVKIADAHSDFVMGFISVNPASWKCGYVYPSMIHATPGVQMVKGGDALGQQYNSPHSVITERGSDIIIVGRGIIKAENPAETAHEYRVQGWNAYLEKCSQ >scaffold_502559.1 pep chromosome:v.1.0:5:17038727:17041185:-1 gene:scaffold_502559.1 transcript:scaffold_502559.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Skp1-interacting partner 5 [Source:UniProtKB/TrEMBL;Acc:D7LUU3] MEIQEISKLNNEKHPERIVESRMKKRMKPHSLTSINNLDDGCLMHILSFLSPIPDRYNTALVCHRWRYLACHPRLWLRVDRFVKDLSQPGVFHNIESAVSAARPGDTILIGAGGNHRASNIQIKKPLCLVGGGEIPDETTLVCARGSDSALELLSTCKLANLTVKAELGCCLLHRSGRVTIDGCVLQCETNPLDHLSCPIVSTAGDEDIEDILSHVEVKETVAEKIRANSVTVLQTRIEGGAKAVSTSGDLVLQRVRVMYSKAYLYFWFDVDYQ >scaffold_502560.1 pep chromosome:v.1.0:5:17042173:17043852:1 gene:scaffold_502560.1 transcript:scaffold_502560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic rpb5 RNA polymerase subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7LUU4] MAEEGCCENGESTFKNGSHCISKTEDTGGIDSKRFYLARTTAFEMLRDRGYEVNEAELSLTLSEFRSVFGEKPDLERLRICVPLRSDPKKKILVVFMGTEPITVKSVRAIHSQISNNVGVNAMILILQSKMNHFAHKELTTFPFTVETIPIGDLLVNIAKHIQQPKIEILNKEEKEQLLRKHALEDKQEDSFVRYYGLKKKQVVKITYSKEPIGDFVTYRCII >scaffold_502565.1 pep chromosome:v.1.0:5:17058938:17059242:-1 gene:scaffold_502565.1 transcript:scaffold_502565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENLRRTAEHDRIVRPRTKIKHEQNREESFQKINQQIQNFEVLQQQERAKVVEQPI >scaffold_502566.1 pep chromosome:v.1.0:5:17059632:17060364:-1 gene:scaffold_502566.1 transcript:scaffold_502566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLHSQFPTLLLPTFRVSWLLVLVSITFAASSSVFVLDCRSHTWSEAPSLREELKSLSASVLNGKIYVAGSCKDGDSNSLKNLFQVFDTETQIWDHVMCPPLAARRNVREVAYNSEESKFDLVGPGGMSDFMFSDSYCEIENVLYSVSKGELKWYDTEVRMWRNLEGLAGLPKFPQGANVRLANYGGKIAVLWQWWHLSKGDLVCQDCA >scaffold_502567.1 pep chromosome:v.1.0:5:17060694:17062737:1 gene:scaffold_502567.1 transcript:scaffold_502567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKSEKGKPSGNDSKKEKLSVSAMLAGMGQKKSTSSRSKDAPKDSSYIDDSYLPPVEGDEDEGVSDEKQEQTDARRKQKNKEQLDTSVTDREQKKREKRERLAFEAAHIAKTNALKKDRDAFTVVIGTKTSVLEGEDTADANVKDISIDSFSVSVKGKELLKNVSVKLSHGKRYGLVGQNGTGKSTLLKLLAWRMIPVPKNIDILLVEQEAEANEKTAVEAVVSANEELAKVRKEKESLEEADGENGDDGIGERLAEVYERLERLGSHTAEARAYQILAGLGFTQDMLDSPTEERSGGWLMRISLAKALFMEPSLLLLDEPTNHLDQSAVQFLEEYLCSLKKTTLVVVSHNPDFLNIVCTDIIHLHEQNLNLCRGNYDDFKRRYEQQCKERNKKVEKQEKAAKRAQQKKVIKGRAKATEDVQKLREYEVVFDFPEPTELSTDSLLELIDVCFCYPNRTDFRLLNVDVCIDMETRVAIVGPNGAGKSTLMNLLAGELDPTEGEVIRSQKLRIGRYSQHFVDGLTMEETPVEYLLRLYSDQEEFSKPSVVRAKLAKFGLKGRNYVTPISKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDIESIDALARALDEFTGGVVLVSHDSGFVSRVCKDEVNREIWVVEDGTVKACTLEEYEEDKEELD >scaffold_502568.1 pep chromosome:v.1.0:5:17065333:17067640:1 gene:scaffold_502568.1 transcript:scaffold_502568.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATGCN4 [Source:UniProtKB/TrEMBL;Acc:D7LUV2] MGKKKSDESAATTKVKPSGKDASKDSKKEKLSVSAMLAGMDQKDDKPKKGSSSRSKAAPKSTSYTDGIDLPPSDEEDEGESDEEERQKEARRKLKSEQRHLEISVTDKEQKKREAKERLALQAAEMAKREALKDDHDAFTVVIGSKTSVLEGDDSADANVKDITIESFSVSARGKELLKNASVKISHGKRYGLIGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVGDEKSALNAVVSANEELVKLREEAEALQKSSSGADGENVDGEDDDDTGEKLAELYDRLQILGSDAAEAQASKILAGLGFTKDMQVRPTQSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCTDIIHLHDQNLHFYRGNFDGFESGYEQRRKEMNKKYDVYEKQIKAAKRTGNRAQQEKVKDRAKFTAAKEASKSKAKGKALDEEGPAPEAPRKWRDYNVEFHFPEPTELTPPLLQLIEVSFSYPNRPDFMLSNVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLVPTEGEMRRSQKLRIGRYSQHFVDLLTMGETPVQYLLRLHPDQEGFSKQEAVRAKLGKFGLPSHNHLSPIAKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCAEEEKSQICVVEDGTVNFFPGTFEEYKEDLQREIKAEVDE >scaffold_502572.1 pep chromosome:v.1.0:5:17076308:17076731:-1 gene:scaffold_502572.1 transcript:scaffold_502572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSRMGPSTHLICALGVIIMATMVAAYEPYTDSSPPPLYSSPLPKVEYKTPPLPYVDSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKVEYKSPPPPYVYKSPPPPSYSPSPKSEY >scaffold_502574.1 pep chromosome:v.1.0:5:17082522:17084497:1 gene:scaffold_502574.1 transcript:scaffold_502574.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DJ-1 family protein [Source:UniProtKB/TrEMBL;Acc:D7LUV8] MGSMAHKSVLILCGEFMEAYETIVPLYVLQAFGVSVHCVSPGRKTGEKCIMAAHDLLGLEIYTELVVDHLTLNANFDDVIPDQYDAIIIPGGRFTELLSADEKCVSLVARFAELKKLIFTSCHSQLFLAAAGLLTGGMKCTAFESMKPLIELSGGAWWQQPGVQTLFDITDCVKDGSFMSTMGWPTLGHSLRVLFESLGSKISSSKENHQPSLLFLIGDCVEDYSINVPFKAFQALGCKVDAVTPTKKRGEKCATIVHDLEDGRQLPTEKFGHNFYVTVAWDDVSVDDYDCIVVPGGRSPELLVMNPKAIELVRTFVEKGKFVAAIGMGNWLLAATGALKKKRCASSYGTKVAMKVAGGEIVESERCVTDDKLITAASTSDLPAFLCALSSALGLSVVF >scaffold_502576.1 pep chromosome:v.1.0:5:17089868:17091842:-1 gene:scaffold_502576.1 transcript:scaffold_502576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVFSVDDLTESFWPVPAPAPSPGSSSTPAPTQNVADGMTRSQSEWAFHRLLNELSGSDSSPTTNAIERSPPPVQSLSRLEETVDETEDVVEIQKPQNHRRLPADDQGKNRTRPPSSDPLDSSAAGVVDPNQYHAILKSKLELACAAVARRVGTVKPEDSSASATNQKQAQGSIVAQTSPGASSVRFSPTTSTQKKPDVPARQTTSISSRDDSDDDDLDGDADNGDPTDVKRARRMLSNRESARRSRRRKQEQMNEFDTQVGQLRAEHSTLINRLSDMNHKYDAASVDNRILRADIETLRTKVKMAEETVKRVTGVNPLHWSRPNMGVPFNNTPNASSRIPPNSNHILKPAIPSTTTSAGLAPNQRVETANFLPEHVNREAMQNPFAPDSNLYETLPHWNHKH >scaffold_502600.1 pep chromosome:v.1.0:5:17199704:17200040:1 gene:scaffold_502600.1 transcript:scaffold_502600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LUY1] MPSYISFFMLLFLFLFSPLTNFVCASRLLLESNNPGHMSMNTIHTKGSEEGAFHGGAMTDCLPKGFRFNSAPSRYINNLPLDSTLCSTTEKAIPKP >scaffold_502602.1 pep chromosome:v.1.0:5:17206353:17207521:1 gene:scaffold_502602.1 transcript:scaffold_502602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLFNINTLLMVSFHALMRFRVCQWKLASLFTPSTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_502603.1 pep chromosome:v.1.0:5:17212086:17214574:1 gene:scaffold_502603.1 transcript:scaffold_502603.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7LUY4] MGLTNCSHEELMSRLVDSVKEISGFSSSRGFIGKIQGDLVRRITLLSPFFEELIDVNVELKEDQIAGFEVMRIALDSSLELFRSVHGGSKLFQIFDRDSLVLKFHDMTVEIEAALSQIPYAKIEVSEEVREQVQLLHFQFKRAKERREESDLQLSHDLAMAEDVMDPDPNILKRLSQELQLSTIDELKKESHAIHEYFLSYDGDPDDCFERMSSLLKKLVDFVTMESSDPDPSTGNRIISRHRSPVIPEYFRCPISLELMKDPVIVSTGQTYERSSIQKWLDAGHKTCPKSQETLLHAGLTPNYVLKSLIALWCESNGIELPQNQGSCRTTKTGGSSSSDCDRTFVVSLLEKLANGTTEQQRAAAGELRLLAKRNVDNRVCIAEAGAIPLLVELLSSPDPRTQEHSVTALLNLSINEGNKGAIVDAGAITDIVEVLKNGSMEARENAAATLFSLSVIDENKVAIGAAGAIQALISLLEEGTRRGKKDAATAIFNLCIYQGNKSRAVKGGIVDPLTRLLKDAGGGMVDEALAILAILSTNQEGKAAIAEAESIPVLVEIIRTGSPRNRENAAAILWYLCIGNMERLNVAREVGADVALKELTENGTDRAKRKAASLLELIQQTEVVAVSTVP >scaffold_502609.1 pep chromosome:v.1.0:5:17232609:17233164:-1 gene:scaffold_502609.1 transcript:scaffold_502609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSVKTPTLITPVTVVSSSVINKPQSIRFSLKPTAALAVHNRQPSFYGLKLKPTKFRCSASALTPQLKDTLEKLVNSEKVVLFMKGTRDFPMCGFSNTVVQILKNLNVPFEDVNILENEMLRQGLKEYSNWPTFPQLYIGGEFFGGCDITLEAFKSGELQEEVEKAMCS >scaffold_502611.1 pep chromosome:v.1.0:5:17239701:17241344:-1 gene:scaffold_502611.1 transcript:scaffold_502611.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A regulatory subunit [Source:UniProtKB/TrEMBL;Acc:D7LUZ1] MFNKIIKLGQKKFNKSDQHHQDNNNTSTNTVVRGSRTTTAAPSSVSNGESQTTAQSPSQTPNHPMFTSTPVLEVLPLLKDVSSSDRPLLFMKKAHMCSCQCDFSDTLIMPREKEIKRQTLLELVDFLHSSSGKVNETMQSELIRMVSANIFRCLPPAHHENTGAPPEGNDPEEEEPYLEPWWPHLQLVYELLLRYVVSSEIEPKTAKKFINHTFVSRLLDLFDSEDPREREYLKTVLHRIYGKFIFHRPFIRCSIYNIFYKFLYETERCIGIGELLEILGSVINGFTVPMREEHRLYLVKAILPLHKSKGISIYHQQLAYCVTQFVEKDYKLADTVIRGLLKFWPLTNCQKEVLFLGELEEVLDATEPSEFQQCVVPLFTQIGKCLNSAHFQVAERALFLWNNEHIVGLIAQNKDVIFPIIFEALERNMKGHWNQAVHGLSENVRRMFLEMDTELFEECEKQHLENEAKACELLEQRELTWKRLEEAASLAAN >scaffold_502619.1 pep chromosome:v.1.0:5:17276911:17279149:1 gene:scaffold_502619.1 transcript:scaffold_502619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIDNNEGLPPALLGSCNERARKLHASPSGRLLSALICEYLDWAQLNHTLKVYQPESNLPKDSWKSELRDFNSNNGFELNRNGDSGPLLLDVLEGFLKFESMTQGMGGSSRRDSETESSSSLESRNPPRRSSASDSLPPQRRPVSASQASDRRAGLSTSGYRKDEFNWRQGNQDANEEVTRASAALENLQLDRKTRNLTSSWRNVRDGTNEEEGRD >scaffold_502620.1 pep chromosome:v.1.0:5:17279858:17281998:1 gene:scaffold_502620.1 transcript:scaffold_502620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIENNEGLPPALLGSCNERARQLHASPSGRLLSALICEYLDWAQLNHTLKVYQPECNLAKDSWKSEVRDFSINNGYELNRNGDNRPLLLDVLEGFLKFEDMTQVMGGSSWRESETESSSSLDTRNPPRRSSASDSLPPQRRPVSASQSSGAATSGYRKDENNWRYDTEDMPEEVMRASTALENLQLDRKTRNLTSSWRNVKDGTSEEEGKD >scaffold_502623.1 pep chromosome:v.1.0:5:17293261:17294724:1 gene:scaffold_502623.1 transcript:scaffold_502623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDTVTVDQNSFGGGKDSLLRKRHSAPLPSPTQLSSKVITLPTVLTLGRVAAVPILVATFYVDCWWGKTATTSIFIAAAITDWLDGYIARKMRLGSAFGAFLDPVADKLMVAATLILLCTKPMVAVVLGPVPWLVTVPSIAIIGREITMSAVREWAASQNGKLSEAVAVNSLGKWKTATQMIALTLLLASRDSSFERLLPSGIGLLYVSAGLSIWSLVVYMRKIWRVLLKK >scaffold_502624.1 pep chromosome:v.1.0:5:17295164:17296792:-1 gene:scaffold_502624.1 transcript:scaffold_502624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGLKVSVCLYPSLTLSARDFSPPSSSYLYLDRKILRPCSGKRWCKSNGKRRTEPILAIVDSSRVPELDSSSEPVQVFDGSTRLYISYTCPFAQRAWIARNYKGLQNKIELVPIDLKNRPAWYKEKVYSANKVPALEHNNRVLGESLDLIKYIDSNFEGPSLTPDGLEKQVVADELLSYTDSFSKAVRSTLNGTDSNAADAAFDYIEQALTKFNEGPFFLGQFSLVDVAYAPFIERFQLILSHVMNVDIKSGRPNLALWIQEMNKIEAYTETRQDPQELVERYKRRVQAEARL >scaffold_502625.1 pep chromosome:v.1.0:5:17297102:17298418:-1 gene:scaffold_502625.1 transcript:scaffold_502625.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2C [Source:UniProtKB/TrEMBL;Acc:D7LV04] MVSTTFRRIVSPCWRPFGIGEDSSPGSDDANGRLDGLLWYKASGNHITGEFSMAVVQANNLLEDHSQLESGPISLHDSGPEATFVGVYDGHGGPEAARFVNDRLFYNIKRYTSEQRGISPDVITRGFVATEEEFLGLVQEQWKNKPQIASVGACCLVGIVCNGLLYVANAGDSRVVLGKVANPFKEMKAVQLSSEHNASIESVREELRLLHPDDPNIVVLKHKVWRVKGIIQVSRSIGDAYLKRAEFNQEPLLPKFRVPERFEKPIMRAEPTITVHKIHPEDQFLIFASDGLWEHLSNQEAVDIVNSCPRNGVARKLVKAALQEAAKKREMRYSDLEKIERGIRRHFHDDITVIVVFLHATNFATRTPISVKGGGLLSAHNALL >scaffold_502629.1 pep chromosome:v.1.0:5:17315169:17317420:-1 gene:scaffold_502629.1 transcript:scaffold_502629.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7LV08] MSRILVEDDNATPFHSMEIISSSLTLGQLLKNVSDVRKVQVGDETPVHEFFDRDSSSLDGDDDHLMRPVPFVLSFNNLTYNVSVRRKLEFHDLVPWRRSSFSKTKTLLDNISGETRDGEILAVLGASGSGKSTLIDALANRIAKGSLKGTVTLNGEALQSRMLKVISAYVMQDDLLFPMLTVEETLMFAAEFRLPRSLPKSKKKLRVQALIDQLGIRNAAKTIIGDEGHRGISGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLKRIAESGSIVIMSIHQPSHRVLSLLDRLIFLSRGHTVFSGSPASLPTFFAGFGNPIPENENQTEFALDLIRELEGSAGGTRVLVEFNKKWQEMKKQSNPQTLTPPASPNPNLTLKEAISASISRGKLVSGGGAGSSVINHGGGTLAVPAFANPFWMEIKTLTQRSILNSRRQPELLGMRLATVIVTGFILATVFWRLDNSPKGVQERLGFFAFAMSTMFYTCADALPVFLQERYIFMRETAYNAYRRSSYVLSHAIVTFPSLIFLSLAFAVTTFWAVGLEGGPMGFLFYCLIILASFWSGSSFVTFLSGVVPHVMLGYTIVVAILAYFLLFSGFFINRDRIPKYWIWFHYLSLVKYPYEAVLQNEFSDPTECFVRGVQLFDNSPLGELTYGMKLRLLDSVSRSIGMRISSSTCLTTGADILKQQGVTQLSKWNCLLITVGFGFLFRVLFYLCLLLGSKNKRR >scaffold_502633.1 pep chromosome:v.1.0:5:17332499:17334729:-1 gene:scaffold_502633.1 transcript:scaffold_502633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLSGRKIAMTRVSAETQYITPIGSPTLDELLKDCDSFRKGDPGGGVKSDDPAHHIIDVEALYVKPVPYVLAFNNLEYDVILRRRFDFSRRRSSASVKTLLGGVSGEACDGDILAVLGASGAGKSTLIDALAGRVAEGSLRGTVTLNGEKVLQSRLLKVISAYVMQDDLLFPMLTVKETLMFASEFRLPRSLSKSKKMERVEALIDQLGLRNAANTVIGDEGHRGVSGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTNAFMVVQVLKRIAQSGSIVIMSIHQPSARIVELLDRLIILSRGKSVFNGSPAILPGFFSDFGRPIPEKENITEFALDLVRELEGSKEGTKALVDFNEKWQQNKISLIQSAPQTNELEPDRALSLKEAINASVSRGKLVSGSSRSNPTSMETVSSYANPSLFETFILAKRYMKNWIRMPELVGTRIATVMVTGSLLATVYWKLDHTPRGAQERLTLFAFVVPTMFYCCLDNVPVFIQERYIFLRETTHNAYRTSSYVISHSLVSLPQLIAPSLVFSAITFWTVGLSGGLEGFFFYCLLIYASFWSGSSVVTFISGVVPHIMLCYMVAITYLAYCLLLSGFYVNRDRIPFYWTWFHYISLLKYPYEAVLINEFDDPSRCFVKGVQVFDSTLLGGVSHSGKVKLLETLSDSLRTRITESTCLRTGSDLLAQQGITQLSKWDCLWITFASGLFFRILFYFALLFGSRNKRT >scaffold_502641.1 pep chromosome:v.1.0:5:17368236:17369861:-1 gene:scaffold_502641.1 transcript:scaffold_502641.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit G [Source:UniProtKB/TrEMBL;Acc:D7LV20] MTMDSQEKRSKFRWGEMDEDDDLDFLLPPKQVIGPDENGLKTTMEYKFNDEGNKVKITTRTRVRKLASARLNKRAMERRNWPKFGDAANEEAGSHLTMVSTEEILLERPRPPGTKADESKATGDGLSQLGKGGAVLMVCRICHKKGDHWTSKCPYKDLAAPTDAFIDKPPTGESSTMSAAPGTGKAAYVPPSMRAGADRSAVGSDMRRRNDENSVRVTNLSEDTREPDLMELFHPFGAVTRVYVAIDQKTGVSRGFGFVNFVSREDAQRAINKLNGYGYDNLILRVEWATPRPT >scaffold_502642.1 pep chromosome:v.1.0:5:17370192:17371789:-1 gene:scaffold_502642.1 transcript:scaffold_502642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRLGETFNETVVPLRYTPRKFVLQPKRKLLVIIESDQGAFTAEEREAARKECFEAGGVGENGNGNADQMENGADDEDKEDPLSDEQYGYPKAESEKWVSCIRILDPKTATTTCLLELQDNEAAYSVCTVNFHDKEYGTLLAVGTVKGMQFWPKKNLVAGFIHIYRFVEEGKSLELLHKTQVEGVPLALCQFQGRLLAGIGPVLRLYDLGKKRLLRKCENKLFPNTIISIQTYRDRIYVGDIQESFHYCKYRRDENQLYIFADDCVPRWLTASHHVDFDTMAGADKFGNVYFVRLPQDLSEEIEEDPTGGKIKWEQGKLNGAPNKVDEIVQFHVGDVVTCLQKASMIPGGSESIMYGTVMGSIGALHAFTSRDDVDFFSHLEMHMRQEYPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPTLPMDLQRKIADELDRTPAEILKKLEDARNKII >scaffold_502643.1 pep chromosome:v.1.0:5:17378560:17378830:-1 gene:scaffold_502643.1 transcript:scaffold_502643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQQATGIVCAINGNFSGGKTQEIAVARGKILDLLRPDENGKIQTIHSVEVFGAIRSLAQFRLTGAQKDXX >scaffold_502647.1 pep chromosome:v.1.0:5:17388894:17389436:-1 gene:scaffold_502647.1 transcript:scaffold_502647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTNKSHFIMLFIISSTLILFVSALDFSNAPAEAPGSDDDGLLPLAEKHVVIRNKVKNREILNVHCKSSEDDFGIIHLPWNGTWGFRFHVNIWKNTKFRCHFTWHKGGSHYFYIFKVSRDDSAFGQIPVCKECIWEVGKDDENPICRIPREKENNSYCFKWEDGP >scaffold_502648.1 pep chromosome:v.1.0:5:17391166:17391707:-1 gene:scaffold_502648.1 transcript:scaffold_502648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTNKPHFILMFMISFILILFVSALDLSNAPAEAPTSDGDGLLPLAGKHVVIHNKVKNRQILNVHCRSSEDDFGMVHIPWNGTWDFRFHVNFSKTTKFRCHFTWYRGGSHYFYIFKVSRDDSPFGHFPVCKECIWEVGKDDENPICRIVREKGYLPWCFKWEDGP >scaffold_502650.1 pep chromosome:v.1.0:5:17400078:17402752:1 gene:scaffold_502650.1 transcript:scaffold_502650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Map kinase phosphatase [Source:UniProtKB/TrEMBL;Acc:D7LV28] MVGREDAMGNDEVPPGSKKMFWRSASWSASRTASQVPEGEEQSLNIPCANSSGPSRRCPAAPLTPRSHHNSKARACLPPLQPLSISRRSLDEWPKAGSDDVGEWPHPPTPSGNKTGERLKLDLSSTQQQVSDKSSGLAKREKIAYFDKECSKVADHIYVGGDAVAKDKSILKNNGITHILNCVGFICPEYFKSDFCYRSLWLQDSPSEDITSILYDVFDYFEDVREQSGRIFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKSARGITDPNMGFACQLLQCQKRVHAFPLSPTSLLRMYKMSPHSPYDPLHLVPKLLNDPCPSSLDSRGAFIIQLPSAIYIWVGRQCETIMEKDAKAAVCQIARYEKVEAPIMVVREGDEPAYYWDAFASILPMIGGSVIKVQPGDRKVDAYNLDFEIFQKAIEGGFVPTLASSNNEHETHLPARENSWSSLKCKFASRFEKGFRYVSKTPLSRVYSDSMLIVHSSGSPSSTTSSSSTASPPFLSPDSVCSTNSGNSLKSFSQSSGRSSLRPSIPPSLTLPKFSSLSLLPSQTSPKESHGVNNFPQPYIEPSPNRKASPSLAERRGSLKGSLKLPGLADSNRGTPAFTLHPDDSNDIVFNLEGIRNGDLNPPSDCKGTSVDSDLAQKEIISLISCSKSDRHKSAGDNSGQPLACRWPSMEMITKLSRAYLDSESVIAIPLPSDAVGETGSRILYIWIGKSFSLDNNCSLIDSNKAADTVENVDWVQIGESILSQMDLPKDTPIKIVREAEDQTEFLALLSAL >scaffold_502658.1 pep chromosome:v.1.0:5:17459461:17461277:-1 gene:scaffold_502658.1 transcript:scaffold_502658.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:D7LV35] MKVTVVSRSGREVLKAPLDLPDSATVADLQEAFHKRAKKFYPSRQRLTLPVAPGSKEKPVVLNSKKSLKEYCDGNTNSLTVVFKDLGAQVSYRTLFFFEYLGPLLIYPVFYYFPVYKFLGYGEDRVIHPVQTYAMYYWCFHYFKRILETFFVHRFSHATSPIANVFRNCAYYWSFGAYIAYYVNHPLYTPVSDLQMKIGFGFGLVCQVANFYCHILLQNLRDPNGSGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYVFLAVAALIMTNWALGKHSRLRKIFDGKDGKPKYPRRWVILPPFL >scaffold_502660.1 pep chromosome:v.1.0:5:17470370:17472134:1 gene:scaffold_502660.1 transcript:scaffold_502660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 14 [Source:UniProtKB/TrEMBL;Acc:D7LV37] MANNQASLLLQKQLKDLCKKPVDGFSAGLVDEKNVFQWSVSIMGPPDTLYEGGFFNAIMSFPDNYPVEPPTVTFTSEMWHPNVYSDGKVCISILHPPGDDPHGYELASERWTPVHTVESIVLSIISMLSGPNDESPANVEAAKEWRDNRAEFRKKVSRCVRRSQEML >scaffold_502663.1 pep chromosome:v.1.0:5:17476678:17479905:-1 gene:scaffold_502663.1 transcript:scaffold_502663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARINTSLHNALSLLNAFNTPLNYKPFTCRNCFRFSKKLPYYSQSSSGKRALYCTYSSQESTVDGADTFVLTTPLYYVNAPPHMGSAYTTIAADSIARFQRLLGKKVIFITGTDEHGEKIATSAAANGRNPPEHCDIISQSYRTLWKDLDIAYDKFIRTTDPKHEAIVKEFYARVFANGDIYRADYEGLYCVNCEEYKDEKELLENNCCPVHQMPCVARKEDNYFFALSKYQKPLEDILAQNPRFVQPSYRLNEVQSWIKSGLRDFSISRALVDWGIPVPDDDKQTIYVWFDALLGYISALTEDNKQQNLETAVSLGWPASLHLIGKDILRFHAVYWPAMLMSAGLNLPKMVFGHGFLTKDGMKMGKSLGNTLEPFELVQKFGPDAVRYFFLREVEFGNDGDYSEDRFIKIVNAHLANTIGNLLNRTLGLLKKNCESTLVEDSTVAAEGVPLKDTVEKLVEKAQTNYENLSLSSACEAVLEIGNAGNTYMDQRAPWFLFKQGGVSAEEAAKDLVIILEVMRVIAVALSPIAPCLSLRIYSQLGYSVDQFNSITWSDTKWGGLKGGQVMEQASPVFARIELNPEKEEEEKKPKVGKKTGKVKVKVVEQTPTVAEA >scaffold_502667.1 pep chromosome:v.1.0:5:17493988:17496416:1 gene:scaffold_502667.1 transcript:scaffold_502667.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LV43] MARKFFVGGNWKCNGTAEEVKKIVNTLNEAQVPSQDVVEVVVSPPYVFLPLVKSTLRSDFYVAAQNCWVKKGGAYTGEVSAEMLVNLDIPWVILGHSERRAILNESNEFVGDKVAYALAQGLKVIACVGETLEEREAGSTMDVVAAQTKAIADRVSNWSNVVIAYEPVWAIGTGKVASPAQAQEVHDELRKWLAKNVSADVAATTRIIYGGSVNGGNCKELGGQADVDGFLVGGASLKPEFIDIIKAAEVKKSA >scaffold_502671.1 pep chromosome:v.1.0:5:17505535:17507104:1 gene:scaffold_502671.1 transcript:scaffold_502671.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LV46] MAVGILEVSLISGKGLKRSDFLGKIDPYVEIQYKGQTRKSSVAKEDGGRNPTWNDKLRWRAEFPGSGADYKLIVKVMDHDTFSSDDFIGEATVHVKELLEMGVEKGTAELRPTKYNIVDSDLSFVGELLIGVSYSLLQDRGMDGEQFGGWKHSQVD >scaffold_502675.1 pep chromosome:v.1.0:5:17515925:17517047:-1 gene:scaffold_502675.1 transcript:scaffold_502675.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXPA16 [Source:UniProtKB/TrEMBL;Acc:D7LV49] MAINQMILLTIFPLFLLLSFTDAGIPGVYSGGSWQTAHATFYGGNDASGTMGGACGYGNLYSQGYGTNTAALSTALFNSGQSCGACFEIKCVNDPKWCHPGNPSVFVTATNFCPPNLAQPSDNGGWCNPPRSHFDLAMPVFLKIAEYRAGIVPISYRRVACRKSGGIRFTINGHRYFNLVLITNVAGAGDILRTSVKGSKTGWMSLTRNWGQNWQSNAVLVGQSLSFRVTTSDRRTSTSWNIAPSNWQFGQTFVGKNFRV >scaffold_502677.1 pep chromosome:v.1.0:5:17551929:17552212:1 gene:scaffold_502677.1 transcript:scaffold_502677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRSSPQFQYLPKKERFGDDGGASRNTLSSRQKQGKYGFTRKCGRLVKEQRARFYIMRRCVVMLICWTDHNNNNSEDS >scaffold_502683.1 pep chromosome:v.1.0:5:17564431:17566526:-1 gene:scaffold_502683.1 transcript:scaffold_502683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTFVFICLLLIFLTHLVSSLTHDFSFVGFKKASPNLIITGVAEIAATGAIRLTTDTQRVIGHAFYSLPIRFKPIGVNRALSFSTSFAIAMVPEFVTLGGHGLAFAITPTPDLRGSLPSQYLGLLNSSRVNFSSHFFAVEFDTVRDLEFEDINDNHVGIDINSMESSTSTPAGYFLANSTKKELLLDGGRVIQAWIDYDANKKRLDVKLSPFSEKPKLSLLSYDVDLSSVLGDEMYVGFSASTGLLASSHYILGWNFNMSGEAFSLSLPSLPRNPSSIKKKKKKRQGLILGVSILCSLLIFAVLVAALLFVVKKAKDEDRVEEWELDFGPHRFSYRELKKATNGFGDKELLGSGGFGKVYKGKLAGSDEFVAVKRISHESRQGVREFMSEVSTIGHLRHRNLVQLLGWCRQRDDLLLVYDFMPNGSLDMYLFDENPKVILTWKQRFKILKGVASGLLYLHEGWEQTVIHRDIKAANVLLDSEMNGRVGDFGLAKLYEHGSDPGATRVVGTFGYLAPELTKSGKLTTSTDVYAFGAVLLEVACGRRPIETTALPEELVMVDWVWSRWQSGDIRDVVDRRLNGEFDEEEVVMVIKLGLLCSNNSPEVRPTMRQVVMYLEKQFPSPEVVPAPDFLDANGMCFDERSGNAGEFEDFVDSARFYSGPNETTTSSVFSFSGKTRTDPR >scaffold_502684.1 pep chromosome:v.1.0:5:17568964:17569995:-1 gene:scaffold_502684.1 transcript:scaffold_502684.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:D7LV56] MANPWWVGNVAIGGVESPVTSSAPSLHHRNSNNPPTMTRSDPRLDHDFTTNNCGSPNTQTQSQEEQNSRDEQPAVEPGSGSGSTGRRPRGRPPGSKNKPKSPVVVTKESPNSLQSHVLEIATGADVAESLNAFARRRGRGVSVLSGSGLVTNVTLRQPAASGGVVSLRGQFEILSMCGAFLPTSGSPAAAAGLTIYLAGAQGQVVGGGVAGPLIASGPVIVIAATFCNATYERLPIEEEQQQEQPLQLEDGKKQKEENDDNESGNNGNEGSMQPPMYNMPPNFIPNGHQMAQHDVYWGAPPPRAPPSY >scaffold_502685.1 pep chromosome:v.1.0:5:17570535:17570844:1 gene:scaffold_502685.1 transcript:scaffold_502685.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LV57] MRTLKGLKEERSLKRSSLLSLSRPQPSLWTIELLGVRAKLFERASLDACLFFLLSHYPWIAHFCGPVCKLL >scaffold_502686.1 pep chromosome:v.1.0:5:17572209:17572708:1 gene:scaffold_502686.1 transcript:scaffold_502686.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LV58] MAKTNLLLAATLLLCFIVITSFARPDPNICSHGLEVKRKCDTEECMLKGSMFAHVDYSNPGDKPPKNWGRPPHAPQILNN >scaffold_502687.1 pep chromosome:v.1.0:5:17573528:17574832:-1 gene:scaffold_502687.1 transcript:scaffold_502687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLASGVRLMTVMTRRIGVIQSYDHKSQQCIHLYVHAFNKGNEEVYMDVQNGKNGEASVFRMPLHYPRYSKKDYQDMPEWKLDRVLADYGLSTYGDLAHKRDFAIGAFLWISTRNPKLYQDKSINSKPTKDTKSAHA >scaffold_502689.1 pep chromosome:v.1.0:5:17583413:17583979:-1 gene:scaffold_502689.1 transcript:scaffold_502689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQKKTQSKEDAEAKTSVWWDMDHFPVPSGYDAGRIRECIERRLGKLGYCGPVTISACGDLRKTEENILRALSSTGIILNHSYCATTHIYSDLLVWKMRHPAPVTIMLITSPGDAFPLLRFSSQAIEGAGDPTLLWIINGLS >scaffold_502690.1 pep chromosome:v.1.0:5:17584825:17585409:1 gene:scaffold_502690.1 transcript:scaffold_502690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSFPKPLVDFANKPIYDPTSEALKAIGVDEVVLAINYEPEQLLVMSKFSKDVETNLGIKITCSQETEPLGTAGPLALARDKLVDGSV >scaffold_502691.1 pep chromosome:v.1.0:5:17585472:17585917:1 gene:scaffold_502691.1 transcript:scaffold_502691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQNDTLCKTEGVLGIVLIKIMDEPSIFEVVIMEESRGKVERLVEKADTIRSRLVFDRTKLRPTSIAKEIPKFWMNIGQPRDITRLRLYLVSLGQVLNAMGSSQERVDTWERCSLVR >scaffold_502695.1 pep chromosome:v.1.0:5:17601434:17603296:1 gene:scaffold_502695.1 transcript:scaffold_502695.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 6 [Source:UniProtKB/TrEMBL;Acc:D7LV66] MATRLQFENNCEVGVFSKLTNAYCLVAIGGSENFYSAFESELADVIPIVKTSIGGTRIIGRLCAGNKNGLLVPHTTTDQELQHLRNSLPDQVVVQRIDERLSALGNCIACNDHVALAHTDLDKETEEIITDVLGVEVFRQTIAGNILVGSYCALSNRGGMVHPRTSVEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTSFCGSDTTATELSVIDSIFKLREAQPSSIVDEMRKSLIDTYV >scaffold_502697.1 pep chromosome:v.1.0:5:17606946:17609283:1 gene:scaffold_502697.1 transcript:scaffold_502697.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7LV68] MVMQTEARVGVSSGHGVASSSHRLTQDQRSHIESASQLLAGGLAGAFSKTCTAPLSRLTILFQVQGMHTNAEALRKPSILHEASRILNEEGLKAFWKGNLVTIAHRLPYSSVNFYAYEHYKKFMYMVTGMENHKASISSNLFVHFVAGGLAGITAASATYPLDLVRTRLAAQTKVIYYTGIWHTLRTITRDEGILGLYKGLGTTLVGVGPSIAISFSVYESLRSYWRSTRPHDSPVMVSLACGSLSGIASSTATFPLDLVRRRKQLEGIGGRAVVYKTGLLGTLKRIVQTEGARGLYRGILPEYYKVVPGVGICFMTYETLKLYFKDLSSKL >scaffold_502702.1 pep chromosome:v.1.0:5:17621446:17621988:1 gene:scaffold_502702.1 transcript:scaffold_502702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITNSHFILLFLISSTFIVFTLALDFSDVAAEAPDGFLPLAKKHVVIRNTVENGEELNIHCKSSEDDLGHIHLKHGHTWDFRFHVNMSKSTKFRCHFWWYAGGTDYFNYWFDIFKVSRDDKPSGRYPVCKECIWELNQYGSEDIICRINRDGSDPWCFTMDDKP >scaffold_502703.1 pep chromosome:v.1.0:5:17623075:17623612:1 gene:scaffold_502703.1 transcript:scaffold_502703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFINKPHVILLFIISSTFILFVPALDLSNVPAESPTSDEDGFLPLAGKHVVICNKVKNRETLNVHCRSSEDDLGLIHIPWNHTWGFRFHVNIWKSTKFHCHFTWLGGGSHYFTIFKVSRDDSIIGYNVCKECIWEVGRNDENPICRVSRDKSILPHCFQWEEGP >scaffold_502706.1 pep chromosome:v.1.0:5:17628783:17629980:1 gene:scaffold_502706.1 transcript:scaffold_502706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPISAASLELPPPFPAVFEQPMDKLSFPNEFPYEFASTFSTSPEDSTETEDETSDDEDDFLAGLTRRLALSTQRLSSPSFVTDKSQMKPKVTESTQSGLGSPNGPFSQAPSPPASPFREEDSLKVLSAAAGEVAKIKKANFDAKPISRPNPNPSSLIPFPQNAAFYNYYWLWQPHYHQSQIGRVPNAWLVSPSPVRGVYTAPTAVKSPSTGTGVFLPRKYSIPSDSRKNSGGGCVKVVNQQKPKIEELSGRCKPNSKAGLSTGRIKTDYVAGGGCLKQERPLPQEWMY >scaffold_502715.1 pep chromosome:v.1.0:5:17676555:17677981:1 gene:scaffold_502715.1 transcript:scaffold_502715.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LIM domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LV86] MSFTGTQQKCKACEKTVYAVELLSADGVGYHKSCFKCTHCKSRLQLSSYSSMEGVLYCKPHFEQLFKESGSFNKNFQSPAKPADKSTPELTRTPSRVASRFSGTQEKCATCSKTVYPIEKVTVESQTYHKSCFKCSHGGCPISPSNYAALEGILYCKHHFAQLFKEKGSYNHLIKSASIKRSAAAAVAAGVPAASGPES >scaffold_502717.1 pep chromosome:v.1.0:5:17682663:17684504:1 gene:scaffold_502717.1 transcript:scaffold_502717.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sedoheptulose-bisphosphatase [Source:UniProtKB/TrEMBL;Acc:D7LV89] METSIACYSRGILPPSVSSQRSSTLVSPPSFSTSSSFKRLKSSSIFGDSLRLAPKSQFKATKTKSNGVSTVTKCEIGQSLEEFLAQATPDKGLRTLLMCMGEALRTIAFKVRTASCGGTACVNSFGDEQLAVDMLADKLLFEALQYSHVCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTYVLAVKGFPGTHEFLLLDEGKWQHVKETTEIAEGKMFSPGNLRATFDNSEYSKLIDYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPTAKAKLRLLFEVAPLGLLIENAGGFSSDGYKSVLDKTIVNLDDRTQVAYGSKNEIIRFEETLYGTSRLKNVPIGVTA >scaffold_502719.1 pep chromosome:v.1.0:5:17686962:17687610:1 gene:scaffold_502719.1 transcript:scaffold_502719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPHFLKNPVFFLFLLITASSLTILIFSFLKLPETTPATGNHLRKFGDDLSDELGFFGKMMIEMLPEDLVFTAFVPSEKSFSRDLGLKLNKSRPIKSPEDDDGDNTYAVVSRIMSFAVVPYKVEEVDIGKEETASYESLSGFTLKIWKKRNGGGLVVNGVKTEKMGLKRGKIIAHIMNGVVMDSDFAQSLASSTPQDEDDP >scaffold_502723.1 pep chromosome:v.1.0:5:17700196:17700457:1 gene:scaffold_502723.1 transcript:scaffold_502723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIPCIDSCLRIIDTARCPSARLIACSLKTDKLPLGAAVSLSGGPVVKRSLQKRQVPLIRKPMWSFRVCLFL >scaffold_502727.1 pep chromosome:v.1.0:5:17717372:17718385:1 gene:scaffold_502727.1 transcript:scaffold_502727.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:D7LV98] MGRVFMVDLEGNIYICKLCKTHLSTDQDIISKSFQCKHGGAYLFNNVVNVSVGVKEDRMMITGLHTVVDIFCVGCGSNVGWKYEFAHDKSQKYKEGKSVLELYKISGPHDSNDLVSDGDDA >scaffold_502728.1 pep chromosome:v.1.0:5:17719187:17719433:-1 gene:scaffold_502728.1 transcript:scaffold_502728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHERLFHEATDRCGHLCPCRDMEVDHPSCLLASPVKKVRIFEHSIEVKTDQSDGEADEILLRPNVGSK >scaffold_502731.1 pep chromosome:v.1.0:5:17725576:17726212:-1 gene:scaffold_502731.1 transcript:scaffold_502731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLMKVLASEATQNPTKLEKEIRTAAAEREQAHMERNVARKRTPKEKREKKERKVFDDPTTVETIVSVYKIDKKLSHPKTRFKVERNAKQNKLTGFCVMMDNMSVVVVEGKSKAIKRYEKLMLKRINWEKAKKKEDEEEDEEEEENGGNKCWLVWQGSVEKPSFHRFHVQECLTKSAAKKVFTDAGVAHYLDLALNN >scaffold_502733.1 pep chromosome:v.1.0:5:17734269:17736721:-1 gene:scaffold_502733.1 transcript:scaffold_502733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSTNSTVTFSVTVTVAVIFFFLLSPVTSLGSGSTHAVVYGSDTVCALISGQSTQRILCYDTRRSTNVTLNPGVSFSSIAAGNNFLCGIRSGGYSLLCWDNIGSYSPNRKRIYQSDTVLLENLSVGDKQICATVNGTNSLKCWRGSDQSNPPNERFQSISSGVGFSCGVSIRNNQILCWGTDPVKSNQIQTGFGNTTMVTISAGESHACGLNTTGNLVCIGNNDSGQLNVPSDQPNHYSSLSLGSNFTCAMRISNSSVVCWGGGAERFNNVTDSISFESISSGPGLICGLISSNLSIMCWNPSNFSRIFLPFPEVLPGPCVESSSVCSCGIYPQSDKLCSGSGSICKSCLIQFPASPPSQLPLPPPPSPSASSPPSKALTRGLLAFAIVGSVGAFAGICSGVYCLWTGVCLGKKKVHNSVQPTITRGGSNSRSNSSNSRSLTIRRQGSRMLSMRRQRSGTSSMKHADKAEEFSFSELASATGNFSLENKIGSGSFGVVYRGKLNDGREVAIKRGEVNAKMKKFQEKETAFDSEIAFLSRLHHKHLVRLVGYCEEREEKLLVYDYMKNGALYDHLHDKNNVEKHSSLINSWKMRIKIALDAARGIEYLHNYAVPPIIHRDIKSSNILLDSNWVARVSDFGLSLMGPELGKDHNPYQRPMKAAGTVGYIDPEYYSLNVLTDKSDVYGLGVVLLELLTGKRAIFKNNGDVEEEEGSVPVHLVDYSVPAISADELGTILDPRVGSPELGEGDAVELVAYTAMHCVNAEGRNRPTMTDIVGNLERALDLCGDSHGSISSGICSIVSD >scaffold_502734.1 pep chromosome:v.1.0:5:17741914:17744080:-1 gene:scaffold_502734.1 transcript:scaffold_502734.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor family protein [Source:UniProtKB/TrEMBL;Acc:D7LVA5] MAELTQADVVYSPRSFQVWKTLVNWLAFFYQIFLQILRAVGYHPLLSSSAKASADGFKPLPAIELLDRASESPTTVDIASTTTSDSSDCERSRFHRLKVVLDLDETLVCAYETSSLPAALRNQAIEAGLKWFELECLSTDKECDGKPKINYVTVFERPGLHEFLEQLSEFADLVLFTAGLEGYARPLVDRIDTRKVLSNRLYRPSTVSTQYRDHVKDLLSTSKNMCRTVIVDNNPFSFLLQPSNGIPCIAFSAGQPNDTQLLDVILPLLKQLSEEDDVRPTLYDRFRMPEWFEKQGIPPSCWKP >scaffold_502735.1 pep chromosome:v.1.0:5:17748595:17751019:-1 gene:scaffold_502735.1 transcript:scaffold_502735.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7LVA6] MNIFQDWPEPIVRVQSLSESNLGAIPNRYVKPLSQRPNITTHNKHNPHTTTIPIIDLGRLYTDDLTLQAKTLDEISKACREWGFFQVVNHGMSPQLMDQAKATWREFFHLPMELKNMHANSPKTYEGYGSRLGVEKGAILDWSDYYYLHYQPSSLKDYTKWPSLPLHCREILEDYCKEMVKLCEKLMKILSKNLGLQEDRLQNAFGGKEESGGCLRVNYYPKCPQPELTLGISPHSDPGGLTILLPDEQVAGLQVRGSDDAWITVEPAPHAFIVNIGDQIQMLSNSIYKSVEHRVIVSPANERLSLAFFYNPKGNVPIEPLKEIVTVDSPALYSSTTYDRYRQFIRTQGPRSKCHIDELKSPR >scaffold_502737.1 pep chromosome:v.1.0:5:17766643:17769153:1 gene:scaffold_502737.1 transcript:scaffold_502737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPWRRKFPLFETGVTMKQRKNSNLSIFVVIFSVFLFGIFMYNEDVKSIAEFPFSTSKLNDVHDETTPITETTTLPVQEPIKNSDPIQESVKIPDLDQDSVKDAAEPVKEEVSKTEEVKKIELFAATEDEEDVELPPEECDLFTGEWVFDNETHPLYKEDQCEFLTAQVTCMRNGRRDSLYQNWRWQPRDCSLPKFKAKLLLEKLRNKRMMFVGDSLNRNQWESMVCLVQSVVPPGRKSLNKTGSLSVFRVEDYNATVEFYWAPFLVESNSDDPNMHSILNRIIMPESIEKHGVNWKGVDFLVFNTYIWWMNTFAMKVLRGSFDKGDTEYEEIERPVAYRRVMRTWGDWVERNIDPLRTTVFFASMSPLHIKSLDWENPDGIKCALETTPILNMSMPFSVGTDYRLFSVAENVTHSLKVPVYFLNITKLSEYRKDAHTSVHTIRQGKMLTPEQQADPNTYADCIHWCLPGLPDTWNEFLYTRIISRS >scaffold_502738.1 pep chromosome:v.1.0:5:17769615:17771892:-1 gene:scaffold_502738.1 transcript:scaffold_502738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSDGLFNDMDVLGVIGYVLEQTRFIFLVPILKRLVNLCQVISVLLFIDAAYMAIVVAIVKLRGRTPEKVLKWESFKNDDIELAPSSNHPMVLIQIPIYNEKEVCQLSIGAVCKLSWPLDRMIIQVLDDSTEEESQKLVRLECKKWESEGITIKSEVRGGRDGFKAGALTAGMKHSYVDEYKCEFVVIFDADFQPEPDFLERTIPFLVHNPEIALVQAGWKYGNADECCMTRIQEMSLNYHFAVEQKSGSSILGFFGFNGTAGVWRIEALNKAGGWKDRTIVEDMDLAVRAYLRGSKFVYVDDVKVKNELPSSFQAYRYQQHRWSCGPANLFKKIAMEIIKNQNVSLWKKAYLIYNFFFLRKIVVHIFTFVFYCLLLPATVIFPEIEVPKWTTIYIPATITILNAIATPKSFYLILYWILFENVMAMHRSKGTLIGLLETSRVKEWVVTQKLGESNTLRQNLISPHYSFPERLRWREIMVGMYLFICGYYDFVFGRTYLYVYLFLQSIAFFVVGVGYIGMSVPSNH >scaffold_502739.1 pep chromosome:v.1.0:5:17773297:17774215:1 gene:scaffold_502739.1 transcript:scaffold_502739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVLNAGYSSPLHNRSHPVIPLKTLPFASYISLNSSRWSLLSKRRLVVSCLDTNDNSVTTTSVDSSDSNKPASESVESGNGSAKKAPLTARERLRAARVLSRYTEATPKPSKPKMGSQLLDVLKESDKKSKRKPGLPEAPTNMLDDSRRGMPKSGLTFDLPGGSDILIIAFSFVFISTVMFATTFLVWKLGAIHFNE >scaffold_502744.1 pep chromosome:v.1.0:5:17787919:17790328:-1 gene:scaffold_502744.1 transcript:scaffold_502744.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LVB4] MNFHIFRLFHIILLAVFIFHDSCYSDEAGNYGFMKDATSAPKLSHFDYIIIGGGTAGCALAATLSQNATVLVLERGGSPYDNPTASDINNFANTLLNITPNSWSQLFISEDGVFNSRARVLGGGTVINAGFYSRAEEDFVAEAGLERDEVEAAYEWVEKKVVFEPPVKGWQSAFRDGLLEAGVSPYNGFTYEHIVGTKFGGTIFDPDGRRHTAANLLEYANPNTIVVYLHASVHKILFTITGNQRPKAYGVIFQDANGVSYKAELATQDSIMSEVILSAGAIASPKLLMLSGVGPAAHLAAYGVNPVIVDQPMVGQGMSDNPMNPVFIPSPEPVEVSLVQAVGITKFGSYIEGGSALSVSISLTRSFFDGVLKLLKKTKLPTQSISKFFKTLDLTLNVTTKAGVMIQKANGPLSRGHLELRNTNPDDNPSVTFNYYKDPEDLNKCVEGLSTIIKVIDSKGYSKYKYPAPSARGLLNLILALPTNLRPRHITSTFDLQQYCIDTVMTIYHYHGGCQVGKVVDNNYKVLGIDALRVIDGSTFLKSPGTNPQATIMMLGRYMGQKILRERIAFQGKEEET >scaffold_502745.1 pep chromosome:v.1.0:5:17791255:17791825:-1 gene:scaffold_502745.1 transcript:scaffold_502745.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:D7LVB5] MSNPKVFFDILIGKMKAGRVVMELFADVIPRTADNFRALCTGEKGIGRAGKALHYKGSSFHRIIPGFMCQGGDFTRGNGTGGESIYGSKFDDENFKLKHTGPGILSMANSGPNTNGSQFFICTEKTSWLDGKHVVFGKVVDGYNVVKAMENVGSDMGNPSEPVVIEDCGELKNPSS >scaffold_502747.1 pep chromosome:v.1.0:5:17799614:17799957:-1 gene:scaffold_502747.1 transcript:scaffold_502747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSRKLSLSWAGDMYDPLPSIVSHTRSKKQQPQKSKSKDNLKMNGKKGLKGISKDKKQTSSCSSKYNREMLHKCLLKPHLLESDQRGTCVFSVALSCL >scaffold_502748.1 pep chromosome:v.1.0:5:17800679:17801643:-1 gene:scaffold_502748.1 transcript:scaffold_502748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQVENKIIWFLTNVSSLQSEDIYSNLFVIGGCSWRFMATCKGNKFNDNLSLSLVVADAEDLPFGWGRHAKFSFTIMNQVSEEQDSQLQDMFRDFTETEEWFDDKTRACPCASSLPLGKLDAKYGGLILNEQVKILAEINVLEAIGKSDVSEETLKKIKQEEETTTIELHGFPVHPSEVELFSAVFKEHPDFASDFIGKNFHSLWKRIFIDMLISLFGILFRPTSRTGLVHAHHTIVYMEKCGFKVGWLEEKLNEVTQNKDEEENGEN >scaffold_502750.1 pep chromosome:v.1.0:5:17802174:17804659:-1 gene:scaffold_502750.1 transcript:scaffold_502750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNIFQSRKLSGLCVLTILLVSVTILLLTNDTIDLFPYLSLSYLRRSSLSDIPTSTPISSPTNDSSPPRESPVNQTRVDDHPNDQDLELDWLKDDKEWNVSLKIDWRRCESPDYMPCLDNTKAIKKLKSKRNMEHRERHCPEPAPKCLVPLPQRYKVPLPWPQSRDMIWYDNVPHPKLVEYKKDQNWVRKSGPFFVFPGGGTQFKDGVIHYINFIQKTLPVLEWGKKVRVVLDVGCGVASFGGTLLDKNVITMSFAPKDEHEAQIQFALERGIPATLAVIGTQKLPFPDNAYDVIHCARCRVHWHGYGGRPLLELNRVLRPGGFFVWSATPVYQHDEGHRNVWKTMESLTTSMCWKVVARTRFTKVGFVIYQKPNSDSCYEFRKNKDPPLCIEEETKKNSSWYTPLLTCLPKLPVSPIGKWPSGWPERLTDTPVSLLREQRSEESFREDTKLWSGVMSNIYLYSLAINWTRIHNVMDMNAGYGGFAAALIHKPLWVMNVIPVEGEDTLSTIFDRGLIGIYHDWCESFNTYPRSYDLLHSSFLLTSLSQRCDLMEVVVEIDRIVRPGGYLVVQDTVEMLKKLNPILLSLRW >scaffold_502751.1 pep chromosome:v.1.0:5:17805049:17805807:1 gene:scaffold_502751.1 transcript:scaffold_502751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAGGFIGSHLCEKLMMETPQKVLALDVYNEKIKHLLEPDTVEWSGRIQFHRINIKHDSRLEGLIKMADLTIHLAVICTPADYNTLPLETIYNNFIDARPVVKYCSENNKRLIHFSTCEVYGKTTGSFLPKDHPLA >scaffold_502755.1 pep chromosome:v.1.0:5:17816367:17819550:1 gene:scaffold_502755.1 transcript:scaffold_502755.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine(37)-N1)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LVC5] MFDESKFDVNLKLWALRIPRELCKSATRILNGYMLNMPRVKPITEDPTCEKTRLVILSESVKNADLVEIPDEKLNQLKKLSELEVVPHSVTLGYSYWSADHILKQILPDGVDIPSSFETILLPFKDVIAKVIYDKNYPRIKTIVNKVGSITNEFRVPKFEVLAGENGMETEVKQYGARFKLDYGLVYWNSRLEHEHMRLSSLFKPGETVCDMFAGIGPFAIPAAQKGCFVYANDLNPDSVRYLKINAKFNKVDDLICVHNMDARKFFSQLMAVSTCEGNLQSVADNDKTKEAAVSRGGETNSSGEEIHESNASRNEPLSANQKPSGTTETENGVGKDCKSIEGHANKRLRQTLLPIAKPWEHIDHVIMNLPASSLQFLDSFSNVIQKKYWKGSLPLIHCYCFIRASETTEFIIAEAETALKFHIEDPVFHKVRDVAPNKAMFCLSFRLPEACLKQED >scaffold_502758.1 pep chromosome:v.1.0:5:17827442:17827641:-1 gene:scaffold_502758.1 transcript:scaffold_502758.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVC8] MLLYAIDVKKSANILVITGDADFATPIASLKARGSLCPLPWTEMLTYYLKIA >scaffold_502773.1 pep chromosome:v.1.0:5:17877499:17877925:1 gene:scaffold_502773.1 transcript:scaffold_502773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTECNVIKSQIKHCEEHNRQRWLLSQLLNVVSPDGPNTLFFFFCQHSNVV >scaffold_502775.1 pep chromosome:v.1.0:5:17884330:17885188:-1 gene:scaffold_502775.1 transcript:scaffold_502775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRALPIQHNSGFISTTKVPISRTSPRFSRNPRWVVVSAKQEKDDEKKKEEETSLFTKLTDALDFSQVRSEKDAELLYEAREATKSGGKMTQEQYEALRRKIGGTYKDFFKSYVEVDGKYVEEGWVDKTCKICKKDTKGEARQVDKLGRYAHVSCLQNPPSGNFFTRLFSR >scaffold_502782.1 pep chromosome:v.1.0:5:17908287:17909032:1 gene:scaffold_502782.1 transcript:scaffold_502782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARICCGGGSACAVRCDRRTLNLNSRSSCVVPVTNRRNRCEIGKVSMSMDDLNPPSAAVKIERIGERKRGGSVVSREKLDVWLRDSVVEIVKNLRESPLLMHLYAEANGGLTTTATNPEAEDWPEMEGKWDRGEERTPEGVIFVEKLADGDVEDDDDHDGGACGEDTSAWGIVAQGRGTDSGPVCYLLKTTRVGSGMGTVCTHFCLVKVKSFRETAMSQLNNSWLVQTGQ >scaffold_502783.1 pep chromosome:v.1.0:5:17910875:17913906:-1 gene:scaffold_502783.1 transcript:scaffold_502783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVLIFSVLLMSVVAPVRSLDPPLNDDVLGLIVFKADLRDPEQKLASWNEDDYTPCSWNGVKCHPRTNRVTELNLDGFSLSGRIGRGLLQLQFLHKLSLSNNNLTGIINPNLLLSLVNLKVVDLSSNGLSGSLPDGFFRQCGSLRVLSLAKNKLTGKIPVSISSCSSLAALNLSSNSFSGSMPLGIWSLNTLRSLDLSRNELEGEFPEKIDRLNNLRSLDLSRNRLSGTIPSEIGSCMLLKTIDLSENSLSGSVPDTFQQLSLCYSLNLGKNGLEGEVPKWIGEMRSLEYLDLSMNKFSGHVPDSIGNLLALKVLNFSGNGLIGSLPDSTANCINLLALDFSGNSLTGNLPMWIFQDDSRDVSAFKSDNSTGGIKKILVLDLSHNSFSGEIGAGLGDLRDLEALHLSRNSLTGHIPSTIGELKHLGVLDLSHNELSGTIPRETGGAVSLEGLRLENNLLEGNIPSSIKNCSSLRSLILSHNKLIGSIPPELAKLTKLEEVDLSFNELTGTLPKQLANLGYLQTFNISHNHLFGELPAGGIFNGLSPSSVSGNPGICGAVVNKSCPAVSPKPIVLNPNATFDPYSGEVVPPGAGHKRILLSISSLIAISAAAAIVVGVIAITVLNLRVRASTVSRSAVPLTFSGGDDFSRSPTTDSNSGKLVMFSGEPDFSTGTHALLNKDCELGRGGFGAVYRTVIRDGYPVAIKKLTVSSLVKSQDEFEREVKKLGKLRHSNLVKLEGYYWTTSLQLLIYEFLSGGSLYKHLHEAPGGSSSLSWNDRFNIILGTAKCLAYLHQSNIIHYNIKSSNVLLDSSGDPKVGDYGLARLLPMLDRYVLSSKIQSALGYMAPEFACRTVKITEKCDVYGFGVLVLEVVTGKKPVEYMEDDVVVLCDMVREALEDGKADECIDPRLQGKFPVEEAVAVIKLGLICTSQVPSSRPHMGEAVNILRMIRCPSGSSDELGSS >scaffold_502788.1 pep chromosome:v.1.0:5:17936463:17937509:-1 gene:scaffold_502788.1 transcript:scaffold_502788.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7LVF7] MQKYGHVYAAAATTTRLKPEANTGPKERSIRAPWCVPCKKIEPVFRELASRYPSMIFVTVDVEELAEFSNEWNVEATPTVVFLKDGRQMDKLVGAETSELQKKTAAAADLFLKKP >scaffold_502789.1 pep chromosome:v.1.0:5:17937993:17940106:-1 gene:scaffold_502789.1 transcript:scaffold_502789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPSDFKAVHKLIQLHYSRVNLVSKTGRSKLSAFSSPTLPSNGVSQLQAKSGFHSFSSRPTAKNFGLSQILPSNGVSQLQPKTSFHSFLSRPTSKNLGLSQILPSSPKLVPSLQNCGVALVKPRVNVNFASAFRLFSSSGFRKIDGNFARKVVDKPIQAVSSTFARYRMALGLHIDAFWKKNNLLVFGAGAVFVCIFLWRIMFGIASTFVGLSEGMAKYGFLALSSAIVAFAGLYLRARFTINPDKVYRITMRKLNTAADVLEVMGAPLAGSDLRAYVMSGGGITFKKFKPTIRNKRCFLLFPVQGSERKGLVSVEVKKKKGQYDMKLLAVDIPMASGPDQRLFLIGDEVEYRVGGGLISELRDPVVKAMAATKEFDNLDRIEEEEDAERELQEAERKEREEIELQEAERKEREEIELQEAERKQREEIEKIGKESS >scaffold_502790.1 pep chromosome:v.1.0:5:17940136:17940343:1 gene:scaffold_502790.1 transcript:scaffold_502790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVF9] MKSEKEFALWINESEFLLRRHDYFRFQSPELILQIGEIRAGSGRNGKRPIKIIIS >scaffold_502796.1 pep chromosome:v.1.0:5:17956485:17956686:-1 gene:scaffold_502796.1 transcript:scaffold_502796.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVG4] MEMPTKGGAHPIKPNKPHPQKNQEDRTSTNNRLLSLATSQIHQHPATLAKENL >scaffold_502797.1 pep chromosome:v.1.0:5:17958622:17958830:-1 gene:scaffold_502797.1 transcript:scaffold_502797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIKSYMAKESPQGELIEYQAKTKWKVSQRNAHAILCRPATPKPIYSGKILAET >scaffold_502803.1 pep chromosome:v.1.0:5:17967622:17968406:1 gene:scaffold_502803.1 transcript:scaffold_502803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSNRFLSTLRSRSEILMSFDEIDGGDDFLKKFAFPFCAESYDIIGLC >scaffold_502805.1 pep chromosome:v.1.0:5:17971646:17971915:-1 gene:scaffold_502805.1 transcript:scaffold_502805.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVH2] MTEAVATEAAGARNIPSPTPKSNENRANENRSENFHPNGESKTLPPKRKKASEQQQTLTEPQESRSNEEHHRSTN >scaffold_502811.1 pep chromosome:v.1.0:5:17993444:17995096:1 gene:scaffold_502811.1 transcript:scaffold_502811.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol or phosphatidylinositol kinase [Source:UniProtKB/TrEMBL;Acc:D7LVH7] MNCMSVAFDPLTDQLAQFSRSSQRCRLQSLTNLDFNFLGFNTKQTNIPASSHSLNHRSVSTPCFSISGSNLDGSTAPRIEILGGQRVPTVRALVAEVTIAMVSGAQPLLLPSGLGGAYLLQTGNGHNIAVAKPVDEEPLAFNNPKGSGGLTLGQPGMKRSIRVGESGIRELAAYLLDHQGFSGVPPTALVRISHVPFHISDSDHAACKVASLQRFVGHDFDAGELGSGSFTVGSVHRIGILDVRVLNLDRHAGNMLVKKIHDQDESTCYNGVGAAELVPIDHGLCLPECLDDPYFEWLNWPQASVPFTDTELQYISNLDPFKDAELLRTELGSIQESSLRVLIVCTIFLKQAAGAGLSLAEIGEKMTRDICRGEESTSVLEIICNKAKASAVSGTDDDDYSSEWNEVEAELECGIFQFDDEVECKELPDMLQVPLFTRVPSIAANLSALMRCPPNQWITTCDTNREEDRRDRSIVRSKSHPICVNYDEKEGVHFGDMSGDEWEMFLHSFQMLLPEALEGSTSKGPKPRFGSSCKF >scaffold_502815.1 pep chromosome:v.1.0:5:18006407:18006619:-1 gene:scaffold_502815.1 transcript:scaffold_502815.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVI1] MEGRGEMDRTKTANDEEEDQVARPMKEIDRLLSCFWFSQCSLCKSQEIVNLGFKSV >scaffold_502816.1 pep chromosome:v.1.0:5:18007208:18009619:1 gene:scaffold_502816.1 transcript:scaffold_502816.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:UniProtKB/TrEMBL;Acc:D7LVI2] MEAKPKRRIVTENGDTGEDLVLATLIGNGDDVGPLVRHAFEMGRPEPLVHQLKNVARKKEAEIEDLCKTHYEEFIVAVDELRGVLVDAEELKSDLASDNFRLQEVGSALLVKLEELLESYAIKKNVTEAIKMSKICVQALELCVKCNSYISEGQFYHALKTMDLIERSYLKLIPLKVLKLVIERRIPVIKTHIEKKVCSQFNEWLVHIRSSSKNIGQTAIGLTASARQREEEMLERQRRAEEQNTGGLGELAYTLDVEDSEQDSVLKFDLTPLYRAYHIHTILGVPERFRDYYYENRLLQLQSDLQISYTQPFVESYQTFLAQVAGYFIVEDRVIRTAGDFLLADQVETMWETAISKIVAILENQFARMDSPTHLLLVKDYVTLLGTTLRQYGYEVGPVLDALDKSRDKYHELLLEECRKQIVTAITEDTYQQMVIKKEADYENNVLSFNLQTSDIMPAFTYIAPFSSMVPDVCRIIRSYIKGSVDYLSYGVNTNFFSVLRKYLDKILIDVLNEVILETISNNSIGVSQAMQIAANISFLEKASDYFLRHAAQLCGIPSRSVERPQASLAAKVVLKTSRDAAYLALLNVVNTKLDEFMKLTENVNWTTEEMPQGPHEYINEVVIYLETVMSTAQQILPMDALYKVGVGAIEHISNSLVSTFLSDSIKRFNANAVSAINHDLRVIENFADERYHSSGLNEIYKEGSFRSYLVEARQLINLLSSSQPENFMNPVIRERNYNTLDYKKVATICEKFKDSADGIFGSLANRNTKLTAKKKSMDMLKKRLKEFN >scaffold_502817.1 pep chromosome:v.1.0:5:18010000:18011142:1 gene:scaffold_502817.1 transcript:scaffold_502817.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumenal 20 kDa protein [Source:UniProtKB/TrEMBL;Acc:D7LVI3] MATASLVLTSKVFSVSSKCSALIKARTGVVVASAQQQQQPRRRELLLKSAVAIPAILQLKEAPISEAREVEVGSYLPPSPSDPSFVLFKAKPSDTPALRAGNVQPYQFVLPPNWKQLRIANILSGNYCQPKCAEPWIEVKFENEKQGKVQVVASPLIRLTNKPNATIEDIGEPEKVIASLGPFVTGNSYDSDELLKTSIEKIGDQTYYKYVLETPFALTGSHNLAKATAKGNTVVLFVVSATEKQWQSSQKTLEAILDSFQL >scaffold_502823.1 pep chromosome:v.1.0:5:18033545:18033886:-1 gene:scaffold_502823.1 transcript:scaffold_502823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRDQESANKRLYDELLKQMHGSSYEAFTKSQLDPVIGDIVEDNLGIESESTVLVSYSTVLVSYI >scaffold_502826.1 pep chromosome:v.1.0:5:18050613:18053113:1 gene:scaffold_502826.1 transcript:scaffold_502826.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated /TS-N domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LVJ2] MNGGPSGFHNAPVTKAFVITSALFTVFFGIQGRSSKLGLSYQDIFEKFRIWKLIMSTFVFSSTPELMFGLYLLYYFRVFERQIGSNKYSVFILFSGTVSLLLEVILLSLLKDTTANLLTSGPYGLIFASFIPFYLDIPVSTRFRVFGVNFSDKSLIYLAGVQLLLSSWKRSIFPGICGIIAGPLYRLNILGIRKAKFPEFVASFFSRLSFPSFGNSPPPAPSRNIVGTISPNTGRRAERSQPAPVPSSVEPSEEAITTLVSMGFDRNAARQALVHARNDVNAATNILLEAQSH >scaffold_502829.1 pep chromosome:v.1.0:5:18065277:18065522:-1 gene:scaffold_502829.1 transcript:scaffold_502829.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVJ5] MADLDLPARLFADREEYVGERVNQYFKLHMIKAVLKALQPTKLELIRPCFGKLLDSHRHCLFIEKR >scaffold_502831.1 pep chromosome:v.1.0:5:18082112:18083209:-1 gene:scaffold_502831.1 transcript:scaffold_502831.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-2 [Source:UniProtKB/TrEMBL;Acc:D7LGJ2] MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIKEADVDGDGQINYEEFVKVMMAK >scaffold_502835.1 pep chromosome:v.1.0:5:18092563:18094533:1 gene:scaffold_502835.1 transcript:scaffold_502835.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LVK2] MDRVRNEERWKHKPETAAFSLIRLRKLGLQNKNKKMLACLGRKWMRLSTMNLKPTWNFVNAVDASRTIVRGISGGGAETVAKERVDTVVIGAGVVGLAVARELSRRGREVLILEAASSFGTVTSSRNSEVVHAGIYYPPNSLKAKFCVRGRELLYRYCSEYEIPHKKIGKLIVATGSSEIPKLDLLMHLGTQNGVSGLRMLDGFEAMRMEPQLRCVKALLSPESGILDSHSFMLSLVEKSFDFMVYRDNNNLRLQGEAENNHATFSYNTVVLNGHVEEKKMHLFVADTRFSESQCEAEAQLQLIPNLVVNSAGLGAQALAKRFHGLDHRFVPSSHYARGCYFTLSGTKAPPFNKLVYPIPEEGGLGVHVTVDLNGLVKFGPDVEWIECADDTSSFLNKFDYRVNPQRAEKLYPEIRKYYPDLKDGSLEPGYSGIRPKLSGPKQSPADFVIQGEETHGVPGFVNLFGIESPGLTSSLAIAEHIANKLLR >scaffold_502836.1 pep chromosome:v.1.0:5:18094864:18096202:-1 gene:scaffold_502836.1 transcript:scaffold_502836.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aba-responsive element binding protein 3 [Source:UniProtKB/TrEMBL;Acc:D7LVK3] MDSQRGIVEEAKSQSLNRQGSLYSLTLDEVQNHLGSTGKALGSMNLDELLKSVCSVEANQPTSMAVNGGTAQEGLSRQGSLTLPRDLSKKTVDEVWKDIQQNKNGGSAHERRDKQPTLGEMTLEDLLLKAGVVTETIPGSNHDGPGGPIGGGSVGSGAGLGQNITQVGPWVQYHQLPSMPQPQAYMPYPVSDMQAMVSQSSLMGGLSDTQTPGRKRVASGEVVEKTVERRQKRMIKNRESAARSRARKQAYTHELEIKVSRLEEENERLRRQKEVEKILPSAPPPDPKRQLRRTSSSPF >scaffold_502839.1 pep chromosome:v.1.0:5:18103887:18104211:-1 gene:scaffold_502839.1 transcript:scaffold_502839.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVK6] MSQVLFFIVVLFVSSGFNNVFLYFRRYSLTFPKLRTTPTVEKDNLGSDKYKTWRIQQFHCTCPLFLSPVFYLKPLHHPWKTNLITSCCNKPCYA >scaffold_502843.1 pep chromosome:v.1.0:5:18119939:18121265:-1 gene:scaffold_502843.1 transcript:scaffold_502843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKIKESLPFSGDISQYACSYASGLIYFPVVRIIDTDTIVICNPITGMYVGLPEVMKYSRSKGFLGFDPIDKQFKALDYDSILTLGSGELKWRIKDIHGPLYDRCPSTQGICINGVLYYLARTYLAETLSLIVRFAVSSEEFKFIDAACFDDHIEDPTGLRLVNYMGKLGVTNCDNVDAGGRRTVELCMWVLEDVEKHDWVKYVYTLPENEVLASCEFSVAGVTATGDIVLCMKYTCKPYYVFYFNPEKNTLQSVEIQGFGAELEAVETRGEVYGFVDYVEDLSVNDAKQFKSSISHVKTRCSCCNTLYPDNIGEGEEVDDGVKETSVKIKKEEEEKSIRE >scaffold_502847.1 pep chromosome:v.1.0:5:18128270:18129998:1 gene:scaffold_502847.1 transcript:scaffold_502847.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LVL2] MSSQNPERKRIYQVWPAKNKFYCGGRLVFGPDASSLLLTTCMIGGPAITFCIRMAYLISHRHPFFHSLTLMGAILLTFMAFTFLFLTSSRDPGIIPRNKQVPEAEIPDVITQSTEWVTNKLGNMKLPRTKDVMVNGFTAKVKFCDTCQLYRPPRAFHCSICNNCVQRFDHHCPWVGQCIALRNYPFFVCFLSCSTLLCIYVFVFSWVSMLKVHGEFYAVLADDLILGVLGLYCFVSVWFVGGLTVFHFYLICTNQTTCENFRYHYDKKENPYRKGILENFKELFFARIPQPLINFRDWAPEEEDDVEVGSIASELGRNFGLKDTKMSSGKSDSEVRER >scaffold_502849.1 pep chromosome:v.1.0:5:18157995:18158530:-1 gene:scaffold_502849.1 transcript:scaffold_502849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHRLQEPRLCAKNCGFFGSTATQNLCSKCFRDVQHQEQNSSTAKHALNQTLAAVSTGGGTASSSVSPPPQADSKEIVEANSKKRAAAEEEEAAPSQDPKRCLTCRRRVGITGFRCRCGFVFCGTHRYAELHECSFDFKRIGKDKIAKANPIVKADKLEKI >scaffold_502853.1 pep chromosome:v.1.0:5:18170051:18171253:-1 gene:scaffold_502853.1 transcript:scaffold_502853.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIP2_1 [Source:UniProtKB/TrEMBL;Acc:D7LVL8] MGRIGLVVSDLVLSFMWIWAGVLVNILVHGVLGFSRSDPTGEIVRYLFSIISMFIFAFLQQITKGGLYNPLTALAAGVSGGFSSFIFSVFVRIPVEVIGSILAVKHVIHVFPEIGKGPKLQVAIHHGALTEGILTFFIVLLSMGLTRKIPGSFFMKTWIGSLAKLTLHILGSDLTGGCMNPAAVMGWAYARGEHITKEHLLVYWLGPVKATLLAVWFFKVVFKPLTEEQQKPKAKTE >scaffold_502860.1 pep chromosome:v.1.0:5:18192360:18193724:-1 gene:scaffold_502860.1 transcript:scaffold_502860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strictosidine synthase family protein [Source:UniProtKB/TrEMBL;Acc:D7LVM4] MPISRRVLTPVSAAPVILAVLCFFFWSSIIGPDNIKGTKHVLQDAKTIPLPADGPESLEFDPQGEGPYVGVTDGRILKWRGEELGWVDFAYTSPHRDNCSRHEVVPSCGRPLGLTFEKKTGDLYICDGYFGLMKVGPQGGLAELVVDEAEGRKVMFANQGDIDEEEDIFYFNDSSDTYHFREVFYVSLSGTKVGRVIRYDMKKKEAKVIMDKLRLPNGLALSKNGSFVVTCESSTNICHRIWVKGPKSGTNEVFATLPGSPDNIRRTPTGDFWVALHCKKNLFTRVALIHSLVGRFFMNTMKMETVIHFMNGGKPHGIVVKLSGETGEILEILEDSEGKTVKYASEAYETEDGKLWIGSVYWPAVWVYDSK >scaffold_502861.1 pep chromosome:v.1.0:5:18196742:18198679:-1 gene:scaffold_502861.1 transcript:scaffold_502861.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strictosidine synthase family protein [Source:UniProtKB/TrEMBL;Acc:D7LVM6] MPTNHKIPTWFAVPTVLAVLSVVSYQTLIVPENLEGTKNVLTMAKTIPIPVAGPESIEFDPKGEGPYAAVVDGRILKWRGDDHRLGNCSKHKVVPTCGRPLGLTFEKKTGDLYICDGYLGLMKVGPEGGLAELVVDEVEGRKVICFSDKYHFRDVFFVAVSGERSGRVIRYDKKTKEAKVVMDNLVCNNGLALNKDRSFLITCESGTSLVHRYWIKGPKAGTRDIFAKVPGYPDNIRLTSTGDFWIGIHCKKNLLGRLIVRYKWLGKLVEKTIKLEYVIAFINGFKPQGVAVKISGETGEVLEVLEDKEGKTMKYVSEAYERDDGKLWFGSVYWPAVWVLDLK >scaffold_502862.1 pep chromosome:v.1.0:5:18202172:18203836:-1 gene:scaffold_502862.1 transcript:scaffold_502862.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strictosidine synthase family protein [Source:UniProtKB/TrEMBL;Acc:D7LVM7] MTMMIITVFLTAIVAVLAILVRTSQTGSGIFAPPEISGSRDVFPSAKVVTLTGASGPESIAFDPAGEGPYVGVSDGRVLKWRSESLGWSDFAYTSSNRQECVRPFAPELEHVCGRPLGLRFDKKTGDLYIADAYFGLLVVGPAGGLAKPLVTEAEGQPFRFTNDLDIDEQEDVIYFTDTSARFQRRQFLAAVLNVDKTGRFIKYDRSSKKATVLLQGLAFANGVALSKDRSFVLVVETTTCKILRLWLSGPNAGTHEVFAELPGFPDNIRRNSNGEFWVALHSKKGLFAKLSLSQTWFRDLVLRLPISPQRLHSLFTGGRPHATAIKLSESGKVLEVLEDNEGKRLRFISEVEEKDGKLWIGSVLMPFLGVYDL >scaffold_502868.1 pep chromosome:v.1.0:5:18227437:18227901:1 gene:scaffold_502868.1 transcript:scaffold_502868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPIESGSGYKPGRILIIYLELNYLSIDDDKSFEMAMPLGMAMYLMRMVWFSLSGWVFTCVAIADEIAGSLRNGDIGPFHVG >scaffold_502870.1 pep chromosome:v.1.0:5:18236051:18238055:1 gene:scaffold_502870.1 transcript:scaffold_502870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRLADFCYSTGIFEKYTRSSEFEIVSTPVICGHGVKESGAMVISDSDITVIVKLIKIRSNVPD >scaffold_502871.1 pep chromosome:v.1.0:5:18240038:18241615:1 gene:scaffold_502871.1 transcript:scaffold_502871.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic rpb5 RNA polymerase subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7LVN5] MEVKGKETAPSLCLSKYVDPSSEESHRYYLARQNALQMLRDRGYQVSDEDINLSLHDFRAVYGERPDVDRLRISAQHRSDSSKKVKVVFFGTGMVKVNAIRSIAADVLSQETVTGLILVLQSQVTKQAMKAIELFSFKVEIFQITDLLVNITKHALKPQHRVLNDKEKTTLLKKFSIEEKQLPRISKKDAIVRYYGLEKGQVVKVNYRGELTESHVAYRCVW >scaffold_502872.1 pep chromosome:v.1.0:5:18242674:18244000:1 gene:scaffold_502872.1 transcript:scaffold_502872.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein [Source:UniProtKB/TrEMBL;Acc:D7LVN6] MDAKIGQFFDSVGSFFSGSDKIPWCDGDVIAGCEREVREATDAGSEDLKKECLMRLSWALVHSRQTEDVQRGIAMLEASLESSAPPLEDREKLYLLAVGYYRSGDYSKSRQLVDRCIEMQADWRQALVLKKTIEDKITKDGVIGIGITATAFGAVGLIAGGIVAAMSRKK >scaffold_502873.1 pep chromosome:v.1.0:5:18244648:18245764:-1 gene:scaffold_502873.1 transcript:scaffold_502873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIICNPFLRLILKRKPISMVSPTMFLLSPPSTSSSIGHTNGMLRSRSEDNLKKLGDCMENMDEDVSKLFIEFEQTDLREDPDLFRLLNHYFTTSKGVSQLCESLRTCLERAENNECLLIDEALSDFEQEKLGYGGLLLEQASFRKTFRDLRNFNAFYNNDDDDLDYCEFLRKFQTCHEELAKMIVKLEKTMKEIDKKLRRVRGRRAIVTAALLAPVIAAIFLSKLIAGLVPMEGLTTFVASRWRKSTESLKREKTAMSSMERGTTVALKQVEKISKLVSRLDTVERSIRVTAEFAVNKRSPLTIAMGDVEEERKRLKSTLVDLDRETGLCNGFAQFGRTVALEKITEFLSRGNKSSK >scaffold_502876.1 pep chromosome:v.1.0:5:18268225:18270821:-1 gene:scaffold_502876.1 transcript:scaffold_502876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISNEAGVDAFSIIGPTTIIGRTIAFRILFCNSVSIFRHKVFRFLMFFLRGGRVLLSPFVSLLHPRNPQGILVMVTTMAFLLNRYTSLKAKAEMAYRRKFWRNMMRAALTYEEWSHAAKMLDKETPKVNETDLFDVELVSNKLEELKHRRHEGSLRDIIFCMRADLVRNLGNMCNPELHKGRLHVPRLIKEYIDEVSTQLRMVCDMDTEELSLEEKLSFMHETRHAYGRTALLLSGGASLGAFHLGVVKTLVEHKLLPRIIAGSSVGSVMCAVVGTRSWPELQSFFEGSWHALQFFDQMGGIFTTVKRVMTQGAVHEIRHLQWKLRNLTNNLTFQEAYDITGRILGITVCSLRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRTGEIVPYHPPFNLDPEEGSASVRRWRDGSLEMDLPMIQLKELFNVNHFIVSQANPHIAPFLRMKEFVRACGGRFAAKLAQLAEMEVKHRCNQVLELGLPLREVASLFAQEWEGDVTIVMPATFSQYLKIIQNPSNVEIQKAANQGRRCTWEKLAVIKANFGIELALDECVAVLNHMRRLKRSAERAAAFSAISSSPPSKHLLAGTNRFNASKRIPSWNCIARQNSSGSVDDDVLAEASRLYQHIVVGSGRNSNRTSNLSHSYDAGSECDSPEAEDWTRSGGPLMRTNSAQMFTDYVQNLDAVDPEQIRASENDSIMAASSSSHSITVTEGDYLQTGRTHNGFVLNLVRGENLRMNQDLEDSPNESETPESVQLDSPEKDIIDGDSSASEDGDAQANLIHE >scaffold_502878.1 pep chromosome:v.1.0:5:18274253:18274529:1 gene:scaffold_502878.1 transcript:scaffold_502878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQYSFFPTDFFYPRASPPATTSLVATNPKLEKIQKRDGLVVIDETRASLSLFIREKKTNQSQTWKKKPLWIEDDM >scaffold_502884.1 pep chromosome:v.1.0:5:18292978:18295403:1 gene:scaffold_502884.1 transcript:scaffold_502884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKRASSVTKSAKTAPSEQASSPTELKTVVSGEDFRLAPPKLGVIFVISSLLCSLYLYLLCFHYNVDNELKRPILINAGLSLVGFFVTLKLIPVAARYVLRRNMFGFDINKRGTPQGEVKVPESLGIVVGIVFLIVAIVFQFFNFTEDSVWLVEYNAALASICFMILLGFVDDVLDVPWRVKLLLPSFATLPLLMAYAGHTTIVIPKPLVSYIGLEVFDLGRIYKLYMALLAVFCTNSINIHAGLNGLEIGQTVVIAAAILIHNIMQIGVSVDTEYHQAHAFSIYLTQPLMATSLAMLAYNWYPSAVFVGDTYTVFAGMTMAVVGILGHFSETLLIFFLPQVLNFLLSLPQLAGIVKCPRHRLPKFDPATGLLTGTKDGTLVNVYLRIFGRKSEKSLCIHLLVFQALACAFCFMLRHFLAGWYK >scaffold_502894.1 pep chromosome:v.1.0:5:18324868:18325219:1 gene:scaffold_502894.1 transcript:scaffold_502894.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LVR0] MKCSKTKKGKSTWDGQRHQLHSPSRSSIPIRPHSLPSTRFVPCFYQKFHQSARNISFTCHDGALLVKCILIVTKKKQPAGMDCVLVFLDFAGRKQRALVWKL >scaffold_502896.1 pep chromosome:v.1.0:5:18328397:18336199:1 gene:scaffold_502896.1 transcript:scaffold_502896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRRPPKDSPYANLFDLEPLMKFRIPKPEDEVDYYGSSSQDESRSTQGGVVANYSNGSKSRMNVNSKKRKRWTEADDAEDDDDLYNQHVTEEHYRSMLGEHVQKFKTRSKESQGNPPHLMGVPVLKSNVGSYRGRKPGNDHYGRFYDMDSSPNFAADVIPHRRESYHDRDITSKIAYEPSYLDIGDGVIYKIPPSYDKLVASLNLPSFSDIHVEEFYLKGALDLRSLAELMASDKRSGVRSRNGMGEPRPQYESLQARMKALSPSNSTLNFSLKVSEAAMNSAIPEGSAGSTARTILSEGGVLQVHYVKVLEKGDTYEIVRRSLPKKLKAKNDPAVIEKTERDKIRKAWINIVRRDIAKHHRIFTTFHRKLSIDAKRFADGCQREVRMKVGRSYKIPRTAPIRTRKISRDMLLFWKRHDKQMAEERKKQEKEAAEAFKREQELREAKRQQQRLNFLIKQTELYSHFMQNKTDSNPSEALPIGDENPIDEVLPETSAAGPSEVEDPEEAELKEKVLRAAQDAVSKQKQITDAFDTEYMKLRQTSEMEGPLNDISVSGSSNIDLHNPSTMPVTSTVQTPELFKGTLKEYQMKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEISRFCPDLKTLPYWGGLQERTILRKNINPKRMYRRDAGFHILITSYQLLVTDEKYFRRVKWQYMVLDEAQAIKSSASIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPMLFDNHDQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVVSELTTKTEVTVHCKLSSRQQAFYQAIKNKISLAELFDSNRGQFTDKKVLNLMNIVIQLRKVCNHPELFERNEGSSYLYFGVTSNSLFPHPFGELEDVHYSGGQNPIIYKMPKLLHQEVLQNSETFCSSVGRGISRESFLKHFNIYSPEYILRSIFPSDSGVDQMVSESGAFGFSRLMDLSPVEVGYLALCSVAERLLFSILRWERQFLDELVNSLMESKDDDLSDNNIERVKTKAVTRMLLMPSKVETNFQKRRLSTGPTRPSFEALVISHQDRLLSNIKLLHSAYTYIPKARAPPVSIHCSDRNSAYRVTEELHQPWLKRLLIGFARTSEANGPRMPNSFPHPLIQEIDSELPLVQPALQLTHRIFGSCPPMQSFDPAKLLTDSGKLQTLDILLKRLRAGNHRVLLFAQMTKMLNILEDYMNYRKYKYLRLDGSSTIMDRRDMVRDFQHRSDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILHRASQKNTVQQLVMTGGHVQGEDFLGAADVVSLLMDDAEAAQLEQKFRELPLQVKDRQKKKTKRIRIDAEGDATLEELEDAERQDNGQEPLEEPEKPKSSNKKRRAASTPKSRAPQKAKEEANGEDTPQRTKRVKRQTKSINESLEPVLSASVTETNKGFDPSSSAN >scaffold_502897.1 pep chromosome:v.1.0:5:18336664:18337016:-1 gene:scaffold_502897.1 transcript:scaffold_502897.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7LVR3] MKFTKLLFMAFVMIVMTSLAPTRASVVGGWGIEEKAACIVTDLMSCLPAILKGSQPPAYCCEMLKEQQSCLCGYIKTPAFGHYVIPKNAHKLLAACGIPYPKC >scaffold_502901.1 pep chromosome:v.1.0:5:18350352:18355161:-1 gene:scaffold_502901.1 transcript:scaffold_502901.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore protein [Source:UniProtKB/TrEMBL;Acc:D7LVR7] MANDQEMSGWTDLLHSSTKLLEQATPSSQFPPLQVLLLTTTLFDFDSPNLDQLEALSRKLKAKTLRNEAPSESISATRLLAREGINADQLSRDLKSFELKTTFEDVFPAETTNVEEYLQQVHEMAMVSAIQEAQKDNVRSFNNYMLKVLEEDCRKEKRDFLHSLSKISMLPKTKMIDSSRGSHADSLVPLSPQVSSKPGTELMSMTNKPIHEKKAYVYAEVVKKLNSARERGLPFKPATSFNGAYESLGIDLTRGKSVNMQKIWQLIQAMTGEDSAVQHGVSKRMALVIGARRHLECGHEKHIMDTIQSHPTQAALGGSVGNMQRIRAFLRIRLRDYGILDFDSGDARRQPPVDTTWQQEAREIAQSSRSSQQQFAPLLTEWITTGGTVAAHTAAIASEECEKLLRMGDRFGQTTYDKKKLLLYTIISGSRRQIDRILRDFSTLFNTIEDFLWFKLSCVRDVAGGSSSVILNDGLVPYSLDDLQVYLNKFEPSYYTKNGKDPLVYPYVLLLSIQLLPAIMHMSNEAGDEGYNIDAVHVAISLVDHSILSEGSGTGHKLSVMDANAEASSMIRQYGSMYLHHGDLQMTLEYYAQAAIAVGGGQQAWSGRSNVDQQRQRNLMLKQLLTEILLQENGVYFLLGARGSGEEGELGRFLPDIKLRQQFLIEAAHQCQEAGLYDQSIKLQKRVGAYSSALETINKCLSEAICSLVRGRPDGETHTEGLILSGNDILNAYKYHPDCHILVYYSGQERDRVMEQETILRELEAILSIHKLARLNKHLDAIREVAKLPFLHLDPRLPDTTSDEFQRASSYFQTCVPDLLKVALTCLDNVADTDGSIRGMRSKIAGFLASNTQRNWPRDLYEKVARSF >scaffold_502907.1 pep chromosome:v.1.0:5:18385976:18388525:-1 gene:scaffold_502907.1 transcript:scaffold_502907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDRVAPKPPKSRIRELPSRDRFAEPKNLDFSSWVSDNVYRIVIFFLFIVTVAAFFFLYNTTDTASLLCFQSQSTQSLQSLTRPQINWNSIQIVSDKTSPYASFRTEKWIVVSVTKYPTEELKGLVKIKGWQVLAIGNSLTPKDWILKGAIFLSLDAQAELNYRILDHLPYDSFVRKSVGYLFAIQHGAKKIYDADDRGEVIDGDLGKHFDVELVGEDARQEPILQYSHENPNRTVVNPYIHFGQRSVWPRGLPLENVGEINHEEYYTEVFGGKQFIQQGISNGLPDVDSVYYSTRKTTFEPFDIRFDEHSPKVALPQGMMVPVNSFNTLYHSSAFWGLMLPVSVSSMASDVIRGYWGQRLLWELGGYVAVYPPTVHRYDRVEAYPFSDEKDLHVNVGRLIKFLLAWRSNKHRFFETILDLSFVMAEQGFWTELDVKFTAAWLQDLLMVGYQQPRLMSLELDRPRATIGHGDRKEFVPRKLPSVHLGVEEIGTVSSEIGNLIKWRKNFGNVVLIMFCNGPVERTALEWRLLYGRIFKTVVILSSRKDSDLYVQEAKLDHIYKRLPKIFDRYSSADGFLFVEDDTILNYWNLLQADKTKLWTTDKVTESWTTVRAAGNADWYSVQAELVKKIVSTMPVHFQVNYKEATKKTDDKSFLMCSSEVFYVPKRFVSDFTDLVNLVGEMDLHYKVAVPMFFLSMDSPQNFDPVLGSMVYKSEPASLNSSLSLYSAEAPAVHPWSISNEQDFIRLVREMAEGDPLLMELV >scaffold_502909.1 pep chromosome:v.1.0:5:18393602:18394175:1 gene:scaffold_502909.1 transcript:scaffold_502909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPKNKSSSSGSGSSSTVGDRSIVQREGGFLCYCGKTAVLCQAWTDANPGRRFYGCGEGYKNVCDYFRWRDVEKPYGWQKVALLEARDLIREQAEQIARLRALGTCGGEGDETQQTQEMVALIERLKKENEVLQVALGKMRAKEKMIRTMGMVSLLGFVLGVAAVIHSRSAARMELP >scaffold_502912.1 pep chromosome:v.1.0:5:18401764:18402337:1 gene:scaffold_502912.1 transcript:scaffold_502912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPKNKSSSSGSGSSSTVGDRSIVQREGGFLCYCGKTAVLCQAWTDANPGRRFYGCGEGYKNVCDYFRWRDVEKPYGWQKVALLEARDLIREQAEQIARLRALGTCGGEGDETQQTQEMVALIERLKKENEVLQVALGKMRAKEKMIRTMGMVSLLGFVLGVAAVIHSRSAARMELP >scaffold_502915.1 pep chromosome:v.1.0:5:18409815:18411336:1 gene:scaffold_502915.1 transcript:scaffold_502915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHPSAIERSVASSLLLLSYGPVVFSPTRSESVEESSYVRKWCDEGSSNLSLMLGSSGSRSCGSALSSDGSFGMSEDRGFKINYTGDPFHLMNFKTARKRRSQVIWGSFNFKPTHLMMNPACDVLSTCSVESKDESCLSTGSSEVSSVESRIKVRNQKSNEKLRGVGKKMKESSRSSSIRRRAKDILEFLSSESSSEVHIRQILGDSPDTSKALRMLLKMEEVKRFGTGGRLDPFIYKIA >scaffold_502918.1 pep chromosome:v.1.0:5:18420727:18427221:-1 gene:scaffold_502918.1 transcript:scaffold_502918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETENATATADGSDTILKARTDKREYRRIVLKNSLEVLLISDPETDKCAASMNVSVGSFSDPDGLEGLAHFLEHMLFYASEKFPEEHGLFKYVNEHGGSSNAYTSTEHTNYHFDINTDSFDDALDRFAQFFIKPLMSADATMREIKAVDSENKKNLLTDSRRMRQLQKHLSREDHPYHKFSTGNMDTLCVQPEAKGIDIRIELIKFYDEHYSANIMHLVVYGKENLDKTQGLVEELFQEIRNTDKSIPRFPGQPCTLDHLQVLVKAVPIRQGHELTVSWPVTPSIHHYEEAPCRYIGRLIGHEGEGSLFHALKMRGRFYLDLCISSSAFSKFSFIYLNTSTGWATSLYAGEAGCTTEYSFFNVSINLTDAGHENIQDIVGLLFRQIKLLQLSGVSQWIFDEVLVLSSAICEAKFHYQAKIHPMSYAKSISLKMKMYPTKHWLVGSSLPSKFNPATVEKVLDELSPNNVRIFWKSNKFEGQTDKVEPWYNTAYSLEKITKFTIQEWVQSAPDENLHLPTPNVFIPTDFSVKDFDDKDIFPVLLRKTSFSRLWYKPDTKFFKPKAYVKMDFNCPIALSSPDAAVLSTIFVWLLVDYLNEYAYYAQVAGIDYGLSLSNNGFELSLVGFNHKLRILLEAVIEKIANFEVKPDRFSVIKETVKKAYRNYKFRQPYHQAMSYCSMVLQDHSWPWTEKLDALSCLEAEDLANFVPMLLSRTFVECYIAGNVEKSEAESMVKHIEDVLFNDTKPICRPLYPSQFLTSRVAELGTGMKYFYHQEGSNPSDENSALVHYIQVHQDEFSMNIKLQLFKLIAKQATFHQLRTVEQLGYITSLSQRNDSGVYGVQFFIQSSVKGPGHIDSRVESLLKDLESKLYKMSDEEFKSNVTALIDMKLDKPKNLSEESQFYWGEIQKGTLKFNRKDAEVAALRELKKKELIDFFDEYIKFGAPKKKSLSVCVYGNQHLKEMSSDKEKVVTPSIEIEDIVGFRKSQPLYGSLKGCTAS >scaffold_502922.1 pep chromosome:v.1.0:5:18436945:18438114:-1 gene:scaffold_502922.1 transcript:scaffold_502922.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S2 [Source:UniProtKB/TrEMBL;Acc:D7LVT7] MAERGGDRGDFGRGFGGRGGGRGGPRGRGRRAGRDPEEEKWVPVTKLGRLVKEGKIKQLEQIYLHSLPVKEYQIIDLLVGPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDSNGHVGLGVKCSKEVATAIRGAIILAKLSVVPIRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLQKTYGFLTPEFWKETRFSKSPYQEHTDYLLTPPGVKIREVVDKSVVE >scaffold_502925.1 pep chromosome:v.1.0:5:18446330:18450041:-1 gene:scaffold_502925.1 transcript:scaffold_502925.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSIP2 [Source:UniProtKB/TrEMBL;Acc:D7LVU0] MTITSNISVQNDNLVVQGKTILTKIPDNIILTPVTGNGFVSGAFIGATFEQSKSLHVFPVGVLEGLRFMCCFRFKLWWMTQRMGSCGKDIPLETQFMLLESKDEVEGNGDDAPTIYTVFLPLLEGQFRAVLQGNEKNEIEICLESGDKAVETSQGTHLVYVHAGTNPFEVIRQSVKAVERHMQTFHHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVDEGLKSLSGGGTPPKFLIIDDGWQQIENKEKDENCVVQEGAQFATRLVGIKENAKFQKSDQKDTQVSGLKSVVDNAKQRHNVKQVYAWHALAGYWGGVKPAASGMEHYDSALAYPVQSPGVLGNQPDIVMDSLAVHGLGLVNPKKVFNFYNELHSYLASCGVDGVKVDVQNIIETLGAGLGGRVSLTRSYHQALEASIARNFTDNGCISCMCHNTDGLYSAKQTAIVRASDDFYPRDPASHTIHIASVAYNSLFLGEFMQPDWDMFHSLHPTAEYHAAARAVGGCAIYVSDKPGNHNFDLLRKLVLPDGSVLRAKLPGRPTRDCLFADPARDGISLLKIWNMNKFTGIVGVFNCQGAGWCKETKKNQIHDTSPGTLTGSVCADDADQISQVAGEDWSGDSIVYAYRSGEVVRLPKGASIPLTLKVLEYELFHISPLKEITANISFAPIGLLDMFNSSGAIESMDINPVTDKKHELFDGEVSSASPALSDNRSPTALISLSVRGCGRFGAYSSQRPLKCAVGSTETDFTYDAEVGLVTLNLPVTSEEMFRWHVEILI >scaffold_502926.1 pep chromosome:v.1.0:5:18454525:18457016:-1 gene:scaffold_502926.1 transcript:scaffold_502926.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 32 [Source:UniProtKB/TrEMBL;Acc:D7LVU1] MGNCCGTAGSLAQNDNKPKKGRKKQNPFSIDYGLHHGGGDGGGRPLKLIVLNDPTGREIESKYTLGRELGRGEFGVTYLCTDKETDDVFACKSILKKKLRTAVDIEDVRREVEIMRHMPEHPNVVTLKETFEDEHAVHLVMELCEGGELFDRIVARGHYTERAAAAVTKTIMEVVQVCHKHGVMHRDLKPENFLFGNKKETAPLKAIDFGLSVFFKPGERFDEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVLDFRRDPWPKVSENAKDLIRKMLDPDQKRRLTAQQVLDHPWLQNAKTAPNVSLGETVRARLKQFTVMNKLKKRALRVIAEHLSDEEASGIREGFQIMDTSQRGKINIDELKIGLQKLGHAIPQDDLQILMDAGDIDRDGYLDCDEFIAISVHLRKMGNDEHLKKAFAFFDQNNNGYIEIDELREALSDELGTSEEVVDAIIRDVDTDKDGRISYEEFVTMMKTGTDWRKASRQYSRERFNSISLKLMQDASLQVNGDTR >scaffold_502935.1 pep chromosome:v.1.0:5:18488700:18490383:1 gene:scaffold_502935.1 transcript:scaffold_502935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSKNTFIVTTSILCLSMAILSEGQANPFLLQLDRWEMLLPSIGISAMHMQLLHNGMVVMFDRTDFGTSNVSLPGGICRYDPTDTAVKFDCSAHSVLYDVVSNTYRPLNVQTDTWCSSGAVLPNGTLVQTGGYNDGERAARMFTPCGYSETCDWIEFPQYLSQRRWYATNQIIPDGRIIVVGGRRQFNYELFPRHDSRSRSSRFEFLRETSDGSNENNLYPFLHLLPDGNLFVFANTRSIVFDYKKNRIVKEFPEIPGGDPRNYPSSGSSILFPLDETNNTDIEVEIMVCGGSPKGGFSHGFTRATSTCGRLKLSDQNPIWEMESMPLPRVMGDMLLLPTGDVIIVNGAGAGTAGWEKARDPVIQPVIYQPFDHLFSVMSTPSRPRMYHSSAVLLPDGRVLVGGSNPHVYYNFTNVEYPTDLSLEAYSPPYLSFTSDPIRPKILLTNDKVLSYKRLFNVDFSIAQFLTVDLLSVRIVAPSFTTHSFAMNQRMVILKLLSVTRDQLTNSYRISALGPSTAEIAPPGYYMMFLVHAGIPSSAAWVQIE >scaffold_502939.1 pep chromosome:v.1.0:5:18513186:18516431:1 gene:scaffold_502939.1 transcript:scaffold_502939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAAAVIVPLGLLFFISGLVVNLFQAVCYVLIRPLSKNTYRKINRVVAETLWLELVWIVDWWAGVKIQVFADNDTFNRMGKEHALVVCNHRSDIDWLVGWVLAQRSGCLGSALAVMKKSSKFLPVIGWSMWFSEYLFLERNWAKDESTLKSGLQRLSDFPRPFWLALFVEGTRFTEAKLKAAQEYAASSELPIPRNVLIPRTKGFVSAVSNMRSFVPAIYDMTVTIPKTSPPPTMLRLFKGQPSVVHVHIKCHSMKDLPESDDAIAQWCRDQFVAKDALLDKHIAADTFPGQQEQNIGRPIKSLAVVVSWACVLTLGAIKFLHWAQLFSSWKGITLSAVGLGIITLCMQILIRSSQSERSTPAKVVPAKPKEHNHSEPEPSSQTEMEKQE >scaffold_502943.1 pep chromosome:v.1.0:5:18554726:18554916:-1 gene:scaffold_502943.1 transcript:scaffold_502943.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCU5] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_502945.1 pep chromosome:v.1.0:5:18558657:18559726:1 gene:scaffold_502945.1 transcript:scaffold_502945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLFRKKKKKKQKKEKEINFQKNGSLLLEELIATSGGKYNPIRTFSSDQILQATNHFDWNYVISEDRFVWYKGKIGNRLVLIKKFQDCSVFDADNFYRDIAVSSLMSSHKNVLKLLGCCLEFPRPVLVCEYPENGALNCIRRGKEGVRPFPWNVRLRIAKEIADAITYLHTEFPRTIIHRDLKLANIFLDENWSVKLSSFSLSVLIPEGETGVNDMVCKTSSYIEPGYLNTGLVTENVDIYNLGIIMLVLLREKSEYTSEVAVYLPALPVYVGKFLERGLLTELIDPLMLDSASDDIPQHSRLQMEAFIELAFRCVRFRPGENVPRMIDIAKELKKIEKYT >scaffold_502946.1 pep chromosome:v.1.0:5:18559981:18561085:-1 gene:scaffold_502946.1 transcript:scaffold_502946.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LVV9] MKKQYLKSGSGSRTRKEKDKTKRWFLDNGSIFLTELVADCNGKSIPIRSFSPEQILKATNNFDSSCFVSQDVYYKWYRGQIEDRSYMIKRFSEDEITGKRHRVKEVYNDIVLSARMSNHSNFLQLLGCCLEFPFPVLVFEFAEYGALNQRGGIMVNGEEYLLPWSVRLKIGKEIANAVSYLHMAFPKIIIHRDVKPMHVFLDKNWTAKLSDLSFSISLPEGKSRIEAEWVLGTFGYLDPLYHKTSFVTEYTDVYSFGICFLVILTGKPAIITISDGDLQGILSYVRGLCENGKLDEVIDPMLMKDITSGQRLQVEACVVLALRCCEERDEYRPKVIQVAKELKRIEASLRNSS >scaffold_502947.1 pep chromosome:v.1.0:5:18561513:18562637:1 gene:scaffold_502947.1 transcript:scaffold_502947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIVKKLKQSLRSGSSEKRKEKEKDIQEERCFLENGSIFLKELIADCNGKSIPIRSFSSDQILKATSNFGSSCFVTAEGFYVWYKGIIEDRSYMIKKFSEYKVTHYRVAEVYNEIVLSARMSNHNNFLKLVGFCLEFCLPVLVFEYAEHGVLNHRGGVMVNGEEYILPLSLRLKIGKEIANAVTYLHMAFPKILIHRHINPRNVFLDKSWTAKLSDFSISINIPEGKSRIEVECVQGTIGYLDPVYYTTKMVTEYTDVYSFGVFLMVILTGKPALASTSSDGDYKHIAGYVKGFHENGQLDGIIDSKVMKDITSAQKVLVEACVVLGLRCCELRDENRPKMIQIAKELKQIEALFWRS >scaffold_502955.1 pep chromosome:v.1.0:5:18594347:18594658:-1 gene:scaffold_502955.1 transcript:scaffold_502955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSTSFASRHLIRAQSQNPSILLAGARAWNEPYPFCYLKKVELGSSELKEAHIMPNPYECCVITGSCDGLVCVYGIERLMCIINPATR >scaffold_502960.1 pep chromosome:v.1.0:5:18610040:18610544:1 gene:scaffold_502960.1 transcript:scaffold_502960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRNLIMLVTLSLLMKVALSQNGVVMGKNIFKWEFFPKIFVYVSNDIEGRLDLHSSCIENGNTVSRYRGLILPGRSKEFVHFTKTFWGGNRYVCEFRFGGETQTHRFTIYRDSRDNIDKYQCRNCFWSIRRNGPCAFNSHTERYDICYAWDR >scaffold_502970.1 pep chromosome:v.1.0:5:18655856:18657464:1 gene:scaffold_502970.1 transcript:scaffold_502970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQDQEPNPPADSLSLMADEKDQEPNAPAEKPELLPLKKRATAGKSLVGYNIAPESDSSSDSSDEGDVACLPEKEVNKETLQELQKKAFYSLLQAFALETSTMSNKRTQIIEKLMNEWGIANETQISFADQIQKKLLTLQQMKDSDKKETQILPETPLAPPATQSWTFVPKPGKSWGSVNPESLIGKWVCMKLPDEADFAEYIIKAYDAEKEMHSIVNAESNAMEVDGIDPFSWIDIREIAPNDIMWEGGQKPKFDTPNQSAATAQGAPF >scaffold_502974.1 pep chromosome:v.1.0:5:18673226:18673793:1 gene:scaffold_502974.1 transcript:scaffold_502974.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LVY8] MEATIFEKRRNSLSSVAVHKQSYSITKSKPKIRIIHIFAPEIIKTDVANFRELVQSLTGKPDDHRTSKTKPRRDMHRLHRQVQDMINTEKLREPEHCDQGFCLNSEEISMTWNGNNGAGESSGGFLNGLGDFEGFIQELGEFPYLPLSSMDVSASSNSSSSSHLHGGSVFSDQFA >scaffold_502978.1 pep chromosome:v.1.0:5:18690028:18691595:1 gene:scaffold_502978.1 transcript:scaffold_502978.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:D7LVZ4] MAPGGSALKEVMESNSTGMDYEVKTAKVEVNNNNKPTKPGSAGIGKYGIHSNNGVYELLECPVCTNLMYPPIHQCPNGHTLCSNCKVRVQNTCPTCRYELGNIRCLALEKVAESLEVPCRYQNLGCHDIFPYYSKLKHEQHCRFRPYTCPYAGSECAVTGDIPTLVVHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDENEAKKFSYSLEVGAHGRKLTWQGIPRSIRDSHRKVRDSQDGLIIPRNLALYFSGGDRQELKLRVTGRIWKEE >scaffold_502982.1 pep chromosome:v.1.0:5:18715321:18715646:-1 gene:scaffold_502982.1 transcript:scaffold_502982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRAVVQVEELHLRKGGKEAEKVRGVCNQVFAVRVSETLWAFKALWGSTPFTGPPFV >scaffold_502991.1 pep chromosome:v.1.0:5:18738074:18740525:-1 gene:scaffold_502991.1 transcript:scaffold_502991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFSVQSTIFSRASVVLLSSNGFKRFSFASSFSSSAVYSPPLPKMKKRRYPIVSAVDIGGVTISRNDLVRDDDPTNNVPDSIFSKLGMQLHRRDKHPIGILKNAIYNYFDSNYSKKFEKFDDLSPIVTTKQNFDDVLVPADHVSRSLNDTYYVDSQTVLRCHTSAHQAELLRKGHSRFLVTGDVYRRDSIDSTHYPVFHQMEGFCVFSPEDWNESGKDSTLYAAEDLKKCLEGLARHLFGSVEMRWVDTYFPFTNPSFELEIYFKEDWLEVLGCGVTEQVILKQSGLENNVAWAFGLGLERLAMVLFDIPDIRFFWTSDERFTSQFGKGELGVKFKPYSKYPPCYKDISFWISDSFTENNFCEVVRGIAGDLVEELKLIDQFTNKKGMTSHCYRIVFRSMERSLTDEEVNDLQSKVRDEVQKKLNVELR >scaffold_502992.1 pep chromosome:v.1.0:5:18741448:18742626:-1 gene:scaffold_502992.1 transcript:scaffold_502992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPKSLVQQTMVLPLLKLGTLALRTICKPIANRLKKEAGVNPKFRQFIINIAQANHRFTTKLQRRASGRVTDAVIRPLNEERAVQAAADLLGELFAFTVAGAALVYEVQRNARGEAKKEEKRQQELAEFRRKHEKMENEIEEMKQRCCLLHEELSKPVNKELSKPLNAEVSKPTGLAWLFNYMCCQSAAAEKVQ >scaffold_502993.1 pep chromosome:v.1.0:5:18742833:18743131:1 gene:scaffold_502993.1 transcript:scaffold_502993.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LW07] MASTGMAKLKGSFCVTLCRNRSHAMRNKIHFSLLRLNPEEEEEPITSMKFEPRRFWCYTNIEITVFSEDLIAPSIGVAEVLRVD >scaffold_502994.1 pep chromosome:v.1.0:5:18743320:18750455:1 gene:scaffold_502994.1 transcript:scaffold_502994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENIMVDSHVWVEDPERAWIDGVVLNIKGDEAEIKTNDGREVIANLSKLYPKDTEAPSEGVEDMTRLSYLHEPAVLDNLATRYELNEIYTYTGNILIAVNPFQGLPHLYDAEVMEKYKEAYFKELNPHVFAIGGIAYREMINEGRNKCILVSGESGSGKTETTKMLMRYLAYFGGHSAVEGRTVENQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDDVGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPPEDVERFKLGDPKSFRYLNQSSCYELDGVNDAEEYLATRRAMDVVGISEKEQDAIFRVVASILHLGNIEFSKGEDADSSSVKDEQSMFHLQMTSELLMCDPHSLEDALCKRMMVTPEEVIKRSLDPLGAAVSRDGLAKTIYSRLFDWLVNKINISIGQDSHSRRLIGVLDIYGFESFKTNSFEQFCINYTNEKLQQHFNQHVFKMEQGEYQKEEIDWSYVEFVDNQDVVDLIEKKPGGIIALLDEACMLPKSTPETFSEKLYHTFKDHKRFMKPKLTRSDFTLVHYAGDVQYQSDQFLDKNKDYVVAEHQDLLNASKCSFVSGLFPPLPKECSKSKFSSIGARFKLQLQQLMETLNSTEPHYIRCVKPNNLLQPTVFDNANVLHQLRSGGVLEAIRVKCAGYPTNRTFIEFLNRFLILAPEILKGEYEADVACKWILEKKGLTGYQIGKSKVFLRAGQMAELDAHRTRVLGESARMIQGQVRTRLTRERFVLMRRASVNIQANWRGNIARKISKEMRREEAAIKIQKNLRRQIAKKDYGKTKSSALTLQSGVRTIAARHEFRYKLTTRAATVIQAYWRGYSAISDYKKLKRVSLLCKSNLRGRIARKQLGHSKQADRKEETENERKVELFNRAEEAVDMSFVLHSEQSDDAESGHGQKAKLSIESEDGLDKSFVLHSEQSDDEEMGHERKTKLSIESEDGIEKSFVMHSDQSDDEEIGHERKTKHCIQAEDGIEKSYVMHSDQSDDEEIGHERKTKHSIQADNGIEKSFVVHSDQSEDEEIGHERKTKHSIQVEDGIQKSFVTDSEKPYNTFSVVSQITDPIRDTEIESLTAEVEMLKALLQVEKQRADISERKCAEARELGERRRKRLEETERRVYQLQDSLNRLLYSMSDQFSQLKSILRSPSMSASTMASAPVVRDDLADSSENSEASSSDSDFTFPAPSPSSDNFSTFNPNQLQVIVQDLSTTEAKGTESYDSDKEGGFEDYF >scaffold_502996.1 pep chromosome:v.1.0:5:18752805:18754552:1 gene:scaffold_502996.1 transcript:scaffold_502996.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine hydroxylase [Source:UniProtKB/TrEMBL;Acc:D7LW10] MESNESVSSTVNLEKFLCERLVDQSQPISERFRALFSLRNLKGPGPRNALILASRDSSNLLAHEAAFALGQMQDAEAIPALESVLNDMSLHPIVRHELTEALGAIGLAGNVDILKKSLSSDPAQEVRETCELALKRIEELSNVDAENQSSTAEKSPFMSVDPAGPAASFSSVHQLRQILLDETKGMYERYAALFALRNHGGEEAVSAIVDSLSANSALLRHEVAYVLGQLQNKTALATLSKVLRDVNEHPMVRHEAAEALGSIADEQSIALLEEFSRDPEPIVAQSCEVALSMLEFENSGKSFEFFFTQDPLVH >scaffold_503002.1 pep chromosome:v.1.0:5:18778688:18780383:-1 gene:scaffold_503002.1 transcript:scaffold_503002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTIGDGLSDSHTSCALLEISPIFDCMESSLMGNRVDNKKFTWVIKNFSTLQSEKIYSDKFVISGCKWRLLAFPKGDKVKCLSLYLEVADFKSLPSGWRRNVEFTITLVKQFCEKFSLAKVTQHWLDHKVPDWGFKSMIPLTTLHDKDGGFLVNDELKIVAEVDVLEVIGKLDVPGESEEETQPVKKIKQNDDGAVSSDLLKEIPGDLVDVNGFQVLPSQVGFARRIFEKHPETASECRTKNQDLRTSYMNVLISVIKMLFKGPEEHSKDDLSDAEAALAYMTHVGFKLDWLEKQHEEVKERMKKCARVCEIEKQLHDLEHKCKDLKAQLNKEKAEILEATAPDLFFIDVV >scaffold_503003.1 pep chromosome:v.1.0:5:18780833:18782042:-1 gene:scaffold_503003.1 transcript:scaffold_503003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDSGRLIGSEIHGFHILQGILDLSYPSNVKNLLETGILEGAPVKYISTPHVRELQGIIHSGGYLCGCISESKSTREAIDIHHVIINGGRATGYARRRGIGFFLVLLASSMYFFLGKDNPARTLSWGCLYVWSRCLLHFYSLLSHSSLFTCFHMVRNCEYMVSASHVRQFF >scaffold_503006.1 pep chromosome:v.1.0:5:18799892:18802076:-1 gene:scaffold_503006.1 transcript:scaffold_503006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLLSISCITHKLCQSPQELSNDDLSDVDIALTYMTDAGFNMDWLEKKLEEVKEKKEKEEACLTRLQEMEESIKPLKQEFLKQEAEIDKEKAELLAARLDCQDSSSRSIRKQVNNTFTWVIKNVSTLQGQEVRSEIFVVGGCKWRLIAYPEVNNVDGYLSLSVYLDVPDCCESLPSGWKRHAKFSLTIVNQISEEFSQLQETQQWFDQNAPGWGFPPMLNLKDVSDKHGGFLVNDEVMVAVAVDVLEVVGSLDAPEKSESMDIKGFQVLPSQVESVNRLFERHPDIASKFSIKNQSLKTAYMNVLLCLNETLHQSPKEISEDDLSDAVMRKLLWPT >scaffold_503008.1 pep chromosome:v.1.0:5:18808927:18810331:-1 gene:scaffold_503008.1 transcript:scaffold_503008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDKKFTWLIKNFCSQQSTKIYSDEFVVDGCKWRLLAFPKGNGVKHLSLYLDVPGSQFLPDGWRRHADFHLSVVNQHSEELSLTKATQQWFDATACDWGFTSMFPLNKLHDKDGGFLVNGELKIVAEVSVLEVIGKLDVPAECEETTKVLRNVEENDGAESSNDSLKEASSVKESIDVNGFRVLPSQVETVSFIFERHPDIASEFRPRNQHLRSAYMNVLLSLIETLCQSTQELSKDDLADADAALAYLTDAGLNLNWLEEKLEEVSEKKENEEAGETRVQEIEEELKELKLKCSNLEAELEKEKANVSVARAPFSFDDVV >scaffold_503009.1 pep chromosome:v.1.0:5:18823097:18824726:-1 gene:scaffold_503009.1 transcript:scaffold_503009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQVNNTFTWVIKNVSTLQGQEVRSEIFVVGGCKWRLIAYPEVNNVDGYLSLSVYLDVPDCCESLPSGWKRHAKFSLTIVNQISEELSQLQEGWRWFDENTKICGFRDMIPVVNLHNINGGFLLNGELTIIAEVEVHEIIDTLNASQVEEYFSDDSSEDFQNKDNVNIEVNGFQVLDSQVDQVNAIFEKHPDLTSNFNLKNQHIKNAYMHALLDLIKTLCKSPKDLTVEDMNKADNTLTDLVKAGLNLDWLSHKLDQALEKQIDYDTRIRELEKQVKKRKLAVTELEADLEKEKAAASASLILFD >scaffold_503017.1 pep chromosome:v.1.0:5:18843588:18844317:-1 gene:scaffold_503017.1 transcript:scaffold_503017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKGKRYVLSDLSDDSDSWSDYSLDDSDSSADDDETVKVNGFHVRVSQVNRVKDMFIKHPNLTSKINLKNKQLKSAYMDVLLDLIETLCQSTKELSMKDLNKADNTLFDLTRAGLKVGWLRQKLDEAYLKKEKQRFSGARIRELEEQVKKRKLTLSSLESTLKKEKAAALASKNRTRFGDVL >scaffold_503018.1 pep chromosome:v.1.0:5:18846473:18847177:-1 gene:scaffold_503018.1 transcript:scaffold_503018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKENNYVLSKDEDLKLFEDSLSEDEETVEVNGFEVLVSQNQQLKNAFIDVLLNLIETLCQSTTELSLEDLNKEESTLFDLTRAGLEVGWLRQKWDVAYLKKEKQRVTGARIRELEEQVRKRKLSLSDLESDLKNEKAAALVAKSRTGFRDIV >scaffold_503024.1 pep chromosome:v.1.0:5:18870781:18872701:-1 gene:scaffold_503024.1 transcript:scaffold_503024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPVEKRFLWVLKKFSTLKDECYLSRPFVFSGWNWRIIAFPNNKGHLSLYIGLLNPESLSSIWTRKVKFRLTVVNKISKDDTKVLDGQKLFTARNHRWGFSKFLRCHKLRDDGFLVGDKLIIVADVHALPTFSTPEEFEKFLESLRLMRVSFNRSKRDSSCQVVQKTENTGESLKVGNLGMRCNNVASKTEVSNVDNDDAPQGASHDDAFEDGQGDDDDASSLLSSVNDKDVSSINKLKSMEDASKTVENGDTGCTNVASATEASNDLLKEIQPVKETMDVNGFHVFPSHVESVSHIFKRHPDIALGFRPKNQQIRKAYMNELLSVIDMLCQPPEKLSEDDLRNADETLVDLIDAGFKLDWLKTKLDDISEKKKIEQGSGARLQTMEEELQKLKQMFLDLETQLQMEKAEASAARAPLSFNDVVC >scaffold_503025.1 pep chromosome:v.1.0:5:18873915:18875421:-1 gene:scaffold_503025.1 transcript:scaffold_503025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGYKRFAWVIKDFYSLQCEKCYSVPFLIGDCKWRLCAYPKGRNVNYLSLFLDVVDSESLPSGWSRYVKIRLTVVKQVSEEHSVIKETHRWFDEKHLGWGFPAMLDLTKLHDEMDRFLVNGELVIVADVQVLEVVGTVDESAESEEASEPVSKMKVDDGAKSIDLLSQTQQVKESIDVNGFQVHPSQVESVRCIFEKHPDIAIDFQVKNQHLRKTFMNFLVNVIETLCQSLQELSKEDLLEADIALTYVKDAGFKVDWLEKKLEEVKEKKGKELSGLLQLQEMEDNLLKLKEKCSDLDALVDKKKAELSVTRSPLSFDDVV >scaffold_503026.1 pep chromosome:v.1.0:5:18877652:18878744:-1 gene:scaffold_503026.1 transcript:scaffold_503026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALQVELSQKTELPHRLCKFRFWFNGGERRSVRRQGLIESARFLSCASSGRVMREPSMLVREAAAEQLEERQSDWAYFKPRHSRSLSATLQPRHSRSLLQTSSFFSFDSLPLLNSAAAFGVDGGEVPFWCRLRFQIGLIW >scaffold_503027.1 pep chromosome:v.1.0:5:18879363:18882516:-1 gene:scaffold_503027.1 transcript:scaffold_503027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQAHKKFYWIIKNFSPQSERLYSVPVLIGDCKWRLIAYPKGDFCDYFSLFLELVDFESLPCGWGRYAKLRLTLVNRLFPNLSIVKETEHCFDDKCSTFGFPTMLPIYKLQEEDHGFLVNGEVKIIAEVDVSESAGTLNESEISEESSDLLIKKKGNDGNESDDLLKKTLSVKESNNIINGTKQESFITSVEKQVGKDFVWMLENFSFLNSEKCYSDPFVIRGVKWRLLAECDLVSLYVHLCVADSQSFPSEVVKVRLTIVNQQFEKLSILKDSEHCFDEQYPTLGYTIPYELLVEDGGFLVNGDLMVVADVIGASDIFEESIPQRKLDVNGFHVLPSQVSKNLYDMTSFHLKVPSVTRIFEVHPDIAVEFRAKNQHLRTTFMNFLHSLIETLYQPLEWLSNEDLVEADIAVTYLEDVGFKVDWLEKKLNQLKEKKRERTVL >scaffold_503031.1 pep chromosome:v.1.0:5:18900132:18901660:-1 gene:scaffold_503031.1 transcript:scaffold_503031.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-lysine N-methyltransferase ARALYDRAFT_907327 [Source:UniProtKB/TrEMBL;Acc:D7LW44] MEKEHELQKLNSQVSAPRDGDVEDDDPLVLSSQALAALQEFLADQDKAVASTPLASSVAGEEASDKVELVTEDWRLSQFWYEPETAETVAEEVVTLSSRFSGCRVACIACPTLYVHLKKRDPSLQVQLLEYDMRFERYGNEFTFYDYNEPEDLPLQLKHCFHVIVADPPYLSRECLERVSQTISFLASPVDSLLLLLTGEVQREHAAELLGVRPCVFKPHHSSKLGNEFRLFISYDPGTRLGGLEEDS >scaffold_503040.1 pep chromosome:v.1.0:5:18926035:18926792:-1 gene:scaffold_503040.1 transcript:scaffold_503040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7LW51] MARFMGYSQNRQMLALCITVAVMFLGVRSELSQDIKGCQEAMSDLYSCLPFVSNKAKAPDSTCCSTLKAKIDKGQTKKCLCTLVKDRDDPGLGFKVDGNRAMSLPSACHVPANISQCPDLLHLLPDSPASQIFKQFNESSSQNVGHKAVSTSSSSKGRDKRQFGLMLAGVLSVWYLV >scaffold_503041.1 pep chromosome:v.1.0:5:18927419:18930424:-1 gene:scaffold_503041.1 transcript:scaffold_503041.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7LW52] MLSVIRVHLPSEIPIVGCELTPYVLLRRPDKTPTTDDVPESAPLEGHFLKYRWYRVQSDKKVAICSVHPSEPATLQCLGCLKSKVPVAKSYHCSTKCFSDAWQHHRVLHERAASAATEGNDEEELLRLNSSGSGSGVLSTSGSLTNGSSSVYPAAITQKTGAGGETLVEVGRSKTYTPMADDIGHVLKFECVVVNAETKQNVGLSCTILTSRVIPAPSPSPRRLIPVSGADVIGHLDSNGRPLSMGSFTVLSYNILSDTYASSDIYNYCPTWALAWTYRRQNLLREIVKYRADIVCLQEVQNDHFEEFFSPELDKHGYQGLFKRKTNEVFVGNTNTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDAIIPVSQKKTALNRLVKDNVALIVVLEAKFGSQAADNPGKRQLLCVANTHVNVPHELKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNTVPASAPHTLLAMGKVDPLHPDLMVDPLGILRPHTKLTHQLPLVSAYSSFARMGGSVIAEQQRRRMDPASNEPLFTNCTRDFIGTLDYIFYTADTLAVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCMPRARR >scaffold_503043.1 pep chromosome:v.1.0:5:18936126:18939003:-1 gene:scaffold_503043.1 transcript:scaffold_503043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVIRVHLPSEIPIVGCELTPYVLVRRPDKNSATDDVPESAPLEGYFLRYRWYRVQSDKKVTICSVHPTEQATLQCVFCSKRRSLVPKSYHCTPKCFTDAWQHHRTLHERAAAENNANEDDDLGRNNSAGSGALAGSLSGSMSNLNIANNGPAPFYPSNITQKNGGETLVEVGACKTYTPIADDIGHVLKFECVVANAETKQIMGHPSTILTSRVIPAPSPSPRKLVPVNGADVMAHLDQDGRIQSAGSFTVLSYNILSDTSASSDLYSYCPPWALSWPYRRQNLLREIVGYRADVVCLQEVQSDHFHEIFAPELEKHGYQALYKRKTNEVLSGSTSAIDGCATFFRRDRFSHVKKYDVEFNKAAQSLTDALIPQTQKRAALNRLVKDNIALIVVLEAKFGNQPTDPSGKRQLICVANTHVNVQQDLKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNTIPGSAPHTLLVMGKVDPGHPDLAVDPLNILRPHTKLTHQLPLVSAYSSFVRNGMGVGLEQHRRRIDLNTNEPLFTNCTRDFIGTHDYIFYTADTLMVESLLELLDEDGLRKDTALPSPEWSSNHIALLAEFRCMPRTRRC >scaffold_503049.1 pep chromosome:v.1.0:5:18969710:18975184:-1 gene:scaffold_503049.1 transcript:scaffold_503049.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LW60] MGETGDDAGPSEQGPSNQTWWPSEFVERFGSVYLGSQEETSSTKDSPRNLGLDGLPSTTASNILWSTGSLSEPIPNGFYSVIPDNRLKQLFNSIPTLEDLHALGEEGLKADVILVDFQKDKKLFRQKQLITKLVSGLNSKPPTIIKKIAGLVADVYKQSTLQSPAKTTQSFENCGIQLLGQIKHGSCRPRAILFKVLADTVGLQSRLVVGLPSDGAAESVDSCSHISVTVLLNSVEMLVDLMRFPGQLIPLSTKAIFMSHISAAGESDSAENDSCDSPLEPNSPMFGYPERNDPENAEKDENLSLHRKLDGSPNTSGPPSRNVLLRSASALERKLSFSQSESNMANEFWRQSRRKVIADQRTASSSPEHLSFRARTRSMLSGDKNLARDFTDDVATSSCKSVGGAKLETKRIRRRSISITPEIGDDIVRAVRAMNEALKQNRLSKEQGDDGSSPNSPNDRTESSHLQKNVSGFHLDAHDQVSGGRSTLSREPLDPQKAISLPSSPQNYRSQYEQSGSSHRNISHIWDKVLGSPMFQNKPLLPYEEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSLITEYMEMGSLYYLLHMSGQKKRLSWRRKLKMLRDICRGLMCIHRMGIVHRDIKSANCLLSNKWTVKICDFGLSRIMTGTTMRDTVSAGTPEWMAPELIRNEPFSEKCDIFSLGVIMWELCTLTRPWEGVPPERVVYAIAYEGARLEIPEGPLGKLIADCWTEPEQRPSCNEILSRLLDCEYSLC >scaffold_503053.1 pep chromosome:v.1.0:5:18990280:18991744:1 gene:scaffold_503053.1 transcript:scaffold_503053.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMBF1B/MBF1B [Source:UniProtKB/TrEMBL;Acc:D7LW64] MAGIGPITQDWEPVVIRKKAPNSAAKRDEKTVNAARRSGADIETVRKFNAGSNKAASSGTSLNTKKLDDDTENLSHDRVPTELKKAIMQARGEKKLTQSQLAQLINEKPQVIQEYESGKAIPNQQILSKLERALGAKLRGKK >scaffold_503054.1 pep chromosome:v.1.0:5:18992759:18993946:-1 gene:scaffold_503054.1 transcript:scaffold_503054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPTLDVVQICHFMGVIHRDLKPENFLLASTDENAMLKATDFGLSVFIEEFRDGTDAEKKPRQSLRDAAPLEPDAHGSRKDSEKKHSEHHQTSFQSISSDVLEVFGLLECYFYWLKDTNLNYRRQNERNWRSNMHSERHERPAMGRDRVWNRDDERGAGSRQSYRADRDKFNGNGRSGFSGSWTRNEKKWDHDLFEEANKSPAKDTEEEQVANVEFLLAS >scaffold_503059.1 pep chromosome:v.1.0:5:19005281:19006106:-1 gene:scaffold_503059.1 transcript:scaffold_503059.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar ATP synthase subunit D (VATD) / V-ATPase D subunit / vacuolar proton pump D subunit (VATPD) [Source:Projected from Arabidopsis thaliana (AT3G58730) TAIR;Acc:AT3G58730] MAGQNARLNVVPTVTMLGVMKARLVGATRGHALLKKKSDALTVQFRALLKKIVTAKESMGDMMKTSSFALTEVKYVAGENVKHVVLENVKEATLKVRSRTENIAGVKLPKFDHFSEGETKNDLTGLARGGQQVQACRVAYLKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPKLENTISYIKGELDELEREDFFRLKKIQGYKRREVERQAAHAKEFAEEMVLEGISMQRGISINAARNFLVGGAEKDSDIIF >scaffold_503064.1 pep chromosome:v.1.0:5:19023859:19024547:-1 gene:scaffold_503064.1 transcript:scaffold_503064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELWYVRLTYRRNHQRDRRLRPSANGVKTNRRLAMEKKDSLKDGPISCSRIETNRSKISFILFLADNDPTTGQSWCPAGDRPAWRTPTHSWRVDSRFKLIGVPTLVRWDGDSVKGRLEDHQAHLILPLLAPAT >scaffold_503067.1 pep chromosome:v.1.0:5:19035577:19039767:-1 gene:scaffold_503067.1 transcript:scaffold_503067.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LW77] MKFYISATGIKKVTISNPGVGIGKGSGGCAAAAAALAARRFSGRTLLLLLLLLAILLPFIFVRFAFLVLESASVCDSPLDCMGLRLFRGGDTSLKIGEELTRALVEETDQDVNGRGKKGSLESFDDLVKEMTLKRRDIRAFASVTKKMLLQMERKVQSAKHHELVYWHLASHGIPKSLHCLSLRLTEEYSVNAMARMRLPPPESVSRLTDPSFHHIVILTDNVLAASVVISSTVQNAVNPEKFVFHIVTDKKTYTPMHAWFAINSALSPVVEVKGLHQYDWPQEVNFKVREMLDIHRLIWRRHYQNLKDSDFGFVEGTHEQSLQALNPSCLALLNHLRIYIPKLFPDLNKIVLLDDDVVVQSDLSSLWETDLNGKVVGAVVDSWCGNNCCPGRKYKDYFNFSHPLISSDLVQEDCAWLSGMNVFDLKAWRQTNITEAYSTWLRLSASSGLQLWQPGALPPTLLAFKGLIQSLEPSWHVAGLGSRSVKSPQEILKSAAVLHFSGPAKPWLEISNPEVRSIWYRYVNSSDIFVRKCKIMN >scaffold_503068.1 pep chromosome:v.1.0:5:19042273:19042845:-1 gene:scaffold_503068.1 transcript:scaffold_503068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDVELLKRVWREGGEETIEDYVENGIDPLMVSLYQMDLDRAQFLLRSYLRVRLLKVMLSAVRVVYEGAGDKGVFWSEGEAVD >scaffold_503077.1 pep chromosome:v.1.0:5:19070005:19070236:1 gene:scaffold_503077.1 transcript:scaffold_503077.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LW85] MSFRSLVKTSSINRVQFLVRVSLISHDLRSRHRNAFTEGDGFTTEKDTRKTVAKRGIVKLTTS >scaffold_503090.1 pep chromosome:v.1.0:5:19105994:19107581:-1 gene:scaffold_503090.1 transcript:scaffold_503090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPLSFRRLTSIRHRKKGSAVKDDSAQTSAPSSPPPPLPINAGGPIVGGAGKAKKKTGGARWWMRFDRTGAMEVVECDKSTIIKRASVPARDLRILGPVFSHSSNILAREKAIVVNLEVIKAIVTAEEVLLLDPLRPEVLPFVERLKQQFPQRNGTETALQASANLQSPLDPEAAEGLQSELPFEFQVLEIALEVVCSFVDKSVAALETEAWPVLDELTKNVSTENLEYVRSLKSNLTRLLARVQKVRDELEHLLDDNEDMADLYLTRKWIQNQQTEAILAGTASNSIVAPAHNTSNLHRLTSNRSASMVTSSTEEDDVEDLEMLLEAYFMQLEGMRNKILTVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFAIAAETLLASLFGMNIPCPLYNTHGIFGYFVWSVTALCIVLFMVTLGYARWKKLLGS >scaffold_503094.1 pep chromosome:v.1.0:5:19117360:19118161:-1 gene:scaffold_503094.1 transcript:scaffold_503094.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase C-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LW92] MAISQQFLNPTLFKSLASTNKNSPSPFFRLKSTSTTFNFKPLTSSSSATIITRAAVSSSDSGESKSRETFHGLCFVLKDNIDTDQIIPAEYGTLIPSIPEDREKLGSFALNGLPKFYNERFVVPGEIKSKYSVIIGGDNFGCGSSREHAPVCLGAAGAKAVVAESYARIFFRNCVATGEIFPLESEVRICDECKTGDVVTIEHKEDGSSLLINHTTRKEYKLKPLGDAGPVIDAGGIFAYARKAGMIPSASSA >scaffold_503096.1 pep chromosome:v.1.0:5:19122073:19122352:-1 gene:scaffold_503096.1 transcript:scaffold_503096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISNLPDELICHIVSFLSAKEAAFTLVLSKRWKNLFTIIPSLYFKDWSTVQGSLMDFVDGVIALPLCSRIRKFSRAC >scaffold_503103.1 pep chromosome:v.1.0:5:19144502:19146297:-1 gene:scaffold_503103.1 transcript:scaffold_503103.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7LW97] MATTSFSLPNHKLRIKLMLFLVLNLLSVFAHSSNSKFTKFSRNPNSDSSSRTKPSTSSNKGFLNSVQLSLEDALFARSFAFNLTLSHRTSQSLMLDPVNDCLELLDDTLDMLSRIVVIKRKDHVNDDVHTWLSAALTNQETCKQSLSEKSSFNKDGIAIDSFARNLTGLLTNSLDMFVSDKRKSSSSSRLTGGRKLLSEHDFPSWFSMSDRKLLEASVEELRPHAVVAADGSGTHMSIAEALASLEKGSGRSVIHLAAGTYKENLNIPSKQKNVMLVGDGKGKTVIIGSRSNRGGWNTYQSATVAAMGDGFIARDITFVNSAGPNSEQAVALRVGSDRSVVYRCSIDGYQDSLYTLSKRQFYRETDITGTVDFIFGNSAVVFQSCNLVSRKGSSDENYVTAQGRSDPNQNTGISIHNCRITGSTKTYLGRPWKQYSRTVVMQSFIDGSIHPSGWSPWSSSFALKTLYYGEFGNSGPGSSVSGRVGWAGYHPALTLTEAQGFTVSGFIDGNSWLPSTGVVFDSGLL >scaffold_503105.1 pep chromosome:v.1.0:5:19165874:19168259:1 gene:scaffold_503105.1 transcript:scaffold_503105.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7LW99] MSSTQTYEPLLTRLHSYSQITERSSPEIEEFLRRHVSTVTPRWWLKLAVWESKLLWTLSGASIVVSVLNYMLSFVTVMFTGHLGSLQLAGASIATVGIQGLAYGIMLGMASAVQTVCGQAYGARQYSSMGIICQRAMVLHLAAAFLLSFLYWYSGPILKAMGQSVAIAHEGQIFARGMIPQIYAFALACPMQRFLQAQNIVNPLAYMSLGVFLLHTLLTWLVTNVLDFGLLGAALILSFSWWLLVVANGLYIVMSTSCKETWTGFSTRAFTGIWPYFKLTVASAVMLCLEIWYNQGLVIISGLLTNPTISLDAISICMYYLNWDMQFMLGLSAAISVRVSNELGAGNPRVAKLSVVVVNVTTVLISLVLCVIVLVFRVGLSKAFTSNAEVIAAVSDLFPLLAISIFLNGIQPILSGVAIGSGWQAVVAYVNLVTYYVIGLPIGCVLGFKTSLGVAGIWWGMIAGVILQTLTLIVLTLRTNWTSEVENAAQRVKTSATENQEMAYEGI >scaffold_503106.1 pep chromosome:v.1.0:5:19168436:19171167:-1 gene:scaffold_503106.1 transcript:scaffold_503106.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LWA0] MSQAVIFKPFVSVPTSNHSQRKLHNNIINVGVKIQNRFRVVCMGMLAPRKFLQKRRKIEVFKDAADETDQKRWRGLMLEIESTGSAVPVLRQYRTDGDQGLPRDLVLGTLVRFKQLKKWNLVSEILEWLRYQNWWNFSEMDFLMLITAYGKLGNFNGAERVLSVLSKMGSSPNVISYTALMESYGRGGKCNNAEAIFRRMQSSGPEPSAVTYQIILKTFVEGDKFKEAEEVFETLLDEKKSPLKPDQKMYHMMIYMYKKAGNYDKARKVFSSMVGKGVPQSTVTYNSLMSFETNYKEVSKIYDQMQRSGIQPDVVSYALLIKAYGRARREEEALSVFEEMLDAGVRPTHKAYNILLDAFAISGMVEQAKTVFKSMRRDRIFPDLWSYTTMLSAYVNASDMEGAEKFFKRIKVDGFEPNIVTYGTMIKGYAKANDVEKMMEVYEKMRLSGIKANQTILTTIMDASGRCKDFGSALGWYKEMESCGVPPDQKAKNVLLSLYSTQDELDEAKELTGLRNETATIVARVYGSDDDEEEEDISSESSDDEDEDDGDDDDARETVLYDKPQEGSLGYGSLPTEELVGL >scaffold_503108.1 pep chromosome:v.1.0:5:19175310:19177095:-1 gene:scaffold_503108.1 transcript:scaffold_503108.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-interacting factor 5 [Source:UniProtKB/TrEMBL;Acc:D7LWA2] MEQVFADWNFEDNFHMSTNKRSTRPEDDLVELLWRDGQVVLQSQARREPSVQTHKQESLRKPNNIFLDNQETVQKPSNSVLQDQETVSWINYPPDDVIDPFESELSSHFFSSIDHVHGPEKPRTIEETVKHEAQAMAPPKFRSSVIKDGPSHCGSNQSTNIHQVTTLPVSMSDRSKNVEERLDTSSGGSSGCSYGKNNKETVSGRSVTIDRKRKHVMDADQESVSQSDIGLTSTDDQAMGNKSSQRSGSTRRSRAAEVHNLSERRRRDRINERMKALQELIPHCSKTDKASILDEAIDYLKSLQMQLQVMWMGSGMAAAAAAATTPMMFPGVQSSPYINQMAMQSQMQLPQFPVMNRSAPQNHPGLVCQTPVQFQLQTQNQILSEQLARYMGGFPQMSLAANQAVQPPADMLGFGSPAGPQSQLSAPATTDSLHMGKIA >scaffold_503113.1 pep chromosome:v.1.0:5:19190976:19201680:1 gene:scaffold_503113.1 transcript:scaffold_503113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSGTAELPRSLSRRAPSRATTMMIDRPNEDASAMDSELVPSSLASIAPILRVANEIEKENPRVAYLCRFHAFEKAHRMDATSSGRGVRQFKTYLLHRLEKEEVETKPQLAKNDPREIQAYYQNFYEKYIKEGETSRKPEEMARLYQIASVLYDVLKTVVPSPKVDYETRRYAEEVEKKRDRYEHYNILPLYAVGTKPAIVELPEVKAAFSAVCNVRNLPRRRVHLPSNAPNEMRKARTKLNDILEWLASEFGFQRGNVANQREHIILLLANADIRNRNDEEYDELKPSTVIELMDKTFKSYYSWCKYLHSTPNLKFPEGCDKQQLRLIYISLYLLIWGEASNVRFMPECICYIFHNMANDVYGILFSNVEAVSGETYETEEVIDEESFLRNVITPIYQVIRKEARRNKGGTASHSQWRNYDDLNEYFWSKKCFKIGWPLDLKADFFLNADEITPQNERLNQVTYGKSKPKTNFVEVRTFWNLFRDFDRMWIFLVMAFQAMVIVGWHGSGSLGDIFDKDVFKTVLTIFITSAYLTLLQASLDIILNFNAWKNFKFSQILRYLLKFAVAAMWAVLLPIAYSKSVQRPTGVVKFFSTWTGDWKDQSFYTYAVLFYVLPNILAALLFLVPPFRRAMECSDMRIIKVIMWWAQASIKLFFWFLSILPKLYVGRGMHEDMFSLFKYTTFWIMLLISKLAFNYYVEILPLITPTKMIMNLHIGHYQWHEFFPHATNNIGVVIAIWAPIVLVYLMDTQIWYAIFSTLFGGIHGAFSHLGEIRTLGMLRSRFESIPTAFSRTLMPSEDANREHADDYVDQKNITNFSQVWNEFIYSMRSEDKISDRDRDLLLVPSSSGDVSVIQWPPFLLASKIPIAVDMAKDFRGKEDAELFRKIKSDSYMYFAVIESYETLKKIIYALLEDEADRRVMNQVFLEVDMSIQQQRFIYEFRMSGLPLLSDKLEKFLSILLSDYEDQGTYKSQLINVFQDVIEIITQDLLVNGHEILERARVHSPDIKNDEKEQRFEKINIHLVRDKCWREKVIRLHLLLSVKESAINVPQNLEARRRITFFANSLFMNMPNAPRIRDMLSFSVLTPYYKEDVLYSEEDLNKENEDGISILFYLQKIYPDEWTNYLDRLNDPKLPEKDKSEFLREWVSYRGQTLARTVRGMMYYRQALELQCYQEVAGEQAEFSVSRAMASNDDNQKAFLERAKALADLKFTYVVSCQVYGNQKKSSDIHNRSCYTNILQLMLKYPSLRVAYVDEREETADAKSPKVFYSVLLKGGDKFDEEIYRIKLPGPPAEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKLRNVLEEFNKERVGRRKPTILGLREHIFTGSVSSLAWFMSNQESSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGVSKASKVINLSEDIFGGFNSTLRGGYVTHHEYIQVGKGRDVGLNPISIFEAKVANGNGEQTLSRDVYRLGHRFDFYRMLSFYFTTIGFYFSSMLTVLTVYAFLYGRMYMVMSGLEKEILRLASPNQLEALEQALATQSIFQLGFLMVLPMVMEIGLEHGFRSAIVDFFIMQLQLASVFFTFQLGTKSHYYGRTILHGGSKYRPTGRGFVVFHAKFAENYRLYSRSHFVKGLELLLLLVVYQIYGNSFRSSSLYLYITVSMWFMVGSWLFAPFIFNPSGFEWQKTVDDWTDWKRWLGDRGGIGIPVEKSWESWWNVEQEHLKHTSIRGRILEITLALRFFIYQYGIVYQLNISQRSKSFLVYGLSWVVLLTSLLVLKMVSMGRRRFGTDFQLMFRILKALLFLGFLSIMTVLFVVFKLTLTDLSASILAFLPTGWAILLIGQVLRSPIKALGIWDSVKELGRAYEKIMGLVIFAPIAVLSWFPIVSEFQARLLFNQAFSRGLQISMILAGRKDKAASSHV >scaffold_503133.1 pep chromosome:v.1.0:5:19273417:19274769:-1 gene:scaffold_503133.1 transcript:scaffold_503133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLLANLIVMGSGIIGRAVFQAYRQALANASKSGVAQEAMQNGVRKAGKAITEQEARQILGVTEKTSWEEILQKYDKLFENNAKAGSFYLQSKVHRAKECLEVVYRSQGNGTPS >scaffold_503140.1 pep chromosome:v.1.0:5:19310383:19310988:1 gene:scaffold_503140.1 transcript:scaffold_503140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWC2] MLPTEARTIMAASSWNDNGQKQFVHDVSLAGHSHQLRSVLMVVGAFTHYRAGLCVS >scaffold_503141.1 pep chromosome:v.1.0:5:19312478:19314457:1 gene:scaffold_503141.1 transcript:scaffold_503141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCSSSSSSSLLRISSSSAPEISFSPSISRFSSKIQSILTKPRFQNLRICASVTAETQGLPRDSPQRLLKELGKRKTATGPKKKVPPKRFILRPPLDDKKLAERFLNSPQLSLKSFPLLISCLPSSKLNNADKTWIDEYLLEVKQALGYSLEPSEILGDDNPAKHFDTLLYLAFQHPSCDRARARHVKNGHSRLWFLGQYVLELALIGKRYLPKWIKAASLQNLIFPYDDMDKLIRKESVFWALFGAICLCYGMPEVYRVLFEVFGMDPDADECQPRSRRQLEDVDYVSVEFEGKKLGWQDIATYKPPEDALFAHPRLFRACVPLGMHRFRGNIWDFDSKPKVMQTLGYPLPMNDRIKEITEARNIELGLGLQVEFDEVGKVTGLTSKGETAKCKCQMLHRSSSLQ >scaffold_503142.1 pep chromosome:v.1.0:5:19314545:19314836:-1 gene:scaffold_503142.1 transcript:scaffold_503142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSTLLYSYMTGNLYPPLVYILGDETMRPFQVKLLRVLGYGGTTKELEHLKFFIGESECREVVLVEAVVDDSMYCKPKGYYC >scaffold_503144.1 pep chromosome:v.1.0:5:19320995:19322686:1 gene:scaffold_503144.1 transcript:scaffold_503144.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein farnesyltransferase subunit A [Source:UniProtKB/TrEMBL;Acc:D7LWC6] MDFDETVPLSQRSEWADVVPLTQDDGPNPVVPIAYKEEFRETMDYFRAIYFSDERSPRALRLTEETLRLNSGNYTVWHFRRLVLEALNHDLFEELEFIERIAEDNSKNYQLWHHRRWVAEKLGPDVAGRELEFTRRVLSLDAKHYHAWSHRQWTLRALGGWEDELDYCHELLEADVFNNSAWNQRYYVITRSPLLGGLEAMRESEVSYTIKAILTNPANESSWRYLKALYKDDKESWISDSSVSSVCLNVLSRTDCFHGFALSTLLDLLCDGLRPTNEHKDSVRALANEEPDNNLAILVCTILGRVDPIRANYWAWRKSKITVAAM >scaffold_503147.1 pep chromosome:v.1.0:5:19328631:19328982:-1 gene:scaffold_503147.1 transcript:scaffold_503147.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWC9] MAEVIASTNKTSDYFSLYYSGVRNFEKGLSKGMFIYLSLITKISIDGSSLQRWRHQSSSMLILSSSTKPSKRRRICIHTPMNLKAHYSFLTIIDCFFFTSI >scaffold_503154.1 pep chromosome:v.1.0:5:19354676:19354967:1 gene:scaffold_503154.1 transcript:scaffold_503154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSTLLYSYMTGNLYPPLVYILGDETMRPFQVKLLRVLGYGGTTKELEHLKFFIGESECREVVLVEAVVDDSMYCKPKGYYC >scaffold_503155.1 pep chromosome:v.1.0:5:19355807:19356891:-1 gene:scaffold_503155.1 transcript:scaffold_503155.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7LWD6] MMMGIPVELEVTTVENHNEMGESSGQAMIEQDDDNHNELGENSGEQDEKVDPDSIPLAVADMTEAQGDEPYVGQEFESEAAAHGFYNAYATKVGFVIRVSKLSRSRHDGSPIGRQLVCNKEGYRLPSKRDKVIRQRAETRVGCKAMILIRKENSGKWVITKFVKEHNHSLMPGRVRRGCIYDQYPNEHDKIQELMQQLAAEKKRAATYKRHLEMLFEQIEQHNESLSKRIQHIVDNVRNLEQRDHQQNQQV >scaffold_503159.1 pep chromosome:v.1.0:5:19364395:19365687:-1 gene:scaffold_503159.1 transcript:scaffold_503159.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LWE0] MAAKTQPSSSSSLVLIMSLFLLLLSLIVPSSVLSATLRSDIQALESIIRSVDPSSISPSSYLSTWDFSEDPCEGAGTFLGVMCSFPLENTTSRVIEIDLDDDGYDGFLSDEVGNLTELTVLSLNKNRFRGPVPETVFQLRKLTKLSLAENFFTGDISAEITRLKELKTIDLSKNSIAGEIPPRISALRSLTHLILSNNHLDGRIPALNGLWKLQVLELGNNHLYGMLPKLPPSLRTLSLCFNSLGGRISPLHRLKQLVSLDVSQNRFSGTVGHEILTFPEISRINVSFNQFISIEVIKVTGSRLRMLDAEGNHLQGHLPLNLATYENLKDINLRSNMFSGDIPRIYGKRLENSWRSLYLENNYLSGTLPEEFQKISKQIRGNLSNNCLQCPKNVQICQGTQKPKSQCTNAMLGSLE >scaffold_503161.1 pep chromosome:v.1.0:5:19371659:19373198:1 gene:scaffold_503161.1 transcript:scaffold_503161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGQYGTYDSLVTHHPILCIIALSVIFIAIDPFHMSPIGGREFKPVKHEVAPYKQVMESWPRDNLSRLGNHGKLEFVDQVFGPESLEFDSLGRGPYTGLADGRVVRWMGEAIGWETFSVVTSKWSEKACVRGVDSTTNKQWKHEKLCGRPLGLRFHKETGNLYIADAYYGLLVVGPEGGIATPLATHVEGKPILFANDLDIHRNGSIFFTDTSKRYDRANHFFILLEGESTGRLLRYDPPTKTTHIVLEGLAFPNGIQLSKDQSFLLFTETTNCRLVKYWLEGPKTGEVEVVADLPGFPDNVRINEKGQFWVAIDCCRTPAQEVLTNNPWIKSIYFRLPIPMKLLAKTMGMRMYTVISRFDEEGKVLEVLEDRQGKVMKLVSEVREVQGKLWIGTVAHNHIATLPYPLANQ >scaffold_503162.1 pep chromosome:v.1.0:5:19373845:19378493:-1 gene:scaffold_503162.1 transcript:scaffold_503162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSIGREPNGSGFQSNNLWVGSLTTDTTESDIADLFGRFGEIDRITAFSSRSFAFIYYRRVEEAVAAKEALQGADLNGSLIKIEFARPAKPCKSLWVGGISPSVSKDDLEAKFRNFGKIEDFRFLRERKTAFIDFYNMEDAIEAKNMNGKRMGNSYLRVDFLRSQGPQKEPWPGSNDGRDGSLSTKQQYPHSFGDAEGERRPSNVLRIGYPPSVQIDEQTLHNCMILFGEIERCISYPSRHFSLVEFRSIEEARCAKEGLHGRLLNDPRITIMYSNDDIPPGRGDDTSFYSGAKRSRPEMFVNDPPFISSPHSSGFFGSMRPFRGSVERSHSGPEYSDVVGTEESWGRPSPTEAGKSGILPSLAPHTMLPVRSNRGSWEGYDPAQLDREPKRTRRDGSVDGFPSMSVDDRVTGFDRTYGCASVAARSGRGFPDPDFIWRGIIAKGGTTICRARCVPFGKGTETELPEIVNCSARTGLDMLAKHYTEAIGFEIVFFLPDREDDFASYTEFLCYLGSKNRAGVAKLDDGTTLFLVPPSDFLTDVLKVSGPERLYGVVLKLPPPQVPAAASYKQEPYPIPQSYMGQSRSLPANANNGLFAAREDRAVFEYNRGMQKESKPSPKSLLGPLSESVSVPNTAMPQAGVSLTPELLAALASLLPTTSQPTASESHQSVGLSSGEAPSQAWNRDQSTVSDPSNLSFQQLGNQYNPMGQLPPPPPLPPPPMRYPPVSSTPSYSSGMVHGGMQYQAPFVSTPQQAPLPTPPSSNYAMYSQGSHQAVSQPLRQQYQPEAPMLNQNYIPVPGAENPVLHGYQQGNYHCLTNNQAHKANPSQSQIAMPPPANMKKLDPSSQAQQQLQPLLLGAGQGTSDGEVDKNQRYQSTLQFAANLLQQIQQQQQLPSDASTVQGP >scaffold_503168.1 pep chromosome:v.1.0:5:19405739:19406419:1 gene:scaffold_503168.1 transcript:scaffold_503168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLARSTSVGIRGKNTHFDSNNSLDSDPFIMIAGGDYIEAVEGTYTESHITSITFRMYKGGMMPQYGRLNGMPFSLRGERGEKVIGFYGRSSDVHLTALGVHFSAPPLAFSFRNKSPIFKY >scaffold_503169.1 pep chromosome:v.1.0:5:19408678:19410025:1 gene:scaffold_503169.1 transcript:scaffold_503169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIIMFEDIFVVDKLDPDGKKFDKVTRVEARSHNLEMFMHLDVNTEVYPLAVGDKFTLAMAPTLNLDGTPDTGYFTPGAKKTLADKYEYIMHGKLYKISERDGKTPKAELYVSFGGLLMLLQGDPAHISHFELDQRLFLLMRKL >scaffold_503180.1 pep chromosome:v.1.0:5:19448085:19448442:1 gene:scaffold_503180.1 transcript:scaffold_503180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESELPALKEKNPQLEVVTELSRGQHPYLKGIYRNRNERVVCVKNMDPEEVLLNATRLRNSLGRKVVKLRTRHVKF >scaffold_503181.1 pep chromosome:v.1.0:5:19448757:19454417:-1 gene:scaffold_503181.1 transcript:scaffold_503181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDLHVSSKMGVRPGFEILGPVSVKYYQRDAVHKISEILQICPDDAFTLLKCFRWCGDHVLDGWFDGLIDTSKYLLCPSPNDDEFCCGICFDTLPILKAAPSLCGHLYCKGCMKDHGSTVLDTKCPHEKCDRTLGLGLVKASASPRDFEKYRRFSMRSYIEDSTTRKWCPSPNCNCAIALKDPSSILLAGHYPADCSIAINWLSFEHNEKCNNWKKVNARLCPNCMKPIHRESGCNHMSCRCGFEFCWVCLRRCFGGGWHYPCIPPVSTSSTGVPEEMQLIPPVSDIEVRYRSIFDLRGKICEKAEIYCRTFHTVQTKMAWFEMLYAMQIRRCLSISKWICALASFYGLDLNQFVKIQGRRRAGIRSESQTGPAWHSLGIPSGQIRRSQHALINSSIRILTRMDAGGHWCSRGLLMERAESALRAHSSSVKGGGKVQVKAPEDTAAVPVKFQAFIKEQVLVNITISAREDKNLNIEPWHTAEEYDGQVREIKFRSICNSPMCPPDTPVTEWQHVVLSPEKKVLVFETVQQPHDVPFGSYFEVHCRWRLEAKDETSSVIDIRVGVHFKKWCLMQSKIKAGAIGEYKKDVEVMLEVALSYLKSHSSSSSLGDIEKSALSIISNTRKHTLKPNLPKSKSFSKFHSPASQLKIIQRHYSSSFILLKCICGKGN >scaffold_503182.1 pep chromosome:v.1.0:5:19456515:19457760:-1 gene:scaffold_503182.1 transcript:scaffold_503182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSTTSTYIGHDLVDDILLSLPVKSIIRFKSVSKQWKSLIESHSFVQRQLKNPRRKILLAFGCRDDGDGDDSPPFLFSEEKEEEICYIGNCDASRISLTCDGLICIPGSDSIEVCNPATRESRQFPAGEPLVSVSSMIIPHAGTGRIPVRSLPHRRKYIDHELVPRFPTTTRNASRIVAFNLQLEEFRVVSHPNPNFSSDDNGHTPHFSELLVLRERLSVSEMRTTNVPHARLDIWSMVDVQEERWVKMHSLCLCDLYQPRPSEIQLFTPLAIPDDQGDGGVLIIWDYRESLFMSYPNNFLLNKVSAYARVVASSYFQTLVTVH >scaffold_503183.1 pep chromosome:v.1.0:5:19458851:19459391:-1 gene:scaffold_503183.1 transcript:scaffold_503183.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWF8] MAAAYSASTSGYIGHDLDNILLRDGDDSPPFLFPDEDQEEICYIGNCDASLISLTCDSLICIPGWDSIQFCNPVINKFVLTLQEQSLFPTEKIVGMASKMAVSDGFSTEIRFKLPTFFRR >scaffold_503189.1 pep chromosome:v.1.0:5:19482707:19484727:1 gene:scaffold_503189.1 transcript:scaffold_503189.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Athlecrk [Source:UniProtKB/TrEMBL;Acc:D7LWG4] MSRELILCQIILVLFLTLFYNSHGYFVSQGSVGIGFNGYFTLTNTTKHTFGQAFDNEHVEIKNSSTGLISSFSVNFFFAIVPEHKQQGSHGMAFVISPTRGLPGASSDQYLGIFNETNNGKILNNVIAIELDIHKDEEFGDIDDNHVGININGLRSVASASAGYYDDEDGSFIKLSLISRKVMRLSIVYSHSDKQLNVTLFPAEIPVPPRKPLLSLNRDLSPYLLEKMYLGFTASTGSVGAIHYLMGWFVNGVIEYPRLDLGTIPVLPPYPKKSSNRTKTVLAVCLTVSVIAAFVASWIGFVFYLRHKKVKEVLEEWEIQYGPHRFAYKELFNATKGFKEKQLLGKGGFGQVYKGTLPGSNADIAVKRTSHDSRQGMSEFLAEISTIGRLRHPNLVRLLGYCRHKENLYLVYDFMPNGSLDKYLNRNENQERLTWEQRFKIIKDVATALLHLHQEWVQVIIHRDIKPANVLIDQEMNARLGDFGLAKLYDQGFDPVTSKVAGTFGYIAPEFLRTGRATTSTDAYAFGLVMLEVVCGRRLIERRAQENEEYLVDWILELWENGKIFDAAEESIRQEENRGQLELVLKLGVLCSHQAASIRPAMSAVMRILNGVSQLPDNLLDIVRAEKIREWPETSMEILLDVNSSSTLELTYSFVSHGR >scaffold_503191.1 pep chromosome:v.1.0:5:19491350:19492684:-1 gene:scaffold_503191.1 transcript:scaffold_503191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGISPTVGLSGASSDQYLGLFNETSNGDVLWLHSIDKIGFVFYWRHKKVKEVLEEWEIQYGPHRFAYKELFNATKGFKEKQLLGRGERLTWEQRFKIIKDVASALLHLHQEWAQVIIHRDIKPANVLIDHDMNARLGDFRLAKLYDQGFDPQTSRVAGTLGYIAPEFIRTGRATTSTDVYAFGLVMLEIVCGRRLIDRRAEENEEVLVDWILELWEHGNIFDAAEESTRQEQNRGEIELVLKLGVLCAHQSESIRPDMSVGYADLEWCCAASR >scaffold_503193.1 pep chromosome:v.1.0:5:19499498:19505983:-1 gene:scaffold_503193.1 transcript:scaffold_503193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHPPGGSKKTSIVVVTLNSGEVYVIASLLSKADTQVIYIDPTTGILRYNGKPGLDNFKSEREALDYITNGSRGGVRNSVYGRAILGYAALGSFGMLLVATRLNPSIPDLPGGGCVYTVTESQWVKIPLHNPQPQGKGETKNIQELTELDIDGKHYFCDTRDITRPFPSRMPLQSPDDEFVWNRWLSVPFKNIGLPEHCVILLQGFAEYRPFGSSGQLEGIVALMARRSRLHPGTRYLARGINSCSGTGNEVECEQLVWIPKRHGQSIAFNSYIWRRGTIPIWWGAELKMTAAEAEIYVADKDPYKGSTEYYQRLSKRYDTRNLDAPVGENQKKKAFVPIVCVNLLRSGEGKSECILVQHFEESMNFIRSSGKLPHTRVHLINYDWHASVKLKGEQQTIEGLWMYLKSPTMAIGISEGDYLPSRQRLKDCRGEVICIDDIEGAFCLRSHQNGVIRFNCADSLDRTNAASFFGGLQVFVEQCRRLGISLDTDLGYGYNSVNNHGGYNAPLPPGWEKRADAVTGKSYYIDHNTKTTTWSHPCPDKPWKRLDMKFEEFKRSTILSPVSELADLFLQQGDIHATLYTGSKAMHSQILNIFSEESGAFKQFSAAQKNMKITLQRRYKNAMVDSSRQKQLEMFLGMRLFKHLPSIPVQPLHVLSRPSGFFLKPVPNMSESSNDASSLLSIKRKDITWLCPQAADIVELFIYLSEPCHVCQLLLTISHGADDLTCPSTVDVRTGRHIEDLKLVVEGASIPRCANGTNLLIPLPGPISSEDMAVTGAGARLHEKDTSSLSLLYDFEELEGQLDFLTRVVAVTFYPAGAVRIPMTLGQIEVLGISLPWKRMFTCERTGGRLAELARKSDEDESPFSSCSDLNPFASTSLHTETVSTPVQQKDPFPSNLLDLLTGEDSSSDPFPQPVVECVASGGNDMLDFLDQAVVEYRGSDTVLGESVPQEKRPKESGAHLYLNCLKSLAGPNMGKRLEFVEAMKLEIERLHLNISAAERDRALLSVGMDPATINPNSSYDESYIGRLCRIANALAVMGQASLEDKIIASIGLGKLENNVIDFWNITGIGEGCDGGMCQVRAEVNKSPVGSSTKSSGRESGSVFMCFQCMKKACRFCCAGKGALLLSKSYSRDTANGGGSLADVSATSIGSDHYICKKCCSSIVLEALIVDYVRVMVSLRRSGRVDNAGREALNEVFGSDITNHLAVRGQPSPNGEDFNFLRQILGQEESLAEFPYASFLHKVETGTDSAPFFSLLTPLNLASSNAYWKAPPSANSVEAVIVLNSLSDVSSVILLVSPCGYSDADAPTVQVWASSDRNKEARTLMGKWDVQSFIRSSPELYGPEKSGRAPRHIKFAFKNPVRCRIIWITLRLPRLGSSSVSLDKNINLLSLDENPFAPIPRRASFGATIENDPCLHAKRILVTGNTVRDKTLQSVESMSVRNWLDRAPRLNRFLIPLEVERPMENDLVLELYLQPASPLAAGFRLDAFSAIKPRVTHSPSSDVVDIWDPTSIIMEDRHVSPAVLYIQVSVLQEQYKTVTIAEYRLPEARDGTKMYFDFPKQIQAQRVSFKLLGDVAAFADDPAEAVDLSGRASPFAAGLSLANRIKLYYYADPYEVGKWASLSNV >scaffold_503199.1 pep chromosome:v.1.0:5:19520555:19520804:-1 gene:scaffold_503199.1 transcript:scaffold_503199.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWH4] MLKMGILCLLRFQELKLTGISIDLISDFVRNHPVLPTYTTIDFVPASYPTVNLDSLVEANLDLGLLFCR >scaffold_503201.1 pep chromosome:v.1.0:5:19525957:19531029:-1 gene:scaffold_503201.1 transcript:scaffold_503201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLKNIESWDRDREAYLEQADMESEQAKKYVQKGDEEAAKYHLSLKLLANRSAQHCQELLLHSHKQIVNLHHMLSKAVIKCNPSVLWCYKDKLDISSCALSPSLTCSMLLIFFMQYIQPQEHEKLSQVELLVIDEASAISLPVVVKSLLGPYLVFLSSTVSGYVKQDVMLLKKSYACSGFNSGLIMLLNFTLFCFYVYSYEGTGRSLSLKFLQQLDEQSRAPATGLEGSLYGKISENSALQSLRDGHSPYGDQIPWKFCEQFRDTEFPGFSGARIVRIAVYPYAMKMGYGSAAVELLSRYFEGQIAPISEADDKVDVEHAPIKVAEAAEKVSMLEEQVKPRTNLLMIDYLISSTTLVFPLDLFRFWRKHNFAPFYVSQIPAENISIRSSLIMDYKLAMSVLNPNINFHEVDSSGSSSGGFLKRLDGILSPYDMEKFMTSAKRWHISILKRSSPYDCHMFRHLYCYAWGCRRRTSLASRLQGSCANGVAGKEIESALPRLKERELEPGNVSVDYGIREGATQVEEQMMKEKVEGLMDSELQQYVTGDKEAEALQHSKIPSSGIISVLSPLNRRMRMGFDKSTKKRSSEEEPEY >scaffold_503202.1 pep chromosome:v.1.0:5:19534363:19534828:-1 gene:scaffold_503202.1 transcript:scaffold_503202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGRTTNIVQSNRSSKNGKRDQKPQKTTCAKRSLEQERLEAFKEESNVSVLVDDTTTQSKLSMNDDHAVNESSVTHQEFEPGRITEKTEKEDTVFGLACDDDEEKEKEESKDFDAIAHEKAKSYKIYDYRMLCFCHIFNF >scaffold_503204.1 pep chromosome:v.1.0:5:19540995:19543401:-1 gene:scaffold_503204.1 transcript:scaffold_503204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVAAQLKRGISRQFSTGSMRRTLSRQFTRQNSLDPRRNNMRFSFGRQSSLDPIRRSPESLSCEPHMSVPENLDSTMQLLFMASKGDVNGVEELLNEGIDVNSIDLDGRTALHIASCEGHYDVVKVLLSRRANIDARDRWGSTAAVDAKYYGNVEVYSLLKARGAKAPKTRKTPMKVGNPKEVPEYELNPLELQVRKVDGISKGTYQVAKWNGTRVSVKIFDKDSYSDPERVNAFTNELTLLAKARHPNIVQFVGAVTQNLPMMIVVECNPKGDLSVYLQKKGRLSPSKALRFALDIARGMNYLHECKPDPIIHCELKPKNILLDRGGQLKISGFGLIKLSKIGEDNAKIVNHEAQIDKSNYYIAPEIYKDEVFDKRVDVHSFGVILYELTEGVSLFHPKPPEEVAESMCIEGKRPTIRTKSKSYPPELKELIEECWHPEISMRPIFSEIIIRLDKIVANCSKQGWWKDTFKFPWK >scaffold_503209.1 pep chromosome:v.1.0:5:19558238:19560005:-1 gene:scaffold_503209.1 transcript:scaffold_503209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALPLKLLLPLTTTSLLPSPFRSDNPNFHRRRSSSSTSCSSQSHSPSLLFSVRRRRSRSSSPSIPMCFPEQTGDREDVDSWMNKEENVTCRFESDEDTTTGLRIPTQAQAIVEGPGSVAVSELQPVPDVDYIQELLAIQQQGPRTIGFFGTRNMGFMHQELIQILSYAMVITKNHIYTSGAAGTNAAVIRGALRAERPELLTVILPQSLKKQPPESQELLSKVQNVIEKPHNDHLPLLEASRLCNIDIISQVQQIICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >scaffold_503210.1 pep chromosome:v.1.0:5:19560568:19562941:-1 gene:scaffold_503210.1 transcript:scaffold_503210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQTLDSPTPPQSVDPSHSHSSSSSPAPTLLRTFSSSRLNANAPEFVPGRTTPPLPQPRMMIPPPPPPLFLHMYHHPPPPLFHVPITGPIPFLPHERRRRDRKKKDQAETGASVSFDPKSGLPEDTVQKIVNQVEYYFSDLNLATTDLLMGFISNDPQGYVPMHIVASFNKIKSSINSNSQLATVLQNSSKLVVSEDGKRVRRLYPITESALEELQSRIVVAENLPEDHCYQNLLKIFSAVGSVKHIRTSQPQNSGSGPPSAARSAKTDFSNKVHAFVEYERVELAEKAVAELNEERNWSGLRIRLMLQHETKEPKHVLQERGRKEEDDVMRTIEQGRGQNHYGRGNHHVSNNPMNNKTDQVSMGKQQGPRMPDGTRGFSLGRGKPVSVHARVNRSCPQKK >scaffold_503212.1 pep chromosome:v.1.0:5:19573279:19573469:-1 gene:scaffold_503212.1 transcript:scaffold_503212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRLADFRYSTSIFEKYTVLKPQPLILA >scaffold_503222.1 pep chromosome:v.1.0:5:19617450:19618512:-1 gene:scaffold_503222.1 transcript:scaffold_503222.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-binding region domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LWJ6] MAATIAVFSGGLKVKAGFLAVQISSLPLRREFPRYLSYSSKRTTVPAISSSLRVSAVYNHQQRNRSSWPRVATFCTAASDAGTTVSADESEKKSESHKEEENVKETANLLDIKVGRIVKAWQHEEADSLYVEEVDIGEAEPRIICSGLVKYVPLDLLQGASVVVLANLKPRNMRGVKSCGMLLAASDAAHENVELLVPPEGSVPGDRVWFGNEEDLEQLPEPAPPNKVQKKKMWESVQPLLKTDESGVSMLKEHLMRTSSGLVTSKSLRNANIS >scaffold_503225.1 pep chromosome:v.1.0:5:19627448:19627955:-1 gene:scaffold_503225.1 transcript:scaffold_503225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMVMLQSSDGESFQVEEAVAVQSQTIAHMVEDDCVGDGIPVSNVTGATLSKVIEYCKKHVVAAESLTEEWDELKKWDAEFMKAMEQSTLFHVILAANYLNIKDLFDLGCQTVADTITDKNTDEIRALFGIRNDFTPEEEEEIRQQNQWAFE >scaffold_503226.1 pep chromosome:v.1.0:5:19629009:19629518:-1 gene:scaffold_503226.1 transcript:scaffold_503226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKMIVLKSSDGKSFEVDEAVARKSVTINNMAEDECADNGIPLPNVTSKILKIVIAYCKKHVESNEEEDLKEWDADFMKKIEPSILFDVMIAANYLNIPSLLDLTCQTVAALLQADLLSGKTPAEIRTRFNIENDLTPAEVAEIRKENQWAFE >scaffold_503227.1 pep chromosome:v.1.0:5:19630036:19633632:-1 gene:scaffold_503227.1 transcript:scaffold_503227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARIEGEEEGHSLEYGFSGKRSVEWDLNDWKWNGDLFVATQLNHGSSNSSSTCSDEAIVEIMEKKKKRGAVTVIAMEEDNLRDGDDDAHRLTLNLGGNIEGNGAKKTKLGGGIPSRAISCQVENCGVDLSKVKDYHRRHKVCEMHSKATSALVGGIMQRFCQQCSRFHVLEEFDEGKRSCRRRLAGHNKRRRKANPDTIGNGNPLSDGQTSNYLLITLLKILSNLHSNQSDQTGDQDLLSQLLKSLVSQAGEHIGKNLVGLLQGGGGVQASQNIGNSSDLLSLEQAPRENIKHHSVPETHWQEVFANGAQETAAPDRPEKQVKMNDFDLNDIYIDSDDTTDIERSSAPPTNPATSSLDYHQDSRQSSPPQTSRRNSDSASDQSPSSSGGDAQSRTDRIVFKLFGKEPNDFPVALRGQIFNWLAHTPTDMESYIRPGCIVLTIYLRQDEASWEELCCDLSFSLRRLLDLSDDPLWTDGWIYLRVQNQLAFAFDGQVVLDTSLPLRSHDYSQIITVRPLAVTRKAQFTVKGINLRRHGTRLLCAVEGTYLVQEATQGVMEERDDLRVNNEIDFVKFSCEMPIASGRGFMEIEDQGGLSSSYFPFIVSEDEDVCSEIRRLESTLEFTGTDSAMQAMDFIHEIGWLLHRSELKSRLAASDHNPEDLFPLIRFKFLVEYSMDREWYAVIKKLLNILFEEGTVDPSPDAALSELCLLHRAVRKNSKPMVEMLLRFIPKKKNQTSSGLFRPDAAGPGGLTPLHIAAGKDGSEDVLDALTEDPEMIGIQAWKISQDNTGFTPEDYARLRGHFSYIHLVQRKLNRKPTAEEHVVVNIPESFNIEHKQEKRSLMDSSSLSISQINQCKLCDHKRVFVTTQHKSLAYRPAMLSMVAIAAVCVCVALLFKSCPEVLYVFQPFRWELLEYGTS >scaffold_503231.1 pep chromosome:v.1.0:5:19651803:19653272:-1 gene:scaffold_503231.1 transcript:scaffold_503231.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LWK4] MNLALVFGTNVVRKAYRFLFSSRKICNGNFGGDEIDNGFPELDLDCGFDEESNITELKSIDREVISVRSRFLESAKVGASRVLETLQLDESGFNTNSVLDELNVRVSGLLVREVLVGILRNLSYDNKTRCARLAYRFFVWSGEQDCFRHTVNSYHLLMKIFAECGEYKAMWRLVDEMVQDGFPTTARTFNLLICSCGEAGLAKQAVVQFMKSKTFNYRPFKHSYNAILNSLLGVKQYKLIEWVYEQMLEDGFSPDVLTYNILLWTNYRLGKMDRFDRLFDEMARDGLSPDFYTYNILLHILGKGNKPLAALTTLNHMKEVGIDLSVLHYTTLIDGLSRAGNLEACKYFLDEMVKAGCRPDVVCYTVMITGYVVSGELEKAKEMFKEMTVEGQLPNVFTYNSMIRGLCMAGEFREACWLLKEMESRGCNPNFVVYSTLVSYLRKAGKLSEARKVIRDMVKKGHYVHLVPKMMKYRR >scaffold_503233.1 pep chromosome:v.1.0:5:19661541:19662490:1 gene:scaffold_503233.1 transcript:scaffold_503233.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LWK7] MSSSTTTTTTVHGEPERRTYWCHECDMSLSLLSSSDSSPLLCPQCRLDFLERMDHDSSSSNLFDVTIGDFEEDDGDNDDEDDEEDWCFVDPAVNSDDNFLLDSPYLHRLLRHLASDNSGSSSSSSSSSSSSLLKSSDIDSIPTIQISSSMLCSTDDSDPDSVLLCAVCKEDFVVGESARRLPCSHIYHSDCIVPWLSDHNSCPLCRFELPTTAKVGIGGSESEMRIRLSDLATIAADGDDVEDDWLGIRNALRRLARRHEQMRLGVGEMERNLARTVSGLGIGLRRGEIESERRSNATTTPL >scaffold_503234.1 pep chromosome:v.1.0:5:19664912:19665432:1 gene:scaffold_503234.1 transcript:scaffold_503234.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LWK8] MVRNSMKAEYDLDHLSHQSFYGDYSKTLVPMNKNSQIITKVKPKIRIIHIFAPEIINTDVKNFRTLVQSLTGKPEITKTGSKKKITKTSFPAPSVLPQDSGQEHTAELVSKIIGSHVVKEEWGSSSNTNTYFDLDGLIDLDEDDNIFSSRWFDLQQQ >scaffold_503236.1 pep chromosome:v.1.0:5:19671671:19671933:-1 gene:scaffold_503236.1 transcript:scaffold_503236.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWL0] MALVGGLYSMSLTWVRAEDIVKVKFLFSTWFWFYEDAVRLKQVKSITYLSWNCMFLLNQRGGLGFVPTICFFS >scaffold_503237.1 pep chromosome:v.1.0:5:19672670:19675838:1 gene:scaffold_503237.1 transcript:scaffold_503237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEEEAVISNGMNDDDDTNNINSSSEFDNNRIGDGDGDDNCNLNQIKQVWGTWDELVLTCAVKRHAFSDWDSVAKEVQARSRSSLIVSAVNCRLKYQDLKRRFQDSVDVGEENSEAATAEEDEVGEIPWLEQLRSLRVAELRREVQRCDDSILSLQLKVKKLEEEKDGDDGDNKPDLKNDETKPARLNRETTESDREDNRSMNESNSTASVDKIADHDRLDGDKMVQADENSRNPDPDPVNKAAAPEEEERTVSKISEMSNSGELDESGTSTGPGKRKGQKYRSGGGGGDIKSAGDKSQPLIDTIKLIRSHPHGSVFESRLRSQETKDYKRLIRQHLDIKTIEKKVEKGSYVSSSLSFYRDLKLLFTNAIVFFPTSSSESMAAQELRTLVSNEMTKRTGKSGHNVIKAEAESNEQKSSVLPLVACKKKSSASKKTPPSNSKQKDEKKSQEVSEEKTATTTTTTSARSSRRTSKEIAVVAKDTKTGRAKNNNKKQKDTKTESSDDGDDDEKEENSKTEKKTVAIATDKKKSVADFLKRIKKNSPQKGKEITSKNQKKSDGNVKKENDHQKKTDGNVKKENSKAKPRELRSNSTGKKKAEVETDNNNKSSSKRKQTKETVEATGKRSRESGKDNKQPKKRSRR >scaffold_503248.1 pep chromosome:v.1.0:5:19756879:19757282:1 gene:scaffold_503248.1 transcript:scaffold_503248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYYVGFLDSDEPHFLESCSRCRKTLSLNSDIFMYRGDMAFCSQECRQEQIESDETKSKRWRKTSSSRSSSLRKSSDSKDSAAGETVRTKSLVMA >scaffold_503249.1 pep chromosome:v.1.0:5:19759406:19765984:1 gene:scaffold_503249.1 transcript:scaffold_503249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNQSRPDRSENQYRRTGRSTGNHHQQQQQQQHRSSSAAGYGKGAGAPATAPAPSTYADNSSLPSSNRSFKKPGNAQGAGQPRVNLPPVNHPNNHNNGPNAHSRSQGVSGEPVVGGPANPTESFNRNTGPIPKAPTSQSTAMSSKNNETPNTAKASGDASQAFAVQFGSLGPDLMKIPARTSSAPPNMDEQKRAQMQQASLRPAPNVPASVPKKDSSNKGADNQLMRKEGHNPSSEKADIQVPHISPPSQTQKSPITNIRMPSVQTPYQHAQVPHPVHFGGPNMHMQPPVTATSFQMPMPMALSMGNTPQIPPQLFFQGLPSHPSHPMHHQGMMHQAQGHGFATPMGAQIHHQLGHVGVGLSPQYPQQQGGKYGGTRKTTPVKITHPDTHEELRLDRRGEPYSEGESAAFKPHSNPPPRSQPVSSLAPRQVNLVQPSYNSNTMIYPPVSVPLNSGPISSAQAPRYHYPVIDGSQRVQLISQPAHTAPQLIRPAAPAYVSSDSASSVKARNTQNVLSSALPVNAKVSVKPAGASEKLGSPKARSHGEVNISLSQKDVEAGSLSSSQQPKPGFVSGVPNSSAPPEKSSVETAPVATTEIRRAEMVSESISVEDQTCKEEPPHNPTETMPDSLVSDPETKTVAAKENLSLPATNGFRKQLMEVSPTADAPTFESVDTNIDKSMEGSSHASSEISGSSPQEKDLKCDERTVSDRLVERSVISDEKLETMSGVLEKAQNEVDGATEVCPVSEKLAEVTDDTSSDLPHSTHILSSTVPLGHSETLSKHHGIENSGDSLTSAPATLSKDKSAFEINTRRNTSTKGKKKIKEILQKADAAGTTSDLYMAYKGPEEKKESSNVVNDVSNQKLAPAIPQAVEATVDAEPVKNEPEDWEDAADVSTPKLETADNSVNAKRGSSDEVRDSCSNTEKKYSRDFLLKFADLCTALPEGFDVSPDIANALIFAYMGASHHEHDSYPTPGKVMDRQASGARLDRRPSNMAVDDRWTKNQGSLPAGYGGNLGFRPGQGGNLGVLRNPRMQGPIISRPMQPVGPMGGMGRNTPDLERWQRGSNFQQKGLFPSPHTPMQVMHKAERKYEVGTVTDEEQAKQRQLKGILNKLTPQNFEKLFEQVQSVNIDNAVTLSGVISQIFDKALMEPTFCEMYADFCFHLSGALPDFNENGEKITFKRLLLNKCQEEFERGEKEEEEASRVAEEGQVEQTEEEREEKRLKVRRRMLGNIRLIGELYKKRMLTEKIMHACIQKLLGYNQDPHEENIEALCKLMSTIGVMIDHNKAKFQMDGYFEKMKMLSCKQELSSRVRFMLINAIDLRKNKWQERMKVEGPKKIEEVHRDAAQERQTQANRLSRGPSMNSSARRGHMEFSPRGGGGMLSPPSAQMGGYHGPPQGRGFSNQDIRFDERPSYEPRVVPMPQRSVGEEPITLGPQGGLGQGMSIRRPAVVSNTFQSDATQAGGGDSRRPAGGLNVFGSHRPASPVTHGRSSPQERGTAYVHRDFASLSRTSDPSPEVSSARQVLQGPSSTVNSPRENALSEERLHNMSLSAIKEYYSARDENEIGMCMKDMNSPAYHPTMISLWVTDSFERKDKERDLLAKLLVNLVKSADNALTEVQLVKGFESVLTTLEDAVNDAPKAAEFLGRIVGKSVTEKVVTLTEIGRLIREGGEEPGSLIEFGLGGDVLGSVLEMIKTEAGEEALVEIRRSSGLRIEDFKPHAPNRSKILEKFT >scaffold_503250.1 pep chromosome:v.1.0:5:19766457:19767460:1 gene:scaffold_503250.1 transcript:scaffold_503250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L37a [Source:UniProtKB/TrEMBL;Acc:D7LRN1] MAKRTKKVGIVGKYGTRYGASIRKQIKKMEVSQHSKYFCEFCGKYGVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQIEG >scaffold_503261.1 pep chromosome:v.1.0:5:19810517:19814360:-1 gene:scaffold_503261.1 transcript:scaffold_503261.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7LRP3] MSRRVRQRVEEKGKNKVDSPSYPVIGDEDLAPKVQEYADWTSLPYDTVLYLFTRLNYRDRASLASTCKTWRSLGASSCLWSSLDLRAHKFDLSMAASLATRCADLQKIRFRGVDSADAIIHLKARTLLEISGDYCRKITDATLSMIAARHEALESLQLGPDFCEKITSDAIRVIAFCCPKLKKLRVSGMRDVSSEAIESLAKHCPQLSDLGFLDCLNINEEALGKVVSLRYLSVAGTSNIKWKVALDNWEKLPKLTGLDVSRTTIDHIAVSRLLKSSQSLKVLCALNCPYLEEDKSYSSNRFKGKVLLAIFTDTFDELASIFADNSKKPKDMFSYWRDLIRKDKSTDEIMLWIEWIISHTLLRIAESSNSQGLNDFWLNQGATLLLSLMQSAQEDVQERAATGLATFIVVDDENASIDCGRAEAVMRDGGIRLLLELAKSWREGLQSEAAKAIANLSVNAKVAKAVAEEGGISVLADLAKSMNRLVAEEAAGGLWNLSVGEEHKNAIAQAGGVNALVDLIFRWPNGCDGVLERAAGALANLAADDKCSTEVARAGGVHALVMLARNCKYEGAQEQAARALANLAAHGDSNDNNAAVGQEAGALEGLVQLTQSPHEGVKQEAAGALWNLAFDDKNRESIAASGGVEALVALAKSCSNASTGLQERAAGALWGLSVSEANSIAIGHGGGIPPLITLALSEAEDVHETAAGALWNLAFNPGNALRIVEEGGVVALVHLCSSSVSKMARFMAALALAYMFDGRMDEYAMIGTSSESTSKSVALNSARTLALEHIKAFISTFMEHQIFSAGALSSAPSMLAQVSEKARIPEAGHLRCSGSEIGRFVTMLRNPCLTLRSCAAFALLQFTIPGGRHAMHHASLMQNAGEARVLRSAAAAAKMPREAKIFVKIVLRNLEHQQAESSKGKKVSYNRI >scaffold_503264.1 pep chromosome:v.1.0:5:19824439:19826705:-1 gene:scaffold_503264.1 transcript:scaffold_503264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNPYTKRRSPPNVVVPPSTQPRSKSTGGGFFCKSVLFALFLFALPLFPSQAPDFVGETVLTKFWELIHLLFVGIAVAYGLFSRRNVESGVDLRMNRVDESSLSYVSRIFQVSSVFDEEFDDNSCEFVDVRSESVSARASVVGKSESFVVESELEESSEFGETNEVRAWNSQYFQGKSKVVVTRPAYGLDGHVLHQPLGLPIRSLRSALRDNAAPQDTSFTDNCDGAVNGEADSLLADEVLADPASPVPWQSRPEMMGMGDNYPSNFQPLSVDETQFATLKSTSSRSNVSSSSQTSVASHIQNRFSPSRSVSAESLNSNVEELVKEKSLQGSSRSSSPSLSPSPSLSPTPPSPELVIEDTHRRLPELLTDDTHRRASHSRHYSDGSLLEEDVRRGFENELEGSKVRGRKTESFSKKERGSKSLNLAAESSRRGNKSRRSYPPESISSSISGADDSTTRRRDIQQKSDVHLLEANIRKGQEADHNNLRVKKGRSQDSLELTAEDSAKDKKFSESFPALDVVFQPTNTKASRRAMHSSQGGPDTFPEKAVTRNLEDDSEDYNKSRKKDLPGNDKEVKSNSPRLEPRSWRASSNVSSRAKSVRTIRSDRHGKGLKTDGDSSEDRTEAKVESRGSTKSRRQRQEELSIILHQEKSLETRAKSEPEEVAMEEPEAEQQPQVTFEEEEEGAWESQSNASHDHNEVDRKAGEFIAKFREQIRLQKLISGEQPRGGGTGIFRNSQFR >scaffold_503266.1 pep chromosome:v.1.0:5:19839254:19840982:1 gene:scaffold_503266.1 transcript:scaffold_503266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVRLKFASIFHNFSTVAAKHRRVPSKYKSLAIGKAQQAITDYLHTTRSLSYTHAEQIASNASVSIRNLILKLDFSVPTFSKSLRKHLSYHPINEFEFFFESIGIDYSEVCEFLPEKKFFFSEDRTVLDAACALSGFGFPWNKLGKLYKEERLVFVQSPGEIDSRLLKFKDLGFSTVAVIGTCLAFPHALCGGGELGSEILCLFVKLKRLFDEFDSQHLCEENVDSWLAVSRKIRVFYDLGCENEEMWELMGRNKSLFLEYSEEALVKKAEYFCRFGVRKEDVALLILRNPAIMNFDLEKPVISVTGMLKHFGLRQDEVDAVAQKYPYVLGRNKLKNLPYVLRAIDLHERIVDILKNGSHHLLASYSVMDPYEDLDREYQEGLEELQNSRTKTHNIQKLDFLHEIGFGENGMTMKVLQHVHGTAVELQDRFQILLDSGIIFSKICLLIRSAPKILNQKPHSIQDKLRFLCGEMGDSLDYLDVFPAYLCFDLENRISPRFRFHKWLVEKGLSEKSYSIASIVATSEKAFIARLYGIHPAIPKHWFERFANRKTRATVILN >scaffold_503268.1 pep chromosome:v.1.0:5:19845518:19846810:1 gene:scaffold_503268.1 transcript:scaffold_503268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAKSNIQGYQNVIVMRHGDRLDNFEPLWTSTAARPWDPPLAQDGKNRAFRNGQRLRSQVGFPIHRVFVSPFLRCIQTASEVVAALSAVDFDPNAVSSRDVLSIDNTKIKVAIEFGLSEIPNPIFIKSEVAPKDGKFDFKISDLEAMFPEGTVDSNVDMIYKEVPEWGESAQAFEDRYYKTVKILAEKYPSENLLFVTHWGAVSVAFYNYFKDATKYVVDYCGSVEMRRQILNGDGFGKFEVVTSHGVSYKYTKIPVHDHVLVSQSPVEPVCV >scaffold_503269.1 pep chromosome:v.1.0:5:19847941:19849449:-1 gene:scaffold_503269.1 transcript:scaffold_503269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSISSIGATVSILIYFSLPYSITAGENNLHQSPAARSRRPMVFPLFLSQPNSSSRSISIPHRKLHKSDSKSLPHSRMRLYDDLLINGYYTTRLWIGTPPQMFALIVDSGSTVTYVPCSDCEQCGKHQVMLSSPKDQILCLVSCKVQIFKISYGLFDEDPKFQPELSSTYQPVKCNMDCNCDDDKEQCVYEREYAEHSSSKGVLGEDLISFGNESHLTPQRAVFGCKTVETGDLYSQRADGIIGLGQGDLSLVGQLVDKGLISNSFGLCYGGLDVGGGSMIVGGFDYPSDMIFTDSDPDRREVSPLKQIDGPNPNFKDTCFLVAASNDVSELSKIFPAVEMIFKSGQSWLLSPGNYMFRV >scaffold_503270.1 pep chromosome:v.1.0:5:19850287:19853215:1 gene:scaffold_503270.1 transcript:scaffold_503270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRWMMYCWNCSVNSNLLTRCSQACDFRNEMFSQCFKSFSSLVLVNYARSAKDDEKVFKQPFATAQKECVDSYFFVFQTFSEAYRNTSVQLPKLMNSATHCFIPASLAEKYNGIFKEGIILQIQGFEVRPCTKHNKITDHPFVIKFNNETTLIVEKESWLKIAKEKFRVHNHAHLVGFANTNLALPDVVGKIISIQGSNLCDPTSTNQIVVNLWLQPNVIVSLSLWAKTASRLRAILSGPISLTSVVLVTAVNPTILDGTTIFPNQKINP >scaffold_503277.1 pep chromosome:v.1.0:5:19882233:19882789:-1 gene:scaffold_503277.1 transcript:scaffold_503277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERNEAGTGAGESSLLDGSDHWWWALGSGAQIMWGVRLIRRGYAGDVRLMPLKAFGVASLFVGSLATSSVAIVRATGIHTVQDAIDLGANIRTNLGVTPQIPDKQITERETDDLS >scaffold_503281.1 pep chromosome:v.1.0:5:19893067:19894599:-1 gene:scaffold_503281.1 transcript:scaffold_503281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCATHLNTRVKLMIKMLNLNDAAELSSYAIFTKRRQNVTTEICEAIIGAMCKAKRYNDALNLFHYFFNDYNLKPNISFCNHIIGALCEQNRLQEAFALYGHLLENVPFLRPNEDTYQLLAKGLVDKCSVSGDYNEIAVVKATIMEFWFEQGNDKEAIECYRTLAPKNFRMNAKTGNTLLQLFLKYGKKTEAWTLFNQMLERRNAQPSGFDSETCNIMVNECFKLGKISEAIKTFDKVKTVEDDESQLCYGNILARFCEQGMLPEAETYFAEMSSKQYLIPNIPSYRTMIDAYCKAARFDDAFRVLDRAVEANLKCVATFSCLLYC >scaffold_503284.1 pep chromosome:v.1.0:5:19910519:19911285:-1 gene:scaffold_503284.1 transcript:scaffold_503284.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7LRR6] MVDLERRVCCMCGDVGFFDKLFHCSKCLNRFQHSYCSSYYKEQADPIKICDWCQCEAKSRTGAKHGANGGSSKRSYRSEYSSAHHQIKQQEIHQTTSSSIPPAAEKGKSGVPSPRPATRRYKLLKDVMC >scaffold_503286.1 pep chromosome:v.1.0:5:19925100:19925382:-1 gene:scaffold_503286.1 transcript:scaffold_503286.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec61 subunit beta [Source:UniProtKB/TrEMBL;Acc:D7LRR8] MVGGGAPQRGSAAATASMRRRKPGGSAGGGAAGTMLQFYTDDAPGLKISPNVVLIMSIGFIAFVAVLHVMGKLYFVKSK >scaffold_503287.1 pep chromosome:v.1.0:5:19927500:19927786:1 gene:scaffold_503287.1 transcript:scaffold_503287.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LRR9] MAASPTFLHEKPLSKQTLDDLDGAFCNFFRGRHVITLPSSQKTCHVIYSFKAFRSSTFCYGIGHSPSITLRNCGNAESHLL >scaffold_503288.1 pep chromosome:v.1.0:5:19930360:19931366:1 gene:scaffold_503288.1 transcript:scaffold_503288.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LRS0] MAYCTFSNSALWFHPSTATSQETLLKPLLNLRLWIMPEPPNPLHPPEPPDPPDPPDLSQSPSTSLFPCISLLSPLSSASFLSLSEISCSPSSSTVVCPAGQPCVSGDVLLHRSAKRDSKTMEMGPSLSSSLVGLVSSVLFVGLFLSPIDSNLVVCLTKASFGNLPGVSTDVPSSAMERPSSVMERPSSAMERSFSHISSFLEKSCPSSFSFEKRPISSILSDMESVSKSSALMAFRAQVVHSPLLATEPASSSDILQDRVLTIDFKPIILEAPAMEFPIIFHGSNLLSFRFQALFSIVSHFQALDKTSLWQRVSNFVCLL >scaffold_503291.1 pep chromosome:v.1.0:5:19949836:19950775:1 gene:scaffold_503291.1 transcript:scaffold_503291.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LRS3] MESYKCRVCFKSFVNGRALGGHMRSHMPSLHVDEDEEQRPSQLSDETESDVSSSSSEEKRNGLRENDPKFSVLLEDGESETESSRNVINLTRKRSKRTRKLDSFVAKKVKTSQLGYKPESDHEPPHSSASDTTTEEDLAFCLMMLSRDKWKKNKSNKEVVEEIETEEESEGYNKINRITTKGRYKCETCGKVFKSYQALGGHRASHKKNRVSNKTEQRSETEYDNVVVVAEKRIHECPICLRVFASGQALGGHKRSHGIGNLSVNQHHQVHRNESVKQRMIDLNLPAPTEEDDVSVVFQ >scaffold_503294.1 pep chromosome:v.1.0:5:19956311:19956822:1 gene:scaffold_503294.1 transcript:scaffold_503294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVPRLINWASWASVLDGPWAKKIGLLQLLLFGSQSGLLTSLQPQLPFLSSAVILFPYFILLAVQTLTEILTWYWQSPVWLVTPVVYEAYRILQLMKGLTLSAEVNAPVWVVHMLRGLVSWWVLILGMQLMRVAWFAGFASRTTTGQQPQSVASK >scaffold_503295.1 pep chromosome:v.1.0:5:19957273:19957618:-1 gene:scaffold_503295.1 transcript:scaffold_503295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFTFMITLILSYCGKEKEWGVVAGLNLNGYDSKNLTIVDCNGKLAFLWHEPEKMEIWCTMIALRRRGVVGVQGRVEWSNCLLSDVPPDYKMIHCLGGTD >scaffold_503299.1 pep chromosome:v.1.0:5:19986790:19987604:1 gene:scaffold_503299.1 transcript:scaffold_503299.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:D7LRT0] MSTGSFKQDHDFEKRKAEALRIRDKYPDRVPVIVEKSEKSDIPNIDKKKYLVPADLTVGQFVYVIRKRIQLSSEKAIFIFVDNVLPPTGNDSS >scaffold_503304.1 pep chromosome:v.1.0:5:20005998:20007523:1 gene:scaffold_503304.1 transcript:scaffold_503304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVETSAITAAAAPPPQFSQMFQKLAMAVKTKTYEFFTEDDNDERTTDAEGFSLLDSSEDFITDQKVVVLKPDRPLLTSSSSSSPVNDALTRRNLATVSVNKPNQVRKLDTQMGLSLISSVFATASSFEASYLQLQAAHAPFVEYNVKAADRALVSNLQKLSDLKQFYRNYRQSSDFESDLAIGSCLESRVQENQSKLRALETVSNRLQAEMDAKDLQVWSLRNKLGEIQKSNSKLSKRLSSNSSLDVLLSVRVYESLLHDAFKATQKFTKILIELMEKAGWDLELAAKSVHPEVDYAKKGHNRYALLSYVCLGMFRGFDGEGFDLNENDDEEFQRDSSLRELMQHVSSNPMELLDRDKDCAFSRFCDKKYHELIHPNMASSIFSNMDENEAVLSSWRSLSTFYESFVTMASSIWTLHKLALSFDPAVEIFQVESGVDFSIVFMENVLKRKQDKKFSMNPTRAKVGFTVVPGFKIGCTVIQSQVYLNGGFKCK >scaffold_503309.1 pep chromosome:v.1.0:5:20028781:20034751:-1 gene:scaffold_503309.1 transcript:scaffold_503309.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin folding cofactor D [Source:UniProtKB/TrEMBL;Acc:D7LRU0] MASRAEERSPAKIKIETAVKMEEEEDDEHDSKERVLQRYFLQEWKLVKSLLDDIVSNGRVVNPTSVQKIRSIMDKYQEQGQLVEPYLESIVSPLMFIIRSKTVDLEARPDEILEIIKPISIIIYALVTVCGYKAVIKFFPHQVSDLELAVLLLEKCHSTNSVSALRQESTGEMEAKCVTLLWLSILVLVPFDISSVDTSIADDKTFGVDDLAPLVLKILGFCKDYLCSAGPMRRISGLLLSKLLTRPDMGKAFSSFFEWTHEVLSCKEDSVTNHFRLLGVMEALSAIFKTASRKVLLDVLPIVLNDVTVLSKSNAAAKSSLLRKYLIKLTQRIGLVCLPHRSPSWRYVAQTVSLSENMSTSSSQRLPPDHTVTAILQPESLDDQEDEDMDVPEILEEIIEMLLAGLRDTDTVVRWSAAKGIGRVTSRLTSVLSEEVLSSVLELFSPGEGDGSWHGGCLALAELARRGLLLPRSFPLVVPVIVKALHYDVRRGPHSVGSHVRDAAAYVCWAFGRAYSHKDMKNVLDQLAPDLLIVGSFDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNIADYFSLSSRMNSYLQVAVFIAQYEGYLHPFVDELLYNKICHWDKSLRELAAEALAALVKYEPKHFANYVLEKLIPCTLSTDLCMRHGATLAAGEVVLALHQCGYVLSADSQKRMAGIVPSIEKARLYRGKGGEIMRLAVSRFIECISLSHVTLAERTEGILLDTLTENLRHPNSQIQNAAVSAVKQLVQSYLVGNDKKSVNLISKHLKHLTDPNVAVRRGSALALGVLPYELLTAKWKDIVLKLCSACKIEVNPEDRDAEARVNAVKGLTSVCETLTQKRAPDPENDDLSLFLLIKTEVMDTLLKALDDYSVDNRGDVGSWVREAAVHGLEKCTYILCKKIGINSEGDHNDDTSSLFDSNLATRLIGGIVKQGVEKMDKLRETAAKVLQRILYHKSVSVPHIPHREKLEEILPNKASLQWAVPAFSFPRFVQLLKLRCYSKEVMSGLVISIGGLQDSLRKASLVALLEYMREGEAKDPKEQQSRESALGDDILWILQEYKKCDRVMVPCLQTIEILFSSKIFLNLESYTLSFYAGAMDSLAIELRASKDFTKLKAGLAILGYIASVSHPISTKAFSQLLSFLGHRYPMIRKAAAEQVYLALLQNGILVTEEKMEKVIEIISESCWEADMETTKSQRLELCELAGLDHEVVFKTRNRLATRDIAGNETTASDENASYSSLVDSSGF >scaffold_503311.1 pep chromosome:v.1.0:5:20039141:20040518:-1 gene:scaffold_503311.1 transcript:scaffold_503311.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S13 [Source:UniProtKB/TrEMBL;Acc:D7LRU2] MGRMHSKGKGISASALPYKRSSPSWLKTTPQDVDESICKFAKKGLTPSQIGVILRDSHGIPQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >scaffold_503314.1 pep chromosome:v.1.0:5:20046299:20048183:-1 gene:scaffold_503314.1 transcript:scaffold_503314.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LRU5] MHRSGTTMAWNVFKFCTALRGLGSIMILLVLGVVGVTYYAVVLTNYGPALSQGGLDSLAALTILILFHFLLAMLLWSYFSVVFTDPGVVPPNWRPSTDEERGESDPLNSLEFVGLQADSSSNPRVRFCRKCNQLKPSRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTMVLMPHFIAFFSDEEIPGTPGTLATTFLAFVLNLAFALSVMGFLIMHISLVAGNTTTIEAYEKKTSTKWRYDLGKKKNFEQVFGMDKRYWLIPGYTEEDLRRMPELQGLEYPSKPDFDSQ >scaffold_503316.1 pep chromosome:v.1.0:5:20051055:20052906:-1 gene:scaffold_503316.1 transcript:scaffold_503316.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:D7LRU7] MTKQHANWSPYDNNGGTCVAIAGSDYCVIAADTRMSTGYSILSRDYSKIHKLADRAVLSSSGFQADVKALQKVLKSRHLIYQHQHNKQMSCPAMAQLLSNTLYFKRFFPYYAFNVLGGLDEEGKGCVFTYDAVGSYERVGYGAQGSGSTLIMPFLDNQLKSPSPLLLPKQDSNTPLSEAEAVDLVKTVFASATERDIYTGDKLEIMILKADGIKTELMDLRKD >scaffold_503328.1 pep chromosome:v.1.0:5:20100288:20100548:-1 gene:scaffold_503328.1 transcript:scaffold_503328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGDRDFSVALHQLRCCGFNILFGCPEGSTSNALVLAATMVWSWNSLIWRQKPFTKSEIEDLIAANLKK >scaffold_503350.1 pep chromosome:v.1.0:5:20159353:20159581:-1 gene:scaffold_503350.1 transcript:scaffold_503350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKETKAEGEKVCHHNLITPTEGCEASTCDAMCASRFKGGVGGCSKTNKFTCTCMFICKQ >scaffold_503361.1 pep chromosome:v.1.0:5:20197122:20198034:1 gene:scaffold_503361.1 transcript:scaffold_503361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNNKGFNQKPRAIYHLNLSSKSKLATVIFIIIFTLIFGTWMDLFVIGRIQMMTTSILTTTNTIIKIPLNCNAKQTCPSNYPSRFEPAISSSETCPDYFRWIQQDLKAWEETGITRETLERAKPKAHFRLVIKSGRLYVHQYDKAYESRDVLTIWGILQLLRMYPGQVPDLELLFFCHDKPAIWKRDFRQPQPNATWPPPPLFQYCGHREAYGIVFPDWSFWGCFICF >scaffold_503364.1 pep chromosome:v.1.0:5:20206101:20207655:1 gene:scaffold_503364.1 transcript:scaffold_503364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSMNLSVSSNFAHRSLLESRFPIFSTGFRKSVNLKPPRVSSGPESGDSGHETLTEKLILLLRAVPDWADEIKERGMQQKRSLYTHEKWVEHRSSLRHVRHLLSSFSSRVILSLIPPVFFFTSVAVVIASYNSAVALDWLPGIFPILRSSSLPYQLTAPALALLLVFRTEASYSRYEEGRKAWVGIIAGTNDLARQVICSVDSSGDELIIKDLLLRYIAAFPVALKCHVIYGSDIARDLRNLIEADDLSLILQAKHRPRCVIEFISQSIQLLKLDDAKRDLLESKMLHLHEGIGVCEQLMGIPIPLSYTRLTSRFLVFWHLTLPIILWDECHWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDELCDLVHSNIQEAAKSEKVIRNRIIAKIKLREFKHSSNGRHRS >scaffold_503365.1 pep chromosome:v.1.0:5:20208143:20211388:-1 gene:scaffold_503365.1 transcript:scaffold_503365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRKKRSCSNPKKEEVVKSEPIPFDLVIEILLRLPAKSIARFRYVSKLWQSTLRGPHFTESFLTLSSSRPKILFTCLKDGETFFFSSPHTQDLSPISANIHMSFPVNCPSNICRPVHGWVCGSHQRTTKGTTVTVPLICNPSTGESLALCKVKTRRKGVISFLGFDPIDKKFKVLCMTRAYVGRADSEEHQVLTLETGKKPSRKMIECDILHYPTVVEHTNGFSQYDGVCINGVLYYLAIVHGVSDHRYPDVVCFEFRSDKFNYIKKVAGPGMEMYLRGQLDSTLVNYKGKLAKLQPNMSNNGVCTGIQLWVLEDAEKHEWSSHIYVLPPPWRNVYEETKLCFVGTTRKGEIVLSPNTISNFFYLLYYNPERNTITIVKIKGLETFKSHKAYTFVDHLEDVKLVSSFQDHDCLLSLARFRCVSKLCGSTLTNQDFTESFYTIYSSRPKLLFTVLKDRKTFFFSSSNPQGASRLAVKIHMSFPINRPYENFRPVCGLKQHTSKRGTINVPLICNPSTGETLALPNLNTKRKRGVTSYLGYDPIDKQFKVLCMSHTRNRRYFRSDEVEVLTLGTGTHPSWRMIPCNIPHELVVVDRTSNHSAYDGICVNGVLYYLKMPKHTNGRVISIFGLRLQASSLEDNSCKKEIYVGTSDTGEIVLSHDIITDHFYLSYYNPESNTLTRVRIQGMEAFKERKVYIFLDHVEDLRQVARIIGS >scaffold_503367.1 pep chromosome:v.1.0:5:20213497:20215033:-1 gene:scaffold_503367.1 transcript:scaffold_503367.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LS86] MLKKTSSDSYRRLLAPVLSSSSSLSSTSRNRTEIELITRIINDHPFPNHPIQPIFTKHIPLSSLSPEFVSEVLGSLFAAHSNGLKALEFFKYSLKSSNSSPTSDSFEKTLHILARMRYFDQAWALMAEIRKDYPDLLSFKSMSIILCKIAKFGSYEETLEAFVKMEKEIFRKKFGVDEFNILLRAFCTEREMKEARSIFEKLHSRFKPDVKTMNILLLGFKEAGDITATELFYHEMVKRGFKPNSVTYGIRIDGFCKKRNFGEALRLFEDMDRQDIYITVQILTTLIHGSGVVRNKIKARQLFDEIPKRGLTPDCGAYNALMSSLMKCGDVSDAIKVMKEMEEKEIEPDSVTFHSMFIGMMKSKEFGFSGVCEYYQKMKERSLVPKTPTVVMLMKLFCQNGEVNLGLDLWKYMLEKGYCPHGHALELLTTALCARRRANDAFECSRQTVERGRCVSEPVYRMLETSLSSNNELKKLEELKGKIQKLHSFLPPPGTQLI >scaffold_503369.1 pep chromosome:v.1.0:5:20218605:20220929:-1 gene:scaffold_503369.1 transcript:scaffold_503369.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:D7LS88] MAPSVPMVPLTLIEGADSKGAVCLDGTLPGYHLDRGFGSGANSWPIHLEGGGWCNNHRSCVYRKTSPRGSSKFMEKALPFTGILSNKPEENPDFFNWNRIKLRYCDGASFSGDSQDESSQIFYRGQRIWQVAMEEFLSLGMKQANQALLSGCSAGGLASILHCDEYRELLPSSRKVKCLSDAGMFLDAVDVSGGHSLRNMFQGVVTVQNLQKDFSSTCTNHLDPTSCFFPQNLVSDIKTPMFLLNTAYDSWQIQQSLAPPTADPDQFFQQFRTQMVLAVNAFSNSDQTGGLYINSCFAHSQTERHDTWFAQDSPRLNGKITLLFQNCSYGFTNGRNTDTRVPLSIVLLRIS >scaffold_503370.1 pep chromosome:v.1.0:5:20223052:20225374:1 gene:scaffold_503370.1 transcript:scaffold_503370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQICRLNLLGRRFFAAMPSRPKEIMSNPDCRPAQLCLRVTYLIRFVGDLDTAAKYARLAVFSSIKSEATAATCQAIIGGMLQNKRHDDAYDLYDFFFNHFKLRPNSHCCNYIIESRFKQGLVDEALGFHHRSIESGMVHDYPSQDTFRVLTKWLVHAGRMDQAEALLRDRTVGRTTYPDHVAYKNLIRGFLDLGDLDKADLVLAEFKRLFSIALSETKDDSNYENRVAFLMATFMEYWFKQGKEVEAMECYNLCVIANKLPVCTETGNALLNVLLKYGEKKHAWALYHELLDKGRTDPDTIKIMIDECFDMGRFSEAWETYTKASAKNHFLSDRYNITKCCRHGSQSFFFAVFSANHLITRFCQNGMLSEAQLVFYDSLEDDLGYIDVNIFKTLIDAFVKDGFPDDAIKISNKMIDSTLKECRPSDDPLDQKIQAKLDAGRRKYARSVAESAGSSMNAGDDSEDDDESESKSQAFGKKKKNTSTPH >scaffold_503373.1 pep chromosome:v.1.0:5:20236714:20237983:1 gene:scaffold_503373.1 transcript:scaffold_503373.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LS92] MSLLRRIVRNSTVSKAVLVAKAFKPYPLGRDPSSLPKLDTVSIRDIDDRPISLRYRVTAMIEMSILDEASKLSRLAVLDKFRVDGDTVFICNSVIGAMCSAKRYDDAISLFNYFFNESQTLPNVLSCNLIMKAHCDQGHVDDALELYRHILLDGRLVPGIETYMILTKALVDAKRFDEACDLAGSMSSCSFMVYDILIRGFLDIGNFVKASQIFKELEGLDRKFPWREYHKAFAIFNVSFVNYFFKQGKDEEAMEILATLEDAQVLKPIVGNRVLKVLVEHGKKTEAWELFEEMIKICDSETIDIMSEYFIENTVPFERLRTTCYRTMIASLCKHGRVLEAEKYFAEMFTDAEIFTDVDGEDLLVGPDLSTFRAMINGYVKVGAVNDAIKTLNKLRISNIRKLAIHRAP >scaffold_503383.1 pep chromosome:v.1.0:5:20275795:20276710:1 gene:scaffold_503383.1 transcript:scaffold_503383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLSSKGCVCYLLTAQLHHTSYFLCKSGSDAIKISREVDPKGRGYKLRYPWVDVVNWSQADINISVDMIAARRDFPRECLRQKTLTLPHKFQALLPLNSIFK >scaffold_503384.1 pep chromosome:v.1.0:5:20278232:20278422:-1 gene:scaffold_503384.1 transcript:scaffold_503384.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSA3] MVPFAKLGSLRVAGQNVVFSSASDAQDGRNFTVKLYIFVPFLYLISHHL >scaffold_503393.1 pep chromosome:v.1.0:5:20309702:20311686:-1 gene:scaffold_503393.1 transcript:scaffold_503393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNKDNDLKKKSEGNVSIEDRASKLMAEGDTLFKNKDWAGAIKIYEKGLHCLTKGHRYRAMFHDRLSYCLMHIEPINYKMIASQCSKALHIKPDDSRPLLRRAQAYEALGKISMALADLNKLLKANPTLEKAKDMWYRLSMIQELKKKRAIEFKALEEEWEKEASVDKEALEEVREKEGSVSSVDLAIEKWDEEWEKGSSVSDEMEELNKTGSASNEVKELEIMELSFEKLFSRLFGKEEIRILMAGLDATGKTMIMDKLKHGEIMITTTATLDCNVETVEYKNINFSVWDVWGEDKRHYFHHTHGLIFVVDCNDGDSIVEAKDELHLMMKKGELRDAVLLVFANNQALPNAMNTDDITDKLELHSLHQQVWCIHETCASSGYGLYKGLDCLSKNIAYKKDFSDAELIIDTDLLEYFFGEKILCEFGARQREQGSRLLTTSTLYGMER >scaffold_503399.1 pep chromosome:v.1.0:5:20326649:20327331:1 gene:scaffold_503399.1 transcript:scaffold_503399.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LSB2] MSTAAAVFPPPPPVPIPTYITSLGLGYSIAIALGFLVLISTIILSSYICCRASRLRFSASAATANANASFRDRSVIVPRIIFVAEDDDLESGNVVVGGLDHSIINSYPKFHFTKDITAVVDGDGFHDGERGDTTCSICLCEYMEEEMLRMMPECKHYFHVYCLDAWLKLNGSCPVCRNSPLPTPQSTPQSTPLSEVVPLSQYAADRRRSRR >scaffold_503401.1 pep chromosome:v.1.0:5:20329869:20333928:-1 gene:scaffold_503401.1 transcript:scaffold_503401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSIENFKENLHKIALDVHEDDDEEDDLQSYGYANGVSESDRRKSSGFRSSRSAISNGIESPAHHEIERYKAEIKKLQESEADIKALSVNYAALLREKEDQISRLNQDNGSLKQYLTSTSAALKEARTDISRGSNNYAIKGNNDQSPNNRLHKSVSYLKSPNHMSNGKGKDTDSYIKEKDLADTLEDRTKSMAAVQAMELAKEREKLRDLQLSLQEERKRSESFKEELESMRLDKNKTFMEISKMRSELDAKLLEIKHLQMKLNGRESHAIGNAMEHLKEVNKALENENNELKLKRSELEAALEESRKPTSSKVFPDATETRTRHPSTLDKEKPESFPGKEEMEQSLQRLEMDLKETRRERDKARQELKRLKQHLLEKETEESEKMDEDSRLIEELRQTNEYQRSQISQFEKSLKQAIANQEDNRLSNDNQIRKLKETVEDLNQKLTNCLRTIESKNVEILNLQTALGQYYAEIEAKEHFERELMMAKDELMKLSARLKDSDERLESSNKEKEDVTSKLLHAEKVAAEWKNRVSKVEEDNAKVRRVLEQSMTRLNRMSMESDYLVDRRIVIKLLVTYFQKNHNKEVLDLMVRMLGFSEEDKERIGAAQGGKGVVRGVLGFPGRFVGGILGGKSAESHANAASDNQSFADLWVDFLLKDAEERERREAEEAAANKAKQDSERTRQDAALYDSEFSTVPLRSSENNQRLSR >scaffold_503402.1 pep chromosome:v.1.0:5:20334250:20334668:-1 gene:scaffold_503402.1 transcript:scaffold_503402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEDDVLRMRQERLMEMSEKLLNLMDYAESQVERFKVILTSRDDPVASFCAVVVCLVVCLVPLQIWFYVLLLSWPISQFLRSDLPSKINNFFKRLPPTNEELDLPSKINNYFTRLPPTNEELMS >scaffold_503403.1 pep chromosome:v.1.0:5:20336813:20338202:1 gene:scaffold_503403.1 transcript:scaffold_503403.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-8 sphingolipid desaturase [Source:UniProtKB/TrEMBL;Acc:D7LSB6] MAEETEKKYITNEELRKHNKSGDLWIAIQGKVYNVSDWIKTHPGGDTVILNLVGQDVTDAFIAFHPGTAWHHLDNLFTGYHIRDFQVSEVSRDYRRMAAEFRKLGLFENKGHVTLYTLAFVAAMFVGVLYGVLACTSVFAHQIAAALLGLLWIQSAYIGHDSGHYVVMSNKSYNRFAQLLSGNCLTGISIAWWKWTHNAHHLACNSLDYDPDLQHIPVFAVSTKFFNSLTSRFYDRKLTFDPVARFLISYQHFTYYPVMCFGRINLFIQTFILLFSKRKVPDRALNFAGILVFWTWFPLLVSCLPNWPERFFFVFTSFTVTALQHIQFTLNHFAADVYVGPPTGSDWFEKQAAGTIDISCRSYMDWFFGGLQFQLEHHLFPRLPRCHLRKVSPVVQELCKKHNLPYRSMSWFEANVLTINTLKTAAYQARDAANPVVKNLVWEALNTHG >scaffold_503404.1 pep chromosome:v.1.0:5:20342041:20342312:-1 gene:scaffold_503404.1 transcript:scaffold_503404.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LSB7] MARRTSNEAIENVKNAGNGIAVSKAGYTGRRDGMARWDGEMGRQNRTTSGSISRQGKPDGQNHRSKSLSLKGLER >scaffold_503405.1 pep chromosome:v.1.0:5:20342342:20342568:-1 gene:scaffold_503405.1 transcript:scaffold_503405.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSB8] MIIEDERSVGAKDVDGGLNVTVFKRVSDPANDQSGVIRRRGNRRTGRRSMKEVAGKQSTTR >scaffold_503411.1 pep chromosome:v.1.0:5:20366209:20366563:-1 gene:scaffold_503411.1 transcript:scaffold_503411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAVIAFFSFVLAVISPFAGAQSLAPAPSPASDGTSIDQGIAYLLMVVALVLTYLIHPLDASFF >scaffold_503412.1 pep chromosome:v.1.0:5:20369310:20371796:-1 gene:scaffold_503412.1 transcript:scaffold_503412.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin gamma chain [Source:UniProtKB/TrEMBL;Acc:D7LSC6] MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKDGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNGDYRNLYNHENIFVADHGGGAGNNWASGYHQGKGVEEEIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALGRIAVERLHLTNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARNKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSKKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYDKLRKKQAFLDNYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPEQLMTGEGNASGVVDPKLAF >scaffold_503416.1 pep chromosome:v.1.0:5:20379457:20383550:-1 gene:scaffold_503416.1 transcript:scaffold_503416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVGFEQKPVFDLALLYNLKRCKIDSEIEYGSKKGEIMVYKKRQRATVDQPCSREPEVHTSSSSSLTSKESQQVCSDHSKSSRGRVRAVPSRFKDSIVGSWKSSRRKEESTDSSHDDDVNLGKKVKGFSGSPKLHRSKDSKLFPHKDNGDSSEVDCDYWDVKISMLSSSDDANSGMPKKSDVYKPAWPAMVVDPISQAPDGVLKHCVPGAICVMFFGYSKNGTQRDYAWVRQGMVYPFTEFMDKFQDKTNLYNYKPSEFKKALDEAVLAENGVEGNCGDAEISCPDSSATESDQDYGPASRIQARSQPEELLCKHCSKELNPNWLFQLRKSNQYCGICKRMWHPSDDGDWVCCDGCDVWVHAGCDNISNKHFKELEHNNYYCPNCKVQHELAPSILEEQNSVFKSTKKATETELRDEVTVVCNGMEGTYIRKFHAIECKWGSCGSRKQSPSEWERHTGCRAKKCKSERHNATSRKMETQMLDKQKMLSLLEVLSGRERCAVCRWVEDWEENKMIICNRCQVAVHQECYGVSKSQDLTSWVCRACETPDIERDCCLCPVKGITAELALFMIFFPLSCISNYLFLTVLSQGQVKYTRSQAPTAVLKHCVPGAICVMFFGYLKNGTQSDYARVRQGMMYPFTEFMDK >scaffold_503418.1 pep chromosome:v.1.0:5:20392168:20394871:-1 gene:scaffold_503418.1 transcript:scaffold_503418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSCHVVLGGSRLRGRRCLRTALNPASFLRWLLMVGSPSRRAMWNSTENLSVGGRSPHIRAYRNLIGGFRSVSNGIDEIEPGEWLIETKSDLKEARTDISRGSSNIINAIKKIEANQWQLFKLRSLQKNTFWKRSESFKEELESLRLDKNKTSMEISQMRSELDAKLLEFKHLQMKLNGRDSHGTSKRRKTTSLSELEAALEESRKPTSSKVLPDKPEIFPGKKEMEQSLQRLETYLKETRRERDKARQELIRLKQHLLEKSFADLWVDFLLKDAEERERREEGEAAATKAKQDSEKTRQDAALSDSEFSTVPLRSFDSNQRLSRPLP >scaffold_503419.1 pep chromosome:v.1.0:5:20395009:20397081:1 gene:scaffold_503419.1 transcript:scaffold_503419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTNKSLKELITLLNTILEVVLPNADVVKTASRARKSAAGYDLTRLMIGSEGTLGVITEITLRLQKIAQHSVVSDDYDLLGYVFHSLDDEPRDLPGLISDKSQDMQHVHSHFASLSKGELSSDSLTAKPLAQAQDSPLQDNFVDYSSINHDRSGAGSRSSRSEHDKVTLSKTTAMRQNSSIKEVSLASEMEVNFNDYSHRNSGVSKDQQQRAKKSGFASIVKKSFKDLTKSIQNDEGNKSKVSINGHPLTERLLRKAEKQAGVIQPGNYWYDYRAGFWGVMGGPGLGILPVNTF >scaffold_503420.1 pep chromosome:v.1.0:5:20397546:20397775:1 gene:scaffold_503420.1 transcript:scaffold_503420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSD3] MSLLLSRLLKTPALVVSFSSLLSNGYVFFNTPSNVFYCYYAEKPHRSFFLNFLFEKGFQNYD >scaffold_503429.1 pep chromosome:v.1.0:5:20438464:20438721:1 gene:scaffold_503429.1 transcript:scaffold_503429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRGYSSSDTPVESIWFYSEMERRGIKPNKLTFPFLLKACASFLGLTASRQIQVEVLKMGLILMCMLGII >scaffold_503434.1 pep chromosome:v.1.0:5:20455300:20457566:1 gene:scaffold_503434.1 transcript:scaffold_503434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLLIHGRKSLLRGSVQKHVFSAFPRTFSSVSDGRKWRRNLPVVAYEGLTLKQTERLTRLVYSKQDAILILLRRHGFTDSQFGDMVESYPPLFDLDARKSIAPKLKFLRSRGATSLELSEILPKIPKILGMEGTKTAGLYYHVFKYMTTADKSGNLAPLKGGGMQGNVMRNVWALRELGVPQNLLLSLLTSDNKLVFGKRRRFEETVNKVVGKGLDPTKPKFVEALKVIYKMSDKTEEEEEKINIYKRLGFAVGDVWSLFKKFPRILALPEKNILNSSETFLSLGFSRDEFKMMIKRHPPCIAYSAESVKKKADFLMKEMKWSLCPKMLSYSMEERILPRCNVIKALMSKGLIGSEFPSAATVLICTNQSFLKKFVRKHEDKELVAELMALFTARGDLASCVKSMVAEEEEILEPQAKVTSKVYFDVEIGGEVAGRIVMGLFGEVVPKTVEKFRVLCTGEKNYGYKGSSFHRIIKEGGDFTEGNGTGGISVYGANFEVENFTLKHTGAGILSMANAGPNTNNGSQIFICTVKTPWLDNKHVVFGQVIEGMKLVRTLESQEKGCRIYACGELPMEA >scaffold_503436.1 pep chromosome:v.1.0:5:20460866:20466444:-1 gene:scaffold_503436.1 transcript:scaffold_503436.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LSN8] MILKRTLTFENQNLKRCKIDSEIEYGSKKGEIMVYKKRQRATVDQPCSREPELLTSSSSSLTSKDSQPCSDQSKSSRGRVRAVPSRFKDSIVGSWKSGRRKEESTESSHDDDVTLGKKVKGFSGSSKLNRSKDSKLFPRKDNGDSSEVDCDYWDVKISILSSSDDANFGIPKKPHATRKGVYKPEEFTVGDLVWAKCGKRFPAWPAVVIDPISQAPDGVLKHCVPGAICVMFFGYSKDGTQRDYAWVRQGMMYPFTEFMDKFQDQTNLYNYKPSEFKKALEEAVLAENGVEGDFGDVEISCPDSSATESDQEYGPASRIQGLCHEDVRTCDGCGSVMPLKSLKRTKGSQPEELLCKHCSKLRKFNQYCGICKRIWHPSDDGDWVCCDGCNVWVHAGCDNITNERFKELEHNNYYCPDCKVQHELSPTILEEQKSVFKSTEKTTETELPDAVTVVCNGMEGTYIRKFHAIECKCGSCGSRKQSPSEWERHTGCRAKKWKYSVRVKDTMLPLEKWIAEFSTYTLETQMLDKQKMLSMLEEKYEPVRAKWTTERCAVCRWVEDWEENKMIICNRCQVAVHQECYGVSKSQDLTSWVCRACETPDIERECCLCPVKGGALKPSDVEGLWVHVTCAWFRPEVGFLNHENMEPAVGLFKIPVNSFLKVCTICKQTHGSCVHCCKCATHFHAMCASRAGYNMELHCLEKNGVQRTRKSVYCSFHRKPDPDSVVVVHTPSGVFGSRNLLQNQYGRTKGSRLVLTKKMKLPGFETQTQAEQSRVFDSLSAARCRIYSRSNTKKIDLEAISHRLKGPSHHSLGEIENRNSFKASFSFRAPFMSMFCFLGEADFTSFRERLKHLQRTENFRVCFGKSGIHGWGLFARNSIQEGEMIIEYRGVKVRRSVADLREANYRSQGKDCYLFKISEEIVIDATDSGNIARLINHSCMPNCYARIVSMGDGEDNRIVLIAKTNVSAGEELTYDYLFEVDESEEIKVPCLCKAPNCRKFMN >scaffold_503439.1 pep chromosome:v.1.0:5:20480223:20481671:1 gene:scaffold_503439.1 transcript:scaffold_503439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTSNPSFACFSKTISHYPSRLSFVKLTSIQKLEPSNNTLSLFCCKSSSSNPKPDCNRRIKLNPFCVLRPIIRTIKGLVSSQSRLWMSRLRAYRDDTAAFSEDFAGDLKHNGGLGIALLSVTASAKIKISPFVATLSANPTFVSAVVAWFFAQSSKMVINFFIERKWDFRLLYASGGMPSSHSALCMALTTSVALCHGVADSLFPVCLGFSLIVMYDAIGVRRHAGMQAEVLNLIIRDLFEGHPISQRKLKELLGHTPSQVLAGALVGVVIACFCCQGYLVSA >scaffold_503445.1 pep chromosome:v.1.0:5:20505648:20505867:-1 gene:scaffold_503445.1 transcript:scaffold_503445.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LSP7] MATPTLAQGHSHDSLWDERLGKRVSYVSTCRVSSESRVFMLYSFSSRASILANKKSKL >scaffold_503451.1 pep chromosome:v.1.0:5:20524896:20526722:-1 gene:scaffold_503451.1 transcript:scaffold_503451.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP78A9 [Source:UniProtKB/TrEMBL;Acc:D7LSQ3] MATKLDTSSLLLAIFSKCSLLTQTNLALSLLVASLASLALSLFFWSHPGGPAWGKYFLHRRRQTTVIPGPRGLPFVGSMSLMSSALAHRCIAATAEKFGAKRLMAFSLGETRVIVTCNPDVAKEILNSPVFADRPVKESAYSLMFNRAIGFAPYGVYWRTLRRIASNHLFSPKQIKRSETQRREIANQIVKCLAKQSNTKGLCFARDLIKTASLNNMMCSVFGKEYELENEHEEVSELRGLVEEGYDLLGTLNWTDHLPWLSEFDPQRIRSRCSNLVPKVNRFVNRIISDHRDQTRDSPSDFVDVLLSLDGPDKLSDPDIVAVLWEMIFRGTDTVAVLIEWILARMVLHPDIQSTVHNELDQIVGRSRAVEESDVASLEYLTAVVKEVLRLHPPGPLLSWARLAITDTIIDGCRVPAGTTAMVNMWAISHDPHVWENPLEFKPERFVAKEGEVEFSVLGSDLRLAPFGSGRRVCPGKNLGLTTVTFWTATLLHEFEWLTPSDEKTVDLSEKLRLSCEMANPLAAKLRPRRSFSVYTDTVVN >scaffold_503453.1 pep chromosome:v.1.0:5:20548915:20549109:-1 gene:scaffold_503453.1 transcript:scaffold_503453.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSQ5] MKKAVANCEFRLESEDSPTRMTAGRRSSSRPSSPPSPAVHGVFNSPKQRG >scaffold_503455.1 pep chromosome:v.1.0:5:20563274:20564726:-1 gene:scaffold_503455.1 transcript:scaffold_503455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISVNGQSQVPPGFRFHPTEEELLNYYLRKKISSIKIDLDVIPDVDLNKLEPWDIQEMCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATTVGFWKATGRDKIIYTNGDRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDESVLISSSGNDGVTVETCDVIGGDEGWVVCRVFKKKSLCKNMISSPVKTSSFNVETIERILEDMGQSCKEVIISDPFLKLPNNTTITNYQRLTDDRVNNCHVSKVMDPSFVTSWAALDRLVASQLNGPNSYSIPAVNETSQSPFHGLNRSGYNTGLTPDYYIPEMDLWNDTDFGRTTTSSSNPLCHVSNGIG >scaffold_503456.1 pep chromosome:v.1.0:5:20573803:20574409:-1 gene:scaffold_503456.1 transcript:scaffold_503456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVFKGNGGLSKLYDKDNSLIKVVTPNGGVMELHPPIFAEFITNEFPGHVIHDSLSLRHSSPPLLHGEELLPGNIYYLLPLSCAASTAQQHSSDQLSTPYRMSFGKTPVMAALSGGAGGGGVWKVRLVISPEQLAEILAEDVETEALVESVRTVAKCGGYGCGGGVHSRANSDQLSVTSSFKGKLR >scaffold_503460.1 pep chromosome:v.1.0:5:20584870:20587906:-1 gene:scaffold_503460.1 transcript:scaffold_503460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LSR2] MESARLVGDYELGPRIGSGSFAVVWLAKHRSSGLEVAVKEIDKKLLSPKVRDNLLKEISILSTIDHPNIIRFYEAIETGDRIFLVLEYCSGGDLAGYINRHGKVPEAVAKHFMRQLALGLQVLQEKHFIHRDLKPQNLLLSSKEVTPLLKIGDFGFARSLTPESMAETFCGSPLYMAPEIIRNQKYDAKADLWSAGAILFQLVTGKPPFDGNNHIQLFHNIVRDTELKFPEDARNEIHPDCVDLCRSLLRRNPIERLTFREFFNHKFLREPRQMPDVVHSGSTTSTGKSSLPSAQPSTSTNRFKSSADNVHKHGSSSSAPNSQILMPHISFEKTRKDTEGQCSSNQSGVVDSLELIEREYVLVNRPSASLEGSSDFFDTSLQDSGFPNILPRNEKVSSSSLEAQRPLSDVSGPRPTSGSYLLTEVQRLTIVHPPTKLQLLHQYAQALTELAREMGNTGQVKESFAVTLVVLAVWRKALEICDSWMISVGENKVNPDPTTAPEFSIPDLNSPASAKTWVTQEFVTAFNQAENSSTQLNETSAATHMPDAMETIYERALAYGKSGGAEEYLSNKESAATLYKKAILLLSFIIEEAVTLSLNPPFSLTPDDKKRILYYISNLQHRRSHL >scaffold_503461.1 pep chromosome:v.1.0:5:20589161:20590534:1 gene:scaffold_503461.1 transcript:scaffold_503461.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LSR3] MAVIVATTTAEALPPDQPEPPDRLLSVGSPVLLFSTGSGLSSPASLPLLLARSFITVGAQFPGGSPFADWVGDLEARPCPTMAMTTSFRVACFFSDTSTSPPITFSQAVLFGAEVRMTILSHLVSTSLTIKVGLLLDSVLTLLDHLIGDSFLPCIEVSVRLALIWYVTKGFVPIFCIGISVFADVIWEVQSLFRAMLPHLGIHCLFVFPKVPLVWSGLDDQASPVLQGPSSRLASSALVAELVTLRVALDAVSYEVFGIVLFRSHWTSFVKLYLAFISSLSSFEVFLGTSCLVILYSPFIWVVIPPPLSEFET >scaffold_503463.1 pep chromosome:v.1.0:5:20601571:20603024:1 gene:scaffold_503463.1 transcript:scaffold_503463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHFVLLRQLCQKSGLRRKPITGPSCWTTGKNIYFRPEEVKVAIVTCSGLCPGLNDVIRHIVITLEIYGVENVVGISFDYRGFSDKNLPEMPEKGINMLFVLGGSGTHAGANAIYTIHLPDS >scaffold_503469.1 pep chromosome:v.1.0:5:20620648:20621356:1 gene:scaffold_503469.1 transcript:scaffold_503469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFPGDYAAAETGIFWDIEDCKIDVDLNASQVLQNIKLTISRAGHHGTVSIRAYGDMTGHEFPSEGIKLNHFPAGERYARHSKMLEDIIAWSAEHPQPSNLMLIMKDTSPDFIEVVQLLKSKKNYMFHIVQPGSGFGRA >scaffold_503470.1 pep chromosome:v.1.0:5:20627887:20628686:-1 gene:scaffold_503470.1 transcript:scaffold_503470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQRKSLTGKTTFDSIASLSKNSSTDGPQLQSFSYSPCPQPELTYGLPTHKDSILIIVLLQDEVSGLQVFKDGKWVVVHSVPNTFIVNLGDQMHERISIPTFYFPSEDDVIGPVEELINEEEESPAIYGNFTYVEKFWGTTFATESCIDSFKASTT >scaffold_503472.1 pep chromosome:v.1.0:5:20637462:20638717:1 gene:scaffold_503472.1 transcript:scaffold_503472.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LSS5] MSPLRRIIRNATVSTAVPVAKAFKPYPLGRDPSSLPKLKWVSTSETDNRSISLRYRVTAMIEMSLLDKAANLSRLAVLDDFRVDRDTVFICNSIIGAMCSAKRYDDAISLFNYFFNKYQTLPNTLSCNLIIKAHCNQCHVDDALELYRLILLDGRLAPGIETYMLLTKALVDAERFDEACDLVSCMSSCSFMVYDILIRGFLDVGNFVKASQIFEELKGLDSKLPGREYHTAIAIFNVSFMNYFFNQGKDEEAMEILATLEEAQVLKPIVGNRVLDVLVWHGKDTEAWELFEEMIEICDSETIDIMSDYFSEKTVPFERLRKTCYTNMIVSLCEHEKVSDAEKLFAEMFTDVDGEDLFVGPDVLTFRAMINGYVKVGRFDDAIMTLNKMRVLYIRKLAIHHAP >scaffold_503473.1 pep chromosome:v.1.0:5:20641113:20641864:1 gene:scaffold_503473.1 transcript:scaffold_503473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDFFDGKEPNKGTNPDEVLAYTTAVQGGVLSGEGGEETRDDKHIPRIPTKKSQVFTTYQDQQTIVTINVYEEISMTKDNPELGNFQLTGLLPAPRRKEQFFMGVPQMEVTFEVDANGILQVNTEDKVPKMSQSLTITNDKGRLTGEEIDEMIILISYV >scaffold_503474.1 pep chromosome:v.1.0:5:20643883:20644456:-1 gene:scaffold_503474.1 transcript:scaffold_503474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMNPKTIVTNLLLLILLQISNFSTIVLSFEARYKKDYKSEYTVDPDTVTHIVVSNELYGLKKGNAGFVCTHGPKVWRKSKPGQRYSLLRFKHNGRVRYMSTNCHIRSNRGFVNFHIFMQPDLSAHCFPSYICKYSIRKDGVYYKHENKFFPWRQFPRSAKGRSSSKVSLRNILKN >scaffold_503475.1 pep chromosome:v.1.0:5:20645699:20646268:-1 gene:scaffold_503475.1 transcript:scaffold_503475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKLSLGLLCLCLVIQFTSGEEDNPLINHYADYETIHPSEYYSSDFELKDGTNVIFEAINELKGIKKPKAGFMCNYGQKQWTRSLPGDVFYAKFFFYRVNPHAKVVQHCHFRSNLGYVDAYIKITPTLGRSCPGYRCILAIRREGLLMKDTNELFPWTPWPRRHIQPKLPKITN >scaffold_503480.1 pep chromosome:v.1.0:5:20664828:20666347:-1 gene:scaffold_503480.1 transcript:scaffold_503480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVFENGQILAKGRVYNEDFMKSIIHGGGGGANKNLGDTQVVPQSHVVPPHETEMLESNRHVDDSTLIETLKASSSKRMMVDYDNRMKIKFIPPDEQSVVAERMVESGFNTSSAGFTEDSEGSMYLSSSLDDESDDARPQVPARTRKALVKRKRNAEANNSPERKQRRDINKKMRTLQDLLPNSHKDDNESMLDEAIIYMKNLKLQVQMMTMGNRFVTPSMMLPLGLHYSQMGLAMGMGMQMDAQQFLPAHVLGAGLPGINDSADMLRFLSHPGLMPMQNSAPFTPTEDCSPQSVPPSCAAFPNQIPNPTSLSNLDGASPYTRNQGTLTDEGILPGKILETREQMK >scaffold_503481.1 pep chromosome:v.1.0:5:20666636:20667879:1 gene:scaffold_503481.1 transcript:scaffold_503481.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LST4] MSFLRRIVRNSTVSKAVPVAKAFMPYPLGRDPSSLPKLEWVSIRNIDDRPISLGYRVTAMIEMSMLDKASDLSRLAVLDKFRVDRDTVFICNSIIGAMCSAKRYDDAICLFNYFFNESQALPNTLSCNLIIKAHCDQRHVDDALQLYRHILLDGRLAPGMETYMILTKALVDSKRFDEACDLVGSMSSCSFMVYDILIRGFLYVGDFVKASQIFKELDSKLPCREYHKAIAIFNVSFMNYFFNQGKDEEAMEILATLEEAQVLKPIVGNRVLQVLVEHGKDTEAWELFEEMIEICDSETIDIMSDYFSEKTVPFERLRKTCYRNMIVSLCEHGKVSDAEKLFAEMFTDVDGEDLFVGPDVLTFRAMINGYVKVGRVDDAIMTLNKMRVLNIRKLAIHREP >scaffold_503484.1 pep chromosome:v.1.0:5:20684738:20686187:-1 gene:scaffold_503484.1 transcript:scaffold_503484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETEKPIRLLNFVSEEQLDESKKERGERVEDGTFQRDRALYEILKENKDKKDAEFNERFKHRPPKALDEDETEFLDKLEMSKREYERQLANEEEEQLRSFQAAVAARSAILHEPKEAAPPPPAPITKEQKPTGKRNPATRPFKAIIKVKPQPKKAKATEKEEKEIPWNGKPASQIDPTSLDSAKGNVTGKTAEALQTGLGLVSYSDESEDDD >scaffold_503485.1 pep chromosome:v.1.0:5:20687698:20689511:-1 gene:scaffold_503485.1 transcript:scaffold_503485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISNLPDDIIYHAISFLSAKEATCLKYASKKWLNLVTIIPSAVFVDSSSSASSGSFQDFADRIKLAPLTSHRMRRFSLKLQSLDFAQYETVNDCLRKVLECGVLDLELDINVKGDYSLPFEIFTCQSVVKLKLGSGFVIDILPKNALLPALKTLLLDSVRFEFDNTGGCAFTRLLSACPVLEELIIDGFNCELWNWSHTVYSRILKRLTIRRAYSKDSDDCDDYDFERISFDTPSLTYLEYRDYIHDEFPDVNLNSLVEAKLQFNMWTAWMNTDLTNLFKGLKHVQILRLKFIDTLMDLHHEYREYLDLKSVCECLEGYSFLLSCPVEILTITQFGGRIGEMVQIKHVLEKLQCLVLLEVHVEERRVDNKLQILADLLMLPRASSKCKVQLGVFVGVFVPKTLEFVRERLSYIRSIIAKNSSDIFQ >scaffold_503490.1 pep chromosome:v.1.0:5:20709207:20710726:1 gene:scaffold_503490.1 transcript:scaffold_503490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVFENGQILAKGQRSNVPLQNQRTKSIMDLYEAEYNEDFMKSIIHGGGGGGANKNLGDTQVVPQSHVVPAHETNMLESNKHVDDSTLIETLKASSSKRMMVDYDNRKKIKFIPPDEQSVVAKRMVESGFNTSSAGFTEDSEGSMYLSSSLDDESDDARPQVPARTRKALVKRKRNAEAYNSPERKQRRDVNKKMRTLQDLLPNSHEDDNESMLDEAINYMKNLQLQVQMMTMGNRFVTPSMMLPLGLHYSQMDLAMGMGMQMGAQQFLPAHVLGAGLPGINDSADMLRFLNHPGLMQMQNSAPFTPTEDCSPQSVPPSCAAFPNQIPNPTSLSNLDGASPYTRNQGTLTDEGILPGKNLETREQMK >scaffold_503492.1 pep chromosome:v.1.0:5:20722514:20723474:1 gene:scaffold_503492.1 transcript:scaffold_503492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSFLLLYVLLVHAFYGAWCSSVGGSLHCEYSSLASLHRPHSVSITEFGAVGDGVTLNTKAFQNALFYLNSFSDKGGAKLFVPAGQWLTGSFDLISHLTLWLDKGATILGSTASFCLFESLQAYTLIYEL >scaffold_503493.1 pep chromosome:v.1.0:5:20723798:20724263:-1 gene:scaffold_503493.1 transcript:scaffold_503493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLTRVNRVLIQPCEPTPSVVLDLSLIDNIPVLRCFTRTIYVFTHGPDAARVIREALAKALVSYYPLSGRLKELNQGKIQIDCTGKTGIWFVDAVTDVTLESVGYFDNLMEIPYDDLLTDQIPKDDDAELLVQMQVSFN >scaffold_503495.1 pep chromosome:v.1.0:5:20728181:20728870:-1 gene:scaffold_503495.1 transcript:scaffold_503495.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7LSU8] MNTPFKLFFLFLFVAATATAAPVKRTNYLFTPHAKAVAGICTVIPTNTSLCCKTLKHVPTNDPIELIRALVVAAETSVKQSVTFLSGIKPKHKSDATATAAVNSCEKNLKYALEDFADFWKATGKDVTTLAHNYFTCKKELMSIMGYHSTCLDDIEDKNLLKEVEIGIGLGKNLTSDSFDVFNNLNTIFKTFGIKVKLNEEDTSPRPPPLSDYYY >scaffold_503499.1 pep chromosome:v.1.0:5:20737458:20738894:1 gene:scaffold_503499.1 transcript:scaffold_503499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRNPPVDIISTLSDCLLVLIISFLPFKDVLKTSMLSKRWRYLYREVKNISFRESEMVTFAGNHIDQYYQRAQLVAYMVGWVDNFTGGVIESFELCLSNSYSFEQGVTRLIEFAVSKNVKHLILDLSERRWRVRDDAAALERGLIQVPESFYKLTTLVTLKLFGCRFNPSRLANPGLVKILCFRWIRLENISALIAKAPFLETLIMKNCWEVGLEAITGFNDRVRKVVFKNCVFSVEKSTLDVPNIQIFKYFGKVHHFELVSAIRGMEEAYLDFGEAIDYNGSTGSQLCNLLYHLRSARTFTICPYLLQLIQESEDSVRLKERMETRQLVLKSALEPNEFIGIRFMINSCPYLEILSFQIVDPRLIDMMVPQFDPEAYWMNNISHKCLKRTLKKVEVWSFSGGTYELHVLKYLIRYGRVLERVDLYLPIGLNEDQMLTARAAADTVGTEFEAASSNLNISLH >scaffold_503500.1 pep chromosome:v.1.0:5:20739427:20742526:-1 gene:scaffold_503500.1 transcript:scaffold_503500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LSV3] MDDSCAVCADNLEWVAYGSCGHREVCSTCVVRLRFVLDDPRCCICKTESPIVFVTKALGDYTRTITDFSTFPSAPREGRVGAFWYHEDTQAFFDDLDHYRMIKAMCRLSCGVCDKAEDQPREGGPRHHRQRIKSVEQLKGHLYHKHKLHMCGLCLEGRKIFICEQKLYTRAQLNQHIHTGDSEVDGSESERGGFAGHPMCEFCRNPFYGDNELYTHMSTEHYTCHLCQRSQPGQYEYYKNYDDLEIHFRRDHFLCEDDSCLAKKFTVFQNESELKRHNAIEHGGKMSRSQRSAALQIPTSFRYRRGNDQENRRGRPRSFRREPGDDEYNLAVHAALRLSEAEYSRQEPAPPPSSAPPGFSENNNIHVDDADPLIQPMESLSTTDMEPSSRYLQAVGSSGGGGTRLGESAFPPLSGQPRSGQNVESLPTNTMAARLRRQTNRTTTASAIASPSQGWPVVNRCPAQASITSGGNHSSSGWPAIGRTPVQASSSSVQSMSHTRVSQARPLAPAVSQATRNANRIPHSSSAPNLSDTRSLQPSHSDFPPVSSAVVQNRKTSSTTTQGLSNTQPPPDVQSANKSLIEKMRSALGHDEDVFVAFRNISGQYRQGSIDAKTYLEYVKGYGLSHLVIDLARLCPDPKRQKELIDTHNAGLREEDSKENGRAAAQSSSQPKDSQSSKKNKGKAVKVADPKETLADNFMDTVRRLQSFQNPQEEEEVISKDMNSYRSDKGKSQVTGADSSSTGNKQQRKKTSKFHRVRLGDGSMAALLDLNNSNREPEQESKDGNSNSNQNQTGGLPVRGVWRKGGANLFS >scaffold_503508.1 pep chromosome:v.1.0:5:20770248:20771442:-1 gene:scaffold_503508.1 transcript:scaffold_503508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEMSSSIVDTGIARIKTIVTDKDSDIKLAVETFLSNKKNTKKIIGLETERVQKARKQFKTVLLQLCDGDHCLIVKLPCEESVNLPVSLFNFLNLPQFTFVGFDIKKTLVKLESEWGLTCKNSVEINPTTWNLPDMTNVGRRMMHTCVFSQRPTSPIFEEWDQCVLTKDQIKLATSNAYFAFGIGGVMLDIKKSIIRNKTVRYDAVKVIHQLGMKEIFVSMSTNERHGWIKLA >scaffold_503510.1 pep chromosome:v.1.0:5:20776709:20778019:-1 gene:scaffold_503510.1 transcript:scaffold_503510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWGNEDLVKDEILQHLPVKSLIRFKSVSKQWRSMIESTYFVRKHLVCPFSNPKIVVGSRTHDDDNSLTILLETFSRDHQGEIDTQISRSPCSYIFHGPRTVGPTITICKVIGSCDGLVCIQELRNRKNLEPSVYIINPATREHRKLYPTQLQHVPDFMPLLLFCIGFGKDIVTGTYKTININCYKRLDEHAMLLKTSVLNLDNGSEQRQIGVFPVSNMEISNEQTSVFANGSVFWLTQRYHKSSSKTPIKLVALDLHTETFSRVSWPSWYDEQHSHKMRLCSLKDRLCLSNVLQYPDVDVWSLKMEDSIEKWE >scaffold_503511.1 pep chromosome:v.1.0:5:20779037:20779866:-1 gene:scaffold_503511.1 transcript:scaffold_503511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYVRPCLPPPENIKFSGCVIFGLDTEKTNEIFNKIFMENYSEQALKEFKSNPRLRVNVMKTIIASFNCQIHHEIIKEKIGFAIWMIGEYSLSFSDIENGLSVIKRSIGELPLPNSSKDDHDVQTCHWFEECRRRLLIDGDAKLRTQIAIALTKLIDKQKVFIHDISAGKLRQERAEAVRLIASLQLLPKPINLGRATIAFYSGEIRRCMRSICHQDIELKQLCVNASGENLVSLLSKKLQEQRDTCDQALYQLVSTLNHL >scaffold_503515.1 pep chromosome:v.1.0:5:20793766:20796251:1 gene:scaffold_503515.1 transcript:scaffold_503515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLVVAWLLIFTAETVVSHQESGEWSCESDSEIQIIADFRPGIITLDGHNDDWKDIDGSEFPLRPALDPDADHEYDAGKMTVKALHDGRDIYFMLEIDGNYAYDKGENNKCPSVALMFQIGDQATYHNMGGCKEGTDSCTSKACRGFEVDIMHFSIGNAIPGRLYGGNPIDNREGNGGDRFGHLVDIYAWNPHCRYLDGLGPSGNDSSAQNDWHGAWWHSSFTTLSGYVEEDSPYTPDGKKGTYYFEFSRPLRTMDRLQQDVQFKLGSPAKMSVAFWYPMDSKPWHGSGHYTINCDWTPLDISPGSSSGLTASTVKGSSDGTSITAILLSMISLVFSGFIAYRLFSPRDVPFTPMENNL >scaffold_503517.1 pep chromosome:v.1.0:5:20802022:20803123:-1 gene:scaffold_503517.1 transcript:scaffold_503517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESSYLAKKPLARYQNPKLLVLRSETSRDESSTSIFLETISKAHHDQSKIFICSYKFPDPQSEFYFDHVGRIMGYCNGLVCIYQSETIYIINPTTRKLRILSPEFLRDCTDTKNIQSNLACITSNVLLGTLLSSPKSLTSTMENEDAYVVPFVTINLAMKLAAVDLRTESFRYVLLPSWYINISESVNLWSLKDRLCLSDVLQCQYPSVDVWSLQQEDPSVKWEKIHSIKILSIDSLDVNFWKLGLAACSVRPVGEKPSKSGLEDVPDNHYRTRLFEENLVGFGLVS >scaffold_503518.1 pep chromosome:v.1.0:5:20803980:20804896:1 gene:scaffold_503518.1 transcript:scaffold_503518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEKRWREAEQLVIEQFRLITTTLLSLLLPLSFLLLSRLSSAAFLLSLSSTPQNSDYFFLNTIPALLYAVVSIITVHTLIHCLITKIRTSEDTNRSIGFYYPRVSIAWLILLILQFSVGLGLQVTMSKGVNGIVNGNNHNFLTRLLFFFGLLEMILQWYRVIVKTVVESGFGGREEETVVERVALAASCGALWWWKLREEVEALVGVMEVKRALLLLSIDVDYNLNSIVDLGTIDFLNWLLYYLIVTIGVVRIVKGCFRVGMILLFEQVRRIPRRISSSDVVNQEDDKV >scaffold_503519.1 pep chromosome:v.1.0:5:20805025:20806178:-1 gene:scaffold_503519.1 transcript:scaffold_503519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESSYLANKRHVRYPSPKLLVLRSEISSDRCSRTILLETISKDHRENNKIFICSYKFPSYPHSEYHFNNLGRIAGYCDGLVCIYQSENIYIINPTTRKLRILSSNLLQKCTCLPVKTEVFNLNNGEQRCICFPILFNELGNDKTSIFANGSLYWQNIYNLKIAAFDLHTEMFSEVLPPSWYTSYSCGVYLWSLKDRLCLSDVLQYPDVDIWGLQQEGPNVMKWEKILSVTILSMDCLDPNFWKLGLAACYFRPIGQKPSRNFLEKVPADQCSTTLYMEDLVSSV >scaffold_503520.1 pep chromosome:v.1.0:5:20811279:20811610:-1 gene:scaffold_503520.1 transcript:scaffold_503520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRLYDVTRNDLETLEILRKLKPNLITVVEQKLSYDDGGSFLGRFVEALQYYYSALGDGLGEESGERFTVEQIVSSCAVVITGSRLARGVKMDKS >scaffold_503522.1 pep chromosome:v.1.0:5:20815629:20815865:1 gene:scaffold_503522.1 transcript:scaffold_503522.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 5C [Source:UniProtKB/TrEMBL;Acc:D7LT63] MAGHKVAHATLKGPSVVKELIIGLTLGLAAGGLWKMHHWNEQRKTRTFYDLLERGEISVVAAEE >scaffold_503530.1 pep chromosome:v.1.0:5:20829968:20830219:-1 gene:scaffold_503530.1 transcript:scaffold_503530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSQCRSCKPLLESRLPLLRLSLSKCLDQSFKTTASSEYGSKIPDALLGHRRLEDHKASIDLVA >scaffold_503531.1 pep chromosome:v.1.0:5:20830576:20832708:-1 gene:scaffold_503531.1 transcript:scaffold_503531.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LT70] MAAAPWLYLSMRRSSIRTTHSFQRLHCLIICSSSFNSDEFISGQSRVIGGRGEGEVRFSAASSLPFSRMIQSSTYHPHRQVSLPHSSVQLLDASLGFRGFSSGSSDVSDDEIESECDNDDDVGIEIGVSCVDSSTNPEEVERVCKVIDELFALDRNMEAVLDEMKVELSHDLIVKVLERFRHARKPAFRFFCWAAEKQGFAHDSRTYNSMMSILAKTRQFETMVSVLEEMGTKGLLTMETFTIAMKAFAAAKERKKAVGIFELMKKYKFKIGVETINCLLDSLGRAKLGKEAQVLFDKLKERFTPNMMTYTVLLNGWCRVRNLIEAARIWNDMIDQGLKPDIVAHNVMLEGLLRSRKKSDAIKLFHVMKSKGPCPNVRSYTIMIRDFCKQSSMETAIEYFDDMVDSGLQPDAAVYTCLITGFGTQKKLDTVYELLKEMQEKGHPPDGKTYNALIKLMANQKMPEHATRIYNKMIQNEIEPSIHTFNMIMKSYFMARNYEMGSAVWDEMIKKGICPDDNSYTVLIRGLIGEGKSREACRYLEEMLDKGMKTPLIDYNKFAADFHKGGQPEIFEELAQRAKFSGKFAAAEIFARWAQMTRRRCKQRFMEDFVHSNEKIEHSFTEKVKKCK >scaffold_503533.1 pep chromosome:v.1.0:5:20835315:20836638:1 gene:scaffold_503533.1 transcript:scaffold_503533.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Os01g0254000 [Source:UniProtKB/TrEMBL;Acc:D7LT72] MFLVDWFYGVLATLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLATVPFLVLGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLAGTNVRPLEVFMCSIVRKMGYGEGFKWVSQYID >scaffold_503537.1 pep chromosome:v.1.0:5:20848835:20851099:-1 gene:scaffold_503537.1 transcript:scaffold_503537.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:UniProtKB/TrEMBL;Acc:D7LT76] MAIRWSELCIVLFALSYAICVLAGKSYYDVLQVPKGASDEQIKRAYRKLALKYHPDKNQGNEEATRKFAEINNAYEVLSDEEKREIYNKYGEEGLKQFSANGGRGGGGGGMNMQDIFSSFFGGGSMEEEEKVVKGDDVIVELEATLEDLYMGGSIKVWREKNVIKPAPGKRKCNCRNEVYHRQIGPGMFQQMTEQVCDKCPNVKYEREGYFVTVDIEKGMKDGEEVSFYEDGEPILDGEPGDLKFRIRTAPHARFRRDGNDLHMTVNITLVEALVGFEKSFKHLDDHEVDISSKGITKPKEVKKFKGEGMPLHYSTKKGNLFVTFEVLFPSSLTDDQKKKIKEVFA >scaffold_503539.1 pep chromosome:v.1.0:5:20855878:20857757:1 gene:scaffold_503539.1 transcript:scaffold_503539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAVRVRASLKTLFHGRHSSSFSRYCEIAAISKRISQDRFSDGMLILNSLLSSGNGVGIKLLNRAFHSSPPCNYSESDVGVKTHAPALFSHLEDDDYFSELGSVEKEVDRNVIVKMLTEKPEPVQVENPVISLNTKGKKKKKKKTKQEQASDSISKPKLLTEKPEVSWKVNGKKKTEAYASSPARDATDSNIPFSKPTMSSSQIQDHKSKSQNIISPSFEPGDENSSKGSATSNTPSNHPSSVLVIRIGNLNSKTADSMIHSMCLSICPLEGIARVNEDTVDVLFRVKNRNEADSILEELKDATVDNSQWTAEIVPEAEEGSKNQMGMRITSCYEDLDKQLVMQRILGKDLEVLLHSVMHLENHPMARGRD >scaffold_503540.1 pep chromosome:v.1.0:5:20860140:20861322:-1 gene:scaffold_503540.1 transcript:scaffold_503540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVESFGHGDPDTPRFSDDFGSGSACSTPFVSAPSSPGRGPPPGYFFSAPSSPMHFFLCSASSSSENQKKLESSSCGDFEFDFSSRLSSSSGPLGGVSMTSAEELFSNGQIKPMKLSSHLQRPQILSPLLDLENEEEDDEDDETKPNGEMVKRGRDLKLRSRSVHRKARSLSPLRNAAYQWNQEEEEEVAGEREVKECIRKLQEDENVPSAETTPSCSASSSRSSSYGRNSKKWIFLKDLLHRSKSEGRGNGKEKFWSNISFSPSNFKDKKLKSSQPEEKPIQETVDAAVESKKQKQKQPPAKKAPVTGKPTNGIAKRRGLQPSAHELHYTTNRAQAEEMKKRTYLPYRHGLFGCLGFSSKGYSALNGLARSLNPVSSG >scaffold_503541.1 pep chromosome:v.1.0:5:20862791:20863145:-1 gene:scaffold_503541.1 transcript:scaffold_503541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGSHPRTIAVDRWIEIVNGKGYGVGGARPDSPKFQPSISPPPGSGSTARTTATPWRLLDAETKRKKRIATYKTYALEGKVKTTVKKGFRWIKNRYSQIIHG >scaffold_503543.1 pep chromosome:v.1.0:5:20867950:20868175:-1 gene:scaffold_503543.1 transcript:scaffold_503543.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LT82] MADAGGDAGQKEINERVLCWVIAFFVAQREQAVFSIICFGENVTVFEFITPTKISPNMVRI >scaffold_503544.1 pep chromosome:v.1.0:5:20868251:20868533:1 gene:scaffold_503544.1 transcript:scaffold_503544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVTKAKTLKIDQMPRRYRNHRKKLSLARSDTLSSIIMRFCWSPIAIHLSLLERFPGVHYCVIVGVLSPIAFHLLLVAK >scaffold_503548.1 pep chromosome:v.1.0:5:20886541:20887359:-1 gene:scaffold_503548.1 transcript:scaffold_503548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMFDSSKTIWGNMNHGSSRYSLNGKIMLASVIILFFAVILILCFHSYARWLFRRQNRRIRRRISAHLRSLAAARDPTQSSSSLSPLDPTVLEKIPIFVYSAKTHESPLEECSVCLSEFEEDDEGRVLPKCGHVFHVDCIDTWFRSRSSCPLCRAPVQPAQPVTESEPVAAVFPSVKPVEDTEAGSSSSSDESESSTPSSSSGSPVRFPMEACERAPIDLIGIIVEIPREFEDSNSGLPGDNGSNTRTSLQRLWSI >scaffold_503549.1 pep chromosome:v.1.0:5:20891262:20896586:-1 gene:scaffold_503549.1 transcript:scaffold_503549.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMRP10 [Source:UniProtKB/TrEMBL;Acc:D7LT88] MRWLSSSWVSDLSCSSSAVIEPSSSLPALIQWLRFILLSPCPQRLLSSTVDLLFLLILFFFAIQKLCSSSSSASSRINGEADITKPLLGRRTGTRTTGLFKTTIVVTILLSFCSIVLCVLAFFTTRTKLKLVDTLFWLIHAVTNVVIAVLVLHQKRFASVSHPLTLRIYWVFNFVVTTLFTVSGILHLLSDDDSAAASLRADDVASFISFPLTAVLLLVSVKGSTGVVVTSSSVTAPAKSNDVGLEKFENVSLYASASFISKTFWLWMNPLLSKGYKSPLNLDQVPTLSPEHRAEKLATLFESKWPKPQENSRNPVRTTLIRCFWKEIAFTAVLAIIRLSVIYVGPVLIQSFVDFTSGKGSSPSQGYYLVLILLVAKFVEVLSTHQFNFNSQKLGMLIRSTLITALYKKGLKLTGSARQNHGVGQIVNYMAVDAQQLSDMMLQLHAIWLMPLQVAVAIVLLYGTLGPSVVTTIIGLTGIFVFILLGTKRNNRFQFSLMTNRDSRMKATNEMLNYMRVIKFQAWEDHFNERILKFRDMEFGWLSKFLYSIAGNIIVLWSTPVLISALTFTTAVFLGVKLDAGTVFTTTTIFKILQEPIRTFPQSMISLSQAMISLGRLDAYMMSRELSEDTVERSQGCDGNVAVEIKDGSFSWDDEDDEPAIENINFEVKKGELAAIVGTVGSGKSSLLAAVLGEMHKLSGKVRVCGSTAYVAQTSWIQNGTVQDNILFGLPMDRSKYNEVLKVCCLEKDMQIMEFGDQTEIGERGINLSGGQKQRIQLARAVYQESDVYLLDDVFSAVDAHTGSDIFKKCVRGALKGKTILLVTHQVDFLHNVDRILVMRDGMIVQSGKYDELVSSGLDFGELVAAHETSMELVEAGSASATAANVPMASPRTQRSISIESPRQPPTPKSPKVHRTTSMESPRVLRTTSMESPRLSELNDESIKSFLGSNIPEDGSRLIKEEEREVGQVSFQVYKLYSTEAYGWWGMILVLFFSVAWQGSLMASDYWLAYETSAKNEVSFDATVFIRVYIIIAAVSIVLVCLRAFYVTHLGLKTAQIFFKQILNSLVHAPMSFFDTTPSGRILSRASTDQTNVDIFIPFMIGLVATMYTTLLSIFIVTCQYAWPTVFFIIPLGWLNIWYRGYYLASSRELTRLDSITKAPVIHHFSESIAGVMTIRSFKKQHMFRQENVKRVNANLRMDFHNNGSNEWLGFRLELIGSWVLCISALFMVLLPSNIIKPENVGLSLSYGLSLNGVLFWAIYLSCFIENKMVSVERIKQFTDIPSEAKWEIKESRPPPNWPYKGNIRLEDVKVRYRPNTPLVLKGLTIDIKGGDKIGVVGRTGSGKSTLIQVLFRLVEPSGGKIIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPTEKYSDEEIWKSLERCQLKDVVSSKPEKLDSLVADNGENWSVGQRQLLCLGRVMLKRSRILFLDEATASVDSQTDAMIQKIIREDFAECTIISIAHRIPTVMDCDRVLVIDAGKAKEYDSPVRLLERQSLFAALVQEYALRSAGI >scaffold_503551.1 pep chromosome:v.1.0:5:20903716:20905136:1 gene:scaffold_503551.1 transcript:scaffold_503551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKCIGAHRFRRLQRFMRQGKVTILCLVLTVIVLRGTIGAGKFGTPEKDIEEIREHFFYTRKRGEPHRVLVEVSSKTTSSEDGDANGNSYETFDINKLFVDEGDEEKSQDRTKPYSLGPKISDWDEQRRDWLKQNPNFPNFVAPKKPRVLLVTGSAPKPCENPVGDHYLLKSIKNKIDYCRIHGVEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEIEFLWWMDSDAMFTDMVFELPWERYKDYNLVMHGWDEMVYDQKNWIGLNTGSFLLRNSQWSLDLLDAWAPMGPKGKIREEAGKVLTRELKDRPAFEADDQSAMVYLLATEREKWGGKVYLESGYYLHGYWGILVDRYEEMIENHKPGFGDHRWPLVTHFVGCKPCGKFGDYPVERCLRQMDRAFNFGDNQILQMYGFTHKSLGSRRVKPTRNQTDRPLDAKDEFGLLHPPFKAAKLSTTTT >scaffold_503552.1 pep chromosome:v.1.0:5:20906363:20907658:-1 gene:scaffold_503552.1 transcript:scaffold_503552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLCLVLILLIAIDASPVQSGSLSCSGNISASDVDRVHFALNLEFTEAEFFLKGATGKGLDAFNATLAKGGPPPVGAKKANLDPITKRIIEEFGYQEIGHLRAITDMTGGIPRPLINLTRENFAVFMDRAVGRRSNPRFDPYANSLNYLLASYYIPYVGLTGYVGAIPYLVYFNIKRLVAGLLGVESGQDAVIRTLLYERQNETVEEYGGVTVAELTNEISNLRNELGMCGIKDEGLCVPLWLGAENRTTSNILSANPYSLSYDRTAQEILRVMYGTGDEHRPGGFWPCGANGRIARMFLDEGCYGDCVCSHDN >scaffold_503554.1 pep chromosome:v.1.0:5:20912229:20914793:1 gene:scaffold_503554.1 transcript:scaffold_503554.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7LT93] MKPLSLFSSFILVTGLATCYIDAFTRNDFPEDFLFGAATSAYQWEGAFDEDGKTPSVWDTTSHCDNGDNGDIASDGYHKYKEDVKLMAEMGLESFRFSISWSRLIPNGRGPINPKGLLFYKNLIKELRGHGIEPHVTLYHYDLPQSLEDEYRGWINRKIIEDFTAFADVCFREFGDDVKLWTTINEATLFAIGSYGDGMRYGHCPPINYSTGNSCTETYIAGHNMLLAHASASNLYKLKYKTKQRGSVGLSIYAYGLYPYTNSKDDEIATQRAEAFLFGWMLKPLVFGDYPDIMKRTLGSRLPVFSEEESEQVKGSSDFVGVIHYNTFYVTNRPAPSLVTTINELFFTDIGASLIATGNASLFEFDAIPWGLEGILEHLKQSYNNPPIYILENGKPMKHGSTLQDTPRVEFIQAYIGAVLNAIKNGSDTRGYFVWSMVDLYELIGGYMTSYGMYYVNFSDPGRKRSPKLSASWYSGFLNGTIDVASQDTIQLQTKFSGSSSL >scaffold_503562.1 pep chromosome:v.1.0:5:20932599:20933223:1 gene:scaffold_503562.1 transcript:scaffold_503562.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7LTA1] MKNLMSSSITFALVFFLVSLNPTSSLPSKRESYVQNACSVTRYQDLCAKTLSPFAPVAKNSPSKWARAGVSVAITDNKDVLRHLLKTRLSTIGKRDRIALSDCRELLQDSLDSLHKSLAVLRTLRASEFQQQMSDLATWLSTSLTDKDTCLDGFEKTSTRSSSTVRMIRKRVTTSLYLSSNSLALLNKLAANGL >scaffold_503572.1 pep chromosome:v.1.0:5:20969851:20971319:1 gene:scaffold_503572.1 transcript:scaffold_503572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCAYERERDKNLKFGVEERKTEVAKEKRPWLKSFTVSYLVNSCGLSLESAKSKSRFVKLSSSERPDSVLTLLKNSGFTNEQIIRVVKSFPTILIVNPETVLLPKLMFFRSIGLSSSDTAKLISNCPTTLSLSLTNRLIPCYDSLKSILGEQENVLKCLRRGYWIFTLDTTKYLATRLSLCRDLGVRDQSIKALVQNGPLVFFCSERKFNEVLNRVRDFGFDPKKMYFIHAMLVFFHVSEFTVEHKFGLYQQYGWSKDDCVAAFMRFPNCVKISDGKITGTMDYLVNNVGLPPGAIAMQPFVLGLSLEKRIKPRNMVISELLAKGLVTKEDLNYFQILKIKDCVFADKFVLKFQQVSALQSCL >scaffold_503573.1 pep chromosome:v.1.0:5:20971982:20974759:-1 gene:scaffold_503573.1 transcript:scaffold_503573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSMTTSPTARAFLHLHSSPFTLRSRQPPAGVCLVSWPPSPSRRTRRLVCMAEPYLIRKMESVEKTWKELSVKLADPAVVSNQSEYQKLAQSMSELDEVVTVFRRFKDCEKQLLESKVLAKEAGDDEDMAEMIGSEINSLSIEIEGLEKQLKVLLLPSDPLDARNILLEVRAGTGGDEAAIWTGDLVRMYQRYSERSSWKFSMVSCSEAEHGGYKTCVMEIKGNRVYSKLKYESGVHRVQRVPQTETQGRVHTSTATVAIMPEADEVEVVIDPKDIELTSARSGGAGGQNVNKVETAIDLFHKPSGIRIFCTEERTQIRNKARAFQLLRAKLYEIKVREQQEKIRNERKSQVGTGARSEKIRTYNYKDSRVTDHRLKMNFALTSFLDGALEDAVQACAALEQKELMEELSESVAAS >scaffold_503575.1 pep chromosome:v.1.0:5:20975835:20976183:-1 gene:scaffold_503575.1 transcript:scaffold_503575.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7LTB3] MESVGSLVADKPVVIFSKSSCCMSHSIQTLISGFGAKMTVYELDQFSNGQEIEKALVQMGCKPSVPAVFIGQQLIGGANQVMTLHVKNQLAALLRRAGAIWV >scaffold_503577.1 pep chromosome:v.1.0:5:20981482:20981836:1 gene:scaffold_503577.1 transcript:scaffold_503577.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7LTB5] MERIRDLSSKKAAVIFTKSSCCMCHSIKTLFYELGASPAIHELDKDPEGREMERALRALGSSNPAVPAVFVGGRYIGSAKDIISFHVDGSLKQMLKDAKAIWL >scaffold_503579.1 pep chromosome:v.1.0:5:20986694:20988862:1 gene:scaffold_503579.1 transcript:scaffold_503579.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7LTB7] MGSGASANHQSDSMEAADSSIPRDKDFGKFQFGCEHYKRRCKIKAPCCNLIFPCRHCHNDAANSLSDPKERHDLVRQNVKQVVCSICQTEQEVAQVCSNCGVNMGAYFCDICKFFDDDTSKEQFHCDDCGICRVGGRDKFFHCQNCGACYAMGLRDKHSCIENSTKNSCPVCYEYLFDSVKAAHVMKCGHTMHIDCFEQMINENQYRCPICSKSMLDMSHSWQLLDLEISATEMPVEYNFKVSILCNDCNKGSKAMFHILGHKCGDCGSYNTRRISTPQDPVSETE >scaffold_503580.1 pep chromosome:v.1.0:5:20990408:20993169:-1 gene:scaffold_503580.1 transcript:scaffold_503580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRIALSFPEEVLEHVFSFIQLDKDRNSVSLVCKSWYEIERWCRRKVFIGNCYAVSPATVIRRFPKVRSVELKGKPHFADFNLVPDGWGGYVYPWIEAMSSSYTWLEEIRLKRMVVTDDCLELIAKSFKNFKVLVLSSCEGFSTDGLAAIAATCRNLKELDLRESEVDDISGHWLSHFPDTYTSLVSLNISCLASEVSFSALERLVTRCPNLKSLKLNRAVPLEKLATLLQRAPQLEELGTGGYTAEVRPDVYSGLSVALSGCKDLKCLSGFWDAVPAYLPAVYSVCSRLTTLNLSYATVQSYDLVKLLSQCPKLQRLWVLDYIEDAGLEVLASTCKDLRELRVFPSEPFVMEPNVALTEQGLVSVSMGCPKLESVLYFCRQMTNAALITIARNRPNMTRFRLCIIEPKAPDYLTLEPLDVGFGAIVEHCKDLRRLSLSGLLTDKVFEYIGTYAKKMEMLSVAFAGDSDLGMHHVLSGCDSLRKLEIRDCQFGDKALLANASKLETMRSLWMSSCSVSFGACKLLGQKMPKLNVEVIDERGPPDSRPESCPVERVFIYRTLAGPRFDMPGFVWNMDQDSTMRFPGKSSLLTDYKTNKRGTFFINPCSSSHVIVFRFRNMRELSVANNLILGCFI >scaffold_503585.1 pep chromosome:v.1.0:5:21021150:21022094:1 gene:scaffold_503585.1 transcript:scaffold_503585.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG-binding domain 4 [Source:UniProtKB/TrEMBL;Acc:D7LTC3] MEEDEIAKPAKPKAKKDTAPGRLIDTYAAQCENCHKWRVIDSQEEYEDIRSKMLEDPFNCQKKQGMSCEEPADLDYDSSRTWVIDKPGLPKTPQGFRRSLVLRKDYSKMDTYYFTPTGKKLRSRNEIAAFVEANPEFKNAPLGDFNFTVPKVMEDTVPPVPKLGSPISTTTTEKSSFKQIQD >scaffold_503588.1 pep chromosome:v.1.0:5:21024998:21025373:1 gene:scaffold_503588.1 transcript:scaffold_503588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHISDIKLIRTDTTLDLSQKAEKGMICKLGSTSDLYLGEKVHKYHLSDVGEKGINKEIVNDIINLEMPSGSERTRHSSVLLFLWSFFVLLSMFVVCLDRICKPRRAGDN >scaffold_503593.1 pep chromosome:v.1.0:5:21041994:21042474:1 gene:scaffold_503593.1 transcript:scaffold_503593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASCLSFTLRNMFLDIARGSMAGTVVLRCCSSVNKVRTWKRCSKQIKEQRARLYIIWKCAVFLLSSHD >scaffold_503596.1 pep chromosome:v.1.0:5:21048436:21049486:-1 gene:scaffold_503596.1 transcript:scaffold_503596.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATIPT3 [Source:UniProtKB/TrEMBL;Acc:D7LTD5] MIMKVSMAMCKQPLPLSPALDFPPARFGPNMLSLNPFGPKDKVVVIMGATGTGKSRLSVDIATRFRAEIINSDKIQVHQGLDIVTNKITSEESCGVPHHLLGVLPPEADLTAPNYCHMANLSIESVLNRGKLPIIVGGSNSYVEALVDDEDNKFRSRYDCCFLWVDVALPVLHGFVSERVDKMVENGMVEEVRDFFDFSDSDYSRGIKKAIGVPEFDRFFRNEQFLNVEDREELLIKVVEDIKRNTFELACRQREKIERLRKVKKWSIQRVDATPVFTKRRSKTDADVAWERLVAGPSTDIVSRFLLDIASRRPLVEASTAVAAAMERELSRCLVA >scaffold_503597.1 pep chromosome:v.1.0:5:21052458:21053400:1 gene:scaffold_503597.1 transcript:scaffold_503597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHPPSNHPPFHRQQTRVLKLEPKCCNECARKVKRAMLNVEGVHSIKVNANEGTIEVNSEVDPQVLIAMAAKAGKRAELLWEPEPESPDDISTVTPPLAMPSTCRRQVHISNFDGFEQTVHLQNLVDKNARGIKHMDIIDKRVIRITFKESHDDPSSDHSLDSPSPIAPPSSDPKNSNGGGGEASGSGACGNVGAPATAAEPTPKINDAPCNGKAAEPPLASVNCSSRGNSCRLM >scaffold_503599.1 pep chromosome:v.1.0:5:21055719:21057355:1 gene:scaffold_503599.1 transcript:scaffold_503599.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RANGAP1 [Source:UniProtKB/TrEMBL;Acc:D7LTD8] MDHSQNRVLSVKMWPPSKSTRLMLVERMTKNITTPSIFSRKYGLLTLEEAEQDAKRIEDLAFATANKHFQNEPDGDGTSAVQVYAKESSKLMLEVIKRGPQQESEVEVSKDGDIFFDISGGSRAFIDEEEARQLLRPLARPHNSFTKIRFSNRSFGSEAAKFAASVLSSIKDQLTEVDLSDFVAGRPEAEALEVMNMFSSALEGSKLRYLNLSDNALGEKGIRAFASLIKSQNDLEELYLMNDGISEDAARAVRELLPSTGKIRVLQFHNNMTGDEGAIAIAEIVRHCPSLEDFRCSSTRIGSEGGVALAEALEHCSHLKKLDLRDNMFGVEGGIALAKTLSVLTHLTEIYMSYLNLEDEGAEALSEALLKSAPSLEVLELAGNDITVKSAGNLAACIASKQSLSKLNLSENELKDEGTILIAKAVEGHDQLVEVDLSTNMIRRAGARALAQTVVKKHTFKLLNINGNFISEEGIDEVNDMFKDSLDKLVPLDDNDPEGEDFEDEDEEEEGEDDNELESKLGGLKIKQEEE >scaffold_503605.1 pep chromosome:v.1.0:5:21076025:21077850:-1 gene:scaffold_503605.1 transcript:scaffold_503605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFSSIAPTTPVLQFRANYSKSILSLPDSCLRIISSARCPSARLIACSLKTEKLPLGAGVNLSGGPVVKRSLQKRLVIRSATIEEIEAEKSAIETDVKSKMEKTIETLRTSFNSIRTGRSNAAMLDKIEVEYYGSPVSLKSIAQISTPDGSSLLLQPYDKSSLKAIEKAIVNSDLGVTPNNDGDVIRLSLPPLTSERRKELSKVVAKQSEEGKVALRNIRRDALKSYDKLEKEKKLSEDNVKDLSSDLQKLIDVYMKKIEELYKQKEKELMKV >scaffold_503606.1 pep chromosome:v.1.0:5:21078228:21078877:1 gene:scaffold_503606.1 transcript:scaffold_503606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQSVASVHKVGGSAGWTILGKVDYNKWTSSDTFTTGDSLLFLYNRQFHNVKQVSRRDFLSCNATSAMATYTSGSDTVALTKPGHLYFLCGFPGHCQAEQKLHVLVAAAIVSPTLSPAFAPVPSPSTGSSSSPSPATASKDTAQNDAVLLSMSAVLTSTLSSVVVFLVALVYC >scaffold_503607.1 pep chromosome:v.1.0:5:21080376:21081652:1 gene:scaffold_503607.1 transcript:scaffold_503607.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:D7LTE6] MEMDLSKVTLDIFTKLEQKWLSHCDTSRKTRILSIDGGGTTAIVAAASILHLEHQIRLLTGDPHAHISDFFDIVAGTGIGGILAALLVAEDGSGRPMFTARDAVQFVTEKNSELFEIRYTGVFRRNKRYSGKSMERVLEAAFRREDGKVLTMKDTCKPLLVPCYDLKTSAPFVFSRAGASESPSFDFELWKVCRATSARPSLFKPFNVVSVDGKTSCSAVDGGLVMNNPTAAAVTHVLHNKRDFPSVNGVDDLLVLSLGNGSSTMSSSPGRKLRRNGDCSTSCVVDIVLDGVSDTVDQMLGNAFCWNRTDYVRIQANGLTSGGAEELLKERGVETAPFGVKRLLTESNGARIEGFVQRLVASGKSSLPPSPCKESAVNPLADGR >scaffold_503610.1 pep chromosome:v.1.0:5:21102376:21103456:-1 gene:scaffold_503610.1 transcript:scaffold_503610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LTN9] MSGLLESIPDAVALRCLAHVPLHLHPNLELVSRSWRAAIRSAELFRVRQEVRSSEHLLCVCAFDPENIWQVYSPNCDRWLTLPLLPSRIRHLAHFGAVTTPGKLFVLGGGSDAVNPLTGDHDGTFATDEVWSYDFVLRRWTPLAPMLLPRAMFACCVLQGKIVVAGGFTTCRKSISGAEMYDPENDAWTSIPDLHRTHNSACSGLVVNGKVHVLHKGLSTVQVLESVKLGWAVKDYGWPQGPMAVVEDVLYVMSHGLVFKQEGDTWKMVASASEFKRRIGMAMTSLSEEVLIVGGVIGPDRLNWDIKPLSDVDVLTVGNDRPAWRRVAPMTRCRGTILGCTQLTI >scaffold_503613.1 pep chromosome:v.1.0:5:21117650:21118572:-1 gene:scaffold_503613.1 transcript:scaffold_503613.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LTP2] MAQHNYSKREAYSEIDVAFFGVPDHLRRRYYCWRLGVTKARKLGVCTPVLYAVNPLEYIDLAWRQGC >scaffold_503631.1 pep chromosome:v.1.0:5:21168286:21169529:-1 gene:scaffold_503631.1 transcript:scaffold_503631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLNGAITFPKGLGSPGSNLHARSIPRPTLLSVTRTTTPRLSVATRCSSVSSSSRPSAQPRFIQHKKEAYWFYRFLSIVYDHIINPGHWTEDMRDDALEPADLSHPDMRVVDVGGGTGFTTLGIVKTVKAKNVTILDQSPHQLAKAKQKEPLKECKIVEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIREAYRVLKIGGKACLIGPVYPTFWLSRFFADVWMLFPKEEEYIEWFKNAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLQLGPKEEDVEKPVNNPFSFLGRFLLGTLAAAWFVLVPIYMWIKDQIVPKDQPI >scaffold_503632.1 pep chromosome:v.1.0:5:21169865:21170869:1 gene:scaffold_503632.1 transcript:scaffold_503632.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein gamma-subunit 1 [Source:UniProtKB/TrEMBL;Acc:D7LTQ9] MRIGDPQEEEPVSLGGGKHRILAELARVEQEVVFLEKELEEVESTDIVSTVCEELLCVVEKGPDPLLPLTNGPFNLGWDRWFEGPNGGEGCRCLIL >scaffold_503637.1 pep chromosome:v.1.0:5:21184802:21191115:-1 gene:scaffold_503637.1 transcript:scaffold_503637.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2221 [Source:UniProtKB/TrEMBL;Acc:D7LTR3] MACIKGVGRSASVALAPDAPYMAAGTMAGAVDLSFSSSANLEIFKLDFQSDDRDLPLVGESPSSERFHRLAWGRNGSGSEEFSLGLIAGGLVDGNIDLWNPLSLIGSQPSENALVGHLSVHKGPVRGLEFNAINPNLLASGADDGEICIWDLLKPSEPSHFPLLKGSGSATQGEISFISWNRKVQQILASTSYNGTTVIWDLRKQKPIINFADSVRRRCSVLQWNPNITTQIMVASDDDSSPTLKLWDMRNILSPVREFTGHQRGVIAMEWCPSDSSYLLTCAKDNRTICWDTNTAEIVAELPAGNNWNFDVHWYPKIPGVISASSFDGKIGIYNIEGCSRYGAEENNFGTAPLRAPKWYKRPVGASFGFGGKLVSCHARAPAKGTSSILSEVFLHSLVTEQSLVSRTSEFEAAIENGDMTSLRGLCEKKSEETESEEEKETWGLLKIMFEEEGTSRTKLISHLGFTLPIAENDQAVNGLSSDLNGNRLEDTAADAMEPDDSNEAAAFAMDNGEDFFNNFPAKPDTPVSTSAKDFMPSDTDFSTKAEETQEMQEEEEESSDPVFDNAIQRALIVGDYKEAVDQCISANKMADALVIAHVGGTALWESTREKYLKTSSAPYMKVVSAMVNNDLRSLIYTRSHKFWKETLALLCTFAQGEQWTTLCDALASKLMAAGNTLAAVLCYICAGNVDRTVEIWSRSLANERDGRSYAELLQDLMEKTLVLALATGNKKFSASLCKLFESYAEILASQGLLTTAMKYLKVLDSGGLSPELSILRDRISLSAEPETNTAASGNTQPQSTMPYNQEPTQAQPNVLANPYDNQYQQPYTDSYYVPQASHPPMQQPTMFMPHQAQPAPQPSFTPAPASNAQPTMRTTFVPSTPPALKNADQYQQPTMSSHSFTGPSNNAYPVRPGPGTYAPSGPSQVGQYPNPKMPQVVAPAAGPKGFTPMATPGVAPRSVQPASPPTQQAAAQAAPTPATPPPTVQTADTSNVPAHQKPVIATLTRLFNETSEALGGARANPTKKREIEDNSRKLGALFVKLNSGDISKNAADKLAQLCQALDNNDYSAALQIQVLLTTNEWDECNFWLATLKRMMVKARQSVR >scaffold_503639.1 pep chromosome:v.1.0:5:21194737:21197465:-1 gene:scaffold_503639.1 transcript:scaffold_503639.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7LTR5] MSNVTVCARFRPRSSKEMRDPSRDGVCARPIDAESFVFQDDKEDEFTFSLDRVFYEDSTQAALYEFLALPIMRDAVNGINGTIITYGQTGAGKTYSMEGPGIHDCDDHNKGLLPRVVHGMFQQISSSNDFARYTVKLSMVEIYMEKVRDLLDLSKANIQIKENKTQGILLSGVTEASFIVPVSDSAEALQHLCTGLANRAVGETQMNMSSSRSHCAYLFTIQQDSVKDKRVKTGKLILVDLAGSEKADKTGAEGRVLEEAKTINKSLSALGNVINALTSGSSSKANHIPYRDSKLTRILQDALGGNSRMALLCCCSPSTLNASETLSTLRFGMRAKHIKASPRASEVKSAQAQEEPSSVTKDEKCGRILEKMKERMSNEDIKMLEEVFIQEGIIFSLDSMEEVETAYEDIVSKTIQSLQQAVDELQLKVKKLEAENMGIQVQALCNHEPGPVGKMSRFISSWYASFFTS >scaffold_503640.1 pep chromosome:v.1.0:5:21197857:21199558:1 gene:scaffold_503640.1 transcript:scaffold_503640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:D7LTR6] MAACATHSSLMLAYAARSQDLTPPTPSLFSFASSRPNHLSVPLLLLGGSRDRRCDRASNRAFQVIVSAVAAEADLDTEEQDLEQTATAVLDPPKPKKGKAALVLKRDRTRSKRFLEIQKLRETKKEYDVITAISLLKQTANTRFVESVEAHFRLNIDPKYNDQQLRATVSLPKGTGQTVIVAVLAQGEKVDEAKNAGADIVGSDDLIEQIKGGFMEFDKLIASPDMMVKVAGLGKILGPRGLMPNPKAGTVTANIPQAIEEFKKGKVEFRADKTGIVHIPFGKVNFTEEDLLINFLAAVKSVETNKPKGAKGVYWKSAHICSSMGPSIKLNIREMIDFKPPAAN >scaffold_503645.1 pep chromosome:v.1.0:5:21212932:21213661:-1 gene:scaffold_503645.1 transcript:scaffold_503645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIFLASPVISATRTPIAPKAIASRLGTPLAATLAATSVLTMVPVLPAAGGGNQTYKIYYGTAASAANYGGYGGNSDRKASAEYVYDVPEGWKERLVSKVEKGTNGTDSEFYNPKKKTEKEYLTFLAGFRQLAPRDVILNNLALSDVELQDLIAGADKVVSEERKDETGQVYYLYEIDGVGKHSLITVTCAKNKLYAHFVNAPAPEWNRDHDTLTHLRDSFKTVSSS >scaffold_503646.1 pep chromosome:v.1.0:5:21213902:21217079:1 gene:scaffold_503646.1 transcript:scaffold_503646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRILCKFFAHGACLKGEHCEFSHDWKDPTNNICTYYQRGICSYGSRCRYEHVKASRPHPSASSSSPVPLSSPASASNPLARVFLPGVSERDLSPVPSSCLKPTWNLDSFHQDSLDSLDEVNTFNPGTVKPEEQPICSFAAAGDCPRGDECPHIHGNICPTCGKCCLHPFRPEEREEHKKVCEKKQKQLEALKISQEIECCVCLERVLSKATPAERKFGLLTECDHAFCIACIRNWRSSSPSTGMDVNSTLRACPICRKLSYFVVPSVIWFSAPEEKKEIMDNYREKLRSIDCKHFSFGDGNCPFGTSCFYKHAYHDGRLEEVVLRHLDAEDGQTVIAKDIRLSDFLESMHI >scaffold_50700001.1 pep scaffold:JGI8X:scaffold_507:366:2540:-1 gene:scaffold_50700001.1 transcript:scaffold_50700001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNPTDKNIVFRKLKAKSENKVCFFCSAKNPTWASVTYGIFLCMDCSATHRSLGVHISFVKSTNLDSWSPEQLRAMMFGGNYRAQVFFKQHGWTDNGNIESKYTSRAADLYRQILAKEVAKAIAQDTTTDLPKVSPGVSSYSVKEELPPKETSSVIHEATSATSSPKASNEFLPSTFTKPIIAKRTGKTGGLGARKLTTKPKENHYEQTPEESSLLIPAASSAINRGIKSSAAFSSASQFENNDALQSGGQSGGGTQVLSHVAPPKSSSSFLADSGMDRPSIAEVEESDEARKRFSNAKSISSAQFFGDEMKSAGRDESETTLEGKNDFWSLVNKAACEVKEKLLSKSNHHQDKVNDLRREVTILMEILHAQDADAIPLVTRQNWAHLLCTEVILTTQSI >scaffold_50900001.1 pep scaffold:JGI8X:scaffold_509:1431:1905:1 gene:scaffold_50900001.1 transcript:scaffold_50900001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXR3] MHPRLKLAGSLDVAMMESVLEFLKEQDLTLDLVIIFLGLVTFIVFMISSFPYRKRIRDRQLNEFGVAISNHKYVVFLFFFFYVVTPNDSCAQNQREWGLVVLRFVTKSCFTIVSYVVLCKVSAILLSKPPLESTDDLQDKSQ >scaffold_5200003.1 pep scaffold:JGI8X:scaffold_52:7983:9367:1 gene:scaffold_5200003.1 transcript:scaffold_5200003.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S16 family protein [Source:UniProtKB/TrEMBL;Acc:D7MM86] MVVRIRLSRFGCKNRPFFRVMAADSRSPRDGKHLEVLGYFNPLPGQDGGKRMGLKFDRIKYWLSVGAQPSDPVQRLLFRSGLLPPPPMVAMGRKGGARDTRPVDPMTGRYVDAENKTVNASDNQPKEEDTEDKSA >scaffold_5200005.1 pep scaffold:JGI8X:scaffold_52:16752:16949:-1 gene:scaffold_5200005.1 transcript:scaffold_5200005.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MVZ8] MAWGGSYRCRWFLLLWNFQPFVIFPESILTVCFYSVLSYYRNYLISYICPL >scaffold_5200007.1 pep scaffold:JGI8X:scaffold_52:35101:35719:1 gene:scaffold_5200007.1 transcript:scaffold_5200007.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW00] MSTANPKITYTSSYHVESHSLENQGQHNPASKTTHRNSKTLPDATTTTDASSKRLARILQKANRSKQISKAGTRSEAKLLKNHQSQERERQRIETANTHREHQGEENSAYYEDCQNQNSKRSFCLERIRAQRESKADREDKGCKEMQRLARLKRNPQPNNRDGLETTISTRTAKKQRAGKSKEEVFYYHLL >scaffold_5300003.1 pep scaffold:JGI8X:scaffold_53:3073:3627:-1 gene:scaffold_5300003.1 transcript:scaffold_5300003.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW04] MEEEDETMEKEGEPMNEVDDIEGEWCKPKNTIRRTMDKTRTVDTTKTVKVFLDLDTTEVPEDDEQVKTLKDQIEKALQTRDQTLTVGEICCFGLDEPPNKVRAPFLHRLGYKVTLNPRRVEYYKKKIGCRKCHSY >scaffold_5300005.1 pep scaffold:JGI8X:scaffold_53:29226:30204:-1 gene:scaffold_5300005.1 transcript:scaffold_5300005.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW08] MAISPSKTMENEKQDGPWSFPKKPVRARNLVAPDPARDPALNKDITKAVTVFIDMDTTQLPDDHGRLNDLRNQIENALKTCDPTLHVSYQIYCYGIDNEYNKSCRTFLKTRGYEFKLNPSRGSYCGKPACGTCQDDQLYNLPSFVPFHYFLFSCLTYFIFAVKRYPKKDLTELIMTQSVLLHAFDSLLQSHSSRHILLVSGDGNSGSLLKGLTLRNFITYAAVCGDSKLSLFIDMNHTWYWSRMVEGGLSIQREIDQEDAEG >scaffold_5300006.1 pep scaffold:JGI8X:scaffold_53:31356:31792:-1 gene:scaffold_5300006.1 transcript:scaffold_5300006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTNWLIQDFMISKMPSLPTKIVLHEHVSAVNNLTNDAKIKRETFSIQAEDEAREGADFSAAKHKFLTMFCNSFELQFFSCAFYYLSVFFSCRISFQTPQENS >scaffold_5300007.1 pep scaffold:JGI8X:scaffold_53:32166:34304:-1 gene:scaffold_5300007.1 transcript:scaffold_5300007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPIAWRKAYRPNQIFITAAQSIVVSIERKKKHKAAVHISRFTTGSAECSSVAQGKLEYACLEEVSALTTSSACSIDEFLASGDETTVSLFDELQSTLSSHQGEMVLFARELRQRFHTTMEQTQEMFEYTSMFFQKLMEESKNSESRVAEANNSYIKQNFLFSED >scaffold_53500001.1 pep scaffold:JGI8X:scaffold_535:322:1081:-1 gene:scaffold_53500001.1 transcript:scaffold_53500001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTKINPCYVLLPFFLVLAANATHKNVFLPRPRIGYYGSACWNVESIVRSVVESNYLANPANAPGILRMHFHDCFVQGCDASVLLAGPNSERTAIPNLSLRGFNVIEEAKTQLEIACPRTVSCADILALAARDFVNLAGGPWWPVPLGRLDGRVSLASNVILPGPTDSVAVQKLRFAEKNLNTQDLVVLAGTL >scaffold_5400001.1 pep scaffold:JGI8X:scaffold_54:19663:19901:-1 gene:scaffold_5400001.1 transcript:scaffold_5400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTSDSDYFTTIVANKYGSKRVQKLLGKSDDVDALFCAAILRGMSLGISWWSRHCDSPRRCL >scaffold_5400002.1 pep scaffold:JGI8X:scaffold_54:20938:21127:1 gene:scaffold_5400002.1 transcript:scaffold_5400002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAISRKSNQTIGKILYPSANSICSQKAREGKKLIDFPWLLNGVYITXX >scaffold_55700001.1 pep scaffold:JGI8X:scaffold_557:4300:5238:-1 gene:scaffold_55700001.1 transcript:scaffold_55700001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGEGSNVSKSKQVVFTINLDDDCRICLKSLADDRTPVKLLCGHQYHLALQVKISMYVPLVHPLMGGLPTPVRRESILPLIGRQLGAILPNSTRPSTMSQPLMDDFPAPVRRESVLPSVERKFGTILPNSSRSTVVQPLMDGLPAPVRRESVLPSVGRQFDVILPNSARSTVVQPLMDGLPATGQA >scaffold_55800001.1 pep scaffold:JGI8X:scaffold_558:339:704:-1 gene:scaffold_55800001.1 transcript:scaffold_55800001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPAQEQEQKRAMEPGVLDDIIRRLVEFRNTRPGSGKQVHLSEGEIRQLCAVSKEIFLQQPNLLELEAPIKICGQIFAPFGFKIVVFFFCSGEFSACCDKVSRFV >scaffold_5700002.1 pep scaffold:JGI8X:scaffold_57:7021:7219:1 gene:scaffold_5700002.1 transcript:scaffold_5700002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIFESMKQRREANEFTFGMMLCMYKKNGRFEEATQIAKQMREMKIRLIR >scaffold_58000002.1 pep scaffold:JGI8X:scaffold_580:4296:5675:-1 gene:scaffold_58000002.1 transcript:scaffold_58000002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADVTHPQPGEDSSPSIAAVVASMDWPEITKYRGLVSAQAHREEIIQDLYKLVQDPQRGLVHSGLIREHFIAFRRATGQIPQRIIFYRDGVSEGQFSQVLLHEMTAIRKACNSLQENYVPRVTFVIVQKRHHTRLFPEQHGNRDTTDKSGNIQPGTVVDTTICHPNEFDFYLNSHAGIQGTSRPAHYHVLLDENGFSADQLQMLTNNLCYTFARCTRSVSIVPPAYYAHLAAFRARYYMESEMSDGGSSRSRNTTTGAGQVISQLPAIKDNVKDVMFYC >scaffold_5800002.1 pep scaffold:JGI8X:scaffold_58:5316:5602:-1 gene:scaffold_5800002.1 transcript:scaffold_5800002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW19] MASLLCSYFLLPPAVSLKKVVVKPSKNSSFFESFIEGISSNLYIPAEAVKYKHWVDLAQNSLRDLATSFDAQIDDEKMEKN >scaffold_5800005.1 pep scaffold:JGI8X:scaffold_58:37779:38313:1 gene:scaffold_5800005.1 transcript:scaffold_5800005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASFAFLQAICFFIALPTHSWLWLISIFKKSTVGWSTGNILLDFAGGLANNLQMVIQ >scaffold_58200001.1 pep scaffold:JGI8X:scaffold_582:4465:4756:1 gene:scaffold_58200001.1 transcript:scaffold_58200001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHNLLSQVDAGLKAGNAYHKYHVKRNSWRKVRGVAMNPVEHFHGGGNHQHIGQASTVRRDASPRRTGGLRGQDAASVGKTRV >scaffold_58500002.1 pep scaffold:JGI8X:scaffold_585:3552:3940:-1 gene:scaffold_58500002.1 transcript:scaffold_58500002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFSSQITTLFIVVALVCVFVPVFSVEEAKAKSLWNTCLVKITPKCALDIIGVVFENGTISDPCCNDLVKEGKVCHDTLIKYIADKPMLIAHETEYLKKSADLWNHCVSISKSA >scaffold_5900002.1 pep scaffold:JGI8X:scaffold_59:6514:6769:-1 gene:scaffold_5900002.1 transcript:scaffold_5900002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDFPACERLGTCRSCGDARFVPCTNCDGSTKVFEEQDERFKRCPKCNENGLVRCRVLSLSDLFDQKLCG >scaffold_5900004.1 pep scaffold:JGI8X:scaffold_59:44299:44507:1 gene:scaffold_5900004.1 transcript:scaffold_5900004.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MW26] METLDETLISNQTTLRWRDGNGSWITISFGSRRSGSPEISLRRESAVLLRLLFF >scaffold_5900005.1 pep scaffold:JGI8X:scaffold_59:45696:47071:-1 gene:scaffold_5900005.1 transcript:scaffold_5900005.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:TAIR;Acc:AT2G30100](projected from arabidopsis_thaliana,AT2G30100) MAYVRGLASISLTFSPISLRRFRPRLHRNHSLKPNSRIIICNLKSNYSAGKFRELGGLSRSVELDQFITSEEEEEEAEEIGEGFFEAIEELERMTREPSDILEEMNHRLSSRELQLMLVYFAQEGRDSWCTLEVFEWLKKENRVDEEIMELMVSIMCGWVKKLIQEECDAHQVFDLLIEMDCVGLKPGFSMMEKVIALYCEMGKKECAVLFVKEVLRRRDGFGYSVVGGGSEGRKGGPVGYLAWKLMVDGDYKKAVDMVMELRLSGLKPEAYSYLIAMTAIVKELNSLGKTLRELKRFTRAGFVAEIDDHDRVLIEKYQSETLSRGLQLATWAVEEGQENDSIIGVVHERLLAMYICAGRGPEAEKQLWKMKLAGREPEADLQDIVMAICASXX >scaffold_59400001.1 pep scaffold:JGI8X:scaffold_594:81:322:1 gene:scaffold_59400001.1 transcript:scaffold_59400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINPENSIMDELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDEPNTFGSRIHRMLKLGLSI >scaffold_59400002.1 pep scaffold:JGI8X:scaffold_594:5039:5447:-1 gene:scaffold_59400002.1 transcript:scaffold_59400002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRSWERMIAQHNVKIKKMEKLVHEKDDVKTVVLGKSKMIVTWLLGSLLAVAWFKCHEVPIEKSLLAKFAWAMVAEPGFKF >scaffold_59700001.1 pep scaffold:JGI8X:scaffold_597:526:716:-1 gene:scaffold_59700001.1 transcript:scaffold_59700001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCU5] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_6000006.1 pep scaffold:JGI8X:scaffold_60:43473:45334:-1 gene:scaffold_6000006.1 transcript:scaffold_6000006.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nine-cis-epoxycarotenoid dioxygenase 9 [Source:UniProtKB/TrEMBL;Acc:D7MW32] MASTTLLPSTSTQFLDRTFSTSSSSSIPNLQSLSFSSTLCSKKLIPCSVSSSVIKKSYVSSSLPSPTYKQPSWKKLCNDVANLIPKTTNQSPTLNLVQRTAATVLDAVENMMISHERRRHPLPKTADPAVQIAGNFFPVPEQPVVHNLPVAGTVPDCIQGVYVRNGANPLHRPISGHHLFDGDGMVHAVRFDNGSVSYACRFTETNRLVQEREFGRPVFPKAIGELHGHLGIAKLMLFNTRGLFGLVDPTGGLGVANAGLVYFNGHLLAMSEDDLPYHVKVTQTGDLETSGRYDFDGQLKSTMIAHPKIDPETRELFALSYDVVSKPYLKYFRFTSDGEKSPDVEIPLDQPTMIHDFAITKNFVVIPDQQVVFRLPEMIRGGSPVVYDEKKKSRFGILNKYAKEASSIQWIEVPDCFCFHLWNSWEEPETDEVVVIGSCMTPPDSIFNEHDETLHCVLSEIRLNLKTGESTRRPVISEQVNLEAGMVNRNLLGKKTRFAYLALTEPWPKVSGFVKVDLSTGDIQKYIYGDGKYGGEPLFLPSGDGEEDGGYIMVFVHDEEKVKSELQIINAVNMKLEATVTLPSRVPYGFHGTFISKEDLSKQALC >scaffold_600012.1 pep chromosome:v.1.0:6:35631:37409:-1 gene:scaffold_600012.1 transcript:scaffold_600012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSPATATATATSAAFHSCSTAGFSTPTHISPQNSSRRAYSYMMRCSFSPQDLSVESLSHLPALHDFQNSLATFSDTQKWGFFFSAGLVWFYLTARPGVLIGAIDAYLLAPLQLGLDTLIGRRLKRSDFLVTEKLGEGSFGVVYAGVLLPKNSTVVDEARVSKARAKAMEFTGEFKQRVILKKVKVGVRGAEEFGEFEEWFNYRLSRAAPETCAEFLGSFVADKTNTMFTKGGKWLVWRFEGDRDLADYMKDRSFPSNLESIMFGRVLQGVESVKRRALIIKQIMRQIITSLRKIHDTGIVHRDVKPANLVVTKKGQIKLIDFGAAADLRIGKNYIPERTLLDPDYCPPELYVLPEETPSPPPEPIAALLSPILWQLNSPDLFDMYSAGIVLLQMAVPTLRSTAALKNFNLEIKSVEYDLNRWRDRTRTRPDLSILDLDSGRGWDLVTKLISERGSLRRGRLSAAAALRHPYFLLGGDQAAAVLSKLTFSK >scaffold_600013.1 pep chromosome:v.1.0:6:37903:38689:1 gene:scaffold_600013.1 transcript:scaffold_600013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSGRKALQPKNIFQTPKEDAFVVKAKAAELKENLIDASLAEELSAFKKKLERQREDRERTEKLLKERDGAMDVQMSLLLQRGETQKSLEIQVDRLFRLKELHAYSSKISPIRSLRAKEHERMRRFSFVTQKKKKKAPSRGRISRALQMGPWNKKKTRRPRIMSRSSSIPISLDIVMSRYNWAFSLDP >scaffold_600016.1 pep chromosome:v.1.0:6:54989:55506:-1 gene:scaffold_600016.1 transcript:scaffold_600016.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M6E4] MHRLLLESHGGGNETSGGGGDGYMRDMNFDANMVIILAALLCALILALGLNSILRCAMRCGFGLSSSAAGGAVADRSGLKKRELKKFPVAAYGSGEVKIAATECAICLGEFADGERVRVLPPCKHSFHMSCIDTWLVSHSSCPNCRHSLIEVHVVGSE >scaffold_600023.1 pep chromosome:v.1.0:6:82890:84204:-1 gene:scaffold_600023.1 transcript:scaffold_600023.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7M6F1] MEKKGSVLMLRYEVGKFLGQGTFAKVYHARNLITGDSVAIKVIDKDRILKVGMTEQIKREISVMRLLSHPNIVELHEVMATKSKIYFVMEHVKGGELFNKVSTGKLREDVARKYFQQLVRAIDYCHSRGVCHRDLKPENLLLDEQGNLKVSDFGLSALADSRRQDGLLHTTCGTPAYVAPEVISRRGYDGFKADVWSCGVILFVLLAGYLPFRDSNLMELYKKIGKAEVKFPNWLAPGAKRLLKRIFDPNPNTRVSTEKIMKSSWFRKGLQLQDEEKESVDEETEVEVETSANASAEKEKNRCRNLNAFEIISLSTGFDLSGLFEKGEEKEEMRFTSNREASEITEKLVEIGKELKMKVRKKEQEWRVTMSAEATVVEAEVFEIAPSYHMVVLKKSGGDAAEYKRVMKESIRPALIDIVLAWH >scaffold_600025.1 pep chromosome:v.1.0:6:90970:91586:1 gene:scaffold_600025.1 transcript:scaffold_600025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAGSERGASLSLRKQDTPTFSGKLPSTKQRFSSPATGASACPSFRIYYYDGAAGSVPFEWESHPGTPKHPSSELPTLPPLTPPPSHFSFSGDQIRRRSKNSTKKILALIPTKLFWLSGDHSSKAKKLSASSPPSMSERVLIDDNEYDLFKFQSERNVMRRFSSFDSSADYPIQRSQSTSCFGIRRCFIY >scaffold_600026.1 pep chromosome:v.1.0:6:93194:94750:1 gene:scaffold_600026.1 transcript:scaffold_600026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSTHPPIRKTPTTSFESLNNYDEQFPPLTGGSKKKKVSPTSEVDLGSTKPSSSCKSSQFQNWTRGKHRDQGTRRRRASLFIDEEVNGTTFEVGAGTAGSQTSVVELDSINPSSPGKSSQSHNSKIRKVRNSGFKSRDQSPKRREDPPFDICSSVLDTSINDWALADETNGETVEVSNKHRVLRPGMVLLKGFLSHDIQVDIVKTCRELGVKPAGFYQPGYRVGSKLHLQMMCLGRNWDPQTKYGENTDIDSKAADIPVAFSVLVEEAIREAHALIDRESGTEDAERILPVMSPDICIVNFYSETGRLGLHQDRDESQESIVKGLPIVSFSVGDSAEFLYGEKRDVEEAQGVHGVKSIIPNSAPMSLLNESNLRTGRLNLTFRHF >scaffold_600030.1 pep chromosome:v.1.0:6:110354:110576:-1 gene:scaffold_600030.1 transcript:scaffold_600030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3U1] MENLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCSVSRSSRRVF >scaffold_600041.1 pep chromosome:v.1.0:6:167774:168329:1 gene:scaffold_600041.1 transcript:scaffold_600041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYASGVAKGVAPKARIATYKVCWKESGCLDSDILAAFDAASRDGVDVISISIGGGDGITSPYYLDPIAIGSYGAASKGISVSSSAGNEGPTNLAPWVTTVGASTIDRNFPADAILGDGHRLRGVSLYAGVPLRKRRRL >scaffold_600043.1 pep chromosome:v.1.0:6:170431:171124:1 gene:scaffold_600043.1 transcript:scaffold_600043.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7M6H0] MVSTHPPVLPISSTTTTQPPIVTAVVESQPPAVRAFVNGVTETVRGGLSRSRPWSELLDRSAFSKPDSLSEAATRFRKNSSYFRVNYVCIVALILGFSLLAHPFSLILLLCLAASWLFLYLFRPSDRPLVLFGRSFSEYETLGGLILSTIAVIFFTSVGSVLISALMIGVATVCVHGAFRAPDDLFLDEQDAAAVGFLSFIGVPAVAPSASSAPSPV >scaffold_600047.1 pep chromosome:v.1.0:6:187687:189320:1 gene:scaffold_600047.1 transcript:scaffold_600047.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin [Source:UniProtKB/TrEMBL;Acc:D7M6H4] MASKALSSFTANPALSPKPLLPHGAASPSVSLGFSRKTGGGRTVVVVSAATVDTNNMPMTGVVFQPFEEVKKADLAIPITSHASLARQRYADASEAVINEQINVEYNVSYVYHSMYAYFDRDNVALKGLAKFFKESSEEERGHAEKFMEYQNQRGGRVKLHPIVAPVSEFEHAEKGDALYAMELALSLEKLTNEKLLNVHRVASENNDPQLADFVETEFLGEQIEAIKKISDYITQLRMVGKGHGVWHFDQMLLN >scaffold_600048.1 pep chromosome:v.1.0:6:190695:192947:-1 gene:scaffold_600048.1 transcript:scaffold_600048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMNFNPFQNWFEKPPNPVPSINFVSLADSFFPKSQSPNFAAIGLPKFSKKPPKPETGTDEPGPYKQIAEQFLWECENIPDYRHTPEVDKILNEDPVFEKKENPSTEEIEAEQKWWERFRASPVVQFMTRAEEIADDMNKMELEDNDTPYRKEDKDYWRAIPHVPGFDGRPMPRKAIKSKEESDDKFWDFMKQFLFGLWGFRQRPYPPGRPIDVAQAIGYKRLEKRYYDFIMKTGGWWYKDRLGRSRGPCELITLKTAYGAGIIDRDTFIWGEDMDEWAPIHMVYGLEPAIATWEVRLGAAATAFLHKLQKGIPPWVPLKGREPKTYKQLQKEAIESKKRDMAVLEANDGVWPGVRTPSHALFLWASGSELTTVLESDHMPNKFIPKQLRQELAKVIPGLRPWEVISIEQAMDQISYGGEWYREPLGTYTTGPPYIREWNRSVMRLFRIFYNLSVRVGQKLERTVPGFDTIMEKVQKDYDTRIARRMKRREEELREEDLKHYSGRSDEDEEEKDDDSNSK >scaffold_600056.1 pep chromosome:v.1.0:6:214218:217725:-1 gene:scaffold_600056.1 transcript:scaffold_600056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTLRFPLPLHIPQTRTMSSSCQPKRRRLEHLRCSAQPSLREDDEEADDRSVGVARRISIVVERYGNGTSKRYFLDDDDDSPLQGFLEERELKPDNDSQSSDSSETNTLWLPDVVKDFVFPTGFPASVSDDYLDYMLWQFPTNVTGWICNVLVTSSLLKAVGVGSFSGTSAAATAAASAAAIRWVSKDGIGALGRLLIGGRFGSLFDDDPKQWRMYADFIGSAGSFFDLATQLYPSQFLLLASTGNLAKAVARGLRDPSFRVIQNHFAISGNLGEVAAKEEVWEVAAQLIGLGFGILIIDTPGLVKSFPFVSLTWTSVRLVHLWLRYQSLAVLQFNTVNLKRARIIVESHVVHSVVPGYVDCNKRENILLGQRFMKPRIIFGVSLEEVSGLEKSVSKVKALLKMYTKEKYILTLNKLNSDTEFSVSFKVNATSRDVLRCLWQAYWLEENMEESFKDKDSVFHWLKQSLSEMDNKFDDFLFKLDTAGWNLRESNLKIPNQVLIDQESIPL >scaffold_600060.1 pep chromosome:v.1.0:6:232468:233119:-1 gene:scaffold_600060.1 transcript:scaffold_600060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLDHAPSYSLLCPQGRFQKDEESYHTIKSSYLISDAADGKSNHRHLVFLRNRKEDGLRYILCQACYNLIENQTYYICSYCNWKSYHKECVESPSKFHSSDHPKHPLQLVWFPGNRRQHQKQCCSCRRYRGRLLYYCSICDFSIDPVCVGKPTSLIIDNPKRHKHTLHYFPRISALVCDVCGLEGHIDYLYVCLLCARFHCP >scaffold_600062.1 pep chromosome:v.1.0:6:235958:239304:-1 gene:scaffold_600062.1 transcript:scaffold_600062.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LMBR1 integral membrane family protein [Source:UniProtKB/TrEMBL;Acc:D7M717] MGDFNLALVIVAIVVCVLVFISSIYLLVNYQHPDDANQAYFPKFVVVFGLSIAMISILMLPADVANRHACRHSIYNGACNLTLPMKELWLAVYIVDAVLVFFIIPFAMFFYEGDQDKALGKRIKSALIWVVTTAVVCALVLGILYGVIGKVDFSVRHLSSATTTFPTSWQFSNNQPCIGNTARQCSAYTANAASEKTWSMRTTFPEYVVALATIVGSVLFTIFGGVGIACLPLGLITAFIRRPKAVITRSQYIKEATELGKKARDLKKAADALHQEERSGAKGRKWRKNVKAVEKELLQLEEDVNLLEEMYPQGEQAETAWAFTVLGYLAKFILGILGLIVSVAWIAHIIIYLLVDPPLSPFLNEVFIKLDDVWGLLGTAAFAFFCFYLLLSVIAGAMMLGLKLVFITIHPMKWGATLMNSFLFNVGLILLCSISVIQFCATAFGYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIGFVVLAGLTFLYYIAFGWRRKKPSGRFQLST >scaffold_600064.1 pep chromosome:v.1.0:6:243154:243659:1 gene:scaffold_600064.1 transcript:scaffold_600064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIFRQSAYRASLADYDPPQIRSCVFRLPVESYITHPRFARRYDFEEKLSPDVTESQEKLSPDVRESSTSNLGKQLQSSSKMRFLTKRKAF >scaffold_600065.1 pep chromosome:v.1.0:6:244334:244843:1 gene:scaffold_600065.1 transcript:scaffold_600065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFFHKPSYSIFAKPPRFHSCFDYRTVISVDPNPRFQRCEVQEDISPDVTKSSSNLGKQLQSSSKMRFLHKRKAFKKKKTKKMLVNKRKATTT >scaffold_600068.1 pep chromosome:v.1.0:6:249930:250276:1 gene:scaffold_600068.1 transcript:scaffold_600068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKHRKTQNDGGERTIPINPVQTHVVNQVPEHCKPQILTPKPMKQAILQQISTPSSNPISVRDPDTILGKPFEDIRKSYNLGKELGRGQFGIMYMCK >scaffold_600069.1 pep chromosome:v.1.0:6:250330:251155:1 gene:scaffold_600069.1 transcript:scaffold_600069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLSGQPNIVEIKGAYEYRQSVHLVMELCAGGELFDRIIAQGHYSERAAYGAGVDVWAAGCIFAELLLRRPFLPGSTEIDQLRKIFQAFGTPVPSQWYDMIYLPDYMEFLCLVWYLEPQTIRPGETGIEFAERVRDMISLRAGLKKVPWDGYCHYSF >scaffold_600071.1 pep chromosome:v.1.0:6:256987:257974:1 gene:scaffold_600071.1 transcript:scaffold_600071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGVVAVYGNGAITEAKKSPFSVKVGLAQMLRGGVIMDVVNAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQMIKEIKQAVTIPVMAKARIGHFVEAQILEAIGIDYIDESEVLTLADEDHHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVNGDIRVLRNMDDDEVFTFAKKLAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEMLVEVSCGLGEAMVGINLNDEKVERFANRSE >scaffold_600075.1 pep chromosome:v.1.0:6:276591:278373:-1 gene:scaffold_600075.1 transcript:scaffold_600075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRANPKTGCLTAVVRRLLCSGSQQTHPSDNILDSDETLQLLIPYEEIEEPKKEIKTETETQNDDVSLHPPPPPPPPPNVVAKLMGLDHPAPGSKRFRYFDDSGSAVTRSKSVNFMDYILRGHEEEEEDEDEKDGQRQCRRVKASVSFREIVPTSARWSSNNQQKKHDFLLLYLDKLDEKRELVGSSSFSRSKRFEKVVEDSKKPPLPPPVKRKENEKVAKKFKDEPRKVARKKKSENRNDVNGAKKVRWFLSPSKSKSSEKTALLGESKNIRADEFIGKESESPENKSNASPVSVLDRDLYDYLILDDDYYFSGGDSESASELSTKRVETTTTKSSCSSSPARTRTSTKKENNNSTNNDSEETEFITKLMNMLSDLSEEDMKSSTWVSTSSTKPVDFTQVEDFCVEFGQEILDLVMDQLVDELCI >scaffold_600076.1 pep chromosome:v.1.0:6:282023:283754:1 gene:scaffold_600076.1 transcript:scaffold_600076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLIPNRGAGGTKIPLSIIVLVLCGFMFFILLYTERISLMSSSSSSSSYFFKLKSCPRKDNSSKPKEKIQKERSEILEVLDDRFEFDPEECNVAAGKWVYNSSIEPLYTDKSCPYIDRQFSCMKNGQPETDYLRWEWQPDDCTIPRFSPKLAMNKLRGKRLLFVGDSLQRSQWESFVCLVESIIPEAEKSMKRSRKHFVFKAKEYNATIEFYWAPYIVESNTDIPVISDPKKRIVKVDSVKDRAKFWEGADILVFNTYVWWMSGLRMKALWGSFGNGESGAEALDTPVAYRLGLKTWANWVDSTVDPNKTRVFFTTMSPTHTRSADWGKPNGTKCFNETKPIKDKKFWGTGSNKQMMKVVSSVIKHMTTHVTVINITQLSEYRIDAHTSVYTETGGKILTAEERADPMHHADCIHWCLPGLPDTWNRILLAHL >scaffold_600078.1 pep chromosome:v.1.0:6:285554:285758:-1 gene:scaffold_600078.1 transcript:scaffold_600078.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M733] MCSTKISRKQKTQQKFLDRRTSYKGLVTPVEFGLKEEKQHQKITGCLIHKLITF >scaffold_600085.1 pep chromosome:v.1.0:6:326299:330340:-1 gene:scaffold_600085.1 transcript:scaffold_600085.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA guanylyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M740] MDLNASPQPEEDEESFKRRHEDRVESAVEIARREREERKKRMRYDRPTRSSQPVFRDQSYQKRDTRVYDQSKLPQGWLDCPGFGLEIGCIIPSKVPLSESYNEHVPPGKRYSFKQVVRNQRINGRKLGLVIDLTNTTRYYPTLDLKKDGIKHVKIACRGRDAVPDNVSVNTFVNEVLQFVLNQKHAKKYVLVHCTHGHNRTGFMIVHYLMRSMPTMNVTQALKMFSDARPPGIYKADYIDALYTFYHEIKPESVTCPPTPEWKRSTELDLNGEAVQDDDDDDDSPPDPVQEINQETVKMSNDDILGDEIPHYQEEAYRQFCYKMLYPENKKMNIGGGGCKPFPGSHPVSLDRESLQLLRQRYYYATWKADGTRYMMLLTIDGCYLIDRSFKFRRVQMRFPCKHSREGISDKVHHYTLLDGEMVIDTPTGEQGEARRRYLVYDMVAINGESVVERTFCERWNMFVREVIGPRAAEKLRSHCYRYDLEPFAVRMKGFWLLSTVEKLLKNTIPSLSHEADGLIFQGWDDPYVPRTHKGLLKWKYAEMNSVDFLFETGEEEGRGFLFLHERGKKKLMEGYSVEFRDDSDPSSYNGKIVECAWDKDKKVWFSMRIRVDKTTPNDINTARKVIKSINDNITEEVLLEEIKEIIRLPMYADRIRNDSQAARRK >scaffold_600087.1 pep chromosome:v.1.0:6:333344:333554:1 gene:scaffold_600087.1 transcript:scaffold_600087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNFRPSVTKVPATSLNSVRSCRNRPVISSGSSESSVNILRLDGSDDNLSDISYS >scaffold_600092.1 pep chromosome:v.1.0:6:351364:351840:1 gene:scaffold_600092.1 transcript:scaffold_600092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPVAVHCIDAFDDLLEIMRSIGPFPGGVILHSFNGSAEGVPKLNELGAYLSFSGWFTYIDEKIGKKALKLVCDQRDKRTIVFCFCCYVLLLGQEKLIQYQNYVVFCCLLGRSCNYVSYSYCLV >scaffold_600106.1 pep chromosome:v.1.0:6:405238:407215:-1 gene:scaffold_600106.1 transcript:scaffold_600106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFEHHKAYGPMKDGMPRREPAQRCHIPNLDSYHYVYNFMAMYNQFPQRFRYANHIIVFFDVSMKSASLCIFRKEPLTVAGNLPGMFLVVLVGVLFLRIISKLCREEAERKRVFGN >scaffold_600108.1 pep chromosome:v.1.0:6:412545:414289:-1 gene:scaffold_600108.1 transcript:scaffold_600108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSTEPKVSLRLIIDEKKNKVVLAEAGKDFVQVLFSFLTLPMGTIVRLLGKHQVSEPVTVGCISNLYTSVVDMKIDDFETEACKQMLLYPRNYVREAQCRKFKLNINPTENFKCFGCSSFPSCSMCSNFNTSRCRCGKLMTREIDLLEDEEVIVGIMQNDLRGVFIRDRSSFIITDDLKLTVDSTSSLLQTLKALGFSDVSKLRERVLDIGLKEVLTLLRCVFSSSSPLTDTFLKNQSLHKVRKIYKRLSPCLEKNGDEAEQDKVITFDAIVRKQDMRILYVECREDFVDLLLTFLAIPLESIWEVSGNHISLGCVGNLSRSFKDLSDNERTQVSNPKFVLPYYYSCQNQLLNIITFKPQFYVTINRDISRPLCCVTTKPTFIQVSFIDPKYNGHHSVSTEGSGGFMKRDSKFTVSDDLVIAPKNTTSTFWALKKLQIHTNDIEVQKVSISKAHALNLLRASVVTSSALSSAFGNLIVKKPKEENVSWNPVSKKLKVET >scaffold_600110.1 pep chromosome:v.1.0:6:422800:423941:-1 gene:scaffold_600110.1 transcript:scaffold_600110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVAGGGGGLEVATANISLRTTFNFSANTKKTMNFFILSVAVFVALGLSSHPQYVDSSAASSQDPLRLK >scaffold_600112.1 pep chromosome:v.1.0:6:428580:429678:-1 gene:scaffold_600112.1 transcript:scaffold_600112.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Legume lectin family protein [Source:UniProtKB/TrEMBL;Acc:D7M764] MAVFKTLAFLFFLCFGIYQAVAVTDVNSSFSFNGFVKSPSFDKNVALFGDSKLVNGGPSIQLTDSVSRSEGRVIYKKPIRLFQGKERNFSGSFSTSFSFSMSDEIGSVLAFVMVPRGLDLRLFGRKGNSSSGLGFLLKHKVVAVEFGISKRGNRVGILVGRPESGKVRKLSSFGDHFNGEKKLNCWIDYEASSKRIEVRLSLSTALKPVDPFVSYLVDLAKLWKDGKFMVGLTSANGKSSKPVYLHSWNFKLRHPSMRIHSQPLDPNDVSKTVKEGEKTVEVKGKGKCIWRILGALVLGAVCGTLGAMSALYLWTICGNRQSMAIVPEECANEKADIVVMKSDVVDEEGKK >scaffold_600121.1 pep chromosome:v.1.0:6:461485:462870:-1 gene:scaffold_600121.1 transcript:scaffold_600121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKKKASSSSSSSSLTLTSELFGSRENPSSPSPSSSSGIFGSIFPPPSQVLGRESMRQETVAGAWNDKTSKTVEDFAGDVDRNREQQENHGSGYQDQRVQPCHLSSSIYYGGPDVYFQPQNSSINSTNKKDGGEDDSGSASRGNWWQGSLYY >scaffold_600128.1 pep chromosome:v.1.0:6:488619:488919:-1 gene:scaffold_600128.1 transcript:scaffold_600128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFLYKAIVMYKREGSFSSVLLSDHHSPSNTGYYMRLPGDSSGRFRTSDRRRFGTDRLGLLETTPSSSPSRVSTRNMITKRT >scaffold_600130.1 pep chromosome:v.1.0:6:498559:500403:1 gene:scaffold_600130.1 transcript:scaffold_600130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLVSSFLCFSSSLASGDRICYVQFPQRFGVIDPNDRYANHHTVFFDVSMRALDGIQGPMYVGTGCIFRRTALYGFSPPRATEHHGWLGRRKVKISLRKPKAMYKRVDISFTLTSKSSTPEEGEDEFADLYAVKWSFLMVPPLSIMMVNIIAIAVGPATTLYSPFPQWSKLVGGVFFSFWVRDKELRREADREEKGQIFAPKWFDETEEVTPTPWGDLEVYQFNGKYSVHRATADNSEDTTDVKVTQFNPWQFQDLSA >scaffold_600131.1 pep chromosome:v.1.0:6:501735:503335:1 gene:scaffold_600131.1 transcript:scaffold_600131.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCD7_1 [Source:UniProtKB/TrEMBL;Acc:D7M782] MDNLLCEESWPSSPLTPEPLPNFRHSSHDNDVVAMYPEIDAATMEEAIAMDLEKELCFSNYGDKFVEFFVSKKLTDYRFQAVQWLIQTRSRLNLSFETVFSAVNCFDRFVYMTCCDEWTNWMVELVAVTSLSIASKFNEVTTPLLEELEMEGLTHMFHVNTVLQMELIILKALEWRVNSVTSYSFSQTLVSRIGVVGDYMMMNRITNHLMNDLCDLKILQYPPSVVAAAAMLNVLDEERLKDNIMKLFGQEQKEKIEKCVEVMKNRNSDHQLSIRTSCEGKSILRLLQRGDVMNMNDDYNVESLSKIFQIFRYENKKRDRANHQDNIRPAKRTTFVMSNYI >scaffold_600132.1 pep chromosome:v.1.0:6:503721:504250:1 gene:scaffold_600132.1 transcript:scaffold_600132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPLSSSLFHPLSTLSAQSHGRRQNLCFSRTQQSVVVRAAKLPEGVILPKVQPKSQPAFLGFTQTAEIWNSRACMIGLIGTFIVELILNKGILEVIGVEIGKGLDLPL >scaffold_600136.1 pep chromosome:v.1.0:6:510885:511552:1 gene:scaffold_600136.1 transcript:scaffold_600136.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g02160 [Source:UniProtKB/TrEMBL;Acc:D7M787] MAIAPTMQTTFVSSTNFLKHSSSWGSSSSPNNVILPKNKRSSSSVVVAAVGDVSSDGTIYLIGGAIAVALVGTAFPILFKRKDTCPECDGAGFVRKGGVTLRANAARKDLPQIVCANCNGLGKLNQIDKS >scaffold_600139.1 pep chromosome:v.1.0:6:520418:521819:1 gene:scaffold_600139.1 transcript:scaffold_600139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRFHALFPLLLLLVILSIRTYKCDSSSSSSFSFSSFSSSSSQTLVLPLKTRITPTDHQPTDKLHFHHNVTLTVTLTVGTPPQNISMVIDTGSELSWLRCNRSSNPNPVNNFDPTRSSSYSPIPCSSPTCRTRTRDFLIPASCDSDKLCHATLSYADASSSEGNLAAEIFHFGNSTNDSNLIFGCMGSVSGSDPEEDTKTTGLLGMNRGSLSFISQMGFPKFSYCISGTDDFPGFLLLGDSNFTWLTPLNYTPLIRISTPLPYFDRVAYTVQLTGIKVNGKLLPIPKSVLLPDHTGAGQTMVDSGTQFTFLLGPVYTALRSDFLNQTNGILTVYEDPEFVFQGTMDLCYRISPFRIRTGILHRLPTVSLVFEGAEIAVSGQPLLYRVPHLTAGNDSVYCFTFGNSDLMGMEAYVIGHHHQQNMWIEFDLQRSRIGLAPVQCDVSGQRLGIGS >scaffold_600143.1 pep chromosome:v.1.0:6:537515:537828:-1 gene:scaffold_600143.1 transcript:scaffold_600143.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M795] MQQKCWASSIDCCMHAYDATLKLPTCSTYVSEPSTWSTKSARSIVACMHDATLKLPTCSTYVSEPPTWSTNSLEMATRNTSMIKFSMLLF >scaffold_600144.1 pep chromosome:v.1.0:6:542056:543507:1 gene:scaffold_600144.1 transcript:scaffold_600144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFENRYGLATSQKYDCLLFDLDDTLYPLSSGIARECGNNIKDYMTEKLGIPKDKLVELSDLLYKNYGTTMAGLRAIGYEFDYDEYHSFVHGRLPYDNIKPDSVLRSLLLSLPLRKVIFTNADRVHAAKALKKLGLEDCFEGIICFETLNLMHTNAASNNSEIFDIVGHFNRSEPVGSLPKTPVVCKPSESAIEKALEIANIDPTRTLFFEDSVRNVQAGKRVGLHTVLVGKSTKVKGADYALENIHNMKEAIPELWEADRKSPDVGYSGKVAVETSVRA >scaffold_600145.1 pep chromosome:v.1.0:6:544508:546140:1 gene:scaffold_600145.1 transcript:scaffold_600145.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic/ coenzyme binding protein [Source:UniProtKB/TrEMBL;Acc:D7M797] MANLPTVLVTGASGRTGQIVYKKLKEGSDKFIAKGLVRTAEGKEKIGGEADVFIGDITDADSINPAFQGIDALVILTSAVPKMKPGFDPTKGGRPEFIFEDGQYPEQVDFIGQKNQIDAAKVAGVKHIVVVGSMGGTNPDHPLNKLGNGNILVWKRKAEQYLADSGTPYTIIRAGGLLDKEGGVRELIVGKDDELLQTDTKTVPRADVAEVCIQALLFEEAKNKAFDLGSKPEGTSSPTKDFKALFSQVTSRF >scaffold_600149.1 pep chromosome:v.1.0:6:561519:563188:1 gene:scaffold_600149.1 transcript:scaffold_600149.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXPA9 [Source:UniProtKB/TrEMBL;Acc:D7M7A1] MAARVITLMAVMVVTAFTVNAKIPGVYTGGPWINAHATFYGEADASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGSCYELKCVNDPGWCLPGNPSILVTATNFCPPNFNQASDDGGWCNPPREHFDLAMPMFLTIAKYKAGIVPVSYRRIPCRKKGGIRFTINGFKYFNLVLVTNVAGAGDVNKVSVKGTNTQWLDLSRNWGQNWQSNAVLIGQSLSFRVKTSDGRSSTSNNIAPSNWQFGQTYSGKNFRV >scaffold_600151.1 pep chromosome:v.1.0:6:567891:568742:1 gene:scaffold_600151.1 transcript:scaffold_600151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLYIINKSGGLIFYKDCGTKGRMDTNDSLRVASLWHSMHAISQQLSPVNGCSGIELLEADTFDLHCFQSLPGTKFFVVCEPGTPHMESLLRYIYELYTDYVLKNPFYEIEMPIRCELFDINLTQAVQSDRVALLGR >scaffold_600155.1 pep chromosome:v.1.0:6:588938:589912:-1 gene:scaffold_600155.1 transcript:scaffold_600155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIGGFREGEIDGKANLIYTLLSQIDSQLSSSTQATIDSGGDNRPTQPLFLCPSLRLKVHQLKHKKEASSDNMFPLNTSPHFPSSDQQVQYLLDSDSHDVICKLPVVPLFWCNNKESDNDDGEFYCGACYGSKHGTNYYFCLTCGKQFHKECVESPFEIKHPSYPFQSLQLYCPPLSLLFCNCCETNFLQMSYQCPTYNLTMHPVCQV >scaffold_600161.1 pep chromosome:v.1.0:6:611715:612103:1 gene:scaffold_600161.1 transcript:scaffold_600161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCVKEIQEEDVEKIRLPTRPELDIPDPDHEDPTVNEEDGCKTPTSSDHKIPEVKYTLCPPAPRKPKPNRSSCTKRKLTPVNVVNRIPIDLSREIEMFFEDLDRRIKKSRKQ >scaffold_600162.1 pep chromosome:v.1.0:6:615699:617787:-1 gene:scaffold_600162.1 transcript:scaffold_600162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFILKLFALLVSFSFVQSRETTKSWKSIKLNEKMIYDCVDIYKQPSLNHPLLKNHKIQMEPSFLIPKSKNQVERKIFKTIIDCPNGTVPILRHTKEYVANAQYFGEKHFNPFTMQSHGIHFAGVRLKGNGQSPFYGTASYISVHDLNVSRDQVSYAHVYAGSRVNNIDNFIETGWMINPSLFGDGRVWGYGYFKGANGTGCYNTVCPGFVQVSKRDLISGPLPEAPEGKRNIGSNFQQDKKTGNWWVSDIKNVGKDIHIGYWPKELFDVISDGVNIVGVGGVVKTSPSGNSPPMGNGHRPEKDKDDMASARVRDLLVIDSSYKFKRSKRSKLEYLLDNDKCYGLRKGKEHLFLFGGEGGDSC >scaffold_600169.1 pep chromosome:v.1.0:6:658163:659012:-1 gene:scaffold_600169.1 transcript:scaffold_600169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLTSNEYYNLIIAIDATQKHNVLTIPLGEYASCICHQAQTCTYGICTGTHSGTHFVRLLEDQTFNVLSAYTLDPYERGLSIVSCLFTADSNEYYCVGTEYVFPEEEDTEHVS >scaffold_600170.1 pep chromosome:v.1.0:6:661927:663482:1 gene:scaffold_600170.1 transcript:scaffold_600170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSILTVLSMENHHPSTLLSMDSSGSSHEELDLEMNNGNRQITLYNPPDINLPLSVGRSSPSWNLDSCDNILDVGLSSHVYETETFLNVVPSKVAKKCLKRGDSMWGAWFFFSFYFKPALNEKSNSKVIRETSNGGGGCFTGFDKSDLKLDVFLVQHDMENMYMWAFKDKPENALGKMQLRSYMNGHSRQGERPFPFSAEKGFVRSHRMQRKHYRGLSNPQCLHGIEFVASPSLFGVSEEDKKRWMELTGRDLKFTIPPDASDFGSWRNLPNTDIELERPAHVTKPAQNNTKKILSGSGLHLTSNASFSSNGDSSDQSPGGVINNKKRKEFLSPGSSEEECCLTVNNIETHHAKDPPSWVNDFTGVMKNSCGPVTAAKTVYEDEEAYLVVITLPFVDLNTVKVSWRNNITNGIVKVTGMSTSRVSFVKRRDRTFKLVDQTAEHCPPGEFMREIQLPNRIPEEANIEAYFDGTEPVLEIVVPKLRGGVEEEHEVRVCLRSHHLG >scaffold_600173.1 pep chromosome:v.1.0:6:671607:671964:-1 gene:scaffold_600173.1 transcript:scaffold_600173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLDFSIKTCFGFILTYELNSVGLFMFDDQDLGFFANFLGIFIFVMVIAYHFVVAEPKFE >scaffold_600175.1 pep chromosome:v.1.0:6:674107:674338:-1 gene:scaffold_600175.1 transcript:scaffold_600175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLKDALRFICSCYFWRMALFWNIALFQLLKKSIFGSEKHFVYNISNSTLVHTQTLGSNFHL >scaffold_600180.1 pep chromosome:v.1.0:6:698938:699376:-1 gene:scaffold_600180.1 transcript:scaffold_600180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:D7M7U7] MAPKAEKKPAEKAPAPKAEKKIAKEGGTSESIKKKKKTKKSTETYKIYIFKVLKQVHPDIGISGKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >scaffold_600183.1 pep chromosome:v.1.0:6:708716:709055:-1 gene:scaffold_600183.1 transcript:scaffold_600183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTFVLVVISFGLLFACVIGNTEKNLHRETNSLLWSRPSARGLDDSPPQGPHKPTMFGLKPWSPSQRVVFRMLPKNVPIPPSGPSRKETPPSPPRSV >scaffold_600184.1 pep chromosome:v.1.0:6:709842:711052:-1 gene:scaffold_600184.1 transcript:scaffold_600184.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy-metal-associated domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M7V1] MLCASQASTTTLCSTMDQTSQPSSSSSSAIRLGGRAIDRHNPIIRDGRRLTPPPSPSLNPSSSSSSTYHTPLMTRLGLESSEQKRVAKRKSKKSDSDVGKSPVICFSSDTPQGSSRYLLSNPVFFDGFVDSEPIPLPIDEPGITKADDLDNLHEEQLIINASKYLSTSASFLEKKQPDFFEGFLDYEPVLSPDNPFSEPTKASPTASLSSLEDKDVTSPDFKFSPPPPPPPSPPPSSPPPSPVKNSSSDQVVVLRVSLHCKGCAGKVKKHLSKLKGVTSYNIDFAAKKVTVTGDVTPLTVLASISKVKNAQFWPEIIQK >scaffold_600195.1 pep chromosome:v.1.0:6:740493:741969:1 gene:scaffold_600195.1 transcript:scaffold_600195.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein [Source:UniProtKB/TrEMBL;Acc:D7M7V9] MVKPCWRIGAGMERSKINPTKVDGLTWYKDLGLHAFGEFSMAMIQANSVMEDQCQIESGPLTFNNPTVQGTFVGVYDGHGGPDASRFIADNIFPKLKKFASEGREISEQVISKAFAETDKDFLNAVKKQWPTNPQMASVGSCCLAGVICNGLVYIANAGDSRAVLGRSERGGVRAVQLSIEHNANLESARQELWSMHPNDPNILVMKHRMWRVKGVIQVTRSIGDAYLKRAEFNREPLLPKFRVPEHFTKPILSADPSVTITRLSPQDEFMILASDGLWEHLSNQEAVDIVHNSPRQGIARRLLKAALKEAAKKREMRYSDLQEIHPGVRRHFHDDITVIVIYLNPQPVKTNSWASPLSIRGGYPMHSTS >scaffold_600200.1 pep chromosome:v.1.0:6:755937:759351:-1 gene:scaffold_600200.1 transcript:scaffold_600200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 7 [Source:UniProtKB/TrEMBL;Acc:D7M7W4] MNVNEEGEEGSRYPITDRKTGETKFDRVESRTEKHSEEEKVNGITMDVRNGSSGAGQGSGGLQIPLSQQTAATVCWERFLHVRTIRVLLVENDDCTRYIVTALLRNCSYEVVEASNGIQAWKVLEDLNNHIDIVLTEVIMPYLSGISLLSKILNHKSRRNIPVIMMSSHDSMGLVFKCLSKGAVDFLVKPIRKNELKILWQHVWRRCQSSSGSGSESGTHQTQKSVKSKSIRKSDHDSRSSGENENGSIGLNASDGSSDGSGAQSSWTKKAVEVDDSPRAVSLWDRVDSTCAQVVHSNPEFPSNQLVAPPAEKETQEQDDKFEDVTMDRDLEISIRRNCDLALEPKDEPLSKTTGIMRQDNSFEKSSSKWKMKVGKGPVDLSSESPSSKQMHEDGGSGFKAMSSHLQDNREPEALNTHLKTLDSNETSVKNSEELIHMEHSSKRHRGTKDDGTLVRDDRNVLRRSEGSAFSRYNPASNANKLSGGNLGSNSLHDNNSQDLIKKTEAACDCHSNMNESLPNNHRSHVGSNNFDMSSTTENNAFTKPGAPKVSSAGSSSVKHSSFQPLPCDHHNNHASYNLVHVAERKLPPQCGSSNVYNETIEGNNNTVNYSVNGSVSGSGHGSNGPYGSSNGMNTGGMNMGSDNGAGKNGNGDGSGSGSGSGSGNLADENKISQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRKIAAATDDNDIKNIEDS >scaffold_600207.1 pep chromosome:v.1.0:6:778621:778894:1 gene:scaffold_600207.1 transcript:scaffold_600207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLVSRSYPSLGFGLSTAIGGSAANPNAIVAYTDGDGSFLNSLHELPTLHTENLHIKILLLNNHHFGVFQWEDIL >scaffold_600210.1 pep chromosome:v.1.0:6:791949:792447:1 gene:scaffold_600210.1 transcript:scaffold_600210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGREGDGDTAASEIAIHREIKQGVDVSSLSEAKLKIWFGGAEQLKAGFLQHMVLKLQNVGKLTIGEVFLHPFLHYLKLRQNSLYCSVT >scaffold_600222.1 pep chromosome:v.1.0:6:822205:823333:1 gene:scaffold_600222.1 transcript:scaffold_600222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSTESPTTTTSFCSLPFDVILNCLARISRFHYPTLSLVSKGFRSLIASPELETTRSRMGITGDHLCFFLDLNKKNPNPRWFLVSPIPTQKSKPIPSFPHQYPKSSTIVSNGSKIYIIGGFVRRKRSKRVLILDCRSQQCRRLPNMRLPRVSPAADVIAGKIYVIGGYESNNIDDWGEVYDPKTQTWEPLLPTTLDLTVQKSEVPGKLVMGGKVYAMDDVFELTLLKDVCLVEIEFVLCQISLWYGMLVWRDAKSDDSGWGKVEGLDGILPDDLILVANSDGGRRRVTVWWKSEVEIWCAEISFERRGGLEKLWGFVEWSKNVFTYGMSGDIWCSRSGILVFTYDICDSPPDILSAIVTY >scaffold_600223.1 pep chromosome:v.1.0:6:824078:825242:1 gene:scaffold_600223.1 transcript:scaffold_600223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEEMSFQKSSPESPPPPPTSFSSLPYDLVLNCLARVSRTRYPTLSLVSKGFRSLIASPELEATRFSMGKTEDCIFVCLNLNQNNPNPNLFTLSPIPKQQELLPIPWFPYDQHPKYPTILAIGAEIYIIGGFLKRTRSKRVLILDCLSHQWRRLPKMRLSRASAAADVIDGKIYVIGGTRSKNIENWGEVFDPKTQTWEPILPTTLDLTVQKSVVPGRLVMGGKVYAMDDLFQLRLLNDVFLVEIENVLCQISLAYGYLLWRDPKADGSEWSDVLGLTLEELSPYQPYCVENSGGERRVKVWWESVVNRRQGRRRRRTNEFNTEIWCAEVSFERRGLGELWGFVEWSKNVFTCDGFDPNPFSGLFLQSAIVTH >scaffold_600225.1 pep chromosome:v.1.0:6:828902:829984:-1 gene:scaffold_600225.1 transcript:scaffold_600225.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M7Y7] MATPMIAGAAVAAAAYAGRYGILAWQAFKARPHVPRMRRFYEGGFQSSMTRREAALILGVRESVVAEKVKEAHRRVMVANHPDAGGSHYLASKINEAKQMMLGKSNNTGSAF >scaffold_600230.1 pep chromosome:v.1.0:6:844185:844905:1 gene:scaffold_600230.1 transcript:scaffold_600230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPQQLKAVTLTHVRYRPGDQFGHFLAWISLVPVFISLGGFVSHFLFRRELQGIFFGIGLVISQFINEFIKTSVEQARPETCTLLEACDSHGWPSSHSQFMFFFATYFSLMGCKGIGFWFGLRSRWIMNLLHWSLAVVTMYSRVYLGYHTVAQVFAGATLGAVVGASWFWVVNSVLYPYFPVIEESVLGRWLYVKDTSHIPDVLKFEYDNARAARKDMDSAKSD >scaffold_600231.1 pep chromosome:v.1.0:6:848480:850289:1 gene:scaffold_600231.1 transcript:scaffold_600231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAGDSTDGVDFISSLPDAILHHIFSYITTKVAIRTSVLSKRWKHIWYETPSLSIVCYRVDPNSINKTLRSYSAPKITSFDVTMSNDVTAPEIDTWINLAVSRNAEDLSLKFRYNYRFPDTFFINSSLKQLSLNLGYSNLIPKCVVSWSSLRTLSLNRCKMSDGSFAKILSGCLLLESLTLNLCDRLYHLDLSKSLSLRRLEVYGDRWIEAPARIVAPHIHYLRLENYQRPSNLVDVSSLTEANLNLSYVLDYFTCEIVEAELLQFMVREILVKLQNVKKLTIGGIFLQILSLAELRGVTFPKFNIEALTVETRIDQSMIPGLARLLQNSPGLKRITVYIMKCNTIPTSSMLDDIGTDAKMKHVASFVKLVMGNTKTLEKLVLQFGDYLDATGIEELCQMVPTFFDNNVQNSKL >scaffold_600237.1 pep chromosome:v.1.0:6:877808:880146:1 gene:scaffold_600237.1 transcript:scaffold_600237.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M7Z9] MDLFRVWSGMDFLAWRGMAYTLLLLNFVFACQLLLLQPLVSALDGQSVDAAELFERASQSIKVKRFSDALDDLNAAIEADPALSEAYFKRASVLRHFCRYQDSENNYQKYLEFKSGDSNAEKELSQLHQAQSALETASTLYESKDVAKALEFVDKVVLVFSPACSKAKLLKVKLLMVSKDYSGAISETGYILKEDENNLEALLLRGRAYYYLADHDIAQRHYQKGLRLDPEHSELKKAYFGLKKLLKKTKSAEDNASKGKLRVSAEEYKEAIALDPEHTANNVHLYLGLCKVSVRLGRGKDGLNSCNEALNIDAELIEALHQRGEAKLLLEDWEGAVEDLKQAAQNSQDMEIHEALGRAEKALKMSKRKDWYKILGISRTASIAEIKKAYKKLALQWHPDKNVDNREEAENKFREIAAAYEVLGDDDKRARFDRGEDLEDMGGGGGGGFNPFHGGGGGGQQYTFHFEGGFPGGGGGFGGFGF >scaffold_600242.1 pep chromosome:v.1.0:6:890064:890824:-1 gene:scaffold_600242.1 transcript:scaffold_600242.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M804] MEATSSTHRKMDCLFSFELSSQHSRKLPKSNSKKEFCFFFFFIMARMIGPVETVPAILVYPVRVSASPSLGTIYEEDDE >scaffold_600243.1 pep chromosome:v.1.0:6:891513:891750:-1 gene:scaffold_600243.1 transcript:scaffold_600243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYFDNRCDKNLTVVKVDVDVAWWMEAVPPQIISPAKPSVSPILETILEGGETEEDNHEQDNEDV >scaffold_600245.1 pep chromosome:v.1.0:6:897985:898536:1 gene:scaffold_600245.1 transcript:scaffold_600245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLFFVKPKYIYPEPEPEMSDENVFEFDESDIHNLGDHQLPNSFDAKRSISISRLRRKPAKTGDSVGSGNREITKTGSLPVNIPDWSKILKSEYRGHAIPDDDSDDDDEEDDDINDGGRRIIPPHEYLARRRGSSFTVHEGIGGTAKGRDLRRLRNAIWEKIGFQD >scaffold_600253.1 pep chromosome:v.1.0:6:937579:939946:1 gene:scaffold_600253.1 transcript:scaffold_600253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNYDGILLGMGNPLLDISAVVDDEFLTKYDIKLNNAILAEDKHLPMYDEMSSKFNVEYIAGGATQNSIKVAQWMLQIPGATSYMGSIGKDKYGEAMKKDATAAGVNVHYYEDESAPTGTCGVCVVGGERSLIANLSAANCYKVDHLKKPENWALVEKAKFYYIAGFFLTVSPESIQLVSEHAAANNKVFTMNLSAPFICEFFKDVQEKFLPYMDFVFGNETEARTFSRVHGWETEDVEQIAIKISQLPKATGTYKRTTVITQGADPVVVAEDGKVKKYPVIPLPKEKLVDTNGAGDAFVGGFMSQLVKEKSIEECVKAGCYASNVVIQRSGCTYPEKPDFN >scaffold_600254.1 pep chromosome:v.1.0:6:940205:940578:-1 gene:scaffold_600254.1 transcript:scaffold_600254.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7LWM6] MMKKSKLITKAWKQMSSRVAKHHVATNDQYHIPHDVPKGHLVVYVGKEEESYKRFVIKITLLHDPLFRALLDQSKDEAYDDFTSGDSKLCIPCEESLFLEVIRCASPRYS >scaffold_600256.1 pep chromosome:v.1.0:6:947218:948767:1 gene:scaffold_600256.1 transcript:scaffold_600256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFLFLLGDKKDEQRSPKPVSPTSNFSDVNKSSSDFSPRDVSGTSTVSSTGRNSNTSMSARENNLREFTIGDLKSATRNFSRSGMIGEGGFGCVYWGTIKNLEDPSKKIEVAVKQLGKRGLQGHKEWVTEVNFLGVVEHSNLVKLLGHCAEDDERGIQRLLVYEYMPNQSVEFHLSPRSPTVLTWDLRLRIAQDAARGLTYLHEEMDFQIIFRDFKSSNILLDENWKAKLSDFGLARLGPSPGSSHVSTDVVGTMGYAAPEYIQTGRLTSKSDVWGYGVFIYELITGRRPLDRNKPKGEQKLLEWVRPYLSDTRRFRLIVDPRLEGKYMIKPVQKLAVVANLCLTRNAKARPKMSEVLEMVTKIVEASSPGNGGKKPQLVPLKSQEASRVEEGKNKKVLDGGEGGWLEKLWNPKNVRAC >scaffold_600258.1 pep chromosome:v.1.0:6:955033:958078:-1 gene:scaffold_600258.1 transcript:scaffold_600258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQPESSDSKPKKDFSTAILERKKSPNRLVVDEAINDDNSVVSLHPATMEKLQLFRGDTILIKGKKRKDTVCIALADETCEEPRIRMNKVVRSNLRVRLGDVISVHQCPDVKYGKRVHILPVDDTVEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCVVAPDTEIFCEGEPVKREDEERLDDVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEIGRLEVLRIHTKNMKLAEDVDLERISKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDDSIDAEILNSMAVSNEHFHTALGNSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGNSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRLNIFKACLRKSPVAKDVDVTALAKYTQGFSGADITEICQRACKYAIRENIEKDIEKERRRSENPEAMEEDMVDDEVSEIRAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFDSTASAGRTIGGAAADPFATSAAAADDDDLYS >scaffold_600260.1 pep chromosome:v.1.0:6:961199:962175:1 gene:scaffold_600260.1 transcript:scaffold_600260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESELMISLISQMLTILCSGDDTPELQSKFTTLTCQQISLVNSLDLDSQPEPESKIISLMKQTIAVGNSVVSGSGPDSQLVTLVTKMISIINSIDSDPKESEPELNSLISQVFSILLEMDPNSELLSLLSQLGHLVQQVPLTEEEKDDVIYGYTDAMDWESELILYIISKMISTLRGEDMCPEVATDFIAYATQLIPLIRSRITQLMSHTNSWSFTSGIDSKIISLIIRIIDLISSMDLDSHKSELMSLITQTIAVYNSMDFDSQLRPLRKLISMFSQYGFNVKSTVLDSESDSSDNYVSHVEGSLKHV >scaffold_600262.1 pep chromosome:v.1.0:6:970422:972394:-1 gene:scaffold_600262.1 transcript:scaffold_600262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKEETATKPQGEKKPIDGGITTVVMKLEMHCEGCGKKIKRIFKHFKGVEDVKIDYKSNKLTVIGNVDPVEVRDKVAEKIKRPVELVSTVAPPKKETPPSGGEKKPPAAEEKPAEKKPAADEKSGEKKEEKKREEGEKKASPPPPPKESTVVLKTKLHCEGCEHKIKRIVNKIKGVNSVAIDSAKDLVIVKGIIDVKQLTPYLNEKLKRTVEVVPAKKDDGAPAAAAAAPAPAGGEKKDKGAGEKKENKDVGEKKVDGGGEKKKEVAVGGGGGDGGAMDVKKSEYNGYGYPPQPMYYYPQGQVYGQQHYMMQGQSSQSYVQEPYTNQGYVQESYMNQGYGQGYGHEAPPPPYMNHQGYADPYGHMRAPELFSDENPNGCSVM >scaffold_600263.1 pep chromosome:v.1.0:6:973087:974521:1 gene:scaffold_600263.1 transcript:scaffold_600263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLGRIAKISSLVKKQRLCFSELRSFSTAATPYLLFCETNYRMARTPFGGAVVDINLYDPRKKETVKVPDQYLSKELQCTMKMGSSRGWVVARNIFDSTLHLTNMFNPCASVSSHNVITLPPLEDDAKARISSISLSASPDQKDCILAAKSSSSPFLSLWRPGDSAWTRIKVPFPASKVIMYSARDRKFYLLPRESKEEYEGPIDLINTSSDDFPQVTLYQRFPNSDIPVSRQEQLASTIKTQYLVESPSGDSFIVYWCNERSLYLKEGEVEPWNGKNPPPIRISCRSKTRTFVVFRQDLEQGFGSYTEDIGDLCIFLGQREAFCFSATEYPGLHPNSVYYASSGTGFGYYDLSSNTLHDVIHEAPFSWLAPLQ >scaffold_600274.1 pep chromosome:v.1.0:6:1024385:1024795:-1 gene:scaffold_600274.1 transcript:scaffold_600274.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LWP9] MTIATYPPPPPYYRLYKDYSENPNSAPEPPPPIEGTYVCFGGNYTRNSLPNTAYMLGLIE >scaffold_600276.1 pep chromosome:v.1.0:6:1030625:1031694:1 gene:scaffold_600276.1 transcript:scaffold_600276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRKNCAEDEVTLKAIQDARNWQLHLDLVPPGRPSPQVIAETRGYVLPTADSIQIETDAAWNEGSHQCGMGWIGRNLYGTSVFIGSASSSHVISALTGEALAVRASLKEAWSRKILAGHIKSDSRRCTSCNGLISFISCFIPENEEGCIVFPPNVHYIGNLQSRIPGLDSYAPHDVLSETLVTYVLSSTNLEAPNKNQMASFRGKLFVNVIQPEMKESSFLMQTKACQN >scaffold_600279.1 pep chromosome:v.1.0:6:1041583:1042503:1 gene:scaffold_600279.1 transcript:scaffold_600279.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LWQ5] MTSVLEESGDHARLVLLIKGKRTKRQRSASPLMMNGAAVSSVCSGERSSVEVKEEEAAGEVEFRGATDEDEDMANCLMLLSQGHQAKSSSDDLSMQRMGFFSNKKPVASLGLGLDGVYQCKTCDKSFHSFQALGGHRASHKKPKLGASVFKCDEKKTASASMIETVEVGAVGSFLSLQVTSNDGTKKPEKTHECSICKAEFSSGQALGGHMRRHRGLTINANASSTIKTAISSSSHHHHEESIRPKNFLQLDLNLPAPEAERCCEKPKFAFASKDQILLFAAASNSLIDCHH >scaffold_600282.1 pep chromosome:v.1.0:6:1051061:1055544:1 gene:scaffold_600282.1 transcript:scaffold_600282.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:exocyst subunit exo70 family protein A1 [Source:Projected from Arabidopsis thaliana (AT5G03540) TAIR;Acc:AT5G03540] MAVDSRMDLLSERALLMRASLQKSQTITDNVVSILGSFDSRLSALETAMRPTQIRTHAIRKAHENIDRTLKAAEVILSQFDLTSETKVLKGPHEDLESYLDAIAQLRKIIRYFMSNKSFKSSDGVLNHANSLLAKAQSKLEEEFKQLLASYSKAVEPDRLFDGLPNSLRPSSDGDGGGKPHGGHHNDDAETAAYTLPILIPLRVLPLLHDLAQQMVQAGHQQQLLQIYRETRSFVLEESLRKLGVEKLSKEDVQRMQWEVLEAKIGNWIHFMRIAVKLLFAGERQVCDQIFRGFDSLSDQCFAEVTVSSVSMLLSFGDAIARSKRSPEKLFVLLDMYEIMRELHTEIETIFKGKACLEIRDSATGLTKRLAQTAQETFGDFEEAVEKDATKTAVLDGTVHPLTSYVINYVKFLFDYQTTLKQLFLEFGNGDDSNSQLASVTMRIMQALQNNLDGKSKQYKDPALTHLFLMNNIHYMVRSVRRSEAKDLLGDDWVQRHRRIVQQHANQYKRVAWTKILQCSSAQGLTSSGGGSLEGGNSSGVSRGLLKERFKMFNMQFDELHQRQSQWTVPDTELRESLRLAVAEVLLPAYRSFLKRFGPLVESGKNPQKYIKYTAEDLERLLGELFEGKSMNEPRR >scaffold_600289.1 pep chromosome:v.1.0:6:1072834:1073025:-1 gene:scaffold_600289.1 transcript:scaffold_600289.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWR6] MDSLRENVEERTLTLLISIAVLESLTKSSQLTKAKCNHAYSINLASLFD >scaffold_600296.1 pep chromosome:v.1.0:6:1100760:1106737:1 gene:scaffold_600296.1 transcript:scaffold_600296.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1, 4-alpha-glucan branching enzyme protein soform SBE2.2 [Source:UniProtKB/TrEMBL;Acc:D7LWS4] MVVIHGVSVTPRFTIPSRPLNTSFNANSSLSFFLKKHLLSRKIFAGKQSAEFDSSSQAFAASEKVLVPDNLDDDPSSFSQEPQIFNLESQTMEETEALRTEDQASVFDSSYNDSKVIKERGVRPRVVPPPGDGKKIYEIDPMLRSYSNHLDYRYGQYKRLREEIDKYEGGLEAFSRGYEKLGFSRSDAGITYREWAPGAKAASLIGDFNNWNSNADIMTRNEFGVWEIFLPNNTDGSPAIPHGSRVKIRMDTQSGIKDSIPAWIKFSVQAPGEIPFNGIYYDPPEEEKYVFKHPQPKRPKSLRIYEAHVGMSSTEPMVNTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRCGTPEELKSLIDRAHELGLVVLMDIVHSHASKNTLDGLNMFDGTDAHYFHSGPRGYHWMWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLSVEFTGNYTEYFGLETDVDAVNYLMLVNDMIHALYPEAITVGEDVSGMPTFCIPVQDGGVGFDYRLHMAIADKWIEILKKRDEDWQMGDIIYTLTNRRWSEKCIAYAESHDQALVGDKTIAFWLMDKDMYDFMAVDRPSTPLIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGEQRLSDGSIIPGNNFSYDKCRRRFDLGDADYLRYRGLQEFDQAMQHLEENYGFMTSEHQFISRKDEADRVIVFERGDLVFVFNFHWTSSYFDYRIGCSKPGKYKIVLDSDDPLFGGFNRLDRKAEYFTYDGLYDGRPSSFMVYAPCRTAVVYALANHD >scaffold_600303.1 pep chromosome:v.1.0:6:1147580:1149400:-1 gene:scaffold_600303.1 transcript:scaffold_600303.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-HSFA3 [Source:UniProtKB/TrEMBL;Acc:D7LWT0] MSPKKDAVSKPTPISVPVSTRPGSLYVDTDMGFSGSPLPMPLDILQGNPIPPFLSKTFDLVDDPTLDPVISWGLTGASFVVWDPLEFARIILPRNFKHNNFSSFVRQLNTYGFRKIDTDKWEFANEAFLRGKKHLLKNIHRRRSPQSNQTCCSSTSQSQGSPTEVGGEIEKLRKERRALMEEMVELQQQSRGTARHVDTVNQRLKAAEQRQKQLLSFLAKLFQNPGFLERLKNLKGREKGGALGLEKARKKFIKHQQPQDSPTGGEMVKYEADDWERLLMYDEETETTPLSSHQGMTSSIGGTDPKGKNLMNPSEEEMIKPDYLMSFPSPEGLIKQEETTWSMGFDTTIPSFSNTDVWGNTMDYNDVSEFGHVAETTSDGLPDVCWEQFAAGITETGFNWPTGDDDNKPMDDP >scaffold_600324.1 pep chromosome:v.1.0:6:1244062:1245352:1 gene:scaffold_600324.1 transcript:scaffold_600324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLVKRVAPYLVGRIRENHRLLNFSSASALKEASSSSSSQSESSSIDVVHLSDNCIRRMKELQSSEPEKKLLRLGVETGGCSGFQYVFELDNRTNPDDRVFEKNGVKLVVDNVSYDFVKGATVDYVEELIRAAFVVAENPAAVGGCSCKSSFMVKQ >scaffold_600328.1 pep chromosome:v.1.0:6:1255923:1256479:1 gene:scaffold_600328.1 transcript:scaffold_600328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGEHSGAKEVKLAKPKISMSDLTFVIYVTTEEKMLALKKLGAELEFGSNNRFHIQVENLSHLGMSKSSMTGVNIKCEVIYKDDWEEDDNVIIVDARRPLDTNFGWLNNDLVTPKGYILNRGLVADITVSFKSGLFDKIDLQIFHQGEGRYFSLDDTLTYLQCFFLSKI >scaffold_600338.1 pep chromosome:v.1.0:6:1285934:1286201:-1 gene:scaffold_600338.1 transcript:scaffold_600338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRINQVRFILILMVVASVAGGNFPVKQDSAKRDTIWEEVFHEDYGSWSPTPKIRRGSPAPIPHDFTPPRSLKA >scaffold_600341.1 pep chromosome:v.1.0:6:1290678:1291322:1 gene:scaffold_600341.1 transcript:scaffold_600341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTNIFFVLLLISSCLIMRSEGQFQCKTVADCGLRSCKHGEHPYCENHKCLCAHGVLLGGICDNLQDCDLSAHCPPNNHVSCDLNICTCHPN >scaffold_600342.1 pep chromosome:v.1.0:6:1292426:1292985:1 gene:scaffold_600342.1 transcript:scaffold_600342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTSIFVVLLLISSCLILRSEGQFRCKSAADCDTRGCRVGTHVICNTGHKCTCAQGSPIGGQCNTVEDCDSSGCPPNSHVICDTIRGNFCTCVPN >scaffold_600343.1 pep chromosome:v.1.0:6:1294159:1294709:1 gene:scaffold_600343.1 transcript:scaffold_600343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTNIFFVLLLISSCLILRSEGKCKSVAECDPRRCRMGQHIICSTQHECTCAHGSPIGGQCDRLGDCDLSGCPPTSHVICDLIRSVCTCIPN >scaffold_600347.1 pep chromosome:v.1.0:6:1304110:1304739:1 gene:scaffold_600347.1 transcript:scaffold_600347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRSGRPSQLIESHGRLIILCNERSLYLKKGEVEPWNGKNPPPIRISCRSKTRTFVVFRQDLEQGIGSYTEDIGDLCIFLGQREAFCVSATEYPGLHPNSVYYASSGIGFGYYDLSSNTLHDVIHEAPFSWLVPLQ >scaffold_600348.1 pep chromosome:v.1.0:6:1307382:1309666:-1 gene:scaffold_600348.1 transcript:scaffold_600348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHHTGEVEPWNGKNPPPIRISCRSKTRTFVVFRQDLEQGIGSYTEDIGDLCIFLGQREAFCVSATEYPGLHPNSVYYASSGTGFGYYDLSSNTLHDVIHEAPFSWLAPLQDEESIISRVRDLAPKFLWEVETHELQDKYKKTETVLFCRKIFWAIV >scaffold_600350.1 pep chromosome:v.1.0:6:1312871:1313291:1 gene:scaffold_600350.1 transcript:scaffold_600350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDMRDQNPPQGYPVAEQVSEQPGQEDKKKKKPRFFETKKKGDRGFIEGCLFALCCCWICEMCF >scaffold_600353.1 pep chromosome:v.1.0:6:1328554:1330210:1 gene:scaffold_600353.1 transcript:scaffold_600353.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate/bisphosphoglycerate mutase family protein [Source:UniProtKB/TrEMBL;Acc:D7LXF7] MGHEWIDAEKEFKWSEDVKVESEVTEIVLVRHGETTWNAAGRIQGQIESDLNEVGQKQAVAIAERLGKEERPVAVYSSDLKRAKDTALMIAKTCFCPEVIEVPDLKERHVGSLQGLYWKEGAEKEPEAYSAFFSSQNDLEIPGGGESFDQLCDRSMNALEQIAKKHKGERVIVVTHGGVLRAIYLRITQASSAGKLLNASVNVVHRRDEKWIIDSWSDVSHLSSVGFLQRGFDGDAKV >scaffold_600358.1 pep chromosome:v.1.0:6:1354388:1356414:1 gene:scaffold_600358.1 transcript:scaffold_600358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPPTSQGYGYGYGGGNQPPPPQPPYSSGGNNPPYGSSTSSPYAVPYGGPKPPSSSPTPPYGSSKPQSSSYGAPPPSAPYAPSPGDYNKPPKEKPYGAYGASGDYGAPPPPGPSDYGSYGAGPRPTPPSGYGGGYGATPPSGISEYGSYGGAPPRPASSGQGGGYGGYPPQASYGSPFASLIPSGFAPGTDPNIVACFQAADQDGSGFIDDKELQGALSSYQQRFSMRTVHLLMYLFTNSNAMKIGPKEFTALFYSLQNWRSIFERSDKDRSGRIDVNELRDALLSLGFSVSPVILDLLVSKFDKSGGKNRAIEYDNFIECCLTVKGLTEKFKEKDTAYSGSATFNYESFMLTVLPFLIA >scaffold_600360.1 pep chromosome:v.1.0:6:1360336:1361542:1 gene:scaffold_600360.1 transcript:scaffold_600360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTVTVVATKRDALDPYIKILQNRSNDIDVSFSSYLKPDNNGQQQKENDDTELSIFEARSYFSENGSNDGCQMRNLSGPRFSSVSSAKVSSFTVGQTASSEASWNSQTGLLSNKSRQGSARDGRRSSKKGPRWFFRRRTCPCSSSKSVQVQESKPRIAEPKTGSDRVVSNRIVHSHQTISSPEPIRLTIPSNTVTRSVDYAANREARAPVSNFSFPTLNETSQSSENPKNPVLVRIEPVLLPIKPVLNPTSPKGVIIDEEATSDASSDLFEIESFSTQPAARPWAPPVRVSIDETVSEYGYEPSEASVTWSVMTAEPASAVAANFSRIALSSSSTAFSGYDKRRTGLLNCHCEKAVMVSDIQNDFAGKVLCSNGNSKLSVTSRPRQ >scaffold_600361.1 pep chromosome:v.1.0:6:1363150:1365357:1 gene:scaffold_600361.1 transcript:scaffold_600361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKDQQGMAKKRLAVLVGCNYPNTRNELHGCINDVLAMKETIMSRFGFKEDDIEVLTDEPESKVKPTGANIKASLRRMVGKAQAGSGDILFFHYSGHGTRIPSVKSSHPFKQDEAIVPCDFNLITDVDFRELVNQLPKGTSFTMISDSCHSGGLIDKEKEQIGPFSVSSNISPDMETTNKTITSRALPFKEVLDHLSSLTGITTSDIGTHLLELFGGDAGLKFRLPAMDLMDLLETMTARERHVDSGILLSGCQADETSADVGVGNGKAYGAFSNAIQRVLKENEGALKNKQLVMMARDVLERLGFHQHPCLYCSDQNADATRFCSNLGMSNQTVKAAQESVQKSEEFDIRRSPISIAAAIIYIITQLSDDKKLLRAWYAKGEEDLKNLQTP >scaffold_600366.1 pep chromosome:v.1.0:6:1382985:1385198:1 gene:scaffold_600366.1 transcript:scaffold_600366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEPDPDALRWGLHDLEVCTLTNAGSCSTVTRYESGGGGTQGYVREGYNQPLTGYVDNDAAIAQFYQDELSRVARAEASGLNNLSPTSVVAQEWPHPHQGQENQGEAIAISRESDILHNHNGNMEDKNVARIRFQGGQSSPPRDDDSVCSVEIEEESWSEVGKRLNQMIPIAHVPKINGELPSEDEQISDHERLFQRLQLYGLVENKIEGDGNCQFRSLSDQLYRSSEHHNFVREQIVNQLAYNREMYEGYVPMAYNDYLKAMKRNGEWGDHVTLQAAADWFGVRMFVITSFKDTCYIEILPQFQKSNRLICLSFWAEVHYNSIYPEGELPIPEGKKKKKYWVF >scaffold_600368.1 pep chromosome:v.1.0:6:1387232:1389059:-1 gene:scaffold_600368.1 transcript:scaffold_600368.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7LXH1] MGFVYYVTLFIFIDDWVGLRSSAGKLNALLFSFLASLCLFSLSICVLVDPGRVPASYVPDVEDSGWSNGNATETRKCDKCFAYKPLRTHHCRVCRRCVLKMDHHCLWINNCVGYANYKAFFILVFYATVASIYSTVLLVCCAFKNGDSYAGNVPLKTFIVCCGIFMIGLSITLGTLLCWHIYLIAHNITTIEHYDSKRASWLARKSGQSFRHQFDIGVYKNITSVLGPNMIKWLCPTFTRNSEDGISFSASRDS >scaffold_600374.1 pep chromosome:v.1.0:6:1429875:1430637:-1 gene:scaffold_600374.1 transcript:scaffold_600374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEALSSPRLASPMPTLFQDSALGFHGSKGKRSKRSRSEFDRQSLTEDEYIALCLMFLARDGDRTRDLDLPSSYSSPPLLPPLPSPIYKCSVCDKAFSSYQALGGHKASHRKSFSLTQSAGGGGDEPSTSSAITMSGISGGGGGSVKSHVCSICHKSFATGQALGGHKRCHYEGKSGGGGSSSVSNSEGVGSTSHVSSGHRGFDLNIPPIPELSVVNGDEEVMSPMPVKKLRFDFPEKP >scaffold_600375.1 pep chromosome:v.1.0:6:1432088:1432523:1 gene:scaffold_600375.1 transcript:scaffold_600375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLTIVLIVLVFCIGDTHGRNVLMIVNRLPKNGTLRVHCYSKDDDLSVIYLHRNDPPLSWRFNDAYFRETKFTCDLRQGNHWAHHRTFVSYKSSMNPSQKNNANATWYAGAKGLYLSFNYRTPELMYIWM >scaffold_600376.1 pep chromosome:v.1.0:6:1433613:1434045:1 gene:scaffold_600376.1 transcript:scaffold_600376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFIFLILLSVCIGNTIGISTLLVKNELNNKVLGVRCRSKDDHLGDHILRVGQMTKNNFDDNVWKRTLFWCNLWKGPDFKLHVAFDAYRSQWKATMGPTYLWIAREDGIYYTQDPRTPPAKRYDWPKA >scaffold_600377.1 pep chromosome:v.1.0:6:1440218:1440512:-1 gene:scaffold_600377.1 transcript:scaffold_600377.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXI0] MEVNNVPSSAPESPRLSPQANRRQKSEDFRDSQLSLASKIATDVVVGIRRNRLLISQTLDSFYRRRWGKIRLLRRQTKLINLQK >scaffold_600380.1 pep chromosome:v.1.0:6:1454770:1455898:1 gene:scaffold_600380.1 transcript:scaffold_600380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LXI3] MEAFEEATKEHSLILKGKRTKRQRPQSPIPFSISPPIVSSPERNMEEEFTDLDSKDNALGNHKKDGVITSSSSSASWSSHNNPILKAAEDEEDQDIANCLILLAQGHSLPNNNHHVTNNNSNNNAYRFTSRRFLETSSSNSGGKAGYYVYQCKTCDRTFPSFQALGGHRASHKKPKAASFYSNLDLKKNIYANDAVSLVHTTTTVYNNNKNNNSRSLVVYGKASNNKVHECGICGAEFTSGQALGGHMRRHRGAVVVPAAVAPTVTVATAAANTELSLSSMSFDQISDGQDHLVMPAKKKARKTVVSLDLDLNLPAPEDENRVNGFSFASKQNHEQEHQQKKQREEPKSLVLSAPTLVDCHY >scaffold_600382.1 pep chromosome:v.1.0:6:1461620:1464249:1 gene:scaffold_600382.1 transcript:scaffold_600382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSVTSLAPGFRFHPTDEELVRYYLKRKVCNKPFKFDAISVTDIYKSEPWDLPDKSKLKSRDLEWYFFSMLDKKYSNGSKTNRATEKGYWKTTGKDREIRNGSRVVGMKKTLVYHKGRAPRGERTNWVMHEYRLSDEDLKKAGVPQEAYVLCRIFQKSGTGPKNGEQYGAPFLEEEWEEDAMTYVPDQDSFSEGLAVDDDVYVDIDDIDEKPENLVVYDAVPILPNYCHGESSNNVESGNYSDSGNYIQPGNYVVDSGGYFEQPIETFEEDQKPIIRDGSIQPCSLFPEEQIGCGVQDENAVNLESSNNNVFVADTCYSDIPIDTNYLPDEPFMDPNNNLPLNDGLYLETNDLSCAQQDDFNFEDYLNFFDDESFTLDDSQLMGPEAALPNLEGLDQKPTPEELEKEVAGGKEAVEEKESGEGSSSKQDADVKDFDSASKYPFLKKTSHMLGAIPTPSSFASQFQTKDAAMRLHAAQSSGSVHVTAGMIRISNMTLAADSGMGWSYDKNGNLNVVLSFGVVQRDDALSASGSKTETTATRAMLVFMCLWVLLLSVSFKIVTMVFAR >scaffold_600384.1 pep chromosome:v.1.0:6:1467974:1469434:-1 gene:scaffold_600384.1 transcript:scaffold_600384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRLRRDSPAETGNGACPDHLVLADLPVAKGIGSVTPTTVIKPVGRRSRRQLGERVHFCVRCDFPIAIYGRLKIQTIKMMEGIFICAAPHCLRSFLKKLDFEAHVHELHGSLLQADAEKEDGNQSDVQSTMQPSSASESTLRGPLRSQQLQSREQPLLNRSASFAKSQSAFGQVQNYPPFQWGYHRPQEWRITTRFIARVPMMNLSFQGSYPPPSWNPGMALPQTTQQVNQGRGWQQENRDGFGQGSSKINFCLCLRQNVFGTAYTICCYI >scaffold_600391.1 pep chromosome:v.1.0:6:1504108:1505634:1 gene:scaffold_600391.1 transcript:scaffold_600391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIKVLLKSPSRFTLAQPPRRHFPAASALAGVASTSFPSCHRYRSLQILSVLGFELIGDSSLRSLSFVSTVCFAPHRRVGVASSSSVMIQTLLAKTFWFWFWSSPESVPVSPPEDFRNPLPHPSPATSAGKHLQLGIVPSANLPSIPPESFGAVTCFLTLVVNFVVWLGLVQPFVSSSDTYVAIPSASWANFVMKIDCTRHQIGSLFNGDMWFSRPLPTSYMTSESLVSMTLLCMVQWSIYCLLLVPNYLSMRFHLFQLPQYEDVLRFKQSFVRVVVLSLGGWHIGRQSLETLVPSFGKDSNRSGVLSDHLFSDSDFPCIEDLISLVLTFSVVNGSVQNFGFGISCVVDRLCDRLGFTEDDICVIKSQPIQLQAHKIEEQRVDMASFFTASWLANFIGCGLEWIRKAPLTATIQHGSFSRPSVSSVLAAEVLASKVAISAALVLGVSRLACYSDCDLFLLLNAGGLANKLEGILADFSELSSKFISISFYFVP >scaffold_600393.1 pep chromosome:v.1.0:6:1511009:1513658:1 gene:scaffold_600393.1 transcript:scaffold_600393.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family protein 47 [Source:UniProtKB/TrEMBL;Acc:D7LXJ6] MMEETTENAGKLSSQKNVAASGHNHHNRYKYLSNYGAGRRFLFFASCFGVYALVAATYAWFVFPPHIGRTDHVSSSSLGCREDNEGSWSIGVFYGDSPFSLKPIETINVWRNESGAWPVANPVITCASFTNAGLPSNFVADPFLYVQGDTLYLFFETKSPITMQGDIGVAKSIDKGATWEPLGIALDEAWHLSFPFVFNYNGEIFMMPESNEIGQLNLYRAVNFPLSWKLEKVILKKPLVDSTLIHHEGIYWLFGSDHSSFGAKKNGQLEIWYSSSPLGTWKPHKKNPIYNGNRSVGARNGGRAFLYDGNLYRVGQDCGENYGKRIRVFKIEVLSKEEYREVEVPFSFEASHKGKNSWNGVRQHHFDVKQLSSGEFIGLLDGDRVTSGDLFHRVILGYASLAAAISVVILLGFLLGVVNCIVPSTWCMNYYAGKRTDALLNLETAGLFSEKLRRIGSRLNRVPPFLRGFVKPNSSMGKFTLGVIVLVGLLLTCVGVRYIYGGSGTVEPYPFKGHLSQFTLATMTYDARLWNLKMYVKRYSRCPSVKEIVVIWNKGPPPELTELDSAVPVRIRVQKQNSLNNRFEIDPLIKTRAVLELDDDIMMPCDDIEKGFRVWREHPERLVGFYPRFVDQTMTYSAEKFARSHKGYNMILTGAAFMDVRFAFDMYQSDKAKLGREFVGEQFNCEDILLNFLYANASGWGKAVEYVRPSLVTIDTSKFSGVAISGNTNQHYRKRSKCLRRFSDLYGSLADRIWEFGGRKDGWDL >scaffold_600394.1 pep chromosome:v.1.0:6:1514258:1514638:1 gene:scaffold_600394.1 transcript:scaffold_600394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNNQLQEAIASLRKEFPDVAIVYGDYYNTFQYVLRSEGFDKSVALKSCCGVGGAYNYDGKRPCGVAGVPVCQNPDKFISWDGVHLTQKAYRFMSKLFNYQILSQIKCTRA >scaffold_600397.1 pep chromosome:v.1.0:6:1521460:1522478:1 gene:scaffold_600397.1 transcript:scaffold_600397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTESDVSESVLLGFQHYLVLGTTVLISSALVPQMGGGNWFLRPSSSYILWCKDQWAEVKKQNPEADFKETIVGKVVFGTKWCVV >scaffold_600400.1 pep chromosome:v.1.0:6:1535741:1537582:-1 gene:scaffold_600400.1 transcript:scaffold_600400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETWFRNLWRFPKKHDGHKDKAVLGVLAFEVASLLSKLVHLWQSLSDKNVARLRDEITHSTGIKKLVSEDDDFIVRLIRDEMMENVENVAKAVARLARKCNDPKLKCFENCFSDMMKTGVDPYGWQFGWKKMDKKAKKMERFISSNASLYQETEILADLEQTFKRMKSNESATDNLLEYQKKVTWKRHEVKNLRDVSLWNRTYDYTVLLLVRSVFTILSRTKHVFGLSYRMEASDVSSADSDFIGRSHSVSTILTPVSHKSETSGLPRFASGPLGRFAGPASGSAATRSTKMSDFLSGSLSAESPKSGPLVAEKHKRFKFYSGQLGKITSKSGPLIGMGKNNKKMGQTPERPSISSVKKQLKANRLTQVGPFKGCMVSHDGITPLSTRTQNGARNSSAEHHILEGNSNSAHVENLTLPTRPKLSDAAPNTLGAACLALHYANVIIVIERFVASPHLIGDDARDDLYNMLPASVRTSLRERLKPYSKNLSSSTVYDPGLAKEWTDAMAGILEWLGPLAHNMIKWQSERSYEHQSLVSRTHIVLAQTLFFANQQKTEAIITELLVGLNYVWRFGRELNAKALQECTSSKTLEKCLDTDN >scaffold_600401.1 pep chromosome:v.1.0:6:1537743:1538002:-1 gene:scaffold_600401.1 transcript:scaffold_600401.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXK4] MIGKILFFLPLFHSYALPCFVVFTVPVSALFLLDLPTIPISNHGSFSEFHVESFKLRYPICGFVDFGLFSVT >scaffold_600403.1 pep chromosome:v.1.0:6:1552091:1555201:1 gene:scaffold_600403.1 transcript:scaffold_600403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFRAPAGAATVFSSDQKIRLGRLDALRSSHSVFLGRYGRGGVSVPPSASSSSSSPIQAVSTPAKPETTTKRSKVEIIKEKSNFIRYPLNEELLTEAPNVNESAVQLIKFHGSYQQYNREERGGRSYSFMLRTKNPSGKVPNQLYLTMDDLADEFGIGTLRLTTRQTFQLHGVLKQNLKTVMSSIIRNMGSTLGACGDLNRNVLAPAAPYVKKDYLFAQETADNIAALLSPQSGFYYDMWVDGEQFMTAEPPEVVKARNDNSHGTNFVDSPEPIYGTQFLPRKFKVAVTVPTDNSVDLLTNDIGVVVVSDENGEPQGFNIYVGGGMGRTHRMESTFARLAEPIGYVPKEDILYAVKAIVVTQREHGRRDDRKYSRMKYLISSWGIEKFRDVVEQYYGKKFEPSRELPEWEFKSYLGWHEQGDGAWFCGLHVDSGRVGGIMKKTLREVIEKYKIDVRITPNQNIVLCDIKTEWKRPITTVLAQAGLLQPEFVDPLNQTAMACPAFPLCPLAITEAERGIPSILKRVRAMFEKVGLDYDESVVIRVTGCPNGCARPYMAELGLVGDGPNSYQVWLGGTPNLTQIARSFMDKVKVHDLEKVFEPLFYHWKLERQNKESFGEYTTRMGFEKLKELIDSYKGVSQ >scaffold_600404.1 pep chromosome:v.1.0:6:1555669:1557460:1 gene:scaffold_600404.1 transcript:scaffold_600404.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LXK7] MGAKAKKALKKNMKKIAASAASSQLPLPQNPKPSADFLPLEGGPARKAPVTSQPLENKATVLYIGRIPHGFYETEIEAFFSQFGTVKRVRVARNKKTGKSKHFGFIQFEDPEVAEIAAGAMNDYLLMEHMLKVHVIAPEHVKPNLWKGFKCNYKPVDSVQIERRQHNKERTLEEHRKMLQKVVKRDQKRRKRIAAAGIEYECPELVGNTQPVPKRIKFSED >scaffold_600407.1 pep chromosome:v.1.0:6:1562459:1564019:1 gene:scaffold_600407.1 transcript:scaffold_600407.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP77A9 [Source:UniProtKB/TrEMBL;Acc:D7LXL0] MLDISNSVLFFFTLVTILLSCTFTRLSHNSKRVNLPPGPPGWPVVGNLLQFARSGKQFFEYVDEMRKMYGPIFTLRMGIRTMIIISDANLAHQALIERGAQFATRPVETPTRKIFSSSDITVHSAMYGPVWRTLRRNMVQNMLSSSRLKEFGLIRKSAIEKLVEKIKSEAKEHEGLVWVLRNARFAAFCILLDMCFGIEMEEESIEKMDQMMTEILIAVDPRIHDYLPILTPFYFNERKKALELRRKLVEFVVGFIEKRRSAVRNPGSDKTATSFAYLDTLFDLRVDGRETTPSDEDLVTLCSEFLNAGTDTTGTAIEWGIAELISNPEIQSRLYDEIKSTVGDDRTVEEKDLDKMVFLQAFVKELLRRHPPTYFTLTHGVTEPTNLSGYDIPVGANVEFYLPGISEDPKIWSKPEKFDPDRFITGGEDADLTGVAGVKMMPFGIGRRICPGLGMAVVHVELMLARMVQEFEWTTYPAGNQVDFTGKLVFAVVMKNPLRARVKARV >scaffold_600409.1 pep chromosome:v.1.0:6:1568957:1571909:-1 gene:scaffold_600409.1 transcript:scaffold_600409.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like protein [Source:UniProtKB/TrEMBL;Acc:D7LXL3] MPSVGMRRTTRVFGVVKAADGARVLRSGRRIWPNVGEPKVRRAHDVVDRDCDSVLKNQNKTKGNKVSGSNSQPCSPRQVSSEKEDKVDDFPVRKRRKVRNEGVGDEKTVDKMFGIVYSRKRKRLSEPSSDRSEVPLRSLKFYRRRRRLSQRVSSVLTLTVDWSCEDCWLLSVFGLAMRYTRREELRLSSLADFFLSQPINQVFADHGVRFLLKPPLSSRGVCKFFGAMNCLPLFSADFAVIPQWFMDMQFTLFRRVAPRSFFFVEKSLYLLNNPIEESDSEPELALPEPCTPRNGGVVGLHPSVRASKLTGGNAQYRGNLGSHSFQKRRSSLRRRRARNLSHNAHKLNNGTPVFDISGSRKNRTAAVSSRKLRSSVLSNSSPVSNGISIIPLTKTKEELDSLCCSANILMIHSDRCTREEGFAVMLEASSSKEWFLVIKKDGAIRYSHRAQRTMRPCSCNRITHATVWMGGDNWKLEFCDRQDWLGFKDIYKECYERNVLEQSVKVIPIPGVREVCGYAEYIDNFPSFSRPVSYISVNEDEVSRAMARGIALYDMDSEDEEWLERQNRKMLSEEDDQFLQLQREAFELMIDGFEKYHFHSPADDLLDEKAATIASLSYLGRQEVVEAVHDYWLKKRKQRKAPLLRIFQGHQVKKTPLLSKPVFRKRRSFKRQGSQLHGKAKQISPWIVTVKATEPEEQNDYLRMEEAKVFADRTMETAIAKRRRAQVLAENADLAVYKAMVALRIAEAMKEAESREVDTTTVALKW >scaffold_600411.1 pep chromosome:v.1.0:6:1581541:1584795:-1 gene:scaffold_600411.1 transcript:scaffold_600411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVLAANMSKKDIVSFLYKKTSITALLYNDGYQASELFGAVITNGMLDIALDMLTRYPTRLALTKHPKSKASPIVRLAACDALLIPENLGFWEGIVYPCVEFTPPPMGPHQNFDADSPPETTNLFQRAGHVSELKMRNWQARAMLKRMMKELCTLDSQEIRRYKVGDGIYQAVFRGNLEYIKSLIKYNQQFLWSRDNYLEANIFSLAVVARQAKIFSLYYNLDERRVTLVTELDGEGENLLHVVAQPEVIPKGPPVVAPLELQRELFWYKEVENLLPTSERERMNKENLEPWDSFQENHTDLLDKAEAWMKGTATSCSVVAILIATVAFQAIFTIPEGVKSTSDHPAVKASLWVFVIADVFAFFFACTATFIFLGILTVRYSFLDFLKRLPTKMLLGQVSLLLSVLGMLVVFCTAIFTSVHQEWWLRAILLIPACFPILVFFFIQRPVLWKMGCSTYGKGLFDRNPKRSILFPNSKTSDASSSFLECVRNSVAENGPKLREASERTGREILLWTRRSSSLRALLVITFFRQCLVDHKEGIASLIVAAPRCSEIPELGDLRDIFEKKYGKENSLYNDLLSWNYE >scaffold_600412.1 pep chromosome:v.1.0:6:1589492:1592083:-1 gene:scaffold_600412.1 transcript:scaffold_600412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKELSNEATVSSASEINNNDDEAVASQGARNQETEEDSSEDMSLKELSNEDTVSSASEINNNDDEAVASQDDVNEETEEVWPEKMTVEILVSKTESIQGKLFRKKSQVKQHEELDWERKCLMKDTSVGNRRKLDQLVRRFALAPHLSDQQELQKCLVRQHEIEKVMGDEFKNLPEDASHESEEKKTDMKEGYFKVMEKSQNALLDKFSEIKEHQQLIAANRRSILNLFPKYKSLVDEIKGRLANQGIEEEILPVKPRIEAVLLEFKKLEDQLNIPCFEASHLDQMLKEILHATDPVLEPEDEVKHMIANSARLQARNNDAFSKFEHFSKAISGGEADAVRNFLSNGQFLITERISATETLIHRACSCGHEEIVKAMLDRMDDTDKVKLDWKLLYMVIANGNLEITKALLEKKPAYSETPMNKDGMLPCWFKLEG >scaffold_600418.1 pep chromosome:v.1.0:6:1619725:1623243:-1 gene:scaffold_600418.1 transcript:scaffold_600418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGNVLSSSQGVAGSSGNVPGSSQGVSDLGEICEYLSLSQNISDDDLEHVKVKFSNLQRDTTTAWIDPFETPLQNACSRRQLAEIVKKLLLHEMTSRGQMANDIYCQSLALDIAAGSGNLKMVRDLCELYPNQLLAQDNSVSYGLAIPVVRASNAGHGKVTGNLYFNNQNILLPILKDKQGYWATCLLLDAIFYGFLAWFHGLLDIALDIIINVPSVAVTKHASQRSTPLKFLALKPDLFHSHSAHSNLGFWRRFIYSCIMQTYDLKERHSQAQNLLKKMCTELPGMVKDNSWKEMVYGALLEAVKNGNKEFFIEIIKCNPQLLWIWKADSGRNLFQLAVEFKKDKIFNLIHGLDDRKVTLLRSYDNKNNNILHIAAHLSTPDQLSKISGAALKMQRETQWFKEVKSLVSEREVVQKNNKKKTPRQIFEDSHETLRKEGEEWMKYTATACSFVAALIATVTFQAIFTVPGGTDQTSGAPILLHDLHFTGFIFTNTLAFFASCISVLIFLNILTSRYSFDDFIVSLPRKMILGQSILFISIASMLVSFITSLSASMRHRPTLVVPLKPLASFPSILFLMLQYPLLKEMISSTYGKRLFYRDTKNWLP >scaffold_600421.1 pep chromosome:v.1.0:6:1629933:1630740:-1 gene:scaffold_600421.1 transcript:scaffold_600421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQWTRSEDKMFEQALVLFPEGSPNRWERIADQLHKSAGEVREHYEALVHDVFEIDSGRVDVPDYMDDSAAGWDSAGQISFGSKHGESERKRGTPWTENEHKLFLIGLKRYGKGDWRSISRNVVVTRTPTQVASHAQKYFLRQNSVKKERKRSSIHDITTVDTTLAMPGSTMDWTGQHESPVQAQPQQQILSEFGQQLTTPGHFEDFGFRM >scaffold_600423.1 pep chromosome:v.1.0:6:1641270:1643228:1 gene:scaffold_600423.1 transcript:scaffold_600423.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LXM7] MLSLSLPSKNILGFTANFLIRCKILPRRSNTSSLSRNISVFASYEQEELSPGRYSDEFNVVQASDFIEILQLCARNGAVMEAKACHGKTMRMELQGDVTLSNVLINAYSKCGFVELARQVFDGMLERSLVSWNTMIGLYTRNRMESEALDIFWEMRNEGFKFSEFTISSVLSACGANCDALECKKLHCLSMKTSLDLNLYVGTALLDLYAKCGMINDAVQVFESMQDKSSVTWSSMVAGYVQSKNYEEALLLYRRAQRMSLEQNQFTLSSVICACSNLAALIEGKQMHAVIRKSGFGSNVFVASSAVDMYAKCGSLRESYIIFSEVQEKNIELWNTIISGFAKHARPKEVMILFEKMQQDGMHPNEVTFSSLLSVCGHTGLVEEGRRFFKLMRTTYGLSPNVVHYSCMVDILGRAGLLSEAYELIKSIPFEPTASIWGSLLASCRVCKNLELAEVAAKKLFELEPENAGNHVLLSNIYAANKQWEEIAKSRKLLRDCDVKKVRGQSWIDIKDKVHIFRVGESSHPRIREICTMLDNLVIELRKFGYKPSVEHELHDVEIGKKEELLMQHSEKLALVFGLMCLPEGSTVRIMKNLRICVDCHEFMKAASMATRRFIIVRDANRFHHFSDGHCSCGEFW >scaffold_600426.1 pep chromosome:v.1.0:6:1653926:1654310:-1 gene:scaffold_600426.1 transcript:scaffold_600426.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LY60] MTLHGPNRDGSKPLTVCEVVNRFGRPNFLGFLTQLQRSDLGRDPPRFGFLLPVQVVYLTSPATAISTVSACWAEVSPFRFSWNPKVYLMKTLNHQVRQVDRKLFRLRSVLLLST >scaffold_600427.1 pep chromosome:v.1.0:6:1656151:1656961:1 gene:scaffold_600427.1 transcript:scaffold_600427.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOFP13/OFP13 [Source:UniProtKB/TrEMBL;Acc:D7LY61] MGKKKMKLSSLFKGGAGGLLAVPLCYNAKTLSFRVGDDMIKTVNSVFFDHNHNNGGDLLEAETPESWFTNSSETASHSTESDQDLDAESLEMVVRGVVRSERLFFDPGVTSSILEEIDDKSKSKSKETVVVGEDRGTPIEEISVAVAMESEDPYGDFRRSMEEMVMSHGELAKDWESLESMLAWYLRMNGRKSHGVIVSAFVDLLSGLSDSGAGITSASVSDSARYSTAVSSLPSSPVYSLSQGQREIEEEERRSC >scaffold_600430.1 pep chromosome:v.1.0:6:1665011:1666351:1 gene:scaffold_600430.1 transcript:scaffold_600430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLGLGSPNSIYLSISKGERLHEKMTNFVSKNKAAQLKHSSKDFGDLSSKDLQKMVHTLPQYSEQIDKLSLHVEIARTINKTIMEQGLRDLGQLEQDLTADVCMTHVVLYFMCRHHETMNLYL >scaffold_600433.1 pep chromosome:v.1.0:6:1680075:1683116:-1 gene:scaffold_600433.1 transcript:scaffold_600433.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase isoform ak1 [Source:UniProtKB/TrEMBL;Acc:D7LY67] MGNTCVGPSRNGFLQSVSAAMWRPRDGDDSASMSNGDIASEAVSGELRSPLSDEVQNKPPEQVTMPKPGTNVETKDREIPPESKPEALEEINLESKPETKQETKSETKPETKPDPPAKPKKPKHMKRVSSAGLRTESVLQRKTENFKEFYSLGRKLGQGQFGTTFLCVEKTTGKEFACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDVVAVHLVMECCAGGELFDRIIQRGHYTERKAAELTRTIVGVVEACHSLGVMHRDLKPENFLFVSKHEDSLLKTIDFGLSMFFKPDDVFTDVVGSPYYVAPEVLRKRYGPEADVWSAGVIVYILLSGVPPFWAETEQGIFEQVLHGDLDFSSDPWPSISESAKDLVRKMLVRDPKKRLTAHQVLCHPWVQVDGVAPDKPLDSAVLSRMKQFSAMNKFKKMALRVIAESLSEEEIAGLKEMFNMIDADKSGQITFEELKAGLKRVGANLKESEILDLMQAADVDNSGTIDYKEFIAATLHLNKIEREDHLFAAFTYFDKDGSGYITPDELQQACEEFGVEDVRIEELMRDVDQDNDGRIDYNEFVAMMQKGSITGGPVKMGLEKSFSIALKL >scaffold_600434.1 pep chromosome:v.1.0:6:1684550:1685233:-1 gene:scaffold_600434.1 transcript:scaffold_600434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAYIFCSLRATPVAALYIHRPENLFDMERSLAVLFLSFQTPVEIPDVSKWISRI >scaffold_600441.1 pep chromosome:v.1.0:6:1710621:1714725:1 gene:scaffold_600441.1 transcript:scaffold_600441.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:D7LY75] MDPRISIDKPPHHDPILGVSSRWSVSSKDNNSVREVTFGDLGSRRIRHGSAGADSEMLSMSQKEIKDEDARLIYINDPDRTNERFEFTGNSIKTAKYSVFTFLPRNLFEQFHRVAYIYFLVIAVLNQLPQLAVFGRGASIMPLAFVLLVSAIKDAYEDFRRHRSDRVENNRLALVFEDNQFREKKWKHIRVGEVVKVQSNQTLPCDMVLLATSDPTGVVYVQTTNLDGESNLKTRYAKQETLLKAADMESFNGFIKCEKPNRNIYGFQANMEIDGRRLSLGPSNIILRGCELKNTAWALGVVVYAGGETKAMLNNSGAPSKRSRLETRMNLEIILLSLFLIVLCTIAAATAAVWLRTHRDDLDTILFYRRKDYSERPGGKNYKYYGWGWEIFFTFFMAVIVYQIMIPISLYISMELVRIGQAYFMTNDDQMYDESSDSSFQCRALNINEDLGQIKYLFSDKTGTLTDNKMEFQCACIGGVDYSAREPTESEHAGYSIEVDGNILKPKMRVRVDPVLLQLTKTGKATEEAKRANEFFLSLAACNTIVPIVTNTSDPNVKLVDYQGESPDEQALVYAAAAYGFLLIERTSGHIVINVRGETQRFNVLGLHEFDSDRKRMSVILGCPDMSVKLFVKGADSSMFSVMDESYGGVIQETKIQLHAYSSDGLRTLVVGMRELNDSEFEQWHSSFEAASTALIGRAGLLRKVAGNIETNLRIVGATAIEDKLQRGVPEAIESLRIAGIKVWVLTGDKQETAISIGFSSRLLTRNMRQIVINSNSLDSCRRSLEEANASIASNDESDNVALIIDGTSLIYVLDNDLEDVLFQVACKCAAILCCRVAPFQKAGIVALVKNRTSDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAVFVLILFWYVLFTCYTLTTAITEWSSVLYSVIYTAVPTIIIGILDKDLGRRTLLDHPQLYGVGQRAEGYSTTLFWYTMIDTIWQSAAIFFIPMFAYWGSTIDTSSLGDLWTIAAVVVVNLHLAMDVIRWNWITHAAIWGSIVAACICVIVIDVIPTLPGYWAIFQVAKTWMFWFCLLAIVVTALLPRFAIKFLVEYYRPSDVRIAREAEKLGTFRESQPLGVEMNLIQDPPRR >scaffold_600444.1 pep chromosome:v.1.0:6:1720594:1720868:1 gene:scaffold_600444.1 transcript:scaffold_600444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEDRGCGIFTPPIEEISVAVAMESEDSYCDYRRSIKKMVMCHFLSFEVYFRPKVYGVPVSLSSFANIQISSFVS >scaffold_600448.1 pep chromosome:v.1.0:6:1739377:1741529:-1 gene:scaffold_600448.1 transcript:scaffold_600448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTVSITANTAAATRRTPILSGEKKSNFDYPQSESLANGGGVGEAGGTSRDLSRGEAIVDRSHGQDLGPVTRRSGSAATGTNTTTTQRRTRKVATPKPEKARWKTVVRIFAKQLGALLIIVGLIQLTRKMILKASSPSSPISSYETEMAFSGLESRIAEVDGLVKATTSTMQVQVELLDKKMEREAKTLRQEIERKASAFQSELKKIESRTESLEKSVGEVNAKPWVTKDELERIYEELKKGNVDDSAFSEISIDELRAYARDIMEKEIEKHAADGLGRVDYALASGGAFVMQHSDPYLVGKGSSWFATTMRRAHTNAVKMLSPSFGEPGQCFPLKGSDGYVQIRLRGPIIPEAFTLEHVAKSVAYDRSSAPKDCRVSGWLQGQGKGLESSAENENMQLLTEFTYDLDRSNAQTFNILDSSNSGPIDTVRLDFTSNHGSDSHTCIYRFRVHGRASDPVPVVETSLDQDSSPGSE >scaffold_600450.1 pep chromosome:v.1.0:6:1744907:1747652:1 gene:scaffold_600450.1 transcript:scaffold_600450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit delta [Source:UniProtKB/TrEMBL;Acc:D7LY84] MVVLAASIVVKSGKVLVSRQYVDMSRIRIEGLLAAFPKLVGMGKQHTYIETENVRYVYQPIEALFLLLVTTKQSNILEDLATLTLLSKLVPEYSMSLDEEGISRASFELIFAFDEVISLGHKESVTVAQVKQYCEMESHEEKLHKLVMQSKINDTKDVMKRKANEIDKSKIEKNKPGYSSISSMGSGRLESSFSELGISSGGGGGGFGSGSGFGMISDVDPINTKPKDRSRSSVTAPPKSSGMKLGKSGKNQLMESLKAEGEDIIEDVKPTGQSKAAAPPPTDPFTLTVEEKLNVILRRDGGISSFDMQGTLSLQILNQEDGFVQVQIATGENPEILFKTHPNINRDMFNNENILGLKRPDQPFPTGQGGDGVGLLRWRMQKADESMVPLTINCWPSVSGNETYVSLEYEASSIFDLTNVIISVPLPALREAPSVRQCDGEWRYNPRNSVLEWSILLIDNSNRSGSMEFVVPPVDSSVFFPISVQFAATSTYSGLKVTGMIPLGGGGGAAPRFVQRTQLIAQSYQVI >scaffold_600453.1 pep chromosome:v.1.0:6:1759228:1759511:1 gene:scaffold_600453.1 transcript:scaffold_600453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDFHAERVNEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRYIAA >scaffold_600456.1 pep chromosome:v.1.0:6:1778030:1778642:-1 gene:scaffold_600456.1 transcript:scaffold_600456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECEADKISSQLVDLLIKNSNKKAYSTYLLLLSKIPTLKSILLLEVLMKYTLHIRMQEARHSLASVHRLDIPGIDYVVHLDSVMFVSILFCHLCCYVYDSEVRSCSCSMIPPQDQNMFNHRAGRTARLGRQRRAIVFLLSHV >scaffold_600457.1 pep chromosome:v.1.0:6:1780851:1781270:1 gene:scaffold_600457.1 transcript:scaffold_600457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRERMISQVHCIPSGDHILMTASSSKHISHIRFYKAWKGNSRFCCGGRASFNYTYKSLRIVVFPLNHSDKPACR >scaffold_600460.1 pep chromosome:v.1.0:6:1788939:1789915:1 gene:scaffold_600460.1 transcript:scaffold_600460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDFHAERVNEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRKKLDERRRLSMAHDVAKGMNYLHNCNPPIVHRDLKSPNLLVDKKIYSQVSHGSVHNFATIMDLLRPLIKSAVPPPNRSDL >scaffold_600463.1 pep chromosome:v.1.0:6:1802056:1802684:-1 gene:scaffold_600463.1 transcript:scaffold_600463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERICDDSDSDNSEDGTPEEIQLFYDEWDKSQVLKFLLFLLVLLLLHDSKLILPRDMQGFEIDFSKLNFCFDWKALDLDDSTMVDEPETNRDFIAMLSNQALTKHNADNGTSLELGKVLRANFHPSAGITLYISFQVNDLSDANRQTKPYRAVVRYLPGDIEVCSCKPKPSS >scaffold_600464.1 pep chromosome:v.1.0:6:1803544:1803843:-1 gene:scaffold_600464.1 transcript:scaffold_600464.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LY98] MAMLSNRALTEYNVDNGTNLELSKVLRVNFHQSAGITFYISFEVNDPSDK >scaffold_600468.1 pep chromosome:v.1.0:6:1826907:1827219:1 gene:scaffold_600468.1 transcript:scaffold_600468.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYA2] MLYLFFFFLASLVSSSCLFYQMLINSHSVHYVCCVVINSEFVCVHTHTRMGHRKLIIQWMVLPPNLPQLVLGINFARDGMQCDMTIGSS >scaffold_600469.1 pep chromosome:v.1.0:6:1835271:1836109:1 gene:scaffold_600469.1 transcript:scaffold_600469.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LYA4] MREEDSNWFAKWEEELPSPEELIPLSQSLITPDLAIAFDLHRDNSNSGQPLPQTTPPQPNSSTEFAGDSTGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQSGGGGGGGGDSDHLFASSPVPPHFLHQTSRQSSDLFIPSLVPISTQQQHIAAPPSQFLHRQMSAVNFTSPTKATDQAMFLARQQSQLQQPVFRPSSLHLHSQVANYTQDLDSGAKTVLTLFPTRDD >scaffold_600471.1 pep chromosome:v.1.0:6:1839469:1840751:-1 gene:scaffold_600471.1 transcript:scaffold_600471.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:D7LYA6] MDMRRSSAWLMLICVSLVLFSGFGQFVICSEEKGTYNDNNNNVVKMKLGGFSDSTNDRNGGEEIDDIALFAVQEHNRRENVVLELARVLKATEQVVAGKLYRLTLEVIEAGEKKIYEAKVWVKPWMNFKQLQEFKNVIPSFTISDLGLKSDGNGFDWRSVSTNNLEVQEAAKQAMKSLQQKSNSLFPYKLIDIILARAKVVENRVKFELLLKLERGNKLENFMVEVMKDQNGK >scaffold_600472.1 pep chromosome:v.1.0:6:1844440:1844782:1 gene:scaffold_600472.1 transcript:scaffold_600472.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYA7] MAANLPITELANVKPFQNNRSLIDSTHSIHTRDNENDSCYCFYEQAAKVYQLWRVEIY >scaffold_600473.1 pep chromosome:v.1.0:6:1847457:1848323:-1 gene:scaffold_600473.1 transcript:scaffold_600473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRRFIGPGSHLFKTVCLTENAPASIRVEALAKAKVENRRIWRRRESCSRNCMQSLTEPEGIIAYTLRKLMMIEKKEPDSVTKSDLIRWAMHLMRTGDDKSAKETLNLVNDASKNQSSHHMATTTDKEGKHYHAIEIFEWMEEERKRVSLTTCELDLILRTKGYTAADAYVRKLYPNLNHVDWLVRRPVARFKAKILIGYELPEKTKGTRVVRCIGTSGYPTHFRN >scaffold_600477.1 pep chromosome:v.1.0:6:1861168:1861388:-1 gene:scaffold_600477.1 transcript:scaffold_600477.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYB2] MAFVSDEPSFHSEFCSAKFLACSAYSAKLAGFISDVLVAISSGEFDRLWFLVYGLNRR >scaffold_600482.1 pep chromosome:v.1.0:6:1883022:1885116:1 gene:scaffold_600482.1 transcript:scaffold_600482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKGQKIRLVKCPKCFKILQEDEDVPVYQCGGCSAILQAKKRNTAPNSTPSAGETERAQANEPRSVPETNNMSSSSGQDTVLPSSAGRSAGQENEEGRNASMDSTEKELDELELSNGDGTNEIQEQERSVGDSEKNEGGDNSRLESHMMNSVAEAAGSGASSGSLSVDHLVAARASNPSGNAKISPDASLVEEEQSQVDFLANKTPAYDVVAARASNSSGNAEISPDASPVEEKQSQLDYPANKTSSAYDGSESSSDEREDQLLDDNEQWNALQKIRSGKFEMHRYPGYKEQGASSSSPFSEDRRNGITTYNERHQNRSLQLEGPGGEPYTRGSPSYPSHDEFDRYSRAHSLQMPSYAGGMNHEFVDYMYHNNPRARGQGQGSRISGEMGRNHGGWYSGQLHNSYSSYSASPQRPMEQPEYHRRWRREIVSDVEDHHRNRHAGHHHELQTRRLKERQRVAKRHVRPTAGGAPFVSCYSCSENLQLPVDFLIFKRKHHLLRCGTCTSVLRFSLQSRTHLVPAVTHDINANRNSNSTSESPRDKAPSKPEKLRSSVQDEELPVSRGSPLHRLMGYSTVSQVFKASQRPPSV >scaffold_600485.1 pep chromosome:v.1.0:6:1891511:1892128:1 gene:scaffold_600485.1 transcript:scaffold_600485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISQTCTKIITNSSSRTYKTSSSVTAIPQTKLSLKAKKERWVAAARCVASGSGYAAAMEPITPEEEEELTQRRGICGGEANRGVWELLECLEKEAIMGDDDGRDPTDYNRRAKIFDKSSKIFKNSKEQRDQSPVEYLEKEAIMGADDGRDPKDYNRRAKIFDKSSKIFKNIKEQRDQSSPE >scaffold_600489.1 pep chromosome:v.1.0:6:1899188:1899966:-1 gene:scaffold_600489.1 transcript:scaffold_600489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAKIGYGGSYLVQRGSLEHPCGSDHNARLLSSSFPINLGGTRRSSVGTITASIAETVTEIEKQRGGRRSGGRSRGGGGDSRAVEAEREKLDRWMKESVTEIVKNLSEAPLLVHLYTGDKEEGTVVVMKAEEWAAVKGRWERGEAEMPEGIVFVEQLGAAEESCGCGFDGGDGTRAWGLVVQGKGVECGPVCYLLKTTRVGSMSGSGSGSGLGMRCTHFCLAKVSSFRETSESQLRNCWLVGN >scaffold_600493.1 pep chromosome:v.1.0:6:1912588:1913601:1 gene:scaffold_600493.1 transcript:scaffold_600493.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXPA2 [Source:UniProtKB/TrEMBL;Acc:D7LYC7] MKLTEYSHILFLSLFTINFYIINSDDNGGWERGHATFYGGADASGTMGGACGYGNLHSQGYGLQTAALSTALFNSGQKCGACFELQCEDDPEWCIPGSIIVSATNFCPPNFALANDNGGWCNPPLKHFDLAEPAFLQIAQYRAGIVPVAFRRVPCEKGGGIRFTINGNPYFDLVLITNVGGAGDVRAVSLKGSKTDQWQSMSRNWGQNWQSNTYLRGQSLSFQVTASDGQTVVSYDVVPQDWQFGQTFEGGQF >scaffold_600494.1 pep chromosome:v.1.0:6:1913970:1914291:-1 gene:scaffold_600494.1 transcript:scaffold_600494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIGALILVLFISFSQLASFSTARKFPSVIDGVIFPGEISVVSKTVVGCEGEDDHFTASYVTGKFGSLVLNALPRGSVPASGPSKRINYVKT >scaffold_600498.1 pep chromosome:v.1.0:6:1929283:1932063:-1 gene:scaffold_600498.1 transcript:scaffold_600498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEPVRWVPLQSHPVFASLPSSQDELAASQRFPRNLMAWDGDWRLYYWDSKRYLLHRLSLRLGEPEPSSVLAAVPSKVMQPDLQLTFSVSKISINKSGSAVLLAGSDGICVMYLFGRASVVEYNIICRVVSIGSEIYTSGDNAINLLQALWHPDSDTHLEILSSDAVFSFEDYMFMSSPNLSMVQFFILFTDGSIYILCPVVPFGRFTTMQGTRGENILVVKAHPYALLDASLALQGPLYKASSGDGDEDFAVREAECKGRAVSLSYNLVSKDSILVTAWSAGSYGSTSIGRVTRKPKHTHCHW >scaffold_600501.1 pep chromosome:v.1.0:6:1934927:1936650:-1 gene:scaffold_600501.1 transcript:scaffold_600501.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LYD3] MASNQLTAILVLVLTLLLQGNNNNVVEAQLTTNFYSTSCPNLLSTVQSAVKSAVNSEARMGASILRLFFHDCFVNGCDGSILLDDTSSFTGEQNAAPNRNSARGFNVIDNIKSAVEKACPGVVSCADILAIAARDSVVVLGGPNWNVKVGRRDARTASQAAANSNIPAPTSSLSQLISSFSAVGLSTRDMVALSGAHTIGQSRCTNFRARIYNETNINAAFATTRQRTCPRATGSGDGNLAPLDVTTAASFDNNYFKNLMTQRGLLHSDQVLFNGGSTDSIVRGYSNNPSSFNSDFAAAMIKMGDISPLTGSSGEIRKVCGRTN >scaffold_600510.1 pep chromosome:v.1.0:6:1959568:1960259:1 gene:scaffold_600510.1 transcript:scaffold_600510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7LYD9] MANPPTLPISDHSGGGSQSQQTVSTPAFRTFLSRLSSSIRQSLSQRRPWLELVDRSAISRPESLTDAYSRIRRNLPYFKVNYVTIVSLVLALSLLSHPFSLLVLLCLFGAWIFLYLFRPSDQPLVILGRTFSDRETLGVLVILTIVVVFLTSVGSLLTSALMIGFGIVCLHGAFRVPEDLFLDDQEPANTGLLSFLSGAATSAAVAAASTPASGRV >scaffold_600514.1 pep chromosome:v.1.0:6:1983314:1986298:1 gene:scaffold_600514.1 transcript:scaffold_600514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLFNINTLLMVSFHALMRFRVCQWKLASLFTPSTKGAGLAPLPAMLTSSPPRLADFRYSTGIFEKYTRSSEFEIVSTPVICGHGVKESGAMVISDSDITVIVKLIKIRSNVPD >scaffold_600515.1 pep chromosome:v.1.0:6:1988991:1991924:1 gene:scaffold_600515.1 transcript:scaffold_600515.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase [Source:UniProtKB/TrEMBL;Acc:D7LYE5] MDSSANINKALTETRFSDLEPPLSGNIIEALNQSDFEFCTPVQAATIPLLCSYKDVAVDAATGSGKTLAFVVPLVEILRRSTSFPPKPHQVMGVIISPTRELSSQIYNVAQPFVSTLANVNSVLLVGGREVKADMKIIEEEGCNLLIGTPGRLSDIMERMEILDFRNLEILILDEADRLLEMGFQRQVNYIISRLPKQRRTGLFSATQTEGVEELAKAGLRNPVRVEVRAKSKSESSQQFTNSKTPSGLHLEYIECEADKKSSQLVDLLIKNSDKKLIVFFMTCASVDYWGLVLSKIPALKSISLIPIHGDMKQNARDKALASFTKASSGALLCTDVAARGLDIPGIDYVVQYDPPQDPNMFNHRAGRTARLGRLGKAIVFLLPKEEAYVEFMRIRRVPLEERKCSEDASDVIPIIRSAAMKDRAVLEKGLKAFVSFVRAYKEHHCYFIFRWKELEIGKLAMGYGLLYLPSMSEVKQHRLSSEGFTPVEGVKFDEIKFKDKSREKQRQQNLQVRKEKRQEEKREKGKRKRVDAPTTNDPKKASRKLTGKQRQTIQTAEDEEVMDRDYKLMIKVKKGLIKEDEYERLTGDDDLF >scaffold_600517.1 pep chromosome:v.1.0:6:1997254:1998961:1 gene:scaffold_600517.1 transcript:scaffold_600517.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein synthesis initiation factor eIF2 alpha [Source:UniProtKB/TrEMBL;Acc:D7LYX5] MANPAPNLECRMYESKYPDVDMAVMIQVKNIADMGAYVSLLEYNDIEGMILFSELSRRRIRSISSLIKVGRIEPVMVLRVDRERGYIDLSKRRVSDEDKGACEERFNKSKLVHSIMRHVAETVGVDLEELYVHIGWPLYKKHGHAFEAFKIVVTDPDSVFNALTREVKETGPDGVEVTKVVPAVSEELKDAFLKNIRRRMTPQPMKIRADIELKCFQFDGVLHIKEAMKKAEAEGSDDCPVKIKLVAPPLYVLTTHTLDKEKGIVTLNKAIEACITAIEEQKGKLVVKEGARAVSERDDKLLAEHMAKLRMDNEEISGDEGSEDEEEDTGMGEVDIDGGSGIIE >scaffold_600527.1 pep chromosome:v.1.0:6:2035365:2035976:1 gene:scaffold_600527.1 transcript:scaffold_600527.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYY5] MALRVTVRKIPLHSFPLALVKRSTGESNLCEIATLRMREFLRIKTFQCDDKKFSIIYHCPHCVSITNLQVYRSGQVFTFVDRGSHYPVVNVTWEEDGSVQIQWPRHVWIYSSSSLGCNRCCCFDNLDA >scaffold_600535.1 pep chromosome:v.1.0:6:2071882:2073388:1 gene:scaffold_600535.1 transcript:scaffold_600535.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease family protein [Source:UniProtKB/TrEMBL;Acc:D7LYZ2] MTELSSSNLDSATQKLRISTENPPPHINIDVPTTGDAALSPARTVNQFKKITVLPLVFLIFYEVSGGPFGIEDSVKAAGPLLAIVGFIVFPFIWSIPEALITAEMGTMFPENGGYVVWVSSALGPYWGFQQGWVKWLSGVIDNALYPILFLDYLKSGIPILGSGIPRVAAILVLTVALTYLNYRGLSIVGVAAVLLGVFSILPFLVMSFMSIPKLKPSRWLVVSKKMKGVDWSLYLNTLFWNLNYWDSISTLSGEVENPSKTLPRALFYALVLVVLSYIFPVLTGTGAIALDQKLWTDGYFADIGKIIGGAWLGWWIQAAAATSNMGMFLAEMSSDSFQLLGMAERGMLPKVFAKRSRYGTPWVGILFSASGVILLSWLSFQEIVAAENLLYCFGMVLEFITFVRLRMKYPAATRPFKIPVGVLGSILMCIPPTVLIGVIMALTNLKVALVSLAAIVIGLVLQPCLKQVEKKGWLKFSTSSHLPNLME >scaffold_600541.1 pep chromosome:v.1.0:6:2090801:2091413:-1 gene:scaffold_600541.1 transcript:scaffold_600541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGLPDAPATDGAQPDPHPLFSDPPGENRYYPFTYPKGITRKQLGIIKLTAQFMVRSEMNFWEDLMKIVIMNSQPEFDFLKSADTYGLFHRLVCAYSRVLMPCSELSKPDASTEIVVDGFFNLLGGEKKDLHAFVGALDYFANREDEELLPHEHPGMPLPPPPLGLQVPGPYCQMPMPGNVSFSTTAST >scaffold_600542.1 pep chromosome:v.1.0:6:2092736:2096483:-1 gene:scaffold_600542.1 transcript:scaffold_600542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTAFLLLLSSIAAGFLLLLRRTRYRRMGLPPGSLGLPLIGETFQLIGAYKTENPEPFIDERVARYGSVFMTHLFGEPTVFSADPETNRFVLQNEGKLFECSYPASICNLLGKHSLLLMKGSLHKRMHSLTMSFANSSIIKDHLMLDIDRLVRFNLDSWSSRVLLMEEAKKITFELTVKQLMSFDPGEWSESLRKEYLLVIEGFFSLPLPLFSTTYRKAIQARRKVAEALTVVVMKRRDEEEEGAERKKDMLAALLAADDGFSDEEIVDFLVALLVAGYETTSTIMTLAVKFLTETPLALAQLKEEHEKIRAMKSDSYSLEWSDYKSMPFTQCVVNETLRVANIIGGVFRRAMTDVEIKGYKIPKGWKVFSSFRAVHLDPNHFKDARTFNPWRWQSNSVTTSPSNVFTPFGGGPRLCPGYELARVALSVFLHRLVTGFSWVPEEQDKLVFFPTTRTQKRYPIFVKRRDVAT >scaffold_600550.1 pep chromosome:v.1.0:6:2127440:2129839:1 gene:scaffold_600550.1 transcript:scaffold_600550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHMOV34 [Source:UniProtKB/TrEMBL;Acc:D7LZ07] MDVIKTQQISARTIEKVVVHPLVLLSIVDHYNRVAKDSRKRVVGVLLGSSSRGIVDVTNSYAVPFEEDDKDPSIWFLDHNYHESMFHMFKRINAKEHVVGWYSTGPKLRENDLDVHALFNGYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVSTEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTAKLTALKGLDARLREIRSYLDLVIEGKLPLNHEILYHLQDVFNLLPNLNVNELVKAFSVKTNDMMLVIYLSSLIRSVIALHNLINNKLLNKEHEKAEDSKPVAIPATS >scaffold_600551.1 pep chromosome:v.1.0:6:2133663:2134648:-1 gene:scaffold_600551.1 transcript:scaffold_600551.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7LZ08] METLHPLLSHVPTSGHRFVVQEMMCLQSSSWTKEENKKFERALAVYADDTPDRWFKVAAMIPGKTISDVMRQYSKLEEDLFDIEAGLVPIPGYHSATPCGFDQVVSPRDFDAYRKLPNGARGFDQDRRKGVPWTEEEHRRFLLGLLKYGKGDWRNISRNFVGSKTPTQVASHAQKYYQRQLSGAKDKRRPSIHDITTVNLLNANLSRPSSDNGCLVSHQAEPKLGFTDRDNAEEGVKFLGQNLSSVFSSYDPAVKFAGANLYGEGGYCITRS >scaffold_600553.1 pep chromosome:v.1.0:6:2141300:2142398:1 gene:scaffold_600553.1 transcript:scaffold_600553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIAVVIAVLTAFFSITFLLLLYVKHCKRRSGTVYVNHPQRFAISRYGGGYYNGGGVGGGRKNSGIDRSVIESLPVFRFGALSGHKDGLECAVCLARFEPAEVLRLLPKCKHAFHVECVDTWLDAHSTCPLCRYRVDPEDILLIGDCNSWFELRFSKEESNSISNPPGLTRFVPVSRISGRHSSAGERASRLNEIRTSSSSKSNPMSFRRSLDSSLKVNDAGEEKSESVAVNCLDRLQRKDGLLLIPNQESMEGRFEHRIIIAGGNRDDQRWSEVRPADLLYLRSEMILSDCRKLAAAEGGRDVINGRSVSELTGIERRRRWGGEPRQRQATAVISRWLAWSHRASASSIV >scaffold_600556.1 pep chromosome:v.1.0:6:2152455:2153436:1 gene:scaffold_600556.1 transcript:scaffold_600556.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LZ12] MESVQPHLETLVAVKVIHGSSGCGDGSEDDGELRRSSGAVENEEEIVKDEERRSNASVCSVEVDLELGLPEKVVHLSQSERDCRICHMSLDAANLESGVPIELGCSCKADLAAAHKHCAETWFKIKGNKICEVCGSIAGNVVGSVEVETEESRNEANGVENLTLRTSGPRLAEARSFWQGHRFLNFLLACMVFAFVISWLFHFNVPST >scaffold_600561.1 pep chromosome:v.1.0:6:2170606:2172892:1 gene:scaffold_600561.1 transcript:scaffold_600561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLAKILHSRVKRFLNHCDPHVLQQIPDGLYETEKRTNNTKLLLTLINRNCESPFRDCLTKPLQSADSETGEDKQRISCLIDDFGWMFAQPIAQLPRLVVSEFTVSFFRSQFVLPKLRREVYLPPQGIELKPGGKPVSFRVNNDRKLVVTRATLGEGFGKEKSVIQYLIGGDKNSSVDLCALYPNEKESCSLSLVFERDVDFTVIGDRGILLTGYLQTTCVQDKGKDVNRGDSEAEDSVLEFPPPQKRDLWRVFGEFGEKLDPFLHVVVETTITSSGLMYMSCKELEKDSLTLSNKIFKVPIFAIGPLEALKKKERL >scaffold_600565.1 pep chromosome:v.1.0:6:2180020:2180773:1 gene:scaffold_600565.1 transcript:scaffold_600565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSNGYAYLNTYQSLPILVSSPTPLTQSCDLFRRHHPSPAYIENDTHHHHLHEDFTLMIIVFVLYGIVAIRYVTFNDGSRGTNLSFQRQLPPLQPPMCPRQIEAMIKDIVVDVELCCPICLEDLKKVDDDNGRGDDDKVVVCLSKCHHSFHMKCIFSWLRQSQDCPICRTSVYRGEVTLINNGVGLGDQA >scaffold_600577.1 pep chromosome:v.1.0:6:2220521:2220891:1 gene:scaffold_600577.1 transcript:scaffold_600577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKDSKGSSSTTNPKGEIAAASQPQLQPQSPLLIRKSQPQQPLPPPSSEMPLLYPCYKKEDYEKMSEETIDLLLATYGIMTVPGDLANKRNFAFETFRWDHKNNHPKA >scaffold_600585.1 pep chromosome:v.1.0:6:2255092:2257360:-1 gene:scaffold_600585.1 transcript:scaffold_600585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSWGINSAIKLLTYSSELKDGQALYASSNCHPVKALNREPAGHAFHSAALKLRGCAKEATSKNEDTDKKVPKEKDSEYIPSYDSHNIKGKKKSGKQQHDHYALLGLGNLRYLATEDQIRKSYREAALKHHPDKLATLLLAEETEEAKQAKKDEIESHFKLIQEAYEVLMDPTKRRIFDSTDEFDDKVPTDCAPQDFFKVFGPAFKRNARWSNSPLPDLGDEKPAIEEEKRRKEEEAKRAAEAALLHKRAKEKEKKLLRKERSRLRILSAPVLSQRLLSISDEHVEDLCMSLNTEQLRKLCDKMENKEGLALAKVIKNGNSIDDDDEIEVKEEKEEEEVQVAVKQNGHIEANGHVEAKVNTATHQKKEKPWSKEEIDMLRKGTTKFPKGTSQRWEVISEYIGTGRSVEEILKATKTILLQKPDSAKAFDSFLEKRKPAASIASPLSTREELGEPIIPIKPHEENNSAKTETAEQNGKSEENNNSNGKSEENNNSNGISEPDTGAGSDPDGWSAVQERALVQALKTFPKETNQRWERVATAVPGKTMNQCKKKFAELKDIIRTKKPTA >scaffold_600593.1 pep chromosome:v.1.0:6:2302493:2302684:-1 gene:scaffold_600593.1 transcript:scaffold_600593.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZ47] MSLIVSSASFYLLPRYLQMLLIATIYFAPTNNSSWCSIRSTQKTISSVP >scaffold_600594.1 pep chromosome:v.1.0:6:2305359:2306023:1 gene:scaffold_600594.1 transcript:scaffold_600594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSTGLDFGLINITLQVEATKKISEYVSQLRRLGKGHGNHLFYRATDLKTKEIKDRWKRLRKSQKMFLNLGNLAKDMVTTKYVYKIDETKELSGGCVQMEAKAFETSPLSI >scaffold_600595.1 pep chromosome:v.1.0:6:2307365:2309704:1 gene:scaffold_600595.1 transcript:scaffold_600595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTRPPELAVTGLSENLQGDIYRTVKRAVVIGNGCAGAENQCFGLVHSLGLFDRHLYHRVARPKGGILQWLPISLYKRIHRFISTICARFSINATGITNVFEVDDAKQIAAMARSTFDKNGPLLVVASGSDTISVASSIRRLAMENVFVVQVQHPRSHLERFDLVITPRHDYFSLTPEGKMQIPFFLRPWVTPREPPGRNVFLTTGALHYADASTLRNATLEWKEEFASLSKPLVVVNIGGPTRNCLYGVDLAKQLCGMLQSILWSCGSLRISFSRRTPKKVVEIITGELSSNSKVYIWDGKDPNPHLGHLALADTFIITADSISMLSEACSTGKPVYVVGAERCTWKFSDFQKTLHERGAVRPLTGNEDMCEKWSYSPLNDNGEAARRVIQDLAKRGWKIET >scaffold_600602.1 pep chromosome:v.1.0:6:2340389:2340852:1 gene:scaffold_600602.1 transcript:scaffold_600602.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S17 [Source:UniProtKB/TrEMBL;Acc:D7LZ55] MGRVRTKTVKKSSRQVIEKYYSRMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKTDHIEVDKETLEMLASLGMSELPGVVKVDPAAAAPVAAFGLGRGRRY >scaffold_600609.1 pep chromosome:v.1.0:6:2360153:2361943:1 gene:scaffold_600609.1 transcript:scaffold_600609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIASSSTTTLISSSRVLLPSKSSLLSPAVSFPRTFASSSSGFSRLVPLTSRLSASRRSFVVKAQADDLPLVGNKAPDFEAEAVFDQEFIKVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFEKLNTEVLGVSVDSVFSHLAWVQTDRKSGGLGDLNYPLVSDITKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLGIGRSVDETMRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKEYFSAI >scaffold_600613.1 pep chromosome:v.1.0:6:2375148:2375883:-1 gene:scaffold_600613.1 transcript:scaffold_600613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNGAYYGPSIPPPKKVSHSRGRRGGGCGCLGDCLGCCGCCILSVIFNILITIAVLLGIAALIIWLIFRPNAIKFHVTDAKLTEFTLDPTNNLRYNLDLNFTIRNPNRRIGVYYDEIEVRGYYGDQRFGMSNNISKFYQGHKNTTVVGTKLSGQQLVMLDGGERKDLNEDVNSQIYRIDAKLRLRIRFKFGLIKSWRFKPKVKCDLKVPLTSNSTSGFVFQPTKCDVDF >scaffold_600614.1 pep chromosome:v.1.0:6:2378243:2378550:-1 gene:scaffold_600614.1 transcript:scaffold_600614.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZ64] MQETIKNVRWDSSIRTRTTVAVARECSDAQSKPAKRKLPILTVSSSQEIMTLLLIAYLVASLIDAVCLAWEALRKFNPLYFLDTSGYA >scaffold_600615.1 pep chromosome:v.1.0:6:2381180:2381868:-1 gene:scaffold_600615.1 transcript:scaffold_600615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKDCGSHHSHSSSCNRKIIRRVIWPIIIILFIILLVILLVWAILQPSKPRFILQDATVFNFNVSGNPPNLLTSNFQMTLSSRNPNDKIGIYYDRLDVYASYRSQQITFPTSMPTTYQGHKEVNVWSPFVGGYSVPVAPYNALYLDQDHTRGTIMLVLHLDGRVRWKVGSFITGKYHLHVRCPAFINFGNSASGVIVGNNAVKYTLTTTCSVSV >scaffold_600616.1 pep chromosome:v.1.0:6:2384168:2384606:-1 gene:scaffold_600616.1 transcript:scaffold_600616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTYQGQKEVFWSPYVGGNSVPVAPSNAVNLEQVHSLGAIKLMLHLDGKVRWKVGTFITGKYHLHVRCPAFINFCNCSAGVKQQQDETSCFLSLGFNSFLAYVLYWAFFILLSVLVGVVISDSVYSVLLPF >scaffold_600620.1 pep chromosome:v.1.0:6:2396992:2398838:1 gene:scaffold_600620.1 transcript:scaffold_600620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8e family protein [Source:UniProtKB/TrEMBL;Acc:D7LZP7] MPQGDYIDLHIKRNGYRLDHHERLRKKEARAVHKRSAMAQKSLGIKGKMIAKKNYAEKALMKKTLKMHEESSSRRKADENVQEGAVPAYLLDREDTTRAKVLSNTIKQKRKEKAGKWEVPLPKVRPVAEDEMFRVIRSGKRKTKQWKRMVTKATFVGPAFTRKPPKYERFIRPSGLRFTKAHVTHPELKCTFCLEIIGIKKNPNGPMYTSLGVMTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >scaffold_600621.1 pep chromosome:v.1.0:6:2399568:2400023:1 gene:scaffold_600621.1 transcript:scaffold_600621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFYKNIYNVVDDPSLDQIISWSKSNNSFIVWNLEGLQREVLPKSIEFGKHYLKFMTELKFYGFKRIKGSAQWEFGHEYFVRGQPELLVKMMFKTGMKRIEQLAAIRRAKKKKAKAAKAKAEAEVENRLQQLRI >scaffold_600623.1 pep chromosome:v.1.0:6:2402589:2403070:1 gene:scaffold_600623.1 transcript:scaffold_600623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVKQPSLRRSLSTPSLCGGGSTTAECCGGTTASCAALCLCAPCSVVNLVVLAVYKLPRGLCRRAIRRIRRRRLAKKECLESGELGKVGSSQFAVHPLESRDDEEEEEDEAVIALEKEMWSRFYSGGFWRSLSQAETASSPKNN >scaffold_600625.1 pep chromosome:v.1.0:6:2410270:2413403:1 gene:scaffold_600625.1 transcript:scaffold_600625.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LZQ2] MKALFRFKSCLFDPTRRRNPLVSFSGFSKSSKSNKTRETTPSKIQSEATAITSLFNEITDILGSDVVQTDETTRLRAHVSAPVCDNGALVSCTQGVRENAAMGFSGENEEAQKGLLEKVDFSPVVHQITSVVRADDEIVSMEDRLEILSFRFEPEIVENVLKRCFKVPHLAMRFFNWVKLKDGFSHRVGIYNTMLSIAGEARNLDMVDELVKEMEKHACDKDIRTWTILISVYGKAKKIGKGLLVFEKMRKSGFELDAAAYNIMIRSLCIAGRGDLALEFYKEMMEKGITFGLRTYKMLLDCIAKSEKVDVVQSIADDMVRICEISEHDAFGYLLKSFCVSGKIKEALELIRELKNKEMCLDAKYFEILVKGLCRANRMVDALEIVDIMKRRKLDDSNVYGIIISGYLRQNDVSKALEQFEIIKKSGRPPRVSTYTEIMQQLFKLKQFEKGCNLFSEMIESGIEPDSVAITAVVAGHLGQNRVAEAWKVFGSMEEKGIKPTWKSYSIFMKELCRSSRYDEIITLFNQMHASKIAIRDDIFSWVISSMEKNGEKEKIDLIKEIQKQCNAYNQELNGSGKAEFMQKELVNKYNRPQVVQQSALPPASSAVDKMDVQEICHVLSSSRDWERTQEALEKLTVQFTPELVVEVLRNAKIQGNAVLRFFSWVGKRNGYKHNSEAYNMSIKVAGCGKDFKQMRSLFYEMRRQGCLITQDTWAIMIMQYGRTGLTNIAIRTFKEMKDMGLIPSSSTFKCLITVLCEKKGRNVEEATKTFREMIRSGFVPDRELVQDYLGCLCEVGNTKDAKSCLDSLGKIGFPVPVAYSIYIRALSRIGKLEEALSELASFEGDRSLLDQYTYGSIVHGLLQRGDLHKALDKVNSMKEIGIKPGVHVYTSLIVHFFKEKQFEKVLETCHKMKEESCEPSVVTYTAMICGYMSLGKVEEAWKAFRNIEEKGTSPDFKTYSKFINCLCQAGKSEDALKLLSEMLDKGIAPSTINFRTVFYGLNREGKQDLARIVLHKKSALVAQRKVST >scaffold_600634.1 pep chromosome:v.1.0:6:2437001:2437635:-1 gene:scaffold_600634.1 transcript:scaffold_600634.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LZR0] MNATVVPPYSGHWLTNTDRMGGLAYGIGVSIGILMLITTITLTSYYCTRSHISASPTTTPRTRRRRRETNGTLQPGQDQFDFEGDENDTVVVEVMGLNEEVIKSFPKLPYEEARVSYSLQKESSTTSCCSICLADYKKMDMIRVLPDCNHLFHDTCVDPWLRLHPTCPVCRTSPLPSPAMTPVADVVPFSRRPMMDI >scaffold_600636.1 pep chromosome:v.1.0:6:2444605:2445814:1 gene:scaffold_600636.1 transcript:scaffold_600636.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding transcription factor (CBF-B/NF-YA) family protein [Source:UniProtKB/TrEMBL;Acc:D7LZR1] MQTEELLSPPQTPWWNAFGSQQLTTESLSGDASDSFTGVKAVTPETEQGVVDKQSSTTLLTFSPGGGKSSRDVPKPHVAFTMQSACFEFGFAQPMIYTKHPHVEQYYGVVSAYGSQRSSGRLMLPLKMETEEDGTIYVNSKQYHGIIRRRQSRAKAEKLSRCRKPYMHHSRHLHAMRRPRGSGGRFLNTKTADAAKQSKPSNSQSSEVFHPENRTINSSREANGSNLSDSTVTNMDYFLSSSVYSSGGMVMPIKWNAAAMDISCCNLNT >scaffold_600638.1 pep chromosome:v.1.0:6:2451081:2452137:-1 gene:scaffold_600638.1 transcript:scaffold_600638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPGIQLAVYLYSGFTRKEFAIIKLTAQLVVRYGIYFWFTFFLGLVDAYERVLIPYRKLLVLHPCKEPVLEGFFKLLKGEKQDEDGLVEMAMIDSHAFVGGLDYFASREGGELSPPGRLSTQMQSGIPDAPATDDGLYPRYYPFTYPEGITRKQLGIIKLTAQFLVRYGYGFWLGLMKLVIGPSTIRIRVVVQDGDDGQLVEVTVQSLSESVASLKEKIAGEIQIPANKHKISGKTGVLEDNISLAHYNVGAGEILTLSM >scaffold_600640.1 pep chromosome:v.1.0:6:2465949:2466171:1 gene:scaffold_600640.1 transcript:scaffold_600640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3U1] MENLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCSVSRSSRRVF >scaffold_600641.1 pep chromosome:v.1.0:6:2467654:2469572:-1 gene:scaffold_600641.1 transcript:scaffold_600641.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LZR6] MSNIVLNTLRFKHPKLALLQSCSSFSDLKIIHGFLLRTHLISDVFVASRLLALALCVDDSTFHKPTNLLGYAYGIFSQIQNPNLFVFNVLIRCFSTGAEPSKAFGFYTQMLKSRIWPDNITFPFLIKASTEMECVVVGEQTHSQIVRFGFQNDVYVENSLVHMYANCGLIAAAGRIFGQMPFRDVVSWTSMVAGYCKCGMVEDAREMFDEMPHRNLFTWSIMINGYAKNNCFEKAIDLFELMKREGVVANETVMVSVISSCAHLGALEFGERAHEYVVKSHMTVNLILGTALVDMYWRCGEIEKAIRVFEELPDKDSLSWSSIIKGLAVHGHAHKAIHYFSQMVRLGFSPRDITLTAVLSACSHGGLVDKGLEIYENMKRDYGIEPRLEHYGCIVDMLGRAGKLAEAENFILKMPVKPNAPILGALLGACKIYKNTEVAERVGNMLIEVKPEHSGYYVLLSNIYACAGQWEKLESLRDIMKEKLVKKPPGWSLIEIDGKINKFSMGDDQKHPEMGKIKRLWEEILGKIRLIGYKGNTGDAFFDVDEEEKETAIHMHSEKLAIAYGMMKTKTGTTIRIVKNLRVCEDCHTATKLISEVYGREFIVRDRNRFHHFRNGLCSCRDYW >scaffold_600648.1 pep chromosome:v.1.0:6:2499386:2502912:1 gene:scaffold_600648.1 transcript:scaffold_600648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLAPLMEEPMDEEDQEDERGGGRRSKRSKSWKKWIKTQLQFIVFPKKPDMKLLLSVMGCPLFPVPPLSKISLQQVSSSAQYIIQQFAAATGCKKLAGEIKNTFVTGKITMTMVNDLNGSAAVSSASSVSHKGCFVMWQMLPEKWLIELVGGGHKISAGSDGEITWRYTPWLGDHAAKGAIRPLRRALQGLDPLTISSVFSSAQFVGEKEINGKDCFILKLSTDQIDLSRRSDSTAEMIKHVAFGYFSQKSGLLICLEDSSLTRIQIPGTVPTYWETSMSSCMEDYRAIEGSEVVIAHSGKTDVLISRFGETLKGGISVTRMEEKWTIDDVAFDVPGLSVDCFIPPKEMKMDFHHQDAQKHLPNYNR >scaffold_600650.1 pep chromosome:v.1.0:6:2505968:2506781:-1 gene:scaffold_600650.1 transcript:scaffold_600650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZS5] MGVMRSSRTMGLGHCMVYLVVLSAIAATVTSYPYSSPQTPSYNSPGHEHKSPKYAPHPKPYVYSSPPPPYYSPSPKVYYKSPPPPYVYSSPPPPYYSPSPKVYYKSPPPYVYSSPPPPYYSPSPKVYYKSPPPPYVYSSPPPPYYSPSPKVYYKSPPPPYVYSSPPPPYYSPSPKVYYKSPPPPYVYSSPPPPYYSPSPTIRLQLPTTICLQDALLLILNGFLNKKHDCNHFVFMIFSLDFSDFVFGSTILLSFFLN >scaffold_600651.1 pep chromosome:v.1.0:6:2511303:2511504:-1 gene:scaffold_600651.1 transcript:scaffold_600651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSSRTMGLGNCMVYVVVLSAIAATVTSNPYSYSQTPSYNSPGHEHKGPKYVX >scaffold_600653.1 pep chromosome:v.1.0:6:2516487:2517118:1 gene:scaffold_600653.1 transcript:scaffold_600653.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium load-activated calcium channel [Source:UniProtKB/TrEMBL;Acc:D7LZS7] MATAIPLFASFKYADSLTVVGISFCTALVCEAISWILIYRTSSYKSLKSSIDKASKKLETMKTDNPSSKLAIKKSKTKKIDRVESSLKESSRDLSLFKFKSGAVVALVLFVVFGLLNSLFEGKVVAKLPFHPITIVRKMSHRGLKGDDSTDCSMAFLYLLCSISIRTNLQKFLGFSPPRGAAGAGGLFPMPDPKTN >scaffold_600658.1 pep chromosome:v.1.0:6:2535583:2536573:-1 gene:scaffold_600658.1 transcript:scaffold_600658.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZT2] MSLSVKSISCRILNTKAYGPTKNMMPRRVLNLFHVKTCRTSNTEAYRPTKDWMSRRVLNLFHVKTCRTSNCLWKCVQDPLHVRPIAHAIWDPYFGQLPVEVCIFGRKLVLRSFSESPLDVTND >scaffold_600659.1 pep chromosome:v.1.0:6:2537319:2539374:-1 gene:scaffold_600659.1 transcript:scaffold_600659.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein 14 [Source:UniProtKB/TrEMBL;Acc:D7LZT3] MELALSLGDNTKKQFSFLEMSSKINNPSASSTSTSDKDLGFCMGLDVAFGGHKSLSSSSSPSVEDEKKKPVPRAKNSDGIRVSSSVDPPLQLQLHLPNWLPENSKGPQGGQMLSGAAMVAEEEEEEEEAVPSMSVSPPDSVTSSFQLDFGIKSYGYERRSNKRDIDHEVERSASRASNEDNDEENGSTRKKLRLSKDQSAFLEDSFKEHSTLNPKQKIALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCESLTEENRRLQKEVKELRTLKTSTPFYMQLPATTLTMCPSCERVATSAAQPATSAAHNLGLSTSSLIPVKHRPVQTSLMKARVPK >scaffold_600670.1 pep chromosome:v.1.0:6:2592886:2596554:1 gene:scaffold_600670.1 transcript:scaffold_600670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKQQLRFLATILFTTILFVLAKTDTDPLEVLALQDLYKSLRNPEKLRGWRLEGGDPCGEAWIGVSCSGSSIVDLQLRELKLLGTLGNQLQHLHNLKILDVSFNNLEGEIPFGLPPNATHINMAYNNLTQSIPFSLPLLASLQSLNLSHNSLSGPLGNVFSGLQIKEMDLSFNNLTGDLPSSFGTLMNLTSLYLQNNRLTGSVIYLADLPLADLNIEDNQFSGIIPSHFQSIPHLWIWGNKFHVEPNYKPWKFPLDVRPLIQNATGYPTTESSAIMNFPSPQKVKKKKKGIGAGSTFLLVGGLALLGTFFALFAVRMNHRRAQNLAASHISNNSTAYSLPVSTSREYPVATEDNPQMKRVQPPPVPQLRHLPSPPVRIDKSARRKSFSATCQYPSFAKLFSAAELQLATNCFSEENLLGEGPLGSVYRAKLPDGQFAVVRNIPMSSLSLHEEEQFTEVLQTASKLRHPNIVTLLGFCIENGEHLLVYEYVGHLSLYNAMHDEVYKPLSWGLRLRIAIGVARALDYLHSSFCPPIAHSDLKATNILLDEELTPRIADCGLASLRPLTSNSVKLRASEIAIQNTGYIAPEHGQPGSSGTKSDTYALGVLLLELLTGRKAFDSSRPRGEQLLVKWASTRLHDRRSLEQMIDGGIAGTFSSRVASQYADIISLCTQVEKEFRPAVSEIVEALTALIQKQNKEASSSVADKTDPFSKSFCSSRTRFISSPTFSYLSS >scaffold_600673.1 pep chromosome:v.1.0:6:2607681:2610109:-1 gene:scaffold_600673.1 transcript:scaffold_600673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDGAKSQEDYKLKDMKPELGERWPHGGQRGGTGWIGSERAASTYDLVEQMFYLYVRVVKAKDLPPNPVTSNCDPYVEVKIGNYKGKTKHFEKRTNPEWNQVFAFSKDKVQSSTVEVFVRDKEMVTRDEYIGKVVFDMREVPTRVPPDSPLAPQWYRLEDRRGESKKRGEVMVAVWLGTQADEAFPDAWHSDASSVQGEGVQSVRSKVYVSPKLWYLRVNVIEAQDVEPSDRSQPPQAFVKVQVGNQILKTKLCPNKTTNPMWNEDLVFVAAEPFEEQFFLTVENKVTPAKDEVMGRLISPLSVFEKRLDHRAVHSKWYNLEKFGFGALEGDKRHELKFSSRIHLRVCLEGGYHVMDESTLYISDVKPTARQLWKQPIGILEVGILSAQGLSPMKTKDGKATTDPYCVAKYGQKWVRTRTIIESYNPKWNEQYTWEVYDPCTVITLGVFDNCHLGGSEKSNSGAKVDSRIGKVRIRLSTLEADRIYTHSYPLLVLQAKGLKKMGEVQLAVRFTCLSLAHMIYLYGHPLLPKMHYLHPFTVNQLDSLRYQAMSIVSARLARAEPPLRKEIVEYMLDVDSHMWSMRRSKANFFRIVSVFSGLIAMSKWLGDVCYWKNPLTSILFHVLFFILICYPELILPTTFLYMFLIGLWNFRFRTRHPAHMDIKLSWAEAASPDELDEEFDTFPTSKGQDVVKMRYDRLRSVAGRIQMVVGDIATQGERFQALLSWRDPRATCLFVIFCLVAAMILYVTPFKIIALAAGMFWMRHPKFRSKMPSAPSNFFRKLPSKADCML >scaffold_600677.1 pep chromosome:v.1.0:6:2620092:2620709:1 gene:scaffold_600677.1 transcript:scaffold_600677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLPYCFLQFLLSFLADRVFVPTTTIHNVYDVAAQHVVNGAMVRGSMVTTWYNVLQCVILDMLIC >scaffold_600678.1 pep chromosome:v.1.0:6:2622976:2623178:1 gene:scaffold_600678.1 transcript:scaffold_600678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLRSQCRNVADLKEKIANKMELSGKAGVLKDNKSLAHYNVGAGDILTLSL >scaffold_600688.1 pep chromosome:v.1.0:6:2648665:2649209:-1 gene:scaffold_600688.1 transcript:scaffold_600688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPHRHSVTGYHSLTDCLNLCNQKLYMQLTSLNHRWTVHRGEGSDPTELMFTVQRSHPLQWRARLDVFFLSNQVRNFSVVKEKPTFGGYFKAKQDFVVTINPGVDYAFVVSLLVILSETNSIF >scaffold_600689.1 pep chromosome:v.1.0:6:2652794:2653140:-1 gene:scaffold_600689.1 transcript:scaffold_600689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPYQKMSNAYAYGVPVAAQNTNVVVVSDVFCCPYPLELTVKKNCKGLSGAKLAVVDLESNVVLRVDGPHHSFNKKRVLRDNAGYPLLTMREKVIDYTY >scaffold_600696.1 pep chromosome:v.1.0:6:2691075:2691610:1 gene:scaffold_600696.1 transcript:scaffold_600696.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LZW8] MSPISPPASGVGLGYGIAIAVSILVLISFIMLASYICIRSKSTGRDEATSDGVLDSPSPAAEVKLGLDRPVIESYPRIVLGDSRRLPRPNNGPCSICLCDYEAREPVRCIPECNHCFHTDCVDEWLRTSATCPLCRNSPAPSRLATPLSDLIPLAFQIR >scaffold_600700.1 pep chromosome:v.1.0:6:2704218:2705634:-1 gene:scaffold_600700.1 transcript:scaffold_600700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7LZX2] MENKPSVLTKRYEVGRLLGQGTFAKVYYGRSIHTNESVAIKMIDKDKVMRVGLSEQIKREISVMRIAKHPNVVELYEVMATKSRIYFVIEYCKGGELFNKVAKGKLREDVAWKYFYQLISGVDFCHSRGVYHRDIKPENLLLDDNDNLKISDFGLSALADCKRQDGLLHTTCGTPAYVAPEVINRKGYDGTKADIWSCGVVLFVLLAGYLPFHDSNLMEMYRKIGKAEFKCPSWFAPEVKRLLCKMFDPNHETRITIAKIKESSWFRKGLHLKQKKMEKMEKQQVREATNHMEAGGSGLNENRENHEPPRLATLNAFDIIALSTGFGLAGLFGDLYDKRESRFASQKPASEIISKLEEVAKYLKLKIRKQGAGVFKLERLKEGKKGILTMDAEIFQVTPTFHLVEVKKYNGDTMEYQKLMEEDLRPALADIVWVWQGEKEKDEQLLQDEQGEQDHCS >scaffold_600706.1 pep chromosome:v.1.0:6:2716312:2717004:1 gene:scaffold_600706.1 transcript:scaffold_600706.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7LZX7] MASPLLPTSTTPDHLPGGDPQLLSSLRVLLSRVLASVRHASADARPWAELVDRSAFSRPPSLSEATSRVRKNFSYFRANYITLVAILLAASLLTHPFALFLLASLAASWLFLYFFRPSDQPLVIGGRTFSDLETLGMLCLSTVVVMFMTSVGSLLMSTLAIGVMAVAIHGAFRAPEDLFLEEQEAIGSGLFAFFNNNASNAAAAAIATSAMSRVRA >scaffold_600714.1 pep chromosome:v.1.0:6:2740264:2745801:-1 gene:scaffold_600714.1 transcript:scaffold_600714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVELKGLVFGLVMVVFMLLGFVSPMNNNEGKALMAIKASFSNVANMLLDWGDVHNNDFCSWRGVFCDNVSLTVVSLNLSNLNLGGEISSALGDLRNLQSIDLQGNKLGGQIPDEIGNCASLAYVDFSTNSLFGDIPFSISKLKQLEFLNLKNNQLTGPIPATLTQIPNLKTLDLARNQLTGEIPRLLYWNEVLQYLGLRGNMLTGTLSPDMCQLTGLWYFDVRGNNLTGSIPDNIGNCTSFEILDVSYNQITGVIPYNIGFLQVATLSLQGNRLTGRIPEVIGLMQALAVLDLSDNELTGPIPPILGNLSFTGKLYLHGNKFTGQIPPELGNMSRLSYLQLNDNELVGNIPPELGKLEQLFELNLANNYLVGPIPSNISSCAALNQFNVHGNFLSGSIPLEFRNLGSLTYLNLSSNSFKGKIPAELGHIINLDTLDLSGNNFSGSIPLTLGDLEHLLILNLSRNHLNGTLPAEFGNLRSIQIIDVSFNFLAGVIPTELGQLQNINSMILNNNKIHGKIPDQLTNCFSLANLNISFNNLSGIIPPMKNFSRFAPASFFGNPFLCGNWVGSICGPSLPKSRVFTRVAVICMVLGFITLICMIFIAVYKSKQQKPIAKGSSKQPEGSTKLVILHMDMAIHTFDDIMRVTENLSEKYIIGYGASSTVYKCTSKSSRPIAIKRIYNQYPNNFREFETELETIGSIRHRNIVSLHGYALSPFGNLLFYDYMENGSLWDLLHGPGKKVKLDWETRLKIAVGAAQGLAYLHHDCTPRIIHRDIKSSNILLDGNFEARLSDFGIAKSIPATKTYASTYVLGTIGYIDPEYARTSRLNEKSDIYSFGIVLLELLTGKKAVDNEANLHQMILSKADDNTVMEAVDAEVSVTCMDSGHIKKTFQLALLCTKRNPLERPTMQEVSRVLLSLLPSPPPKKLPSPAKLQEGEERRECHSSDTTTPQWFVQFREDISKSSL >scaffold_600719.1 pep chromosome:v.1.0:6:2784823:2786124:-1 gene:scaffold_600719.1 transcript:scaffold_600719.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 3 [Source:UniProtKB/TrEMBL;Acc:D7M0G8] MMKMKTGTSPPVIGGGSSGNEWESRPGGMVVQRRTDQNSDVPRVIRVRVKYGSVYHEININSQSSFGELKKMLSDQVGLHHEDMKVLYKDKERDSKMFLDLCGVKDRSKLVVKEDPISQEKRLLAKRKNAAIEKASKSISDISFEVDRLAGQVSAFETVINKGGNVEEKSLVNLIEMLMNQLLRLDAIIADGDVKLKRKMQVQRVQKYVEALDVLKVKNSTKRVEVNKSVRHKPQTQTRCEQRDLLSFVEEEPRNSNASSSSGTPAVVTTKWETFDSAKAAETVKPVPPRFKWEFFD >scaffold_600725.1 pep chromosome:v.1.0:6:2797575:2797908:1 gene:scaffold_600725.1 transcript:scaffold_600725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRLAQGMLLFVEWSSFVLSHMISFSVSSRDIQCQEETMNMGAFSYISPRLWTAMRS >scaffold_600726.1 pep chromosome:v.1.0:6:2798905:2800810:1 gene:scaffold_600726.1 transcript:scaffold_600726.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 24 [Source:UniProtKB/TrEMBL;Acc:D7M0H3] MGFFGRLFGSKKQEKSTPNRRRWSFAARFSNPANDSSSHPSKRRGDEDVLDADKHAIAVAAATAAVAEAALAAARAAAEVVRLTNGGRNSSVKQISRSNRRWSREYKAAMKIQSAFRGYLARRALRALKALVKLQALVKGHIVRKQTADMLRRMQTLVRLQARARASRSSHVSDSSHSPTLMIPSSPQSFHARCVSEAEYSKVIAMDHHNNNHRSPMGSSRLLGQRRTEESLWNAPQYNEDNDKILEVDTWKPHFRESPRKRGSLMVTTSVENSPQLRSRTGGSSGGSRRKTPFTPTRSEYEYYSGYHPNYMANTESYRAKVRSQSAPRQRLQDLSSESGYKRSIQGQYYYYTPAAERSFDQHSDNGIAGYRGVSDGLDRNQSEKSKIYTSFFSSNPLFFQ >scaffold_600738.1 pep chromosome:v.1.0:6:2869883:2874496:-1 gene:scaffold_600738.1 transcript:scaffold_600738.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M0I0] MATTTENQWLKGRVKAVTSGDCLVITALSHNRAGPPPEKTITLSSLMAPKMARRGGIDEPFAWESKEFLRKLCIGKEVAFKVDYKVEAIAGREFGSVFLGNENLAKLVVKNGWAKVREPGQQNQDKVSPYIQELLQLEEQAKQEGYGRWSKVPGAAEASIRNLPPSAIGDSAGFDAMGLLAANKGKPMEGIVEQVRDGSTIRVYLLPEFQFVQVFVAGVQAPSMGRRTTNGSVVETVPDEPNGDVSAESRGPLTSAQRLAASAASSEVSSDPFATEAKYFTEHRVLSRDVRIILEGVDKFNNLIGSVHYSDGETVKDLGLELVENGLAKFVEWSANMMEDEAKRKLKAAELQCKKDRVKMWGNYVPPATNSKAIHDQNFTGKVVEVVSGDCLIVADDAVPFGSPAAERRVCLSSIRSPKMGNPRREEKPAPYAREAREFLRQRLIGKQVIVQMEYSRKVTQADGPTTSGAADRFMDFGSVFLPSPAKGDSDEVAASSAAAVNGSQPVGVNIAELVLARGFGNVVRHRDFEERSNHYDALLAAEARALSGKKGIHSAKESPAMHITDLTVAAAKKAKDFLPSLQRIRRIPAVVEYVLSGHRFKLYIPKITCSIAFSFSGVRCPGRGEPYSEEAISVMRRRIMQRDVEIEVETVDRTGTFLGSMWESRTNVATVLLEAGLAKMQTSFGADRIAEAHLLEQAERSAKNQKLKIWENYVEGEEVSNGNTNTVETRQKETLKVVVTEVLGGGRFYVQSAGDQKVASIQNQLASLSIKDAPIIGSFNPKRGDIVLAQFSLDNSWNRAMIVTAPRAAVQSPDDKFEVFYIDYGNQETVPYSAIRPIDPSVSTAPGLAQLCRLAYIKVPSLEEDFGAEAGEYLHTVTLGSGKEFKAVIEERDTSGGKVKGQGTGTEFVITLIAVDDEISVNAAMLQEGIARMEKRKKWGHKDKQAALDALEKFQEEARKSRIGIWQYGDIESDDEDTGPARKPAGGRR >scaffold_600748.1 pep chromosome:v.1.0:6:2911593:2912757:-1 gene:scaffold_600748.1 transcript:scaffold_600748.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCP4_3 [Source:UniProtKB/TrEMBL;Acc:D7M0J0] MAYQIDQKVIHDQEPMAEIMPNVITAISSLLQRVSETNDDLSRPFREHQRISAFNALAKPSISIRSYMERIFKYADCSDSCYIVAYIYLDRFIQKQPFLPIDSFNVHRLIITSVLVSAKFMDDLCYNNAFYAKVGGITTEEMNLLELDFLFGIGFQLNVTVSSYNDYCSSLQREMVMRTMYSPLLEPSFLVKSFHKNLLMHLFDEGSLSIHRNNQVTTAV >scaffold_600750.1 pep chromosome:v.1.0:6:2917044:2918588:-1 gene:scaffold_600750.1 transcript:scaffold_600750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase [Source:UniProtKB/TrEMBL;Acc:D7M0J2] MNILNRLGLGSTGQNNMDPSPIAQGNDDDAPSPGNQFAQFGAGCFWGVELAFQRVPGVTQTEVGYTQGIVDNPSYEDVCSGTTSHAEVVRVQYDLNDCSYESLLDLFWSRHDPTTLNRQGNDVGTQYRSGIYFYTPEQEKLARESLERHQQQMERKIMTEILPAKKFYRAEEHHQQYLSKGGRFGLGQSTAKGCNDPIRCYG >scaffold_600752.1 pep chromosome:v.1.0:6:2922672:2922868:1 gene:scaffold_600752.1 transcript:scaffold_600752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKMSVPCELANDENLVRYKESSFNDFLDFLVKNDISQGDRFIDTLRIKD >scaffold_600755.1 pep chromosome:v.1.0:6:2927695:2928779:-1 gene:scaffold_600755.1 transcript:scaffold_600755.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M0J7] MFSFLIILVSEVSQVVIAAVVSIVFLVLAGLTLAGSATALTITTPLFIILSPILVPATIATAVITTGFTAGGALGTMAVASLISMRRRFGGRRFGGGRRFGGGRRFGGRFGGGQNPFGNISKWLGPGAAGGGLPGGLGGLGGLGGGENPFGNISKWLGPGAAGGGIPGGLGGLGGGGNPFGNISKWLGPGAAGAAGGDAPAAETAPAAGAAPAAGAAPAAGAEPAAGGSTPPTW >scaffold_600756.1 pep chromosome:v.1.0:6:2931025:2931349:1 gene:scaffold_600756.1 transcript:scaffold_600756.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0J8] MIICRKVVDSYQLQDFLIEDSHSILFSGSDSFLTSANPDLSVSLLGSISNPFLYASIASSNLDNPINAAPNRLYPIDQSGLSSIVFFASDKASS >scaffold_600758.1 pep chromosome:v.1.0:6:2934942:2936744:-1 gene:scaffold_600758.1 transcript:scaffold_600758.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:Q6WEQ9] MSEELSQNPSSAQSLSLREDKNRFPFLSLSQREGRFFPSLSLSEREGRKFSFLSMFSFLMPLLEVIKIIIASVASVVFLGFACVTLAGSAVALAVSTPVFIIFSPVLVPATIATVVLTTGFTAGGSFGATALGLILWLIKRRMGVKAKDNPPPAGLPPNSGAEAGGAQSLIKKSKSKGGLKLPAWCKKMLGGKFGGKKGKSGGGKGKFGGKGGMSEGEEGMSSGDDGMSGGEGGKSKRGGHKSGSRGGKSKSKKGMSGGSESEEGMSGSEGGMSGGGGGKSKRGGGKRGGLRDKFGKKGGMSGGGGGMSGSEGAMSGSEGGMSGGKSKSRGGKLGGLRGKYGKKGGKSGGGGGMSGSEGGMSESEGGMSEGGMSGGGMSGGGMSGGGMSGGGGGKHNGKGKHGGLGGKFGKKGGMSERGGGMSGSEGGMSGSEGGMSGGGISGGGGSKHKIGGGKHGGLGSKFGKKGGMSGGGGGMSGSEGGMSGSEGGMSEGGMSGGGGGKHKIGGGKHKLGGGKLGSGGSHMAE >scaffold_600759.1 pep chromosome:v.1.0:6:2937882:2938871:-1 gene:scaffold_600759.1 transcript:scaffold_600759.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:Q6WEQ8] MFSFLIPVVQLFQVVIAGAASVVFLLFAGITFGASIVGLTIATPLFVIFSPILVPATIATTLVVGGATATVALGVTAFALIFWLFKHRIGVKPKNNPAPKGAPTKADQPGASEGASGDKPGEMSGAGGLSGDKSGGAPGDKRGEMSGDKPGGASGGGPGGASGGVSGGAPGGASGGPGGASGGEPGGASGGAPGGASGGASGDKPGGASGDKPGETPGHKPAGARGGKRLAWW >scaffold_600764.1 pep chromosome:v.1.0:6:2951929:2952592:1 gene:scaffold_600764.1 transcript:scaffold_600764.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 transcription factor [Source:UniProtKB/TrEMBL;Acc:D7M0K5] MASFEESSDLEAIQNHLFEDFLVCDGFMGDFDFDASFVSGLWCIEPQVPKQEPDSPVLDPDSFVNEFLQVEGESSSSSPELNSSSSTSETDQSVKKAERFEEEEEARHYRGVRRRPWGKFAAEIRDPAKKGSRIWLGTFESDVDAARAYDCAAFKLRGRKAVLNFPLDAGKYEAPENSGRKRKRSDVQEELQRTQSNSSSSSCDAF >scaffold_600767.1 pep chromosome:v.1.0:6:2958952:2960260:1 gene:scaffold_600767.1 transcript:scaffold_600767.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F box protein family [Source:UniProtKB/TrEMBL;Acc:Q6WEQ2] MSSCSRTRTKAPRSARSNRNGGFSSSSATIVANIDDVLIQILSFLPIKTLLRFKRVSKRWLSLITNPDFSNRIIKSNHPLPISGFFLHSPRAIKYSFVSLDDEDDATNQRISSSLPLWFTDHQTDMIIMQSTNGLLLCQCSCASSNHFNTNYYVYNPTTKQYTLLPQITGHIALSLAFDPSKSPHYKVFCLRGRSNNSVSSASDSELYHIEVYSSNEGLWRRVDPALTSPSTFIEFSYSVFWNGAVHWYGLSSRDCLSFDISKEEIKILPLPDLDDEEALLPDPRTLRFLDESRGNLYYIEVNDQSSSDLPVYEMERNSSSWSMKYNVDLEPLAAAFPEMITEDYTNRRIYAFSVIGFVKEETDAESYILLHIPNKAVKYNFIDKTFKKLCDFKPLVNDAPEDAFYRFQRTFPFIKSLANV >scaffold_600775.1 pep chromosome:v.1.0:6:3005617:3006744:1 gene:scaffold_600775.1 transcript:scaffold_600775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVFNNYSLKNGKEDEACNFNNNAALTSLNPTWVRKQSCCAEVEKNGWPAIEGGNVKPVIHKYLPLSQAAEAHSLMESSNHIGKILFAT >scaffold_600786.1 pep chromosome:v.1.0:6:3043009:3050081:-1 gene:scaffold_600786.1 transcript:scaffold_600786.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M0M5] MEVSEEQRRRDEANRLAFSEKRKTSFDSSENPQRQQDFRLAKCRKLDGGNDVCFQEGYRNYEPERGLSTSVPEKFRVRLEICSPDSFSVTPVQLQGFRCPEEQECLRQLREILSEAIPLHYTQNDDGGKAGVYKIRDYNMVSGCLKRSKSVEVEEIPWKTLAVVEKLSQSFISGKWQPCIPEHYTEEKVEKLIETLPRKLVSALLPFQLDGLRFGLRRGGRCFIADEMGLGKTLQAIAIAGCFISEGSILVVCPAVLRFSWAEELERWLPFCLPSDIHLVFGHQDNPAYLPRWPKVVVISYKMLQHLRTTMLEREWALLIVDESHHLRCSKKKSDPPEIKTVLNVAEKVKHIVLLSGTPSISRPFDIFHQINILWPGLLGKEKYEFAKTYCEVGLVRGMQGKIFQDFSKGTRLLELNILLNQTVMIRRLKQHVLTQLPPKRRQIVTILLKKSDIALATAIVSEANQKANKQNDGTIAEVTENSHEPKDQNAQGSNEAGHVNAENSDGPNSDRENQLCGKLSYQQLGIAKLSAFREWLSLHPLLSGLDYTPEDIDGDTSSTKMVVFAHHHKVLDGIQEFMCDKGIGFVRIDGMTLPRDRQLAVQSFQFSSEVKVAIIGVEAGGVGLDFSAAQNVVFLELPKTPSLLLQAEDRAHRRGQTSAVNVYIFCAKDTMDESNWQNLNKKLHRISSTTDGKYDGKTEIEIERAYIFKPDEESSEREVLEAQPSKANTVVADKIVESCDDLGSETDVSNTIDLKDDMTSHLEILEVCKFVENGSGSEMRSSGTICLTMLDQENQENHQPKNLIADDGLVKEFDSSSIFPLIDSLRFEVSQNTGRIHLYSCILGKDPRPRPHFQNFRPEEIEASNPSQGPNKEKNPESITDDPVHVLAILEFMKEWKSLRPIEKRKLLGKPLQLPLSLELSYLSESTSHNNEGLLRGGSKRRNTPFSEISIPVPENAVWKKVNLRSGHQKKEKEYTQAWSMSNEPLCKLCQKPCKGNNAKEPEYFEDLFCDLACYEDYRTRTSSRYIRQIEHGICTNCELDCHQLVRRLRPLPLEKRRTYINKVAPELFARKNLLETLVNEPTEGNAWHADHIIPVYQGGGECRLENMRTLCVACHANVTAAQCAERKLIRSKARKQLKNTLNELRNNPKQKELPAEGNTKETDSATDEEEDELMVEVPGSAYSIDQKISHAA >scaffold_600798.1 pep chromosome:v.1.0:6:3091433:3091776:-1 gene:scaffold_600798.1 transcript:scaffold_600798.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0N7] MFLSCRLNCLCGPTLKELAPYDPDCQSRYANKLFDSTSILCVEAVMPILVPNTVEVRLCSVSKDPSEMNSVFSFHGYKNLLVRGSLGVGAFRRIYINICD >scaffold_600808.1 pep chromosome:v.1.0:6:3137163:3137724:-1 gene:scaffold_600808.1 transcript:scaffold_600808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNVVSLDKLKAFWHSEVHDEQKWAVNMKLLRALGMFAGGVFLMRSYGDLMAV >scaffold_600810.1 pep chromosome:v.1.0:6:3138945:3141188:1 gene:scaffold_600810.1 transcript:scaffold_600810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEKKTFDVSDFVKVYLTTTEEEDLNTRGGGYIKLIGHDNIKFELVACHYRKATCSNLCYLVNKQDSNGRLNAAICCAPALALGTWGLLEGKKATGYPVIMEKLAATCDDHKRDITLADETKKTVVVSLWNDLATGIGHELLDMADNHPVIAIKSLKVGDFQEATKLKSWYDAEGKETSMSAIGSGMGSSANNGSRSMYSDRVFLSHITSNPSLGEEKPVFFSTRAYISFIKPDQTMWYRACKTCNKKVTEAMDSGYWCEGCLKKDQECSLRYIMAVKVSDSTGETWFSAFNDEAEKIIGCTADELNNLKSEEGEVNEFQTKVKEATWSSHLFRISITVRRDRG >scaffold_600815.1 pep chromosome:v.1.0:6:3157173:3158540:-1 gene:scaffold_600815.1 transcript:scaffold_600815.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-asparaginase [Source:UniProtKB/TrEMBL;Acc:D7M184] MVEWAIALHGGAGDIPIDLPDERRIPRETALRHCLDLGVSALKSGKPPLDVAELVVRELENHPDFNAGKGSVLTTQGTVEMEASIMDGNTKRCGAVSGLTTVVNPVSLTRLVMEKTPHIYLAFDAAEAFARAHGVETVDSSHFITPENIARLKQAKEFNRVQLDYTVPTPKVPDICGDSQIGTVGCVAVDGAGNLASATSTGGYVNKMVGRIGDTPVIGAGTYANHLCAISATGKGEEIIRGTVARDVAALMEYKGLSLTKAAAYVVNQSVPRGSCGLVAVSANGEVTMPFNTTGMFRACATEDGYSEIAIWPNN >scaffold_600819.1 pep chromosome:v.1.0:6:3186709:3189715:-1 gene:scaffold_600819.1 transcript:scaffold_600819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQPRPFKTEGRKPTHDFLSLCSHSTVHPDPKPTPPSSQGSHLKTHDFLQPLECVGAKEEVSRINTTTTSSEKPPPPAPPPPLQHVLPGGIGTYTISPIPYFHHHHQRIPKPELSPPMMFNAAVQASGGNERNIVDENSNSNCSSYAAASSGFTLWDESASGKKGQTRKENNVGERVNMRADVAATVGQWPVVERRSQSLTNNHMSGFSSRSSSQGSGLKSQSFMDMIRSAKGSSQEDDLDDEEDFIMKKESSSTSQSHRVDLRVKADVRGSANDQKLNTPRSKHSATEQRRRSKINDRFQMLRQLIPNSDQKRDKASFLLEVIEYIQFLQEKADKYETSYQGWNHEPAKLLNWQSNNQQLVPEGVAFAPKMEVEKNNIPVSVLATAQGVVIDHPTTATTSPFPLSIQSNSFFSPVIAGNPVPQFHTRVASSETVEPSPSSRSQTQPLKEEEEVEDEEDLEGNIRISSVYSQGLVKTLREALENSGVDLTKASISVEIELAKQSSSSFKDREVREPVSRTRNDDVKQTRKPKRLKTGQ >scaffold_600820.1 pep chromosome:v.1.0:6:3203121:3204324:1 gene:scaffold_600820.1 transcript:scaffold_600820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M189] MDLLHQRGEQTEVTSPHDDINNIHGDFDSEATDESRSESCSVVIEGNCDMVIELGLDSGSVVVENLGDSDIDVSNDFDEPDDDDFFVGRSDFGLEFRDIASMGTDIRLITVESGSDDDDDDGIENEKELWGIDLNEEDVYVNDDDEYEDDDDDDDDDVSVTIPLCWDSLQLEDRGVTADEFDWEEVDGGGGVGDEREIRSGFAQVDFNDESSVSISVSPIVSLEDLVTRERAEGSGNLGWEVLLNHTLEINFDVENRELYIGGDHDDYIQEYEMFFEQFADAEISVIGLPPTSKSFLKSLPMVRIGVENDDDDGVVCAVCKDEMNIGNEAVQLPCNHKYHSECIVPWLKVRNTCPVCRYELPTDDAEYEQRKIQRTTNTLGMVL >scaffold_600822.1 pep chromosome:v.1.0:6:3208463:3208925:-1 gene:scaffold_600822.1 transcript:scaffold_600822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESANIFGSDEDGRSCESGWTMYLASQSHDHDDDCYYDDDDEEAEDSDGGDSMDSDASSGPMEATSSLKLAQEIEEQNSIKKKKKRTNEEMVLVETTRVHNTIIDDEDDDDGDNHDYDDGNDSYSVVHSYVGSVIQKGLV >scaffold_600825.1 pep chromosome:v.1.0:6:3218257:3219771:-1 gene:scaffold_600825.1 transcript:scaffold_600825.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 family protein [Source:UniProtKB/TrEMBL;Acc:D7M194] MGSDTEAEKSIQKEKKKFAISLAPIAKPLAGKKLQKRTFKLIQKAAGKKCLKRGVKEVVKSIRRGQKGLCVIAGNISPIDVITHLPILCEEAGVPYVYVPSKEDLAQAGATKRPTCCVLVMLKPAKGDLTAEELEKLKTDYEQVSDDIKELATSVI >scaffold_600831.1 pep chromosome:v.1.0:6:3243878:3248187:1 gene:scaffold_600831.1 transcript:scaffold_600831.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:D7M1A0] MKKNALWLLCILVLPAIACGRKPEKKVTVSYSGRKEDDLVTGLPGQPPVNFKHYAGYVNLGPEQKQKALFYWFFEAQQNSSRRPLVLWLNGGPGCSSIAYGAAQELGPFLVHTNGDKLTYNNFSWNKEANMLFLEAPVGVGFSYTNNSMDLQKLGDEVTAADSLAFLINWFMKFPEFRSNEFYISGESYAGHYVPQLAEVIYDRNKKTKDSRINLKGFMIGNAVINEATDMAGLVDYAWSHAIISDEVHTNIHGSCRFEEDTTNKTEQCYNNFKGFMDAYNDIDIYSIYTPVCLSSLSSSSPRKPKIVVSPRLLTFDDMWVKFPAGYDPCTEGYAENYFNRKDVQVALHANVTNLPYPYSPCSGVIKRWNDAPSTIIPTIQKLSTGGLRIWIYSGDTDGRVPVTSTRYSIKKMGLKVELPWRSWFHKSQVAGWVETYAGGLTFVTVRGAGHQVPSFAPAQSLTLFSHFLSSVPLPSKRF >scaffold_600833.1 pep chromosome:v.1.0:6:3250645:3252565:-1 gene:scaffold_600833.1 transcript:scaffold_600833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIASSSLSQAHKVVLTRQPSSRVNSCSLGSVSAIGFSLPQISSPALAKCHRKQSSSGFVKACVAVEQKTRTAIIRIGTRGSPLALAQAYETREKLKSKHPELVEDGAIHIEIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGHIDIAVHSMKDVPTYLPEKTILPCNLPREDVRDAFICLTAASLAELPAGSVVGTASLRRKSQILHKYPALRVEENFRGNVQTRLSKLQGGKVQATLLALAGLKRLSMTENVASILSLDEMLPAVAQGAIGIACRTDDDKMATYLASLNHEETRLAISCERAFLETLDGSCRTPIAGYAAKDEEGNCIFRGLVASPDGTKVLETSRKGPYVYEDMVKMGKDAGQELLSRAGPGFFGN >scaffold_600834.1 pep chromosome:v.1.0:6:3252977:3253890:1 gene:scaffold_600834.1 transcript:scaffold_600834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLSSVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIIETVYRGARKGRGLVIAPKDYSTKYRY >scaffold_600838.1 pep chromosome:v.1.0:6:3268722:3270050:1 gene:scaffold_600838.1 transcript:scaffold_600838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTNSQKTVSDDDEVDYSIKPEFYDSDLDDKDELWMVKKRDGRTSDALLSCPACFTTVCLECQRHEQYVTQYRAVFVVNCKVDTDRVLQQNALPSKVGKRRRDSEMQETGSECSEKVNPVFCSACSTEIGVVDSEEIYHFFNVIPSEP >scaffold_600839.1 pep chromosome:v.1.0:6:3272170:3272933:1 gene:scaffold_600839.1 transcript:scaffold_600839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNDGAVTNGVIIEQTSNKGPLNAVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTASLSTSSPFTLGKRVVRAEEGESGGGGGGGGLTVGHTMGTSLMGGGGSGGFWAVPARPDFGQVWSFAAGAPPEMVFAQQQQPATLFVRHQQQQQASAAAAAAMGEASAARVGNYLPGHHLNLLASLSGGANGSGRREDDHDPR >scaffold_600846.1 pep chromosome:v.1.0:6:3295295:3296336:-1 gene:scaffold_600846.1 transcript:scaffold_600846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGHHLSHVVVAKEPSDGTHLQRLVRLTHTRDDHPLDYFLPTPGQGDGKVGSKMVAVCCEMVLCDDGSEAVVRVSAVDRDLKVILDEFVKPNKPVSDYRTDVTGLTAQDLETASTLSVADLQMDHARKIDTSLVFKYEYSGGPSKPPRPSFDNLCKVSL >scaffold_600847.1 pep chromosome:v.1.0:6:3296403:3296755:-1 gene:scaffold_600847.1 transcript:scaffold_600847.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1B7] MRSKILYTGSVMQDVLARKDKDELESLIRILSNRGMRGCRGTWEEFVKVYFPTFGRIITCPSFYSREGLLTVLAT >scaffold_600858.1 pep chromosome:v.1.0:6:3335768:3342413:1 gene:scaffold_600858.1 transcript:scaffold_600858.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein PEX1 [Source:UniProtKB/TrEMBL;Acc:D7M1C7] METEAVVSTVAGVDCFVSLPRQLLHALQSTSSSPLPPLLPVELRSGDRRWSVAWSGSSSSSSAIEVARVFAETISLPDATVVQVRVLPNVPKATLVTVEPETEDDWEVLELNAELAEAAILSQVRILHETMKFPLWLHDRTVISFAVVSTFPSKGVVQLVPGTEVAVAPKRRDRNLKAKKSQEKECTNVKALLRVQDTGRSAFREADVKGFELRVALTSVAYIHPETAKKYSIESLQLISVSPRIPLKGTAKKDEALNIKNSGASKVAENGTSSAKKEPRQTILRLVFSDLVAKGHLMMVESLRLYLGAGLHSWVYLRGCNVNEDKEIPALSLSPCVFKISENEKVLDRGTDTLGNHNSIRNCSHPPSGLSTYMDVVDWSVHDKVVTALSSEGLHDEGNQVNAYQVKNKKKLECLTRLWSLAQLDAIASVTGVDVSSLIVGRETFFHFEVRGPESYKFRDGQPSVNDRWESGKKDKNTPLEILYVMTVSDESLLGDKFTGYDLSLDRSEKSDNVVHIEPVLEKMNLGDPIYFTSAKETHCNKGVSPDISSLTWMGPIVSDVIKRMAVLLSPAAGMWFSKFKIPSPGHILIYGPPGSGKTILARAAAKYFEEQKDLLAHVILVSCSTLALEKVQHIHQVLSSVIAEGLEHAPSVIILDDLDSIISSSSDTEGTQASVGVTMLTKFLTDVIDDYGEYKNFSCGIGPLAFVASVQSLEQIPQTLSSSGRFDFHVQLAAPATSERGAILKHEIQKRLLDCSEDILLDLAAKCEGYDAYDLEILVDRAVHAAIGRHLPCESNISKYNLVKEDFTRAMHDFVPVAMRDITKSASEGGRLGWEDVGGVTDIKNAIKEMIELPSKFPKIFAKSPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCILFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDPALLRPGRLDRLLMCDFPSPPERLDILTVLSRKLPMADDIDLEPIALMTEGFSGADLQALLSDAQLAAVHEYLNREDKPETGTTPIITDPLLKSIASKTKPSVSETEKQKLYDIYSQFLDSRKSTREAKGKRATLA >scaffold_600865.1 pep chromosome:v.1.0:6:3373460:3375751:-1 gene:scaffold_600865.1 transcript:scaffold_600865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSWELPLEGSSDSARVEFFVRIIPNGDILRVEAFIDDSVNNTVNRITTKKEIPVMEEQRLVYGGRQLQTDQCLGDYSIEQNALVYLVGTQYSNWPAVDSFFETVVNVYRGQIGHSEISEKLDMFFDVIPWHSEDAAVEYLQNFSASSVPSALVMLYSSPIENDEVFAKHSIRKFLTKCRDLPCTAHKQIIPMIYELCRMLKKVRPDKKLHWSCWTVFTSMLDWVDTFPCEGMRLFVKRATISFLMEIAFVLHSNIAADMSYDAIFKELVIAIGSSLNEDESAFCPEYLFSLKAINALSSSIREFAKDELMSVLKSRKAALCTLITRFANRGDDHQWLNELKDLTNFEARRHLAMLMLPILGHGLMRKDIKIPRSNLLVESSLQIMAASPESLQHGISVEFDLEPGIGDGVTREFLLLLSEEIFRCDVKPPPPFYVPYTEHARRFSPNPGFMVNTMFEFAGRVMGVALMHEIQLNVFFDRIFFLQLAGMEIGLEDIKETEPILYKSLNDMLEDPTKIGDGLTFEIDVKRGDNVFSEELCQAGNSIVVTRENVNEYTDLYVRHKFVKSISEQVSFFAKGFSDMLLGSTPHTSFFRSLLPEDFDLMFGGDVTGIIMEEWKAHTTYDSGFEATDREIGWFWNIVEGMTEPSQRNLLRFWASIEFLPHGGFRGLPKKFKILKAADSEYPSSNTCFYILHLPAYETFEEMKTVLENICGDDYYYIGFGQA >scaffold_600869.1 pep chromosome:v.1.0:6:3402989:3403284:1 gene:scaffold_600869.1 transcript:scaffold_600869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGYQKRGDMKAAMELFDSVPRKNVTSWTTVISGFSQNGNYSEALTMFLCMEKYKSVKPNHITLVSRRDAVVLCYLKCVFSIE >scaffold_600870.1 pep chromosome:v.1.0:6:3403525:3404875:-1 gene:scaffold_600870.1 transcript:scaffold_600870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRALSWFRRLAVGYEMREGADWNGELRKKQRELEKLQDAMTSVIASLNKHGEGVYVIASTFMSLKGLVECLTSPEVAIPVSALGVGPVTPGDIFKARKLQFATVLAFQVELTLKASQVAQALGVKVICGDSIEQLCRLFREYTTSGSELLREDRAVSDEVVLPCVLSMLPKCVLNKEDPILVGVYVVEGSLRVGTPLCIPHKAFINIGRVAWIDKDQQPVKVASQGDKVIIKIVAADPKTKQLMLGRDFDVADVLVSRIPRRSIYHFAHSIIPS >scaffold_600871.1 pep chromosome:v.1.0:6:3406573:3407546:1 gene:scaffold_600871.1 transcript:scaffold_600871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSIFTDPEMQSHIEKSLYNGHALFKVSYSIGYMDIWEAATLSIKKEGYSIKPTNDPVITEKFSSSTNIVIPFDQPADFVIIGSDGVEHLCRVGNDATDLSCSRDTIVLTLRLFLKKVMLQTDSHFILCDNMVDSFPF >scaffold_600873.1 pep chromosome:v.1.0:6:3416896:3418317:1 gene:scaffold_600873.1 transcript:scaffold_600873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSEIFKFKDMMMSCTVQSLVLVPWFLVVVGLAGGEVSSETAMFPAMFVFGDSLVDNGNNNHLNSLARSNYLPYGIDFAGNQPTGRFSNGKTIVDFMGELLGLPEIPAFMDTVDGGVDILQGVNYASAAGGILEETGRHLGERFSMGRQVENFEKTLMEISRSC >scaffold_600874.1 pep chromosome:v.1.0:6:3425597:3426157:1 gene:scaffold_600874.1 transcript:scaffold_600874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRESVKEYMAKSLVVVSLGNNDYINNYLKPTLFLTSSIYDPTSFADLLLSNSTTHLLELYGKGFRKFVIAGVGPLGCIPDQVAARAAPPGECVEAVNEMAELFNNRLVSLVDRLNSDSKTASEAIFVCGIVHGFCLNNFKVTKI >scaffold_600877.1 pep chromosome:v.1.0:6:3432460:3436730:1 gene:scaffold_600877.1 transcript:scaffold_600877.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAVVSTIAGVDCFISLPRQLLHALQSTSSSPLLRFSLSSSAPVTAVGRSSVCGKHFVTVVKVRVKVRVLPNVPKATLVTVEPETEDDWEVLELNAELAEAAILSQVRILHETMKFPLWLHDRTVIRFAVVSTFPSKGVVSCSTLALEKVQHIHQVLSSVIAEGLEHAPSVIILDDLDSIISSSSDTEGTQASVGVTMLTKFLTDVIDDYGEYKNNSCGIGPLAFVASVQSLEQIPQTLSSSGRFDFHVQLAAPATSERGAILKHEIQKRLLDCSEDILLDLAAKCEGYDAYDLEILVDRAVHAAIGRHLPCESNISKYNLVKEDFTRAMHDFVPVAMRDITKSASEGGRLGWEDVGGVTDIKNAIKEMIELPSKFPKIFAKSPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCILFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDPALLRPGRLDRLLMCDFPSPPERLEILTVLSRKLPMADDIDLEPIALMTEGFSGADLQALLSDAQLAAVHEYLNREDKPETGTTPIITDPLLKSIASKTKPSVSETEKQKLYDIYSQFLDSRKSSREAKGKRATLA >scaffold_600878.1 pep chromosome:v.1.0:6:3438466:3439022:1 gene:scaffold_600878.1 transcript:scaffold_600878.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M1E3] MNNKGSQNVATCKPVTTFVQTDTNTFREIVQRLTGPSENNAAAATPEATVIKTAIQKRPTSKLHERRQCMRPNPVGTPSSLFSNLSLIEGEKAEPDSCTTNIEEEEKAIKERRFYLHPSPRSKPGYTEPELLTLFPLASPNSSGKP >scaffold_600879.1 pep chromosome:v.1.0:6:3439181:3439518:-1 gene:scaffold_600879.1 transcript:scaffold_600879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGHSVANHLLQAESDDTGNHVLISNMYAADAKWEGVMELRNLMKKREMKKPAGCSWLEVDGQRNVFVSGDCSHPRRDSIFDLVNALYLQMKEPVVF >scaffold_600881.1 pep chromosome:v.1.0:6:3448251:3451612:1 gene:scaffold_600881.1 transcript:scaffold_600881.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane CLPTM1 family protein [Source:UniProtKB/TrEMBL;Acc:D7M1E6] MAPPASETAAVVDGGDGQQQRQAGGFGQAITGIIRIAVFCYFASKFFSPKQKPSDPSKPSHLMSNLFQRGEPMDMWFYLSEHEKFNDFGNEGALIWHETNIPYAVWKPESTRTLSMTYYPSEALKNNGSLYAHVFFARSGYPIDPSDPEYQPLNCFGRTHPVATYLPKRKADKKKSLLGNPKDDDESNVEVEEVDGKDSDLKDEGPVEWVSYWKPNVTINLVDDFTRYPQHGVPPNIDPYLLVEPSTVNYYPTVFFNEFWLLRDKLILINETVSELPLNLEVSPISMTKWQLFQQIDQSFQIHRSYGSMLDGESDELKRVFLEGNPYLLGVTMFVSMLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFICQFIIFLYLLDNDTSWMILASSGVGVCIEFWKIGKAMRIEVDRSGMIPRLRFHDRESYASNKTKEYDDIAIKFLSYVLLLHVVGFSIYSLAYERHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPMLHRISVFRDDVIFLIYLYQRWVYPVDRTRVNEFGFGGEDESVDTKKISDQEDDKKTN >scaffold_600884.1 pep chromosome:v.1.0:6:3456861:3461249:1 gene:scaffold_600884.1 transcript:scaffold_600884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVNNLTTSAEGVLAVASTTYSLKVLVDFLTSPEVNIPVRALDLGTITPVEIRKAFKISDGKRQFSTILAFGVDVTHEASRLAARLQVKVIRCSVLETLCQLFKDHIRRLGEETEMDDEAIFPCVLRILPNCVFNKDDPVVLGVLVVYGFIKVGTPICNLRSGCLDIGRIAWIEKDHRSVNAARLGDTVMIKIVASNPEERGLSFGSHFDISDDILVSHVSRKSINVLKSRYREVDGKDSVEWVSNWKPNVTINLVTRMNLFTISAVATYLPKRKADKKKSLLGNPKDSDESKVEVEEVDGKDSDLKDEGHVEWVSYWKPNVTNNLVDDFTRDKLILINETVSELPLNLEVSPISMTKWQLFQQIDQSFQIHRSYGSMLDGESDELKGVFGRKSLSVGRHNVVSMLHSVFDFLAFKNAIELPVTFPADIQFWNKNKSMEGLSAKSVVLNFICQFIIFLYLLDNDTSWMILASSGVGVCIEFWKIGKAMRIETKEYDDIAIKFLSYALLLLVVGFSIYSLAYERHKSFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDVIFLIYLYQRWVYPVDKTRVNEFGFGGEDESVDTKKISDQEDDKKTN >scaffold_600885.1 pep chromosome:v.1.0:6:3537004:3537803:-1 gene:scaffold_600885.1 transcript:scaffold_600885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRALSWFRRLAVGFEMREGAAWNGELTNKQIHLQWELEKQQDAMTSVIASLNKHGEGVYVIASTFMSLKGLVECLTSPEVAIPVSALGVGPVTPGDIFKARKLQFATVLAFQVKLTLKARQVAEALEVKVICGDSIEQLCRLFREYTTSGSELLREDRAVSDEAVLPCVLSMLPKCVLNKEDPXX >scaffold_600891.1 pep chromosome:v.1.0:6:3557728:3557986:-1 gene:scaffold_600891.1 transcript:scaffold_600891.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1F5] MERDVIDGRKDEERVRDFGLMVETDASEELKELRSFEEEEVGAGDEIAIETEENLGFFGEFGRIYEECEIL >scaffold_600893.1 pep chromosome:v.1.0:6:3565991:3567273:1 gene:scaffold_600893.1 transcript:scaffold_600893.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor SPT4 homolog [Source:UniProtKB/TrEMBL;Acc:D7M1F7] MGEAPAQIPTSFGHELRACLRCRLVKTYDQFRDSGCENCPFFKMEDEHERIVDVTTPNFNGIISMMDPRKSWAARWLRIGKFAPGCYTLAVSEALPEEMQSICQQVRVQYVPPKRI >scaffold_600896.1 pep chromosome:v.1.0:6:3576786:3579207:1 gene:scaffold_600896.1 transcript:scaffold_600896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYDIVKDLGAGNFGVARLLRHKDTKELVAMKYIERGRKIDENVAREIINHRSLKHPNIIRFKEVILTPTHLAIVMEYASGGELFDRICTAGRFSEAEARYFFQQLICGVDYCHSLQICHRDLKLENTLLDGSPAPLLKICDFGYSKSSILHSRPKSTVGTPAYIAPEVLSRREYDGKHADVWSCGVTLYVMLVGAYPFEDPNDPKNFRKTIQRIMAVQYKIPDYVHISQECKHLLSRIFVTNSAKRITLKEIKNHPWYLKNLPKELLESAQAAYYKRDTSFSLQSVEDIMKIVGEARNPAPSTSAVKSSGSGAEEEEEEDVEAEVEEDEEDEYEKHVKEAQSCQEPHKD >scaffold_600899.1 pep chromosome:v.1.0:6:3587438:3590554:1 gene:scaffold_600899.1 transcript:scaffold_600899.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dead box RNA helicase [Source:UniProtKB/TrEMBL;Acc:D7M1G3] MNSDGPKSGKKRREIRAKHLKKLTSDEDDKDNNKGLKRGREGKSDVDEPLIKKAASTKPVDSQIAKTSDSYLSKTRFDQFPLSPLSLKGIVDAGFKTMTVVQEATLPLILQGKDILAKAKTGTGKTVAFLLPSIEAVIKSPPASRDNRHPPIIVLVVCPTRELACQAAAEANILLKYHPSIGVQVVIGGTKLPTEQRRLQKSPCQILVATPGRLKDHIDNTSGFATRLMGVKVLVLDEADHLLDMGFRREIERIIAAVPKQRQTFLFSATVPEEVRQMCHVALKRDHEFVNCVQEGAGETHQKVSQMYMIASLDRHFSLLYGLLKKHIADNVGYKVIIFCTTAMVTRLVADLLGKLSLNVREIHSRKPQSYRTRVSDEFRKSKSIILVTSDVSARGVDYPDVSLVVQMGLPSDREQYIHRLGRTGRKGKEGEGVLLLAPWEEYFLSSVKDLPITKSSLPPIDHEAVKKVQKGLTQVEMTNKEAAYQAWLGYYKSQKKIARDTTRLVELANEFSRSMGLSIPPAIPINVLGKMGLKNVPGIRVAPGFDKKHTKRNNRSR >scaffold_600900.1 pep chromosome:v.1.0:6:3591386:3594950:-1 gene:scaffold_600900.1 transcript:scaffold_600900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDT domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M1G4] MPLLKKKSHKLLEPPKNLEPQELVYQVRLTKEIFRDYQLYLKRMNLYRNRVWTCKSTGKTSLSYEEALDSEKLASKKVQTLPRELVAPALRIIQFSTLSLKDLANKIATELQNCFFAGAELYGKRDEELHPCKILRTVTDGDSKPQYEVGFLDKDKEINENTVLFGEDLSWKKFPFSRNFLKSFIRDSTCHSIPWVVKDYLAKAHEITRKIPKELQNKYVFQNGELVQQRKQEDKTGREKGKRKRAENGKHVAEETDREGNGSEEEAINYPIEDLLLPPDPDVTQRPSPSRDFSVPMDCVGDLLMVWDFCTSFGRQLHLWRFSLEDFENAVCHKESNLVLIMEVHACLFRFLINERGDSFKALQRRSRKSKITLITWAEYLCDFLELVNTPDLCFDVGTIKRGHYGLLDPNVKLKILRELVNHITETSMFKGEIDELVEQRHALGAARREEALAEARQIREEKERSKTGEEANGFLDNNRLEKKKNSPPVLESSEDSKRNESTAGGSMMENGSVSSEKNEKSEKRLMGNVYLRKHKRQMMDTKIEPKEEDDEEAEEEEEEKGFSVKKQEVKSASEDEKGTSERRGPEQRRQYYEREMEKIVIRTNPLGKDRDYNRYWWFRSNGRIFVEDSDSKEWGYYTSKEELDALMGSLNRKGERELSLHTQLETFYDRICSTLQKRTKDIAHNIEMEEAVVRRSTRVRAPLHENPASAFLRYVNKWKED >scaffold_600911.1 pep chromosome:v.1.0:6:3640444:3643167:-1 gene:scaffold_600911.1 transcript:scaffold_600911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSSVSSLLPFSYGANRLTSKASLASRTSGFNLSSRWNSTRNAPRLYLSRAVTNYSGTTEISENETAPRTYTWPDNKRPRVCILGGGFGGLYTALRLESLVWPDDKKPQVVLVDQSERFVFKPMLYELLSGEVDVWEIAPRFSDLLTNTGIQFLRDRVKTLLPCDHLGVNGSESSVTGGTVLLESGFKIEYDWLVLALGAESKLDVVPGAMELAFPFYTLEDAIRVNEKLSKLERKNFKDGSAIKVAVVGCGYAGVELAATISERLQDRGIVQSINVSKDILTSAPNGNREAAMKVLTSRKVQLLLGYLVQSIKRASDSEEDEGYLLELQPAERGLESQIIEADIVLWTVGAKPLLTKLEPSGPNVLPLNARGQAETDETLRVKGHPRIFALGDSSSLRDPNGKLLPTTAQVAFQEADFTGWNIWAAINNRPLLPFRFQNLGEMMTLGRYDAAISPSFIEGLTLEGPIGHAARKLAYLIRLPTDEHRFKVGISWFAKSAVDSIALLQSNLTKVLSGS >scaffold_600914.1 pep chromosome:v.1.0:6:3648985:3649916:-1 gene:scaffold_600914.1 transcript:scaffold_600914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLKLARSLLLHETLDLTHNPGDTDDGDEDGETSIRQILSSYKQSKTRSRSDNKRNSKIPLLYFVPTRELISDTYRLATIARDLGMDLYPTPSLSHIIFSFPSRESKSPSPFSSSSHPSTWSSSASLSSSLSWSLPNDAVMLSFPSLSASSLSHLRSFVSLSNGLFKLVFSATTVETSSSSSPGSVSNWDCCSVSLFSRIANKRIGSMESFSNALASKGWTIYKTKENPSPESTSNGGSSVYLFRKVYTGRIMAREGNGSCRVRELRLPQLDFRNAPLRILQYLMLMTDDIFFLA >scaffold_600916.1 pep chromosome:v.1.0:6:3652456:3653529:-1 gene:scaffold_600916.1 transcript:scaffold_600916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAELNLPAGFRFHPTDEELVKFYLCRKCASEQISAPVIAEIDLYKFNPWELPDMSLYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGKPKTLGIKKALVFYAGKAPKGIKTNWIMHEYRLANVDRSASVNKKNNLRLDDWVLCRIYNKKGTMEKYFPADEKPRTTTVAEQSSSPFDTSDSTYPTLQEDDSSSSGGHGHVVSPDVLEVQSEPKWGELEDALEAFDTSMFGGSMELLQPDAFVPQFLYQSDYFTSFQDPPEQKPFLNWSFAPQG >scaffold_600919.1 pep chromosome:v.1.0:6:3665376:3665830:1 gene:scaffold_600919.1 transcript:scaffold_600919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTYAEITSDAYLDYIKLYVPEIGQWKDTIVPVNNNCLMTPTVFVARAHSRNLQFLHLEFNQGAYLEYDYWLINKIRIDGLFTRSLHNYQKLRSPLPK >scaffold_600923.1 pep chromosome:v.1.0:6:3681266:3681544:-1 gene:scaffold_600923.1 transcript:scaffold_600923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFIKTITGETYCVDVEKTDTISKMKTKIYNAMGLEPAYQYLVHGKNVLEVHGGATVGDYGIEARAKIQLTKRMRGG >scaffold_600924.1 pep chromosome:v.1.0:6:3682289:3682880:-1 gene:scaffold_600924.1 transcript:scaffold_600924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTRPLKGLLLFTGINFVLVQTITPVYDFVCFLPYWERRRERIRQEREAVAASLHSTNSTQKATQASVG >scaffold_600927.1 pep chromosome:v.1.0:6:3687363:3687657:1 gene:scaffold_600927.1 transcript:scaffold_600927.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M218] MEVATQSDAFLVLGSSLMTMSAYRLVWIIQVKSGKGYVTFRPILFIIYQMNTSIPATSLNLQIQQQQIKEQPFLIPTKACLNQK >scaffold_600934.1 pep chromosome:v.1.0:6:3700045:3701100:-1 gene:scaffold_600934.1 transcript:scaffold_600934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRSDDPNLNPIRNRNPNPTPAPNPLSTIISSAQVWPTIDGPLGLTEEASVDYARRFYKFGFALLPWLWFVNCFYFWPVLRHSRAFPQIRNYVVRSAIGFSVFTALLSAWALTFSIGGEQLFGPVYDKLVMYNVADRLGLSGLA >scaffold_600950.1 pep chromosome:v.1.0:6:3764386:3765581:-1 gene:scaffold_600950.1 transcript:scaffold_600950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor/ transcription regulator [Source:UniProtKB/TrEMBL;Acc:D7M240] MPLDTRQQKWLPLGLNPQACVQDTATESSRPGIPFPELGKVYAAEHQFRYLQPPFPALLSRYDKQSCGKQVPCLNGRSSCGAAPEGGLKSSRKRFLVFDQSGDQTRVLQCGFPLRFPSSMDAERGNILGSLHPEKGFSKDHAIQEKILQHEDHVNGDEESDMHEDTEEINALLYSDDDDNDDWESDDEVMSTGHSPFPVEQQACNKTTEELDETESSVDGPHLKRQKLLDHSYRDSSLSLVGTKVKGLSDENLPESNISSKQETGSGLSDEQSSKDKILTALRILESVVPGAKGKEALLLLDEAIDYLQLLKQNLSSSKGLNNHW >scaffold_600951.1 pep chromosome:v.1.0:6:3765844:3766150:-1 gene:scaffold_600951.1 transcript:scaffold_600951.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M241] MVSQSAGQTRFRTFKYENNGDSSRQTIVVRVIACFQPMDNCQAEYFRHILKPVT >scaffold_600957.1 pep chromosome:v.1.0:6:3775497:3776483:-1 gene:scaffold_600957.1 transcript:scaffold_600957.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S15 [Source:UniProtKB/TrEMBL;Acc:D7M246] MADVEPEVAAAGVPKKRTFKKFAFRGVDLDALLDMSTDDLVKLFSSRIRRRFSRGLTRKPMALIKKLRKAKREAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGVGATHSSRFIPLK >scaffold_600963.1 pep chromosome:v.1.0:6:3790986:3792316:1 gene:scaffold_600963.1 transcript:scaffold_600963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGSSGVNRAPPPATAQPSGGGIFRNIRSIVDGFAFGAGNTVSHRVVDSVMGPRTFKHETVVSQAAPASPVATSMSACDIHSKAFQDCVNHFGSDISKCQFYMDMLSECKKNSGSVVAA >scaffold_600964.1 pep chromosome:v.1.0:6:3794047:3794657:1 gene:scaffold_600964.1 transcript:scaffold_600964.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M253] MNPMMSLFFFLGCSGRSSSRSSTPRSSPQPRASPAATYVSSSPAPSPAPTRSSSPRTERSTPPTYRTSSDDDYNLFGGIFRGMRSDDQDSSNDGDGGTSHGESLFGDTVRSMLFNYFMF >scaffold_600968.1 pep chromosome:v.1.0:6:3803928:3805167:1 gene:scaffold_600968.1 transcript:scaffold_600968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDNGENPMPNDRDYNHLLTAFANLNGNSNINTNNNYPNLNVYNVSHISTASFNAHPAWMTQSQPGASSSRYSGQPFPPPPSFVSPGVEKDHNLLSRLLDMMTCAGRFAEFQKFLQDLDTNPTAERESHLFKIGSLLTTNKRTFLHLATNQYGSQSLHILFRRSPSLDHLLFHAVGINFFLLMTDKYARGLIISAIRAVDKTKKEVLYKLTYEYTLQLARGKYRDLIFECVAKNAEWLAFDPYGTHVVQNFLTLQNPVATTAIAERLRGNFFSLAMERQGSYVVEKCLKSDFARGKVLEEFRGNDKEWVRMTTDKFGNFVVQCALRVMKEKEMRPMLREFVEKLRPHFWRMEIGHGRNTLRLIQEEIVGWINQLPDKSGYMN >scaffold_600969.1 pep chromosome:v.1.0:6:3806059:3806933:1 gene:scaffold_600969.1 transcript:scaffold_600969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIESIFASTIWMRLKQSVEEREHAKLLMEYQNKRGGRVKLQPMVLPQSEFDHPEKGNDLYGSHAMEVAIKILQLLVSKLDGESMNIERFLELSFWW >scaffold_600971.1 pep chromosome:v.1.0:6:3811095:3812298:-1 gene:scaffold_600971.1 transcript:scaffold_600971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTGTVRDAFDRVSKKQKLYHSVTQDVIDLVCDGIQDTLTQIQSDNNDGVEPESVLTELRRKLDALLPIIQLQKSQKETKWSLSKLVKLFEVSYHPDISLACFSVDFDINLVNKILIHHCYREGLFDVGDCLVKESGREEETEVRSQFLEFHQILDSLKLRNIEPAMRWIFANRGKLKQKGSKLEFKLISLKYCDILREGNRVDALEYAKTHFHQYPLHFKEIQKLITCLLWIENLEKSPYAEMVSPSCWDKVTKEVIMEYHHLLDQPINSPLKVALSAGYESLPSLLKLVHLMTLTKQEWQAMKHLPVPLELGNEFQFHSAFVCPVSRDQSSEENPPMLLACGHVISKQSMMRLSKNCAHRIFKCPYCPAETSASACRQLYF >scaffold_600975.1 pep chromosome:v.1.0:6:3821652:3823387:-1 gene:scaffold_600975.1 transcript:scaffold_600975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTALSLKCLGGSENQFCSDVSNFTDDGCRLVLGLGPTSASYCFSVGVNNSNKDSASGFSQVLADSVLQLGRPAPRVAINNGGQRVIPVVDEGSSSAKRSGGYMPSLLLDPTVRNPSQMQQLKDFGTGIHSQVSLEPSPYTALSVQQRTSNPRKCKFMGCLKGARGSSGLCISHGGGQRCQKPGCNKGAESRTTFCKTHGGGKRCEHLGCTKSAEGKTDFCISHGGGRRCEFLEGCDKAARGKSGLCIKHGGGKRCNIENCTRSAEGQAGLCISHGGGKRCQFSSGCEKGAQGSTNYCKAHGGGKRCIFSGCSKGAEGSTPLCKAHGGGKRCLADGGGICSKSVHGGTNFCVAHGGGKRCVVAGCTKSARGRTDCCVKHGGGKRCKIVDCEKSAQGSTDFCKAHGGGKRCSWGEGKCEKFARGKSGLCAAHNTIMSRENEGGSKSGLIGPGLFSGLVFGSTSDHSQSGVSAVSDCTDSVDRIQFENRQKNKKMMIPMQVLVPSSMKSPSTSHEGETNIYDFMVPEERVHGGGLIMSLLGGSIDRN >scaffold_600982.1 pep chromosome:v.1.0:6:3849680:3850981:-1 gene:scaffold_600982.1 transcript:scaffold_600982.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L17 family protein [Source:UniProtKB/TrEMBL;Acc:D7M271] MTKFRKLGRPAGHRMSMLRTMVSQLVKHERIETTVTKAKEVRRLADNMVQLGKEGTLAAARRAAGFVRGDDVLHKIFTELAYRYKDRAGGYTRMLRTRIRVGDAAPMAYIEFIDRENELRQSKPATPQPPQRVPLDPWERSRLTKQFAPPKEEKSSDSEL >scaffold_600986.1 pep chromosome:v.1.0:6:3864718:3865071:1 gene:scaffold_600986.1 transcript:scaffold_600986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSQRSRTYQLTRTIPILVLLLVLLSCCNGARTTNVFNTSSPPKQKDVVSPPHDHDHRQVQDHKSVQFLGSLPRQFPVPASGPSRKHNEIGLSSTRTQSSP >scaffold_600992.1 pep chromosome:v.1.0:6:3888486:3892504:1 gene:scaffold_600992.1 transcript:scaffold_600992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFRDAILQRAPIETFALKTVQEFIQPQKQTKLAQDENQMLENMLRTLLQELVAAAAQSGEQIMQYGQLIDDDDDNIHGQIPHLLDVVLYLCEKEHVEGGMIFQLLEDLTEMSTMKNCKDVFGYIESKQDILGKQELFARGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSAVNIKGVFNTSNETKYEKDPPKGISVDFNFYKTFWSLQEYFCNPASLISASTKWQKFSSSLAVVLNTFDAQPLSEEEGEANSLEEEAATFNIKYLTSSKLMGLELKDSSFRRHILLQCLIMFDYLRAPGKNDKDLPSETMKEELKSCEDRVKKLLEITPPKGKEFLRAVEHILEREKNWVWWKRDGCPPFEKQPIDKKSPNAGQKKRRQRWRLGNKELSQLWRWADQNPNALTDSQRVRTPDIADYWKPLAEDMDPSAGIEDEYHHKNNRVYCWKGLRFTARQDLEGFSRFTEMGIEGVVPVELLPPEVRSKYQAKPNEKAKRAKKEEAKGGSQETEGNQIGVSNSEAEAEGGRGDAETMESDAIADTPTPEEQQRLGGSDTENGQEAGQIEDAETEEAGLMDTDLDHPPTPVS >scaffold_600993.1 pep chromosome:v.1.0:6:3895341:3900029:1 gene:scaffold_600993.1 transcript:scaffold_600993.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:D7M282] MNTGGRLIAGSHNRNEFVLINADESARIRSVEELSGQTCQICGDEIELSVDGESFVACNECAFPVCRPCYEYERREGNQSCPQCKTRYKRIKGSPRVEGDEEDDGIDDLDFEFDFSRSGLESETFSRRNSEFDLASAPPGSQIPLLTYGEEDVEISSDSHALIVSPSPGHIHRVHQPHFADPAAHPRPMVPQKDLAVYGYGSVAWKDRMEEWKRKQNEKYQVVKHDGDSSLGDGDDAEIPMMDEGRQPLSRKVPIKSSKINPYRMLIILRLVILGLFFHYRILHPVNDAYALWLISVICEIWFAVSWVLDQFPKWYPIERETYLDRLSLRYEKEGKPSELAGVDVFVSTVDPLKEPPLITANTVLSILAVDYPVDRVACYVSDDGAAMLTFEALSETAEFARKWVPFCKKYSIEPRAPEWYFCHKMDYLKNKVHPAFVRERRAMKRDYEEFKVKINALVATAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGNNGVRDVENNELPRLVYVSREKRPGFDHHKKAGAMNSLIRVSGVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPQSGKKICYVQFPQRFDGIDKSDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGFDAPKKKKTKRMTCNCWPKWCLFCCGLRKNRKTKTTVKKKKNREASKQIHALENIEEGTKGTNNAVKSPEAAQLKLEKKFGQSPVFVASAGMENGGLARNASPASLLREAIQVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHSHGWRSVYCTPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERLSYINSVVYPWTSIPLLVYCSLPAICLLTGKFIVPEISNYASILFMALFGSIAVTGILEMQWGKVGIDDWWRNEQFWVIGGVSAHLFALFQGLLKVLAGVDTNFTVTSKAADDGEFSDLYIFKWTSLLIPPTTLLIINVIGVIVGISDAISNGYDSWGPLFGRLFFAFWVILHLYPFLKGLLGKQDRMPTIILVWSILLASILTLLWVRVNPFVAKGDPILEICGLDCL >scaffold_600994.1 pep chromosome:v.1.0:6:3901704:3902060:1 gene:scaffold_600994.1 transcript:scaffold_600994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQSKKETNKRRRRDEMITEIEMEAAQQLMQLSDEENNINIIKKKKTIEEIFGKDDIHDQDHQCTKEMVVLRVMSSKTKKKKYRTIESIYMATRPIRVVIR >scaffold_601001.1 pep chromosome:v.1.0:6:3923721:3924404:1 gene:scaffold_601001.1 transcript:scaffold_601001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQETYQYYHLWRLAVQERDEAREHLKQSLAELSRIQEHFNTILLSEEQQIIPHYYSETTDETADHQNYSYNNFSSDSPSRFSSASSMDLVSNSTVYSSPETYDSDCYSIRSNQMGFAFQEKEDFETLVLENIGLGRVLPENGKFQQAVIEAGSLVESLFITGPIPKWRNPPVQMLSQRPVLSNSIGKWNYGGQGILNRSFSGKMPRFSLMP >scaffold_601004.1 pep chromosome:v.1.0:6:3929042:3930202:1 gene:scaffold_601004.1 transcript:scaffold_601004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRKEKNTPWLSVPQFGDWDQKGGGGTMPDYSMDFTKIREMRKQNKRDPSRASLGNEEELIKPPESATSTANLTTVQSENQREFSPSHHHHQPHSPSTRRSIFSCFNCCVKA >scaffold_601006.1 pep chromosome:v.1.0:6:3941275:3943056:1 gene:scaffold_601006.1 transcript:scaffold_601006.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP78A7 [Source:UniProtKB/TrEMBL;Acc:D7M2S0] MELMNLASKETSYWMIALPAVFGSQNLHDVSIIGYLFLAVVSLSILTWALAGGGGVAWKNGRNRLGRVAIPGPRGIPVFGSLFTLSRGLAHRTLAAMAWSRANIEIMAFSLGSTPVIVASEPNTAREILMSPHFADRPVKQSAKSLMFSRAIGFAPNGAYWRTLRRIASTHLFAPRRILAHEAGRHLDCAEMVKAVSAEQNGAGSVVLRKHLQLAALNNIMGSVFGRRYDPLAQKEDLDELTSMVREGFELLGAFNWSDYLPWLGYFYDSIRLNQRCSDLVPRIRTLVKKIIDEHRVSNSEKKRDIGDFVDVLLSLDGDEKLREDDMIAVLWEMIFRGTDTTALLTEWTMAELVLNPNVQTKLRDEIVTAVSDGDVADADLAKLPYLSAVVKETLRLHPPGPLLSWARLSTSDVQLNNGMVVPKGTTAMVNMWAITHDQTVWSDPLKFDPERFTGNADVDIRGGDLRLAPFGAGRRVCPGKNMGLATVTRWVAELVRLFEWGQDQTEPVDLGEVLKLSCEMEHPLRAVVTELF >scaffold_601014.1 pep chromosome:v.1.0:6:3974699:3974949:1 gene:scaffold_601014.1 transcript:scaffold_601014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSADIAILVAEECEHKVNLTAEKTSNSAEVGWLMMFGIEEKKIESLMRKFELKSQFAVAVSSGFFSA >scaffold_601021.1 pep chromosome:v.1.0:6:3998016:3998220:1 gene:scaffold_601021.1 transcript:scaffold_601021.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M2T5] MDSSNPFTQSSGFLDLLNSQPWTENEASQVPVQNDQWSESIQAEVTPERKKRKT >scaffold_601023.1 pep chromosome:v.1.0:6:4004495:4005456:-1 gene:scaffold_601023.1 transcript:scaffold_601023.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2T7] MRSRSGVLRDLSNVKPSSPMTFLRFSIPPQNLNRVKLFVGLPLDTVSDCNNVDSGRLIGSEIHGFHILQARTLSWGCLLSGFLVMLQSRKFVNKGEILVHNCTRFTGLPNDISFTF >scaffold_601028.1 pep chromosome:v.1.0:6:4040170:4045798:-1 gene:scaffold_601028.1 transcript:scaffold_601028.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box flowering locus C [Source:UniProtKB/TrEMBL;Acc:D7M2U0] MGRKKLEIKRIENKSSRQVTFSKRRNGLIEKARQLSVLCDASVALLVVSASGKLYSFSSGDNLVKILDRYGKQHADDLKALDIQSKALNYGSHHELLELVESKLVGSNVNNVSAETLLQLEEHLETALSVTRAKKTELMLKLVENLKEKEKLLKEENQVLASQMEKNHHVGAEAEMEISPAGQISDNLPVTLPLLN >scaffold_601032.1 pep chromosome:v.1.0:6:4061552:4065177:1 gene:scaffold_601032.1 transcript:scaffold_601032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERDLESFESLSHQVLPNTSNSTHMIQMAMANSGSSAAAQAGQDQPDRSKWLLDCPEPPSPWHELKRQVKGSFLTKAKRFKSLQKQPLPKRILSILQAIFPIFGWCRNYKLTMFKNDLMAGLTLASLCIPQSIGYATLAKLDPQYGLYTSVVPPLIYALMGTSREIAIGPVAVVSLLISSMLQKLIDPETDPLGYKKLVLTTTFFAGIFQASFGLFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGITNFTTNTDIVSVLRAVWRSCQQQWSPRTFILGCSFLSFILITRFIGKKYKKLFWLPAIAPLIAVVVSTLMVFLTKADEHGVKTVKHIKGGLNPISIHDLDFNTPHLGQIAKIGLIIAIVALTEAIAVGRSFAGIKGYRLDGNKEMVAIGFMNVLGSFTSCYAATGSFSRTAVNFAAGCETAMSNIVMAVTVFVALECLTRLLYYTPIAILASIILSALPGLININEAIHIWKVDKFDFLALIGAFFGVLFASVEIGLLVAVVISFAKIILISIRPGVETLGRMPGTDTFADSNQYPMTVKTPGVLIFRVKSALLCFANASSIEERIMGWVDEEEEEENTKSNGKRKILFVVFDMSNLINVDTSGITALVELNNKLIQIGVELVIVNPKWQVIHKLNQAKFVSRIGGKVYLTIGEALDACFGLKV >scaffold_601033.1 pep chromosome:v.1.0:6:4067913:4069867:1 gene:scaffold_601033.1 transcript:scaffold_601033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSETLTLVLVYLAGIMERADESLLPGVYKEVGDALHVDPTALGTLTLFRSIVQSSCYPLAAYLSSRHNRAHVIALGAFLWATATFLVAVSTTFFQVAVSRGLNGIGLAIVTPAIQSLVADSTEDNNRGMAFGWLGFTSNIGSILGYVFSILFASKSFNGVAGWRIAFLLVAFVSVIVGILVRLFATDPHYSDRKITKHVKDKPFWSDIRDLLQEAKMVIKIPSFQIFVAQGVSGSFPWSAFAFAPLWLELIGFSHKTTAVLVTLFTISCSLGGLFGGYMGDTLAKKFPNAGRIILSQISSASAIPLAAILLIGLPDDPSTAFSHGLVLVIMGLCISWNGPATNGPIFAEIVPERARTSIYALDRSFESILASFAPPIVGMLAQNIYGYKPIPKGSSSSIKIETDRENAASLAKALYTAIGIPMVICCTIYSFLYCTYPRDRDRAKMQALIESEMQQLNEEEEEEIEVKCLGAEEHDETYLLKQHQNES >scaffold_601034.1 pep chromosome:v.1.0:6:4071221:4073262:1 gene:scaffold_601034.1 transcript:scaffold_601034.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7M2U6] MDKASSQECPYPGCFFCVMKEGNPSKRRSCILKFFRDLPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMSALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAERAVEAGVIAPLVELLRGRLTWVEQRVAVRALGHLATYPSTFPAVADHGEILELAIQLAMSSLEIVYSHFYQYPDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTLCKPEFLVNLPVMWGGLVNENSPAGIGLLRTICQHKLGRGPVSACAGMIEALCNIARSSDDWQYMAIECLLWLLQDPNTSHKVIDKAVPTLVDLAEITNLGDHKKLGDSIVSVLQECSSMGNRSRELIEETVNSRQRLKWEKSMPKEDLHIKQAAALVVKLEGNSLFSSGDIAGAAEKYSEALSLCPMRSKKERVVLYSNRAQCHLLLQQPLVAISDATRALCLHNPVNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSMRQNKVPDYAERLVKKQMRAAWLFKEAALKHGGVHRKGEEREVYGNETDDSEWETASESDIGDDGRDHMGLDDEEEEEDEGHGEKWKNRDKSSEKNEKTSAKGMRHGYSIKLAEDEI >scaffold_601035.1 pep chromosome:v.1.0:6:4075310:4075941:1 gene:scaffold_601035.1 transcript:scaffold_601035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVENIRSLKLEVKIVEARNVEVKSSLTTLFVRFYLHAGNNSKIELNTAEIRSRSDKEVVIWNQSFGLECQGNETVVEELKQQSVVFELRRRNTTSFLKKWSRSELVGRGNISWKSMIESDGMEIERFVVMDETKDRVLEDCDKPLLLKIALKVQASKPVKSKSVEDLCECRDCRRCNCLDYEAFVVACALAGI >scaffold_601037.1 pep chromosome:v.1.0:6:4078820:4080553:-1 gene:scaffold_601037.1 transcript:scaffold_601037.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:D7M2U9] MASLKVPANVPLPEEDAEQLHKAFKGWGTNEGMIISILAHRNATQRSFIRAVYAANYNKDLLNELDRELSGDFERAVMLWTFEPAERDAYLAKESTKMFTKNNWVLVEVACTRSALEFFSAKQAYQARYKTSLEEDVAYHTSGDIRKLLVPLVSTFRYDGDEVNMVLARSEAKILHQKIEEKAYVDDDLIRILTTRSKAQISATLNHYKNNFGTSMAKYLKEDSEYIQLLKAVIKCLTYPEKYFEKVLRQAINKLGTDEWGLTRVVTTRAEFDMERIKEEYLRRNSVPLDRAIAKDTHGDYEDILLALLGHDHA >scaffold_601038.1 pep chromosome:v.1.0:6:4082126:4085590:-1 gene:scaffold_601038.1 transcript:scaffold_601038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCVDNSQAKRSRIIELSRRLRHRGPDWSGLHCFEDCYLAHERLAIVDPTSGDQPLYNEDKTIAVTVNGEIYNHKALRENLNSHQFRTGSDCEVIAHLYEEHGEEFVDMLDGMFAFVLLDSRDKSFIAARDAIGITPLYIGWGLDGSVWFASEMKALSDDCEQFMCFPPGHIYSSKQGGLRRWYNPPWFSELVPSTPYDPLLVRNTFEKAVIKRLMTDVPFGVLLSGGLDSSLVASVALRHLEKSEAACQWGSKLHTFCIGLKGSPDLKAGREVADYLGTRHHELHFTVQDGIDAIEEVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHQYDCLRANKSTSAWGVEARVPFLDKEFINVAMSIDPEWKMIRPDLGRIEKWVLRNAFDDEKNPYLPKHILYRQKEQFSDGVGYSWIDGLKEHANKHVSDTMLMNASFVFPDNTPLTKEAYYYRTIFEKFFPKSAARATVPGGPSVACSTAKAVEWDAAWSQNLDPSGRAALGVHVSAYGEDKTEDSRPEKLQKLAEKTPAIV >scaffold_601043.1 pep chromosome:v.1.0:6:4107381:4110182:-1 gene:scaffold_601043.1 transcript:scaffold_601043.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7M2V6] MRKFSLQKMAMAFTVLVFASLCSFVSPDAQGDALFALRISLRALPNQLSDWNQNQVNPCTWSQVICDDKNFVTSLTLSDMNFSGTLSSRIGILENLKTLTLKGNGITGEIPEDFGNLTSLTSLDLEDNQLTGRIPSTIGNLKKLQFLTLSRNKLNGTIPQSLTGLPNLLNLLLDSNSLSGQIPQSLFEIPKYNFTANNLTCGGGQPHPCVSAVAHSGDSSKPKTGIIAGVVAGVTVILFGILLFLFCKDRHKGYRRDVFVDVAGEVDRRIAFGQLKRFAWRELQLATDNFSEKNVLGQGGFGKVYKGVLPDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSLAHRLREIKAGDPVLDWETRKRIALGAARGFEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRRTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLGAIVDKNLDGEYIKEEVEMMIQVALLCTQGSPEDRPVMSEVVRMLEGEGLAERWEEWQNVEVTRRHEFERLQRRFDWGEDSMHNQDAIELSGGR >scaffold_601051.1 pep chromosome:v.1.0:6:4136935:4137386:-1 gene:scaffold_601051.1 transcript:scaffold_601051.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:D7KB17] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >scaffold_601055.1 pep chromosome:v.1.0:6:4146934:4149639:-1 gene:scaffold_601055.1 transcript:scaffold_601055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPPLHQHQKVPPLAQAVLQDLQMPPLPQAPLSPTRLSSPEPHSPLLCDCNFRWGEKKKKKSAGVSLAVHILVCWFFVYGYKLGIIGTIASVNVPWWLNIFILFMYSTRGGCTLTWTGFSTEAFTGLLEFTKLSASSGIMLCLENWYYKILILMTGNLVNAKVAVDSLSICMSVNGWEMMIPLALFAGTGYKIRIRLLISLQLRMNGMLYIYLISPSKIIRWPKGCKLFHQNYF >scaffold_601057.1 pep chromosome:v.1.0:6:4156153:4158003:-1 gene:scaffold_601057.1 transcript:scaffold_601057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLGRDQYVYMAKLAEQAERYEEMVQFMEQLVTGATPAEELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEENRKNDEHVSLVKDYRSKVETELSSVCSGILKLLDSHLIPSAGASESKVFYLKMKGDYHRYMAEFKSGDERKTAAEDTMLAYKAAQDIAAADMAPTHPIRLGLALNFSVFYYEILNSSDKACNMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQEQMDEA >scaffold_601058.1 pep chromosome:v.1.0:6:4161855:4166652:1 gene:scaffold_601058.1 transcript:scaffold_601058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLKCKQDGASRGANSKRVAPSDSFDDETCSVGSKAHCADDLSKLASSTAASHEAKNECEIPYIRSLEPPTREMAETGTIKNETLPSRFPTFHASEQGPWSAMISYEACVRLCLHSWETDSVTEASYFLNDDCTLIRNAFGLQNFFLQSEEELLGNRPSSLVTEATAPKFKRSVGKIKLQVCSSTVGRIKMGLDQQPGCNISSLKHEIVRQQIAELNSALSSGWKAVKRVHVSPPVPLNGSLSRKSLAYMRACAHYLKQVSKVLKQEFVTSHTGPRSLKALQETFTCSLRLKSSAEEDQVKTLPGSGETFVFLPDSIGDDLIVEVRDSKGQFCGRVLAQLAAIVDEPNDHKWWAIYHEPEHDRIGRIQLHINYSSSLDEKTKCGLVAETSAYDLVLEVAMKAEQFQRQNLLIKGPWHWMVTRFASYYGISDAYTKLRYLSYVMDVASPTKDCLDLIYDFLSPVLMKGNHKAVLSHQENRLLGEIDEQVQQILALTFENYKSLDESSLSGIKDVFEPPTGIPAPAIAPAIKLYGLLNDVLSPEAQLRLFRYFQAASKKRSRRYLLETNNILHNHIEDAQGTSYQRMKSLILSLKSEISTDIAIRNYNVLPSFIDLPKLSAAIYSVDLFNRLREYLIAWPPPSATPPVVELIITTADFEADLSRWNINPIKGGVTAKELFHSYITTWIEERRCYLYEFCKSETAKSCSEIQGLTSPLVDEMYELLNATLDEYDIIIRRWPEYAASLEKLVADVERSIIEALEKQFSEVLSPLKESKVSPLKYVQRLTKTGQSNLYAVPKELGVLLNSMKRVLDTLRSSIENRFKVWNSYLPDKEKRVLGEQLSEVTVQLRAKFRSYMQALVEKLAENTRIQSHMRMKNIIHDLQETTAEPDVRNRMQSLKDLLDKTIDHLHCVLSLDVFVLICRGIWDRLGQDVLRLLEDRRDNVIWHKGPRIAVSVLDEIFVTQMQSLLGNSLKEENLEAPRSMIELRSMLV >scaffold_601059.1 pep chromosome:v.1.0:6:4167191:4169118:1 gene:scaffold_601059.1 transcript:scaffold_601059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSSQISQPMNGLKDIVETRNFKAWLLDQYGVLHDGKKPYPGAISTLKNLATAGAKIVIISNSSRRASTTMEKLKGLGFDPSYFTGAITSGELTHQSLQRRDDPWFAALGRSCIHMTWNDRGAISLEGLDLNVVENVEEADFVLAHGTEALGLPSGSVSPRTLDELEKILEKSAARGLPMIVANPDYVTVEANVFHIMPGTLASKYEELGGEVKWMGKPHKMIYESAIAIAGVNPCESIAVGDSLHHDIKGANVSGIESIFITGGIHGNELGLTSFDETASLDSVKALSAKHNAFPTYVLSAFKW >scaffold_601061.1 pep chromosome:v.1.0:6:4177417:4179456:-1 gene:scaffold_601061.1 transcript:scaffold_601061.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:D7M2X6] MAGFLSVVRRVYLTLYNWIVFAGWAQVLYLAITTLKETGYENVYDAIEKPLQLAQTAAVLEILHGLVGLVRSPVSATLPQIGSRLFLTWGILYSFPEVRSHFLVTSLVISWSITEIIRYSFFGFKEALGFAPSWHLWLRYSSFLLLYPTGITSEVGLIYLALPHIKTSEMYSVRMPNTLNFSFDFFYATILVLAIYVPGSPHMYRYMLGQRKRALSKSKRE >scaffold_601062.1 pep chromosome:v.1.0:6:4179742:4183419:-1 gene:scaffold_601062.1 transcript:scaffold_601062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTLQLSHSLGFCRNQRCYNPENSSMRRRLHISNGPLSLGVPLGQHGFSNILLSDYLRRQIYSVPCRTTAFRCHSFSAGGKAIEPAVKAVTVVLTKSHGLIQQFPFVYKLVPAVALLVFSLWGLVPFVRQGRNILLNKNDNGWKKSGTYHVMTSYVQPLLLWIGALFICRALDPVVLPTEASKIVKDRLLNFVRSLSTVLAFAYCISSLIQQTQKLFSETSNPSDTRNMGFQFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLITLAGREILTNFLSSVMIHATRPFVLNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGEDREAIHIPNHKFTVNVVRNLTQKTHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPMVEQQRLHRRVFLENVIPENQALSILISCFVKTSHHEEYLGVKEAILLDLLRVISHHRARLATPIRTIRKMYTDADMENAPFGESMYGGVTSRRPLMLIEPAYKINGEDKSKSQNRASKPTSEQENKGSSPKSKETSSPDLKANVKVGESSVSDTNKVPEETVAKPVIKVVSKPPTPKDTETSGTEKPKAKRSGSTIKSPKSDETDTSSSSTSRSALEENIVLGVALEGSKRTLPIEEEIHSSSMETDAKELTGARRSGGNGPLVADKEQKDSQSQPNSGASNEQ >scaffold_601064.1 pep chromosome:v.1.0:6:4195907:4199945:1 gene:scaffold_601064.1 transcript:scaffold_601064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMTNWLTFSLSPMEMLRSSDQSQFVSYDASSAASSSPYLLDNFYGWTNQKPQEFFKEEAQLAAASMADSTILTTFVDPQSHSQNHIPKLEDFLGDSSSIVRFSDNSQTDTQDSSLTQIYDPRHHHHNPNQTGFYSDHHDFKTMAGFQTAFSTNSGSEVDDSASIGRTHLTGEYLGHVVESSGPELGFHGGSTGALSLGVNVNNTNHRNDHDSNQITNHHYRGNNNGDRINNENEKTDSEKEKAVVAVETSDCSNKKIADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQGGYDKEDKAARAYDLAALKYWNATATTNFPITNYAKEVEEMKHMTKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGINAVTNFEMNRYDVEAIMKSALPIGGAAKRLKLSLEAASSEQKPILGHQLHHFQQQQQQQQQLQLQSSPNHSSINFALCPNSAVQSQQIIPCGIPFEAAALYHHQQQQQQQQQNFFQHFPANAASDSTGSNNNSNVQGTMGLMAPNPAEFFLWPNQSY >scaffold_601066.1 pep chromosome:v.1.0:6:4205000:4206989:-1 gene:scaffold_601066.1 transcript:scaffold_601066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVLFFSFALVLPVVCSVQFNISRFGSDVSEIAYQGDARANGAVELTNSDYTCRAGWATYGKQVPLWNPGTSKPSDFSTRFSFRIDTRNVGYGNYGHGFAFFLAPARIQLPPNSAGGFLGLFNGTNDQSSAFPLVHVEFDTFTNPEWDPLDIKSHVGINNNSLVSSNYTSWNATSHNQDIGRVLIFYDSARRNLSVSWTYDLTSDPLENPSLSYIIDLSKILPSEVTIGFSATSGGVTEGNRLLSWEFSSSLELIDIKKSQNDKKGMIIGISVSGFVFLTFFIASLIVFLKRKQQKKKAEETENLTSINEDLERGAGPKKFTYKELASAANNFADDRKLGEGGFGAVYRGYLNGLDMMVAIKKFAGGSKQGKREFVTEVKIISSLRHRNLVQLIGWCHEKDEFLMIYEFMPNGSLDAHLFGKKPHLAWPVRCKITLGIASALLYLHEEWEQCVVHRDIKPSNVMLDSNFNAKLGDFGLARLMDHELGPQTTGIAGTFGYMAPEYISTGRASKESDVYSFGVVTLEIVTGRKSVDPRQGRVEPETSLLEKVWDLYGKGEVITAIDEKLRIDGFDEKQAECLMIVRLWCAHPDRNSRPSIKQAIQVLNFEAALPHLPTKMPVATYHVSSSNTTSVSSATVTFSSAQHGR >scaffold_601075.1 pep chromosome:v.1.0:6:4238691:4239426:1 gene:scaffold_601075.1 transcript:scaffold_601075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQSNPNLEEAVSEPPPEIKNCLEETAYVVAKVGDARALEFERRIFAADVENAVFNFLHPSDPYHAYYKEKVTEYRTQPQPPVRLTCDLEPPVRKPLFDIPDKLSFFPEAIKPKELGSIILTAVFVARYGKYFWDELMKRVGTEPLFDFVKPTGSSFKCFYQPVYAYSRVIRRCRKPDTSKEDLVDGFRGLLQAEGVGTALIDLRVLEYVANIEDKELLHPSMMMNPPQQ >scaffold_601079.1 pep chromosome:v.1.0:6:4253640:4254919:1 gene:scaffold_601079.1 transcript:scaffold_601079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSTTKTKPKKPNLTRSKSLGRKPKPVSSSEPEANADGSDRKTIGKPLPNYLKPTISSRPDPVKFLRKNNAVEDNQKLLRRRSFDHPPSSLTSPSTSSSLKSLNTSPAHPRDKPAVPREKPVTGLRSTSFHGSSRGGLRGSSAVKSPPVASRGSPGVKKSGLSGSSSSKSKKEGSANVPKKSSGKEISPESSPLAPAHEDEEEIVKVETDVHISDHREEPKEEDKDQVAQQDESGEEKETSPVAASTSPVAASTEEEKGELIHEDKSKEQIEEPKEPENTEENNSEEEEEVKKKVDDEEKSETVATTTDMHEAVNVGESKEGDQEEAEVKEEESESSKAKEETTETKAQVEELPEEGTKKEVVQGKKESPTAYNDVIASKMQENSKKNKVLALAGAFQTVIDYETAASK >scaffold_601080.1 pep chromosome:v.1.0:6:4256056:4259474:1 gene:scaffold_601080.1 transcript:scaffold_601080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDQIEEMDVEVLSSMWPEDVGTEADKQFNVEKPAGDLDTLTEVTIEEKPTIADLTRLPELMNSTQQGSSQLTNLVKQWEYMQDNAVRLLREELKNLNRQREEAEAQELKIIEEYKFESNEPENVPVLDETSDSFRRFRHKKRDEFDTVAYWKPKMLEASTERERRLIEKLSESLKTMESQSAPVQELTQNLKKAEGFLHFILQNAPIVMGHQDIDLRYLFIYNKYPSLREQDILGKTDVEIFHGGGIKEYQDFKREVLEKGKASKREITFTTDLFGSKTFLVYVEPVYNKAGEKIGINYMGMEVTEQVDKREKMAKLREDNAVRKAMESELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVGMAEILSTTKLDKEQRQLLNVMISSGDLVLQLINDILDISKVESGVMKLEATKFRPREVVKHVLQTAAASLKKSLTLEGNIADDVPIEVVGDVLRIRQILTNLISNAIKFTHEGNVGIKLQVISEPSFARDNALNADTEEHEQNGLTETSVWICCDVWDTGIGIPGKQAKNAIPCLFKKYMQASADHARKYGGTGLGLAICKQLVELMGGQLTVTSRVNEGSTFTFILPYKVGTSDDYSDDQDEFSDMADHHSKPDDTAEGYFQFKPLETDQIEEMDVEVLSSMWPEDVGTEADKQFNVEKPAGDLDTLKEVTIEEKLTIADLTRLPELLNSTPQGSSQLTNLMKQWEYMQHNAVRLLREELKNLNRQREEAEAKELKIIEEYKFESNEPENVSVLDETSDWFRGFRQKKRDVLVDSKKIEIYEEFDSKKVDDEENSDTVATSTDMNEAVNVEKSKEAEQEEAEVKEEESERRSNRNKRPS >scaffold_601081.1 pep chromosome:v.1.0:6:4259863:4262792:-1 gene:scaffold_601081.1 transcript:scaffold_601081.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M2Z4] MYRISATSNLVPFLPSSSFVPTRRCYPRRATPYSRRINLKPLTSRIVLLTRRRQLGQIVEEVEAAKKRYGKLNTIVMNSVLEACVHCGNIDLALRMFHEMAEPGGIGVDSISYATILKGLGKARRIDEAFQMLETIEHGTVAGNPKLSSSLIYGLLDALINAGDLRRANGLLARYDILLLDHGTPSVLIYNLLMKGYVNSESPQAAINLLDEMLRLRLEPDRLTYNTLIHACIKCGDMDVAMKFFKEMKEKAEEYYDDFLQPDVVTYTTLVKGFGDAKDLLSLQDIYLEMKLCDNVFIDRTAFTAVVDAMLKCGSTSGALCVFGEILKRSGANEVLRPKPHLYLSMMRAFAVQGDYGMVRNLYLRLWPDSSGSISKAVQQEADNLLMEAALNAGQLDEALGILSSIVRRWKAIPWTTSGGCCPPRSIVGVFQSILRPHLLSKVIPSEPIESIMIRFETTQPLLGTLQLKNVVMRFFKEQVVPIVDDRGCCIGLLHREDCINLDAPLVSMMRSPPTYVNTTTSIGRVVDLVLEKKLKMVIVVHCGNLSGSGYSSKAVGAFTRAQLYRLFEPEQQLL >scaffold_601084.1 pep chromosome:v.1.0:6:4267602:4267853:1 gene:scaffold_601084.1 transcript:scaffold_601084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVFVCDKDERVIGRYAAPGACPYCGGAVQVVDVNSQWRFCFVPLSNKSKRRHICSTCGKRLIVHG >scaffold_601087.1 pep chromosome:v.1.0:6:4276666:4280439:1 gene:scaffold_601087.1 transcript:scaffold_601087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEMETDQIEEMDVEVLSSMWPENVGTEADKQFNVEKPAGDLDTLKEVTIEEKPTIADLTRLPELMNSTQQGSSQLTNLVKQWEYMQDNAVRLLREELKNLNRQREEAEAKELKIIEEYKFESNEPENVPVLDETSDLFRRFRQKKRDALVDSKKIEIYEEFDTVAYWKQKALNLEKMLEASTERERRLVEKLSESLKTMESQSAPVQELTQNLERAEGFLHFILQNAPIVMGHQDKDLRYLFIYNKYPSLREQDILGKTDVEIFHGGGVKESQDFKREVLEKGKASKREITFTTDLFGSKTFLIYVEPVYNKAGEKIGINYMGMEVTEQVDKREKMAKLREDNAVRKAMESELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVGMAEILSTTRLDKEQRQLLNVMISSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLKKSLTLEGNIADDVPIEVVGDVLRIRQILTNLISNAIKFTHEGNVGIKLQVISEPSFARDNTLTADTEEQEQNGLTETSVWICCDVWDTGIGIPENAIPCLFKKYMQASADHARKYGGTGLGLAICKQLVELMGGQLTVTSRVNEGSTFTFILPYKVGTSDDYSDDQDEFSDMADHQSEPDDTAEGYFQFKPLLGSIYSNGGPGIGNDFLPHKVMLTSPIKLMNSFVADPSNNTGQSEMLQLENGGYVDESKLETSSGHCPESAHQYENGNGRCFSKESESCSSSQASSEGGTLEMESELTVSSHREEEKAETDLKETSKPKILLVEDNKINIMVAKSMMKQLGHTMDIANNGVEAITAINHSSYDLVLMDVCMPVLDGLKATRLIRSYEETGNWNAAIEAGVDIKTLENEQVCVRSTNRLPIIAMTANTLAESSEECYANGMDSFISKPVTLQKLRECLQQYLH >scaffold_601088.1 pep chromosome:v.1.0:6:4280680:4282666:-1 gene:scaffold_601088.1 transcript:scaffold_601088.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic/ coenzyme binding protein [Source:UniProtKB/TrEMBL;Acc:D7M301] MRTIVSRLIRYKSSLSQIRFASASGGGRYLSTDSNKIDEPFNVEEAETVHVPPPPTEKLLVLGGNGFVGSHVCKEALDRGLSVSSLSRSGKSSLQESWASRVTWHQGNLLSSDLLKDALDGVTSVISCVGGFGSNSYMYKINGTANINAIRAASEKGVKRFVYISAADFGLANYLLRGYYEGKRAAETELLTRFAYGGIILRPGFIYGTRSVGSMKIPLGVFGSPMEMVLQQAKPLNQLPLVGPLFTPPVNVESVAKVAVRAATDPVFPPGIVDVHGIQRYSQQKSR >scaffold_601090.1 pep chromosome:v.1.0:6:4286490:4286771:1 gene:scaffold_601090.1 transcript:scaffold_601090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQHLRPWFLDLVPALVVFLAAAHVIALGYWIYRLATDRRAQSQRGKFH >scaffold_601092.1 pep chromosome:v.1.0:6:4294336:4295422:-1 gene:scaffold_601092.1 transcript:scaffold_601092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMRNFLNMIILLCVCLNWCFTEGAEKRESGKVLDSYTIQVSSLFPSSSSCVPSSKVSNTKSSLRVVHMHGACSHLSSNKDARLDHDEILRRDEARVESIHSKLSKNIADEVSKAKSTKLPAKNGIILGSPNYIVTIGIGTPKHDISLMFDTGSDLTWTQCEPCLGSCYSQKEPKFNPSSSSSYHNVSCSSPMCGNPESCSASNCLYGIGYGDGSVTVGFLAKEKFTLTNSDVLDDIYFGCGENNKGVFIGSAGILGLGPGKFSFPLQTTTTYNNIFSYCCGCRIHTLKYFC >scaffold_601093.1 pep chromosome:v.1.0:6:4296221:4297576:-1 gene:scaffold_601093.1 transcript:scaffold_601093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMRALLNIIIILCVLLNWGCTEGARIIINGKGCSQTIKVSSLFHSLSSLSSSCSLSSKGLQGSCSDSTCGYSVGYGDTSTSQGFVAKEKFTLMSSDFFDGVNFGCGENNTGDYYEGVAGLLGNTSGHLTFGSTGISKSVKFTPVSSSPSKDFYYLNIEGITVCDKQLEIPSIESSTPRAYAALKSAFKEKMSKYTITSSGDSELDTCYDFTGLKTVTITKIAFSFSGGTVVELDPKGILYSSSERSKLCLAFAEYPDDNVAIFGSVQQQTLQVVYDGVGGRVGFAPNGCS >scaffold_601098.1 pep chromosome:v.1.0:6:4314049:4315820:-1 gene:scaffold_601098.1 transcript:scaffold_601098.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of rudimentary homolog [Source:UniProtKB/TrEMBL;Acc:D7M3I9] MANHNSSRHTIILLQNSPSRATRTFMDYDSIGQAMDGICGLYERKLKEINPSLRNLSYDIADLYNFIDGLADLSALVYEHSISAYLPYDRQWIKQKAFNHLKRIANGSR >scaffold_601101.1 pep chromosome:v.1.0:6:4320137:4323048:-1 gene:scaffold_601101.1 transcript:scaffold_601101.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:D7M3J2] MAMEFRRSSRRISESSGSAIALILLLFIHGANSFYLPGVAPQDFEKGDELKVKVNKLTSIKTQLPYSYYSLPFCRPSKIVDSTENLGEVLRGDRIENAPYSFKMREAQMCNILCRVTLDAKTAKAFKEKIDDEYRVNMILDNLPLVVPIERVDQGSPSVVYQLGYHVGLKGQYEGSKEQKFFMHNHLAFTVRYHRDTQTDAARIVGFEVKPYSVKHEYEGEWSEKTRLTTCDPHTKRLVVSSATPQEVEQKKEIIFTYDVDFQESEVKWASRWDTYLLMSDNQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNELETQEEAQEETGWKLVHGDVFRLPTNSDLLCVYVGTGVQCLGMVFVTMIFAMLGFLSPSNRGGLMTAMLLLWVFMGLFAGYASSRLYKMFKGTEWKRIAFRTAFLFPAVVSAIFFVLNALIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGGYIGFKKPAVDDPVKTNKIPRQIPEQAWYMNPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFVILIVTCAEITVVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLQITKLVSAMLYFGYMLIASYAFFVLTGTIGFYACLWFTRLIYSSVKID >scaffold_601105.1 pep chromosome:v.1.0:6:4333516:4335412:1 gene:scaffold_601105.1 transcript:scaffold_601105.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MraW methylase family protein [Source:UniProtKB/TrEMBL;Acc:D7M3J7] MAGVIRAKQLFLCSSILSSNNNKVLLRLPRRSINVIAGNLNSSETKKKEKEKRKRRKEIEVAKATAEAVVNKEKRRTRSSREYEIADGDEVPSSHVPVMLGEVLDIFSSVRLRSFVDCTLGAAGHSSSIIQSHSELKHFVGMDVDPVARKLGHFHIDSLMHPTLKASIVLKNFKYIKSVVADTQPELLDVGVDGILMDLGMSSMQVNNPQRGFSVLQEGPLDMRMDPQATLTAEDIVNSWPESELGRVLRDYGEESNWHLLQTRIVKARLNGGLHSTGELVDLIRGMSPAGRGGRQGWIKTATRVFQGLRIAVNDELKTLQNSLYSSFDVLGPGGRLAVISFHSLEDRVVKQTFLNILGFQREEINGEGNSVKPERQIEERVEKELKEKEAWIKQTVIGSKGVILTKRPITPSEEEERLNRRARSAKLRVIQKL >scaffold_601109.1 pep chromosome:v.1.0:6:4356713:4358895:-1 gene:scaffold_601109.1 transcript:scaffold_601109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRKRSSANNRLSSSRSSGKDKVSDARKYGEALVGSRIRVWWPLDRKFYKGVVDSYVSSKKKHRVFYEDGDKETLDLKKERWELIEEDDTESESDEISLQDESADESSEGTPEPSKGKGKPSSKGRTDDVMPRKRRKIDSSSKSKSKEVEKKNSKPETEKNGKMGDIGGKIVAAASRMSGRFRSKGNNNEKETSKSLKKRRTSSKIAKRNGSDDDNDASSEEVKPKAMKSSNSNADEDTENNTTDDEASKSSNSNTDKEEAADAENETSEDEAELKAMKPSNSNAGEKEDDAENGTSADESKAKALENGEEKESAKPRTTSEKTKSNSSDDQNGEEEDAVDETSDEEGKPLVSLLQKTPEAIEAMREKPKGKKEEEEGEENSGEEPEGEEESSSQ >scaffold_601111.1 pep chromosome:v.1.0:6:4368035:4368885:1 gene:scaffold_601111.1 transcript:scaffold_601111.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M3K4] MESSSGKPRSSDSSCIVSASTTLPFPDSPKLNLKNYNRDAEAARDHDQIEGEEGVRLELMLAGYDSSQPQLNQELNLLNCLEAGVVTPSFNGSSSTEQKLFSCNYCQRTFYSSQALGGHQNAHKRERTLAKRGQRMASAAAFGHPYSFAPVPFHGQYSNRSLGIQAHSMSHKLSSYNVFGGEYGQINWSRIPLVQQPAIGKLTSTENHYNHHQMMIAPPLTSTCENIGRFDVGRIPVDLPTSELWQRGEELLKPAEEEQQKNLDLSLKL >scaffold_601113.1 pep chromosome:v.1.0:6:4376241:4376727:1 gene:scaffold_601113.1 transcript:scaffold_601113.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7M3K6] MAGGIGKCSKIRHIVKLRQMLRQWRNKARMSSVRRSVPSDVPSGHVAVYVGSSCRRFVVRATYLNHPILMNHLVKAEEEFGFANQGPLVIPCEESVFEESIRFITRSSRFTCTDDLKKNCHDGIISKLDLLMESRPLLHGVSEKAIIW >scaffold_601114.1 pep chromosome:v.1.0:6:4379077:4380283:-1 gene:scaffold_601114.1 transcript:scaffold_601114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSWLRPTADQNHPPPPPPSQSPSPLSSGDPNLTTCLYQTDHGVFYLTWSRTFLGGHSVNLFLHSQDYYNHSSPLSFSSADLSLSSAVSFHLNLNTLAFWKKRGSRFVSPKIQVFWDLSKAKFDSGSEPRSGFYIAVVVDGEMGLLVGDSVKEAYARAKSAKPPTNPQALLLRKEHVFGARVFTTKARFGGKNREISIDCRVDEDAKLCFSVDSKQVLQIKRLRWKFRGNEKVEIDGVQVQISWDVYNWLFQSKNSGDGGGGGGHAVFMFRFESDPEAEEVCETKRKEEEDEKNRNGIVLWKPKQCGNSFGIKGIVEWRKMRKRFVKSKRSSSSSSISMSSASSACSSSVMEWASSADEAEYGGGGSSGSGSGNGLGFSLLVYAWIK >scaffold_601118.1 pep chromosome:v.1.0:6:4397108:4397389:-1 gene:scaffold_601118.1 transcript:scaffold_601118.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3L1] MTENGRSNPTNDQRLQRNETLTKSRIRSENPKKFSPRQQLPRSPQTKRTKLETKTKGKRIGGPTPALPKPPRAGHALEN >scaffold_601119.1 pep chromosome:v.1.0:6:4397837:4398077:-1 gene:scaffold_601119.1 transcript:scaffold_601119.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3L2] METRKSTTPDSGSSYTNEDYLTNYRELKYLYQQVENKNPNTNSWKRRIRGKRNNHEKNTPRKIPMQ >scaffold_601132.1 pep chromosome:v.1.0:6:4459501:4460266:1 gene:scaffold_601132.1 transcript:scaffold_601132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICSEISLLHVPHWNSAYSVFNDDDDKDSVLLFPELLFSPLSKSLVIKTSDSCDVVSVGEYKVKASLSSTLQSIFDKYGDITSNSKLQSLSTRIYHLETLAEVVIELQSTPLRRLSETRAIEILAIVNDIETAKIRVGWLRSVLEEVLEATRYFDRREMAVMEKKACEHGLLLAKQEMEVSLKKLAEKEKEMNEFRERLMETTGKLGSLEMKRTCLDKRFVFLRSKVEKFQDQSVFNDVL >scaffold_601136.1 pep chromosome:v.1.0:6:4473832:4476875:-1 gene:scaffold_601136.1 transcript:scaffold_601136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDARDNEAYEEELLDYEEEDEKVPDSGSKVNGEAVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPSPGQVSALVLCHTRELAYQICNEFVRFSTYLPDTKVSVFYGGVNIKIHKDLLKNECPHIVVGTPGRVLALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLNEMEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLTRYKSFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVASASDSTVLNQVQERFEVDIKELPEQIDTSTYMPS >scaffold_601141.1 pep chromosome:v.1.0:6:4494361:4494557:1 gene:scaffold_601141.1 transcript:scaffold_601141.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3N4] MDLTPERWTIDARCPNTIYSSSRRLENAIGLLVAISGPIYITKAPKFFIRK >scaffold_601142.1 pep chromosome:v.1.0:6:4494965:4496059:1 gene:scaffold_601142.1 transcript:scaffold_601142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGALSESVIKNIVLSYSYVAIWIFLSFTVIVYNKYILDKKMYNWAFPISLTMIHMSFCSTLAFLIIKVFKFVEPVKMTRETYLRSVVPIGALYALSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKEGFKSDTMMNMLSISFGVAIAAYGEARFDVWGVILQLGAVAFEATRLVLIQILLGDKGIKLNPITSLYYVAPCCLAFLFIPWIYVEFPVLRDTSSFHLDYAIFGANSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGIAFLGVAYYNHAKLQALKAKEEEKKKVQQADEESGRLLEEREGDGEGKKNESGN >scaffold_601144.1 pep chromosome:v.1.0:6:4505587:4506793:-1 gene:scaffold_601144.1 transcript:scaffold_601144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQATSSLAASSLPSSSERSSSSAPHLEIKEGIESDEEIRRVPEFGGEAAGKETTGRESGSATGQERTQTAVGESQRKRGRTPAEKENKRLKRLLRNRVSAQQARERKKAYLSELENRVKDLENKNSELEERLSTLQNENQMLRHILKNTTGNKRGGGGSNADASL >scaffold_601148.1 pep chromosome:v.1.0:6:4512429:4512830:-1 gene:scaffold_601148.1 transcript:scaffold_601148.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3P2] MAFSKRLALLAARSALSAGKPRRFCSSNGRPKDSKLSKSESETKIYKIYPERLPWAEEKLAKIDENSAKMRSATIFFFCSRSCSNV >scaffold_601150.1 pep chromosome:v.1.0:6:4519030:4520993:1 gene:scaffold_601150.1 transcript:scaffold_601150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M3P4] MNSLFTSFRRNLFLNPNPHLNFLLRRLLSSSRRSSPLIPVEPLIQRLQSPAAHDSTPHQITVLDFSKTDLSTISNLLENTNVVPGSSLESALDETGIEPSLQLVQALFDRLSSSPMLLHSVFKWAEMKPGFTLSPSLFDSVINSLCKAREFEIAWSLVFDRVRSDEGSNLVSADTFIVLIRRYARAGMVQQAIRAFEFARSYEPVCKSASELKLLEVLLDALCKEGYVREASVYLERRRGMMDSNWVPSVRIFNILLNGWFRSRKLKQAEKLWEEMKAMNVKPTVVTYGTLIEGYCRMRRVEIAMEILEEMKMAEMELTFMVFNPIIDGLGEAGRLSEALGMMERFFVCESGPTIVTYNSLVKNFCKAGDLPGASKILKMMMTRGVEPTTSTYNHFFKYFSKHNKTEEGMNLYFKLIEAGHSPDRLTYHLILKMLCEDGKLSLAIQVNKEMKNRGIDPDLLTTTMLMHLLCRLDMLEEAFEEFDNAVRRGIIPQYITFKMIDNGLRSKGMTDMAKRLSSLMSSLPHSKKLPNTYREAVDAPPDKDRRKSILHRAEAMSDVLKGCRNPRKLVKMRGSHKKGVREDESLTDDLNERSGDSGDFE >scaffold_601152.1 pep chromosome:v.1.0:6:4532086:4533644:-1 gene:scaffold_601152.1 transcript:scaffold_601152.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monooxygenase family protein [Source:UniProtKB/TrEMBL;Acc:D7M3P6] MGKKKGKAIIVGGSIAGLSCAHSLTLAGWDVLVLEKSSEPPVGSPTGAGLGLDPQARQIIKSWLPRPHLLDEITLPLTIDQNQTTDSEKKETKILTRDEDFDFRAAYWSDVHSLLYKALPQTLFLWGHKFLSFTMSQDESIVKVKTLVIETQETVEIEGDLLVAADGCLSSIRKSFLPDFKLRYSGYCAWRGVFDFSGNENSETVTGIKKVYPDLGKCLYFDLGEQTHTVFYELFNKKLNWIWYVNQPEPDLKSNSVTLKVSQEMINKMHQEAETIWIPELARLMRETKDPFLNVIYDCDPLERIFWGNIVLVGDAAHPTTPHGLRSTNMSILDAEVLGKCLEKCKPDNLSLGLKEYQRIRLPVVSEQVLYARRLGRIKQGLDHDGIGGGGLEQRTMPFFTCAPLV >scaffold_601153.1 pep chromosome:v.1.0:6:4534442:4536332:1 gene:scaffold_601153.1 transcript:scaffold_601153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGREVSVSLDGVRDKNLMQLKKLNTVLFPVRYNDKYYADAIASGEFTKLAYYSDICVGAIACRLEKKEGGAMRVYIMTLGVLAPYRGIGIGSKLLNHVLEMCSKQNMCEIYLHVQTNNEDAIKFYKKFGFEITDTIQNYYINIEPRDCYVVSKSFAQSEANK >scaffold_601154.1 pep chromosome:v.1.0:6:4536813:4541203:1 gene:scaffold_601154.1 transcript:scaffold_601154.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7M3P8] MRRSRFLAQVFSDASFTDVSTTSAPIVAMSTRAPYRGGRGRGRGGRSFSDRPYNNDAGGRDQFVTGDSHFQSVHDANLRFRHGEPYRQPQPPLDQRQQPPFNQNYEFRPPPPPRGQWQQFRQPNHFPSNQNYAAYPPPPFYPNQMSRPPPHQSFRQRPRSKPSDYREWEYAKTPPSPGSEKFVVLSYNILADYLANDHWRSLYFHIPRNMLSWGWRKSKLVFELGLWSADIMCLQEVDKFQDLEEEMKHRGYSAIWKMRTGNAVDGCAIFWRSNRFKLVHEESIQFNQLGLRDNVAQICVLETLLTSHTKENETPPPESSAGSHQVVICNIHVLFNPKRGDFKLGQVRTLLDKAHAVSKLWDDAPIVLCGDFNCTPKSHLYNFISDRKLDLSGLARDKVSGQVSAEFRPPRPENYTTRFQSANKSPQGQVQPPDSITNAHMENNSNIDVGTAPSEKTSELPCGDTILAAHEATSSSDQVLPGENMASDCNFGIENRKPDDSGNLSIAEDLSTVIISDTEPQHASSAREDLNTDHSVSLGLSETEHSPEEICSSDQGISSSLSTKVDNTLAEMKLDGLKLDEPVVFAQDEEVLGEDGETFLAKLHDNNEDLSQKGELVNDFSRKSGSEAINNEKIMYNPSSWTPMEIATATGDPERTTVEHALELKSTYSEVEGQANTRDENGEPVVTSYHRCFMGTVDYIWRSEGLQTVRVLAPIPKQAMQWTPGFPTPKWGSDHIALVSELAFCSSKSSPKG >scaffold_601156.1 pep chromosome:v.1.0:6:4545996:4549129:1 gene:scaffold_601156.1 transcript:scaffold_601156.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate synthase 3 [Source:UniProtKB/TrEMBL;Acc:D7M3Q0] MGSASIGYQIGISARFYGNFNLSSDVTVSSLPCKVDVSIKSLFSAPSSTHKECSNRARVCCSLPNTDEYCDEKFETPILDTIETPLQLKNLSVKELKLLADEIRTELHSVLWKKTQKSMNPSFAAIELTLALHYVFRAPVDNILWDAVEQTYAHKVLTRRWSAIPSRQKNGTSGVTSQLESEYDSFGTGHGCNSTSAGLGLAVARDIKGKRDRVVAVIDNATITAGQAYEAMSNAGYLDSNMIVILNDSRHSLHPNMEEGSKASISALSSIMSKIQSSKIFRKFRELAKSMTKRIGKGMYEWAAKVDEYARGMVGPTGSTLFEELGLYYIGPVDGHNIEDLVCVLREVSSLDSMGPVLVHVITEENRDAETGKNIMVKDRRTYSDCFVEALVMEAEKDRDIVVVHAGMEMDASLITFQERFPDRFFNVGMAEQHAVTFSAGLSSGGLKPFCIIPSAFLQRAYDQVVHDVDRQRKAVRFVITSAGLVGSDGPVQCGAFDIAFMSSLPNMIAMAPADEDELVNMVATAAYVTDRPVCFRFPRGSIVNRNYLVPTGLPIEIGRGRVLVEGQDVALLGYGAMVQNCLHAHSLLSKLGLNVTVADARFCKPLDIKLVRDLCQNHKILITVEEGCVGGFGSHVAQFIALDGQLDGNIKWRPIVLPDGYIEEASPREQLALAGLTGHHIAATALSLLGRTREALLLMS >scaffold_601168.1 pep chromosome:v.1.0:6:4588039:4590248:-1 gene:scaffold_601168.1 transcript:scaffold_601168.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding protein [Source:UniProtKB/TrEMBL;Acc:D7M3R1] MILAQLPRFHLSILAKPSFALSSSHFNFLNPKPSVEVARTLFSFTSKSNLATVEPIPLSVSDSSDLDDAPVEISLDKLFIPPETDISGEDSTSLTARILKGSNIVLSKYARDAQVVQADYVKSSVKTEDCPADGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFRINDKWYLVDLPGYGYASAPHELKQDWNKFTKDYFLNRSTLVSVFLLVDASIPVKQIDLEYASWLGQNQVPMTLIFTKCDKRKKKKNGGKKPEENIKEFQDLIQGFFETTPPWIMTSSVTNQGRDEILLHMAQLRNYWLKH >scaffold_601170.1 pep chromosome:v.1.0:6:4595495:4597968:1 gene:scaffold_601170.1 transcript:scaffold_601170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKARPDAGDYTIFMGLDKFENEELIKYGFPEDVWFHVDKMSSAHVYLRLHRGQSFDDISEGVLEDCAQLVKANSIQGNKVNNVDVVYTPWSNLKKTASMDVGQVGFHNSKMVRTIRVEKRVNEIVNRLNKTKVERTPDLRAEREAVNAAERAERKQHLREKKKREEIDRLEKERQSEMRSYKGLMVTDKMTSNKDIASSNKSLQELEDDFM >scaffold_601177.1 pep chromosome:v.1.0:6:4638201:4660880:-1 gene:scaffold_601177.1 transcript:scaffold_601177.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transport family protein [Source:UniProtKB/TrEMBL;Acc:D7M3S0] MDQEALLVGRTTSKRGIPTIPFILASQALEKLAYFGLVPNMILFLTVEYGMGTAEAANILFLWSAATNFFPLVGAFIADSYTGRFPLIGFGSSISLMGMVLLWLTTLIRPECDKLTNVCQPTTLLKSLLLYSFFALTAIGAGGVRSSCLAFAADQLQPNQTSRVAISALETLFNWYYFSVMLACFLSQSLLVFVQTTYGWKIGFGVSVAAMALSVALFFAASPYYVRFKCESGESRNPWKLCRVQQVEDLKSLINVLPIWSTGIILSLVTACQVSFIVLQAKAMDRHTFIQGFEIPPGSYGIFLVISFVLFLGLYDLVIVPLLSWALRRPFRLGVMARMGAGYVISVMCISTLAAAEYARKKIARDESGTMLSAMWLLPYMILGGIAEALNTIAQNEFFYSELPKSMSSVATTLSSLGMAAASLISSWIITIVDVTTYGSWITENIDEGHLDYYYWLLVGLSVLNVLYFVWCKKSYGKCSI >scaffold_601179.1 pep chromosome:v.1.0:6:4672431:4672896:1 gene:scaffold_601179.1 transcript:scaffold_601179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETEDNNEAFLGEEADGTTKSSAGRRRRPTTERSSSDVPKPKKAKKKQAHRAEVWQHYIEREDLVGVARCRYCSKQIGYDTKLHGTSSMKSHLLRCKFYKVHQDLGTQKVLAGDMRGAITTVPYDKALFRRSVNELLVL >scaffold_601185.1 pep chromosome:v.1.0:6:4697997:4698415:1 gene:scaffold_601185.1 transcript:scaffold_601185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCRSLSKPALSVFRSAMNKPSLRPKSASSFLGVPPSPGLSRPIAQLGSLQSLLPLYSAVASARLTSCLGIDSQNSRSLAQGMLCSANPGV >scaffold_601188.1 pep chromosome:v.1.0:6:4707374:4707898:-1 gene:scaffold_601188.1 transcript:scaffold_601188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITKNPITFFLVIILTISLSNYNVLAATGPPKCFGKCAIGYYEDHECISDCIERGYHYGGCVPNERSGKCCCTRA >scaffold_601189.1 pep chromosome:v.1.0:6:4715891:4716783:-1 gene:scaffold_601189.1 transcript:scaffold_601189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHGNRYMPMMELGVSSNMFDIKKNALKKLSKQQSAYRIKLLSSYKEMVPSHLYSSLALRKIQVMLEFVVASLYLIFGMSLHLVRPKNKSSIVDSHVWSLRFGTCHIDMITKKMAEEFVEMFIREVMIKGLLIMELISLSTEVPQPINNSWLDELYHGEFITSHQMFFLFHGSS >scaffold_601191.1 pep chromosome:v.1.0:6:4724472:4725638:1 gene:scaffold_601191.1 transcript:scaffold_601191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNPQLLPNGIPIPFVNEMFVLVRDGVEFEVDKIPGGHGGHVKAKGVIYLSNIRMVFVASKPVDNFVAFDMPLLYIHAEKFNQPIFHCNNISGQVEPVVPENEHRALYSTHSFKILFKEGGCGTFVPLFLNLISSVRQYNRQMQQAAEAAAAAPRVDPLQAAQTPVEEMMRHAYVDPNDPTRIYLQQPSGESQLRRRAYHSGAAEH >scaffold_601192.1 pep chromosome:v.1.0:6:4726642:4727078:1 gene:scaffold_601192.1 transcript:scaffold_601192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQKKSEEHGLYHIVNSTGGAFGAGAVGGSVYHFIKGSYNSPIGARFVGGTQAVTMNAPRLGGSFAAFGGLFSTFDYAMLCIRKKEDPWNSIVAGAATGGLLAMRRGIVAASTSAVMVGVLFALIKGPAL >scaffold_601193.1 pep chromosome:v.1.0:6:4727936:4736178:-1 gene:scaffold_601193.1 transcript:scaffold_601193.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein [Source:UniProtKB/TrEMBL;Acc:D7M4B5] MARFQFCCVFIVVALAVLAVNPSSSYRFTIVEPNSGFDSDLDSDSESLLFHQDYSPPAPPPPPPHGPSVSCSEDLGGVGFLDTTCKIVADLNLTHDVYIAGKGNFIILPGVRFHCPIPGCSIAINVSGNFSLGAKSTIVAGTLELSAGNASFANGSAVNTTGLAGNPPPQTSGTPQGIDGAGGGHGGRGACCLTDTRKLPEDVWGGDAYSWSTLQRPWSYGSKGGSTSREIDYGGGGGGKVKMDILQLLDVNGSLLANGGNGGAKGGGGAGGSIYIKAYKMTGIGKISACGGSGYGGGGGGRVSVDIFSRHDDPKIFVHGGYSIGCPDNSGAAGTLYDAVPRSLFVSNYNMTTDTYTLLLEFPFQPLWTNVYIQDKARATCPLLWSRVQVQGQISLLCGGVLSFGLAHFGTSVFELLAEELLMSDSTIKVYGALRMTVKMFLMWNSELHIDGGGGDTTVSTSMLEASNLFVLRGSSVIRSNANLGVHGQGFLNLTGPGDSIEAQRLVLSLFYRIYVGPGSLLRAPLLNASRDAVTPKLYCERQDCPYELLNPPEDCNVNSSLSFTLQDILVEGFIKGSVVHFHRAKTVTLEPSGEISASGMGCRGGVGEGKLLGNGFGSGGGHGGKGGRVCYNNTCVEGGITYGNANLPCELGSGSGDFSPGYSSAGGGIVVIGSMEQPLSGLSLEGSIRVDGESVKRLSRDENGSIVAPGGGSGGTVLLFLRYLILGESSLLSSGGGSGSPGGGGGGGGGRIHFHWSNIPTGDIYQPIASVKGIIHARGGAAADDGFYGKNGTITGTACPKGLHGIFCKECPSGTFKNVTGSDPSLCRPCPVDELPTRAVYVTVRGGVSETPCPYRCISERYHMPHCYTALEELIYTFGGPWLFGLLLMGLLILLALVLSVARMKFVGVDDLPGPAPTQHGSQIDHSFPFLESLNEVLETNRAEQSQSHVHRMYFMGPNTFSEPWHLSHIPPEEIKEIVYEAAFNTFVDEINSIAAYQWWEGAIYSILSVVAYPLAWSWQQWRRKMKLQKLREFVRSEYDHSCLRSCRSRALYEGLKVAATSDLMLAYLDFFLGGDEKRTDLPPRLHQRLPMPILFGGDGSYMAPFSLQNDNILTSLMSQLGSPTTWYRLVAGVNAQLRLVRRGRLRSTFHSVLKWLETHANPALETHGIRVDLAWFQTTACGYCQYGLLIHTVEDCEPTSPQCVNETAWTEIQPRKNYGGIIDLDSLPSLKEKRDMFFLLSFLVHNTKPVGHQDMVGLVISMILLGDFSLVLLTLLQLYSISLLDVLLALFILPLGLLLPFPAGINALFSHGPRRSAGLARVYALWNFMSLVNVFVAFLCGYVHYHSESSASKKIPFQPWNINMGESEWWIFPAGLVVCKIMQSQLINRHVANLEIQDRSLYSKDYELFWQS >scaffold_601194.1 pep chromosome:v.1.0:6:4741450:4742355:1 gene:scaffold_601194.1 transcript:scaffold_601194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATDCPNKFKSIGDKFAQLLFSCRVGRCIGCDHLELSVPGDDEANRGRGTTGDGGGGTAVDEDYEVGGSKESKDDKFIDSPESKMSYGKEAHDELLNMVEGKCLKMLVYTEDRYGRRVGDIYCNGKFVQEVMLKKGLVWHYVAYDKCICMSPY >scaffold_601196.1 pep chromosome:v.1.0:6:4748461:4751696:1 gene:scaffold_601196.1 transcript:scaffold_601196.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucosidase 1 [Source:UniProtKB/TrEMBL;Acc:D7M4B8] MSSLHWFPNFFIVFVVFFSSHGSGSSQVVLEEEEATVVGYGYVVRSVAVDSNRQVLTAKLDLIKPSSVYAPDIKSLSLHVSLETSERLRIRITDSSQQRWEIPETVIPRAGNHSPRRFLTEEDGGNSSENNFLADPSSDLVFTLHNTTPFGFSVSRRSSGDILFDTSPDQSDSNTYFVFKDQFLQLSSALPENRSNLYGLGEHTKRSFKLISGDTMTLWNADIGSENPDVNLYGSHPFYMDVRGSNGHEEAGTTHGVLLLNSNGMDVKYEGHRITYNVIGGVIDLYVFTGPSPEMVMNQYTELIGRPAPMPYWSFGFHQCRYGYKNVSDLESVVDGYAKAGIPLEVMWTDIDYMDGYKDFTLDPVNFPEDKMKSFVDTLHKSGQKYVLILDPGIGVDSSYGTYNRGMEVDVFIKRNGEPYLGEVWPGKVYFPDFLNPAAATFWSNEIKMFQEILPLDGLWIDMNEISNFITSPLSSGSSLDDPPYKINNSGDKRPINNKTVPATSIHFGNISEYDVHNLYGLLEAKATHQAVVDITGKRPFILSRSTFVSSGKYTAHWTGDNAAKWEDLAYSIPGILNFGLFGIPMVGADICGFSHDTTEELCRRWIQLGAFYPFARDHSSLGTARQELYLWDSVASSARKVLGLRMRLLPHLYTLMYEAHISGNPIARPLFFSFPRDTKTYEIDSQFLIGKNIMVSPALKQGTVAVDAYFPAGNWFDVFNYSFAVGGDSGKHVRLDTPADHVNVHVREGSIVAMQGEALTTRDARKTPYQLLVVASRLENISGELFLDEGENVRMGAGGGNRDWTLVKFRCFVTGKSVVLRSEVVNPEYASKMKWSIEKVTFVGFENVESVKTYEVRTSERLRSPRISLIKTVLDNDDPRFLSVEVSKLSLLVGKKFEMRLRLT >scaffold_601197.1 pep chromosome:v.1.0:6:4752815:4754337:1 gene:scaffold_601197.1 transcript:scaffold_601197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRIVQLEEGKENGIPIVRSGQYKGFPSKLLLLLGFFLAFSVTVFIISVSTIKFSGIQSVVTTVTSSFVPCREEEPNSLSKWIQPPAVLMHNMSDEELLWRASFWPRRKEYPFKRVPKIAFMFLTKGPLPLALLWERFLKGHKGLYSVYLHPHPSFTAKFPSSSVFYRRQIPSQVAEWGRMSMCDAEKRLLANALLDISNEWFVLVSESCIPLFNFTTIYSYLSRSKHSFMGAFDDPGPFGRGRYNGNMEPEVPLSKWRKGSQWFEVDRDLAATIVKDTLYYPKFKEFCRPACYVDEHYFPTMLTIEKPTVLANRSLTWVDWSRGGPHPATFGRSDITEKFFERIFDGRNCSYNGGNTSMCYLFARKFAPSTLEPLLHIAPKVLGF >scaffold_601198.1 pep chromosome:v.1.0:6:4756164:4756361:1 gene:scaffold_601198.1 transcript:scaffold_601198.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4C0] MVPTPNKYKSAHLGRFRHGTAFAVTVKKNRQLIKFSTRQHTRPLFLFSSES >scaffold_601203.1 pep chromosome:v.1.0:6:4763406:4764894:1 gene:scaffold_601203.1 transcript:scaffold_601203.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 family protein [Source:UniProtKB/TrEMBL;Acc:D7M4C4] MQSIRGSTRLLQRHRFSISNTLRFTSSSTSCGSWSENESRRLGFDSFPCTRAATYLISSLKNVGFSSSAIPKQNFTYSSRCFSTTGDSVQGSPESDCVVPQRIKFKRLDKTAKHIMQIVDKEAVEEVRTLREIPEIKPGYIVQLKVEVPENKRRVSIVKGVVIARRNAGLNSTFRIRRLVAGVGVESMFPLYSPNLREIKVVDKKKVRRAKLYYLRDKVNALKKH >scaffold_601204.1 pep chromosome:v.1.0:6:4765705:4766188:1 gene:scaffold_601204.1 transcript:scaffold_601204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKKKKKTRSGYLKKSSQGGDKPEIQPRKLVPKPGKFGKEIDDIFTGVYQRTPEVQVPESREVRAEIAKKKRKRNELNVGVNNTTESRPRMRRPKMRTLNNNNLESQPRKRTPEGFRVFKEEEIGFNRTDAGGTRLCPFDCNCCF >scaffold_601213.1 pep chromosome:v.1.0:6:4791463:4792660:-1 gene:scaffold_601213.1 transcript:scaffold_601213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVVKNKDNAQMREDESSCYIPQDLIEEILANLPSKSVAKLIVVSKLWSSIIRSNYFIDLYLKRSVTRPCFLFTFRRDNGRFFHSISQEAAPSCSSTSSFPLSLDTPPLLLGYNVCTPVRGLICSQDLDKLVISNPSTGQFLVLPNLETKRRRVSFFGYDPIEDEYKVLCMTVLQLSYNSGPVVSEEHQVFTLGGAQKKKAATWRTIACKLPHFPATKGLCFNGVVYYGAWSNSDRKGSLIVAFNVRLEDFTLVKLPIGVEIYMSRDSELVNYQRKVALANHSYKGKFELWVLEDVDNQEWSKISVVVPSWNDLVGRSLFYCRGAISSGELIFTPSFSDGSFFIIISYDSKEEIVRRVGIEGIGDGSNYVRSFLDYVECPIFL >scaffold_601214.1 pep chromosome:v.1.0:6:4793496:4798034:-1 gene:scaffold_601214.1 transcript:scaffold_601214.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M4D4] MSKMKHLLRKLHIGGSSGVGGGFADHHRLDDSTRPMIDPSPIPSTSPSPASTSSVSSSGFGNASATMPRLDTFEPVGRDLAAVDGVDFNLMEEEYQVQLAMAISVSDPDPRENADTAQLDAAKRISLGVSAPVTDADSAVDFLSLRYWGHKVINYDQKVRDGFYDVYGITSNSISQGKMPLLVDLQAISISDNVDYEVILVNRLIDPELQELERRASALALECADFAPGQVSSDLTQKIANIVVEQMGGPVENADEALRRWMLRSYELRNSLNTTILPLGRVNVGLARHRALLFKVLADRINLPCMLVKGSYYTGTDDGAVNLIKLDDKSEYIIDLMGAPGALIPSEVPSSFLPVSCTDTRVFPEDLDSLQHSSPVLDKEIEKPAFSVSGEADSRSGVGANFFTGNHEENSDRYAVEKHQTERFEHDFGKLMQSQQISGESLPPFSGKPTCAQKVKVKNVSKYVISAAKNPEFAQKLHAVLLESGASPPPDLFMDINPHNLRGKNLLQELRQESSNSMVSGIPCYPEKVADPLGAQLRESERNPIAESYQQSVEVDLSMKRTFDVDNTGKASPSENMEVGTADEEPAACDSHDQGINPLLGEAAKWEIMWEDLQIGERIGIGSYGEVYRAEWNGTEVAVKKFLDQDFSGDALTQFKSEIEIMLRLRHPNVVLFMGAVTRPPNFSILTEFLPRGSLYRLLHRPNHQLDEKRRMRMALDVAKGMNYLHTSHPTVVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHHTYLSSKSTAGTPEWMAPEVLRNEPANEKCDVYSFGVILWELATSRIPWKGLNPMQVVGAVGFQNRRLEIPDDIDPTVAQIIRECWQTEPHLRPSFTQLMRSLKRLQGLNISNRSKTSESLM >scaffold_601220.1 pep chromosome:v.1.0:6:4817899:4819586:-1 gene:scaffold_601220.1 transcript:scaffold_601220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7M4E0] MSETRRNQSSHERIDNSEIQQRRLVIENSHGEKLVGVLHDTGSIETVVICHGFRSSKDRIPMLTIASFFERAMISSFRFDFAGNGESQGSFQYGNYRREVEDLRSVLQHLRGVNREISAIIGHSKGGNVVLLYAAKYKDVQTVVNISGRFFLERGIEGRLGKDYFKRIKENGFIDVSNRKGKFEYRVTEESLMDRLTTNAHEACLSIHENCRVLTVHGSNDRIVHVTEASEFAKHIKNHKLCLIEGADHEFTSHQHQLASIVLSFFKLDPKKDDESGDISTSNQDSMRSVVPITSRI >scaffold_601221.1 pep chromosome:v.1.0:6:4821085:4823895:1 gene:scaffold_601221.1 transcript:scaffold_601221.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-, and 1-fructan exohydrolase [Source:UniProtKB/TrEMBL;Acc:D7M4E1] MAEAMAQNLLQDNQLNRTSFHFQPQRNWLNDPNAPMYYKGFYHLFYQHNPLAPDFSKIMIWGHSVSQDMVNWIQLEPALSPSEPFDINSCWSGSATILPDGRPVILYTGLDDNNKQQVTVVAEPKDVSDPLLREWVKPKYNPVMVPPSNVPFNCFRDPTTAWQGQDGKWRVLIGAKEKDTEKGMAILYRSDDFVQWTKYTVPLLESEGTGMWECPDFFPVSVTGKEGVDTSVNNATVRHVVKASFGGNDCYVIGKYSSENEEFSADYEFTNTSADLRYDYGKFYASKAFFDSVKNRRINWGWVIETDSKEDDFKKGWAGLMSLPREMWLDTNGKKLIQWPIEEINNLRTKSVSLDCYEFETGSTFEISGITAAQADVEVTFNLPFLDDYPEILDADQVDDATLFDHDNSDGCVYGPFGLLALATNDLSEQTAIFFKVIRRGNGYAVVMGSSEKRSSLRDNIKKSSHGTFLDIDPRHEKISLRCLIDHSIIESYGAGGKSVITSRVYPKLAIGEAAKLYVFNDGEKGVIMTSLEAWSMRNAQINSNPTY >scaffold_601224.1 pep chromosome:v.1.0:6:4844729:4846755:-1 gene:scaffold_601224.1 transcript:scaffold_601224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRDSECHGTHVASTAGGAFVANVSNKWFGVGAARGGAPSARIAVYKVCWQNQNSCAAMDIIKAMDDAIEDGVDVMSLSLGRSVPILPESNEHNAISYGAFHAISKGIPVICAGGNDGPQAYTVSNVPPWVITVSATTLDRSFPTPLVLGNNITILARNQYKGHEFQADLIYVVSYNQITSAAKGKAVLAFLTESEYFVGEFVDRALIAGLSALIISSKSIDVIGYDKRELVLFMIDYEEGTTMMKYIGSNSLPTIKISTEIRLTGPLVATQVAEFSSRGPNSLSPYILKPDIAAPGVDILAASIPFIEGAENGFIALSGTSMSAPVVTGVVALLKAVHSDWSPAAIHSALVTTASKTDPYGEPIFTEGDSRKLADPFDYGGGLGNPTKAADPGLVYDAYAEDYMGYLCAAGYEEASIGKMAKKSMMYHCPSPRPSMLALNLPSITIPFLNADVTVTRTVTNVGPVDSIYRVIIQAPLGVEITVTPTLLVFNCFVKKLSFEVTVSTTHQSNSIYYFGSITWTDGYHVVSIPLSVRKQSPMYFH >scaffold_601226.1 pep chromosome:v.1.0:6:4858712:4859132:1 gene:scaffold_601226.1 transcript:scaffold_601226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETTEFRSPRDSDGHGTHTASISAGHYVFPASTLGYARGIAAGMAPKARLAAYKVCWNSGCYDSDILAAFDTTVADGVDVISLSVGGVVVPYYLDAIAIGAFRAIDRGIFVSASAGNGGTACLRW >scaffold_601227.1 pep chromosome:v.1.0:6:4860635:4863223:-1 gene:scaffold_601227.1 transcript:scaffold_601227.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:D7M4E7] MWESICLTLAATAGNNIGKVLQKKGTIILPPLSLKLKVIRAYAVNKPWALGFLMDIVGALLMLRALSLAPVSVVQPVSGCGLAILSVFSHFYLKEVMNVFDWIGITVAGIGTIGVGAGGEEQEASLISVFQLLWLALVVAILFVLLNAWLHIFKRQRREQELGEYEVVEEIIYGLESGILFGMASVVSKMGFVFVEQGFSAMFIPMCISISICCSGTGFFYQTRGLKHGRAIVVSTCAAVASIVTGVVAGMFALGEKLPTSPSGRLLLLLGWLLIMLGVVLLVTSSRLIRHLPRSFRRSRQTSLERGFNIRRTPSHTPKDTNPSAVIQAATLHHLLSSPSKDKD >scaffold_601230.1 pep chromosome:v.1.0:6:4878368:4881044:-1 gene:scaffold_601230.1 transcript:scaffold_601230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase [Source:UniProtKB/TrEMBL;Acc:D7M4F1] MARPHPSDDGHTLVNSTVVAIDKDKNSHYAVRWAVDHLFNMVNNAKMILVHVRLKNSNHGGNIDDNELNQLFVPYRGYCARKGISMIEVILDDTDVAKAVLDYVNNNLVTNLVLGSSSKSPFARSLKFTKSHDVASSVLKSTPEFCSIYVISKGKVHSSRTAQRPITNTLVPPRAPSSTFHLPDPDHVHSPRGQRNARNTVPERYSHDNKGFKPVREMHKIPTNGSLDFNYEFRQAKGQRNSTGRSSFSDDADVGSMMMMGSIDLSAENFDMVGASGSSDESVSQSTRDIEAEMKRLKIELKQTMDMYSSACKEALNAKKKANELNQWKLEEARRFEEARNAEEAALAVAEMEKAKCRAALEAAEKAQRMAELEGQRRKQAEMKARRESQEKDRALSALVQNDVRYRRYSIDEIEVATERFANNRKIGEGGYGPVYHGTLDHTPVAIKVLRPDAAQGKKQFQQEVEVLSSIRHPHMVLLLGACPEYGCLVYEFMDNGSLEDRLFRRGNSPPLSWRKRFQIAAEIATALSFLHQAKPEPLVHRDLKPANILLDKNYVSKISDVGLARLVPASVANTVTQYHMTSAAGTFCYIDPEYQQTGKLTTKSDIFSLGIMLLQIITAKSPMGLAHHVSRAIDKGTFKDMLDPVVPDWPVEEALNFAKLCLKCAELRKRDRPDLGKEIVPELLRLRSLGKDNEPGCHK >scaffold_601237.1 pep chromosome:v.1.0:6:4905222:4905726:-1 gene:scaffold_601237.1 transcript:scaffold_601237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIILKTQVHVVVISLLIQIAFSSQAKNDFDLNWSTIKSMVRITNRLGDGSTLNLHCKSSDDDLGLQILAPNGSWSFKFRPNIIFGVTLFSCHFTWPGQSKWFNIYDDDRDGVRKGIPCIYCIWDISKNGPCRFSERDDAFNICYDWNGNRRS >scaffold_601241.1 pep chromosome:v.1.0:6:4917061:4918422:1 gene:scaffold_601241.1 transcript:scaffold_601241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFSDLHTEQGLKTLEEHLAGKTYISGDQLSLDDVKVYAAVLEKPGDGFPNASKWYDSVASHLAKSFPGKAVGVRVGSGVAPSEAPQTEAPAAAGADGDVDDDDDDDIDLFADETEDEKKAAEEREAAKKDTKKTKESGKSSVLLDVKPWDDETDMKKLEEAVRSVQMPGLTWGASKLVPVGYGIKKLTIMMTIVDDLVSVDNLIEDHLTSEPNNEYIQSVDIVAFNKI >scaffold_601243.1 pep chromosome:v.1.0:6:4922609:4925247:1 gene:scaffold_601243.1 transcript:scaffold_601243.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE149 [Source:UniProtKB/TrEMBL;Acc:D7M4G2] MSLASVIHHGIFAPAKSDRIFLTIPVVPPDFRARGWTESRFSLVSAANRRLSHLPPIACSREIDQEDDKESRELLPHKNDENASSSSSVDSGGLNDYQQEETYKTSFKTVALCVGTAVAFGIGIGLKEGVGKASEFFAGYILEQSLSVDNLFVFVLVFKYFKVPPMYQNKVLTYGIAGAIVFRFTLILLGTATLQKFEAVNLLLAAVLLYSSFKLFASEEDDTDLSDNFIVKTCQRFIPVTSSYDGNRFFTKHDGILKATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVLTSNLFAILGLRSLYTLISEGMDELEYLQPSIAVVLGFIGFKMILDFFGFHVSTEASLGVVALSLGTGVVLSLTNKSSDS >scaffold_601246.1 pep chromosome:v.1.0:6:4933495:4934195:1 gene:scaffold_601246.1 transcript:scaffold_601246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGDRGKKEVKDQAHQVSKKKNNNQFCRSLALVLIGDFVLLNVRMLVWFVVRAGFSGFRESADDNILQLISQAKGVDQKMVNDICLRLVLLQQVSLLVSSRMKF >scaffold_601248.1 pep chromosome:v.1.0:6:4938922:4940107:-1 gene:scaffold_601248.1 transcript:scaffold_601248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENQDWSNHCADLLRPIIESLSTIDYHRAKKVCSDWYSVWKTCVKRPLYPWQFIYHDDSSSLFDPGEDKIYNTKLVGISDKSYYMASFGNCLLMVDSLLHFYIVNMLTCERINLPSMETSIRGGHVRFKRSGDEWGYFVDFYRKDRVSKDIFGSERSAVLWINERTGDYFVAWIFNKLELFTHKKGDDYWWNWYTRGANLGFLDLAYKNSKLYLYISDDHIKIVDFSGDCPKEEVEKNPYWDHPFDYVSKQWEYVWKTRIVIQKTGEVFIILSLLEKLLKETHLFYIFKMNFESNKWERVDSIGDDEMLIFGHGVTLRAPVQEVGDGIKSGSICFVEDDLFWLNISLPSNCGVFELATSRIKWFKRTQWKTQWFVVGFA >scaffold_601250.1 pep chromosome:v.1.0:6:4943643:4944061:-1 gene:scaffold_601250.1 transcript:scaffold_601250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M4G9] MTTISLRKSNTRLPPEVNRVLYVRNLPFNITSEEMYDIFGKYGAIRQIRIGCDKATKGTAFVVYEDIYDAKNAVDHLSGFNVANRYLIVLYYQHAKMSKKFDQKKSEDEITKLQEKYGVSTKDK >scaffold_601256.1 pep chromosome:v.1.0:6:4964863:4965214:1 gene:scaffold_601256.1 transcript:scaffold_601256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYYSRRNSRKHITTVALIILLLLLFLFLYAKASSSSSHNIHHHSTHGSLKKPGIFDPKLHDLDSNAASRGSKYTNYEGGGEDVFEDGKRRVFTGPNPLHNR >scaffold_601257.1 pep chromosome:v.1.0:6:4966222:4968267:-1 gene:scaffold_601257.1 transcript:scaffold_601257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQEFRSLLDLFPVVRSRDHRLSEWHDAPTVAEPKDLQYRNTDQDKFWEKLKAAAEKKVGEVEAERFCKAFEKLHKKLVYEELDPEAAKRYLLNS >scaffold_601267.1 pep chromosome:v.1.0:6:5010588:5011290:-1 gene:scaffold_601267.1 transcript:scaffold_601267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLINSCFPIIRRRQGIVTLFFFEHGSTKTLCGFKKHVAGEIMFEFPDQIVCHADSFFIGRQIPALAMDDYLIPGQTYFVLPIERFAYRILTTSCLSIFNSNLENVRSNTPPLNFTAPSSPPPFEYTQGPNGEILIKVSPEFIISLICKNRNIREEEATSCGENSTICNSPELKKQYDQLVGTREHMWSPNLQTISEHKIRVSPLRFFGLNRRQEEVN >scaffold_601268.1 pep chromosome:v.1.0:6:5013152:5017995:1 gene:scaffold_601268.1 transcript:scaffold_601268.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase binding protein [Source:UniProtKB/TrEMBL;Acc:D7M4I7] MSRNGRMASDLSRAGPVERDIEQAIIALKKGAYLLKYGRRGKPKFCPFRLSNDETVLIWFSGNEEKHLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYSERSLDVICKDKDEAEVWFTGLKALISHCHQRNRRTESRSDGTPSEANSPRTYTRRSSPLHSPFSSNDSLQKDGSNHLRIHSPFESPPKNGLDKAFSDMALYAVPPKGFYPSDSATISVHSGGSDSMHGHMRGMGIDAFRVSMSSAVSSSSHGSGHDDGDALGDVFIWGEGIGEGVLGGGNRRVGSSFDMKMDSLLPKALESTIVLDVQNIACGGQHAVLVTKQGESFSWGEESEGRLGHGVDSNIQQPKLIDALNTTNIELVACGEFHSCAVTLSGDLYTWGKGDFGVLGHGNEVSHWVPKRVNFLLEGIHVSSIACGPYHTAVVTSAGQLFTFGDGTFGVLGHGDKKSVFIPREVDSLKGLRTVRAACGVWHTAAVVEVMVGSSSSSNCSSGKLFTWGDGDKGRLGHGNKEPKLVPTCVAALVEPNFCQVACGHSLTVALTTSGHVYTMGSPVYGQLGNSHADGKLPNRVEGKLHKSFVEEIACGAYHVAVLTSRTEVYTWGKGSNGRLGHGDVDDRNSPTLVESLKDKQVKSIACGTNFTAAVCIHRWASGMDQSMCSGCRQPFSFKRKRHNCYNCGLVFCHSCTSKKSLKACMAPNPNKPYRVCDKCFNKLKKTMETDPSSHSSLSRRGSINQGSDPIDKDDKFDSRSDGQLARFSLMESMRQVDSRYKKNKKYEFNSSRVSPIPSGSSQRGALNIAKSFNPVFGASKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLSGLATPKFVVDDTKRTNDNLSQEVVKLRSQVESLTRKAQLQEVELERTAKQLKEALAIANEETTRCKAAKEVIKSLTAQLKDMAERLPVGSARTVKSPPSLNSFGSSPGRIDPFNILNQPNSQESEPNGISTPMFSNGTMTPAFGNGEATNEAHNEKEWVEQDEPGVYITLTALAGGARDLKRVRFSRKRFSEKQAEQWWADNRGRVYEQYNVRMVDKASEDLPH >scaffold_601285.1 pep chromosome:v.1.0:6:5113072:5115468:-1 gene:scaffold_601285.1 transcript:scaffold_601285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASCFFCVPTPNTSISESNLTWPHLASFPRLSSSSSFNGVISAKSISFNRRVPITPVLSASIDNGGSDNNGGGLGGGGGGDGGKNDGDGHGDEDRDRNRNEAMLLLKESGTGLESLPKDLAAAIESGRIPGSVITRFLELQKSAVMRWLMQFGGFRERLLADDLFMAKLAMECGVGIFTKTAAEYERRRENFFNELEVVFADVAMAIIADFMLVYLPAPTVSLRPPLALTAGGISKFFHNCPDNAFQVALSGTSYTLLQRLGAITRNGAKLFAVGTTSSLVGTAITNAFIKARRAVDQTSESEVETVPIVSTSVAYGVYMAVSSNLRYQIVAGVIEQRLLEPMLHQHKLALSALCFAVRTGNTFLGSLLWVDYARLIGIQKSH >scaffold_601289.1 pep chromosome:v.1.0:6:5127718:5129920:-1 gene:scaffold_601289.1 transcript:scaffold_601289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALSGSCSLAFPLKSRSLSLPRPPSSSFNLTKPLRSLDSRFSLLKSPLPAASLNRRSSTLVKASSAAASPSPPVAPAPVPWQGAAIKPLIASIATGLILWFVPVPEGVTRNAWQLLAIFLATIVGIITQPLPLGAVALLGLGASVLTKTLTFTAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRVAYQFVRLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNVGDGTEHRLGSWLMLTCFQTSVISSSMFLTAMAANPLSANLAFNTIKQTIGWTDWAKAAIVPGLVSLIVVPFLLYLIYPPTVKSSPDAPKLAQEKLDKMGPMSKNELIMAATLFLTVGLWIFGAKLSVDAVTAAILGLSVLLVTGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGLIEWFSQTVVKFVGGLGLSWQLSFGILVLLYFYTHYFFASGAAHIGAMFTAFLSVSTALGTPPYFAALVLAFLSNLMGGLTHYGIGSAPIFYGANYVPLAKWWGYGFLISIVNILIWLGVGGAWWKFIGLW >scaffold_601290.1 pep chromosome:v.1.0:6:5131118:5131332:1 gene:scaffold_601290.1 transcript:scaffold_601290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M537] MEFRLIDLVNTNGINVQFLAMISHRNRFSTAKADVGFLSMTHPARLWFHPLDNTWLG >scaffold_601291.1 pep chromosome:v.1.0:6:5131820:5133885:-1 gene:scaffold_601291.1 transcript:scaffold_601291.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB46 [Source:UniProtKB/TrEMBL;Acc:D7M538] MRKPEVAIAASTHQVKKMKKGLWSPEEDSKLMQYMLSNGQGCWSDVAKNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEDLIIRFHSILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKKMSDTSNLINNSSSSPNTTSDTSSNSASSLDLKDIIGSFMSLQEQGFVNPSLTHIPSNNPFPAANMTSHPCNDDFTPYVDGIYGVNAGVQGDLYFPPLECEEGDWYNANINNHLDELNTNGSGNAPDSMRPVEEFWDLDQLMNTEVPSFYFNFKQSI >scaffold_601293.1 pep chromosome:v.1.0:6:5138729:5140240:-1 gene:scaffold_601293.1 transcript:scaffold_601293.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M540] MADAKPRNLRIVMFPFMAQGHIIPFVALALRLEKMMMNRANKTIISMINTPLNIPKIRSNLPPDSSISLIELPFNSSDHGLPHDAENFDSLPYSLVISLLEASRSLREPFRDLMKKILKEEDDEQSSVIVIGDFFLGWIGKVCKEIGVYSVIFSASGAFGLGCYRSIWLNLPHKETNQDQFLLDDFPEAGEIEKTQLNSFMLEADGTDDWSVFMKKTIPGWSDFDGFLFNTVAEIDQIGLSYFRRITGVPVWPVGPVLNSPDKKVGSRSTEEAVKAWLDSKPDHSVVYVCFGSMNSILQTHMLELAMALESSEKNFIWVVRPPIGVEVKTEFDVKEYLPEGFEERITRSERGLIVKKWAPQVDILSHKATCVFLSHCGWNSILESLSHGVPLLGWPMAAEQFFNSILMEKHIGVSVEVARGKRCDIKCDEIVSKIKLVMEETEVGKEIRKKAKEVKELVRRAMEDGVNGSSVIGLEEFLGQAMVKKEEN >scaffold_601295.1 pep chromosome:v.1.0:6:5146235:5146670:1 gene:scaffold_601295.1 transcript:scaffold_601295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKQTARKSTGGKAPHFAMRVRQHSTPLLKKPYRYKPGTVALREIRKYQKTTDLVIRKLPFQRLVKEIAQSLKADLRFQTGAVSALQEAAEAFMVGMFEDTNLCALHAKRSTIMPKDIQLAKRLRGDRV >scaffold_601298.1 pep chromosome:v.1.0:6:5153313:5155496:-1 gene:scaffold_601298.1 transcript:scaffold_601298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPGSDPDPGSYPIPPASVHLAPFFSSLVPPLSAHFTRRCQPLQSSRPLAYISLQGLLVNFDEASSARSIGGGLSREEALAWELFTPYQRFLIVAVIGVAAAESKKNGLIRQLQKSVDLRDQVLSSMQQKLDDLCQELHLVKDQSGTLSKVLDHDDLRSTPKEKFGSEKITFVDCGCWLCDQHHHSSPAIQDKAPTNLVVDAEPEERRISYMSDWCSSVTSAAETHFDSLSLDQDMLSLRKECQEKDATIKDLTSFLQLTNKAGSKRETELEDIIRRKKTIIKKLKRDVLVLEEKVTQLTRLRRSSYSPAVSNTHEFPMRMDNLLYDMDVLTATSSSDSEATVDTPRRAVLEAPVDSIKEELSAVGQTHKSAPAKSSTSLVKSVKPPSVVSPSTTRKPVSVSSSSSSSRMRRGSSTGDSRKPRRPIQTTPRDSSGSHKRWV >scaffold_601299.1 pep chromosome:v.1.0:6:5159341:5160497:1 gene:scaffold_601299.1 transcript:scaffold_601299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKSVVILLSNVFVLLLAATVVQSCLPSDRAALLEFRAKLNEPYIGVFNTWKGQDCCNGWYGVSCDPNTHRVAGITLRGESEEPIFQKAKRSGLMTGSISPSICKLTRLSGIIIADWKGISGGIPSCIENLPFLRHLDLVGNKISGVIPANIGKLLRLKVLNLADNHLYGVIPPSITRLVSLSHLDLRNNNISGVIPRDIGRLKMVSRVLLSGNKISGQIPESLTRIYRLADLELSMNRITGPIPGSFGKMSVLATFNLGGNLISGEIPGSLMASSISNLNLSGNLITGRIPNTFGPRSYFTVLDLANNRLQGPIPTSIAAASFIGHLDVSHNHLCGKIPTGSPFDHLDATSFAYNTCLCGKPLGNCKK >scaffold_601300.1 pep chromosome:v.1.0:6:5163719:5164615:-1 gene:scaffold_601300.1 transcript:scaffold_601300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQPKRLIQPRDDDRAGTQKLVTIEEHHVAQGLSIVGYFHANERFDDVELCGVAKNIGDLISRYFPQAPILLVIKCLIFYCYLL >scaffold_601301.1 pep chromosome:v.1.0:6:5171817:5172007:-1 gene:scaffold_601301.1 transcript:scaffold_601301.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCU5] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_601302.1 pep chromosome:v.1.0:6:5175907:5179765:1 gene:scaffold_601302.1 transcript:scaffold_601302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLIITIVLLLYTSFVLVCVAKECTNTPTQLSSHTFRSELLQSKNETLKTELFSHYHLTPTDDAAWSTLLPRKMLKEEADEFAWTMLYRTFKDSNSSGNFLKEVSLHDVRLDPNSFHGRAQQTNLEYLLMLDVDGLAWSFRKEAGLDAPGDHYGGWEKPDSELRGHFVGHYLSATAYMWASTHNDTLKEKMSALVSALSECQQKSGTGYLSAFPSSFFDRFEAITPVWAPYYTIHKIIAGLVDQYKLAGNSQALQMATGMADYFYGRVRNVIRKYSVERHWQSLNEETGGMNDILYQLYSITGDSKYLLLAHLFDKPCFLGVLAIQADDISGFHSNTHIPIVVGSQQRYEITGDPLHKEISIFFMDIVNASHSYATGGTSVSEFWQNPKRMATTLQTENEESCTTYNMLKVSRNLFRWTKEVSYADYYERALTNGVLGIQRGTQPGLMIYMLPLGKGVSKAVTYHGWGTPYDSFWCCYGTGIESFSKLGDSIYFQEDDVSPALYVTQYISSSLDWKSAGLSLSQKVNPVVSWDPYMRVTFSFSSSKGGMAKESTLNLRIPVWTNSVGAKISLNGQSLKVPNFRTRNFLSIKQNWKSGDQLTMELPLSIRTEAIKDDRQEYSSLQAILYGPYLLAGHTSRDWSITTQAKAGKWITPIPETQNSYLVTLSQQSGDISYVFSNSNQTITMRVSPEPGTQDAVAATFRLVTDNSKPRISGPEALIGSLVKLEPFDFPGMIVKQATDSSLTVQASSPSDKGASSFRLVSGVDGKPGSVSLRLESKKGCFVYSDQTLKQGTKLRLECGSAATDEKFKEAASFKLKTGMNQYNPMSFVMSGTQRNFVLSPLFSLRDETYNVYFSVQT >scaffold_601303.1 pep chromosome:v.1.0:6:5180831:5181111:1 gene:scaffold_601303.1 transcript:scaffold_601303.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M551] MRHQAAMSIVEYMLDVDSHMWSMRRSKANFFVGDGVSRKTINSPLISYLSKNLFFFYNKTANSILCFYLNPLCFSLPRY >scaffold_601306.1 pep chromosome:v.1.0:6:5189033:5191780:-1 gene:scaffold_601306.1 transcript:scaffold_601306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPPSLSMKSVALSGASSSSCNDRKLLSAEQLILDLSNPELRENALHELSKKREIFQDLAPLLWHSVGTIPALLQEIISVYPVLSPPTMTPAQSNRVCNALALLQCVASHTDTRMLFLKAHLPLYLYAFLNTSSKSRPFEYLRLTSLGVIGALVKVDDTEVIRFLLQTEIVPLCLRTMENGSELSKTVATFIVQKVLLDDVGLEYMCTTAERFFALGRVLGNMVTSLAEGPSPRLLKHIIRCYLRLTDNPRACDALGSCLPDLLRDATFSGCLYDDPPAMQWLQQLLHNIKVGGRAPQGLAHMFVN >scaffold_601314.1 pep chromosome:v.1.0:6:5229456:5229963:-1 gene:scaffold_601314.1 transcript:scaffold_601314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPSTDSMISWSESGKSFIIWNQPEFCNNVLPKYILRNDMSIFKTFGFRKVDSERWEYANDDFVRGKPELTAEIQKRFMATVPPEVFVCKNAAKAARDMERVRAVAMAMKERALAKNLSNLTL >scaffold_601315.1 pep chromosome:v.1.0:6:5234606:5238523:1 gene:scaffold_601315.1 transcript:scaffold_601315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPKRQRTTCLAARNLKRKLSPNTDVAPIVTQFIDVDDEHLDLVVAIRRHVEVLNSCFSDPDFDREAVNEAAADIADLAKIDENVEIIVENGAIPALVKYLECPWPLEVGGDVPNSCDHKLERDCAIALGLIAAIQPGYQQLIVDAGAIVPTVKLLKRRVICGGPGGCMFVNAAIRRAADIITNIAHDNPRIKTNIRVEGGIPPLVELLNFPDVKVQRAAAGALRTISFRNDENKTQIVELNALPTLVLMLQSKDSSVHGEAIGAIGNLVHSSPDIKKEVIRAGALQPVISLLSSTCLETQREAALLIGQFAAPDSDCKVHIAQRGAITPLIKMLESSDEQVVEMSAFALGRLAQDAHNQAGIAHRGGIISLLNLLDVKTGSVQHNAAFALYGLADNEENVADFVKAGGIQKLQDDNFSVQPTRDCVVRTLKRLQNKIHGPVLNQLLYLMRTAEKTIQIRIALALAHLCDPKDGKLIFIDNNGVEFLLELLYFSSIKQQRYSSCALYELAKKATSFAPEDSAPSSPTQQVFLGEEFVNNPTLSDVTFLIGGKQFYAHKICLVASSDIFRAMFDGLYKERNAQNVEIPNIRWEVFELMMRFIYSGRINITKHLAKDLLVAADQYLLQGLKRQCEYTISQEICLDNIPEMYELADTFNATALRRACTLFVLEHFTKLSSQLWFAKFVKQIIPEIRSYITDILTRPVEASPPTVV >scaffold_601319.1 pep chromosome:v.1.0:6:5256994:5258470:1 gene:scaffold_601319.1 transcript:scaffold_601319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSTATFQSPSPTVPWREPSTAAVSLDDVDLVDQSAAAAAVEAVEKKMAASTTTAWDEVFGLEESQRRHLSRLHARGVLWKHPGKDESSASVVFRLSHGGEVSSDGNCLFTASQKAMEARGIDARDLRRRTVRRFLEDFRSANEEEKEVITDAIRHMYSPDLKSGWGIHIVQEEKLLAKKDERESLDSAIEELIQTGMQRETAAESIYRERCLPVNDGLSWAKYMSISGSTEDEYDIITLQYTEDGLLSVEENREGHAAAFGDDIAIECLATEFKREIYVVQAHGSDGMVEEENCVFFLPHKPRSEVLEVPVFLFMKGTGWCGGGADHYEPLIANPSPLISHEKVALVL >scaffold_601320.1 pep chromosome:v.1.0:6:5259105:5261824:1 gene:scaffold_601320.1 transcript:scaffold_601320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7M569] MASLSSSVSSRSYFSGYSASFTPVNGDRHRSLSFLSASPQGLNSHDLCLRFQRKSGRASVFMQDGAIIANSNSAESKSSLKGLQDEVLSALSQEAAKVGVESDGQSQSTVSITVVGASGDLAKKKIFPALFALYYEDCLPEHFTIFGYSRSKMTDAELRNMVSKTLTCRIDKRANCGEKMEEFLKRCFYHSGQYDSQEHFTELDKKLKEHEAGRISNRLFYLSIPPNIFVDAVKCASTSASSVSGWTRVIVEKPFGRDSETSAALTKSLKQYLEEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQFIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPIRVEDVVIGQYKSHTKGGVTYPAYTDDKTVPKGSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTRSAEIRVQFRHVPGNLYNRNTGSDLDQATNELVIRVQPDEAIYLKINNKVPGLGMRLDRSNLNLLYSARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPLLKEIEEKKRIPEYYPYGSRGPVGAHYLAAKHKVQWGDVSIDQ >scaffold_601324.1 pep chromosome:v.1.0:6:5273195:5275187:-1 gene:scaffold_601324.1 transcript:scaffold_601324.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXO70C1 [Source:UniProtKB/TrEMBL;Acc:D7M573] MEKAGNHHANESSETHVHKSDDHENKQHSDESEQKLHSSTPESESSEQSLVQVMEAVDDFIKALSAEKDPPREIPPAVEPFPERVDSLVSKMESSGLGRDETEDSVFIDAVNRISKSVMRLRELKLDSTPVSSWLNRASSVQHRAVSLLDEEFRHLLDRSREEKKKNINDGNNSDHNNSSTNESDRCVLQDQEEEEEESFPDFPPESISTLKKIAGAMISAGYEAECCMSYEMSRRHAFKEELREVGFEGINVEDVQRISWESLEGEIASWISIVRRCSTVLFPGELSLCNAVFPDQDHASIRKRLFTGLVSAVTIRFLDFSGAVVLTKRSSEKLFKFLDMYETLRDLIPAVEQSDSDLIQEIKLAQTRLGEAAVTIFGELEKSIKSDNGRTPVPSGAVHPLTRYTMNYLKYACEYKETLDQVFQHYESNQTDNKPEPETKPKQQQREDDEEYKVSAFARQMIRVMELLDANLEIKSKLYRDPSLRYIFLMNNGRYILQKIKGSIEIRDLMGQSWTRKRSTELRQYHKSYQRETWGKVLQCMNQEGLQVNGKVSKPVLKERFKIFNTMFDEIHKTQSTWIVSDEQMQSELRVSISALVIPAYRSFFGRYKQHLDSGKQTDKYVKYQPEDIESFIDDLFDGNPTSMARKRN >scaffold_601325.1 pep chromosome:v.1.0:6:5279460:5282411:1 gene:scaffold_601325.1 transcript:scaffold_601325.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AvrPphB susceptible 1 [Source:UniProtKB/TrEMBL;Acc:D7M574] MGCFSCFDSSDDDEKLNPVVESNGQKKQSQPTVSNNISGLPSGGEKLSSKTNGGSKRELLLPRDGLGQIAAHTFAFRELAAATMNFHPDTFLGEGGFGRVYKGRLDSTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEALDWNMRMKIAAGAAKGLEFLHDKANPPVIYRDFKSSNILLDEGFHPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSEMPHGEQNLVAWARPLFNDRRKFIKLADPRLKGRFPTRALYQALAVASMCIQEQAATRPLIADVVTALSYLANQGYDPSKDDSKRNRDERGARLITRNDDGGGSGSKFDLEGSEKEDSPRETGRILNRDINRERAVAEAKMWGESLREKRRQSEQGTSESNSTG >scaffold_601327.1 pep chromosome:v.1.0:6:5291041:5291682:1 gene:scaffold_601327.1 transcript:scaffold_601327.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M576] MESHAGADLEPQEFFSRPLRWPALFAAAVRGAFSLLLMLLVVSAIRLAHGYLWRGASLDKNCGSSRRGCLALSVASFSSESCCWVSEICGSGGCSVHRRMGEILGSWWILSFGGSRINGRGLVSSLVG >scaffold_601328.1 pep chromosome:v.1.0:6:5305207:5306187:1 gene:scaffold_601328.1 transcript:scaffold_601328.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC083 [Source:UniProtKB/TrEMBL;Acc:D7M577] MDNVKLVKNGVLRLPPGFRFHPTDEELVVQYLKRKVCSSPLPASIIPEFDVCRADPWDLPGNLEKERYFFSTREAKYPNGNRSNRATGSGYWKATGIDKRVVTSRGNQIVGLKKTLVFYKGKPPHGSRTDWIMHEYRLSSSPPSSMGPTQNWVLCRIFLKKRAGNKNDDDDGDSRNLRHINNNNSSDQIDIITTNQTDDKTKPIFFDFMRKERTTDLNLLPSSPSSDHASSGVTTEIFSSSDEETSSCNSFRRNL >scaffold_601330.1 pep chromosome:v.1.0:6:5314805:5315884:1 gene:scaffold_601330.1 transcript:scaffold_601330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSQGEETHQPKIVDQEQPKTVETEHQPQPQPEPSSSSPDQKKWGTHVMGAPAAPVAHPDNQQAAAWVAGDNQQTQYQPYVIYSPVENHNNNPLEPVIGMFHTWSRKAETVARNLWHNLKTGPSMSETAWGKVNLTAKAITEGGFESLFRQIFGTEPNEKLKKTFACYLSTTTGPVAGTLYLSNARVAFCSDRPLYFTAPSGQESWSYYRVVIPLGNVATVNPVVVKETPPEKYIQVTTVDGHDFWFMGFVNYEKATHHLLTSVSDSQTAHGSVSDSQTAHGSVSV >scaffold_601333.1 pep chromosome:v.1.0:6:5325817:5328741:1 gene:scaffold_601333.1 transcript:scaffold_601333.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M582] MIGFLRIIHVAESRRLMIQCNRIRQCGFSVQTAESSVQWSDSVVPCLDSHAYGTMLRRCIRKNDSVSAKAIHCDILKKGSCLDLFATNILLNAYVKAGFDKDALNLFDEMPERNNVSYVTLTQGYACQDPVGLYSRLHREGHELNPHVFTSFLKLFVSLDKAEICWWLHSPIVKLGYDSNAFVGAALINAYSVCGSVDSARSVFEGILCKDIVVWAGIVSCYVENGCFEDSLQLLSRMGMDGFMPNNYTFDTALKASIGLGAFHFAKSVHGQILKTCYELDPRVGVGLLQLYTQLGDMSDAFKVFNEMPKNDVVPWSFMIARFCQNGFCNKAVDIFIRMREGFVVPNEFTLSSILNGCAIGKCSGLGEQLHGLVVKVGFDLDVYVSNALIDVYAKCEKMDTAVKLFAELSSKNVVSWNTVIVGYENLGEGGKALNMFREALRNQVSVTEVTFSSALGACASLASMELGVQVHGLAIKTNNAKRVAVSNSLIDMYAKCGDIKVAQTVFNEMETIDVASWNALISGYSTHGLGRQALRIFDIMKGSDCKPNGLTFLGVLSGCSNAGLIDQGQDCFESMICDHGIEPCLEHYTCMVRLFGRSGQLDKAMNLIEGIPYEPSVMIWRAMLSASMNQYNEEFARRSAEEILKINPKDEATYVLLSNMYAGAKQWANVASIRKSMKEKGVKKEPGLSWIEHQGDVHFFSVGSSDHPDMKLINGMLEWLNMKATRAGYVPDRNAVLLDMDDEEKDKRLWVHSERLALAYGLVRMPSSRNRILIMKNLRICSDCHSAMKVISSIVQRDLVIRDMNRFHHFHAGVCSCDDHW >scaffold_601335.1 pep chromosome:v.1.0:6:5339485:5340376:1 gene:scaffold_601335.1 transcript:scaffold_601335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAESSSSSSTLLLPCAFANSFAFMRLLQKAHHFAAVSEDDDNNKAIRLGSDHQAKDNNHHQVCRRDCSFMADQEEPRSTTSRLSSKDDDHDNNSNNNNNNNNNNEAEDHEMRQQGWLRLSIGHEEDVKPDLDHRQQHQTDPTARRDSFLELNLFSGGLNKEEEVGLPLSSLFHHQHQPGGMMINPLMFHNRPQDMIGPWAAAAFRTPFVPQNLTQPSSSSASLMMPLMGPYFGRSSFQPQLLGNDNPDVVAGPSSSFRVIDPPRRPHSGIWFLLQASQNQ >scaffold_601337.1 pep chromosome:v.1.0:6:5355034:5357330:-1 gene:scaffold_601337.1 transcript:scaffold_601337.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M586] MTIITVQSSYSPSRVPVIKTANFNQIPSWVSLKSSTSSVKISHKQGQVENLHLVSLSKHGKLNEAFEFFQEMDKAGVSVSLYSYQCLFEACRELRSLSHGRLLHNRMRMGIENPSVLLQNCVLQMYCECGSLEDADKLFDEMSDLNAVSRTTMISAYAEQGLLDKAVGLFSRMLESGDKPPSSMYTTLLKSLVNPRALDIGRQIHAHVIRAGLCSNASIETGIVNMYVKCGWLVGAKRVFDQMAVKKPVAWTGLMVGYTQAGRARDALKLFVDLITEGVEWDSFVFSVVLKACASLEELRFGKQIHACVAKLGLECEVSVGTPLVDFYIKCSSFESACRAFQEIREPNDVSWSAIISGYCQMSQFEEAVKTFKSLRSKNAVVLNSFTYTSIFQACSVLADCNIGGQVHADAIKRSLIGSQYGESALITMYSKCGCLDDAHEVFESMDNPDIVAWTAFISGHAYYGNASEALRLFEKMVSCGMKPNSVTFIAVLTACSHAGLVEQGKHYLDTMLRKYNVAPTIDHYDCMIDIYARSGLLDEALRFMKNMPFEPDAMSWKCFLSGCWTHKNLELGKIAGEELRQLDPEDTAGYVLPFNLYTWAGKWEEAAEVMKLMNERMLKKELSCSWIQEKGKIHRFIVGDKHHPQSQEIYEKLKEFDGFMEGDMFQCSMTERREQLLDHSERLAIAFGLISVNGNARAPIKVFKNLRACPDCHEFAKHVSLVTGHEIVIRDSRRFHHFKEGKCSCNDYW >scaffold_601338.1 pep chromosome:v.1.0:6:5357570:5360784:1 gene:scaffold_601338.1 transcript:scaffold_601338.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AK-LYS1 [Source:UniProtKB/TrEMBL;Acc:D7M587] MAATRVRCCHSNAPFTRLPYTRHRNSPTLPISLNRVDFSALKCSRRLSLPIGDGSSIRNVSGSGCRNIVRAILEEKTEALTEVDEKGFTCVMKFGGSSVASAERMKEVADLILTFPEESPVIVLSAMGKTTNNLLLAGEKAVSCGVSNASEIEELSIIKELHIRTVKELNIDPSVILTYLEELEQLLKGIAMMKELTLRTRDYLVSFGECLSTRIFAAYLNTIGVKARQYDAFEIGFITTDDFTNGDILEATYPAVAKRLYDDWMHDPAVPIVTGFLGKGWKTGAVTTLGRGGSDLTATTIGKALGLKEIQVWKDVDGVLTCDPTIYKRATPVPFLTFDEAAELAYFGAQVLHPQSMRPAREGEIPVRVKNSYNPKAPGTIITKTRDMTKSILTSIVLKRNVTMLDIASTRMLGQVGFLAKVFSIFEELGISVDVVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVNLLKGRAIISLIGNVQHSSLILERAFHVLYTKGVNVQMISQGASKVNISFIVNEAEAEGCVQALHKSFFESGDLSELLIQPRLGNGSPIRMQVEN >scaffold_601340.1 pep chromosome:v.1.0:6:5362994:5363204:1 gene:scaffold_601340.1 transcript:scaffold_601340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M589] MRFPPYSGTHDVRWSWLEWVPPPRRWMKGAALVAVMSGRKQDIEYRARGKVKTKES >scaffold_601348.1 pep chromosome:v.1.0:6:5399224:5404927:1 gene:scaffold_601348.1 transcript:scaffold_601348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKFDPTDQKACLSLLEDLTTNVKQIQDSILEAILSRNARTEYLSGFLNGQVDKQSFKNNVPVVTYEDIRPYIDRIANGEPSDLICDRPISVLLTSSGTSGGVPKLIPLTTEDLEQRMSFSSLYAPLLNKHIDGLSEGKSLIFYFVTRESKTANGLMVRTMVTSFLKSIKPTSSFLWDRLQISPHAITTCADTTQSMYCQLLCGLLERDNVARLGAPFASSFLKVIKFLEDHWPELCSNIRTGRLSDWITDAQCTLGIGKFLTAPNPELASLIEQECSKKSWEAVLRRLWPKAKCIETIITGTMAQYIPLLEFYSGGLPLTSSFYGSSECFMGVNFNPLCKPCDVSYTIIPCMGYFEFLEVEKDHQEAGHDPTAKTVVVDLVDVKIGHDYEPVVTTFSDDKKAAIMLPKFDPTNLLATMSVLEDVTTNVNKIQDSVLEAILSRNAQTEYLRGFLNGQLDKQSFKKNLPIVTYEVIKPHIDRIANGEPSDLICDRHISLLLATTGTSGGIPKLIPLTAEELEQRILFGFLYAPLVFKHIEGLTQGKSLMFYFVTRESETASGLMVRFMITCVLKSVNPTNSFLWDRVQISPHAIAICEDTNQAMYCQLLCGLLQRENVARLGAPYASSFLKVIKFLEDHWHELCSNIRTGRLSDWITDAQCVSGISKFLTAPNPDLANLIEQECSKTSWEAILRRLWPKAKCIEAVITGTMAQYIPLLEFYGGGLPLVSSWYGSSECFIGINLNPLSKPSDVSYTIIPSMGYFEFIEVVKDRQEAGHVPADPVVVDLVDVKIGHDYELLVTTFSGLYRYRLGDVLRVTGFHNNAPQFYFVGRQKVVLSIDLSKTYEEDLLKAVKNASLLLEPHDLMLMDFTSRVDLSSLPGHYVLYWELGSKFKNAKLYPNSNVLEECCLTVEESLDSVYRKGRKNDKIIGPLEIKVVKPGAFDELMNFFLSRGSSVSQYKTPRSVTHEGALKILESKVAYKFLSRKSPSWELHELHSSR >scaffold_601353.1 pep chromosome:v.1.0:6:5419262:5421318:-1 gene:scaffold_601353.1 transcript:scaffold_601353.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit Rieske, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7M5A3] MLRVAGRRLFSVSQRSSSATSFVVSRDHSLSDGGGDSSSAPRSVPAADLSSYHRSLIRGFASQVLTQGNEIGFASEVPATVEAVKTPNSKIVYDNHNHERYPPGDPSKRAFAYFVLSGGRFVYASVIRLLVLKLVVSMSASKDVLALASLEVDLGSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDIGSLRDPQEDSVRVKNPEWLVVVGVCTHLGCIPLPNAGDYGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLEENKLLIG >scaffold_601356.1 pep chromosome:v.1.0:6:5427548:5429586:1 gene:scaffold_601356.1 transcript:scaffold_601356.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 11 [Source:UniProtKB/TrEMBL;Acc:D7M5A6] MAKKKGLFTVLKRIFISEANSEKKEKRRKWTFWKLRIKKRLPSITAPPEHRTSNESHEEHKEESVSDVGEISQVSCSRQLDSIEESKGSTSPETADLVVQYQMFLNRQEEVLAATRIQTAFRGHLARKALRALKGIVKLQAYIRGRAVRRQAMTTLKCLQSVVNIQSQVCGKRTQIPGSAHRDYEESNIFNENILKVDTNGQKRWDDSLLTKEEAEAVVMSKKEASLRRERIKEYAVTHRKSAESYQKRSNTKWKYWLDEWVDTQLTKSKELEDLDFSSKTKPKDETLNEKQLKTPRNSSPRRLMNNHRRQVSMGEEEQSPAAVAVTTPTYMVATESAKAKSRSLSSPRIRPRSFDTQSESYSPYKNKLCLTTSMMSEAPSKVRIANNGSSTRPSAYQQRSPGLRGFNIGPLKSCNNNTLLNDLSINSERSLPSWNKQSSLR >scaffold_601362.1 pep chromosome:v.1.0:6:5450283:5452759:-1 gene:scaffold_601362.1 transcript:scaffold_601362.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1 family protein [Source:UniProtKB/TrEMBL;Acc:D7M5U0] MAPIDPHSFTDSSHPLTTHVALSLYLDFNTSIIHGSALLTLSSAFSGELSLDTRCISIHMVLDPLTLEPLPYRVSTTPDRIRGTEVVVVLSGQSSLLIVYSTSPSASALQWLSPLQTFSKLHPYVYTQCQAIHARSIFPCQDTPAARIRYDVVMNIPNSLSAVMSARHVRRRLAVPEEAKHLEAGSLGSSLWCGEDRVVEEFAMEQPIPPYLFAFAVGELGFREVGPRTRVYTESAAVEVLDAAALEFAGTEDMIKQGEKLFGDYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDATGAQVVAHELAHSWTGNLITNINNEHFWLNEGFTTYAERRIVEVVQGPDRATLNIGIGWRGLTDEMERFKDNLECTKLWNKQEGVDPDDVYSQVPYEKGFQFVLRIERQIGRTAFDEFLKKYIATFKFKSIDTSIFLEFLKANIPGIEKEINLQLWTEGVGIPEDAYEPVSTIYTKIISLAKEFKEGRMPSEDDVAEWNGQEWELYLENLPKSCEPSQVMALDKRYRLAESKDYEVKVSFLQLAVTSKCREYHGEVKKTLKEVGRMKYLRPLFTALAQAGGTEEKQLAKQVFAEARETYHPIAQGVVESILSKYI >scaffold_601364.1 pep chromosome:v.1.0:6:5463367:5467474:-1 gene:scaffold_601364.1 transcript:scaffold_601364.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SULTR4_1 [Source:UniProtKB/TrEMBL;Acc:D7M5U1] MSYASLSVKDLTSLVSRSGAGSSSSLKPPGQTRPVKVIPLQHPDTSNEARPPSIPFDDIFSGWTAKVKRMRLVDWVDTLFPCFRWIRTYRWSEYFKLDLMAGITVGIMLVPQAMSYAKLAGLPPIYGLYSSFVPIFVYAIFGSSRQLAIGPVALVSLLVSNALGGIADTNQELHIELAILLALLVGILECIMGLLRLGWLIRFISHSVISGFTSASAIVIGLSQVKYFLGYSIARSSKIVPLVESIIAGADKFQWPPFLMGSLILVILQVMKHVGKAKKELQFLRAAAPLTGIVLGTTIAKVFHPPSISLVGEIPQGLPTFSFPRSFDHAKTLLPTSALITGVAILESVGIAKALAAKNRYELDSNSELFGLGVANILGSLFSAYPATGSFSRSAVNNESEAKTGLSGLITGIIIGCSLLFLTPMFKYIPQCALAAIVISAVSGLVDYDEAIFLWRVDKRDFTLWTITSTTTLFFGIEIGVLVGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNIKQYPEAYTYNGIVIVRIDSPIYFANISYIKDRLREYEVAVDKYTNRGLEVDRINFVILEMSPVTHIDSSAVEALKELYQEYKTRDIQLAISNPNKDVHLTIARSGMVELVGKEWFFVRVHDAVQVCLQYVQSSNLEDKKLSFTRRYGGSNNNSSSSNALLKEPLLSVEK >scaffold_601365.1 pep chromosome:v.1.0:6:5469117:5473890:-1 gene:scaffold_601365.1 transcript:scaffold_601365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRTAVSKAVEVGNRKNITRTVKNYADSVVQQAGQAVAEGAKLFQDRIGVGAYKSVNQTIQRLEEAAVSYRGHERALLITRWLNVLKEIDRATDSSLKDKQLSSEEQLASDEAKKREWVLYYDPDIGGEPLNFRDVFLQSQALEGIVLSMIIEPPHDEEITLLLEMFGLCLNGGKEVHDAIVSSMQDLATVFSSYKDEVLVKQDELLQFAQNAITGLKINAEMLRIDAEASDLRKKLEKMNASPIPQETEDKELKEAPLTIEAFKETLAKIRLCSRLEGLLIRKRQLSNGDSPDIHAQKVDKLRVLLESLANSTSKAEKRISENRLQKEEALKARVVKANETGEKEKELGAEIAQLEKQREELEAELKRVNISLAAAQARFRNATEERDQFGEANNQIIAHLKTKEDDLSKSVVACKNEAEVIKTWINFLEDTWLLQCSYIETKDKQTLDELEKHEDYFSDVAFNILSVYKKEVAPLISRIENYVENLKNLGPGSEKPPNADQGDNQVSNPRKILEQEYIDYETKIITTFSIVDNMKEQFEVLQSKLDKKDDRRVKELFDDMEKMRQQFESIARPMLEIEIPSPKSSVTSPKSSATSPKSPKPSSSSMDDSTESTQTQKPELSNPPQAPNPTAGSSQEFNPEAELAELESEFGKVARDYSADEVDGWEFDELEKELQ >scaffold_601368.1 pep chromosome:v.1.0:6:5483859:5487907:-1 gene:scaffold_601368.1 transcript:scaffold_601368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSQEPRIRPSTWSCSDIPIKKRKYLVQPQLEEAASSQIPQPSEQGDTSGAGRSALVSSLADENQKMTGPSVSVGIAGKGKSIGNIILDQIRVKVEKPSSPIHSSPLAGFHIPSTSNILGSSLHCSLGKLPVCAEHPGVVVTSGAQTGMKLEKTVLKTHDSVKETCDKETLRGESLTEASSGDNTVSLQLGCNTKNSSPYWKNEEPTELNLSLSKGVCPAHNTDSTSTCTKSGNSGVNRENWDLNTTMDAWEGALDRTTRVKTTGAFLNSNRSLRDIEGSSCRDTTAIAKSVSEKQKENVGFSSPKVTLMQFDNQVNPTCSLSLGLSSYPPIEKSPSLPATTSETRAACTSFSRPVMIAGNVNSVNLRTVKSEIIEESVRQETEKSAQVCPIGLSIKGVKHEVVGRFSQGNSPSSVILKPVVPISVKSEPNTFTQSEVFNRKDGLLNHPHTPIVQSNDIPDLPTSSTPYQKDTYIPCSNGIINAPMSLDGMTIVPGVQIDPDCTLKENSGQSSSVAKLCEVLKHGGVHTTYSCSGHGDHNLNASGVNVTSLTEEKIVDDCKTCISKEIPHNSRGTDERSINDEEKIILSGKELEEHLYSYGFESDCGHDLSRVMKKQVEKTNLYDDGKVQGPAAVFTERNEVAHAEYGGSETERRNTNIPCHGDFQNSNHVEEKGSQPALLDNTVEMASTEVHIKRHTPELQSRIFDGSGENEGRIVQDGEGMSGVSTVSGGIENPEIVDNSSPVSYKAEMSTIDNDPPAECSDGSQSRIINLTQVSNKSPVKAPDASGSFVPPRMERDRFHDFPLEPRKYIFRESDESYKFSRERYHGKIMRSPRLNFIPDRRRLPDNTESNLHDQDTKNFEFDNHGNTRRGGAFMSNFQRGRRPTNDEVTPYSHSFPRRNSSFSFNRGPTNKEDASAFHGFRDGEKFTRELQCNNTEPMFMNPQRPYQGRSGFTRGRTKFLNNPKRDFPGFRSRSPVRSRERSDGSSSSFRNRSQEEFSGHIDFSHRRSPSGYKMERMSPPDHTGFSREMVVRRHNSPPFSHRPSNAGRGRGYPRGRGYARGRGYGRDGNSFRKPSDRVVHRNHGNLNNLDPRERVDYSDDFFEGPIHSERFGVDVNAERRRFGYRHDGTSSSFRPSFNNDGCAPTNVENDPDAVRFRQDPHIKIEEQGSLMEIDGENKISTENASGRTKNMEEEETSKNSKIWQPDELGGDGF >scaffold_601372.1 pep chromosome:v.1.0:6:5496755:5501440:-1 gene:scaffold_601372.1 transcript:scaffold_601372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVYSPFTLSTSKAEHLSSLSNSTKHSFLRKKHRSSKPALSFFKVKSAVSGNGLFTQTNPEVRRIVPIKRDNVPTVKIVYVVLEAQYQSSLTEAVQSLNKTSRFASYEVVGYLVEELRDKNTYNHFCEDLKDANIFIGSLIFVEELAIKVKDAVEKERDRMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRKKQGSAGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFVKMISGSYVPALKGVKIEYSDPVLFLDTGIWHPLAPTMYDDVKEYWNWYDTRRDTNDSLKRKDATVVGLVLQRSHIVTGDDSHYVAVIMELEARGAKVVPIFAGGLDFSGPVEKYFVDPVSKQPIINSAVSLTGFALVGGPARQDHPRAIEALKKLDVPYLVAVPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGAMEPIVFAGRDPRTGKSHALHKRVEQLCIRAIRWGELKRKTKAEKKLAITVFSFPPDKGNVGTAAYLNVFASIFSVLKDLKRDGYNVEGLPENAETLIEEIIHDKEAQFSSPNLNVAYKMGVREYQDLTPYANALEENWGKPPGNLNSDGENLLVYGKEYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSYVEKIFKADAVLHFGTHGSLEFMPGKQVGMSDACFPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTAKQCNLDKDVDLPDEGAELSPKDRDSVVGKVYSKIMEIESRLLPCGLHVIGEPPSAMEAVATLVNIAALDRPEDDISALPSILAECVGREIEDVYRGSDKGILSDVELLKEITDASRGAVSAFVEKTTNSKGQVVDVSDKLTSLLGFGINEPWVEYLSNTKFYRANRDKLRTVFGFLGECLKLVVMDNELGSLMQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAAMASAKIVVERLVERQKLENEGKYPETIALVLWGTDNIKTYGESLGQVLWMIGVRPIADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAIKMVAELDEPVEQNFVRKHALEQAEALGIDIREAATRVFSNASGSYSANISLAVENSSWNDEKQLQDMYLSRKSFAFDSDAPGAGMAEKKQVFEMALSTAEVTFQNLDSSEISLTDVSHYFDSDPTNLVQSLRKDKKKPSSYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMSSGYEGVREIEKRLSNTVGWSATSGQVDNWVYEEANSTFIQDEEMLNRLMNTNPNSFRKMLQTFLEANGRGYWDTSAENIEKLKELYSQVEDKIEGIDR >scaffold_601374.1 pep chromosome:v.1.0:6:5509403:5513983:1 gene:scaffold_601374.1 transcript:scaffold_601374.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor family protein [Source:UniProtKB/TrEMBL;Acc:D7M5V1] MELSLSTSSASPAVLRRQASPLLHKQQVLGVSFASALKPRGGALRFPSRRPLPRPITCSASPSTAEPASEVKKKQLDRRNDVRNIAIVAHVDHGKTTLVDSMLRQAKVFRDNQVMQERIMDSNDLERERGITILSKNTSITYKNTKVNIIDTPGHSDFGGEVERVLNMVDGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPSARPEFVVNSTFELFIELNATDEQCDFQAIYASGIKGKAGLSPDDLAEDLGPLFEAIIRCVPGPNIEKDGALQMLATNIEYDEHKGRIAIGRLHAGVLRKGMDVRVCTSEDSCRFARVSELFVYEKFYRVPTDSVEAGDICAVCGIDNIQIGETIADKVHGKPLPTIKVEEPTVKMSFSVNTSPFSGREGKYVTSRNLRDRLNRELERNLAMKVEDGETADTFIVSGRGTLHITILIENMRREGYEFMVGPPKVINKRVNDKLLEPYEIATVEVPEAHMGPVVELLGKRRGQMFDMQGVGSEGTTFLRYKIPTRGLLGLRNAILTASRGTAILNTVFDSYGPWAGDISTRDLGSLVAFEDGTSTSYALASAQERGQMFVGSGVDVYKGQIVGIHQRPGDLGLNICKKKAATNIRSNKDVTVILDTPLTYSLDDCIEYIEEDELVEVTPSSIRMCKNPKMAKKGRQ >scaffold_601380.1 pep chromosome:v.1.0:6:5534827:5537740:-1 gene:scaffold_601380.1 transcript:scaffold_601380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M5V6] MDLASNLGGKIDKSDVLTAVEKYEQYHVFHGGNEEERKANYTDMVNKYYDLATSFYEYGWGESFHFAHRWKGESLRESIKRHEHFLALQLGIKPGHKVLDVGCGIGGPLREIARFSNSAVTGLNNNEYQITRGKELNRLAGVDKTCNFVKADFMKMPFPENSFDAVYAIEATCHAPDAYGCYKEIYRVLKPGQCFAAYEWCMTDAFDPDNAEHQKIKGEIEIGDGLPDIRLTTKCLEALKQAGFEVIWEKDLAKDSPVPWYLPLDKNHFSLSSFRLTAVGRFITKNMVKILEYIRLAPQGSQRVSNFLEQAAEGLVDGGRREIFTPMYFFLARKPERCSS >scaffold_601382.1 pep chromosome:v.1.0:6:5540036:5541217:-1 gene:scaffold_601382.1 transcript:scaffold_601382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPTTATTTMCPSPPVPTISTLLRTTHQCQPSPSSSSPFSIKLSTALVWYQGKGLNLQDLIQEGSIGLLRGAERFDPDRGYKLSTYVYWWIKQAILRAIAHKSRLVKLPGSMWELTAKVAEASNVLTRKLRRQPSCEEIAEHLNLHVSAVRLAVERSRSPVSLDRVVSQNGRMTLQEIVRGPDETRPEEMVKREHMKHEIEQLLGSLTARESRVLGLYFGLNGETPMSFEEIGKSLKLSRERVRQINGIALKKLRNVHNVNDLKIYYSSSE >scaffold_601386.1 pep chromosome:v.1.0:6:5561246:5563117:1 gene:scaffold_601386.1 transcript:scaffold_601386.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M5W2] MAIASGSWVATVNHHANPHSFTSPTKPIFFLSQKPHNFHVCSSRCSMVLEEDEKKSPSPKEDKWPFFEPGPDDLNRVLSRFLRDPETRKLSSEFYEKAKENSELRTTKHLINYLVSSKNWNLLVSLCEDLREHKALPDAQTCSNLIRSCIRERKFRITHCLLSVFRSDKSLAVSASDAAMKGFNKLQMYSSTIQVFDRLKQSVGVEPSPGCYCRIMEAHEKIGDNQKVVELFQEVKSQRLSFLAKESGSIYTIVCSSLAKSGRAFEALEVLEEMKDKGIPESSELYSMLIRAFAEAREVVITEKLFKEAGGKKLLKDPEMCLKVVLMYVREGKMERTLEVVAAMIKAELKVTDCILCAIVNGFCKQRGFAEAIKVYEWAMKQECEAGQVTYAIAINAYCRLEQDDKAEMLFDEMVKKGFDKCVVAYSNIMDMYGKTQRLSDAVKLMAKMKQRGCKPNIWIYNSLIDMHGRAKDLRRAEKIWKEMKRAKVLPDKVSYTSMISAYNRAKELERCVELYQEFRMNRGKIDRAMAGIMVGVFSKTSRIDELMRLLQDMKVEGTRLDARLYSSALNALRDAGLNSQIRWLQESFDAAQTSASRYSNTKNTRTLS >scaffold_601390.1 pep chromosome:v.1.0:6:5577069:5577922:1 gene:scaffold_601390.1 transcript:scaffold_601390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7M5W6] MAGLDKNAGKTKSATTSSFFNRSLTIHGRTVVDSSPKSHNLNPSLNRTTSITKFYTPVESMGSSLKGKVKNLCRLFESSKPVKPASAEIPQKQKSGKSLLPESRISPFFSLNNSVIRLPGTEDRIVVYFTSLRGIRRTYEDCYAVRMIFRGFRVWIDERDVSMDIAYRKELQIAMGEKSVSLPQVFIMGKYVGGADVIKSLFEIGELAKILKEFPMRQPGFVCHCCGDIRFVPCSNCSGSKKLFDEDEDRLKRCPDCNENGLIRCPHCSS >scaffold_601391.1 pep chromosome:v.1.0:6:5578843:5579064:1 gene:scaffold_601391.1 transcript:scaffold_601391.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5W7] MSRVLRLSRETQVFSYTIRPKTESLQSEANSTLEKPNCINDEATHVKTQTFKKPNVFIA >scaffold_601396.1 pep chromosome:v.1.0:6:5597112:5598540:1 gene:scaffold_601396.1 transcript:scaffold_601396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEQKEELAAKLEEQKIDLDKPEVEDDDDNDEDDSDDDDEAEDGHDGEAGGRSKQSRSEKKSRKAMLKLGMKPITGVSRVTVKKSKNILFVISKPDVFKSPASDTYVIFGEAKIEDLSSQLQSQAAEQFKAPNLSNVISQGETSSAAAAAAVQDDDEEVDEEGVEPKDIELVMTQAGVSKPRAVKALKAANGDIVSAIMELTT >scaffold_601402.1 pep chromosome:v.1.0:6:5610161:5610838:-1 gene:scaffold_601402.1 transcript:scaffold_601402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTSSKSKKKQDDQVGTRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAEEAALAYDRAARSMRGTRARTNFVYSDMPPSSSVTSIISPDDPPPPPPPPAPPSNDPVDYMMMFNQYSSTDSPMLQPHCDQVDSYMFGGSQSTNSYCYSNNSSNELPPLPSDLSNSCYSQPQWTWSGDDYSAEYVHSPMFSRMPPVSDSFPQGFNHFGS >scaffold_601406.1 pep chromosome:v.1.0:6:5622942:5624537:-1 gene:scaffold_601406.1 transcript:scaffold_601406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYNKTLLENKTYHDGCSGCNVEQMKQRRLGYPYMELSFVWIVVLSTSCSFMLGRALTSVSWGIVSDRYGRKPIIIVGTVSIVNFWMAIAMRFLLGSFNCLLGTMKMEGQKQHQHHKKQCDPEESHHHHVVEILSAEARLVLVLKKTCGEAPCGFSDAKTISRDAAERLASVRKL >scaffold_601407.1 pep chromosome:v.1.0:6:5625027:5625499:-1 gene:scaffold_601407.1 transcript:scaffold_601407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRRREELRTIFASREQLKPPSTFLQRRYQIELFADLPICSLYTFLYRMILSLLAKSPRKYGGLGYSTNDVGTVLAISGMQNILPLDVVL >scaffold_601416.1 pep chromosome:v.1.0:6:5663959:5665399:1 gene:scaffold_601416.1 transcript:scaffold_601416.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon-associated protein subunit beta [Source:UniProtKB/TrEMBL;Acc:D7M5Z3] MAIALSKLLISAMAVFMLVSASFATSEVPFMVVHKKATLNRLKSGAERVSVSYDIYNQGSSTAYDVTLTDNSWDKATFEVVNGNTSKSWERLDAGGILSHSFELEAKVKGVFYGAPAVVTFRIPTKPALQEAYSTPLLPLDILADKPPTKILDVAKRLLAKYGSLVSVISMVVLFIYLVATPKSNVSKASSKKKR >scaffold_601417.1 pep chromosome:v.1.0:6:5667414:5669334:-1 gene:scaffold_601417.1 transcript:scaffold_601417.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial phosphate transporter [Source:UniProtKB/TrEMBL;Acc:D7M5Z4] MESPKNSLIPSFLYSSSSSPRSFLLDQVLNSNSNAAFASSNLEKSPSPTSMISRKNFLIASPTEPGKGIEMYSPAFYAACTFGGILSCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGILLKEQGVKGFFRGWVPTLLGYSAQGACKFGFYEYFKKTYSDLAGPEYTAKYKTLIYLAGSASAEVIADIALCPFEAVKVRVQTQPGFARGMSDGFPKFIKSEGYGGLYKGLAPLWGRQIPYTMMKFASFETIVEMIYKYAIPNPKSECSKGLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKIGMVGLFTRGLPLRIVMIGTLTGAQWGLYDAFKVFVGLPTTGGVAPAPAIAATEAKA >scaffold_601421.1 pep chromosome:v.1.0:6:5681684:5683711:-1 gene:scaffold_601421.1 transcript:scaffold_601421.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M5Z8] MRPAAELAVRIGRELLKVSGSSRSARIWSPSVEQSLHGLGFRHSISPSLVARVIDPFLLNHHSLALGFFNWAAQQPGYSHDSVSYHSIFKSLSLSRQFSAMDALFKQVKSNKILLDSSVYRSLIDALVLGRKSQSAFWVLEEALSTGREIHPDVCNRLLAALTSDRCFDYAQKLFVKMRQRGVSLNTLGFGVYIGSFCTSSETDQLLRLVDEVKKANSNINGSIIALLILHGLCKSSREMDAFYILEELRNIDCKPDFMAYRIIAEAFVVTGNLYERQVVLKKKRKLGVAPRSSDYRAFILDLISAKRLMEAKEVAEVIVSGNFPMDNDILDALIGSVSAIDPDSAVEFLVYMVSTGKLPAIRTLSKLSKNLCRHDKSDHLIKAYELLSSKGYFSDLESYSLMISFLCKAGRVREGYTALHEMKKKGLDPDVSLYNALIDACCRAEMIRPAKKLWDEMFVEGCKMNLTTYNVLIRKLSEEGEAEESLRLFQKMLEKGIEPDETIYMSLIEGLCKETKLEAALEVFIMCMERDNKTVARRLLSAFVLNLCSNGHFSEASQLLRKQDHLKHTSAHVVLLKCVADAKEVEIGIRHMKWIKEVSPSLVHTISSDLLASFCSSSDPDSILPFIRAIEHT >scaffold_601425.1 pep chromosome:v.1.0:6:5693182:5693434:-1 gene:scaffold_601425.1 transcript:scaffold_601425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNWGPVLMSVVLFIVLTPGVLFQFPGKTKVVEFGGFQTGGAAIVIHTLIFFACITVSLIALHIHIYVA >scaffold_601426.1 pep chromosome:v.1.0:6:5698481:5700832:1 gene:scaffold_601426.1 transcript:scaffold_601426.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin family protein [Source:UniProtKB/TrEMBL;Acc:D7M603] MASTTREKFVSFINNRWLVFVAAMWIQSCAGIGYLFGSISPVIKSSLNYNQKELARLGVAKDLGDSVGFIAGTLSEILPLWAALLVGAVQNLIGYGWVWLITTGRAPILPLWAMCVLIFVGNNGETYFNTGALVSGVQNFPKSRGPVVGILKGFAGLGGAIISQIYTMIHSSNPASLILMVAVTPAVVVVCLMFFIRPVGGHKQIRPSDGASFTFIYGVCLLLAAYLMAVMLIQDLVVVSHNVITMFTIVLFVILVVPILVPIMTSFFTETNEPDDTIEEPLVPKREDQEPGMQTPDLILSEVEDEKPKDVDLLPASERHKRIAHLQAQLMQAAAEGAVRVKRRRGPHRGEDFTLTQALVKADFWLIFFSLLLGSGSGLTVIDNLGQMSQSLGYDNTHVLVSMISIWNFLGRIGGGYFSELVVRDYAYPRPVAMAVAQLIMSVGHIFFAYGWPGAMYIGTLLIGLGYGAHWAIVPATASELFGLKKFGALYNFLTLANPAGSLVFSGMIASSIYDREAERQAHGSVFDPDDALRCNGSICFFLTSLVMSGFCIIACILSMILVRRTKSVYTHLYGKTRT >scaffold_601428.1 pep chromosome:v.1.0:6:5703680:5705622:1 gene:scaffold_601428.1 transcript:scaffold_601428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMAVDTVGELGFPYWNPIRRRFSPDSPFFASGNVERELLAKQVALDLTQDEINHLQKFVETESRRISCPIVGCPERLKSLDHFEDHYNARHTASCSVCSRVYPTSRLLSIHISEAHDSFFQAKVARGYDMYECLVEGCGLKFKSYKARHRHLIDKHKFPSTFEFFKKTQLSKKRREKLQRQHVSRLKHEEDKEAASSDAMEVEDKASVDGLVSALSTLTTSDTTPSNVSFGRRHGRVLTFVPRSVHKEKRTESSSAPGPTN >scaffold_601429.1 pep chromosome:v.1.0:6:5711417:5711607:-1 gene:scaffold_601429.1 transcript:scaffold_601429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPAMLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_601435.1 pep chromosome:v.1.0:6:5729588:5729909:1 gene:scaffold_601435.1 transcript:scaffold_601435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASFQKLFPSLSRDPMAARFHTHEVDPNQCCSAVIHEISALISTVWSVVCRFDNPQAYKHFLKSCSVIVIFLIFSHRVIYVFFWFMCEKMLH >scaffold_601441.1 pep chromosome:v.1.0:6:5755557:5755839:-1 gene:scaffold_601441.1 transcript:scaffold_601441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVVFIKLLQHTLINVVTILVGNKSDLKDLREVSTAEGKSLAEAQGLFFMETSTLDSSNVAAAFETVVKEIYNILSVD >scaffold_601459.1 pep chromosome:v.1.0:6:5813819:5814076:1 gene:scaffold_601459.1 transcript:scaffold_601459.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6I8] MLVLSIFLSLGLFFLSILILYVSISKENETKDNHSSLTGSMGWPFVGETISFFKPHRSDSIGTFLQQRVSR >scaffold_601461.1 pep chromosome:v.1.0:6:5818617:5818841:1 gene:scaffold_601461.1 transcript:scaffold_601461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMGADEYGFGFLAMIATGCLMARICHTNNCLVDVASQVDSLDPVKFPCLLVHILLSF >scaffold_601464.1 pep chromosome:v.1.0:6:5825565:5827199:-1 gene:scaffold_601464.1 transcript:scaffold_601464.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6J3] MYSVTFLVLRSPPAPPSEPPSPPSEPPSPPTPPEPPDPPDPQIRPSSGEIYAQVLLLPHFTGLPRVHSEHKLPSPPPSRHVPPIITVFVPRRSSRRCCHMPITTRSPKVKLRFSLVGPYHCCGLVTSLSRPNYRSCCGPTPLFDWTRYVISNLLLSPLIRLLRYIIWNGRGHYSSFVSTPLHWLRDYQFRVQAPSIVPTAFFPSVHPLVVVICYLTFAVNSWDWLGFVQPCVSSSDMYVAFPCAPNVVGTSWAGFVISGMCTRILSGSLFNGQSRPSWALSIYMTSEGLGFTEENICVIKSQLFQPPSQKIEAFLSFSDAEWLQSMVCCGLGWSFKDPLNGKIHHGSFSRPFVSSVLVAEALALKAAIKAAIKAALLLGVSRLACVSDCQELVLLPNTDGHANELDSILADCDLFVLYFCLCMFILFQGLKTVELTL >scaffold_601465.1 pep chromosome:v.1.0:6:5828199:5830881:1 gene:scaffold_601465.1 transcript:scaffold_601465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLRTERVRPTPKLFTYVLVGFIALLGLTCLYYGSSFAPGSRKSDEFDGSNHRVRTGIGSVRNRDGVLAVSRFEVPKSVPVRESNHLILIELARLHHCPPPERRFNCLVPPPIGYKIPLRWPVSRDEVWKANIPHTHLAQEKSDQNWMVVNGDKINFPGGGTHFHNGADKYIVSLAQMLKFPGDKLNNGGSIRNVLDVGCGVASFGAYLLSHDIIAMSLAPNDVHQNQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFVYSSPEAYAHDPENRKIGNAMHDLFKRMCWKVVAKRDQSVIWGKPISNSCYLKRDPGVLPPLCPSGDDPDATWNVSMKACISPYSVRMHKERWSGLVPWPRRLTAPPPRLEEIGVTPEQFREDTETWRLRVIEYWKLLKPMVQKNSIRNVMDMSSNLGGFAAALNDKDVWVMNVMPVQSSPRMKIIYDRGLIGATHDWCEAFDTYPRTFDLIHAWNTFTETQTRGCSFEDLLIEMDRILRPEGFVIIRDTTDNISYIKKYLTLLKWDKWSTETTPKGDSLSTKDDRVLIARKRLWSVPANSAS >scaffold_601467.1 pep chromosome:v.1.0:6:5833719:5835287:1 gene:scaffold_601467.1 transcript:scaffold_601467.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7M6J6] MKNNLDRAKLMVSSAVFSWLLFTVTTAVSVQPTCTFPAIYNFGDSNSDTGGISAAFEPIRDPYGQGFFHRPAGRDSDGRLTIDFIAERLELPYLSAYLNSLGSNFRHGANFATGGSTIRRQNETIFQYGISPFSLDMQIAQFDQFKARSAQLFSQIKSRYDREKLPRQEEFAKALYTFDIGQNDLSVGFRTMSVDQLKATIPDIVSHLASAVRNIYQQGGRTFWVHNTGPFGCLPVNMFYMGTPAPGYLDKSGCVKAQNEMAMEFNRKLKETVINLRKELTQAAIIYVDVYTAKYEMMSNPKKLGFANPLKVCCGYHEKYDHIWCGNKGKVNNTEIYGGSCPNPAMAVSWDGVHYTEAANKHVADRTLSGLLTDPPVPIIRACYRQ >scaffold_601472.1 pep chromosome:v.1.0:6:5848275:5849481:1 gene:scaffold_601472.1 transcript:scaffold_601472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRCAIRRCSSSQLELKTKKNETKVWSVPDDVFVHCVAPHLSRLDLVALSMVTRRYRFVAVLHEIRALRSMRSVDLYMHKYPDPSPRWFVLHPVQRRLKPVNPAPVAGSCFVETGWGIYTIGGLMNGKPTSEVTFFDCTDHQVCRVAPMKIARSGESACLIDRQKIYVFGGCWDDVAADSSNWVEMPLKIQQSVVMFQEHVYAVDEDGQLFIFPTSEWKFKADGGTESNPENRNDWLFADHTLFCRGLGGKILWRFPPELDWKEVKGFRVPRFPWRDARKAGYWSFGGTLSGPVLSSQTHHILALTCYLLVLSMPEYSQSLCLHCVESLLLDINKVWDTLPPQKNPESALLLCSGNQVYFRFEHNIEE >scaffold_601474.1 pep chromosome:v.1.0:6:5854549:5856519:-1 gene:scaffold_601474.1 transcript:scaffold_601474.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase family protein [Source:UniProtKB/TrEMBL;Acc:D7M6K2] MPLNVVNDRDGSSRILLTDPAGSTAEVLLYGGQVVSWRNERREQLLYMSSKAQLKPPKAIRGGLPICFPQFGNFGALERHGFARNRFWSFDNDPAPLPPANQQSTVDLVLKSTEDDLKIWPHSFELRVRISISPGKLTIIPRVRNTDLKAFSFMFSLRNYLYVSDISEVRVEGLETLDYLDNLMRRERFTEQADAITFDGEVDKVYLNTPTKIAIIDHERKRTIELRKEGMPNAVVWNPWDKKAKSIADMGDEDYTTMLCVDSGAIETPIVLKPHEEWRGRQELSIVSSSYCSGQLDPRKVLYGDY >scaffold_601479.1 pep chromosome:v.1.0:6:5876779:5879427:-1 gene:scaffold_601479.1 transcript:scaffold_601479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKVSQQKLLYRWKRKVYATLMFAFCLGTFVFIQARFNGITASLDSLKKPRLDQKPQIAFLFIARNRLPLELVWDAFFQGEDGKFSIYVHSRPGFVLSEATTRSKFFLDRQVNDSIQVDWGESTMIEAERVLLRHALRDPFNHRFVFLSDSCIPLYSFSYTYNYIMSTPTSFVDSFADTKDSRYNPRMNPIIPVHNWRKGSQWVVLNRKHAEIVVNDTSVFPMFQQHCRRKSLPEFWRDRPVPAEGWKEHNCIPDEHYVQTLLSQKGVDSELTRRSLTHSAWDLSSSKSNERRGWHPMTYKFSDATPDLIQSIKGIDNINYETEYRREWCSHKGKPSPCFLFARKFTRPAALRLLRESILLEDKEQDN >scaffold_601485.1 pep chromosome:v.1.0:6:5899426:5902941:1 gene:scaffold_601485.1 transcript:scaffold_601485.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7M6L2] MAATATASAIRYAPEDPNLPKPWKGLVDSRTGYLYFWNPETNVTQYERPSSSAPPKLAAIPISSSVQTTQQSSSGFNSGKEEDKYGRGSDGPKSDSGSRFNEAGRMGPTISNDTASGLGNAAFGGSSARGPPSSAAGNELSPEAYCRKHEITVSGGQVPPPLMSFEATGLPPELLRESHNFMPYALSFVPGAIFALGGANVVRYATSLGFLQIIWSALFGGPNTLRYPPAAGFNSYPVLPANGRMIAGFSAPSPIQAQSWPIAMQNRDIVAIAKTGSGKTLGYLIPGFMHLQRIHNDSRMGPTILVLSPTRELATQIQVEALKFGKSSKISCACLYGGAPKGPQLKEIERGVDIVVATPGRLNDILEMRRISLHQVSYLVLDEADRMLDMGFEPQIRKIVNEVPTKRQTLMYTATWPKEVRKIAADLLVNPAQVNIGNVDELVANKSITQTIEVLAPMEKHSRLEQILRSQEPGSKIIIFCSTKRMCDQLARNLTRTFGAAAIHGDKSQAERDDVLNQFRSGRTPVLVATDVAARGLDVKDIRVVVNYDFPNGVEDYVHRIGRTGRAGATGLAYTFFGDQDAKHASDLIKILEGANQKVPPQVREMATRGGGGMNKFRRWGPPSSGGGGGGRGGYGDSGYSGRGESGYGGRGDSGYGGRGDSGGRGSWAPSRDSSSSGWGRERSRSPERFKGGPPSTSSPPRSFHEAMMMRNR >scaffold_601486.1 pep chromosome:v.1.0:6:5903512:5903794:-1 gene:scaffold_601486.1 transcript:scaffold_601486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIKCSYDASYNEGDRASGIGWIYRNTNKQFLKAGIGEFHGRSTPKEAECKVYGSYKQHEQMDTGKFSLKRTTRTSTTC >scaffold_601493.1 pep chromosome:v.1.0:6:5923402:5924881:-1 gene:scaffold_601493.1 transcript:scaffold_601493.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein family protein [Source:UniProtKB/TrEMBL;Acc:D7M6L9] MESEPTRVMVAVNESTLRGYPHASISSKKAFEWTLKKIVRSNTSGFKLLLLHVQVQDEDGFDDMDSIYASPDDFRGMRERNKAKGLHLLEFFVKKCHEIGVACEAWIRKGDPTEVICHEVRRVRPDFLVVGSRGLGPFQKVFVGTVSEFCVKHAECPVITIKRSAEESPQDPADD >scaffold_601503.1 pep chromosome:v.1.0:6:6002251:6005110:-1 gene:scaffold_601503.1 transcript:scaffold_601503.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M6N0] MIRIWNNYKGKYRFFLSNCRSFSSIKRPQIPVSEETSLSISKRIFHPDLALNKTRVYVSLFHTLFRLYLSCGRLYGAARTLSAMCTFGVVPDLCLWNSLIHQFNVNGLVHDQVSLVYSKMIACGVSPDVFALNVLIHSLCKVGQLSFAISLLRNRVISVDTVTYNTVISGLCEHGLADEAYQFLSEMVKIGILPDTVSFNTLIDGFCKVGNFARAKALVDEISELNLITHTILISSYYNLHAIEEAYRDMVMSGFDPDVVTFSSIINRLCKDGKVMEGGLLLREMEEMGVYPNHVTYTTLVDSLFKAKDYRHALALYSQMVVRGIPVDLVVYTVLMAGLFKAGDLREAEKTFKMLLEDNEVPNVVTYTALVDGLCKAGDLSSAEFIITQMLEKSVFPNVVTYSSMINGYVKKGMLEEAVSLMRKMEDQNVVPNGFTYGTVIDGLFKAGKQEVASEMSKEMRLIGVEENNYILDALVNHLKRIGRIKEVKGLVKDMVSKGVTLDHINYTSLIDVFFKGGDEEAALSWAEEMQEKEMPWDVVSYNVLISGLLKFGKVGADWAYKGMREKGIEPDIATFNIMMNSQRKQGDFEGILKLWDKMKSCGIKPSLMICNIVVGMLCEKGKMKEAIDILDQMMFMEIHPNLTTYRIFLDMSSKHKRADAIFKTHETLLSYGIKLSRQVYNTLIATLCKLGMTRKAAMVMEDMEARGFVPDTVTFNALMHGYFVGSHVGKALSTYSMMMEAGISPNVATYNTIIRGLSDAGLIKEVEKWLSEMKSRGMRPDDFTYNALISGQAKIGNKKESMTIYCEMIADGLVPKTSTYNVLISEFAKVGKMLQATELMKEMGKRRVSPNTSTYCTMISGLCKLCTHPEVEWNKKAMYLAEAKGLLKEMIEEKGYIPYNQTIYWISAAFSKPGMKVDAERFLKECYKKKSARSSNS >scaffold_601507.1 pep chromosome:v.1.0:6:6019852:6020593:-1 gene:scaffold_601507.1 transcript:scaffold_601507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDVLPRFFSVFLSQYNSNSFLIPRSYYDHLPRRLPKTVILMGNGGKSWKVAMKSKRDQVYFEQGWANFVAENELRDGEVLTFVFDGHRCYEVSIYTRGGCKETRAAIEVEEISDETASDYSCDSIVSVIQV >scaffold_601524.1 pep chromosome:v.1.0:6:6084897:6085912:1 gene:scaffold_601524.1 transcript:scaffold_601524.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7M6P9] MGLHPVSEANASSPFGSLSAATFYSRHSVAHSSAFVTNPTGLKLFTQWWTPLNRPPLGLIAVVHGFTGESSWFLQLTSVLFAKSGYLTCAIDHQGHGFSDGLTAHIPNINLIVDDCISFFDDFRKRHASFSSLPSFLYSESLGGAIALYITLRQKHQWNGLILSGAMCSISHKFKPPWPLQHLLTLAATLIPTWRVVPTRGSIAGVSFKEPWKRKLAYANPNRTVGKPRAATAYELVRVCEDLQSRFEEVEVPLMIVHGGDDVVCDPASVEELYRRCSSRDKTIKIYPGMWHQLIGESEENVDLVFGDVLDWIMKRSEISRTKA >scaffold_601526.1 pep chromosome:v.1.0:6:6096273:6097888:1 gene:scaffold_601526.1 transcript:scaffold_601526.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M6Q4] MFSTSIADSEQVGFTRSNIEKDDESEEEIDLDLGFTISDDGVSEDVGTIVKLLKNCGSDRKELRNKLEECDVKPSNELVVEILSQVRNDWETAFTFFVWAGKQQGYVRSVREYHSMISILGKMRKFDTAWTLIDEMRKFSPSLVNSQTLLIMIRKYCAVHDVGKAINTFHAYKRFKLEMGIDDFQSLLSALCRYKNVSDAEHLIFCNKDTYPFDAKSFNIVLNGWCNVIGSPREAERVWMEMGNVGVKHDVVSYSSMISCYSKGGSLNKVLKLFDRMKKESIEPDRKVYNAVIHALAKASFVSEARNLMKTMEEEKGMEPNVVTYNSLIKPLCKARKTEEAKQVFDEMLEKGLFPTIRTYHAFMRILRTGEEVFELLAKMRKMGCQPTVDTYIMLIRKLCRWRDFDNVLLLWNEMKEKGVGPDLSSYIVMIHGLFLNGKIEEAYGYYKEMKEKGMRPNENVEDMIQSWFSGKQYAEQRVIDSKGDVSGVDKGGIVKKSEREKNFLQQPEVRKVVRGHGYSFWDE >scaffold_601534.1 pep chromosome:v.1.0:6:6126240:6128441:1 gene:scaffold_601534.1 transcript:scaffold_601534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDAVKAKGNWKSEKPKETENKSKKNSNGDDNNKSRNDEEETEEASGCWVKFRFMIGCIPSKSDLDASSSSSIYGSTCTVATIESKSANEKLNDQPVGGPVSSTTTTSNAESSSSTPMISEELKISSHLRKFTFNDLKLSTRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNPDGLQGHKEWLAEINFLGNLLHPNLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLSFLHEEALKPVIYRDFKTSNILLDADYNAKLSDFGLAKDAPDEGKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLLDKRRFYRLLDPRLEGHFSIKGAQKVTQLAAQCLSRDPKIRPKMSDVVEALKPLPHLKDMASSSYYFQTMQAERLKNGSGRSQGGSGFGSRNGQHQPVFRTLSSPHGQHGSSPYRHQIPSPKPKGATK >scaffold_601540.1 pep chromosome:v.1.0:6:6153760:6156176:1 gene:scaffold_601540.1 transcript:scaffold_601540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 72 [Source:UniProtKB/TrEMBL;Acc:D7M6R7] MEVLLKLPTSESPLKDKVFGSVQVHEASKDDGDHQELESAKAEMSEVKEENEKLKGMLERIESDYKSLKLRFFDIIQQEPSNTATRNQNMVDHPKPTTTDLSSIDQERELVSLSLGRRSSSPSECTPKREEKTDAISAEVNADEELTKAGLTLGINNGNGRETNEGLSMENRANSSHGSEEAPGETWPPGKVAGKRSSPAPASGGDADGEAGQQNHVKRARVCVRARCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCADDMSILITTYEGTHSHSLPLSATTMASTTSAAASMLLSGSSSSSAAEMIGNNLYDNSRFNNNNKSFYSPTLHSPLHPTVTLDLTAPQHSSSSSSSLPSLNFNKFSNSFQRFPSTSLNFSSTTSTSSNSSTLNLPAIWGNGYSSYTPYPYNNVQFGTSNLGKTVQNSQSLTETLTKALTSDPSFHSVIAAAISTMVGSNGEQQIVGPRHSISNNIQQTTATNNNKGCGGYFSSLLMSNIMASNQTGAAMDQPSSQLPPFSMFKNSSSSSSTTNFVNNEEKS >scaffold_601543.1 pep chromosome:v.1.0:6:6169893:6170963:-1 gene:scaffold_601543.1 transcript:scaffold_601543.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BHLH family protein [Source:UniProtKB/TrEMBL;Acc:D7M6S0] MSSSRRSRQASSSSRISDDQITDLISKLRQSIPEIRQNRRSNTVSASKVLQETCNYIRNLNKEADDLSDRLTQLLESIDPNSPQAAVIRSLING >scaffold_601546.1 pep chromosome:v.1.0:6:6184891:6186515:1 gene:scaffold_601546.1 transcript:scaffold_601546.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7M6S3] MAALKITIYCFFFLQVLLCLLSSCALTNAQGLKVGFYNKACPKAELVVKKSIFDMVKKDPSLGPPLLRLFFHDCFVRGCEGSVLLELKNKKAEKNAPPNLSLEGFDFIDNIKAALEKECPGIVSCSDVLALVARDVVVALNGPSWEVETGRRDGRVTNINEATSNMPSPFSNITTLITQFQSKGLNKKDLVVLSGAHTVGDAHCPIVRNRLYNFTGKGDSDPSLDKEYAARLRRKCKPTDTTTDLEMDPGSFTTFDKSYFKLVSKQRGLFQSDAALLNNQETKSYVLMQTKRYGSTFFKDFGVSMVKLGRIGVLTGRVGEVRKNCRMVNKY >scaffold_601559.1 pep chromosome:v.1.0:6:6239820:6241508:-1 gene:scaffold_601559.1 transcript:scaffold_601559.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M7B4] MIRRQTNDRTTNRRRSKLWQNCKNFRTLMQIHAFMVVNGLMSNLSVVGELIYSASLSVPGALKYAHKLFEEIPKPDVSICNHVLRGSAQSLKPEKTVALYTEMEKRGVSPDRYTFTFVLKACSKLEWRSNGFAIHGKVVRHGFLLNEYVKNALILFHANCGDLGIASELFDDSAKAHKVAWSSMTSGYAKRGKIDEAMRLFDEMPDKDQVAWNVMITGCLKCREMDSARELFDRFTEKDVVTWNAMISGYVNCGYPKEALSIFKEMRDAGEHPDVVTILSLLSACAVLGDLETGKRLHIYILETASVSSSIYVGTPIWNALIDMYAKCGSIDRAIEVFRGMKDRDLSTWNTLIVGLALHHAEGSVEMFEEMQRLKVWPNEVTFIGVILACSHSGRVDEGRKYFSLMRDMYNIEPNIKHYGCMVDMLGRAGLLEEAFMFVESMKIEPNAIVWRTLLGACKIYGNVELGKYANEKLLSMRKDESGDYVLLSNIYASTGQWDGVQKVRKMFDDTRVKKPTGISLIEEDDDKLMMRYLLSSEAESKSRKRIS >scaffold_601560.1 pep chromosome:v.1.0:6:6241754:6242193:-1 gene:scaffold_601560.1 transcript:scaffold_601560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLCFLFVMALYVGLGNAQFGKKNSLHFKNSLSPGKDLSIYCTFNKESLGSVDLNSGETYVFSFHGKFDFKNKIDCNFRKESFYAKIRAFESGTGAFDHGKKNFWDAREDGIYFTHGKKTPKLEYTWISN >scaffold_601562.1 pep chromosome:v.1.0:6:6248396:6249470:1 gene:scaffold_601562.1 transcript:scaffold_601562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPGLYSGTSSLALVARASAFGLGLVYGNMKLKVLKIKSMSQKTVEATTHH >scaffold_601564.1 pep chromosome:v.1.0:6:6259347:6261258:-1 gene:scaffold_601564.1 transcript:scaffold_601564.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M7B9] MKCLSYQNVRLLLRQSAHRSFLHPGRELHAVLTTSGLKKAPRSYLSNALFQFYASSGEIATAQKLFDEIPLSDKDNVDWTTLLSSFSRFGLLVNSMKLFVEMRRKRVEIDHVSLVCLFGVCAKLEDLRFGEQGHGVAVKMGFLTSVKVCNALMDMYGKCGFVSEVKRIFQALEEKSVVSWTVVLDTLVKWEGLKRGREVFDEMPERNVVAWTLMVAGYLGAGFTREVLELLAEMVFRCGHGLNFVTLCSMLSACAQSGNLVIGRWVHVYALKKAMMMGEEETYDGVMVGTALVDMYAKCGNIDSSIKVFRLMRKRNVVTWNALFSGLAMHGKGRMVIDMFPEMVREVKPDDLTFTALLSACSHLGMVDEGWRCFHSLQFYGLEPKVDHYACMVDILGRAGRIEEAEILMREMPVPPNEVVLGSLLGSCSVHGKLEIAERIKRELIQMSPGHTEYQILMSNMYVAEGRSDIADGLRGSLRNRGIRKIPGLSSIYVNDSVHRFSSGDRSHPRTKEVYLKLNEVIERIRSAGYVPDISGLVSPSEGDLEEKEQALCCHSEKLAVCFGLLETKPRTPLLVFKNLRICRDCHSAMKIVSKVYDREIIIRDRNRFHQFKGGSCSCSDYW >scaffold_601565.1 pep chromosome:v.1.0:6:6262322:6263372:-1 gene:scaffold_601565.1 transcript:scaffold_601565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTVLIVAVALAFLVAAPMPEVTAKKYTVGENKFWNPNINYTIWAQGKHFYLGDWLYFVYDRNQHNILEVNKTDYEGCIADHPIRNWTRGAGRDIVTLNETKHYYLLDGKGGCYGGMKLAVKVEKLPPPPKSAPVKNIGSASMVTGFAQFMIPVSLFAFPAMWDVISRMW >scaffold_601572.1 pep chromosome:v.1.0:6:6291093:6292118:1 gene:scaffold_601572.1 transcript:scaffold_601572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPKQYRCTHSPSCQCTKGHLNEDVLLLVFQHLNWNPKLVATFSCVCRWFDDFAKRVLWKEFCKTRAPKMMLDLQSSGSHCIDGNWRALGKLLIYCSGCTQGGLFNSSVQIPGHFVYRTRFSRTLGKSLLPPQCRTDVLYVCDPCEHLDQGEEGDVGLFRGIFKSFPTSKVRKVIINKAVPFHPSEVCPYCKARLWSMLQAKIIPQSACIRLEAYEDCIEYFVCLNGHLLGICTLAPLSDSEDAIPSEDSNHIEKKQENCLPKENILKRRNSLLGGSENGPPPQKRLTNPNPCNIDV >scaffold_601573.1 pep chromosome:v.1.0:6:6292337:6296242:-1 gene:scaffold_601573.1 transcript:scaffold_601573.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APG6/CLPB-P/CLPB3 [Source:UniProtKB/TrEMBL;Acc:D7M7C7] MATATTTATAAFSGVVSVGTKTRRISTFSHLQPSVAFPAKPNSFKLLKFKQSARLTRRLEHRPFFVRCEASSSNGRLTQQEFTEMAWQSIVSSPDVAKENKQQIVETEHLMKALLEQKNGLARRIFSKIGVDNTKVLEATEKFIQRQPKVYGDAAGSMLGRDLEGLFQRARQFKKDLGDSYVSVEHLVLAFADDKRFGKQLFKDFQISEKSLKSAIESIRGKQSVIDQDPEGKYEALEKYGKDLTAMAREGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRKLISLDMGALIAGAKYRGEFEDRLKAVLKEVTDSEGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTISILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDELDRSVIKLEMERLSLTNDTDKASRERLNRIETELVLLKEKQAELTEQWEHERSVMSRLQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNSLQRQLNEAEKELNEYLSSGKSMFREEVLGSDIAEIVSKWTGIPVSKLQQSERDKLLHLEEELHKRVVGQNPAVTAVAEAIQRSRAGLSDPGRPIASFMFMGPTGVGKTELAKTLASYLFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVRRRPYSVILFDEIEKAHGDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQFILNNTDDDANELVYETIKERVMNAARSIFRPEFMNRVDEYIVFQPLDREQINRIVRLQLARVQKRIADRKMKINITDAAVDLLGSLGYDPNYGARPVKRVIQQNIENELAKGILRGDFKEEDGILIDTEVTAFSNGQLPQQKLTFKKIESETADAEQEEEEAFSKQSR >scaffold_601577.1 pep chromosome:v.1.0:6:6307432:6308782:-1 gene:scaffold_601577.1 transcript:scaffold_601577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQDLHKPIYGALRNLKITHSRKETEESMNLQRDFTCYYGLRENPKKKIQESPEPMKKILFRCEECGKGFRYEKCFSNHKAVMHLSTNQKVCEESVMSLCRSLSFVRKKKRSRLVRYKKTSFSCSFTTFHEPRSVVAANDEELEVADCLILLSESTPKFVDGLKLLAEAVHITHETPKSSYDLGCLRNKKPRNSSEFEYGFFSNEQRFMEEGFSSFGTSKEPASFLRDDNRLDQQKRRKAGEFESEFLSNEQRMLKEEITTPVTFKGPASFLGRKCVLDQHKLRNGGGFGSEFLSNEQKLMGGAWKEPESFLVTKIELEQRKLRKAGDFETGYYRTELGVGAMECSDSDSEMITESDKKNVEHQCRLCNKIFSSYQALGGHQTIHRMSKCKFKKNCREESVEPEDESMRSSVTKRRRHTSVASVQGISARFML >scaffold_601579.1 pep chromosome:v.1.0:6:6313439:6314540:-1 gene:scaffold_601579.1 transcript:scaffold_601579.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7M7D2] MTPLLVAVSKKKIDLISEFFLVCPESIVDANVNGENALHIALSNYDQREGLSVIKVLMGWILRLCQKDAEWIETRVINRRDKDGNTPLHLAAYENNLQAMKLMLESSKINVNIENKTGLTVLDIAALHNNRETERMVKRHGGERSVSLVKIKTTSDLLASQLSWRESRRTKKIRFYSWISEERRNALLVVATLIVTATYQTVLQPPGGVSDGSGQNGGTGTSGTKAGSVVMDEVYFIWLWLWNSAGFYFAIEMMIRLLSLGQESMFWYYPLFVPLLLAYSVAGDVIKPNARAYTIAGVGAIVVLIIWGLVVWFWEWVQSKRTKVRGPKSGLVWEGFTTLDQARGFAPNRYGIR >scaffold_601581.1 pep chromosome:v.1.0:6:6315745:6318347:1 gene:scaffold_601581.1 transcript:scaffold_601581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWTLKNGKPVTPVKDRSKFQSKVQENSRSSENANPNISHASPAKSPITKSAKKSAQKNQSPNPKKPSQAVSSPRNRIRERKFVVVAKKNSRKGKKDPAVTESKVAEIDCKCGERKKGNMKCVCVAYETLRASQEEFFKNRIQSEEEKGDLEECCNLGQNVGDGGGSGEPEKTGASTMKRSRAKVVEEARQSVAVSAKNLGLDPNASISSSWDSTRGSAMSGSSNTGRRSRRNSMDSSTTMGSRRSKKKQVKVTSLKPFKLRTEQRGKMKEEEFAKKIHEITLEEEKLRIPIAQGLPWTTDEPECLVKPHWKDITRPVDLTLHSDVRAVERAEFDYQVAEKMNFIEQYKMERERQQKLAEEEEIKRLRKELVPKAQPMPYFDRPFIPRRSSKHSTAPQDPKFHIPQHKKIRCCSSSSWSEAGSCMSDFQYQFL >scaffold_601582.1 pep chromosome:v.1.0:6:6318903:6319772:-1 gene:scaffold_601582.1 transcript:scaffold_601582.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S19 [Source:UniProtKB/TrEMBL;Acc:D7M7D4] MATGKTVKDVSPHDFVKAYASHLKRSGKIELPLWTDIVKTGRLKELAPYDPDWYYIRAASMARKIYLRGGLGVGAFRRIYGGSKRNGSRPPHFCKSSGGIARHILQQLETMSIVELDTKGGRRITSSGQRDLDQVAGRIAAES >scaffold_601583.1 pep chromosome:v.1.0:6:6320059:6322768:1 gene:scaffold_601583.1 transcript:scaffold_601583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLLTLARRSQIRLCLNQAIRLASSAVAYVSPFTTPATLSPPPPHILPYDNAAETVNSKLKKLENPDSRFLKYASPHPKLASHDHILSAPETRVTTLPNGLRVATESNLSAKTATVGVWIDAGSRFESDSTNGTAHFLEHMLFKGTERRSRRELEEEIENIGGQLNAYTSREHITLYAKVLDTNVNQALDILADVFQNSEFREARINEERNVILREMQEVEGEIQEVVLDHLHATAFQHTPLGRTILGPAENIKSITRVDLQNFIKNHFTAPRTVIAAAGAVKHEEFVEQVKESFTNLSSDSTSTSQLVVEEPANFTGAEVRIINDDLPLAQFAVAFEGASSTDPDSVALMVMQTMLGSWNKSVGGGKHMGSELAQKVAISELAESIMTFNTNYKDTGLFGIYAVAKPDCLDDLAHAITYAVTKLAYQVSEDDVTRARNQLKSSLLLNMNGTTPVAEDIGRQLLTYGRRIPTAELFARIDAVDASTVKYVANKYIYDKDMAISAIGPIQELPDYNWFRRRTYWNRY >scaffold_601584.1 pep chromosome:v.1.0:6:6323617:6325209:1 gene:scaffold_601584.1 transcript:scaffold_601584.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein of acetyl-CoA carboxylase [Source:UniProtKB/TrEMBL;Acc:D7M7D6] MASLSVPCAKICALNRRVGSLPGISTQRLQPQPNGISFPSHVSQNQSTFWRLRATTNEVVSNSTPMTNGGYMNGKVKTNDPEPAELSEFMTKVSGLLKLVDSKDIVELELKQLDCEIVIRKKEALQQAVPPAPVYHSMPPPMTDLSMPPAQPVAPPPSPTPSSTPATAKPTTAPSSSHPPLKSPMAGTFYRSPGPGEPPFVKVGDKVQKGQVVCIIEAMKLMNEIEAEKSGTITELLAEDGKPVSIDTPLFVILP >scaffold_601585.1 pep chromosome:v.1.0:6:6327455:6337226:-1 gene:scaffold_601585.1 transcript:scaffold_601585.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 2 [Source:UniProtKB/TrEMBL;Acc:D7M7D7] MSNPSSSGWGSSSSLTQFGIGLANTVQSEVAPYLPLPSLPIFCGAAEPGDFKLFDEVGEGSSYRSLDRSEILAQSSRIANMLQETDVSYLDLRNEARAPDFNSGEHFQLYDQVLRCNPGAFEYVTPGPTCDPVFTNEVPQKIISEPSVPVKMHRQTDNHLARSIEPEPVKRVLRSNHVEEDHSWQHETLTNQSPNDGTAYHDSRPETITMNEISASKKPKGKKKRKDDLSSVQPDPSVLQESIVNSFCEMLEDFCGRAEVPGDDRDETEWSSVPVDEVRVLVNELMTIRSKMLLHMVPVDILSRLLRTLDHQIHRAEGLSIYSEHSDSDSVLLVLGALESIHASLAVMANSDMPKQLYKEEIIERILEFSRHQMMAVMSAYDPSYRTGSKPAENVAFEGDDDDDPDHEMGSASKRRRIGKSGKVKKSSVNRISGAVNTALQKLCTILGLLKDLLLVERLSDSCILQLLKTSITTFLVENIQLLQLKAISLIGGIYNSYSQHRTYVIDEISQLLWKLPSSKRALRAYLLPDEEQRQIQMVTALLIQLVHNSTNLPETSRQASSGNSILETPVDVGYLTKCHEAATETCCLFWTRVLERFTSFKGQDASEIKLIIENLVMDLLTALNLPEYPSVSPILEVLCVILLHNAGLKSKDVSARIMAIDLLGTIAARLKRDAVLCSKDRFWTLLESDSEINVDQVCTKDCTICLGKRAGNLLVCQICQRRFHGDCLGLKELDIPSRNWHCPFCVCKRKLLVLQSYCKTDTKGTGKLESEESIENPSMITKTEVVQQMLLNYLQDAGSTDDVHTFICWFYLCLWYKDVPKSQDKFKYYIARLKAKSIIRNSGATTSFLTRDAIKKITLALGMNSSFSRGFDKILNMLLASLRENAPNIRAKALRAVSIIVEADPEVLCDKRVQLAVEGRFCDSAISVREAALELVGRHIASHPDVGIKYFEKVAERIKDTGVSVRKRAIKIIRDMCTSNPNFSEFTSACAEILSRISDDESSVQDLVCKTFYEFWFEEPPGHHTQFASDASSIPLELEKKTKQMVGLLSRTSNQQLLVTIIKRALALDFFPQAAKAAGINPVALASVRRRCELMCKCLLEKILQVEEMSREEGELQVLPYVLVLHAFCLVDPGLCTPASDPTKFVITLQPYLKSQADSRTGAQLLESIIFIIDSVLPLIRKLPLSVTEDLEQDLKHMIVRHSFLTVVHACVRCLCSVSKLAGKGVSIVEHLLQFFFKRLEAQGSDNTQIAGRSLFCLGLLIRHGNSLISTSGGKNFNLSGCLNLFKRHLRTEDIALKVRSLQALGFILIARPEYMLEEDIGKIIETTLADEANGRMKMQALQNIYEYLLDAEKQLGSEKASDNVVNPIEQGGHTVPVAAGAGDTNICGGIVQLYWDKILGRCLDFDDQIRQTALKIVEVVLRQGLVHPITCVPYLIALETDPQEANQKLAHHLLMNMHEKYPAFFESRLGDGLQMSFIFMQSISQVTSEPNQSLQQKGSTNMSGKNDHTSSTLTQARLGVSRIYKLIRGNRVSRNKFMTSIVRKFDNPTWSGSVISFMKYCTETLALLPFTSPDEPLYLVYSINRVMQIRAGAVESNLKALLHKESAKTQHGNGTYQQGPIPGHMHMMDLNTRIQEEPTHWNSYAHPTPIDLNGAVYQDSRDQFTSYQVHNGKADVHKMTSSDPPELSTDDLQKIKVDCAAAIAIQLLLKLKRYLKVTYSLNDDRCQAYSPTEPLKPGDPLSRQSVAFDLSETRTDLPSTYQDLVQRYQEFKNAMREDTVDFTIYSSNVKRKRPTPRKTSRSAKKPVAYNEDDDDDDDDDRGWHGGGGRGAARRLNYSTRSSNRR >scaffold_601588.1 pep chromosome:v.1.0:6:6352779:6353964:1 gene:scaffold_601588.1 transcript:scaffold_601588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGGGEGGSQRRELQGKRSISRGNDFAYAVVRMAAAQICESVEISSYQESQTREGLRFSSFQETALETLTDVVIQYIQNIGKTANFYANMAGRVEGNALDIVQALEDLGSGLGFDGAHDVDHCLADSGVVKDIIRYTGEAEEIPFVYSLPRFPFNRGKRPAPSFSEIGAEPPDEHIPIWLPAFPETKMSNGSEETNVDKIEGDVQSRENGPSLSSMQQSVDVDRLKVQKYMDQKDVQKPTEEPEPEGNPFLAAPLWIGEKNVSRVFPPPELTKEEIRTNHVPEKYMSKSHHIPALEAYALSDKINDKNRLAETEDGQKRDGGRTERALLRFKIGTRKASVCWTLNQCLEEKGWFQEDGYKREKKVEREEKRESIDADVK >scaffold_601590.1 pep chromosome:v.1.0:6:6364201:6364523:1 gene:scaffold_601590.1 transcript:scaffold_601590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAHNKSIVGKGNVWYDMSYDDAIKQTLEKGKVEPNLATQEDETESEKEKEESATSFLNYSYTIEKTYLSHLFSLLLLFFFLQYTNNSML >scaffold_601591.1 pep chromosome:v.1.0:6:6366089:6366538:-1 gene:scaffold_601591.1 transcript:scaffold_601591.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7E3] MARVDFNLSPTNNELHSFDLNIIPGISEDNDSEYGGLRNEGASVFAAEEPNPESEDLDVNVEEDHDTEDPFVGEEAGQNENGGNPNQFNGDQGMYL >scaffold_601592.1 pep chromosome:v.1.0:6:6366883:6368563:-1 gene:scaffold_601592.1 transcript:scaffold_601592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDMCKSSLFHVFTLSLTSLSNSLINLRKLFSFISSPNLIIKSIFQSLTRRSPNPSSTTAALPPRSSSSSAADASTEVRRNRYKVAVDAEEGRRRREDNMVEKSKREESLLLELGSLPSMVGGVWSDDRSLQLEATTQFRKLLSIECNPPIEEVIQAGVVPRFVEFLTREDYPQLQHYMESFTTYIVNLLKKEKLFAPQGGPIILSQARQMTTYKISASFCFFLECFS >scaffold_601597.1 pep chromosome:v.1.0:6:6379087:6381156:1 gene:scaffold_601597.1 transcript:scaffold_601597.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7M7E8] MNTPPSSRVASFGQTEINWDKLDKRRFYVVGAGLFTGVTVALYPVSVVKTRLQVASKEIAERSAFSVVKGILKNDGVPGLYRGFGTVITGAVPARIIFLTALETTKISAFKLVAPLELSEPTQAAIANGIAGMTASLFSQAVFVPIDVVSQKLMVQGYSGHATYTGGIDVATKIIKSYGVRGLYRGFGLSVMTYSPSSAAWWASYGSSQRVIWRFLGYGGDSDATAAPSKSKIVLVQAAGGIIAGATASSITTPLDTIKTRLQVMGHQENRPSAKQVVKKLLAEDGWKGFYRGLGPRFFSMSAWGTSMILTYEYLKRLCAIED >scaffold_601601.1 pep chromosome:v.1.0:6:6403528:6409419:-1 gene:scaffold_601601.1 transcript:scaffold_601601.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:D7M7F2] MSSAQTPLFLANQTKVFDHLIPLHKPFLSSPNPVSQSFPMWRNIAKQAILRSATRSNVGSKTRGFLGSSPESKNLSFRFPVFGSISGSPCYEMGFRSLSGITRRDEFSKSERCLNGTLARGYASVAEEVLSTDVEEEPEVHELLKEMKKEKKRESHRSWRMKKQEQFGMGRTKFQNLWRRQVKIETEEWERAAAEYMELLTDMCEQKLAPNLPYVKSLFLGWFEPLRDAIAKDQELYRLGKSKATYAHYLDQLPADKISVITMHKLMGHLMTGGDNGCVKVVHAACTVGDAIEQEIRICTFLDKTRKKKGDENEESGEVENGTSMKEQDKLRKKVNELIKKQKLSAVRKILQSHDYTKPWIADVRAKVGSRLIELLVRTAYIQSPADQQDNDLPDVRPAFVHTFRIANSGRKYGVIECDPLVRKGLEKSGRYAVIPYMPMLVPPLKWSGYDKGAYLFLASYIMKTHGSKQQREALKSAPKGQLLPVFEALDTLGSTKWRVNKRVLTVVDRIWSSGGCTADLVDRSDVPLPEKPDTEDEGILKKWKWEVKSAKKVNSERHSQRCDTELKLSVARKMKDEEAFYYPHNMDFRGRAYPMPPHLNHLGSDLCRGVLEFAEGRPLGISGLRWLKIHLANLYAGGVDKLSLDGRLAFTENHLEDIFDSADRPLEGSRWWLQAEDPFQCLAVCINLTEALRSPSPETVLSHIPIHQDGSCNGLQHYAALGRDTLGAEAVNLVAGEKPADVYSGIATRVLDIMRRDADRDPEAYPDALRARKLLNQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERSDFGDEKEIFGAACYAAKVTLAAIDEMFQAARAIMRWFGDCAKIIASENETVRWTTPLGLPVVQPYHQMGTKLVKTSLQTLSLQHETDQVIVRRQRTAFPPNFIHSLDGSHMMMTAVACKRAGVCFAGVHDSFWTHACDVDKLNRILREKFVELYSQPILENLLESFEQSFPHLEFPPLPERGDLDLKVVLDSPYFFN >scaffold_601603.1 pep chromosome:v.1.0:6:6412517:6414027:-1 gene:scaffold_601603.1 transcript:scaffold_601603.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase 7 [Source:UniProtKB/TrEMBL;Acc:D7M7F4] MKSLLICVVLLELVWFGNGQSRDHQPLAPAFFVFGDSLVDSGNNNYIPTLARANYFPYGIDFGFPTGRFCNGRTVVDYGATYLGLPLVPPYLSPLSIGQNAFRGVNYASAAAGILDETGRHYGARTTFNGQISQFEITIELRLRRFFQNPADLSKYLAKSIIGINIGSNDYINNYLMPERYSTSQIYSGEDYADLLIKTLSAQISRLYNLGARKMVLAGSGPLGCIPSQLSMVSGNNNSGCVTKINNMVSMFNSRLKDLANTLNTTLPGSFFVYQNVFDLFHDMVVNPSRYGLVVSNEACCGNGRYGGALTCLPLQQPCLDRNQYVFWDAFHPTETANKIIAHNTFSKSANYSYPISVYELAKL >scaffold_601605.1 pep chromosome:v.1.0:6:6419418:6421275:1 gene:scaffold_601605.1 transcript:scaffold_601605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRSDLVVIGISVGLALGLLLALLLFFAIKWYNNRSHLRRCANEQDTPTLPVHTAKRGVVTPDDSANTESSQPPENAAPTQHQPWWNNNNKDLTVSASGIPRYHYKDIQKATQNFTTVLGQGSFGPVYKAVMPNGGLAAAKVHGSNSSQGDREFQTEVSLLGRLHHRNLVNLTGYCVDKSHRMLIYEFMSNGSLENLLYGGEGMQVLTWEERLQIALDISHGIEYLHEGAVPPVIHRDLKSANILLDHFMRAKVADFGLSKEMVLDRMTSGLKGTHGYMDPTYISTNKYTMKSDIYSFGVIILELITAIHPQQNLMEYINLASMSPDGIDEILDQKLVGNASIEEVRLLAKIANRCVHKTPRKRPSIGEVTQFILKIKQGRSRGRRQDTMSSSFSVGYEEDLSRVMSRIKDQHVELGLLAGVKEENHQERNIGTT >scaffold_601609.1 pep chromosome:v.1.0:6:6434357:6434847:-1 gene:scaffold_601609.1 transcript:scaffold_601609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETFKIRRLEISDKRKGFIELLGQLTVTGSVTDEEFDRRFEEIRSYGEDHVICVIEEETSGKIAATGSVMIEKKFLRNCGKVGHIEDVVVDSRFRGKQLGKKVVEFLVDHSKSMGCYKVILDCSVENKVFYEKCGMINKSIQMSKYFV >scaffold_601612.1 pep chromosome:v.1.0:6:6444313:6446597:-1 gene:scaffold_601612.1 transcript:scaffold_601612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSNMLKTLDRYQKCSYGSIEVNNKPAKELENSYREYLKLKGRYENLQRQQRNLLGEDLGPLNSKELEQLERQLDGSLKQVRSIKTQYMLDQLSDLQNKEQMLLETNRALAMKLDDMIGVRSHHMGGGWEGGEQNVTYAHHQAQSQGLYQPLECNPTLQMGYDNPVCSEQITATTQAQAQQGNGYIPGWML >scaffold_601615.1 pep chromosome:v.1.0:6:6455779:6456856:1 gene:scaffold_601615.1 transcript:scaffold_601615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKLFGCSINVEAEEEGGGGGGGGSSVSVEVSRSAIQPDCEAMSLSNQREFGDCNTYFKFLDSNSDSESASMDAEPEFIDFFDRESYEVDTVREFCLSSNQRACTSGFFNIWEEDVVELGLGIGLASGSGSGQLRDDSGGVWNSGRVESGTGFTPVEYNLFGEEAMVVDEELEWENSHNAINWVPEPVQVSLEEEEVMEFPLASSINDAFHVLWDTMAESAPMDLDIEYWLDSISAHHVDYNAIIGQMFDNEAGTMGSPPASKSVVNDLPDVDFTIEELSNRNIVCAICKDEVVVKEKVKRLPCKHYYHGECIIPWLGIRNTCPVCRYELPTDDLEYERHRRA >scaffold_601620.1 pep chromosome:v.1.0:6:6479563:6479917:1 gene:scaffold_601620.1 transcript:scaffold_601620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7H1] MAPRIVLPCTTTLYVLLVTLVLSLYLSLASAQRSEEEEESIICPYNDKGCLKYLNEFAMEHINNHYDYEAAIASLEKEFQDRDLIQKPYMHMTDSFLRNTLHT >scaffold_601621.1 pep chromosome:v.1.0:6:6480049:6482335:-1 gene:scaffold_601621.1 transcript:scaffold_601621.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 81 protein [Source:UniProtKB/TrEMBL;Acc:D7M7H2] MLKKVRRKVKVLITKPFKKPKNRPSRPPSPPLPLPPSPSPPPPQEMSSSRQRNTPFLFPRSDSSVLPDPSRFFSHDLLSTPLPTNSFFQNFTLKNGDQAEYFHPYIIKPSTSSLSISYPSLSHNSAFIYEAFNADIIITGSDGPDPHSRKTHLISSFSDLGVTLDFPSSNLRFFLVRGSPFITFSVNSSITISTIHAVLSLSGNTSSTKYTAKLNNNQTWLIYASSPINLTKDGVSSINCGAGFSGIIRIVVLPNPDFESVLDRFSCCYPVSGDADFTKPFALEYKWDKRGYGDLLMLAHPLHLKLLSNNDCSIAVLDNFRYNSIDGDLVGVVGDSWVLKPDPVSVTWHSIKGVQEDSHQEIISALIKDVNALDSSAPVTDSSYFYAKLIARAARLALIAEEVCYLDVIPAIRTYLKNMIEPWLNGSFGPNGFLYDPKWGGLITKLGSRDTGADFGFGIYNDHHYHLGYFVYAIAVLAKIDPLWGKRYKPQAYTLMADYMTLGKKGAKSNSNYPRLRCFDLFKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALLGLAYGDTHLVAAASTVLTLEIHAAKMWWQVKEDDAIYPQDFTSENRVVGVLWSTKRDSGLWFAPKEWKECRLGIQLLPLLPVSEVLFSDVTFVKQLVNWTMPALARDSVGEGWKGFVYALESIYDKDGAMEKIKGLNGFDDGNSLSNLLWWIHSRNNDDDDDDYEEDDEGGYGGHGGGGKYCSFGHYCN >scaffold_601623.1 pep chromosome:v.1.0:6:6485493:6486315:-1 gene:scaffold_601623.1 transcript:scaffold_601623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLFAILQRTPKVAVTLAFVLFLFTIVYAFYILSWPIQSYLHQSHHPRLTIPLQPLIQTSSDYTLTSMTPSGQVSLTSLTISSGHWFYRPVMFYENQQISGWSGIFAEFFSSKGDPWNEGGDSVGTQPYRRNETISEGADLKVHDIQLKEFRASEEDGREKSGLRLKLMDTTQAMLLLPDGHPGRYGHLQNANVTLRNDCIHWFLPGPIDTWNDILLQMMKTEN >scaffold_601633.1 pep chromosome:v.1.0:6:6512899:6513181:-1 gene:scaffold_601633.1 transcript:scaffold_601633.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7I3] MLLCRSLTDLFLLVRSFFSPHSLQKISKDFADDDAIDNENENGARFQTSTYRRITDQDCTKQMDIDCDKDRHYNSRCNY >scaffold_601634.1 pep chromosome:v.1.0:6:6520849:6523665:1 gene:scaffold_601634.1 transcript:scaffold_601634.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nsp-interacting kinase 1 [Source:UniProtKB/TrEMBL;Acc:D7M7I4] MESTIVMMMMITRSLFCFLGFLCLLSSSVDGLLSPKGINFEVQALMDIKASLHDPHGVLDNWDRDAVDPCSWTMVTCSSENFVIGLGTPSQNLSGTLSPSITNLTNLRIVLLQNNNITGKIPTEIGRLTRLETLDLSDNFFRGEIPFSVGYLRSLQYLRLNNNSLTGVFPLSLSNMTQLAFLDLSYNNLSGPVPRFAAKTFSIVGNPLICPTGTEPDCNGTTLIPMSMNLNQTGAPLYTGGSRNHKMAIAVGSSVGTISLIFIAVGLFLWWRQRHNQNTFFDVKDGNHHEEVSLGNLRRFGFRELQIATNNFSSKNLLGKGGYGNVYKGVLGDSTVVAVKRLKDGGALGGEIQFQTEVEMISLAVHRNLLRLYGFCITQTEKLLVYPYMSNGSVASRMKAKPVLDWSIRKRIAIGAARGLVYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLNHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQRALEFGKAANQKGAMLDWVKKIHQEKKLELLVDKELLKKKSYDEIELDEMVRVALLCTQYLPGHRPKMSEVVRMLEGDGLAEKWEDSQRSDSVSKCSNRINELMSSSDRYSDLTDDSSLLVQAMELSGPR >scaffold_601637.1 pep chromosome:v.1.0:6:6532487:6532683:-1 gene:scaffold_601637.1 transcript:scaffold_601637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKVMMSSSGRSKEKKRSISRRLGKYMKEQKGRIYIIRRCVVMLLCSHD >scaffold_601651.1 pep chromosome:v.1.0:6:6592039:6594742:1 gene:scaffold_601651.1 transcript:scaffold_601651.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLT1 [Source:UniProtKB/TrEMBL;Acc:D7M818] MQSSTYAVKGNAAFAFHRRTLSSNRSATSTGIRFADKKSIATTGPLYCSGSKAMGAKLARAENGIQSVMSFSSVKARSVRAQASSGDAEEAIPLRSEGKRSGTVLPFVGVACLGAILFGYHLGVVNGALEYLAKDLGIAENTVLQGWIVSALLAGATVGSFTGGALADKFGRTRTFQLDAIPLAIGAFLCATAQSVQTMIVGRLLAGIGIGISSAIVPLYISEISPTEIRGALGSVNQLFICIGILAALIAGLPLAANPLWWRTMFGVAVIPSVLLAIGMAFSPESPRWLVQQGKVSQAEKAIKTLYGKERVVELVRDLSTSGQGSSEPEAGWFDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGIQSDVAASALVGASNVFGTAVASSLMDKMGRKSLLLTSFGGMALSMLLLSLSFTWKALAAYSGTLAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWISNFVIGLYFLSVVTRFGISSVYLGFAGVCVLAVLYIAGNVVETKGRSLEEIELALTAGV >scaffold_601654.1 pep chromosome:v.1.0:6:6599145:6602072:1 gene:scaffold_601654.1 transcript:scaffold_601654.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCRS1/CRS1 [Source:UniProtKB/TrEMBL;Acc:D7M821] MLTSLLLSARAYPSLIINSSLNPNQNPSNAAKSPQFDQFREKRGLSDAAMKVPTAPWMKGPLLLRPDEIVDTEKRNKPRKVEEKTFKALNRRESGVRGKKAMKKIVRNVEKLDEGSDSEETHMDDLSEFEYLGRISEKIESKDRFGGKMPWDREEERFIMRRMKKERVPTTAELILDEGLLNRLRREASKMRKWVNVRKAGVTETVVNEIRLIWKLKELAMVRFDVPLCRNMERAQEIIEMKTGGLVVLSKKEFLVVYRGGPSYSSEETRSGQDEISSSLYEREADRLLDGLGPRYLDWWMRRPFPVDADLLPQVVNGYRTPSRRCPPNTRAKLSDEELTYLRNIAQALPFHFVLGRNHGLQGLASAIVKLWEKCIIAKIAIKWGALNTNNEEMADELKHLTGGVLILRNKYLIILYRGKDFLSDEVTDLVDDRERLLRGYQHFEETKREGDIEILEVVTDGKQLKETSKSGTLLEFQELQRKFGEMETRNLETEAEKARLEKELKSQEHKLSILKSKIEKSTMELFKLNSLWKPSERDDDIEIFTNEERECLRRIGLKMSSSLVLGRSNRIHSCLLLIPYPLAMRLIYINFIFVFVSFLGRRGVFVGIMEGLHQHWKHREVAKVITMQKIFSRVVYTAKSLEAESNGVLISIEKLKEGHAILIYRGKNYKRPSSKLMAQNLLTKRKALQRSVAMQRLGSLKFFAYQRERVIEDLKLSLVDLQDSAF >scaffold_601656.1 pep chromosome:v.1.0:6:6610793:6611334:-1 gene:scaffold_601656.1 transcript:scaffold_601656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGLHKFKLFAAHRSLEASTAGNPTMSPTKSPVFNLRRRKTLRMLFEKSSDCRRRNLRQILEESPESDGNSGKKKRGNHRARRKLRELLVTTPSPPFQGREETYGNREEGSEISPVTASSVASNGDGRELTARRFGSSGSVQSLRLRILRKPWRPVLVSIPEQQ >scaffold_601661.1 pep chromosome:v.1.0:6:6629811:6632463:1 gene:scaffold_601661.1 transcript:scaffold_601661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAMDRIALFSSSSSVYHRSSHSHGSKSSRVSMASTIRSDSSEDTNGRKPYIPPREVHRQVKYSMPPQKLEIFKSLEGWANENLLTNLKPVEKSWQPTDFLPEPESEGFYDQVKELRERCKELSDDYFVVLVGDMITEEALPTYQTMINTLDGVRDETGASPTPWAVWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPKTENNPYLGFIYTSFQERATFISHGNTAKLARDLGDLKLAQICGTIAADERRHEHAYTKIVEKLFEVDPDTTIVGFADMMKKKISMPAHLMYDGRDDNLFDHFSSVAQRLGVYTARDYADILQFLVQRWNVEKLSDLSSEGHRAQDYLCGLPARIRKLEERAQGRSKEAAKNIPFSWIFGRKIRA >scaffold_601664.1 pep chromosome:v.1.0:6:6639709:6645251:1 gene:scaffold_601664.1 transcript:scaffold_601664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEAPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKVKQAFRSAAVDLPPEESTAPYHSITLPETFDLDDFELPDNEIFQGNYVDHHVSTREQITLQDTMDGVVYSTSQFGLDERFGDGDTSQAALDLDEAVFQDKDVIGSDDEGVPGNDHNVYLDAATPGIKDSMEGVSEAMPRDFNEEQVEDLAMNNEFIEDAQAPQTPGLVEVPNSSSVREQLACDDHMEVEDLNAEEGKKASGELDANEMHKRGEDLSSEYNAPESAVTPVEVDKSQIDENVNTQNEPEEERAEHVHVTSPCCSHITTEMEDPGQVMTEAGTNVNNGVTDKSDAVPPLESSGEENRDHFAIATEVNQETDSSLQGNEQAYSRPDGQLNNAHVTDEQLGNLTGFTDSDLPAPEKVLVAPNRQGDENDFMVESTPDKEDPGTCNDDAGNNNITGKKRTFTESTLTAESLNSVESVGLIQSKRTADSVPDDDDLLSSILVGKSSFLKMRPTPVLEPASTKRLRAAPRSTATKRKVLMDDPMVLHGDIIRQQLTNTEDIRRVRKKAPCTIPEIVMLQRQALEDGLLKEPIFTGMSVELVSLHNEPYDLRGIMIIENDDHHASVGVVEDNECSVTAVEENSAPQPHPNDSEEQPGTAHTHPQEEQIINQQEEMKDDNELAEKISDLEVLKDGNGAADEVNLVVSDDVSQMPSEEKLDSVEDLQVEGSYENHDGEGGQDVCGDVNEKGRRDVIEIAEGDIDNNPIFNDSDLKVEDELPHEDKKTDASAEVSEIGIDDQTPCDNTVGSTETGCVEAGDLSNMALENCNEPLVEANNDGMNPEIESYNKYEPHNEMSNDEAYMQSALDGEHTSRDGLMGDNDEMDTMEVAHDTEFLNVDDDEVDEDHEDDDIQYGDETRLLENSGWSSRTRAVAKYLQTLFDKEAENGKNVLVADKLLAGKTRKEASRMFFETLVLKTRDYIQVEQAKPYESIIIKPRPKLTKSIF >scaffold_601671.1 pep chromosome:v.1.0:6:6671647:6672681:-1 gene:scaffold_601671.1 transcript:scaffold_601671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNQISRETLKPGDHIYSWRTAYIYSHHGIYVGDEKVIHFTRGGGLETGTGTFVDKFIASSVPNHGGDNNPCPKCGDQSKRDGVISSCLDCFLAGGNLYLFEYGVSPAFFVAKQRGGTCTTAPSDPPEEVIFRAKFLLLRNAFGAYNLFENNCEDFAIYCKSGLVVMSNIKLGSSGQANSASIARDAVSSPLGLLGVVNASGRAASVVSSTLRNFGAAFGGLVLVGGYVDCARARFHY >scaffold_601675.1 pep chromosome:v.1.0:6:6689521:6690469:-1 gene:scaffold_601675.1 transcript:scaffold_601675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSRDLFFIIFALFSSILFLQLSSLPDPSFYDYLRESNLPAGIVPKGVTNFSIDVKTGRFTVALPVPCDAKFENQFHFDYNISGVLSDGRIGNLSGVTQKELFLWFAVKGIHVDPESSGLIHFDVGVADKQLSLSLFESPRDCTAAESQPRAVVFSRPRDLEKQSEEIQSTKQKKSEDIQSIFGPRRNRWTLGF >scaffold_601676.1 pep chromosome:v.1.0:6:6690923:6692948:-1 gene:scaffold_601676.1 transcript:scaffold_601676.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein of acetyl-CoA carboxylase [Source:UniProtKB/TrEMBL;Acc:D7M843] MASSSFSVTSPAAASVYAVTQTSSHFPIPNRSRRVTFRLSAKPKLRFLSKPSRSSYPVVKAQSNKVSTGASSNAAKIDGPSAAEGKEKTSSKDSSSSSSSSPELATEESISEFLTQVTTLVKLVDSRDIVELQLKQLDCELVIRKKEALPQPQAPASYVMMQQPNQPSYAQQMAPPPAPAAASPAPSTPASLPPPSPPSPAKSSLPTVKSPMAGTFYRSPAPGEPPFIKVGDKVQKGQVLCIVEAMKLMNEIESDHTGTVVDIVAEDGKPVSLDTPLFVVQP >scaffold_601694.1 pep chromosome:v.1.0:6:6739047:6739236:-1 gene:scaffold_601694.1 transcript:scaffold_601694.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M859] MVSLAEVVSASVVGVASTKKETRRFLSCVVMAVSCCRDDEEGEGASLLL >scaffold_601697.1 pep chromosome:v.1.0:6:6757087:6757372:1 gene:scaffold_601697.1 transcript:scaffold_601697.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M862] MGPQELANCTMGWFKCNGQDRRLGKNEMWHEGVVVVSLTNNSHRERDVMYIVHKGVHIFILKFVEYICNVKFSISIYYYHD >scaffold_601700.1 pep chromosome:v.1.0:6:6786790:6788719:1 gene:scaffold_601700.1 transcript:scaffold_601700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTIEEDKKLINFILTNGHCCWRALPKLSGLLRCGKSCRLRWINYLRPDLKRGLLSEYEEQMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPLTHKPLSEHEALQQAQGSKKSFGPHDDKNPKQDQEDQQTKGEQEQDLEKNNTSVSSDGFCIDEVPLLNPHEILIDISSSHHHHSNDDNVNINTSKFTSPSSSSSSTSSCISAVVPGDEFSKFFDEMEILDLKWLSSGDNNSKDGKFNNTVDTINLWDINDLGSLDMFMNDHDDGFVGNGNGCSRMVLDQDSWTFDLL >scaffold_601710.1 pep chromosome:v.1.0:6:6847561:6853345:1 gene:scaffold_601710.1 transcript:scaffold_601710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATORC3/ORC3 [Source:UniProtKB/TrEMBL;Acc:D7M872] MAPSGTAPSGTVADPPPSSTTDSFNPGDTAESDIRPFFVLHKASSSGSNLNGKLTGTGKSKRRIESPSPKISKRSEVERVEEEDGQFFSTLRFKVFETVWSKIEKTIEDVLRNSNSKVFSGIHDWIRESFESIISSGALKLSEAVRSYPVLTQASSKQLLTAMVLTRNLEMVDDLLTFEELELHLKSQGCHVAKLSSMDFSAKSGVGGCLSGLLRQFVMPTIDVADVTILASWYRESKNHENPVVIIVDDTERCCGPVLSDLILILSEWAVKVPIFLIMGVSTAHDAPRKILSVNALQRLCATRFTLSSPAERMDAVLKAVFLKPCSGFTVSHKVALFMRSYFLCQDGTLTSFVRTLKIACLQHFSLEPLSIMLEHLCHDGVNQLSGEGTGLLTEATMKHAFDLPSVMRNKITRSTFEMLPHFLMDLQRMPNPWSIVVLCIYEAGKFDKLRLLDIFCEILDPEARYLKYFSPSEIVNSQSHNSGSNNVIRRVLRKLRDLSPSQLSSMLKSWENLTTEFSEINDKVMELHPFMRSVEAAGQRQGLPNSPKKHASRSHAKLEKELKAMTDKVATVIEFMLREYMKPVESVPFHEILCFKNVDKLQSALLGDQRGRIQLDLLESHKILHCVCCSQRGTTLLPSMHDTSILYTLAQEHADVINLHDWYQSFKTILIPKSSKAKQKSKSSSKSKKRKDICEEPEAPAEALIQARFCRAIMELQIAGLIRMPSKRRPDFVQRVAFGS >scaffold_601714.1 pep chromosome:v.1.0:6:6867984:6870297:1 gene:scaffold_601714.1 transcript:scaffold_601714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNFATKLSRNTNRITVILVYAFLEWLLMFFIFLNSFFTYFIVKFASFFGLKQVCLLCPKLDRIFERNPENRFTYRELLCQNHIAELASLSFCTTHGKLSESANLCSDCSNREEEQSNIGLGFCTCCQKSLADKPYPNYLLIKSSIWGKTLGDRENGGLILEMIDDDKFGDGFETERESYPLGFFRDKAEEGKKQEQQQNGEVISDVESYGLSLREVSEEDGLRSIISNNSPGNEEGTPEAKSRVSEDEQRDDDTSNVATYGKDQISVHVEEKEEGTGGGDLLDDQFESKNFTCSQNEVEEDHREEKTKELDPETPTSVSTLFNKKLLFLARNEYAAAEDAGDGSVLVSEMDGGDPLRTIERLRETVRAEQEALRDLYAELEEERSASAISANQTMAMITRLQEEKAKVQMEALQYQRMMEEQAEYDQEALQLLNHLMVKREKEKEQLQRELEVYRAKVLEYESKAKNKITIEESNGEAADDNNDTDDDDTKEEENREEDNSSEMDVDLEKITLDCVQHMSMLGESLSEFEEERLVILDQLKVLEDRLVTMQDNESAEDPGEFSNGYEEESNGHEGLTMASMAKSLLPLLDAAENESEDGSQELPESDEKNFGSDSEKLEILKQVDSVYERLQVLETDGEFLKNCMSSAKKGDRGTDILKDILQHLRDLRTIELTDTIENQTTQEE >scaffold_601718.1 pep chromosome:v.1.0:6:6880173:6885088:-1 gene:scaffold_601718.1 transcript:scaffold_601718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSLKKNYRCSRSLKQFYGGGPFIVSSDGSFIACACGDAINIVDSTDSSVKSTIEGESDTLTALALSPDDRLLFSAGHSRQIRVWDLETLKCIRSWKGHEGPVMGMACHASGGLLATAGADRKVLVWDVDGGFCTHYFKGHKGVVSSILFHPDTNKNILFSGSDDATVRVWDLLAKNTEKKCLAILEKHFSAVTSIALSEDGWNLFSAGRDKVVNLWDLHDYSCKTTIATYEVLEAVTAVSSGTPFASFVASLDQKKSKKKESDSQGTYFITVGERGVVRIWKSEGSICLYEQKSSDITVSSDDEESKRGFTAAAMLSSDRGLLCVTADQQFFFYSVVENVEESELVLSKRLVGYNEEIADMKFLGDEEQFLAVATNLEEVRVYDVATMSCSYVLAGHKEVILSLDTCVSSSGNVLIVTGSKDKTVRLWNATSKSCIGVGTGHNGDILAVAFAKKSFSFFVSGSGDRTLKVWSLDGISEGSEDPVNLKTRSVVAAHDKDINSVAVARNDSLVCTGSEDRTASIWRLPDLVHVVTLKGHKRRIFSVEFSTVDQCVMTASGDKTVKIWAISDGSCLKTFEGHTSSVLRASFITEGTQFVSCGADGLLKLWNVNTSECIATYDQHEDKVWALAVGKKTEMIATGGGDAVINLWHDSTASDKEDEFRKEEEAILRGQELENAVLDAEYTKAIRLAFELRRPHKVFELFAGLCRKRESDEQIVKALQGLEKEEFRLLFEYVREWNTKPKLCHIAQFVLYQTFNILPPTEIVQVKGIGELLEGLIPYSQRHFNRIDRFVRSSFLLDYTLGEMSVIDPETETEYPKDKKKKEKEVIAAVSAMEQDTEELTKETPSRKRKSQKSKDKSNKKRLIAEAKGSVIAV >scaffold_601722.1 pep chromosome:v.1.0:6:6902128:6902998:-1 gene:scaffold_601722.1 transcript:scaffold_601722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRARRIVSGRSDTVAANFAFDPLNDDKIIRNRLLTRTTTTRGEPPLKKLQKKFTSFVIELDKEEDNYSDCGRLAKAFLQELSAFEIPLLKSHAVVAANLREKENFNELKDETNRQIMQAQADIEDLKKQLEESKIERQQKEECEAIRKLISAQPPRSETQKVIHELKKEIAELEAENTASWRLLELRKKQFALLLHVVDELQETIEDEQKNMVEEMQRNITADGAEAMSID >scaffold_601725.1 pep chromosome:v.1.0:6:6905924:6906153:-1 gene:scaffold_601725.1 transcript:scaffold_601725.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M884] MMKMHVLVSRKAREMSRRIKDGSLETITMCGEDGKAIKQAYSFPCSSFSKSRFFLTFLSIPT >scaffold_601731.1 pep chromosome:v.1.0:6:6925882:6928477:1 gene:scaffold_601731.1 transcript:scaffold_601731.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M889] MIRRLVTLRAAAKSHQHLKVSLFSTSALEITPPFIHKCKTISQVKLIHQKLLSFGILTLNLTSHLISTYISLGCLSHAVSLLRRFPPSDAGVYHWNSLIRSYGNNGRANKCLSSFCLMHSLSWTPDNYTFPFVFKACGEISSVRCGDSSHALSRVTGFMSNVFVGNALVAMYSRCGSLSDARKVFDEMPVWDVVSWNSIIESYAKLGKPKMALEMFSKMTNEFGFRPDDITLVNVLPPCASVGTRSLGKQFHGFAVTSEMIQNMFVGNCLVDMYAKFGMMDEANTVFSNMPVKDVVSWNAMVAGYSQIGRFEDAVRLFEQMQEEKIKMDVVTWSAAISGYAQRGLGYEALGVCRQMLSSGIKPNEVTLISVLSGCASVGALMHGKEIHCYAIKYPMDLRKNGHGDENMVINQLIDMYAKCKKVDIARAMFDSLSPKERDVVTWTVMIGGYSQHGDANKALELLSEMFEEDCQTRPNAFTISCALVACASLAALSIGKQIHAYALRNQQNAVPLFVSNCLIDMYAKCGDIGDARLVFDNMMEKNEVTWTSLMTGYGMHGYGEEALGIFEEMRRIGFKLDGVTLLVVLYACSHSGMIDQGMEYFNRMKTDFGVSPGPEHYACLVDLLGRAGRLNAALRLIEEMPMEPPPVVWVALLSCCRIHGKVELGEYAAKKITELASNNDGSYTLLSNMYANAGRWKDVTRIRSLMRHKGIKKRPGCSWVEGIKGTTTFFVGDKTHPHAKEIYQVLSDHMQRIKDIGYVPETGFALHDVDDEEKDDLLFEHSEKLALAYGILTTPQGAAIRITKNLRVCGDCHTAFTYMSRIIDHEIILRDSSRFHHFKNGLCSCKGYW >scaffold_601734.1 pep chromosome:v.1.0:6:6936672:6939797:1 gene:scaffold_601734.1 transcript:scaffold_601734.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7M892] MSGKQQNHRSTSCRPRSKTLIIISLFSVSLLGILYTFSSSSRPSISNLNPSDQPETSFVTSLEHFLTHKAPKLSLPVRDDTVRGESDDDVRKLDEMVFERENRWLNEDPGYPVGFPIKVYVYEMPKKFTFDLLWLFHNTYKETSNATSNGSPVHRLIEQHSIDYWLWADLISPESERRLKSVVRVHKQQDADFFYVPFFTTISFFLLEKQQCKALYREALKWVTDQPAWKRSEGRDHIFPIHHPWSFKSVRKFVKNAIWLLPDMDSTGNWYKPGQVSLEKDLILPYVPNVDICDAKCLSESAPMRTTLLFFRGRLKRNAGGKIRAKLGAELSGVKGVIISEGTAGEGGKLAAQGGMRRSLFCLCPAGDTPSSARLFDAIVSGCIPVIVSDELEFPFEGILDYKKVAVLVSSNDVVQPGWLVNHLRSLTPFQIKELQKNLAQYSRHFLYSSPAQPLGPEDLTWRMMAGKLVNIKLHTRRSQRVVKGSRSLCRCDCWKPNSTAINPLNPLLS >scaffold_601739.1 pep chromosome:v.1.0:6:6953529:6953874:1 gene:scaffold_601739.1 transcript:scaffold_601739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAKDPWKGEEWGIENEKTKKPTSGGGGVKTVTRARKQTPRGLEEKYEAYFLPRKPWPRALAYYGSFILGGIGAGMVIEAWINKKVKEDGGVIWEFDK >scaffold_601742.1 pep chromosome:v.1.0:6:6959464:6961388:-1 gene:scaffold_601742.1 transcript:scaffold_601742.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-AER [Source:UniProtKB/TrEMBL;Acc:D7LWV8] MTATNKQVILKDYVNGFPKESDFDFTTTTVELKVPEGSNLVLVKNLYLSCDPYMRIRMGKPDPSTAALAQAYTPGQPIQGYVVSRVVESGHPDYKKGDLLWGIVAWEEYSVITPTPHVHFKIQHTDVPLSYYTGLLGMPGMTAYAGFYEVCSPKKGETVYVSAASGAVGQLVGQFAKMLGCYVVGSAGSKEKVDLLKTKFGFDDAFNYKEEPDLTAALKRCFPNGIDIYFENVGGKMLNAVLVNMNPHGRIAVCGMISQYNLENQEGVHNLSNIIYKRIRIQGFVVFDFYDKYSKFLEFVLPHIREGKITYVEDVADGLEKAPEALVGLFHGKNVGKQVVVVARE >scaffold_601743.1 pep chromosome:v.1.0:6:6962809:6964873:-1 gene:scaffold_601743.1 transcript:scaffold_601743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTATNKQVTLKDYVSGFPKESDFDFTTTTVELKVPEGSNSVLVKNLYLSCDPYMRTRMSKPKPSTALAQAYTPGQPISGYGVSRVIESGHPDYQKGDLLWGIVGWEEYSVITPTPQVHFKIQHTDVPLSYYTGLLGMPGMTAYAGFYEVCSPKKGETVYVSAASGAVGQLVGQLAKMMGCYVVGSAGSKEKVDLLKTKFGFDDAFNYKEEPDLSAALKRCFPKGIDIYFENVGGKMLDAVLLNMNPHGRIAVCGMISQYNLENQEGVHNLSNIIYKRIRIQGFAVFDYYDKYSKFLEFVLPCIKEGKITYVEDVADGLEKGPEALVGLFHGKNVGKQVVVVARE >scaffold_601744.1 pep chromosome:v.1.0:6:6966643:6968638:-1 gene:scaffold_601744.1 transcript:scaffold_601744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATNKQVILKDYVSGFPKESNFDFKTNTVEFKVPGGSNSVLVKNLYLSCDPYMRIRMGKPDPSTAALAQAYAPGQPIFGYGVSRVIESGHPDYKKGDLLWGIVGWEEYSVITPMTYMHFKIQHTDVPLSYYAGLLGMPGMTAYAGFYEVCSPKKGETVYVSAASGAVGQLVGQFAKMMGCYVVGSAGTKEKVDLLKTKFGFDDAFNYKEEQDLSAALKRCFPKGIDIYFENVGGKMLDAVLLNMNPLGRIAVCGMISQYNLENQEGVHNLSNIIYKRIRIQGFVVLDFYDKYSKFLEFVLPCIKEGKITYVEDVADGLEKAPEALVGLFHGKNVGKQVVVIARE >scaffold_601745.1 pep chromosome:v.1.0:6:6969625:6974082:-1 gene:scaffold_601745.1 transcript:scaffold_601745.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7LWW1] MALDPEQQPISSVSREVGKSDGEISAEREPLIKENHTPENYSVVAAILPFFFPALGGLLYGYEIGATSCATISLQSPSLSGISWYNLTSVDVGLVTSGSLYGALFGSIVAFTIADVIGRRKELILAALLYLVGALVTALAPTYSVLIIGRVTYGVSVGLAMHAAPMYIAETAPSPIRGQLVSLKEFFIVLGMVGGYGIGSLTVNIHSGWRYMYATSVPLAVIMGIGMWWLPASPRWLLLRVIQGKGNVENQRESAIKSLCRLRGPAFVDSAAEQVNEILAELTFVGEDKEVTFGELFHGKCLKALIIGGGLVLFQQITGQPSVLYYAPSILQTAGFSAAGDATRVSILLGLLKLIMTGVAVVVIDRLGRRPLLLGGVSGMVVSLFLLGSYYLFFSASPVVAVVALLLYVGCYQLSFGPIGWLMISEIFPLKLRGRGLSLAVLVNFGANALVTFAFSPLKELLGAGILFCGFGVICVLSLVFIFFIVPETKGLTLEEIEAKCL >scaffold_601746.1 pep chromosome:v.1.0:6:6977285:6985031:1 gene:scaffold_601746.1 transcript:scaffold_601746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLRDLSQPIDVGVLDATVAAFFVTGSKEERAAADQILRDLQANPDMWLQVVHILQNTKSMDTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRSERLYVNKLNVILVQIVKHDWPAKWTSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTLQKIKELKQSLNSEFKLIHELCLYVLSASQRQDLIRATLSALHAYLSWIPLGYIFESPLLETLLKFFPVPAYRNLTLQCLTEVAALNFGDFYNVQYVNMYTIFIGQLRAILPPSTNIPEAYSSGSGEEQAFIQNLALFFTSFFKFHIRVLESAPEVVALLLAGLEYLINISYVDDTEVFKVCLDYWNSLVLELFDAHHNSDNPAVSASLMGLQMPFLPGMVDGLGSQVMQRRQLYSNPMSKLRGLMINRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHDDTEKQMLRKLNKQLSGEEWAWNNLNTLCWAIGSISGSMAEDQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGENEPFVSELLSGLATTVQDLEPHQIHSFYESVGNMIQAEPDPQKRDEYLQRLMALPNQKWAEIIGQARQSVEFLKDPGVIRTVLNILQTNTSAATSLGTYFLSQISLIFLDMLNVYRMYSELVSTNITEGGPYASKTSFVKLLRSVKRETLKLIETFLDKAEDQPHIGKQFVPPMMESVLGDYARNVPDARESEVLSLFATIINKYKATMLEDVPHIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATFCFPALIKLSSPQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQQSEFCNQFYRTYFMQIEQEIFAVLTDTFHKPGFKLHVLVLQQLFCLPESGALTEPLWDATTVPYPYPNNAAFVREYTIKLLSSSFPNMTAAEVTQFVDGLYESRNDPSGFKNNIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPNEIQDEMVDS >scaffold_601760.1 pep chromosome:v.1.0:6:7034939:7035129:-1 gene:scaffold_601760.1 transcript:scaffold_601760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSLPRLADFRYSTSIFEKYTVLKPQPLILA >scaffold_601765.1 pep chromosome:v.1.0:6:7045870:7047224:-1 gene:scaffold_601765.1 transcript:scaffold_601765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSKSVQVIGKHIVNGVRSCSFSRAVAYGLFKSRNNHTSGYDKNVEEELQSSKVPDELIKPDSDKYWSPHPQTGVFGPSSSSTDTNDDFRGGQEDSVMEEKAWFRPTSLEDLDKTHHS >scaffold_601772.1 pep chromosome:v.1.0:6:7059671:7061928:-1 gene:scaffold_601772.1 transcript:scaffold_601772.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase [Source:UniProtKB/TrEMBL;Acc:D7LWY4] MSSSVAVLWVATSSLNPDPMNNCGLVRVLESSRLFSPLQNQRLNKGRWKQTPTWSSSVMNPSRRSGVVSSSLVASPSGEIALSSEEKVYNVVLKQAALVNKQLRSSSYDLDVKKPQDVVLPGSLSLLGEAYDRCGEVCAEYAKTFYLGTLLMTPERRKAIWAIYVWCRRTDELVDGPNASHITPMALDRWEARLEDLFRGRPFDMLDAALADTVARYPVDIQPFRDMIEGMRMDLRKSRYQNFDDLYLYCYYVAGTVGLMSVPVMGIDPKSKATTESVYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDIFAGKVTDKWRNFMKMQLKRARMFFDEAEKGVTELSAASRWPVWASLLLYRRILDEIEANDYNNFTKRAYVGKVKKIAALPLAYAKSVLKTSSSRLSI >scaffold_601774.1 pep chromosome:v.1.0:6:7081299:7085843:-1 gene:scaffold_601774.1 transcript:scaffold_601774.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositolglycan class O (PIG-O) family protein [Source:UniProtKB/TrEMBL;Acc:D7LWY6] METYLNNKKLIALGFLLIHSIAILIFTRGFLLTRTELPFHSTCSDVSLSPCFASQHPNHDSSSEPKPWMDKLTILQKLAFANRSSAKIFKAFADPPTTSLQRLKGLTTGGLPTFIDVGNSFGAPAIVEDNFINQLVLNGKRLVMMGDDTWTQLFPNQFQKSYPFPSFNVKDLDTVDNGCIEHLFPTLYEDDWDVLIAHFLGVDHAGHIYGVDSSPMINKLEQYNSVLEKVINILESQAGPGGLHENTMLIVMGDHGQTLNGDHGGGTAEEVETTMFAMSTKKHTTLVPPEFDTSSCKQNTDGKQICISSIDLILRQHCQLCLGYHFLSEGAFIGHINPELYALGSSSWNLDDSGLGNFGTQSAAKEWMKHFVNVLCVNAWQVKRYIDVYSNSSVVGFSSDDMSRISDLYSAAEQNWSNSVKHILMDKNGDEGSTDISALLKEQIAAYLNFFSSVVELARSKWTEFNLNLMITGFGILVISLILQFLAVFHGDKSYAVGSWLSTGAAFSLFIVTIRACSFLSNSYILEEGKVANFLLATTGLIKLRYSVMRKTMRKEAFIFLAMVSVLRVSIDIGLTKQAATSQFMSSSPSWMLGIAPDHPALTYAVEIAPILSVVILICVLYIAISKAPSEGLWKYVTIGSMVMTGGRNRIPQTVYVIGLVQLCLLASTRMFCAGKDKNWAIRTVALVSACSSPVVLMSGKQGSMLALAHLVAGYCIMRLEGVERRTQSDGQSKFSKLNPLCVVQWSLLSICMFFASGHWCAFDGLRYGAAFVGFDEFVLVRQAILLTIETFGFSIILSVFGLPLLVPIHSQTPQAHGEKRHQLFQMYMLFGVISATTVTATILCVTIQRRHLMVWGLFAPKFVFDVVGLILTDLLICLASAYYL >scaffold_601776.1 pep chromosome:v.1.0:6:7101491:7107146:1 gene:scaffold_601776.1 transcript:scaffold_601776.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LWY8] MVDAEIEIFRGYELRLLRCTVSLTQSDPPLESQSGVHPYDSLIRSLLSSIEAGDYLGALASDATRLIIGDSEFEVVDTVDSAERVYSELLYKVESFVLNESSDEIDKARRAVLVMCLAIAAAFWFTRCNLTGSTEGSTKCSLPFVVSESKELVEWENWAKIQLMSVGSDLLGKFFNLQHLVFARMLLLKLKDLLFETTATETFELRSISWWLVRVLLIHQRVLHERSSSLFEMLQVYMAEALDHFGALEKVKSYWGAKLLEDEASSITSTIHLEACVLQYIYGRIDPARLQLESAKAAAGLEFSVTGALGFRTIHQVDPKAQMVLVANTSSSNGDVRLASEKADVGPYEAWGGEAPEVYMTPKLVNNESEAGKDSVPLKPVEQALILAQCLLIERGSRHDEMQRWDMAPYIEAIDSQKSTHFVLRCFCDLLRVRWESTRGRTKGRALEMMDKLVGAINKSDPGVSNRIPLCYAVHLPTISALRKEYGELLVSCGLVGEAITIFESLELWDNLIYCYCLLGKKSAAVDLINARLLERPNDPRLWCSLGDVTINDSCYEKALEVSNDKSVRAKRALARSAYNRGDFEKSKMLWEAAMALNSLYPDGWFALGAAALKARDVQKALDAFTFAVQLDPDNGEAWNNIACLHMIKKKSKESFIAFKEALKFKRDSWQMWENFSHVAMDVGNLDQAFEAIQQILKMSKNKRIDVVLLDRIMTELENRNSACKSSSSIETEASSDESTETKPCTATPAETQRHLELLGKIIQQIVKTESTSEIWGLYARWSRIKGDLMVCSEALLKQVRSYQGSEVWKDKERFKNFARASLELCRVYMEISVSTGSKRELFSAEMHLKNTIKQATVSFLDTEELKELECCLEEVRNVMQKSEEVTRNTKT >scaffold_601777.1 pep chromosome:v.1.0:6:7107515:7107894:1 gene:scaffold_601777.1 transcript:scaffold_601777.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LWY9] MAEVENLVKTSTEETDKEEERTDDKKEEEVSLPPPPEKPEPGYCCGNDCFRCDWDVYYEKLEECNNKLSKKIKSILVNGLFFSRIVTGKIKQLSLMLCFVEQRFGSSYELES >scaffold_601780.1 pep chromosome:v.1.0:6:7114770:7117374:-1 gene:scaffold_601780.1 transcript:scaffold_601780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein 5 [Source:UniProtKB/TrEMBL;Acc:D7LWZ2] MAKEAVKYVWEGAIPLQIYLHKSDVASHPAPPPALVLAPRIGYLPLLIPLIKPYFKDSLPPGEDSIWFDYKGFPLKWYIPTGVLFDLLCAEPERPWNLTIHFRGYPSNILIPCEGEDSVKWNFVNSLKEAQYIINGNCKNVMNMSQSDQEDLWTSVMNGDLDAYTRLSPKLKMGTVEDEFSRTTSLSSPQSGQVVAETDVAGQVKTARIPVRLYVRSINKDFENLEDVLEIDTWDDISYLNRPVEFLREEGKCFTLRDAIESLLPEFMGDRAQTSGEERSMDDTEEADGSRETGEIKLVRIQGIEMKLEIPFSWVVNNLMNPEFYLHICVLVKAPQR >scaffold_601782.1 pep chromosome:v.1.0:6:7132078:7135939:-1 gene:scaffold_601782.1 transcript:scaffold_601782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEKLPLLKSAVDGLTEMSENEKSGFINLVSRYLSGEAQHIEWSKIQTPTDEIVVPYDKMANVSQDATETKYLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRDGLTFLDLIVIQIENLNNKYGCKVPLVLMNSFNTHDDTQKIVEKYTKSNVDIHTFNQSKYPRVVADEFVPWPSKGKTDKDGWYPPGHGDVFPSLMNSGKLDAFLSQSKEYVFIANSDNLGAIVDLKILKHLIQNKNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKKLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDNAIGVNVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNKARTNPTNPAIELGPEFKKVASFLSRFKSIPSIVELDSLKVSGDVWFGSGVVLKGKVSVKANSGTKLEIPDNAVLENKDINGPEDL >scaffold_601785.1 pep chromosome:v.1.0:6:7171977:7172499:-1 gene:scaffold_601785.1 transcript:scaffold_601785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLAILVVAMILFTSCVTSQVTAKNVDSFPLRTEELEWWHYHHFYPHYHPKPHWPFPTTGKALPPIPAGFHPIPFHPPPVVTKCLADCKDVRTCVADIAIAFFTRKPAIGLDCCASIQKMDEDCDKTVFGAYHNPFFDCLVKLHCSTKAKSTPSAPSPA >scaffold_601787.1 pep chromosome:v.1.0:6:7178821:7179388:1 gene:scaffold_601787.1 transcript:scaffold_601787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDENLSDKPLDEKVSLDLTCGKEEILEELRICLPKWVTQDQVTDMIRGTCRNIVEIVDNFYEHETKFYEQVSAVISFTSRDGISSCNHEALVSKLEFVQCETERSESCQPSQFHKLKSMSSSQKSSISPVKRNRKIKGKPKKKGKACSKVESVGPKQASITKFFNKVPSDETKV >scaffold_601794.1 pep chromosome:v.1.0:6:7195312:7199946:-1 gene:scaffold_601794.1 transcript:scaffold_601794.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:D7LX04] MEASAGLVAGSHNRNELVVIHNHEEPKPLKNLDGQFCEICGDQIGLTVDGDLFVACNECGFPACRPCYEYERREGTQNCPQCKTRYKRLRGSPRVEGDEDEEDIDDIEYEFNIEHEHDKQKHSAEAMLYGKMSYGRGPEDDENGRFPPVIAGGHSGEFPVGGGYGNGEHGLHKRVHPYPSSEAGSEGGWRERMDDWKLQHGNLGPEPDDDPEMGLIDEARQPLSRKVPIASSKINPYRMVIVARLVILAVFLRYRLLNPVHDALGLWLTSVICEIWFAVSWILDQFPKWFPIERETYLDRLSLRYERECEPNMLAPVDVFVSTVDPLKEPPLVTSNTVLSILAMDYPVEKISCYVSDDGASMLTFESLSETAEFARKWVPFCKKFSIEPRAPEMYFALKIDYLQDKVHPTFVKERRAMKREYEEFKVRINALVAKASKVPLEGWIMQDGTPWPGNNTKDHPGMIQVFLGHSGGFDVEGHELPRLVYVSREKRPGFQHHKKAGAMNALVRVAGVLTNAPFMLNLDCDHYVNNSKAVREAMCFLMDPQIGKKVCYVQFPQRFDGIDTNDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFKRQALYGYEPPKGPKRPKMISCGCCPCFGRRRKNKFSKNDMNGDVAALGGAEGDKEHLMSEMNFEKKFGQSSIFVTSTLMEEGGVPPSSSPAVLLKEAIHVISCGYEDKTEWGTELGWIYGSITEDILTGFKMHCRGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPLWYGYKGGKLKWLERFAYANTTIYPFTSIPLLAYCILPAICLLTDKFIMPPISTFASLFFISLFMSIIVTGILELRWSGVSIEEWWRNEQFWVIGGISAHLFAVVQGLLKILAGIDTNFTVTSKATDDDDFGELYAFKWTTLLIPPTTVLIINIVGVVAGISDAINNGYQSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVLKTKGPDTSKCGINC >scaffold_601798.1 pep chromosome:v.1.0:6:7217886:7219544:-1 gene:scaffold_601798.1 transcript:scaffold_601798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARRLSSLFSIITKRSQSRLLSCPATTAQNWVFAGFRQNLQATRSYASGRGKDYNLFGNVKPGDDDFRKAWEKEMGDDDTLWSGSEDEGDDITKQESGRNRLEKEIKKARQQAKVSSDQIDADDSDELYSVWSGSDEEKTLWTGDEGDDDDDIPTEPRPNEASDKYLDKLFEFEEKPKYRTISELLKSEDEPEELSPGKKARKLAVENALKKLNKGPDGRYTNVWEVMSDIDILIGAFENIISGPEYEELRKGGPKRLNMQFFKDIQTRMRDPNFKFTPEIKLKPKSKLVPRKKWQKAQSRIRKAKKR >scaffold_601799.1 pep chromosome:v.1.0:6:7220263:7220525:-1 gene:scaffold_601799.1 transcript:scaffold_601799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPACIQCGTRQNPCRCKVVGPTLGFVAFLITGIIEWPVGAVVYIFKHAKGRRIMGHPARRVYPKVSRSIPI >scaffold_601800.1 pep chromosome:v.1.0:6:7221906:7222388:-1 gene:scaffold_601800.1 transcript:scaffold_601800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAEIFERVDKNKDGKISWDEFAEAIRAFSPLITSEELDQMFRELDVDGDNQIDAAEFASCLMLGGEGGKDDEDTVMKEAFDLYDIDGDGKISASEIHVVLKRLGEKHTMQECVMMVRAVDADGDGFVSFEEFKIMMSSNNIKSL >scaffold_601801.1 pep chromosome:v.1.0:6:7224045:7224339:1 gene:scaffold_601801.1 transcript:scaffold_601801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATEKAEHDRIFKKFDANGDGKISAAELEEALKTLGSVTPDDVKRMMAEIDTDGDGNISYQEFTDFAGANRGLMKDVAKIF >scaffold_601802.1 pep chromosome:v.1.0:6:7225927:7226994:1 gene:scaffold_601802.1 transcript:scaffold_601802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCLLGQAYHRFGAYGAIPHLVKLIKHGSPQSKADAVMALSNLSTVTNTLNMISEAKPKGFDFLENGTLQGREHAVGALLTLCQSGMSKYREPIPSEGVIPSLLELTVQGT >scaffold_601807.1 pep chromosome:v.1.0:6:7240617:7244334:1 gene:scaffold_601807.1 transcript:scaffold_601807.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucosamine mutase family protein [Source:UniProtKB/TrEMBL;Acc:D7LX17] MSALLPCRRGKLTLNLNSSMRAHSFSKYQTVLSKQRTFYCNAASSSVTVPSLDKTDFLKLKNGSDIRGVAVPGVEGESVSLPEPVTEAIAAAFGQWLLHKKKAESRRLRVSVGHDSRISAQTLLEAVSRGLGVSGLDVVQFGLASTPAMFNSTLTDDETFLCPADGAIMITASHLPYNRNGFKFFTSDGGLGKVDIKNILERAADIYKNLSDENLRKSQRESSSITKVDYMSVYTSGLVKAVRRAAGDLEKPLEGFHIVVDAGNGAGGFFAAKVLEPLGAITSGSQFLEPDGMFPNHIPNPEDKSAMEAITKAVLNNKADLGIIFDTDVDRSAAVDSTGREFNRNRLIALLSAIVLEEHPGTTIVTDSVTSDGLTSFIEKKLGGKHHRFKRGYKNVIDEAIRLNSVGEESHLAIETSGHGALKENHWLDDGAYLMVKILNKLAAARAAGQGSGSKVLTDLVEGLEEPKVALELRLKIDKNHPDLKGSDFREYGEKVLQHVSNSIETNPNLKRAPVNYEGIRVSGFGGWFLLRLSLHDPVLPLNIEAQSEDDAVKLGLVVATAVKEFNALDTSALSNLTHSS >scaffold_601810.1 pep chromosome:v.1.0:6:7247068:7248632:-1 gene:scaffold_601810.1 transcript:scaffold_601810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal protein PEX19 family protein [Source:UniProtKB/TrEMBL;Acc:D7LX19] MANDTHTDDLDELLDSALDDFKDLNLTQRNGGVKKEEGGKKETESLPSGVQGLGMGLPDMRSKKKGKQKIAKEDHVTEALDKLREQTRETVKGLESLSSKQQPTGSDDAMVEDWIKQFEDLAGSNDLESIVDTMMQQLLSKDILHEPMKEIGARYPKWLKEHESSLNKEEFERYSRQYELIKELNLVYENEPNNSTKIMEIMQKMQECGQPPSDIVQEMDPGFDFASLGQMSPDMLESSPDCCVM >scaffold_601811.1 pep chromosome:v.1.0:6:7248951:7250162:1 gene:scaffold_601811.1 transcript:scaffold_601811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTLMATTRPYVLLSSTRASLYLLFQSSKRPFASFSAPLVRITNSRCVSAVLSRKETAPSSIYGNRVSGFGVLDIRSRNFSTRSSQINDAGSIDQTLMQSMEQKIKEQLNAESVTVTDMSGDGRHVCINVVSSAFEGQSAVNRQRMVYKAIWEELQNVVHAVDQMTTKTPSEV >scaffold_601814.1 pep chromosome:v.1.0:6:7258476:7259120:-1 gene:scaffold_601814.1 transcript:scaffold_601814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKGDPRIYIVTFLFLSCILIGGVLLGLYLVRSDPNPLFLQAGMVFVGVPWLFWFLAYVYSCVLKPCIIFVSKSSIFVSKSVTSFDPEKGDPEKNVNNKVPENVTATSDPAPRNSPREGEKHVQFGNVVVLGDEERGREGEEEDHNESSSNNLIQDHMRMEEEENQENGTSNSRSDDDDSSVDEDCDRTPLRLSIGNK >scaffold_601818.1 pep chromosome:v.1.0:6:7275944:7277237:1 gene:scaffold_601818.1 transcript:scaffold_601818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLNLSPSLNPGLLHKTRSRQQPTRLSALLVTNPKPFNHRYPLGLSPIPSLQIRDAAAKPLLSLTNPESSSGFSRKPRSIAAVGSSDSNPDEKSDLGEAEKKEKKAKTLQLGIVFGLWYFQNIVFNIFNKKALNVFPYPWLLASFQLFAGSIWMLILWSFKLYPCPKISKPFIIALLGPALFHTIGHISACVSFSKVAVSFTHVIKSAEPVFSVIFSSLLGDSYPLAVWLSILPIVMGCSLAAVTEVSFNLGGLSGAMISNVGFVLRNIYSKRSLQSFKEIDGLNLYGCISILSLLYLFPVAIFVEGSHWVQGYHKAIASVGTPSTFYFWVLLSGVFYHLYNQSSYQALDEISPLTFSVGNTMKRVVVIVSTVLVFRNPVRPLNALGSAIAIFGTFLYSQATAKKKKIEVGGDKKN >scaffold_601819.1 pep chromosome:v.1.0:6:7277496:7278925:-1 gene:scaffold_601819.1 transcript:scaffold_601819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAFIRLSVGSLALRIPKILLNSTSKSDEKKNFSSQCSCEIKLRGFPVQTTSIPLMPSLDAAPDHHSISTSFYLEESDLRTLLTPGCFYSPHAHLEISVFSGKKSLNCGVGAKRQQIGIFKLEVGPEWGAGKPMILFNGWISIGKNKRDGAAELHLKVKLDPDPRYVFQFEDITTLSPQIVQLRGSVKQPIFSCKFSRDRVSQVDPLNGYWSSSGDGTELESERRERKGWKVKIHDLSGSAVAAAFITTPFVPSSGCDWVAKSNPGAWLVVRPDPSRPNSWQPWGKLEAWRERGIRDSVCCRFHLLSNGLEIGDVLMSEILISAEKGGEFFIDTDKQMLTVAATPIPSPQSSGDFSGLGQCVSGGGFVMSSRVQGEGKSSKPVVQLAMRHVTCVEDAAIFMALAAAVDLSILACKPFRRTSRRRFRHYSW >scaffold_601820.1 pep chromosome:v.1.0:6:7284337:7285124:-1 gene:scaffold_601820.1 transcript:scaffold_601820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LX29] MGKDQHNHSDRGFFHHLAGFAGGHYRPHSHGYGHHGHGYEAPYPYPPPPPPHHGYPPVAYPPHGGYPPAGYPPAGYPSHGYPSHGYPGPSHSGHHHGGIGAIIAGGVAAAAGAHHMSHHGHYGHHHHGHGYGYGYHGHGKFKHGKRWKHGMFGKHKGKFFKKWK >scaffold_601822.1 pep chromosome:v.1.0:6:7288752:7290368:1 gene:scaffold_601822.1 transcript:scaffold_601822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMVTSFRLRPSFSAATSPSSSSSTSNVKPRPAVILPGLGNNSGDYKKLEVTLGEYGVPAVVAAVSRLDWFRNAAGLVDPAYWRGTLRPRPVLDWYLNRIDDAVREANELAQGQGLSLIGHSAGGWLARVYMEEYGNSDISLLLTLGTPHLPPPRGQPGVIDQTRGLLYYVEENCAKAVYTPELRYICIAGRYIRGARLVDNADANVDSDVTVGIDSGEGISELAIASNKKIGSSGPTFRARFVGQGYKQVCGRADVWGDGVVPEVSAHLEGALNVSFDGVYHSPVGSDDETRPWYGSPVIVKDWIHHLLE >scaffold_601826.1 pep chromosome:v.1.0:6:7308167:7308428:-1 gene:scaffold_601826.1 transcript:scaffold_601826.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LX35] MGLRLPTVAVVNSISTHLMVMSHLFMLLHSSLLLPLPQMSKPLQLPLRVSVSSIKLRKSFKVPFVFGLASWWC >scaffold_601827.1 pep chromosome:v.1.0:6:7310029:7312152:-1 gene:scaffold_601827.1 transcript:scaffold_601827.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:UniProtKB/TrEMBL;Acc:D7LX36] MAGLLKTPSLNLTPTLLHAPSVPFKPFCVSFAGGRNTSVSLSRRASLRSVSSGYPLRLLNLVPFASGEAETTETEVESNKPEVQETDGAVDVENENAGAEEVEAEEEEAAVVTALLNSYKEALADNNEGKIAEIEASLKSIEDEKNLLADKVASLSNELSVERDRLIRISADFDNFRKRTERERLNLVSNAQGEVVENLLAVLDNFERAKSQIKVETEGEEKVTNSYQSIYKQFVEILGSLGVIHVETVGKQFDPMLHEAIMREDSAEYEEGIVLEEYRKGFLLGERLLRPSMVKVSAGPGPEKPREAEVEETTAQGSSEEEASSS >scaffold_601828.1 pep chromosome:v.1.0:6:7313507:7313944:-1 gene:scaffold_601828.1 transcript:scaffold_601828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLKFQGGCDIWMVRCVCSARDDDGERMIACDVCEIWQHTRCCGIDDTDTLPPLFVCSNCCEEFAEQQKKVLQPKYEFPSAENVFLIESGDDYFGGDERSLGMIFPEENFLLQNPFLDQTLWINQFFCK >scaffold_601832.1 pep chromosome:v.1.0:6:7325025:7328178:1 gene:scaffold_601832.1 transcript:scaffold_601832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAKFVISVLVLLLSIVNSVFASTNGPICSSTSTSFNRDIFPQGFIFGAASAAFQYEGAVHEGCRGPSMWDYYTLKQPERTNNDNADVAVDFYHRYKEDIQLLKKLNMDGFRFSFSWPRIFPHGRKDKGVSKVGVKFYHDLIDELLANGITPLATVFHWDIPQDLEDEYGGFLSERVIDDFVEFANFTFNEYGHKVKKWITFNEPWVYSRAGYDIGKKAPGRCSQYVNKTCLGGSSGHELYIVSHNLLLAHAEAVHEFRKCAKCKGGKIGIAHSPSWFEPHALESSPHANVSVERALEFMLGWHMNPTTYGDYPQIMKDQVGDRLPKFTEDQKQKLKMSYDFVGINYYTATFAAYNGLIDPSRPTWESDSLVKWDPKNILGYNIGSKPLTASLAVYANGLRELLKYVKDKYGDPEIIIAENGYGESLGANDKLPNALADYNRKYYHQRHLLSLNEAICVDKVNVTGYFAWSLLDNFEWQDGYETRYGLYYIDYKNNLTRHEKESAKWFKEFLKEPISSKFVENIYKDEL >scaffold_601837.1 pep chromosome:v.1.0:6:7346096:7348167:-1 gene:scaffold_601837.1 transcript:scaffold_601837.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase [Source:UniProtKB/TrEMBL;Acc:D7LX45] MDTEFLRTLDRQILLGVFIAFVAAGAGAAYFLTSSKKRRVCLDPENFKEFKLVKKNQLSHNVAKFIFELPTSTSVLGLPIGQHISCRGKDGQGEDVIKPYTPTTLDSDVGRFELVIKMYPQGRMSHHFREMRVGDYLAVKGPKGRFKYQPGQFRAFGMLAGGSGITPMFQVARAILENPTDKTKVHLIYANVTYEDILLKEELEGLTANYPDQFKIYYVLNQPPEIWDGGVGFVSKEMIQTHCPAPASDIQILRCGPPPMNKAMAANLEALGYSLEMQFQF >scaffold_601850.1 pep chromosome:v.1.0:6:7418665:7422400:1 gene:scaffold_601850.1 transcript:scaffold_601850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFEKSSHSVNIICEETVRRSFVSHLSSALHREGISVCVFADTDFDDQNQGARVTVVVFSENYAFPHPMLDNFAKILQLRSNSGHEVIPVFYGVDPSAVNPNHDWLPLHMEGHQSMNSSNVRSSDSQLVEDIVRDVYGKICPTERIGIYTRLMEIENLLCEQSWDVRRLGLWGMPGIGKTTLAKAVFDHMSNDYDASCFIENFDEQLRMVGPYRLLEEKIGRILEEKFGISSSYITRLSLLRDKLCDTRIVVVLDDVRNPLAAESFLGRLDWFGPGSLIIITSRYKQVFALCQISQIYEVHGLNKHEALKLFSQNAFEKDVPEQNDKELSMKVIDYANGNPLALCIYGRELKGKKSEMEAAFLRLQQCPPKKIQDRLKSVYSALSDNETYTFLNIACFFKGENVDYMVQLLKWCGYFPRVGIDVLVEKCLVTISENTLQMYDMIQDMIRDIITGEKIQMERCTTLWHTSHIRYLLEDDELKADGDPKEIPKCLMVAEDIEGICLDTSNLIFDVNPDAFKKMVSLRFLKIYNSYSENVPGLNFPNGLNYLPRELRLLHWEKYPFESLPQGFDLQELVELNMPYSELKKLWETNKNLEMLKRIKLCHSRQLVKFSIHAQNIELINLQGCTRLENFSGTTKLQHLRVLNLSGCSNITIFPGLPPNIEELYLQGTSIEEIPISILARSSQPNCEELMNHMKHFPGLEHIDLESVTNLIKGSSYSQGVCKLVLLNMKDCLQLRSLPDMSDLESLQVLDLSGCSRLEEIKCFPRNTKELYLAGTSIRELPEFPESLEVLNAHDCGLLKSVRLDFEQLPRHYTFSNCFRLSLERTVEFIEKGLTRVIRLDREQNQEHVKAPAFNVCFPADACPWYSFQWQESHFVRVTLAPCMRKALSGFAMSVLVSFRDDYHNAVGLGIRCICRWKTKKGNFDQIERVYKCWAPREAPGVQKDHIFVLYDAKMQVGPDEGMDQIMSSDVLVFEFHTVSGENKPLGANCAVTECDVKVIMDSTGDTKFSAVSRASEDISNIEELPPPLPKKPEATICSPPFSNPHELFNVASKVKSEGSSILSRWDSKAR >scaffold_601851.1 pep chromosome:v.1.0:6:7422809:7423909:-1 gene:scaffold_601851.1 transcript:scaffold_601851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSVRRLCLSKNEKISHLPDLINKFSQLQWLDLKYCKNLTHVPQLPPNLQCLNVHGCCSLKTVAKPLVCSIPMKHISSTFIFTNCNELEQAAKEEIVAYSCVPEILFCTSFPGCEMPSWFSHDAIGSMVEFELPPHWNHNRLSGIALCVVVSFQNCQNHANLTVKFSCEPKNGESSCTSITWKVGTLIEQDNQEETVESDHVFIGYTNCLDFIKIVEGQGPRKCAPTKASLEFSVTTGTGGEARFEVLKSGFSFVFELEENKVPIPSNNEVKGKTKNNANGCFKDQAKGDEYPKGQWQTYIESSGN >scaffold_601856.1 pep chromosome:v.1.0:6:7456336:7459539:1 gene:scaffold_601856.1 transcript:scaffold_601856.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LXP8] MATTRKLVVEVVDAKDLTPKDGHGTSSPYVIVDYYGQRRRTRTIVRDLNPVWNETLEFSLAKRPSHQLFADVLELDMYHDKNFGQTRRNNFLGRIRLGPDQFVGKGEEALIYYPLEKKSLFNLVQGEIGLRVYYADEKPPPLKPTVAPLETVVEEKTEETMADGPDKSQPPPETNDDPAEVKETPEPPQPPPEENSPAEGLKPNEEASPPLQENVTVGGEEPAASEPTLPPESDKNKADVKPVEEPPQNQPDGEDVVLESEDSMSWASAPRSPEVIISRSVSGSIPEIKNGPQPLRRSISETASYTSEISDVSTIERSTFDLVEKMHYVFVRVVKARSLPTSGSPITKISLSGTMIQSKPARKTSCFEWDQTFAFLRDSPDLSSSPILEISVWDSSTGFETSQFLGGICFDVSEIPLRDPPDSPLAPQWYRLEGGGAHNSDLMLATWTGTQADESFPDAWKTDTAGNVTARAKVYMSSKLWYLRAAVIEAQDLLPPQLTEFKEASFQLKAQLGFQVQKTKSAVTRNGAPSWNEDLLFVAAEPFSDQLVFTLEYRTSKGPVTVGMARVPLTSIERRVDDRLVASRWFGFEDPNDEKRGNRSRVHLRLCFDGGYHVMDEAVHVCSDYRPTARQLWKPAVGIVELGVIGCKNLLPMKTVNGKGSTDAYTVAKYGTKWVRTRTVSDSLDPKWNEQYTWKVYDPCTVLTIGVFDSWGVFEIDGGKEATRQDLRIGKVRIRISTLETGKAYRNTYPLLMLVNGGVKKLGEIELAVRFVRSAPPLDFLHVYTQPLLPLMHHIKPLSLIQEEMLRNAAVKILAAHLSRSEPPLRPEIVRYMLDADSHTFSMRKVRANWLRIVNVVAGMVDIVRWVDDTRFWKNPTSTLLVHALVVMLIWFPDLIVPTLAFYLFVIGAWNYRFRSRAALPHFDPRLSLADAADREELDEEFDVVPSNRPPEMVRLRYDKLRNVGARVQTILGEVAAQGEKMQALVTWRDPRATGIFVGLCLFVALVLYLVPTKMVAMASGFYYFRHPIFRDRKPSPVLNFFRRLPSLSDRLM >scaffold_601857.1 pep chromosome:v.1.0:6:7460186:7462660:1 gene:scaffold_601857.1 transcript:scaffold_601857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVAATSSIVSGIKLSGILTSFNAVDDASSSCRRTNLTGVRIFPTLSRRRFSSIGALSPIRGHAQSSFSRSSFAFPQNLGLSGGFSAAEALPPACENASPPSIKSFNQLIETLIDRVDLSESEAESSLEFLLNEANEALISAFLVLLRAKGETYEEIVGLARAMMKHARKVEGLVDAVDIVGTGGDGANTVNISTGSSILAAACGAKVAKQGNRSSSSACGSADVLEALGVVLDLGPEGIKRCVEEGGIGFMMSPMYHPAMKIVGPVRKKLKIKTVFNILGPMLNPARVSYAVVGVYHKNLVVKMAKALQRFGMKRALVVHSYGLDEMSPLGGGLVYDVTPEKIEEFSFDPLDFGIPRCTLEDLRGGDPDYNADVLRRVLSGESGAIADSLILNAAAALLVSNRVQTLAEGVTLAREVQSSGKAIKTLDSWINISNLAQKSQ >scaffold_601860.1 pep chromosome:v.1.0:6:7475335:7476531:-1 gene:scaffold_601860.1 transcript:scaffold_601860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQEKNKEEIERTTSNDQQDPIPVDLILVILSRLPVKSIVRFLCVSKIWSSITTQSTLPSFINSFSSRPRRLLLTFLKKKKRFVFSFPQNQNHDRSYYPVYSYQVTNTNYSWSCNTSSESVQGLIVLKGSIVWNPTINKFLPLPKPNRKLRGNGWSFLGYDPLEGKHKVLRLYSKQHGVLTLGAQESWRIFSQDLPSHFCAGYFGCVNGILYYKAFFGVGGECCLMSFDVRSEKFNPINFPEGSSHFYMIVPYEGRLALVYTTYLPNIYVGLWILEDADGHEWTYKSFSVPPSTRGWNNSNLELKGVTDAGEFIFTPRALTNSFYVLYFDPRSNSIREDLFEGIFGDEFRCRYGIGNYDSLHSQTFNIDVFPNHIETFVSLKS >scaffold_601862.1 pep chromosome:v.1.0:6:7495000:7495514:-1 gene:scaffold_601862.1 transcript:scaffold_601862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKDEKAGEIYRTSHDGNDDDSHDRSHDVNRIISDSIPLDLTLEILSRLPAKSIVRSFCVSKLWSSFTKHPSFINFCATRSSARPSLLLTFHKDNKRFVFSFPQHQNLDGSYPPVESYHQMDNPKDWTFTQLESVHGLIYLIKRSQNLEPYHETVL >scaffold_601863.1 pep chromosome:v.1.0:6:7496043:7497390:-1 gene:scaffold_601863.1 transcript:scaffold_601863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEKEKTGEIIRGTSQSSSLIRPLIQWYLPNRGIFLTENAASVARSFYAKHTQSSPKQLRTSLHDDQNHVTERRFSGSIPLDLSWEILSKVPAKSIVRSRSVSKLCSSVTTHPYFINSFPALSSKPCVLLVFQKGETLFVFSFPQHQYPIDPYSQVERYEVINPNNRHFACSDSLRGLICFESSKQLVIWNPTMRRFLTLPEPENSSLRYVRGFLGYDPIECQHKVLSFLANEGIRVLTLGAQESWRMIEASPLHYICLPLLKATRNHAIMSFDLRFETFNLIEFPMNDHIRGLLVTYEGRLALVNSMRTGIKIWIMEDGENQKWSFKHVMYPTSVKISRTLDLKGVTDDGELVYTPRSLSKSFHVVYFDLKRKSIRETKFKGIACDLFRKLKGLGYGPLNDLDVFPNHIESLLSL >scaffold_601864.1 pep chromosome:v.1.0:6:7497935:7498248:-1 gene:scaffold_601864.1 transcript:scaffold_601864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVRSLLGAKKILSRSTAAASAAPKGFLAVYVGESQKMRYLVPISYLNQPSFQALLSKSEEEFGFDHPMGGLTIPCPEDTFINVTSRLQ >scaffold_601868.1 pep chromosome:v.1.0:6:7503875:7504091:-1 gene:scaffold_601868.1 transcript:scaffold_601868.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXQ8] MRERYRRSHRETIIRRQSQSPRESYSESVRETVTKPLEMPYFTSALSQNAVAFVTSNF >scaffold_601870.1 pep chromosome:v.1.0:6:7506933:7507245:-1 gene:scaffold_601870.1 transcript:scaffold_601870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRSLLGAKKILSRSTAAVSAAPIGFLTVYVGESQKKRYLVPLSYLNQPSFQALLSKSEEEFGFDHPMGGLTIPCPEDTFVNVTSRLQ >scaffold_601873.1 pep chromosome:v.1.0:6:7514469:7514781:1 gene:scaffold_601873.1 transcript:scaffold_601873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRSLLGAKKILSRSTAAPSAAPKGFLAVYVGESQKKRYLVPISYLNQPSFQALLSKSEEEFGFDHPMGGLTIPCPEDTFINVTSRLQ >scaffold_601877.1 pep chromosome:v.1.0:6:7523190:7525487:1 gene:scaffold_601877.1 transcript:scaffold_601877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLVSSIILLSAIAGSCLPCGFAYVDVCNHDFEVFRCEIEQICPRSLYPSPPFEVDGDLLDKLMDTNHGNAYTSILFYASWCPFSRAVRPKFDVLSSMSPHIKHLIVEQSQALPSVFSRYGIHSLPSILMVNQTMKMRYHGQKDLASLIQFYKETTGLKPVQYVDEGEPTSLDIDGNLITWLHNGSSIREVAEREPYMVLALMFLSLKLAILIFPIMGSRLKTLWALYVPHLSLAILGETSQLFGRALHMIDVRRLWMKLRLTKTRNFQERAKNALASVSLGKSSSQSA >scaffold_601881.1 pep chromosome:v.1.0:6:7534593:7535814:1 gene:scaffold_601881.1 transcript:scaffold_601881.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LXS1] MDEQEEKKQRTRQSSSTLTTRQSSSTLKTRQSSHGNHKSQSNSIPFDITFEILSRLPAKSIVRYRSVSKLWSFITTTPEFIKTRSKKTSPPCVLLIFRKHDKLIVFSSPQHKNTYSYVEDYHIEIPKNGFIRRLDSVHGLICFEGSKQLVIWNPTMKRFFTLPEPQGNGDEYYVGGFLGYEPVEGKYKALCIVRGWNTQVLTLGVQESWRVVTKGGFFHWPTKDTGRCINGVIYYKAFDMAPRHAIISFDLRYEEFKLIDFPMRDYDRFLMVSYEGRLALISDTSSVVEIWSLEDAGNKKWSYGQFHLSLPPNKYLKWLDLRGVTDGGELIYTGVSLNGSFCVVYFDPKKSSIRETKFRGITGNEIWQPDRLGFDLVNDFYVLPNHIESFISF >scaffold_601887.1 pep chromosome:v.1.0:6:7554283:7555309:1 gene:scaffold_601887.1 transcript:scaffold_601887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSVSSLAGRVAIVTGSSRGIGRAIAIHLAELGAKIVINYTTRSTEADQVAAEINSSAGAGQEPIAFVFHADISEPSQVKSLFDAAEKAFNSPVHILVNSAGILNPNYPTIANTPIADFDRIFKVNTRGSFLCCKEAAKRLKRGGGGRILMLTSSLTEALIPGQGAYTASKAAVEAMVKILAKELKGSGITANCVSPGPVATEMFFDGKSEETVRNIIERSPFGRLGETKDIASVVGFLASDGGEWINGQVIVANGAFLK >scaffold_601893.1 pep chromosome:v.1.0:6:7578728:7580757:-1 gene:scaffold_601893.1 transcript:scaffold_601893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIRKKINNGLIIGQSHYRTEVIAVVVSVLSLISKEKKRETKKKERELRSLLLSSILQNLRPLQITGIFGLQGVENKPELKTGALCCIVASRPLVTTKLSVRSARDIPQSSQADAMQWRTNFSFSPAGYTRDGLEQEMGDESPAFAFYSSSSRQRKSLEFRFQESPRWASNSSASGGNEQKTSIVDSISRPTNSNTQCINEENGFDRDSTAISTSFRSLLSLSESSAPWETPSNQSSSLTHCSYPRVFCNPVSVCENPEPNHTQEDSSTNPDSSFITSTRNHQVEEEVSPNSNSNDMLLDVERPNDTEVENPRSEPGSMTQQRCGICKKLLSQKSPWSSYKILRSRDMPAAGVFPCHHVYHVECLDKVTPTAQTRDPSCPVCSNTIGTMEQPLIAPETLQMALRSLRRSRTTLELETVSIPCNNNQRRHRSQKWEKLGCCLNISFSSSS >scaffold_601895.1 pep chromosome:v.1.0:6:7596813:7599972:-1 gene:scaffold_601895.1 transcript:scaffold_601895.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAPY2 [Source:UniProtKB/TrEMBL;Acc:D7LXT5] MTAKRGIGRHESLADKIQRHRGLILVISVPIVLIGLVLLLMPGRSTSDSVVEEYTVHNRKGGPNSRGPKNYAVIFDAGSSGSRVHVYCFDQNLDLIPLGNELELFLQLKPGLSAYPTDPRQAANSLVSLLDKAEASVPRELRPKTPVRVGATAGLRTLGHDASENILQAVRELLRDRSMLKTEANAVTVLDGTQEGSYQWVTINYLLRNLGKPYSDTVGVVDLGGGSVQMAYAISEEDAASAPKPLEGEDSYVREMYLKGRKYFLYVHSYLHYGLLAARAEILKVSEDSENPCIVAGYDGMYKYGEKEFKAPASPSGASLGECRRVTINALKVNDTLCTHMKCTFGGVWNGGRGGGQKNMFVASFFFDRAAEAGFVDPKQPVATVRPMDFEKAAKQACSMKLEEGKAKFPLVEEENLPYLCMDLIYQYTLLIDGFGLEPSQTITLVKKVKYGDHAVEAAWPLGSAIEAVSSP >scaffold_601900.1 pep chromosome:v.1.0:6:7614844:7616635:-1 gene:scaffold_601900.1 transcript:scaffold_601900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7LXU0] MTESTEANADTLRLELHKVLTEIVHDGVGRGGGKDHLVETDAIDEAVTRILTCLGKVESKKPPVVVPKEFICKLSKTIMIEPVIIASGQTFEKKHITKWLKHNTTCPETKAVLSHLCLTPNHSINELITQWCLVNKYDRPDPQPSDEIVIEMFTGDIEPLLHRISSPSSVADQIEAAKELALQTSKFVNVCDFFVKELYDSITRLLTPLSNFGDDVDSNPELQENILTSLLNISTVEKSQKIIAENPLVIPLLTKSLRRGTDQTKTVSAATLTSLSGIDSNKIIIGNSEALKALIDLIGDSDDLSATGEAGYAVLNLCSDESENMEKAISEGLILAVLKKILAGRNVENMVSILTSISTNNQVKEKIEELGIVCDILKILRNTSCLMTGENAIEVLFKMFDGIRDTARLGILQEEELLYKTFTKLARQGSDRAARKAQEILQWMEMFAEKEKCQKMLELAALN >scaffold_601907.1 pep chromosome:v.1.0:6:7636649:7637007:1 gene:scaffold_601907.1 transcript:scaffold_601907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIVCFLTILILVSSISCESKKKKVVIVPGSKEMYPDLKLVEGPTTVEDDFCYDCVRRSLYAKG >scaffold_601912.1 pep chromosome:v.1.0:6:7666649:7667621:-1 gene:scaffold_601912.1 transcript:scaffold_601912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQPPAKKRNMGRSRKGCMKGKGGPENATCTFRGVRQRTWGKWVAEIREPNRGTRLWLGTFNTSVEAAMAYDEAAKKLYGHEAKLNLVHPQSLRQQQQQVVVNRNLSFSSHGSGSWASKLDTVPVLDLGLGPASGSRGSWSGRSNFLQEDDDHNYNRRPSSSGSNLCWLLPKQSDSQDQETVNAASGCGVEGGGGSTLTFSTKLKPKNLVVSPNNGLYSGAWSRFLVGQEKKTEHDVSSSCGSSDNKESMAVPSGGGERMHRPEVEERTGYLEMDDLLEIDDLGLLIGKNGDFKNWCCEEFQHPWNWF >scaffold_601917.1 pep chromosome:v.1.0:6:7689005:7691401:-1 gene:scaffold_601917.1 transcript:scaffold_601917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCDCFYWNKSITELESESSESKPFSLPSPLPRWPQGSGFATGRINLGEIQVVKVTEFDRVWKCGRSRGKSSCASFYKPVGIPEGFHCLGHYCQPNNQPLRGFVLAARANEPGHLADDHRPPLKKPVNYSLVWSSDSDCYFWLPNPPVGYRAVGVIVTDGSEEPEVDEVRCVREDLTESCETGEKVLGMGSFNVWSTKPCETGIWSRGVEVGSFFCSTNDMSSNYKAAMNIACLKNLDPSLHGMPNLDQVHALIQHYGPMVYFHPEETYMPSSVPWFFKNGALLYRSGKSQGEPINSTGSNLPAGGENDGSFWIDLPEDDEVRSNLKKGNLESSELYVHVKPALGGIFTDIVMWIFCPFNGPATLKIGLLTVPMNRIGEHVGDWEHFTFRISNFNGELTQMFFSQHSGGGWVDVSDLEFVKGSNKPVVYSSKHGHASFPHPGMYLQGPSKLGIGVRNDVAKSKYAVDSSQRYRIVAAEYLGEGAISEPCWLQFMREWGPTLVYDSAAEINKIIDLLPLIVRYSFESLFPIELYGEEGPTGPKEKDNWEGDEMC >scaffold_601918.1 pep chromosome:v.1.0:6:7699542:7701598:1 gene:scaffold_601918.1 transcript:scaffold_601918.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LXV9] MGSGLNDTLSRNYNGLELWEIIVIVLSAIFVVVLAISLWLTFRRKTSRSSTKLIPVSRQIPPTVPEEIKEIRVDEVSSSNGGNGYPSISEKFGDKEPEKGIKAESENGDSSRSGSFNHLEKKDGSSVSSANPLTAPSPLSGLPEFSHLGWGHWFTLRDLQMATNQFSRDNIIGDGGYGVVYRGNLVNGTPVAVKKLLNNLGQADKDFRVEVEAIGHVRHKNLVRLLGYCMEGTQRMLVYEYVNNGNLEQWLRGDNQNHEYLTWEARVKILIGTAKALAYLHEAIEPKVVHRDIKSSNILIDDKFNSKISDFGLAKLLGADKSFITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRYPVDYARPPPEVHLVEWLKMMVQQRRSEEVIDPNLETKPSTSALKRTLLTALRCVDPMSEKRPRMSQVARMLESEEYPIPREDRRRRRGQNGTTKDSDPPRNSTDTDKSEYHDLKPEGG >scaffold_601928.1 pep chromosome:v.1.0:6:7740968:7744097:1 gene:scaffold_601928.1 transcript:scaffold_601928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGSSDGESHDTSTQRKIPPASSMLWVRNLRRYIGSGAGLGSEALMELETKRILLEIFKEKQQKSQEAGTIPSFYKKKPEEGSISQRVQRLAKYRFLKKQSDLLLNADDLAAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDEAGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQSHEMEAYIGGLIPNLAQLRDMPPAFNQMYCRIASQKFFFFCDPHRRGKACIKKILLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDMSGSLCKQELKEYADGTLTEIFIERVFDEHVRRGKSGSGNSREMDFDSFLDFVLALENKDTPEGLTYLFRCLDLQGRGFLTTADIHSLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPSDPLKITLSDLLGCKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPPEEESQ >scaffold_601931.1 pep chromosome:v.1.0:6:7760229:7762976:1 gene:scaffold_601931.1 transcript:scaffold_601931.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LYF0] MSGCLPCFGSSAKDAASKDSVKKELSAKDGSVTQSHHISLDKSKSRRGPEQKKELAAPKEGPTAHIAAQTFTFRELAAATKNFRPECLLGEGGFGRVYKGRLETTGQIVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKEPLDWSTRMTIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLGDGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNARAPGEHNLVAWARPLFKDRRKFPKMADPSLQGRYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTFDPNAPSGQNSRSGSGPPFIRTRDDRRSLGDGSSLDSPAETRSRLGSPATHKNSPDYRRRDMVREVNAGSEGGSETGGGSGRKWGLSDLEGQDSQRGSPASVGRSSRGTPRNRDLDRERAVAEAKVWGENWRERKRATNGPGSFDSTND >scaffold_601935.1 pep chromosome:v.1.0:6:7781525:7783984:1 gene:scaffold_601935.1 transcript:scaffold_601935.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LYF4] MEASPNDRLHFGKMGFGCKHYKRRCQIRAPCCNEVFDCRHCHNESTSTLRNIYDRHDLVRQDVKQVICSVCDTEQPVAEVCSNCGVNMGEYFCNICKFYDDDTEKQQFHCDECGICRVGGRENFFHCKKCGSCYAVGLRNNHRCVENSMRHHCPICYEYLFDSLKDTNVMKCGHTMHLECYNEMIKRDKFCCPICSRSVIDMSKTWQRLDEEIEATAMPSDYRDKKVWILCNDCNDTTEVYFHIIGQKCGHCRSYNTRAIAPPVLPQ >scaffold_601937.1 pep chromosome:v.1.0:6:7785919:7786129:-1 gene:scaffold_601937.1 transcript:scaffold_601937.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LYF6] MGGMSMSCCGGGGGGGDDGSSLLTHLVLIIVICLSVMAVCTSNERRTAVRVVRCR >scaffold_601938.1 pep chromosome:v.1.0:6:7789451:7791503:1 gene:scaffold_601938.1 transcript:scaffold_601938.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:D7LYF7] MEVSVIGNPQARIFRAELAYRELGFRFGSVVISGESRNRVTFCNQGSKWKEIAIRCSHRSVKCEAIVSDDAPPFLNSTSKSKSLESVKLFVGLPLDTVSDCNNVNHLKAITAGLKALKLLGVQGIELPIFWGVVEKEAVGRYEWSGYLAVAEIVKKVGLKLHASLSFHGSKHPEIGLPDWVAKIGEAEPGIYFTDRYGQQYKDCLSFAVDDVPVLHGKTPMEVYRGFCDSFKSAFSDYMGNTITGITLGLGPDGELRYPSHQQDVKCSGAGEFQCYDKHMLTALKAYAESTGNPLWGLGGPHDAPAYDQQPNSSSFFSDGGSWESQYGDFFLSWYSSLLTSHADRVLSVTSSAFSGIGVPLCGKLPLLHQWHKLRSQPSELTAGFYSSNGQDRYEAIAEIFAKNSCRVIIPGMDLSDEHQSPESLSSPESLLAHIKASCKKQGVVVSGQNSSTPLPGGFERIVENLKDENVGIDLFTYQRMGALFFSPEHFHAFTVFVRNLSQFELSSDDKAADVEDETVSIGSGTGAPSLQTA >scaffold_601939.1 pep chromosome:v.1.0:6:7791751:7794038:-1 gene:scaffold_601939.1 transcript:scaffold_601939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRSLILEMRSRPHRVVHDLAAAAAAADSTPGSSQDYRWSDIPEELLREILIRVEAADGGGWPSRRSVVACAGVCRGWRLLVNETVAVPEISSKLTFPISLKQPGPRDSLVQCFIKRNRITQSYHLYLGLTNSLTDDGKFLLAACKLKHTTCTDYIISLRSDDMSRRSQAYVGKVRSNFLGTKFTVFDGNLLPSTGAAKLRKSRSSNPAKVSPKVPLGSYPVAHITYELNVLGSRGPRKMQCVMDTIPTNAMESQGVASEPSEFPFLGTRSTFSRSQSKPLRCSSSHLKETPLVLSNKTPRWHEQLRCWCLNFHGRVTVASVKNFQLVAAAAASGGGGTGLSPERQNERIILQFGKVGKDMFTMDYGYPISAFQAFAICLSSFETRIACE >scaffold_601940.1 pep chromosome:v.1.0:6:7797689:7798103:-1 gene:scaffold_601940.1 transcript:scaffold_601940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LYF9] MAFSFLKTFLIIIFIFISLSFPCLSSSPTISSFQELSPEIAPLLPSPGDALPSDDGSGTIPSSPSPPDPDTNDGSYPDPLAFAPFASPPFSSPSPPSHPPVGVLLLTVIFSSASLGLRSVITLL >scaffold_601943.1 pep chromosome:v.1.0:6:7809395:7812663:1 gene:scaffold_601943.1 transcript:scaffold_601943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDITIDELHREKDASETLTTAHFQAPSSDRPMFWTICPFCTVRYRSYKSLLNRPSRCQSCYINFFGNQVPVQGPTPGEKTSQTTLPTMEKTAVSQNHVIRSNLANSTPKNQLQPQKARPQTVPFRSCPSFWTMCPFCANKYRFLRKYINKWLNCQKCKKKFHAVEVNFSLLQPKTVPSKNPGTHLSREESSPGLSCGEKRQRNEYGEISNTANCSNSEDVIVNLSKNGSRRVLADNGDAGEDSGSRKQLHEVDLFKETLPNVMNRNGQLNKKQYAQVGAAMGIAQNIEADKNSGFCDTSSEVVVQPKTSECAGPMFNDSRSDLLVLTPKNQHEKAQAFSLQQQNKVPSKNPGTHFFGNESSPGLSFAVKVGEKRKRNEYGENCNTENHSKLEDVIGSAVHNTRRGTNDNENAGRLEASGWGKQLHEVDRSDETLPNVIKTNKKLNKNQYASGSSGLCDPGSGKNRDTNFFGKVSSPDLCCAMNVDEKTKWDEYCEDFNTENWSKAEDVIVNLSKDGNGRLSDDNGDAGEESGSGKQLHEVYLCKETLPNAMNKNGRLSMKQDAQVGAATGISLNLEAAKNSGFCDKSSEVVVQPTTSECAGLKINDFDKLREEVKFAVGQAWALYDTDGMPRLYALIRKVSSPCFRLRITYLEPVPGNEKEIQWFEENLPVSVGNFRLGKNLNTKDRSIFSHHIACREGSTGHIAVIPRKGETWALFKNWDINWSSEPDSHRKSEYEFVEILSDYADGAGVSVAFLRKAKGFASVFFRLGTSNADISQILPHSLYRFSHRIPSFNLTGIAGKGMPKDAYELDQALLPETIDEIIVPPHLLAEPKPEALYFPRDGKVFQTGQIWSFYYGNVNLPLYYCRIQRITLTQAFEQEAEFKLSVSRLKTNPFPENVIQWEDKRMPVGCGTFSVRKCFEVLTPDDVLHQIVSQTSMDGNDYTILPKIGDVWAIYRFWTCHKEFKDIGSCSYDIVEVLDDTVDYKVLALEAAMFSNEEEDINTFFRAAESRHPDCDNEDGSEVIFTIPKSKMLRFSHQIPASRVTKEIDGDKKEFYEVDPKALPTNVRLKDH >scaffold_601944.1 pep chromosome:v.1.0:6:7813686:7815442:1 gene:scaffold_601944.1 transcript:scaffold_601944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTSSDGAATLSSACAVCVGEKRKRNQYGDSCNDRNHGPVSNNRRGSNDNGDTERGEESVQIQPKISESVGPKFNDFGKLREEVNFAVGQTWALYDTTGMPRLYAQIRKVSAPCFGLRITYLEPDPNGEKELQWFEEDLPVSVGMFRLGENKSTQDRSIFSHVIHCSERSNTLCFSVTCRFINTCHFSVSPRKDETWALFKNWDIKWSSEPDSHRKFEYEFVEILSDYADEAGVYVAYLHKAKGFASVFFRMGTGYEGIFRILPHSLYRFSHRVPSFKLTGIEGKGVPKDAYELDQAALPESIEEIIVPSNSESDLKSKRQAIYFARKGKVFQIGQIWSFCGLYQEFPLYYGRIQKITFTQVHEQKAVYKLHVSPLKATRFPLDVIEYEDKKMPVGCGTFYARKALEIISPDDVSQQIVPQISMDGNEYTILPKIGEVWVIYRFWSEYREFDKVGLCSYDIVEILDDTLDYKVQLLERHHGPDEYEDEDEDEDDKLLSRLLRKKKKFFIEVTEYKHNEIDGSEPIFTITKSERLRFSHKVPAFRVTKQIYGGEIKDLIEVESKALPDHMS >scaffold_601945.1 pep chromosome:v.1.0:6:7816738:7818505:1 gene:scaffold_601945.1 transcript:scaffold_601945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSNELPRRKADSETLMKGTSSDGDIPFSSACAVIVGEKRKMNEYGGSSNTGNRGVVHTNRRGSNDDGDTERGEESVQIQPKISEFVGPKFNDFGNLREEVNFAVGQTWALYDTTDGMPRLYAQIRKVSAPSFGLRITYLEPDPDDEKELQWFEEDLPVSVGKFRLGQSQNTKDRSRFSHLIQCNEGSNTSRLTVFPRKGETWALFKNWDINWSSEPDSHRKFEYEFVEILSDYADGTGVSLAFLHKAKGFASVFFRMGTGDAEISRIPPHGLYRFSHRIPSFKLTGIEGKGLPKDAYELDQVVLPETIKEIIVPFYLLAVRTALKSKPEALCFANKGKVFKTGQIWSYYRLYKRFPRYYGRIEKITLTQAFEQDAVCTLHISRLKATPFPEDVVKWDDNRMPVGCGTFLVMKGIERLTPYEVSHQIVPQTSMDGKEYTILPKIGDLWAIYRSWSPHFEVDGLERWYDYDVVEVLDDTLDYKVLELEPVSVSNEDDEKTFFRAAESSDCEVVFTIRKSKRLKFSHQLHASRVTKVIDGDLKELFEVDTRAITSMIFSSYLRSEGLFPRKKKKT >scaffold_601946.1 pep chromosome:v.1.0:6:7819292:7820307:1 gene:scaffold_601946.1 transcript:scaffold_601946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIILPLKNYLDGNLCICIFCRNLTRGSCLLKSVSVLCLTMNVSSSDGATTFSSSSIGNFLSPDLSCSVTRKGNENGESSNTSKGKLFQTGQIWSFYSGNDDLPLYYGRIHKITVTQVFEEEAEIKMCVHLLKANPFPENVIQWEDKNMPVGCGTFSVTKCFRKFTTDNVSLQIVPQTSMGGNEYTILPKIGDVWAIYRSWTCHNEFKDIGSCTYDIVEVLDDTSDYKVLALEPALFSNEEEEKKTFFRAAESRHPDCDDEDGSEVIFTIPMSKMLRFSHQIPASRVTKKIDRELRELFEVDSRALPTNVRVSRPLSKGEVREG >scaffold_601947.1 pep chromosome:v.1.0:6:7821622:7824518:1 gene:scaffold_601947.1 transcript:scaffold_601947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSSDGSSTFSTCCSVIVGDKRKRNEYGDSCNASNRGALPNNTRGSSDNGYAGRGEDSAAQPKISECGVGPKFNDFDELREEVYFALGQTWAIYDTADGMPRLYARITKLSAPSFGLRITYLEPEPDHEKEILWFDEGLPVSVGKFRFGKNQNTKDRSIFSHVICCGEIYNEVSKKGHFIVSPLKGETWALFKNWDINWSSEPDSHRKFEYDFVEILSNYTDGAGVSVAFLHKAKGFASVFFRMGTGDAHISRIPPDGLYRFSHRIPSFKLTEMGGKYAYELDQAALPETIKESIVPSHLLRVLAALKPKPEAVLPKPIKEIIVPPEVLAVPTALKSKPEVLCFLCKGKVFQTGQIWSYYSNNWNLPVYYGRIKKITFSQSFGQATVFKLHVTRLKPVPFCKRMPISCGTFLVGKGTKVIDPDDVSYQIVPQTVMDGNKYTIHPKIGDVWAIYTILSHHTDEDLERWYDYEIVEVLDDALDYKVLALEPALFVNEDEGKTKFLRAAERRQHDLENESEVVFTIPKSKNRKFSHKIPVSRVTKEIDGDLKELFEVLDSKALPSMLAPLRMLLLLEHEPNSDKVVDILHLPEKLHFQAVKEYKSNLIDGPEPIFTIPKSERLRLSHKVPASRVTKEIYGELKDLIKVVSAALPVNLQNT >scaffold_601948.1 pep chromosome:v.1.0:6:7825224:7827286:1 gene:scaffold_601948.1 transcript:scaffold_601948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENAVQIHSIRSDLVASTPGNQQEKAQAFSLQQQITVPSKNQGIHFSGNECSPGLSPAGKVGDKRKRNEYDEIVYTEHRSNSEDVIVNLGNKRVSGDIGDAVQDSGSGKQLHEVRLCKETLQDAINRNAQVGAAMGISHNLEADDYSGFADISSQVAVQPKTSVCAGPKFNDFEKLREEVNFAVGQTWALYDTADGLPRLYAHIRKVSAPSFGLRITYIEPDPDDEKELQWFEEDLPVSVGKFRLGENKSTKDRSMFSHVIHCNERNNELNERSNTRCFRFTCRFINTCHFSVSPRKGETWALFKNWDINWSSEPDSHRKYEYDIVEVLSDYADEAGVYVAYLHKAKGFASVFFRMGTGYEGIFRILPQSLYRFSHRVPSFKLTGIEGKGVPKDAYELDQAALPETIEEIIVPSNAESDLTPKRQAIYFASKGKVFQTGQIWSYYSGNDDLPLYYCRIQKITFTQAFMQDPVCKLHIRRLKATRFPEYVIQYEDRRMPLIRYEDRRMPIGCGTFYARKLLEIITPDEVSHQIIPQTSLDGIEYTILPKIGEVWVIYRYWSSHTDIEDLENEVYDIVEILDDTSDYKVQLLKQQAVDGDRDNFEYMLFRAGKEYTYNEDDKSETILTIPKSERIISFSHKVSASRVTKEMDGELKEFLSVDYRATPFNVKHR >scaffold_601949.1 pep chromosome:v.1.0:6:7828358:7830404:1 gene:scaffold_601949.1 transcript:scaffold_601949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDITVYESLRRQNAVQNHQIRSDLVAATPRNQQEKAQAFSLQQQITVPNDFGGAGDEPASEKQLHEVHLCKDTLPNAINRNAQLGAATGISQNLEVDYNSGFCHTSLEVAVQPKISESVGPKFNDFEKLREEANFAVGQTWAIYDTVDGMPRLYARIIKVSAPCFGLRITYLEPDPGNEKEKLWFEEDLPVSVGKFSERSNTRCFSITCRFINTCHFSVSPRQGETWALFKNWDIKWSSEPDSHRKFEYEIVEILSDYSDEGGVYVAYLHKAKGFASVFFRMGTFYEGIFRILPHSLYRFSHRVPSFKLTGIEGKGVPKDAYELDEAALPETIEEIVVPSNSESKKKSKHQAIYFASEGKVFQTGQIWSFYSGYDDLPLYYGRIQKITYTQAFKQDPVIKLHISRLKATRFPEDVINWKYGGMPVSCGTFYQIMPQTSMDGIEYTILPKIGEVWAIYRYWSHYIDVDGLEFGLYDIVEILDDTLDYKVQLLKQQPVSDDRNDMEHRLFRACTEYTYNEDEGSEPIFTIPKSERIRFSNKIPATRVTKEMSGELEDLLSVEFRATPINVIHC >scaffold_601951.1 pep chromosome:v.1.0:6:7836400:7839154:1 gene:scaffold_601951.1 transcript:scaffold_601951.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LYH1] MSNKDEALRAKELAEDWMSKSDFTTARRIALKAQKMDASLENVVARMIMVCDVHCAALEKSGDETDWYKILQVEQNADENIIKKQYRKLALHLHPDKNKLPGAESAFKTIGEAQRILLDKDKRRFHDMRRKPVFRRPAPAPAPATSFQPQQAPTTPFFTQRVFQTNVNAERKRPENQKKPQVQPTVFGGDSRFCTSCPFCHKKYEYQRGLINTRMNCMRCGKQYIAIEENFEGPPVQATFPFFQQSKVPTQEAGKAVEKQPQSSSKSSLSKEGSRAKSSGVSAENINGKRKRKKVVESSDSSCSESSIECKEVPAGGQDSGSSGAQHSRRSVRSKQQVSYKENKSDDDNKEEDAESAEESDFRKKSHEDQLFAETLPNGINRTKKIKGDQVGSSRDSGACNAAKDSSSGSASDAEIECTDPDFSNFEKSREVTCFKAGQTWAMYDDMGRMPRYYALIRKVIRKPSFMLKIQWLEARPDDEKAIQWVRKKLPISIGKFKLGGNLNIEKTPCFSHLIYSRVGSMKDTVRVYPRIGETWALFKNWDINWSSGRRRSSHEHEYEYEFVEILSEYVEGVAIEVAFLRKLKGFASVFCRIAPGGGLDTIQIPPHELLRFSHSIPSTKLTGEEGNGVPIGSYELDTAALPHKIEEEEAVPVLREAAKLNQVHHHSPPSSEPDCIVIPNFQFNNFSAERLEGKFAPGQIWSLNSKEDGLPKCYAKIQQIVWRPVFKLQINRLEPKSFLENIIQWHDKRMPVSCGNFTLKESRDETLTNVTDFSHQIKAENHFRKNEYIVVPKTGEIWAMYKNWSETIKAASLKKCEYEVVEVLDDNDSHIEVMLLERVDGFISVFKEKVEGGIDVKKKIPRCELLRFSHYVPAFRLTGERDGALRGYVELDPSALPRNLRRS >scaffold_601952.1 pep chromosome:v.1.0:6:7839808:7842081:-1 gene:scaffold_601952.1 transcript:scaffold_601952.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LYH2] MEGSSIRNFVLAKDSSSSSSSSSTPPTCSSSQAKEQSVEDESRSQPSGSQLDVSIQIPPKPTPNLGILRNLSLKRKASLPNYERRLLLSPTVSETSERPLVASPITSPYWKRCLSLPSTNAAKLSLAVSTPPVSAVVHSEQPKSNKNGVHASVSRSLSMNRVIVRAVSFDDNKNHISNEANGDQITPVPAEETEEEIPEEEAVCRICLDVCEEGNTLKMECSCKGDLRLVHEHCAIKWFSTKGTRICDVCRQEVRNLPVILLRVPTINQLTNRRELTQQSSQPQTISVGQEFVVLVLISTVCYFFFLEHLLIRDLNSQAVFVAAPFSFTLALLASTFAVILAIREYIWTYAALEFALVALLVHLLYATLGVPVIYAMLFAGILGFGMAMCLNLLCICYSSRPVRFPQNTNLV >scaffold_601954.1 pep chromosome:v.1.0:6:7849507:7849725:-1 gene:scaffold_601954.1 transcript:scaffold_601954.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L33 family protein [Source:UniProtKB/TrEMBL;Acc:D7L5F8] MGDKRKKTFMFIRLVSAAGTGFFYVKRKSTKGLLEKLEFRKYDPRVNRHVLFTEQKMK >scaffold_601959.1 pep chromosome:v.1.0:6:7863007:7867198:1 gene:scaffold_601959.1 transcript:scaffold_601959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSQSPTPPEMDVQPPALVNDDPSTYSSALWDWGDLLDFAADERLLSLDSDQTPFPPVPSPPLPPLIPTQTPAESELYPSPEESGSGSDRVRKRDPRLICSNFIEGMLPCSCPELDQKLEDAELPKKKRVRGGSGVARCQVPDCEADISELKGYHKRHRVCLRCANASSVVLDGENKRYCQQCGKFHVLPDFDEGKRSCRRKLERHNNRRKRKPVDKGGVAAKQQQVLSQNDNSVIDVEDGKDNACSSDQRAEQEPSLIFEDRHIPTQGSVPFTQSINADNFVSVTGSGEAQPDEGMNDTKFERSPSNGDNKSAYSTVCPTGRISFKLYDWNPAEFPRRLRHQIFQWLANMPVELEGYIRPGCTILTVFIAMPEIMWAKLSKDPVAYLDEFILKPGKMLFGRGSMTVYLNNMIFRLIKGGTTLKRVDVKLESPKLQFVYPTCFEAGKPIELVVCGQNLLQPKCRFLVSFSGKYLPHNYSVIPAPDQDGKRSCNNKFYKINVVNSDPNLFGPAFVEVENESGLSNFIPLIIGDEAICSEMKLIEQKFNATLFPEEQGVTVCSSLTCCCRDFGERQSTFSGLLLDIAWSVKVPSAERTEQPVNRCQIKRYNRVLNYLIQNNSASILGSVLHNLETLVKKMEPDSLIHCTCDCDVRLLHENMDLASDVHRKHQSHKESKMNPGNVLPSSGCCCVSSFQKDIPSRILNFNQDPEAGLDCKERIQADCSPDSGGKETDPLLNNEVVMNVNDIGDWSRKKSCITAHSALTFRSRQTVFLIATFAVCFAVCAVLYHPNKVTQLAVAIRMRLVHKI >scaffold_601967.1 pep chromosome:v.1.0:6:7904893:7907386:-1 gene:scaffold_601967.1 transcript:scaffold_601967.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LYI7] MEGLRDSSSQTLSSTTSSSSSPSCDVDVENRGKKLTPPSTRRSRAGFSDSFSSHDLSSFCRDEEITTFCPIKSESDDDLLRSIESETSSSVVSTSDSSSSEAHHHHRHHHSSGGPNNGHWRRGFFRLLKKGSSAMPFNTFTPLKGVPKLTRRKSKRIRDNMVPVIPALDTDDLFYFKPSWRNFSLQDIQTATNDYSRENLIGEGGYAEVYKGQMPDGQIVAIKKLTRGSAEEMTMDYLSELGIIVHVDHPNIAKLIGYCVEGGMHLVLELSPNGSLASLLYEAKGKLNWSMRYKVAMGTAEGLYYLHEGCQRRIIHKDIKASNILLTQNFEAQISDFGLAKWLPDQWTHHTVSKVEGTFGYLPPEFFMHGIVDEKTDVYAYGVLLLELITGRQALDSSQHSIVMWAKPLIKENKIKQLVDPILEDDYDVEELDRLVFIASLCIHQTSMNRPQMSQVVEILRGDKCSLDKLRERENSKLQRTYSEELLDNEEYNSTRYLNDIHRHMETVLGTSKDS >scaffold_601970.1 pep chromosome:v.1.0:6:7924580:7926740:1 gene:scaffold_601970.1 transcript:scaffold_601970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mo25 family protein [Source:UniProtKB/TrEMBL;Acc:D7LYJ0] MSFSFFKPSRPKTPQEVVKAIKDSLMALDTKTVVEVKALEKALEEVEKNISSLRGMLSGDGEVEPNADQAVQLALEFCKEDVISLVIHKLHILGWEARKDLLHCWSILLKQKVGETYCCVQYFEEHFELLDSLVVCYDNKEIALHCGSMLRECIKFPSLAKYILESACFELFFKFVELPNFDVASDAFSTFKDLLTKHDSVVSEFLTSHYSEFFDVYERLLTSSNYVTRRQSLKLLSDFLLEPPNSHIMKKFILEVRYLKVIMTLLKDSSKNIQVSAFHIFKIFVANPNKPQEVKIILARNHEKLLELLNNLSPGKGSEDDQFEEEKELIIEEIQKMSGLKNLEH >scaffold_601971.1 pep chromosome:v.1.0:6:7927167:7928658:-1 gene:scaffold_601971.1 transcript:scaffold_601971.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LYJ1] MSRGQSYLINFFRNRTRQNPNAQIRSLTVESRDSESKPDEQKSAVSYTEMAKTVSTIMRQRQRWQQTLVSDFPSFDFADPLFFRQLLKSQNNVMFSLWFFRWLCSNYDYTPDSVSLNLLFGALLDGKAVKAAKSFLDTTGFKPEPTLLEQYVKCLSEEGLVEEAIEVYNVLKEMGISSSVVTCNSVLLGCLKARKLDRFWELHKEMIESEFDLERIRCLIQALCDGGEVSEGYELLKQGLKQGLDPGHDVYAKLISGFCEIENYACISEILHTMIAWNHFPSIYTYQRIIKGLCMNKKELEAYCIFKNLKEKGYAPDRVVYTTMIHGFCEKGWLGSARKLWFEMIKKGMRPNEFAYNVMMHGHFKRGEISLGEAFYNEMLRNGYGETTLSCNTVIRGLCSHGKSDEAFEIFKKMSETGVTPNAITYNALIKGLCKENKVEKGMKLYKELKALGLKPSGAAYAALVRNLKMSDSVGTSLNLKIA >scaffold_601980.1 pep chromosome:v.1.0:6:7969036:7970066:-1 gene:scaffold_601980.1 transcript:scaffold_601980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin synthase [Source:UniProtKB/TrEMBL;Acc:D7LYJ9] MKPCMTALRQVIQPLLNFQGNMVDVPFFRRKDKVVFVMGATGTGKSRLAIDLATRFPAEIVNSDKIQVYKGLDIVTNKVTPEESLGIPHHLLGTVHDTYEDFTAEDFQREAIRAVESIVQRGRVPIIAGGSNSYIEALVNDCVDFRLRYNCCFLWVDVSRPVLHSFVSERVDKMVEMGLVGEVRRIFDSSSSDYSAGIRRAIGVPELDEFLRAELRNNPAETTERLLETAIEKIKENTCLLACRQLQKIQRLYKQWKWNMHRVDATEVFLRRGEEADEAWDNSVAHPSALAVERFLNYNDDHHLDGANILLPEISAVPPLPAAVAAISR >scaffold_601985.1 pep chromosome:v.1.0:6:8002947:8004837:-1 gene:scaffold_601985.1 transcript:scaffold_601985.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYK4] MYFPDHRVFFETPDLVAGDSILADLKSLSLRRFLPARIFPSFRRLTSSPTRSFSTSRSPKQQPPLPFPAVDGRCLSTLRRFILYLNHVANLLGILQKVSKIYSFKAPLSISHRRRKLVNLRSPTHLTINLSAGSRNFSGVSRRSLPPCIVTELSYASSAGYAAFLKHRFRSFRSVTTMCINPLDLLSSSLQFVRRISSQLRVRSAPTARNYFPALFLAFPVNRRLCLVSKYLCRLKDETLILVGLFLPSWSLGQSSYFLLLDLMSPERDIMNMGQLLLMLLNMGQLLPFWFLGQCLVSLFLGLLKPIRRSSCSCLVVLKSNMLNLQRFNGSSIKRFCLAIWKLLSFNFENSVPGNRDIMRVMWNRIIRRETNHIHYGGNHSLLKPLMSGCNKSGRMNRGGHGMIGMLTLDLLFPDSIISSHEERHGFNFLFDERETFSATIPQWRGRFLMCLLLRCLGVFQNTLFSRVTTYARPGALLYPFSSLILTGTNLHCISLLGSGTLHSIGMSAYALQAHYWKYKTAWSLLYSLRQWLSWSLAFWKRTALRIDENPSFVVRMLFCSRSNIPWISILLCKFIGLRSSPPREEFVCL >scaffold_601986.1 pep chromosome:v.1.0:6:8005650:8007168:1 gene:scaffold_601986.1 transcript:scaffold_601986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFFGPPQVPVMQPPAPYVEHQSAKKVKNDVNVHKATVRLEADDLNPGHHLVSFVFDALFDGSFTIIFFAKEESNCTIVPDLPEAFPPIKVPFKKGTAQKFLQAPGTGTDLGFFSLDDLSKPSPEEVYPLVISAETVISPSSVSEEPFVHKQITQACLEKTNDGSFKVKVMKQILWIEGDRYELHELYGIDNSTTQGNAALGLEDTGDKECVICLTEPKNTAVMPCRHLCLCSDCAKELRFQSNKCPICRQPIAELLEIKVESSDEQH >scaffold_601989.1 pep chromosome:v.1.0:6:8033639:8033854:1 gene:scaffold_601989.1 transcript:scaffold_601989.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYK8] MDGMNMSKRTIVHNVMDGMVINEHNLAETFVCKSIVCKATRSVSIWPTRLYANPQYK >scaffold_601991.1 pep chromosome:v.1.0:6:8036466:8037776:-1 gene:scaffold_601991.1 transcript:scaffold_601991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPRIIHFLCLIFFTLANTSHSLEKIQAFLQPIRKDDATNLYYCPLSIGMSKSNGVNHLPISVNLAIDLGGSAPFLLTCAAAVKSISYHPIKCGSSRCTYAKPDLLSCPNNSKKRATCHKSFSTSFTVHPIKSRLFRDTVSLLYTQNACTDMWNVDPLIKPYLSVVNGTLGLAKTHVSLPSQLVSSYKVPLKVALCLPSSYGSPSGSGALYVGGGPYFFAPYPNDVSKFFASTPLLANDQSPGEYFIDVKSIQIGGKAIVIAKKGTKICTLAPYTVLHSSIYKALVLTFAGKAKMVKAPAVKPFGSCFSSKGLGKTMMGSGVPVIELVLSGGAKWKIYGWNSLVKVSKDVVCLGFLDGGVNLKEAMVIGGFQMEDNLVEFDIKASKFSFTSSLLLRNASCSQSRLF >scaffold_601994.1 pep chromosome:v.1.0:6:8047250:8047440:-1 gene:scaffold_601994.1 transcript:scaffold_601994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRLADFRYSMGIFEKYTVLKPQPLILA >scaffold_601995.1 pep chromosome:v.1.0:6:8051112:8051902:1 gene:scaffold_601995.1 transcript:scaffold_601995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRSLMYRLCHIKMVVIKVFHPTSPNQILIALLLQFLIWLTYQFKLSPLMFLKDSFQRCLSSRIMSIRLNRSVFLYIKFVSGGLSTPEVIWIFDPGINKQIFSIEGIGACGKFIFVRTRSGDCDVVALSRSNYSGSMEKEIMVINQQRWAGRYKRCDRKPFQPIFQSVCLIIVMRKIFGSFIHKMLAFYEYMKRGLNRCHILPVRLPFGKQDYFRFFIKIVFNFLYLV >scaffold_601999.1 pep chromosome:v.1.0:6:8064145:8066412:-1 gene:scaffold_601999.1 transcript:scaffold_601999.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent (S)-NAD(P)H-hydrate dehydratase [Source:UniProtKB/TrEMBL;Acc:D7LYL8] MLVKHSVISGLGRLTSHSPSSSSSVLRRQQFLVRTLCGSQIHRPKLIRAMSSTSEADAESVLRTVTPSLDPKRHKGQAGKIAVIGGCREYTGAPYFAAISALKIGADLSHVFCTKDAAPVIKSYSPELIVHPVLEESYSISQLSEEDQRKVQDKVLGEVDKWMERFDCLVIGPGLGRDPFLLECVSKIMLLAKKFNVPFVVDGDGLFLVTNSIDLVHRYPLAVLTPNVNEYKRLVQKVLNCEVDEQNAEDQLRTLAKQIGGVTILRKGKSDLISNGETVKSVSIYGSPRRCGGQGDILSGGVAVFWSWARQLKSDPESPAENPAILGCIAASGLLRKAASLAFTKHKRSTLTSDIIECLGESLEDICPAS >scaffold_602006.1 pep chromosome:v.1.0:6:8098685:8099243:-1 gene:scaffold_602006.1 transcript:scaffold_602006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTKNLVIFFHIVILTVLFNEIILVSGEEVEEFPYDHCFQLCVEGVYGPQKCFEDCYDRGFARGDCANPTAKDPRRCCCNN >scaffold_602007.1 pep chromosome:v.1.0:6:8100903:8103414:1 gene:scaffold_602007.1 transcript:scaffold_602007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDVPPQVPQSKTRDLDKLLLRHGNLVDPGFFPGPDLRDDIRDYVRILVVGAGGLGCELLKDLALSGFRNLDVIDMDRIEVTNLNRQFLFRLEDVGKPKAEVAAKRVMERVSGVEIVPHFSRIEDKEIEFYSDFNIIALGLDSIEARKYINGVACGFLEYNEDDTPKRETIKPMVDGGTEGFKGHARVILPGVTPCFECTIYLFPPQVKFPLCTLAETPRNAAHCIEYAHLIQWEAVHHGKTFDPDEPEHMKWVYDEAIRRAELFGIPGVTYSLTQGVVKNIIPAIASTNAIISAACALETLKIVSACSKTLVNYLTYNGGEGLYTEVTKFERDTDCLVCGPGILIELDTSVTLSKFIEMLEDHPKLLLSKASVKHGENTLYMQAPPVLEEFHRPKLSKPLYDLMGRVQKDTIHVFGTALKNNEKESCSTKVRVVFKGADGVTDMDTAIGA >scaffold_602015.1 pep chromosome:v.1.0:6:8124371:8125249:1 gene:scaffold_602015.1 transcript:scaffold_602015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKLHLLFLLSVFLSLHRLVLSDTADEEDVLRTGINNYRAGLNLTTLIHNENAECLADEIADQFKNQPCTNTTGSFSVPGTQPGFPNLPKLLSKCRLNPTVTRDGAILPACVPNLDPSLVLTNFTQSQYSKDLNDSKFTGIGIGSDDNWIVVVLTTSTPEGSYSPASNSGAFSFGVNGLVSSSLMMMFLLFCFFMF >scaffold_602017.1 pep chromosome:v.1.0:6:8142150:8142447:1 gene:scaffold_602017.1 transcript:scaffold_602017.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LYN4] MPPEVPSHIFSFNRSDQTSTTAHRSCSPHASNSSTPENNSPTHETIYHHSNKKQKEKKLNGSKSTPVLCKPPEPNTAARPSFVF >scaffold_602020.1 pep chromosome:v.1.0:6:8151306:8152329:1 gene:scaffold_602020.1 transcript:scaffold_602020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7LYN6] MGLHPISDADEHNPFGSLTADEFYAKHSVSHSSAFITNPRGLKLFTQWWSPLPPTKPIGIIAVVHGFTGETSWFLQLTSILFAKSGFITCAIDHQGHGFSDGLIAHIPDINPVVDDCISFFDDFRSRQSPSDLPCFLYSESLGGAIALYISLRQRGVWDGLILNGAMCGISDKFKPPWPLEHLLFVVANLIPTWRVIPTRGSIPDVSFKEPWKRKLAMASPRRTVARPRAATAYELIRVCKDLQERFEEVEVPLLIVHGGGDVICDVACVEELHRRAISEDKTIKIYPELWHQMIGESEDKVDLVYGDMLSWLKTRAERKARAGGA >scaffold_602021.1 pep chromosome:v.1.0:6:8152724:8155067:1 gene:scaffold_602021.1 transcript:scaffold_602021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNKRSQDEPELELEPELTKIIDGDSKKKKNKKKRSREDTKIEPEQKMSLDGDTKKKKKKKKKKKQEEEEKRNVEDGRATVSIAIAGSIIHNTQSLELATRLAGQIARAATIFRIDEIVVFDNKSSSEIESAAMNPSDSNESGASFLVRILKYLETPQYLRKSLFPKQNDLRYVGMLPPLDAPHHLRKHEWEQYREGVTLNEKAPNSEGTMVDVGLSKSVVVDQVLSPGVRVTVAMGTDHDLDLVRQIVPPSKPREEAGMYWGYKVRYASQLSSVFKECPFQGGYDYLIGTSEHGVVISSSELKIPTFRHLLIAFGGLAGLEESIEDDNQYKGKNVRDVFNIYLNTCPHQGSRTIRAEEAMFISLQYFQEPISRAVRRL >scaffold_602023.1 pep chromosome:v.1.0:6:8161429:8163103:-1 gene:scaffold_602023.1 transcript:scaffold_602023.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RANGAP2 [Source:UniProtKB/TrEMBL;Acc:D7LZ68] MADTLDSRPHAFSIKLWPPSLPTRKALIERITNNLSLKTIFTEKYGSLTKDQAMEDAKRIEDFAFSTANQQFEREPDGDGGSAVQLYAKECSKLILEVLKKGPVATRDLISEDSSASPRETFFDISKGQRAFIEADEADELLKPLKEPGNAYTKICFSNRSFGLGAARVAEPILASLKDQLKEVDLSDFVAGRPEVEALEVMNIFSDALQGSILSSLNLSENALGEKGVRAFGALLKSLSSLEELYLMNDGISKEAAQAVSELIPSTENLRVLHFHNNMSGDEGALAIAEVVKRSPLLENFRCSSTRVGSEGGIALSEALEHCTHMEKLDLRDNMFGTEAGVSLSKTLSSFKHLTELYLSYLNLEDEGAIAIVNALKESASPIEVLEMAGNDITVEAASAIAACVAAKQDLKKLNLSENELKDEGCVQIAKSMEEDHSKLQYIDMSTNFIRRAGARALAHVVVKKEAFKLLNIDGNIISEEGIEELKEIFKKSPELLGALDENDPDGEEEDDDEEDEEDEENEGNGNGELESKLKNLEVKQED >scaffold_602038.1 pep chromosome:v.1.0:6:8226538:8228942:1 gene:scaffold_602038.1 transcript:scaffold_602038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFCSLVPTVTSLFSSHALARWRSSSMSPPPLRISRAFSAATTVPISSSFTWDDVIETGREEYTPHNSSDLTGFLEKVDRCNRGSEKLAEFIPFVIEEQIVGYIHKGFTEYLREFHDIFTFSQNGSYHDRVDGFVTLNLMLEKPEDRTRAVADVIKVLGDKGIIPGIRNELYPVKPSFNAPVIFSLERAAAPYFGIKGYGVHMNGYVERDAQKFLWIGKRSLSKSTYPGMLDHLVAGGLPHGISCGENLVKECEEEAGISKAIADRAIAVGAVSYMDIDQYCFKRDVLFCYDLELPQDFVPKNQDGEVESFKLIPVAQVANVIRKKTSFFKANCSLVIIDFLFRHGFIRPESSGYLHLYGRLRNKDCS >scaffold_602039.1 pep chromosome:v.1.0:6:8230476:8232952:1 gene:scaffold_602039.1 transcript:scaffold_602039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFCSLCPTVTSLFSSQALIPTLQWRSSSSSRSPPVHITRVLSVETVPISPSFTWNDVFENCRAEYVPQNSSDLTGFLEKVDRCNRGLEKLAEFIPFVIEEQIVGYIHKGFTKYLRDFHDIFTFSQYGGHVTLNMMLKKPEERTRAVANVIKILGNRGIIPGIRNELYPVKPSFNASAFFSLERAAAPYFGLKGYAIHVNGYVERDGQKFLWIGKRSLAKSTYPGLLDHLVAGGLPHGISVCENLVKECKEEAGISKVLADRAIAVGAVSYMDIDRYCFTRDVLFCYDLELPQDFVPKNQDGEVDSFKLIPVAQVANVVRKTSFFKDSCSLVIIDFLFRHGFIRPESPGYLDLYRRLRNGDCS >scaffold_602044.1 pep chromosome:v.1.0:6:8243918:8246612:1 gene:scaffold_602044.1 transcript:scaffold_602044.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease family protein [Source:UniProtKB/TrEMBL;Acc:D7LZ88] MSVSLSYTCLLRLPTFSVPTKPIILSGPLPFHVPRSHISSSCFGFKSNPFLRTQTPRFFKCLPQRVSDERRETQVTTEEEDDEEEKVVVFERLFSNLNQSTLKRESGSLSSAILLVAGTTVGAGILAIPAVTQESGFLASAVACILCWAFMVVTGLLVAEVNVNTMSELGSGGVSLVSMAKRTLGSVGVQVVSWSYLLIHYTLLVAYIARSSGILTNFLGIPIWESATLFSLIFGGLCFFGSQRFIGVANGVLVFGVIASFAALVAVASGDLHWEALLKANFEAVPMSIPIIALSFVYQNVVPVLCTDLEGDLPKVRTAIVLGTAIPLSLFLVWNGVILGSFPADTGVAAEKMVDPLQQLRSSSVTVGPFVEAFSLFAIATSYIGFVLGLSDFFSDLLKLPSGQNKPLLYLLTLVPPLVLSLLDPEIFFKALDFAGTYGVLVLFGILPAAMSWSDRYIVSTSRVTRLPQIVPGGKLTLSLVMGAAGYVILSEVVENFSKYLSSS >scaffold_602047.1 pep chromosome:v.1.0:6:8253393:8255343:-1 gene:scaffold_602047.1 transcript:scaffold_602047.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermine synthase [Source:UniProtKB/TrEMBL;Acc:D7LZ91] MGEAVEIMFGNGFPEIHKDTSPIQTLHSNQQDCHWYEETIDDDLKWSFALNSVLHQGTSEYQDIALLDTKRFGKVLVIDGKMQSAERDEFIYHECLIHPALLFHPNPKTVFIMGGGEGSAAREILKHTTIEKVVMCDIDQEVVDFCRRFLTVNSDAFCNKKLELVIKDAKAELEKREEKFDIIVGDLADPVEGGPCYQLYTKSFYQNILKPKLNPNGIFVTQAGPAGIFTHKEVFTSIYNTMKQVFKYVKAYTAHVPSFADTWGWVMASDHEFDVEVDEMDRRIEERVKGELMYLNAPSFVSAATLNKTISLALEKETEVYSEENARFIHGHGVAYRHI >scaffold_602049.1 pep chromosome:v.1.0:6:8262570:8265889:1 gene:scaffold_602049.1 transcript:scaffold_602049.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:D7LZ93] MDSVFSNVARAPEDPILGVTVAYNNDPNPVKINLGVGAYRTEEGKPLVLDVVRKAEQLLVNDPSRVKEYIPIVGIADFNKLSAKLILGADSPAIKENRVATIQCLSGTGSLRVGAEFLKKHYHQGVIYIPKPTWGNHPKVFNLAGLSVEYFRYYDPATRGLDFKGLLEDLGAAPSGAIVLLHACAHNPTGVDPTSEQWEQIRQLMRSKSLLPFFDSAYQGFASGSLDTDAQSVRTFVADGGECLIAQSYAKNMGLYGERVGALSIVCKSADVASKVESQVKLVVRPMYSSPPIHGASIVATILKSSDMYNNWTIELKEMADRIKSMRQQLFEAIQARGTPGDWTHIIKQIGMFTFTGLNKEQVEFMTKEFHIYMTSDGRISMAGLSSKTVPHLADAMHAAVTRLG >scaffold_602056.1 pep chromosome:v.1.0:6:8287664:8292018:1 gene:scaffold_602056.1 transcript:scaffold_602056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDHHQDDVRFSSSSIRIHSPSSKEHSLLTNLKSCSKTFVSQLCNTRLSLTQMLESLRNRHTPPRSVRRPNLPTQMLNSVTQLMIGKSSPISLSLIQSTQLNWSSGSGDENVEIIRGLNSPLLCCASLSLTRPNESTQSVEGKDIVQQQKGHSVSRNAEERVLISEVLVRTKDGEELERKDLEMEALAALKACRANSALTIREVQEDVHRIIESGYFCSCTPVAVDTRDGIRLMFQVEPNQEFRGLVCENANVLPSKFIQEAFRDGFGKVINIKRLEEAITSINGWYMERGLFGIVSDIDTLSGGIVRLQVAEAEVNNISIRFLDRKTGEPTKGKTSPETILRQLTTKKGQVYSMLQGKRDVDTVLAMGIMEDVSIIPQPAGDTGKVDLIMNCVERPSGGFSAGGGISSGITSGPLSGLIGSFAYSHRNLFGRNQKLNVSLERGQIDSIFRINYTDPWIEGDDKRTSRSIMVQNSRTPGNLVHGNQPDNSSLTIGRVTAGIEYSRPFRPKWSGTAGLIFQHAGARDEQGNPIIKDFYSSPLTASGKTHDDTLLAKLESIYTGSGDRGSTMFAFNMEQGLPVLPEWLCFNRVTGRARKGIHIGPARFLFSLSGGHVVGNFSPHEAFVIGGTNSIRGYEEGAVGSGRSYVVGSGEMSFPVRGPVEGVIFTDYGTDLGSGSTVPGDPAGARLKPGSGYGYGLGVRVDSPLGPLRLEYAFNDQHAGRFHFGVGLRN >scaffold_602059.1 pep chromosome:v.1.0:6:8298983:8299682:-1 gene:scaffold_602059.1 transcript:scaffold_602059.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOFP8/OFP8 [Source:UniProtKB/TrEMBL;Acc:D7LZA3] MEKRMKLRVSRIVRSSLSSCRPRDLYDVVETCAVTSQATSSERFFLTEAKTKTPRPKRYASSCPRASPIFPPNPFYEESRSFRDLRKKVKTNRKQRSQFGSDPLFASRFKSTGSWYWSCSEEEDEGDKEESEDDSDTLFSSRSFSSDSSKAESFAVVKKSKDPYEDFRTSMVEMIVERQIFAAAELQQLLQCFLSLNSRQHHKVIVQVFLEIYATLFSP >scaffold_602064.1 pep chromosome:v.1.0:6:8327380:8328944:-1 gene:scaffold_602064.1 transcript:scaffold_602064.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7LZA8] METPNVTSHTNLLSKIDLEKQSPAPIFPTITELKTEAKSLFSLAFPTILAALILYARSAISMLFLGHLGELELAGGSLAIAFANITGYSVLAGLALGMDPLCSQAFGAGKPKLLSLTLQRTVLFLLTSSVVIVALWLNLGKIMIYLHQDPSISSLAQTYILCSIPDLLTNSFLHPLRIYLRAQGITSPLTLATLAGTIFHIPMNFFLVSYLGWGFMGVSMAAAASNLFVVIFLVAHVWIAGLHQPTWTRPSSECFKDWGPLVSLAIPSCVGVCLEWWWYEIMTVLCGLLINPSTPVAAMGILIQTTSLLYIFPSSLGFAVSTRVGNELGSNRPNTARLSAIVAVSFAGVMGMTASAFAWGVSDVWGRIFTNDIDIIQLTAAALPILGLCELGNCPQTVGCGVVRGTARPSKAANINLGAFYLVGTPVAVGLTFWAAYGFCGLWLGLFAAQICCAAMMLYVVATTDWEKEADRARKLTCTEGVDVVITTQTNGDLSEPLIYVVTVATD >scaffold_602066.1 pep chromosome:v.1.0:6:8333760:8334196:1 gene:scaffold_602066.1 transcript:scaffold_602066.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZB0] MVVTVAWCSCCGGVRLLAGGAVRGWFLRWLLARSSSPLCCSRLLCDSSLTVRCGSSRVCGGLDLLRCGFGLFRLIPVRFSSACGPPVLFASVGVGFWLWCCIVLSSFGCGLIYLVVRAGKALWLNRGGGFV >scaffold_602070.1 pep chromosome:v.1.0:6:8348871:8350848:1 gene:scaffold_602070.1 transcript:scaffold_602070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPITLTRKPPLPFNVLAFSGRRAMYKASSSRALSFGYKYVGSLNFGRSNLSGRSGTGFGHLGRVSSVSGGSSGGSGGLGGSGGGGGSGDGGDGEGSGGNGKKWSFVSWYLALLSDSPVLTKAVTSALLTLIGDVICQLTINKTSSLDKKRTLTFTLLGLGLVGPALHFWYLYLSKVVTASGLSGAVIRLLLDQFVFAPIFVGVFLSAVVTLEGKPSNAIPKLQQEWTGAVLANWQLWIPFQFLNFRFVPQNFQVLASNVVALAWNVILSFKAHKEVVAK >scaffold_602074.1 pep chromosome:v.1.0:6:8361999:8362796:-1 gene:scaffold_602074.1 transcript:scaffold_602074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQTTPKQKTKEKSKGNKTKFVGVRQRPSGKWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFANHFPNNSQLSLKIRNLLHQKQSMKQQQQQHKPVSSFADCSINYTSTATSLTTTTTTTTAMPLNNVYRPDSSVIGQPETDSLQLPYSWPLVSGFNHQIPLAQGGGEETHGHLNDHYSTDQHLGLAEIERQISASLYAMNGANSYYDNMNAEYAIFDPTDPIWDLPSLSQLFCPT >scaffold_602079.1 pep chromosome:v.1.0:6:8384259:8385639:-1 gene:scaffold_602079.1 transcript:scaffold_602079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAWFVVGSPVMDSSSSPCLCLDAHTMGNIRRKKILGSARNLELGSSFTGSRIVFRLSPKRVSRIANRKSKKLLIVNEDVAGNYEDTFGDVQKQIVNYFTYKAVRTVLHQLYEMNPPHYTWFYNHIITNRPTDGKRFLRALGKESQELAERVMITRLHLYGKWIKKCDHGKIYQDISDENLALMRERLMETVIWPSDDSEVIG >scaffold_602081.1 pep chromosome:v.1.0:6:8388196:8389214:-1 gene:scaffold_602081.1 transcript:scaffold_602081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHILPGFFFFALGLWHLFNHIKLFSLHSKSYIAPLWFPLSKPRYLEPILIIIGSSLSISVELFLGQKNHQPFDPNDGTIPSNHLHNFEHSCISFTIITYAAFAIVFDKTSPMAHRALINLIAALAFAQQLFLFHFHSSDHTGVEGHYHLLLQIVVFVSLVTTLLGIALPSSFMLSFVRSLSVSFQGIWLMSMACMLWTPSLVPKDCFLHIEEGKHTIRCSSVKALHRAISLVNIQFSWFLVIITIFAMWFYIFLQRIYGEKIEYSQ >scaffold_602082.1 pep chromosome:v.1.0:6:8391489:8391900:1 gene:scaffold_602082.1 transcript:scaffold_602082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPYYGSSYLDYLALPNPHLCFFFIVVFFVLSLTWYLNYESIIEDTLDQLKLVFMFTPLFLLLLVHFFSGGLSFYVPWPEQDSIHRAGSSPWGVAAVLVLILFMVSYQSDFQERWFPFGAK >scaffold_602084.1 pep chromosome:v.1.0:6:8407254:8408933:-1 gene:scaffold_602084.1 transcript:scaffold_602084.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LZC8] MKTPTKVMGGHVLLTVFTLCMLCSGVRAQLSFDIYAKSCPNLVQIVRRQVIIALKAEIRMAASLIRLHFHDCFVNGCDASVLLDGADSEKLAIPNINSARGFEVIDTIKDAVENACPGVVSCADILTLAARDSVFLSGGPQWRVALGRKDGLVANQNSANNLPSPFEPLDAIIAKFVAVNLNITDVVALSGAHTFGQAKCAVFSNRLFNFTGAGTPDATLETSLLSNLQTVCPLGGNSNTTAPLDRNSTDAFDNNYFKNLLEGKGLLSSDQILFSSDLAVNTTKRLVEAYSRSQNLFFRDFTCSMIRMGNIANGASGEVRKNCRVINN >scaffold_602089.1 pep chromosome:v.1.0:6:8424010:8425041:1 gene:scaffold_602089.1 transcript:scaffold_602089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSLTIASSLSEPRTQIHSSKRSNLPLQYSIPYKAVTRSRTRRLGLVVSSVSAPNVELRTGPDDLISTLLSKVANSDGGVTLSPEQHKEVAQVAGELQKYCVKEPVKNPLIFGDWEVVYCSRPTSPGGGYRSVIGRLFFKTKEMVQAIDAPDIVRNKVSINAFGFLDGDVSLTGKLKALDSEWVQVIFEPPEIKVGSLEFKYGFESEVKLRITYVDEKLRLGLGSKGSLFVFRRRQ >scaffold_602092.1 pep chromosome:v.1.0:6:8432214:8433354:1 gene:scaffold_602092.1 transcript:scaffold_602092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLNKYVLTTISDRSFIRELNDGSQRDTWIFVYTRRWRRDNGVLHGFSDRSVRWFKIPVAEILAGEIRPGEDLYLLTASGNFLLFASNTHSGIIAVDLVGKIVTRISSCPLGPRGTSSWRRSGMKLVPDPSSPSHFRFMFAEMVNNRPVLFTYHSNTATWHTKEAEDTTNWVYKENSNVLFLSLSNRPHESTVMSVDDDGSMINRFPAILRPRINQDAIRQCPSSVGFSRNDLESQLLHIHGDEYKVVIRLDTVDNSKMKKMMKRLEVWEISSNGEKWELVSRAPSEVISNKPCGVMMGCLERRVGVIRVALMTNHEGLWNIIWLDYDKQKDKWEWVPLPDCRFLQGSNMAGISFSSGLTFSL >scaffold_602094.1 pep chromosome:v.1.0:6:8437147:8440107:1 gene:scaffold_602094.1 transcript:scaffold_602094.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSUG1 [Source:UniProtKB/TrEMBL;Acc:D7LZD8] MAAVEVDSRRPETAMEETCNVKGAAAKQGEGLKQYYLQHIHELQRQLRQKTNNLNRLEAQRNELNSRVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYVLHLVLPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >scaffold_602096.1 pep chromosome:v.1.0:6:8445255:8446532:1 gene:scaffold_602096.1 transcript:scaffold_602096.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding nuclear protein [Source:UniProtKB/TrEMBL;Acc:D7LZE0] MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDQNLHFVETPALAPPEVHIDIADQQKNEAELLQAAAQPLPDDDDDVFE >scaffold_602097.1 pep chromosome:v.1.0:6:8467132:8468970:-1 gene:scaffold_602097.1 transcript:scaffold_602097.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZE1] MVTGCCVIPRLQCVCSSGYYSDVSLFSSRTYLRSFFHYFLIHRWIHPLPLAFVATIWIGMYLVGFPIPVAGDLDLVVVASLSHLWCSLPTRTCFILHQLLITPVRIFLDRCLLNRKDVSSVNLASVGSRRCAFDLGGLGFQILNQLWAWPNNLSGFACFLGFKPLWPIILRICWIWSSNLWLWTFIGLVGNLALWLICKNQFLSISSTESPKQQSLYSRSAPTARNDFSSVFFASPFNRRRCFVCMGPSRVRDETLIVVGRSLSWFLGQRIYFLLLGLKSPVRNLLNLGQVLLRLINMGQLLPSWFLGQCLDSLLLGMLKPKRSPCLCSSNFALVTLLFDSDNLSFIKRSCSAMGILLFFYFENPVLENRENIWDQILRRKTKLIHTAGNHSLLWPLVSGFNMSIFKIGSMYQGDKGMTGMFTMDLLFSDSILSSPEERHGFNFLFVERETFSASIPHWRSKFLLCLLLMRSLVELQNSLFSLMITYTPSSLYHKRRELIDLQLPTHLITNLSVESRIFSGVLRRSLPPCTTAKLSSASSAGSAASPKYRFSSFKSVAAICIIPPDLMRPRKYIMNMGHLLLILMNLAQLLSSWFLG >scaffold_602098.1 pep chromosome:v.1.0:6:8470893:8472469:1 gene:scaffold_602098.1 transcript:scaffold_602098.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding nuclear protein [Source:UniProtKB/TrEMBL;Acc:D7LZE2] MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDQNLHFVESPALAPPEVHLDIAAQQQNEADLAAAAAQPLPDDDDDAFE >scaffold_602101.1 pep chromosome:v.1.0:6:8482589:8482866:-1 gene:scaffold_602101.1 transcript:scaffold_602101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLQTSSRPSEEFLINLSPDSASPDGLVVYDDIAKKELFLHNNSYKSANGERAIHLIPLVLFLCGFVLWVFSNATHV >scaffold_602103.1 pep chromosome:v.1.0:6:8508752:8511951:1 gene:scaffold_602103.1 transcript:scaffold_602103.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase/carboxylesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7LZE7] MSISGAAVGSGRNLRRAVEFGKTHVVRPKGKHQATIVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPSQPISLFGGFPSTAWFDVVDINEDGPDDMEGLDVAAAHVANLLSNEPADIKLGVGGFSMGAATSLYSATCFALGKYGNGNPYPINLSTIIGLSGWLPCAKTLAGKLEEEQIKNRAASLPIIVCHGKADDVVPFKFGEKSSQALLSNGFKKVTFKPYSALGHYTIPQEMDELCAWLTSTLGLEG >scaffold_602105.1 pep chromosome:v.1.0:6:8515221:8519375:1 gene:scaffold_602105.1 transcript:scaffold_602105.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase [Source:UniProtKB/TrEMBL;Acc:D7LZE9] MATSFFRRLARSAPITFPVAFGSQSKSGSGAFRFSTGAIAALSGGFSYYYLTSGNNLVYLDQAKEETGPKTALNPDKWLEFKLQDTARVSHNTQLFRFSFDPSAQLGLHVASCLLTRAPLGYNAEGKTKYVIRPYTPISDPEAKGYFDLLIKVYPDGKMSQHFASLKPGDVLEVKGPVEKFKYSPNMKKHIGMIAGGSGITPMLQVIDAIVKNPEDNTQISLLYANVSPDDILLKQKLDVLQANHPNLKIFYTVDNPTKNWKGGVGYISKDMALKGLPLPTDDTLILVCGPPGMMEHISGGKAPDWSQGEVKGILKELGYTEQMVFKF >scaffold_602109.1 pep chromosome:v.1.0:6:8528815:8530137:1 gene:scaffold_602109.1 transcript:scaffold_602109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTPVGGGGYIRQRHSQGYASGGDDLEDDACSRPQPFSLENPRSKTWVEILENVLWIASAVFIVYFGDRHSNMIHILLHDARIKRMPLYFGMLGIAVNIIIIIYESMLSWSMRRFDEKWELWSISALPFITLLGLISFGLLSFALWPIWGFLTLPLLFTLFMACLVVFPHLMIIKFRPQNDELRID >scaffold_602112.1 pep chromosome:v.1.0:6:8535816:8536819:1 gene:scaffold_602112.1 transcript:scaffold_602112.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LZY4] MKFGKSLSNQIEQTLPEWQDKFLSYKELKKRLKLIPSKTGDRPAKRLRFDDEFSVGMSKEEINFIQLLEDELEKFNNFFVEKEEEYIIRLKEFRDRIAKAKDSMEKMITIRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGDLMRLPFIQKVLQQPFYTTDLLYKLVKESEAMLDHFFPANEPESEVIQAELSEHKFMESLHMKSTIAALRVLKEIRSGSSTVSVFSLPPLQLNGLDETWNKIPLLEQEAK >scaffold_602118.1 pep chromosome:v.1.0:6:8556518:8560207:1 gene:scaffold_602118.1 transcript:scaffold_602118.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle (CCHC-type) family protein [Source:UniProtKB/TrEMBL;Acc:D7LZZ1] MCSIPARFQVLQPQWLRKKNTRFQFLLNPNSKFVFFPRAISSSSDNKDDGSVSSSRQNIRQIGYDPSEELFGVDFKPRIISGDSREPRSWFGPNGQYIRELPCPTCRGRGYTSCSNCGIERSRLDCPQCKGKGIMTCLRCLGDCVIWEESIDERPWEKARSSSPFRVKEDDEVDNLEIKFSKRRKSKRIYQSPTPEVGQKISRSLKSLNAKTGLFSKRMKIIHRDPVLHAQRVAAIKKAKGTPAARKHASESMKAFFSDPDNREQRSLSMKGVKFYCKNCGQEGHRRHYCPELGTNADRRFRCRGCGGKGHNRRTCPKSKSMVTKGISTRHHQCGICGESGHNSRTCLKPTGVRPSGPGGDSSGDGVGRRRYACRFCNKMGHNVRTCPSKQVSDSDSCLEQEGS >scaffold_602121.1 pep chromosome:v.1.0:6:8568814:8571266:1 gene:scaffold_602121.1 transcript:scaffold_602121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKPAVRISDGNLIIKNRTILTGLSDNVITTSASEAGPVEGVFVGAVFDKEDSKHIVSIGTLRNSRFMSCFRFKLWWMAQKMGEMGRDIPYETQFLLVESNDGSHLEPDGSNQKVYTVFLPLIEGSFRSCLQGNVNDEVELCLESGDVDTKRSSFTHSLYIHAGTDPFQTITDAIRTVKLHLNSFRQRHEKKLPGIVDYFGWCTWDAFYQEVTQEGVEAGLESLSAGGTPPKFVIIDDGWQSVERDDTVETGDEKKEQAVSRLTGIKENEKFKNKDDPNVGIKNIVKIAKEKHGLKYVYVWHAITGYWGGVRPGGEYGSVMKYPNMSKGVVENDPTWKTDIMALQGLGLVSPKKVYKFYNELHSYLADAGVDGVKVDVQCILETLGGGLGGRVELTRQFHQALDSSVAKNFPDNGCIACMSHNTDALYCSKQAAVIRASDDFYPRDPVSHTIHIASVAYNSVFLGEFMQPDWDMFHSLHPAAEYHASARAISGGPLYVSDAPGKHNFELLRKLVLPDGSILRARLPGRPTRDCLFADPARDGVSLLKIWNMNKYTGVLGVYNCQGAAWSSTERKNIFHQTKTDSLTGSICGRDVHLISEASTDPRTWNGDCAVYSQSRGELIIMPYNVSLPISLKIREHEIFTVSPIKHLATDGISFAPLGLVNMYNSGGAIEGLKYEAEKMKVVMEVKGCGKFGSYSSVKPKRCVVESNEIAFEYDSSSGLVTFELDKMPVETKRLHLIEVEL >scaffold_602125.1 pep chromosome:v.1.0:6:8586342:8587803:-1 gene:scaffold_602125.1 transcript:scaffold_602125.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S8 [Source:UniProtKB/TrEMBL;Acc:D7LZZ7] MGISRDSIHKRRATGGKQKQWRKKRKYEMGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRVLDVVYNASNNELVRTKTLVKSAIVQVDAAPFKQWYLSHYGVEVGRKKKNAASTKKDAEEGEEVAAVEETKKSNHLSRKIESRQEGRSLDSHIEDQFASGRLLACISSRPGQCGRADGYILEGKELEFYMKKIQKKKGKGAA >scaffold_602126.1 pep chromosome:v.1.0:6:8588808:8591612:-1 gene:scaffold_602126.1 transcript:scaffold_602126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFKDWVFALSNSMASSRPLLGSDPFFRDSQEQDNQSQVPAAPEPVTSLEAPCSTSGDVEIQPPLSQQQVPLESLYQSSIDLNGKKHNPLAKIGDLQVQFLRLVQRFGQSQNNILVSKVLYRVHLALLIRAEESELKTVKLRQDRAKALAREQELSGTPELDFSLRILILGKTGVGKSATINSIFGQSKSETDAFRPATDRIEEVMGTVNGVKVTFIDTPGFHPLSSSSTRKNRKILLSIKRYVKKRPPDVVLYLDRLDMIDMRYSDFSLLQLISEILGAAIWLNTILVMTHSSTTTEGRNGQSVNYESYVGQRMDVVQHYIHQAVSDTKLENPVLLVENHPSCKKNLAGEYVLPNGLVWKPQFMFLCVCTKVLGDVQSLLRFRDSIGLGQPSSTRTASLPHLLSVFLRRRLSAGADEAEKEIDELLNLDLEEEVEYDQLPTIRILGKSRFEKLSKSQKKEYLDELDYRETLYLKKQLKEECRRRRDEKLIDEENLNDTEQSDQAAVPLPDMAGPDSFDSDFPAHRYRCVAAGDQWLVRPVYDPQGWDRDVGFDGINIETAAKIKRNLFASATGQVSRDKQRFTIQSETNAAYTRNSREQTFSVAVDLQSSGEDLVYSFQGGTKLQTFKHNTTDLGVGLTSFGGKYYVGGKLEDTLLVGKRVKLTVNAGQMRGSGQTAHGGSFEACIRGRDYPVRNEQICLTMTALSFNRELVLNYGLQTQLRPARGTNIDVNINMNNRKMGKINVKLNSAEHWEIALISALTMFKALVRRRKSEMTKENEEEEL >scaffold_602129.1 pep chromosome:v.1.0:6:8618440:8622024:-1 gene:scaffold_602129.1 transcript:scaffold_602129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRNKSSQLFSLLCILLQHISNLAGNPKIVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVNQEHCFQYLSAALFVNLQNNDGSQKISGDALKDLYKSFVSEYPNVSIEDPFDQDDWENYVEMTVECGEKVQIVGDDLLVTNPKRVEKAINERSCNAVLLKSLEAVKMSKRAGWGVMASHHSGDTEDTFIADLSVGLSTTGAPCRSERLAKYNQSAWSELQSLCTSIEILNSLNLNNHWMKECFSWQCGSRTQNAHWVFGEVRVFAREFDHVEIMVREHLRNDPYRMEDMDLYSYVLYAKEACAALSYLAHKVVLTDKYRPESCCIIGNYYNLKGQHEKTVMYFRRALKLNKYLSAWTLMGHEYVEMKNTHAAIDAYRRAVDINPCDYRAWYGLGQACEMMGMPFYALYYFRKSIFFLPNDSRLWIAMAKCYQTEQLYMLEEEYHLIKLHQKLGRNEEAAFYFEKDLERMDAEGLEGPNKFEALIFLATHFKTHKKFAEAEVYCTRLLDYSGHCSFAFAYLSLDFFFVFLLNIYIDKRTKTWKKGQKDREV >scaffold_602131.1 pep chromosome:v.1.0:6:8628544:8629623:1 gene:scaffold_602131.1 transcript:scaffold_602131.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,3-glucanase bg4 [Source:UniProtKB/TrEMBL;Acc:D7M003] MFYSTKKLFLFFFLCIVLIVNYNNNGFVTAVNSIGLNYGLLGDNLPSPSNVINLYKSIDITKIRIFDPNTEVLNALRGHRDIAVTVGVRDQDLAALAASEEAVKGWFATNIEPYLPDVNIAFITVGNEVIPGPIGSQVLPVMQSLTNLVRSRNLPISISTVVAMWNLEQSYPPSAGMFTSQAREQLVPVLKLLSQTNTPILVNIYPYFPYVSDPASIPLDYATFNTEAIVVQDGPLGYSNMFDSIFDAFVWAMEKEGVKDLPMVVSETGWPSAGNGNFTTPDIAGTYNRNFVKHITSGKGTPKKPNKGIDVFLFATFNENQKPAGTEQNFGLYNPNDMKPIYKLF >scaffold_602134.1 pep chromosome:v.1.0:6:8641076:8641629:-1 gene:scaffold_602134.1 transcript:scaffold_602134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGRSSRSVLRPLSSSQRLHNNHHHRQRSPSPCNNLNLRLKVFSPGNIPTKPSVTVSSNHQKRKCLCSPTTHPGSFRCSFHRRLEHEKSKTLASSTAKRNNRGDYPINVGLNLRKLALMNSLAKIGSVEAERFRRSLAANLVKPSSLHSHHRSEFRPRLSRFHKDQD >scaffold_602135.1 pep chromosome:v.1.0:6:8642092:8646664:-1 gene:scaffold_602135.1 transcript:scaffold_602135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTLRPHNHFFSSPIHAHKQPFLSVYTIFPHHHHNPLIKSRVKCSASGTERVRESKKLPPKDPIEDPKPLLPIPEVLSTETGFEQNWPPWKNIPQRYKLIGATSLAFVICNMDKVNLSIAIIPMSHQFGWSSSVAGLVQSSFFWGYALSQLPGGWLSKIFGGRKVLEIGVFTWSFATALVPLLAGFMPGLIFSRILVGIGEGVSPSAATDLIARTIPVKERSRAVGFVFGGLSLGSVMGLLLAPPIIETFNWESVFYLFGLLGVGWFVGFQFLNEEEVSYKGNEISNSHKSENATKEELGSSLKEIPWKSFFQSSAVWAMIYTHFCGSWGHYTCLSWLPTYFSEALNLNLTEAAWVSILPPLASIVVTSLASQFADYLITNGVETTTVRKICQTIAFVSPAICMTLSSVDIGLPPWEIVGILTAGLALSSFALSGLYCTHQDISPEYASILLGITNTVGAVPGIVGVALTGFLLDSTHSWTMSLFVPSIFFYLTGTVVWLAFASSEPQTFTKEDS >scaffold_602144.1 pep chromosome:v.1.0:6:8691389:8692504:1 gene:scaffold_602144.1 transcript:scaffold_602144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLRSISLVILLVTFISMVSSSASSPEADFVKKTISSHKIVIFSKSYCPYCRKAKSVFRELDQVPYVVELDEREDGWSIQTALGEIVGRRTVPQVFIDGKHIGGSDDTVDAYESGELAKLLGVSGNKNAEL >scaffold_602145.1 pep chromosome:v.1.0:6:8692731:8695710:-1 gene:scaffold_602145.1 transcript:scaffold_602145.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M017] MEGGASHYNPRTVEEVFRDFKGRRAGIIQALTTDVEDFFQQCDPEKENLCLYGFPNEVWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLSVSFYFGSRIGFDRADRKRLFNMINEVPTIFEVVTGNEKKQTKEKPSSANQNGNRSKSNSKVRGLKGKSSKTIQAKDEEEGLELEEGEEEEEEDEDEHGETLCGACGDNYASDEFWICCDMCEKWFHGKCVKITPARAEHIKHYKCPSCSNKRARP >scaffold_602146.1 pep chromosome:v.1.0:6:8696554:8699361:-1 gene:scaffold_602146.1 transcript:scaffold_602146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYVSALFYGVGGIVVAGVALLVAFQEKLVYVPVLPGLSKSYPITPARLNLIYEDVWLQSSDGVRLHAWFIKMFPECRGPTILFFQENAGNIAHRLEMVRIMIQKLKCNVFMLSYRGYGASEGYPSQQGIIKDAQAALDHLSGRTDIDTSRIVVFGRSLGGAVGAVLTKNNPDKVSALILENTFTSILDMAGVLLPFLKWFIGGSGTKSLKLLNFVVRSPWKTIDAIAEVKQPVLFLSGLQDEMVPPFHMKMLYAKAAARNPQCTFVEFPSGMHMDTWLSGGEVYWKTNMQFLEKHAPEKRKDDTGR >scaffold_602147.1 pep chromosome:v.1.0:6:8700475:8700809:-1 gene:scaffold_602147.1 transcript:scaffold_602147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLLMVVIVALLSQFCNLALSNIIVLPLAFLPTGWALLQNSQVGRLLMKALGLWEFVKMVARFYDCLMGLVIFFLVIVCSWFSSVSEFQTRFYTN >scaffold_602149.1 pep chromosome:v.1.0:6:8709882:8710222:1 gene:scaffold_602149.1 transcript:scaffold_602149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETTNVPSPSNDISFYKSIGVTKIRILDPNTEVLNALRGIPNISVTVGVKKQDLDALASYDAAKNWIATNIEPYLADVNITSIIVGNEVIVEIFRE >scaffold_602150.1 pep chromosome:v.1.0:6:8710532:8711159:-1 gene:scaffold_602150.1 transcript:scaffold_602150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLELEENCFLDMYGENAMMDTRFNMYPPCPRPDKVIGVKPHADKSAFTLLLPDKNVEGLQFLKDGKWYKAPIVSADTILINVGDQMERKRKDICCNFFIPGADKEIQPVNGLVSEARPRLYKPVKNYVKLLNNYYLQGLRPIAASLI >scaffold_602151.1 pep chromosome:v.1.0:6:8712895:8713966:1 gene:scaffold_602151.1 transcript:scaffold_602151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPRIFIFFLLSAILFNYTNDVSINVAATNVGLNYGLLGDNLPSPYDVISLYESIGVTKIRIFDPNTEVLNALRGNRNISVIVGVKDQDLAALAASEVAVEDWFATNIEPYLSDVNITSITVGNEVIPGPIGPQVLPVMQSLTNLVKSRCLPILISTVVAMSNLGQSYPPSAGMFTPQAREQLVPVLTFLSQTNTPILVNIYPYFAYSSNPVNISLDYAIFNTKDVVVEDGTMQYSNIFDAIFDAFVWAMEKENVMDLSMVVTETGWPSAGNGYLTTPDIAATYNGNFIKHVESGVGTPKRPNCSIEGFLFATFNENQKPAGTEQNFGLYNPTDMKPIYKLF >scaffold_602152.1 pep chromosome:v.1.0:6:8721115:8722221:1 gene:scaffold_602152.1 transcript:scaffold_602152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPKNSLLLMLLCTAFLSNYNSGGFVDAATNIGLNYGLLGDNLPPPSEVINLYKSLSITNIRIFDTNTDVLNAFRGNRDIGLMVGVKNQDLEALSVSEEAVNTWIVTNIEPYLADVNITFITVGNEIIPGKIGSYVLPVMKSLTNIVKSRNLPILIKSRNLPILISTTVAMTNLGQSYPPSAGDFTPQAREQLTPVLKFLSQTSTPILVNIYPYFAYAADSVNIHLDYATFNTDAVVVQDGPLSYSNMFDVIFDAFVWAMEKEGVKDLPMVVTETGWPSAGNGNLTTPDIASIYNGNFVKHVESGKGTPKRPNNSIHGFLFATFNENQKPAGTEQNFGLYYPTDMKPIYKLF >scaffold_602158.1 pep chromosome:v.1.0:6:8739302:8740950:-1 gene:scaffold_602158.1 transcript:scaffold_602158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRNRVSPAEQALPIEEDCKSLIKKLASCKQSIRDRSLRTVLRTWLPEQTEISDEDMKKLWQGIFYCIWHADKSLYQSELIDRLSSAIQSLPLSLSLHYFTVFLFTMRREWSRIDRLRLDKFYLLIRRFLHGFFSLLDSNSWDLEFTRRLMGVLFDGTFLAGDKFQGNGVNYHIASTFVEELRPFLPLRKEVLELLLAPFVTILGVVTDKILVGKIKSNLFEELLKMGKKLLIIKKSGNDVVPEDDDVVVLGSIALTMEFAKRFYEMGSTPECHQGNRKSIFALHKEFLQLEKDMSSSGIEVSIAEASRPGSDEVPELVPVSESESNTGSKSNKKIVANGSSSKKKGLKKCSKTKKGSVKKDAVMDNVIDLGNKDEAFDETMISDLRQEFEKVASEMSPSKSEVASVCEVAEPVSISKKSKKRKRENKGEEQVITVNRDVAETSLAGPSSEKSSKRVRFAMKNNLVWKPHCPLPPQDLRCPPSATPRGSALKQGIPAGPVRELASLSRKTKKKVKPAARRGVKTIKNLKKKLSP >scaffold_602161.1 pep chromosome:v.1.0:6:8750676:8751351:-1 gene:scaffold_602161.1 transcript:scaffold_602161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIIHIFFIISLVSSISFASVQDFCVADPKGPQSPSGYSCKNPDQVTENDFAFSGLGKAGNTSNIIKAAVTPAFAPAFAGTNGLGVSLARLDLAGGGVIPLHTHPGASEALVVIQGTICAGFISSANKVYLKTLNRGDSMIFPQGLLHFQLNSGKGPALAFVAFGSSSPGLQILPFALFANDLPSELVEATTFLSDAEVKKLKGVLGGTN >scaffold_602164.1 pep chromosome:v.1.0:6:8759568:8760045:-1 gene:scaffold_602164.1 transcript:scaffold_602164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGIKATILFDFWKTDSWLSYILTLIACFVFSAFYQYLENRRIQFKSLSSSRRPPPPRSSSGVSAPLIPKSGTRSAAKAASVLLFGVNAAIGYLLMLAAMSFNGGVFIAIVAGLTAGYAVFRSDDGGADIATDDPCPCA >scaffold_602165.1 pep chromosome:v.1.0:6:8760419:8764945:1 gene:scaffold_602165.1 transcript:scaffold_602165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRHPKGSDLSEPSSSGQETDASSDKEALDKEVQADVKRSGKVWFSVLILVTYSAWVVYNYQLGNLPKPLTAKQAGKRGFSEFEAINHVKALTQFGPHPVSSDALVLALEYVLAEVEKVKETAHWEVDVNVDFFESKFGVNRLVGGLFKGKSLVYSDISHIVLRILPKYESDAGDNAILVSSHIDTVFTTGGAGDCSSCVAVMLELARSVSQSAHGFKNSIIFLFNTGEEEGLNGAHSFVTQHPWSSTVRLAIDLEAMGTGGKSSIFQAGPSPWAIENFALAAKYPSGQIIGQDLFTSGVIKSATDFQVYKEVAGLSGLDFAFADNTAVYHTKNDKIELIKPGSLQHLGENMLAFLLRVASSSDLPKDDTLQGEEKSTPDSAVYFDILGKYMIVYRQSLATMLYVSVIMQSILIWVLSVFMGGYPAVVSLILSCLSIILSWIFSVAFSVAVAFILPSISSSPVPYASNPWMVVGLFVSPAILGSISGQHVAFIFLRKKSSNRNSNKMQVSPRLRDNLARLEAERWLFKAGFIQWLVLLALGTYYKLGSTYLALVWLVPPAFAYGLLEATLSPIRLPKPLKLATLLISLAVPILVSSGSFIQLTATMIGMLIRFDSNPGGTPEWLGSALIAVVIATFISLTSVYLLAYIHLSGAKKSIVSALCIITALSLALVSSGVLPAFTEDTARAVNVVHVVDTSGQDQVAFISLFSNTPGNLNMEAEQIKEGFRCGRENKIDFVSFEAKYSCVTKKDAKVGWDKNEIPVLRVINDKERDERRVIAVSMETGGSSRWTLRIDMDEIEDFTMQVGEEEEEELMIARGEKSSSEEGWHQIQFSGGKKAPTSFVLKLYTKEEEVSDEKKKQRPLLKLRTDLNRRTPQVQRVLQRLPPFCTMFGKSTSPFTLAFLASLPYTK >scaffold_602167.1 pep chromosome:v.1.0:6:8777247:8778362:1 gene:scaffold_602167.1 transcript:scaffold_602167.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M038] MGIPESTALGWPLFTSVGDFHGRQLRWRFHLVLVVLNSIGNNIDLLLTKMVWCGGVGDKLLGLMEFLITNRSVGLSTAMGYAAMDVASLLMHESQQVSLFRRVSYGYLVLVIVMGLSGSEGHENLEMMMSLLTNSWLGLFQLLVSTTVADVITFFVVRVFSPPSRGVLVRVMTMWFIHGVFLGMLGVFGLATIIVDSDLVKICNPRCIWDPGITSKAFVVKISNDVYGVECTIGWAYTGIKPNFLLRAMAPIWTNWYRNVEIIKLITGATGLFYVMWSFKQRMPIRHLYHTRVRWLLKKEHIMYCNMIASKAYGKEASLNSLLRLCHLQHMAHKFAYVINCHCKVLYWHCMVVIPIV >scaffold_602168.1 pep chromosome:v.1.0:6:8780601:8783325:1 gene:scaffold_602168.1 transcript:scaffold_602168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESFSGTPRSMTLHRNRMKRGALRRRARDISVMLVVLVCATVVIWTWDKTPTSAFLPPESHYLKLQSEEKVERLPTALNTETKDIYSLATPFVNKEGSKVDSPDNKDTEEEEEKQVEEATVSDMNQGKTPTIGEKKVEQVEHEVIASEPKYRKTPTREEIKLEKVKHEVAIGDGEAKKTTHIKETNSDPESNILATDEERTDGSTSTARITNQACNYAKGKWVVDNHRPLYSGSQCKQWLASMWACRLMQRTDFAFESLRWQPKDCSMEEFEGSKFLRRMKNKTLAFVGDSLGRQQFQSMMCMITGGKERLDVLDVGPEFGFITSEGGARPGGWAYRFPETNTTVLYHWSSTLCDIEPLNITDPATENAMHLDRPPAFLRQYLHKINVLVMNTGHHWNRGKLNGNRWVMHVNGVPNTNKKLAALGNAKNFTIHSTVSWVNSQLPLHPGLKAFYRSLSPRHFVGGEWNTGGSCNNTTPMSIGKEVLQEESSDYSAGRAVKGTGVKLLDITALSHIRDEGHISRFSISAAPGVQDCLHWCLPGVPDTWNEILFAMI >scaffold_602170.1 pep chromosome:v.1.0:6:8789861:8790878:-1 gene:scaffold_602170.1 transcript:scaffold_602170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKRTHPMIGKISDLLVRVNRSTAAPFFDVLMTSPKSPLDFKILPQISQRNSSKRFYDDNLGGSVGLGIVAALENSNTRRITSVCRSEQNQPGRSDPVQFMSHGGSTDGEDEEMFIMDEEDYTLVTCHHGPSGSCSTRIYDKDGFECFSSKINDDRRERLFVVDVVTESPENSPEFQGLGFLNSCYLCRKKLHGEDIFIYRGEKAFCSTECRSSHIANEERKERCRSKFSTSPYTAGQIFSTGVLVT >scaffold_602179.1 pep chromosome:v.1.0:6:8825947:8829399:-1 gene:scaffold_602179.1 transcript:scaffold_602179.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:D7M049] MANAERMITRVHSQRERLNETLVSERNEVLALLSRVEAKGKGILQQNQIIAEFEALPEETQKKLEGGPFFDLLKSTQEAIVLPPWVALAVRPRPGVWEYIRVNLHALVVDELQPAEFLHFKEELVDGVKNGDFTLELDFEPFNASFPRPTLNKYIGNGVEFLNRHLSAKLFHDKESLLPLLKFLRRHSHQGKNLMLSEKIQNLNTLQHTLRKAEEYLAELKPETPYEEFEAKFEEIGLERGWGDNAERVLDMIRLLLDLLEAPDPCTLETFLGRVPMVFNVVILSPHGYFAQDNVLGYPDTGGQVVYILDQVRALETEMLQRIKQQGLDIKPRILILTRLLPDAVGTTCGERLERVYDSEYCDILRVPFRTEKGIVRKWISRFEVWPYLETYTEDAAVELSKELNGKPDLIIGNYSDGNLVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLDEKYHFSCQFTADIFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTEEKRRLTKFHSEIEELLYSDVENEEHLCVLKDKKKPILFTMARLDRVKNLSGLVEWYGKNTRLRELANLVVVGGDRRKESKDNEEKAEMKKMYDLIEEYKLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCKGGPAEIIVHGKSGFHIDPYHGDQAANTLADFFTKCKEDPSHWDEISKGGLQRIEEKYTWQIYSQRLLTLTGVYGFWKHVSNLDHLEARRYLEMFYALKYRPLAQAVPLAQDD >scaffold_602184.1 pep chromosome:v.1.0:6:8856199:8857911:-1 gene:scaffold_602184.1 transcript:scaffold_602184.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7M054] MGRHDTYQKLIFFCLSILLLHNISKNVEGLACNWGTQASHPLPPNIVVKLLRDNGFNKVKLFEADPGALRALGKSGIQVMVGIPNNLLETMASTVTNAELWVQQNVSQYISRYGTDIRYVAVGNEPFLKTYKDRFVRATYPALQNVQAALVKAGLGRQVKVTVPLNADVYESGDGLPSSGDFRSDIKTLMVSIVRFLANSVSPITFNIYPFLSLNADPNFPREYAFFPKGGGGGGAKPVVDGSISYTNVFDANFDTLVSALEKNGFDPNKIEIIVGEVGWPTDGDQNANPAMAQRFNQGLLNRILQGQGTPRRRTAPEVFLFSLVDEDAKSIDPGKFERHWGIFSYDGTVKYPLSLGNGRPLVPAKGVRYLAREWCVLSTQAAGNGAWQAPATYACQNADCTSLGPGSSCAALDPTANASYAFNMYFQKMDHRRGSCDFNNLGVLTKIDPSSGSCRFPIEIDTSRQQMLKPPPRNSGASEANCRLAAAVAMVIGLVFSN >scaffold_602187.1 pep chromosome:v.1.0:6:8863250:8866121:-1 gene:scaffold_602187.1 transcript:scaffold_602187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDKIFKDDASEEKGERARMASFVGAMAISDLVKSTLGPKGMDKILQSTGRGHAVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVASKIHPMTIIAGYRMASECARNALLKRVIDNKDNAEKFRSDLLKIAMTTLCSKILSQDKEHFAEMAVDAVFRLKGSTNLEAIQIIKKPGGALRDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMTKVAEIEGAEKEKMKDKVKKIIAHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLGLVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGCEMGQACSIVLRGASHHVLDEAERSLHDALCVLSQTVNDTRVLLGGGWPEMVMAKEVDELARKTAGKKSHAIEAFSRALVAIPTTIADNAGLDSAELVAQLRAEHHTEGCNAGIDVISGSVGDMEERGIYEAFKVKQAVLLSATEASEMILRVDEIITCAPRRREDRM >scaffold_602199.1 pep chromosome:v.1.0:6:8918767:8921136:1 gene:scaffold_602199.1 transcript:scaffold_602199.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB-POZ and math domain 5 [Source:UniProtKB/TrEMBL;Acc:D7M067] MSGSLIPGSNPDRVLSPTSSKSVTQTVNGSHQFVIQGYSLAKGMGIGKHIASDNFSVGGYQWGIFFYPDGKNPEDNSSYVSVFIALASEGTEVRALFELALVDQSGKGKHKVHSHFERSLDGGPYTLKYRGSMWGYKRFFRRSILETSDYLKDDCLIINCTVGVVVSEIQCPQLHSVHVPDSELGSHFGVLLDSMEGSDVTFDIAGEKFLAHKLVLAARSPFFKSMFFSEFAADNTEVTINDLEPKVFKALLQFMYKDSLPEDVEPATTHTFERLKLSEIYETLIVKVLAAADKYDLIRLRLLCESHICQGVSVKSVAKILALADRYNAKELKNVCLKFTAENLAAVLETDAYQQMKDECVTLQSELLKAVAGHEEGSNTSGGAKSQSVWAQLSDGGGDTSSRHVRQRTT >scaffold_602203.1 pep chromosome:v.1.0:6:8933726:8937468:-1 gene:scaffold_602203.1 transcript:scaffold_602203.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7M071] MKKIAVLLMGCGGVGRHLLQHIASCRSLHAKMGVQLRVVGVCDSKSLVAPMDVLKEELNDELLSEVCRIKSSGLALSKLGALGGYRVFNASELSRETEEIAKLLGKSAGLAVVDCSASMETIEILMKAVDLGCCVVLANKKPLTSTLEHYDKLALHPRFIRHESTVGAGLPVIASLNRIISSGDPVHRIVGSLSGTLGYVMSELEDGKPLSQVVQAAKTLGYTEPDPRDDLSGMDVARKGLILARLLGKRIIMDSIKIESMYPEEMGPGLMSVDDFLHNGIVKLDQNIEERVKRASSNGCVLRYVCVIEGSSVQVGIREVPKDSPLGRLRGSDNIVEIYSRCYKEQPLVIQGAGAGNDTTAAGVLADIIDLQDLFH >scaffold_602226.1 pep chromosome:v.1.0:6:9040995:9042271:1 gene:scaffold_602226.1 transcript:scaffold_602226.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M0R3] MASLSTITQPSLVHIPGESVLHHVPSTCSFPWKPTITTKRIICSPARNSGEVSAEAETEVGSSTAVDEAPKESPSLISALNVERALRGLPITDVDHYGRLGISRNCSYDQVRIGYQDRVKELKEQGLDEEQLKNKMDLVKESYTILSTVEERRMYDWSLARSEKAERYVWPFEVDIMEPSREEPPPQEPEDVGPTRLLGYFIGAWLVLGVALSVAFNR >scaffold_602231.1 pep chromosome:v.1.0:6:9071443:9077370:1 gene:scaffold_602231.1 transcript:scaffold_602231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLLRCPLPPPSSLHIRPSKFLDVKFVNRCFPRQRRSRIRRHCSTPGLLVSNSVEISTQSFESTESSIESSKSVTSDTPILLQVSGMMCGGCVARVKSVLMSDDRVASAVVNMLTETAAVKLKPEVEVTADAAESLAKRLTESGFEAKRRVSGMGVAENVKKWKEMVSKKEDLLVKSRNRVAFAWTLVALCCGSHTSHILHSLGIHIAHGGIWDLLHNSYVKGGLAVGALLGPGRDLLFDGIKAFGKRSPNMNSLVGLGSMAAFSISLISLVNPELEWDASFFEEPVMLLGFVLLGRSLEERAKLKASSDMNELLSLISTQSRLVITSSDNNTAADSVLSSDSICINVSVDDIRVGDSLLVLPGETFPVDGSVLAGRSVVDESMLTGESLPVFKEEGCSVSAGTINWDGPLRIKASSTGSNSTISKIVRMVEDAQGNAAPVQRLADAIAGPFVYTIMSLSAVTFAFWYYVGSHIFPDVLLNDIAGPDGDALALSLKLAVDVLVVSCPCALGLATPTAILIGTSLGAKRGYLIRGGDVLERLASIDCVALDKTGTLTEGRPVVAGVASLRYEEQEVLKVAAAVEKTATHPIAKAIVNEAESLNLKTPETRGQLTEPGFGTLAEVDGRLVAVGSLEWVSDRFLKKNDSSDMVKLESFLDNKLSNASSTSRYSKTVVYVGREEEGIIGAIAISDCLRQDAAFTVARLQEKGIKTVLLSGDREGAVATVAKNVGIESESTNYSLSPEKKFEFITNLQSSGHRVAMVGDGINDAPSLAQADVGIALKIEAQENAASNAASVILVRNKLSHVVDALSLAQATMSKVYQNLAWAIAYNVISIPIAAGVLLPQYDFAMTPSLSGGLMALSSIFVVSNSLLLQLHKSETSGNSL >scaffold_602232.1 pep chromosome:v.1.0:6:9078119:9079118:-1 gene:scaffold_602232.1 transcript:scaffold_602232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVESYEFNISGDNSDESPAMRSWFSPSPSDSSSSPSSSASSSIGRNSDDGQKSSEDGGDDAGENEVESPYKGPLEMMESLEQVLPVRKGISKYYNGKSKSFTNLAAEAASALTSSSSMKDLAKPENPYSRRRRNLLCHQIWENNKTTPRGGISKKHVMSSSRSALTLAMAVAAGVMTGEGSLSGGDSSPGSSPTTSGSPPRQRHHQLQMKKLPPLYPRSQGSFGNLTSSQSSLGFCAWRSHSVADFPRCFPATASGIGFNDS >scaffold_602234.1 pep chromosome:v.1.0:6:9119522:9120214:-1 gene:scaffold_602234.1 transcript:scaffold_602234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPKYTGVRKRKWGKWVAEIRLPNSRERIWLGSFDSAEKAARAFDAALYCLRGPGARFNFPENPPEIPGGRSLTPQQIQVVASRFACEEEHLPPEQHHPSSPRGDHKTEEEGIIPARGGINSGSGGPTLGQVGEDNNNEGNSNSDTTSYWPFIWEEENLVGPPNSDHEFGFFTDDSTNLYFPTQHQLSSDFYYDGACEDDFSHYNINLWNF >scaffold_602238.1 pep chromosome:v.1.0:6:9140804:9141589:1 gene:scaffold_602238.1 transcript:scaffold_602238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILLYVPTLEFPYKHILGARVTFDDLKRLDSNLHNGLIWYLENKLDDIYEFTDEIDGEVYDLKANRRNIAVTEANKKEFVDLKAANRMTIAIEKQLNEFVKGFHDLVPQEVISILSHEDLKLLTSGMPPADGK >scaffold_602244.1 pep chromosome:v.1.0:6:9172462:9172933:1 gene:scaffold_602244.1 transcript:scaffold_602244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTVSESQVFMFYAFILIIMMVLGILRYIYEHNQPPTELGLETHQNHHPQPMTQQDIETGHLTPPHPQQDIKTGYMMQIEKIEFKGIEEEGFDQICCSICLEEFEDGHDIIRIKMCRHVFHRLCIDSWLKQNQSCPNCRCF >scaffold_602255.1 pep chromosome:v.1.0:6:9224726:9227083:-1 gene:scaffold_602255.1 transcript:scaffold_602255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRELENTDDEPPKWMKFRSLLVFSILLRVFLIVYGEWQDAHMEVRYTDVDYIVFSDAASLIASGESPYKRTTYRYSPLLALLLTPNSFFHRSWGKFLFSACDLLVGWFVHKILRERKVPEKICTYSVMVWLLNPFTFTIGTRGNCEPIVCAMILWIIISLMRGNLSQAAFWYGLVVHFRVYPIIYALPIILVLDSQIFRSGQKPALEDWNTGQAKTPISNTERKTFLFNLSTTLKSLFSRERIMFALISGGVFLACNAVSFYFYGHKFLHEALLYHLTRTDPRHNFSIYFYHIYLHYERQFSAVEKLISFLPQFTVQFALVFCFSQDLVFCIFLQTVAFVAFNKVITAQYFVWFYCLLPLILPWSRMKLKWEGLLCIIMWIGAQTHWLLWGYMLEFKGINVFLPLWIASLLFLAANTFVLVRIIQRHRFSPLFRRYESSTSSKNITKLD >scaffold_602256.1 pep chromosome:v.1.0:6:9227520:9228955:-1 gene:scaffold_602256.1 transcript:scaffold_602256.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase family protein [Source:UniProtKB/TrEMBL;Acc:D7M0U2] MEGIESGSRQGKRVVVIGGGIAGSLAAKLLQFDADVTLIDPKEYFEITWASLRSMVEPKFAERTVIDHKSYLKQGRVVTSPAINITESDVMTEDGSVIGYDYLVIATGHNDLFPKTRQEKLSHYQAEYEKIKSSGSVLIVGGGPSGVELAAEIAVDFPEKKVTLVHKGPRLLEFVGQKAADKASDWLESKKVEVILNQSVDLSSASDGNKTYRTSGGETIHADCHFLCVGKPLSSQWLNGTVLKDSLDGKGRVMVDEYLRIRGRSNLFAIGDITNIPEMKQGYIAETHANVVVKNIKVMMSSGKKKKMSTYKPGPEMAIVSLGRKDSVAQFPFVTVVGCLPGLIKSKDLFVGKTRKARGLNPKLV >scaffold_602260.1 pep chromosome:v.1.0:6:9237611:9239622:-1 gene:scaffold_602260.1 transcript:scaffold_602260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGVGLAPLPARLTSSPPRLADFRYSTGIFEKYTRSSEFEIVSTPVICGHGVKESGAMVISDSDITVIVKLIKIRSNVPD >scaffold_602261.1 pep chromosome:v.1.0:6:9245694:9246168:1 gene:scaffold_602261.1 transcript:scaffold_602261.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0U6] MTRSLCTFCGLRSSHCQCHQQASTAPYANGDDGERAFKLTPASFCVFYLLFALAMIGVYLFLYLIAIIPVIHRTCYLEVFADSFSDSNASNANATADWNFGFTTRNPGNGCKVSLHTVKSRLLRGDKLLSESSIPDFTRNRENQ >scaffold_602263.1 pep chromosome:v.1.0:6:9248714:9249478:1 gene:scaffold_602263.1 transcript:scaffold_602263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLCTSCGLRSRYCQCHLQNSTAPNANANSDNGERAFKLTPASFCVFYLLYTLAMFGFFLILYMGAVFPDYILKGVCNLEVFADSFSISNASNANATADWNVGFTTRNPGNGCKVSLRTIKSRLLRGNKLLSESSTPDYFGLLVTGKLNDVPVPYAVFKTVATPRKGVVWDIRVEVVTSVKINGRAGHGDGFLIVTCRDIPVNFTADPTGNVNGSLIGYMRPCEYLVQEEYTDPSF >scaffold_602271.1 pep chromosome:v.1.0:6:9268195:9269371:-1 gene:scaffold_602271.1 transcript:scaffold_602271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQIQAEKRVRLLSSLVLSSPYLLNTKVALPGGRSSMNIYDPRKEEMVIPNQGMMTYPSRYIGSSRGWVGFINSNDPRSLYLTNMFNHKVISLPPMEPDCADNIIINMSLSSSPDDSCVVAIKFFGGRMCFCRPGDSAWTKTETSSKLLDCSSVLYSNRDSKFYLTTFRLVSKLHFTAIKFDASTYKPLGHQDFPEVTYYHKFDRLKLPDLLWSEFELLESCFHTEHLVESPTGEVFDIMWFIQFSHGTKAVHWKDHHKQLKKEEVVKKTKRFMVYREDEDCYTYDIGDLCIFLGQSTEAFCLQASMFPGLKPNSIYFAAANHSGVYDLATDVIHDLPLTNTPLMWLAPLSH >scaffold_602275.1 pep chromosome:v.1.0:6:9281401:9282915:-1 gene:scaffold_602275.1 transcript:scaffold_602275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAASVEASMVFPGFKFSPTDVELISYYLKRKMDGLERSVEVIPDAEIYNFEPWDLPDKSIVKSDSEWFFFCARGKKYPHGSQNRRATKMGYWKATGKERDVKSGSEVIGTKRTLVFHIGRAPKGERTDWIMHEYCMKGVSLDDAMVVCRVKRNKEYQSGMSQKAPEPKLAPEKHAILQNGATSSGSPSDWDNLVDFYLAGESGEKLLWEMAQSSENLQVHNDEDFFADILRDEIINLDEAVMRGNTRDEIPTLESASMEIRVLPLPNMIDKQMSSLLEERPSQKMEGTDTMESLSNCFVGLYSIKSVNRARWNVIICLVALIAMLFYLEE >scaffold_602276.1 pep chromosome:v.1.0:6:9285287:9285692:-1 gene:scaffold_602276.1 transcript:scaffold_602276.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0W0] MGFTHCMHPYDVSRRANQAMIKTVQMEISSSSSTVPTYRSTIFGLERFKVLRSDMDRLNPCMICVGEIFLGEEAITLPCCHVFHKSCIWSQVSPTLFFLSSVFINITKKDTLLKYISRRKH >scaffold_602280.1 pep chromosome:v.1.0:6:9311335:9314460:-1 gene:scaffold_602280.1 transcript:scaffold_602280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuvB-like helicase [Source:UniProtKB/TrEMBL;Acc:D7M0W5] MEKVKIEEIQSTAKKQRIATHTHIKGLGLEPTGIPIKLAAGFVGQLEAREAAGLVVDMIKQKKMAGKALLLAGPPGTGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKETKEVYEGEVTELSPEETESLTGGYGKSISHVVITLKTVKGTKHLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLQDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGVCNVRGTDMPSPHGVPIDLLDRLVIIRTQIYNPSEMIQIIAIRAQVEELTVDEECLVLLGDIGQRTSLRHAVQLLSPASIVAKMNGRDNICKADIEEVTSLYLDAKSSAKLLHEQQEKYIS >scaffold_602282.1 pep chromosome:v.1.0:6:9318706:9321453:-1 gene:scaffold_602282.1 transcript:scaffold_602282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILLPDPPSLSTGVPDIFEQGGAQNVVRRAVVIGNGFPGSENQCIGLVRALGLANNHLLYRVTRPKGGINEWLHWLPVGFHKKLDFILRHIYLYSRLMLGSKQSKYISSVPSENGGNVGLASILEADVKSIVNMARQTYEKDGPLVVIACGRDTISIASSIRRLASENVFVVQIKHPRSHLNRFDMVITPRHDYYPLTLEAQEQVPRFMRSWITPREPPQDHVVLTTGALHQIDYASLRKAASAWHDEFAALPKPLVVVNIGWPRSNCRYGADLAKQLTDSLLNVLATCGSVRIALSYKTPAKVSRVIFKELGDNPKVYIWNGQEPDPYMGHLAWGDAFVVTADSVSLISEACSTGKPVYVVGADHCKWKIAEFQKSLRERGVVRSFTGFEDMSESWSYPPLNDTAEAATRIRRELAARGWSLRS >scaffold_602284.1 pep chromosome:v.1.0:6:9326293:9327396:-1 gene:scaffold_602284.1 transcript:scaffold_602284.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 714 [Source:UniProtKB/TrEMBL;Acc:D7M0W8] MAIVYAVVARGTVVLAEFSAVTGNTGAVVRRILEKLSPEIADERLCFSQDRYIFHILRSDGLTFLCMANDTFGRRIPFSYLEDIHMRFMKNYGKVAHHAPAYAMNDEFSRVLHQQMEFFSSNPSVDTLNRVRGEVSEIRSVMVENIEKIMERGDRIELLVDKTATMQDSAFHFRKQSKRLRRALWMKNAKLLVLLTCLIVLVLYIIIASFCGGITLPSCRS >scaffold_602288.1 pep chromosome:v.1.0:6:9355860:9358257:-1 gene:scaffold_602288.1 transcript:scaffold_602288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLHFPSSPSASPSSSSSAPSPSSLSYASRSNASLLISSDHNRRNPVPGFDQDVDFHGSIEEQDLRRRRSGNVGEDDDGGEDHISLLALLVAIFRRSLISCKSNRRELCSMEIGWPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASATVFGVSTESMQLSYDSRGNCVPTILLLMQNCLYSQGGLQAEGIFRLTAENSEEEAVREQLNRGFIPERIDVHCLAGLIKAWFRELPTSVLDSLSPEQVMQCQTEEENVELVRLLPPTEAALLDWAINLMADVVQYEHLNKMNSRNIAMVFAPNMTQMDDPLTALMYAVQVMNFLKTLIEKTLRERQDLVVEQAHVCPLQPSDESGHQSPSQSLAFNTIEQSEETQSDNIENAENQSSSSEISDELTLENNACEQRESDSGKCRTRGLSDSGLQLLTLAPPAQWPVGRTKELTNLSRVGSRVERTEAWR >scaffold_602297.1 pep chromosome:v.1.0:6:9393959:9397679:1 gene:scaffold_602297.1 transcript:scaffold_602297.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:D7M0X8] MKVHETRSHAHMSGDEQKKGNLRKHKAEGKLPEAEQSQKKAKSENDNGRSVNGAGDAASEYNEFCKAVEENLSVDQIKEVLEINGQDCSAPEETLLAQCQDLLFYGALEKCPLCGGNLICDNEKRFICGGEISEWCSCVYSTKDPPRKEEPVKIPDSVMNSAISDLIKKHQDPKSRPKRELGSADKPFAGMMISLMGRLTRTHQYWKKKIERNGGKVSNTVQGVTCLVVSPAERERGGTSKMVEAMEQGLPVVSEAWLIDSVEKHEAQPLEAYDVVSDLSVEGKGIPWDKQDPSEEAIESLSAELKMYGKRGVYMDTKLQERGGKILEKDGLLYNCAFSICDLGKGRNEYCIMQLITVPESNLNMYFKRGKVGDDPNSEERLEEWEDEDAAIKEFARLFEEMTGNEFEPWEREKKIQKKPHKFFPIDMDDGIEVRSGALGLRQLGVASAHCKLDSFVANFIKVLCGQEIYKYALMELGLDPPDLPMGMLTDVHLKRCEEVLLEFVDKVKTTKETGQKAEAMWADFSSRWFSLLHSTRPMRLHDVHELADHAASAFETVRDINTASRLIGDMRGDTLDDPLSDRYNKLGCKISVVDKESEDYKMIVKYLETTYEPVKVSDVEYGVSVQNVFAVESNAIPSLDDIKKLPNKVLLWCGSWSSNLLRHIYKGFLPAVCSLPVPGYMFGRAIVCSDAAAEAARYGFTAVDRPEGFLVLAVASLGEEVTEFTNPPEDTKTLEEKKIGVKGLGRKKTEESEHFMWRDDIKVPCGRLVPSEHKDSPLEYNEYAVYDPKQTSIRFLVEVKYEEKGTEIVDVEPE >scaffold_602299.1 pep chromosome:v.1.0:6:9411689:9414928:1 gene:scaffold_602299.1 transcript:scaffold_602299.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:D7M0Y0] MESNCVQFLGNKTILITGAPGFLAKVLVEKILRLQPNVKKMYLLLRAPDEKSAMQRLYTEVIEIDLFKVLRNDLGEENLNALVRKKIVPVPGDISIDNLGLKDTDLIQRLWSEIDIIINIAATTNFDERYDIGLGINTFGALNVLNFAKKCVKGQLLLHVSTAYVSGEQSGLLLEKPFKMGETLSEKRKLDINIEHELMKQKLKELQDCSDEEISQTMKDFGMARAKLHGWPNTYVFTKAMGEMLMGKYRENLPLVIIRPTMITSTIAEPFPGWIEGLKTLDSVIVAYGKGRLKCFLADSNSVFDLIPADMVVNAMVAAATAHWGDTGIQAIYHVGSSCKNPVTFGQLHDITARYFAKRPLVGRNGSPIIVTKGIILPTMAQFSLYMTLRYKLPLQILRLINIVYPWSHGDNYNDLSRKIKLAMRLVELYQPYLLFKGIFDDLNTERLRMKRKENIKELDGSFEFDPKSIDWDNYITNTHIPGLITYVLKQ >scaffold_602301.1 pep chromosome:v.1.0:6:9422803:9423247:1 gene:scaffold_602301.1 transcript:scaffold_602301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGCLSCFKVMCFEKKQNKKEDKPVKTERLPEPVEEKEGIQDKPLKAERLPEPVEKKKKDVKYGACKKMPEECMFKPKPEWIKYSSSDIGPNVYDLYGDQYAETDVSSTSMNNEFNKVKTFDIRGVKVATAKE >scaffold_602303.1 pep chromosome:v.1.0:6:9425266:9425629:1 gene:scaffold_602303.1 transcript:scaffold_602303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGCPSCLNIICLRKKQRKEQSKKQQKPGKTEDVVEPVGVRDDEEQSLEKKTNITGTMGYFRPEYMSSYDNFYGKLGEGDSSSSLKNDFNKVKTFDLRGVAKTEKK >scaffold_602305.1 pep chromosome:v.1.0:6:9430073:9430974:1 gene:scaffold_602305.1 transcript:scaffold_602305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALVLGTIFVGSIPMFIYTRRANGHEPIPKHKRGGSSILAVCLILLLFLTQALGANVCNAAEGTCKAGAKSETYAGDEFDPFHVADHYDDGDD >scaffold_602307.1 pep chromosome:v.1.0:6:9438536:9438726:-1 gene:scaffold_602307.1 transcript:scaffold_602307.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCU5] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_602308.1 pep chromosome:v.1.0:6:9443043:9444230:-1 gene:scaffold_602308.1 transcript:scaffold_602308.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 38 [Source:UniProtKB/TrEMBL;Acc:D7M0Z0] MEMNSPHEKAVQAIRYGLSCAMRLKMRIDHPMADGGPVSSYDLAKSIAESFSDAISILSAKPTTEDDQFSDISSRDSFPSPQGSPSKKRKIDSTDSSENWRDDSPDPIYYDGYLWRKYGQKSIKKSNHQRSYYRCSYNKDHNCGARKHEQKIKDNPPVYRTTYFGHHTCKTEHNLDAIFIAGQDPVDDLKSAQMIRFGKDLNQEEGSYSKGFSLSVKSEEDITREQTMDQYREITSNDQDCQDVIEEDLSSPSGFYLPSSSSGSESADFNSDLLVDNLDSWDCYDQFYFGLH >scaffold_602309.1 pep chromosome:v.1.0:6:9455096:9455567:1 gene:scaffold_602309.1 transcript:scaffold_602309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGFKHLVVVKFKEDAKVDEILEGLENLVSQIDTVKSFEWGEDKESHEMLRQGFTHAFSMTFENKDGYVAFTSHPLHVEFSAAFTAVIDKIVLLDFPVAAVKSSVVVTP >scaffold_602310.1 pep chromosome:v.1.0:6:9459980:9461170:1 gene:scaffold_602310.1 transcript:scaffold_602310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFESVSLPRLKTLCLERNIYPNEASVESLISSCPVLEDLTIVRMNDNVKVLRVYSQSLTSFSVGYNPGDLIRKYNYYSEKVRENSGLVIDAPRLKYLTFNYERSKSKIVRNLSSLVKVNVLSAFDISSVVGCSEQQMAHNFFTGISRVREMIISQNMMEKLVLHLQYSFLKQGNPAILKDLIALPRRSSMCQIEVFNVHNGRALGIF >scaffold_602314.1 pep chromosome:v.1.0:6:9475065:9476411:1 gene:scaffold_602314.1 transcript:scaffold_602314.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:D7M1H4] MQTISPAFSCDLKSVIQPNLTAKNARYSHVNGKRVSVRCGYRSESFSFPNGVGSTRADWQSSCAILASKVVSAENSSSITGGLADQVAVVNGHSNGSVDLSLVPSKSQHNGKPGLIQPLTITDLSPAPSHGSTLRVAYQGVPGAYSEAAAGKAYPNSEAIPCDQFDVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQIPVHHCLLALPGVRTDCITRVISHPQALAQTEGSLNKLTPKAAIEAFHDTAAAAEYIAANNLHDTAAVASARAAELYGLQILADGIQDDAGNVTRFLMLARDPIIPRTDRPFKTSIVFAAQEHKGTSVLFKVLSAFAFRNISLTKIESRPHHNCPVRVVGDENVGTSKHFEYTFYVDFEASMAEARAQNALAEVQEYTSFLRVLGSYPMDMTPWSTLPSEDV >scaffold_602318.1 pep chromosome:v.1.0:6:9491159:9493011:-1 gene:scaffold_602318.1 transcript:scaffold_602318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLNFSREEKSCLYKLRLSIQKGESDDHSCVTRWIDFVATPKLKHLEVEFGPVKRECLEVMPLSLYIGETLLFLRLHRVFLGKFESVSLPCLKTMRLELNVYANETGLDLLISSCPVLEDLIIVRRLDDNVKLLRVRSQTLTSLSIEAGSNDDDVEALAEEFEYEYLRVLIDTHRLKYLNLEEDICGFDILSNLDSLTKINICEYFDLEDSADEADIRKRYMVRNFFTSISGIRDMKLSFEAYEFILEVMRLPQFCNLSCLEADFSLRALRCLPNFLKNFPNLKSLILVMN >scaffold_602328.1 pep chromosome:v.1.0:6:9556722:9558118:-1 gene:scaffold_602328.1 transcript:scaffold_602328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISDLVYYIRSRPVNGFVCCTRGFSIAMLYPTKETYTVEDQYKVLCVMIFRGYNANQRDIQQEHYVFTLSSQQKEWRKIEITQDITYITVHEGICMDGAIYYGDGRSGIDIFDVRSEKLELIQIPEGSDIAHFSALINYNGKLGGVEYDCLILWILEDAKKHKWSEMACPLAFEWSDLLGDRVTSNGEIHTGELMVVNPGLRSSKPFSVCYYDFNRERIRIRKVEVEGIADDEFRRFHGIGKRTREMLCFPGYVENIKFL >scaffold_602329.1 pep chromosome:v.1.0:6:9558235:9558443:-1 gene:scaffold_602329.1 transcript:scaffold_602329.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1I6] MEDSQPSPSSNLVHAENSTTNIPLDLTIEILARLPGNSIIRFQIRVKALVLNHPQ >scaffold_602330.1 pep chromosome:v.1.0:6:9559280:9560502:-1 gene:scaffold_602330.1 transcript:scaffold_602330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQTSPSSNLDDAGNSTPNIPLDLTLEILSRLPAKSLIRFQAVSKFWFSIIRSKDFVDSFLTRSKTRPRFLLTFKNFDSRKRFIFSAPEHQKNDKSSTVFARHDMTISADRVYHIRCRPVNGFVCCTRGDSIAVCNPTTRQIVKLPDVESNGRHVYARLGYDPVEDQYKVLCVMFYDPYSAKCKRQGTQPEPYVFTLQSQQKEWRKIEITQGVAYRSVDGGICIDGAIYFGDGRSRIVRFDVRSETLELIQAPENSLITETSDSALLNYNGKLGGVDYNYTRETILWILEDAEKQEWSELRFARPSEWDDLLEDYFTSEGESHTGELIMVDSRLISSEPFSVCYYDFHRESLRRVEVQGIADDEFRRVHGIGKRTREILGFPGYVENIRFL >scaffold_602336.1 pep chromosome:v.1.0:6:9597823:9601945:-1 gene:scaffold_602336.1 transcript:scaffold_602336.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alanine--tRNA ligase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7M1J4] MNFSRVNLFDFPLRPILLSHPSSIFVSKRFVTRTSAAVSPSTLLPKSTQSAQIIAKSSSVSLQPVSEDAKEDYQSKDVSGDSIRRRFLEFFASRGHKVLPSASLVPEDPTVLLTIAGMLQFKPIFLGKVPREVPCATTAQRCIRTNDLENVGKTARHHTFFEMLGNFSFGDYFKKEAIRWAWELSTIEFGLPANRVWVSIYEDDDEAFEIWKNEVGVPVERIKRMGEADNFWTSGPTGPCGPCSELYYDFYPERGSDDDVDLGDDTRFIEFYNLVFMQYNKTEDGLLEPLKQKNIDTGLGLERIAQILQKVPNNYETDLIYPIIAKISELANISYDSANEKAKTSLKVIADHIRAVVYLISDGVSPSNIGRGYVVRRLIRRAVRKGKSLGINEYRNGNLKGAFLPAVAEKVIELSTYIDSDVKLKAPRIIEEIRQEELHFKKTLERGEKLLDQKLNDALSIADKTKNTPYLDGKDAFLLYDTYGFPVEITAEVAEERGVSIDMKGFEVEMENQRRQSQAAHNVVKLTVEDDADITKNIADTEFLGYDSLSARAVVKSLLVDGKPVIKVSEGSKVEILLDRTPFYAESGGQIADHGFLYVNSDGNQENAVVEVSDVQKSLKIFVHKGTVKSGALEVGKEVEAAVDADLRQRAKVHHTATHLLQSALKKVVGQETSQAGSLVAFDRLRFDFNINRSLHDNELKEIERLINRWIGDATRLETKVLPLADAKRAGAIAMFGEKYDENEVRVVEVPGVSMELCGGTHVGNTAEIRAFKIISEQGIASGIRRIEAVAGEAFIEYINSRDSQMTRLCSTLKVKAEKVIETVENLLKELRAAKKEASDLRSKAAVYKASVISKNAFTVGTSQTIRVLVDSMDDTDADSLKSAAEHLISTLEDPVAVVLGSSPEKDKVSLVAAFSPGVVSLGVQAGKFIGPIAKLCGGGGGGKPNFAQAGGRKPENLPSALEKAREDLVATLSEKVG >scaffold_602346.1 pep chromosome:v.1.0:6:9649498:9650171:-1 gene:scaffold_602346.1 transcript:scaffold_602346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDHKLNLEPMPLKTSPAQPLRRPSLVCYVFLVILTLIFIAAVGFLITWLVTKPKKLHYTVESASVRNFNLTNDNHMSATFKFTIQSHNPNHRISVYYSSVEIFVKFKDQTLAFDTMEPFHQPRMNVKQIDETLVAQNVAFSKSNGKDLRSQTSLGKIEFEVFVKARVRFKVGIWKSSHRTAKIECSHVTVSLSQPNQSQNSSCDADI >scaffold_602347.1 pep chromosome:v.1.0:6:9652035:9654646:-1 gene:scaffold_602347.1 transcript:scaffold_602347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPVPSIILLLLVIFSTISCTHSKEARLSVFPKKLRYTFDGEKLHYKFADLGIEIFFFEQTLDHFTYTPGSYKKFRQRYAVNSKYWEGGKTNAPILAYLGAESSLDSELSVLGFLKDNAPHFKALMVYIEHRFYGETMPFGSAEETLKNAKTLGYLNAAQALADYAAILLHIKETYSAKHSPVIVIGGSYGGMLAAWFKLKYPHIALGALASSAPLLYFEDTLPKHGYFYIVTKVFKETSQKCHNKIRKSWDEIDRIAAKPNGLSILSKKFKLCNPLNDTIELKSYLSNIYAGTAQYNNNPYSVASLCEAINTSPPNTKSDLLDQIFAGVVASGGNISCYGMDQITNDARAWTWQSCSEMVMPIGYEKEDTMFQPKPFNMSSFTKNCESQYGVSPRPHWVTAYFGSQDVKLIFRRFGNNIIFSNGLLDPYSVGGVLEDISDTVIAITTRDGSHCQDIVLKSKEDPEWLVEQREKEVKIIDSWISTYQKDLNISS >scaffold_602360.1 pep chromosome:v.1.0:6:9705145:9706207:-1 gene:scaffold_602360.1 transcript:scaffold_602360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M1L5] MTSIPNGLYSYGDDTMNIRGFTPIEMSSNLRNHESKIVQSMFNTSDHSNHHGLFSSSRAFNCYQDSHVSSSSFDFSNSHMSYHMRKNMVSTFGMPSTTKISNNPHLSQISITQTIKNSYSAIVPTNNLITSQNEYQRAMNPNIFNSPLYPPNFVEKESEILNPTPLNTIFPHQTSVFRRNLDMFSFSPKHHPHQYVSYHQPVKKHCGATKHFEETFDDFDSKENGEYDGRTHSLPYKKYGPYTCPKCNRVFDTSQKFAAHISSMHYKNETIEEKFKRYNARNKKRSRKTNHNQMIHEDSRNIQPEERVAKENGGNNNIASDIETLQHHQIVKEEPIYDLF >scaffold_602363.1 pep chromosome:v.1.0:6:9724344:9727896:1 gene:scaffold_602363.1 transcript:scaffold_602363.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthioalkylmalate synthase a [Source:UniProtKB/TrEMBL;Acc:Q1JRZ2] MASSLLTSSGMIHTTGSTVVGRSLLPFQSSLHSLSLTHSYKKPAYFISCCSSVSKNGATNATDLKPVVDRWPEYIPNKLPDKNYVRVFDTTLRDGEQAPGGSLTPPQKLEIARQLAKLRVDIMEVGFPGSSEEELETVKTIAKTVGNEVDEETGYVPVICAIARSKHRDIEAAWEAVKYAKRPRILIFTSTSDIHMKYKLKKTKEEVIEMAVSSIRLAKSLGFNDIQLGCEDGGRSDKEFLCKILGEAIKAGVTVVNVADTVGINMPHEYGELVTYLKANTPGIDDIVFSVHCHNDLGLATANSIAGIRAGARQVEVTINGIGERSGNASLEEVVMALKCRGAYVINGVYTRIDTRQIMATSKMVQEYTGLYVQPHKPIVGTNCFVHESGIHQDGILKNRSTYEILSPEDIGVVKSQNSGLVLGKLSGRHAVKDRLNELGYELDDEKLNDVFSRFRDLTKHKKRITDADMKALVTSSDEISLEKLNSANGLKSNGYVPVPQVSSNML >scaffold_602364.1 pep chromosome:v.1.0:6:9754236:9757465:1 gene:scaffold_602364.1 transcript:scaffold_602364.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthioalkylmalate synthase b [Source:UniProtKB/TrEMBL;Acc:Q1JRZ1] MASFLLTSSSMITTTCPSMVLRSGLSIGSSLPSLRLTRPYNKPTLFTACYSSESKKVASSADLKPAVERRPEYIPNKLPHKNYVRVLDTTLRDGEQSPGAALIPPQKLEIARQLAKLRVDIMEVGFPVSSEEEFEAIKTIAKTVGNEVDEETGYVPVICGIARCKNRDIEAAWEALKYAKRPRVLLFTSTSDIHMKYKLKKTKEEVIEMAVNSIKYAKSLGFTDIECGCEDGGRTEKDFLCKILGEMIKAGATTVGFADTVGINMPPEFGELVAYVKENTPGADDIVLAIHCHNDLGVATANTISGICAGARQVEVTINGIGERSGNAPLEEVVMALKCRGEYLMNGVYTNIDTRQIMATSKMVQEHTGMYVQPHKPIVGDNCFVHESGIHQDGILKNRSTYEILSPEDVGIVKSENSGIVLGKLSGRHAVRDRLKELGYDISDEKFNDIFSRYRELTKEKKRITDADLKALVVNGDEISSDKLNSKGINNLMSSPQISSVV >scaffold_602371.1 pep chromosome:v.1.0:6:9810497:9811382:1 gene:scaffold_602371.1 transcript:scaffold_602371.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase [Source:UniProtKB/TrEMBL;Acc:D7M1M5] MFGVSMRTLISPSLAPFSLHLPKPSLFSTALRFSSLTTSTISINNRSPTNAPPSAISTRKLQTKATAPLSFTTPGEIHVVVGPMFSGKTTTLLRRILAEKETGKQIAIIKSNKDTRYCTESIVTHDGEKFPCWSLPDLSSFKERFGFDEYENRLDVIGIDEAQFFGDLYEFCRQAADREGKTVIVAGLDGDFKRRRFGSVLDLIPIADTVTKLTSRCEVCGKRALFTMRKTEEKETELIGGAEVYMPVCRSHYVCGQSVLETARAVLDSSSNKHDVVASLL >scaffold_602375.1 pep chromosome:v.1.0:6:9827180:9828056:1 gene:scaffold_602375.1 transcript:scaffold_602375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGNSHGDFTSKRHNSFHWTRKVGSDENDDVSSHKHLPHNTKHTSSSSSSSSSTVITPKRKLQSFAVSRLRSVIATLSRARPGNHNTGLGSRVVGTLFGSRRGHVHFSIQKDPNSPPAFLIELATPISGLVKEMASGLVRIALECDKGKEEVEGDEKNGTLRHGGGDKTTAAVSRRLVEEPIWRTYCNGKKCGFATRRECAEKEKKVLKALKMVSMGAGVLPETEETDGGGGGGGGDIMYMRAKFERIVGSRDSEAFYMMNPDSNGAPELSIYLLRI >scaffold_602379.1 pep chromosome:v.1.0:6:9860779:9861898:1 gene:scaffold_602379.1 transcript:scaffold_602379.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:D7M1N2] MMRGLVSGAKRLSAPSSSIATARRSYSLIPMVIEHSSRGERAYDIFSRLLKERIICINGPINDDTSHVVVAQLLYLESENPSKPIHMYLNSPGGHVTAGLAIYDTMQYIRSPISTICLGQAASMASLLLAAGAKGQRRSLPNATVMIHQPSGGYSGQAKDITIHTKQIVRVWDALNELYVKHTGQPLDVVANNMDRDHFMTPEEAKAFGIIDEVIDERPLELVKDAVGNESKDKSSS >scaffold_602383.1 pep chromosome:v.1.0:6:9880369:9880628:1 gene:scaffold_602383.1 transcript:scaffold_602383.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1N6] MAPKQPEEIENPPTASASSYEEEEESGSTENESGSSGEETDSSDHEDEEAEKHVISTCHKHSLIFWSRALLL >scaffold_602384.1 pep chromosome:v.1.0:6:9885045:9887229:-1 gene:scaffold_602384.1 transcript:scaffold_602384.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP86B1 [Source:UniProtKB/TrEMBL;Acc:D7M1N7] MNFNSSYNLTFNDVFFSSSSSGDPLVSWRLFLLKDVQVLELLIALFVFVTIHSLRQKKYQGLPVWPFLGMLPSLAFGLRGNIYEWLSDVLCLQNGTFQFRGPWFSSLNSTITCDPRNVEHLLKNRFSVFPKGSYFRDNLRDLLGDGIFNADDETWQRQRKTASIEFHSAKFRQLTTQSLFELVHKRLLPVLETSVKSSSPIDLQDVLLRLTFDNVCMIAFGVDPGCLGPDQPVIPFAKAFEDATEAAVVRFVMPTCVWKFMRYLNFGTEKKLKESIKGVDDFAEEVIRTRKKELSLEGETTKRSDLLTVFMGLRDEKGESFSDKFLRDICVNFILAGRDTSSVALSWFFWLLEKNPEVEEKIMVEMCKILRQRDDHGNAEKWDYEPVFGPEEIKKMDYLQAALSEALRLYPSVPVDHKEVQEDDVFPDGTMLKKGEKVIYAIYAMGRMEAIWGKDCREFRPERWLRDGRFMSESAYKFTAFNGGPRLCLGKDFAYYQMKSTAAAIVYRYKVKVVNGHKVEPKLALTMYMKHGLMVNLINRSVSEIDQYYAKSFDEGYIN >scaffold_602387.1 pep chromosome:v.1.0:6:9897017:9897540:-1 gene:scaffold_602387.1 transcript:scaffold_602387.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1P0] MTSMKLHILALFVIVSFLVSAQSTRIMDASSDCEFKGPCHKKEDCYDSCGVNKPPFNNALCVPGRDSFQCCCILS >scaffold_602388.1 pep chromosome:v.1.0:6:9905121:9905706:1 gene:scaffold_602388.1 transcript:scaffold_602388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYMCSDTVSFWSDAPASMLPTLRTLVSAGLRVWVFSGDTDGRIPVTATRYSLKKLGLKIVQDWTPWYTKLQVGGWTVEYDGLMFVTVRGAGHQVPTFKPREALQLVHHFLGNKKLPTYPF >scaffold_602398.1 pep chromosome:v.1.0:6:9979931:9981207:1 gene:scaffold_602398.1 transcript:scaffold_602398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRGEMEKMGIDQLKALKEQADLEVNLLQDSLNNIRTATVRLDAAAAALNDLSLRPQGKKMLVPLTASLYVPGTLDEADKVLVDIGTGYFIEKTMDDGKDYCQRKIHLLKSNFDQLFEVAAKKKSVADEAGMVLQAKVKQLTAATTS >scaffold_602399.1 pep chromosome:v.1.0:6:9981492:9984077:-1 gene:scaffold_602399.1 transcript:scaffold_602399.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroorotate dehydrogenase (quinone), mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7M1Q4] MAGRAATSSANWAREFLFRRVSSNPLGATRNCSSVPGASSAPKVPHFSKKGRILTGATIGLAIAGGAYVSTADEATFCGWLFNATKVVNPFFALLDAEFAHKLAVSAAARGWVPREKRPDPAILGLEVWGRKFSNPIGLAAGFDKNAEATEGLLGMGFGFVEVGSVTPVPQEGNPKPRIFRLSQEGAIINRCGFNSEGIVVVAKRLGAQHGKRMLAETSGTSSSPSDDVKPGGKSGPGILGVNLGKNKTSEDAAADYVQGVHNLSQYADYLVINVSSPNTAGLRMLQGRKQLKDLVKKVQAARDEMQWGDEGPPPLLVKIAPDLSRGELEDIAAVALALHLDGLIISNTTVSRPDPVSNNPVATEAGGLSGTPLFPLSTNMLRDMYTLTRGKIPLIGCGGVSSGEDAYKKIRAGATLVQLYTGFAYGGPALIPQIKEELVKCLERDGFKSIHEAIGADHR >scaffold_602401.1 pep chromosome:v.1.0:6:9987062:9987696:1 gene:scaffold_602401.1 transcript:scaffold_602401.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-S-isoprenylcysteine O-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M1Q6] MTEIFSDTGIRQLSQMLLSLIFFHTSEYILAIAIHGASNVTLSSLLISKHYALAMLVSLLEYLTEIILFPGLKQHWWVSNFGLIMIIVGEIIRKAAIITAGRSFTHLIKINHEEHHALVTHGVYRLMRHPSYCGFLIWSVGTQVMLCNPVSTVVFTVVVWRFFAQRIPYEEYFLNQFFGVQYLEYAESVASGVPFVN >scaffold_602403.1 pep chromosome:v.1.0:6:9992453:9994168:1 gene:scaffold_602403.1 transcript:scaffold_602403.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7M1Q8] MSSVCVNEALTDDELRWVLSRLDSDKDKEVFGLVCKRWLNLQSTDRKKLAARAGPHMLGRLASRFTQIVELDLSQSISRSFYPGVTDSDLAVISEGFKCLRVLNLHNCKGITDTGLASIGRCLSLLQFLDVSYCRKLSDKGLSAVAEGCHDLRALHLAGCRFITDESLKSLSERCRDLEALGLQGCTNITDSGLADLVKGCRKIKSLDINKCSNVGDAGVSSLAKACASSLKTLKLLDCYKVGNESILSLAQFCKNLETLIIGGCRDISDESIMLLADSCKDSLKNLRMDWCLNISDSSLSCILKQCRNLEALDIGCCEEVTDTAFRELGSDDVLGLKVLKVSNCTKITVTGIGKILDKCSSLEYLDVRSLPHVTEVRCSEAGLEFPTCCKVNFSGSLTEPDVLL >scaffold_602405.1 pep chromosome:v.1.0:6:9998774:9999471:1 gene:scaffold_602405.1 transcript:scaffold_602405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGVHQQVIAFPALNTAPASYLPDPASINKLQIPTSSKFSLLSGKGKSMVQKKKTDSFTNGARDQEKLGPKITETVKRKLSLGAKILQMGGLEKIYKRLFKVCDQEKLFKAYQCYLSTTEGSIAGLLFISSKKIAFCSERSIKVTSPQGDLTRVHYKVSIPLCKINGVNQSQNTKKLSQKYLEVVTVDGFDFWFMGFVSYQKAFNCLEQALNNDEQ >scaffold_602406.1 pep chromosome:v.1.0:6:10000511:10001210:-1 gene:scaffold_602406.1 transcript:scaffold_602406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSNVHQQVIAFPAVKTSPVGYLPDPASINELQIPTSSKFSFLTSKGKSMLRKKKTDSFTNGARDQSKLGPKLTETVKRKLSLGARILQMGGLEKIYKRLFKVCDEEKLFKTYQCYLSTTAGPIAGLLFISSKKIAFCSERSIKVASPQGDLTRVHYKVSIPLCKINGVNQSQNTKKPSQKYLEVVTVDGFDFWFMGFLSYQKAFNCLEQALSLSFEQ >scaffold_602410.1 pep chromosome:v.1.0:6:10017423:10019229:1 gene:scaffold_602410.1 transcript:scaffold_602410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLKWVLNLLFVSSLVHNFVHSSSQQVICSSQDRETLLGFKSSIIQDTTGVLDSWVGKDCCNGDWEGVQCNPATGKVTGLVLQSPVNEPTLYMKGTLSPSLGNLRSLELLFITGNKFIAGSIPNSFSNLTSLRQLILDDNSLQGNVPFALGHLPLLETLSLAGNRFSGLVPASFGSLRSLTTMNLARNSFSGPIPVTFKNLLKLENLDLSSNLLSGPFPDFIGQFLNLTNLYLSSNRLSGGLPVSVYGLRKLQSMSLERNGLTGPLSDRISNLKSLTSLQLSGNKFIGHIPASITQLQNLWSLNLSRNQFSDPLPAVVGRGFPSLLSIDLSYNNLNLGAIPSWIRDKQLSDINLAGCKLRGTFPKLTRPTALTSLDLSDNFLTGDVSAFLTSMTNLQKVKLSKNQLRFDLSKLKLPEGVASIDLSSNLVTGSLSSLINNKTSSFLEEVHLTNNQISGRIPDLGESLNLKVLNIGSNKISGQIPSSISNLVELVRLDISRNHITGVIPQTIGQLAQLKWLDLSINALTGRIPDSLLNIKTIKHASFRANRLCGLIPQGRPFNIFPVAAYLHNLCLCGKPLPACRKTMK >scaffold_602412.1 pep chromosome:v.1.0:6:10020930:10022022:-1 gene:scaffold_602412.1 transcript:scaffold_602412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSKVGSFFIRCEGCNKKIPEDLVRMHICYARYGFAVYQAAQAVEAESQAKRRRKAKDSNRPPLTGFVIFMNGFRKSFRTDYNGSLVKEVSKIGWEMWKSMTEDEKKVYVDKAAELMANDEEMIFFRKWMRMRNLMILMRLRTKKLVKETDDDNKVAEGKEEEILDDY >scaffold_602413.1 pep chromosome:v.1.0:6:10023292:10024517:-1 gene:scaffold_602413.1 transcript:scaffold_602413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSTTSNAPKQRKRVEAETSTNTSTTLRRAKDGSAFALCEGCNKSVAVALISMHNCSLDAKIRVNLEAQVVETQAEAKKKPAEKKKTTSDGPKPKRLKKTNDEKKSSSTSNKPKRPLTAFFIFMNDFRKTFKTEHNGSLAKDAAKIGGEKWKSLTEEEKKVYLDKAAELKAEYNKSLESNDADEEVCAFFN >scaffold_602417.1 pep chromosome:v.1.0:6:10055869:10056817:1 gene:scaffold_602417.1 transcript:scaffold_602417.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor family protein [Source:UniProtKB/TrEMBL;Acc:D7M2A0] MSLVENSSLEPQSKRRKIDTEIKESPSRNCEHWFVRNKICISCNTTLDKYDGRSFDYLYKGMHMSHEALVFTKRVISQTSWLEDKKLHLVLDLDHTLVHTIKASQLYESEKCLTEEVGSRKDLWRFNSGFPDESLIKLRPFVHQFLKECNEMFSMYVYTKGGCDYAQVVLELIDPEKIYFGNRVITRRESPDLKTLDLVLADERGVVIVDDKCSVWPHDKKNLLQIAKYKYFGDQSCSFSECKNKRDESEEKGPLDIVLRFLKDVHNEFFCDWSRKDLDSVDVRPLLKEISSRWKHKAEVVV >scaffold_602421.1 pep chromosome:v.1.0:6:10075313:10077134:-1 gene:scaffold_602421.1 transcript:scaffold_602421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWMKGKSSGWTAFDLKQRQKQGLESEVKDDDPFPPVSTSVNASLGVRGKLIRDHEPSEKSFSSVLLPPSRFPALTENKDCGNEERGGGCKRKPGTSILPVNSHDSAFMKLKEMNSWADDNLIRDVLLSTEDNFEMALDFLKGMVSSSKEAEEPTSKIEGYSSDNRRSEYKTFGKTVTSSVKMAARSTCEDAGKYDLQESDGSPPLVNASDSEKFSDDISELDSIIQRLQSIPIEPEWEEDDLYLSHRKDALKMMRSAANHSRAAQNAFQRYDHASAKQHSEKAREDWLTAAKLNAEAAKKIIGITNKDNDIWKLDLHGLHATEAVQALQERLQKIEGHFTVNRSVSPNRGSSKNAALRSASQEPFGRLDGEGMHCQRTSSREVRNSVQVVTGIGKHSRGHASLPLAVKTFFEDNRYRFDETRPGVITVRPKFRHC >scaffold_602423.1 pep chromosome:v.1.0:6:10081857:10082072:-1 gene:scaffold_602423.1 transcript:scaffold_602423.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2A6] MGIGSPSVMVDVAPPLVGWLVVCYASCLTLWYFAGPLAFRSNGALVPPHPRMMSPS >scaffold_602428.1 pep chromosome:v.1.0:6:10095213:10095416:-1 gene:scaffold_602428.1 transcript:scaffold_602428.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2B1] MHAVRRSKTLRKDLQRKTTENARFRRRRLRVLRQGLRGKKERVKKKRQMRKA >scaffold_602431.1 pep chromosome:v.1.0:6:10105588:10107754:-1 gene:scaffold_602431.1 transcript:scaffold_602431.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 9 [Source:UniProtKB/TrEMBL;Acc:D7M2B4] MANKPRTRWVLPYKTKNLEDNYFLGQVLGQGQFGTTFLCTHKQTGQKLACKSIPKRKLICQEDYDDVLREIQIMHHLSEYPNVVRIESAYEDTKSVHLVMELCEGGELFDRIVKRGHYSEREAAKLIKTIVGVVEACHSLGVVHRDLKPENFLFVSCDEDASLKSTDFGLSVFCKPGEALSELVGSAYYVAPEVLHKHYGPECDVWSAGVILYILLCGFPPFWAESEIGIFRKILQGKLEFEINPWPTISESAKDLIKKMLESNPKKRLTAHQVLCHPWIVDDKVAPDKPLDCAVVSRLKKFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDKSGTITFEELKDSMRRVGSELMESEIQELLRAADVDESGTIDYGEFLAATIHLNKLEREENLVAAFSFFDKDASGYITIDELQQAWKEFGIKDSNLDEMIKDIDQDNDGQIDYGEFVAMMRKGNGNGGIGRRTMRNTLNFGTVLPDDSMNV >scaffold_602432.1 pep chromosome:v.1.0:6:10109163:10110293:-1 gene:scaffold_602432.1 transcript:scaffold_602432.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M2B5] MEGFVDHYIVLGLPSGEEALKLTDKEIAKAYKLKALDLHPDKRPDDPHAHDKFQRLKTSYEVLKDEKARKLFDDLLRIQREKQHKKSQVDSKRRKMMSDLEQRERSAFSPHPAARAYDEEERIARKLKEEIERIRARHAKKKSGFETPESGVDEKRKEDRSGTGASVQLDKDRMLKVSWEKIGEGYTAGRLRQVFSEFGEVEDVVIRSTKKKCSALIVMATKDGAVAATRTLCGDLSNPLLVVPLQKAAQNDFLTAKKSAEAEPRSDIVGAGLQAYEDAVMQRLRKAAMDQKNRGVKPNDQE >scaffold_602437.1 pep chromosome:v.1.0:6:10153345:10154257:-1 gene:scaffold_602437.1 transcript:scaffold_602437.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M2C0] MAELQLVEGHQINGGFIPPAIINSIEAPETSAAAGVSVGSKRLRRPSVRLGDIGGDQYHQHVVAAYDSPQVRRPKWRPSGGGGGNRKEPNNQSGKTTSSSRTRTMTNLSSGGYENTGTLDEDPISIGSWRVKKWVKSSGGETAATNTVSAKRVRSNWATRNDGDEKFSGGEEGEEEEEEEEELGEEGFRDFSREDSESPMKERRRYENREVELLGDWQSGGRGKEGVKIWLQELGLGRYWPMFEMHEVDEQVLPLLTLEDLKDMGINAVGSRRKMYCAIQKLGREFS >scaffold_602440.1 pep chromosome:v.1.0:6:10163204:10163936:-1 gene:scaffold_602440.1 transcript:scaffold_602440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7M2C3] MSKRKRPDPKSSGSNLNEPHEKLLLNLIRSSQEKGATMNELKRDKTIPATMITRLTTSLKKKNLIKEVASMNNRGVKHFLAMEFEPGKELTGGEWYIDGTLNLSKIEDLKVKCVMILERHRHRVVTLEVMCGYFVKEEKLSVEQTKEILKNLVLDNVIMEVKSNGLNEFASTRIGEVCYKLTGKKSGNGEARAGAFASVPCGACPHIGLCSPDGVISPSTCVYFQKWLDF >scaffold_602443.1 pep chromosome:v.1.0:6:10175328:10176466:1 gene:scaffold_602443.1 transcript:scaffold_602443.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide binding protein [Source:UniProtKB/TrEMBL;Acc:D7M2C6] MNTLHPHKQQQEQEEEAKHEWDLSLSTVVSSSSSSASDVIGAIEFDPTDNIVATAGISRKIRFYGLPSLLRTNAVSGTGVSFVDQATACEYYICTPAKLSSLRWRPGSGSRVIGSGDYDGVVTEYDLEKRTPVFERDEHGGRRVWSVDYTRHGDASAVGASGSDDGTMQVWDPRCPPEESVSVVRPSGICRSAVCCVEFDPSGGPTVAVGCADRKGYVYDIRKLVDPALTLQGHTKTVSYVRFLDGCTVVTAGTDGCLKLWSVEDGRVIRTYEGHVNNRNFVGLSVWRNGALFGCGSENNRVFVYDRRWGKPVWVDGFEPVGMNSGSDKRFVSSVCWRQSGVDQCTLVAGGSDGVLQVYVGKRKP >scaffold_602444.1 pep chromosome:v.1.0:6:10178474:10179560:-1 gene:scaffold_602444.1 transcript:scaffold_602444.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11-beta [Source:UniProtKB/TrEMBL;Acc:D7M2C7] MAEQTEKAFLKQPKVFLSSKTSGKGKRPGKGGNRFWKNIGLGFKTPREAIEGAYIDSKCPFTGTVSIRGRILAGTCHSAKMQRTIIVRRNYLHFVKKYQRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGASAFGKKAFTGV >scaffold_602446.1 pep chromosome:v.1.0:6:10184077:10185053:-1 gene:scaffold_602446.1 transcript:scaffold_602446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVVLKVLTMTDDKTKQKAIEAAADIFGVDSIAADMKDQKLTVIGLMDAVAVVKKLKKVGKVDLISVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEEPKK >scaffold_602450.1 pep chromosome:v.1.0:6:10198507:10199761:1 gene:scaffold_602450.1 transcript:scaffold_602450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSHVQPMLLLLASLFFLPVLHGAIDFEYCAKNGNDYGNVTRIEVSPSIGFSENPYITINLFCSASKNIFPGTLVYVTYRSGDFIGFLRTYNLCDVTACNTESVIEAGTNFEITLPEVLYVKEITYSVSLRERTYQDPIIKMCVDFKVPASAPALVTI >scaffold_602452.1 pep chromosome:v.1.0:6:10207733:10211287:1 gene:scaffold_602452.1 transcript:scaffold_602452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSHVQPMLLILASLFFLPTLLNAIDFEYCAKNGNDYGTVTRIEVSPSVGSHGNPTININLFGSASKNISPGTLVYVAFRSGDFTGLLKTYNLCDVSTCNTESEIEAGTNFELTLSDVLYVGFDEEIKYSVSLRQKTLEEEDPIIKMCVDFKVPAPALALVSMYSSWQMKKWFQVFDYMSLSSNLHFLFPLYVMCCPTNKLKSWLG >scaffold_602453.1 pep chromosome:v.1.0:6:10211946:10214473:1 gene:scaffold_602453.1 transcript:scaffold_602453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSPSKNGSAGGHSRTFTDSIWSPFFKSGFGISSNRSYALISLLILLIAGAFISTRLLLDTTTVLIEKEAVTTTTQTQTQTISPKYPRPTTVITQSPKPEFTLHCSANETTASCPSNKYPTTASFGEDDDTNHPPNATCPDYFRWIHEDLRPWSSTGITREALERAKKTANFRLAIIDGKIYVEKFQDAFQTRDVFTIWGFLQLLRKYPGKIPDLELMFDCVDWPVVKASEFTGANAPSPPPLFRYCGNEETLDIVFPDWSFWGWAEVNIKPWESLLKELREGNQRTKWINREPYAYWKGNPMVAETRQDLMKCNVSEEHEWNARLYVQDWIKESNEGYKQSDLASQCHHRYKIYIEGSAWSVSEKYILACDSVTLLVKPHYYDFFTRGLLPAHHYWPVREHDKCRSIKFAVDWGNSHIQKAQDIGKAASDFIQHELKMDYVYDYMYHLLTEYSKLLRFKPEIPQNAAEICSETMACPRSGNERKFMTESFVKHPAESGPCAMPPPYDPALLYGVVKRKQSTNMRILQWEMKYWSKQNQTGS >scaffold_602455.1 pep chromosome:v.1.0:6:10227577:10231587:1 gene:scaffold_602455.1 transcript:scaffold_602455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLGLSAPVYATEPVHRLGLLTMYDQFLSRKQVSDFDLFTLDDIDSAFQNVIRLTYSQNYHLSGRGIVIAPHVAGHMLGGSIWRITKDGEDVIYAVDYNHRKERHLNGTVLQSFVRPAVLITDAYHALYTNQTARQQRDKEFLDTISKHLEVGGNVLLPVDTAGRVLELLLILEQHWSQRGFSFPIYFLTYVSSSTIDYVKSFLEWMSDSISKSFETSRDNAFLLSLEAGFAREIFVEWANDPRNLVLFTETGQFGTLARMLQSAPPPKFVKVTMSKRVPLAGEELIAYEEEQNRLKREEALRASLVKEVETKASHGSDDNSSEPMVIDTKTTHDVVGSHGPAYKDILIDGFVPPSSSVAPMFPFYDNTSEWDDFGEVINPDDYVIKDEDMDRGAMHNGGDVDGRLDEATASLMLDTRPSKVISNELIRIGFTRHLRGGLFTPKVACFKEGVMFVKRKKYYYSLKFYHEKLIKTFTEMQRLRVLYGKKLGNNSRLLLWSEQTQTGNLKLLDLNRVPSEEMDSATCRFKTPNVVKPVERNRRKS >scaffold_602465.1 pep chromosome:v.1.0:6:10267371:10268186:-1 gene:scaffold_602465.1 transcript:scaffold_602465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEETREHDLTDSKPSPPQTPPKRGLISRKRQLVFLSFMILLAAKGLVGIGEIAFVILCYIYLYEFLSRFAFPRKQTEQKKRLSNPKNKLFQAYFLATAIIGLLFPICYIGDGIYRGDIHGAGAAAPHLFLLSGQAFTEPIGFSDKFSTPIGILGPVFYNARRIFALLDWVKAEFSDTQRPGGPVRLYGGRVIASVNTVMWFYNLFGLLLPVFLPRSCEIYFSGDNKDD >scaffold_602468.1 pep chromosome:v.1.0:6:10279918:10280116:-1 gene:scaffold_602468.1 transcript:scaffold_602468.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2E9] MEIAFVGGGRFPIQSVLPRVLRTRETSSNPLTMRGVGYGDTIFPIDRLIIID >scaffold_602469.1 pep chromosome:v.1.0:6:10280817:10281579:1 gene:scaffold_602469.1 transcript:scaffold_602469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGREVEVTISSAKDIKNVNWRNGPNKPYAVVWVDPTYKSSTRVEEDGDTCPTWNETFVIPLPPANDDDDKVYIDIVHAGGEKNTKPLIGSAHLSLRDVIDDVGFGVPFMKTLKLKRPSGRPHGKLELTVTVREPRYHPAPGSYHAPPQTVYGEPYAPSHSYVQPGYGYAPEKGSKFGGMGTGLAVGAVAGVLGGVALAEGLEAVEDKIAEEAAEKVEDDLDAGEDYFDGGDEDDDD >scaffold_602475.1 pep chromosome:v.1.0:6:10310126:10311146:1 gene:scaffold_602475.1 transcript:scaffold_602475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLFSTNHQSLLLPSSLSHKPLLSSPRFVNNPSRRSPIRSVLQFNRKPELAGETPRIVVITSGKGGVGKTTTTANVGLSLARYGFSVVAIDADLGLRNLDLLLGLENRVNYTCVEVINGDCRLDQALVRDKRWSNFELLCISKPRSKLPMGFGGKALEWLVDALKTRPEGSPDFIIIDCPAGIDAGFITAITPANEAVLVTTPDITALRDADRVTGLLECDGIRDIKMIVNRVRTDMIKGEDMMSVLDVQEMLGLSLLGVIPEDSEVIRSTNRGFPLVLNKPPTLAGLAFEQAAWRLVEQDSMKAVMVEEEPKKRGFFSFFGG >scaffold_602477.1 pep chromosome:v.1.0:6:10320184:10320484:-1 gene:scaffold_602477.1 transcript:scaffold_602477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKSRYDFHIPNIREKNIWFEISELNEERNDWDQVEDMDGSVLFLEHYCTFSCLATEIPGFRANSIIFMDLWGGSNSYEHESILV >scaffold_602479.1 pep chromosome:v.1.0:6:10327285:10329000:-1 gene:scaffold_602479.1 transcript:scaffold_602479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWSELPLEIIHMISVRIDNPFDLIHYRSVCSSWRSSSLLTFRPVPSLRCPVPPDAGGDDCYISRSRVYLIKSLSSVPFQFWLFKLLEEENGLALHILFSRRTFSVWGCSYPSLSLDLLNSQVIELAQEHVACYTSWCDLFDDDFANKEGKSIGFMQLDAENKEFIILRRLSIQGLGMYRSFDKCWTEIEIEPDRFLEAVASYNGLFYAIDSTGVMIVVKPSLEVNSFHRSRPCDKTRKRWLAKLEEKLLLVEICTESQKEYLTPKLLAEKGWFEISELDEKRNDWIQVEDVGGHVLFLDCHCSFSCLPTQIPGFRPNSIIFECLYGSYRHKDFQVFEFGEQGIRSFEDISEYAQL >scaffold_602484.1 pep chromosome:v.1.0:6:10360413:10363578:-1 gene:scaffold_602484.1 transcript:scaffold_602484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFHFYFPSYSLFFSFLCFFLVSSVVQVGLATESHIGLGSKLKASEPNRAWVSSNGSFAIGFTRFKPTDRFLLSIWFAQLPGDPTIVWSPNRNFPVTKEAVLELEATGNLVLSDKNTVVWTSNTSNHGVEAAVMSESGNFLLLGTEVTTGPAIWQSFSQPSDSLLPNQPLTVSLELTSNPSPSRHGHYSLKMLQQHTSLSLGLTYNINLDPHANYSYWSGPEISNVTGDVTAVLDDTGSFKIVYGESSTGAVYVYKNPVDDNRNYNNSSNFRLSKNPVLRRLVLENNGNLRLYRWDNDMNGSSQWVPEWAAVSNPCDIAGICGNGVCNLDRTKKNADCLCLPGSVKLPDQENAKLCSDNSSLVQECESNINRNGTFKISTVQETNYYFSERSVIENISDMSVRRCGEMCLSDCKCVASVYGLDEETPYCWILKSLNFGGFRDPGSTLFVKTRANESYPSNSNNNDSKSRKSHGLRQKVLVIPIVVGMLVLVALLGMLLYYNVDRQRTLKRAAKNSLILCDSPVSFTYRDLQNCTNNFSQLLGSGGFGTVYKGKVAGETLVAVKRLDRALSHGEREFITEVNTIGSMHHMNLVRLCGYCSEDSHRLLVYEYMINGSLDKWIFSSEQTANLLDWRTRFEIAVATAQGIAYFHEQCRNRIIHCDIKPENILLDENFCPKVSDFGLAKMMGREHSHVVTMIRGTRGYLAPEWVSNRPITVKADVYSYGMLLLEIVGGRRNLDMSFGTDDFFYPGWAYKELTNGTALKAVDKRLQGVAEEEEVLKALKVAFWCIQDEVSLRPSMGEVVKLLEGSSDEIYLPPMPQTILELIEEGLEDVYRAMRKEFNNQLSSLTVNTITTSQSYRSSSRSHATCSYSSMSPR >scaffold_602487.1 pep chromosome:v.1.0:6:10381582:10382168:1 gene:scaffold_602487.1 transcript:scaffold_602487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRLFFGVSTIVSIIFAILLPMAQAQSAAPAPAPTSDGTTIDQGIAYVLMLVALVLTYLIH >scaffold_602495.1 pep chromosome:v.1.0:6:10420927:10424264:-1 gene:scaffold_602495.1 transcript:scaffold_602495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTHVCLWTVLAFALTWTVLYVTNRKKKATELADAAAEERRDGASDVIIVGAGVGGSALAYALAKDGRRVHVIERDMREPERMMGEFMQPGGRFMLSQLGLQDCLDGIDAQKATGMAVYKDGKEAVASFPVDNNNFPYDPSARSFHNGRFVQSLRQKASSLPSVRLEEGTVKSLIEEKGVIKGVTYKNKAGEETTALAPLTVVCDGCYSNLRRSLNDNNAEVLSYQVGYISKNCRLDDPENLHLIMSKPSSIMLYQISSTDVRCGFELFPDNFPSIAKGEMATFAKNTLAPQVPPKLRKIFLKGLDEGAHIKAVPAKRMTATLSNKKGVIVLGDAFNMRHPSVAAGMMVLLSDILILRRLLQPLSNLGDANKVSEVIKSFYSIRKPMSATVNTLGTAFSQVLIASTDEAKEAMRQGCYDYLCSGGFRTSGMMALLGGMNPRPISLIYHLCAITLHSIGHLLSPFPSPIRIWHSLRLFGLATKMLVPHLKAEGVSQMLFPANAAAYRKSYMAASVF >scaffold_602504.1 pep chromosome:v.1.0:6:10469971:10470583:1 gene:scaffold_602504.1 transcript:scaffold_602504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEDDPNKASWIPYLYVDPADPICAGYIDYLKHKKFMSKIGASKIEKAGSGKSVRCLLLERKGKSSSSDLSTEPLHLLPSADMIVNKNKPTTSMTAHGLHQWWERDSSLRANWESRCIRPNSKGN >scaffold_602505.1 pep chromosome:v.1.0:6:10476656:10478729:1 gene:scaffold_602505.1 transcript:scaffold_602505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSLRQMMGGGVVALDSDRDIFSISGPFHLTSIDWNNSYHRTSVASSLVNGVYILERDRQERRVGSGSLAMPWWDFFNFSLVETLIDDYDGSIYGAVIWTLINTLHHGSRSMHAIRAIRNVVDKHNHSAIWLAGHSLGAALVLLAGKTMTRFGYFLESYIFNPPISSIPLEQLPGGDMLKGMFQITKSVVKATVAIALTDLHVQEEDPKTASWIPYLYVNSADPICAGFIDYFKRKTFMSKIGASKIEKAGSGKSVRCLLLERKGKSSSSDLSTEPLHLLPSADMIVNKNKPTTSMTAHGLHQWWERDPTLRENWESCCIRPKSKGKLHQLTIQ >scaffold_602506.1 pep chromosome:v.1.0:6:10480098:10481789:1 gene:scaffold_602506.1 transcript:scaffold_602506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDDVIVKEGIMASQREIFSISGPIHLTSIDWNNSYHRTSVASCLVQAVYTLERDRQQNRIGLKSQANHWWEFFNFTLAETLIDDSDGSIYGAVFEYKHFFSYNYHNTPHSKPPPRHVIAFRGTILKRHSRSRDIRLDLRCIRDSLHDSFRFVHAVQVIQSAVAKTGNTAVWLAGHSLGAAVALLAGKIMTRSGFPLESYLFNPPFSSIPIEKLLKSERLKHGVRFAGSLVKAGVAIAVKGRHHHKGLEDDSFTKLASWMPYLYVNPLDTICSEYIGYFKHRNKMFEIGAGKIERIATRNSLRSLLSGGGGGGGPSSDSCSEPLHLLPSAYMTINASKSPDFKRAHGIHQWWDPMFNGEYVLHQFNH >scaffold_602508.1 pep chromosome:v.1.0:6:10492137:10492383:-1 gene:scaffold_602508.1 transcript:scaffold_602508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRQRDTNPSAHSTEILTPSTKTIVQVQDDYDEFKKAEAIFIALNLSKHTRFYWTCISTLKEQVL >scaffold_602509.1 pep chromosome:v.1.0:6:10493378:10496364:-1 gene:scaffold_602509.1 transcript:scaffold_602509.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein [Source:UniProtKB/TrEMBL;Acc:D7M318] MADKDCPFAVEDIVQTPLPGYVAPTAVSFSPDDSLITYLFSPEKNLNRRVYAFDVNKGESNLVFSPPDGGVDESNISPDEKLRRERLRERGLGVTRYEWVKTNSKMRFIVVPLPAGVYMKDLSSSPNPELIVPSLPTSPIIDPRLSPNGLFLAYVRDFELHVLNLLKNQTQQLTTGANGSTLTHGLAEYIAQEEMDRRNGYWWSLDSKFIAYTEVDSSQIPLFRIMHQGKRSVGSEAQEDHAYPFAGALNSTLRLGVVSSAGGGKTTWMNLVCGGRTNTEDEYLGRVNWLPGNVLTVQVLNRSQSKLKIISFDINTGQGNVLLMEESDAWVTLHDCFTPLEKVPSSRGSGGFIWASERTGFRHLYLYESNGTCLGAITSGEWMVEQIAGVNEPMSLVYFTGTLDGPLETNLYCTKLEAGDASRCQPMRLTHGKGKHIVVLDHQMKNFVDIHDSVDSPPRVSLCSLSDGTILKILYEQTSPIQILKSLKLEPPEFVQIQANDGKTTLYGTVYKPDSLKFGPPPYKTMINVYGGPSVQLVHDSWINTVDMRTQYLRSRGILVWKLDNRGTARRGLKFESWMKHNCGYVDAEDQVTGAIWLIEQGLAKADHIGVYGWSYGGYLSATLLTRYPEIFNCAVSGAPVTSWDGYDSFYTEKYMGLPTEEERYLKSSVMHHVGNLTDKQKLMLVHGMIDENVHFRHTARLVNALVEAGKRYELLIFPDERHMPRKKKDRIYMEQRIWEFIEKNL >scaffold_602511.1 pep chromosome:v.1.0:6:10508201:10508852:-1 gene:scaffold_602511.1 transcript:scaffold_602511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPKLLNGDHIPGFKGYAVNMIDLAPEELTIQTYSGYGLRETLFYNLFENLQVYETQKQVEAAHAVSLDGFIAKENGFIYSGCSKPEIHFPVTVKEDEEEKLRKLEAARDRVRMAAKKIEEEKCSLRKLENKNEENK >scaffold_602516.1 pep chromosome:v.1.0:6:10532127:10533722:1 gene:scaffold_602516.1 transcript:scaffold_602516.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M323] MAPAGKGKASRGRGGGRSGVNIRSLCGLNKPKCIECGNVAPASRDSDADFHVEDSKITLNVCLSKQGEGGEIFFAGTQCKKHMDTDSKPEQQASQTRIANLERLVMYWKESDPAFAAFVASQPQPTAPANTQAPNATATANAPATAPTGTVAATTTPSSSF >scaffold_602527.1 pep chromosome:v.1.0:6:10607943:10617190:1 gene:scaffold_602527.1 transcript:scaffold_602527.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGRKVLYEIRHHASLPFVPRYPPLPQADGADSKGGLRSLVSIRGFSQLKEKWSGYWNPRKTNKPVSLFISPRGELVAVTSANHVTILRKDDDYRKPCGNFTSNISGSFTSGVWSEKHDVLGLVDDSETLFFIRANGEEISQVTKRNLKVSASVLGLIEDDCDLQPSCLCSFSVLTSDGLIHHVEISREPSASSFSKHASNSVSALRKQFPNHVFCFDYHPNLSFLLLVGSAAGISSTGSSGSSCISLWRKCQNLGLELLSTTKFEGEYCENKDDQLAYPKTLISPQGSHVASLDSNGCVHLFQLDKARLTLSCCPSEDSSDSLKPDKSLKNWKESLRNVVDFTWWSDHALTILKRSGNISIFDINRCVIVQEDATIYSMPVVERVQRYEGHIFLLESSTQEAKSALAKVDKDPSELHHTSEHSMLWRLISFTEKTIPEMYKILVEKCHYQEALDFADSHGLDRDEVFKSRWLNSGKGVSDVSTILSKIKDKAFVLSECLDRIGPTEDSMKALLAHGLYLTNHYVFAKSEDQESQQLWEFRLARLRLLQFSERLDTYLGISMGRYSVQDYRKFRSIPINQAAISLAESGRIGALNLLFKRHPYSLASFMLKILAAIPETVPVETYAHLLPGKSPPTSMAVREEDWVECEKMVRFINNLPENGKNDSLIQTEPIVRRCLGYNWPSSQELAAWYKSRARDIDSSTGLLDNCICLIDIACRKGISELEQFHEDLSYLHQIIYSDEIGGEICFSLSLVGWEHLSDYEKFKIMVEGVKADTVVRRLHDKAIPFMQKRFLGTNNRNVESFLVKWLKEMAAKNDMDLCSKVIEEGCMDLHTVCFFKDEFEVVDCALQCLYLCKVTDKWNVMATMLSKLPKTNDDAGEDIQKRLKRAEGHIEAGRLLEFYQVPKPINYFLEVHLDEKGVKQILRLMLSKFVRRQPGRSDNDWACMWRDLRQLQEKAFYFLDLEFVLTEFCRGLLKAGKFSLARNYLKGTGSVALPSEKAESLVINAAKEYFFSAPSLDSEEIWKARECLNIFSSSRTVKAEADIIDAVTIRLPNLGVRLLPVQFKQVKDPMEIIKMAITGHPGAYLHVEELIEVAKLLGLNSSEDRSSVEEAIAREAAVAGDLHLAFDLCLVLTKKGHGPIWDLGAAIARGPALEHMDISSRKQLLGFALGHCDDESISELLHSWKDLDLQGQCETLGMLSESDSPDIHKMDGVSCLREFPQMLDGLCSDQQLDLDRVKDSLSCIAKDLPVDNRVDLESLLKENGKLLSFAASHLPWLLKLGRNRKLDKSLVLDSIPGKQFVSIKATALVTILSWLAKNGFAPKDELIVMITDSIIEQPVTKEEDIIGCSFLLNLVDASNAVEVIEKQLRIRGNYQEIRSIMSLGMIYSLLHDSGVECTAPIQRRELLRKNFERKQTESLSDDMSRIDKLQSTFWKEWKHKLEEKMHDADRSRMLERIIPGVETERFLSHDMEYIKVAVFSLIESVKSEKKLILKDLLKLADTYGLKQSEVILRYLSSILCSEIWTNEDITAEILQVKDEILSFASDTIQTISTIVYPAVSGLNKQRLAYIYSLLSECYCHLVESKEASLLVEPHGSFAGLSNLCNVLKQECSRVSFIKDLDFKNIAELGGLNFDSFNNEVHAHINEMNLEALAKMVETLTGLFIENSSMGLISWQDVYKQYIMNLLDTLESRRDLDFGSAESFQGFHGQLEKTYDHCRVYVRILEPLQAVEILKRNFTLVLPPNGSYMHIPDSSKWQECLILLINFWIRLADEMQEVKSSSPSLVANLILSPECISSCLTVLIKLVMDDSLYPSQAWAAILVYVRSGLVGDCATEIFNFCRAMVFSGCGFGPISDVFSDLSSRYPTALQDLPHLYLSVLEPILQDLVSGAHETQNLYRLLSSLSNLEGNLEELKRVRLVVWEQLVIFSENLELPSQVRVYSLELMQFISGKNIKGSSSELQSNVLPWDGSAELLSSMQKTEATLNQALPDQADGSSRLTNTLVALKSSQIAVATISPGLEISPEDLSTVETSVSCFSKLSAAVTTASQAEALLAILEGWEELFEAKKAELLPSNDATDQGNDWGDDDWNDGWETLQESEPVEKVKKECIVSAHPLHSCWLDIFRKYIALSMPENVLRLIDGSLQKPEEVIIEENEAESLTGILARTDPFLALKISLLLPYEQIRSQCLSVVEEQLKQEGIPELSSQSHHEVLLLVISSGTLSTIISNSCYGSVFSFLCYLIGKLSREFQEERITQADNRESNASSESRFISCFGQLMFPYFVSGLVKADQQILAGFLVTKFMHSNPSLSLINVAEASLRRYLEKQLESLEHLEDLCAESSELETLKNTVSSLKGNSKEVIRSALASLSSCTNSR >scaffold_602528.1 pep chromosome:v.1.0:6:10620300:10620700:-1 gene:scaffold_602528.1 transcript:scaffold_602528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDVAVGDNSITDVNEQTLFITFARGVPMSQEEVKDGQEQSLYAKLVLDSVATMNHVLNEWQTVKLWSLSNNKSVKLKINGKLFWARKYERKNVSIIN >scaffold_602531.1 pep chromosome:v.1.0:6:10635563:10636197:1 gene:scaffold_602531.1 transcript:scaffold_602531.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7M341] MTHYMNMNLIITSLVMILISQLPFSSTVNPDKPTKSIIDRICHQTVNFNDCIMIVTSQLNSPHANIATITNVTTKRALTFATETLSQIQDYLLPNATDPDDKAVFSACEVAYKAVVSSLQSAYTSVNKRDYVSMKAQQNQALRYIDVCEKRTNFFRRTPIVAVNYYVRLMVKIASIAGQILAPCTTPSTSREQNNIL >scaffold_602537.1 pep chromosome:v.1.0:6:10664240:10665241:-1 gene:scaffold_602537.1 transcript:scaffold_602537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRKMIVFPTKNELSQAMAEYTANLSAKFIKEKGLFTVVLSGGDLIDWLCKLVQPPYIDSIEWSKWHVFWVDERVCAWEDPDSNYKLAMEGFLSKVPIPDKNIYAIDKHWAADGNAEHCAALYEECLKDLVKEKIIPISKKTGYPEFDLQLLGMGPDGHMASLFPNHPQINEKQKWVTHITDSPKPPPKRITFTLPVINSSLYNLMAICDKAPAKSVAEIMKRNNLSLPSAHLSAQVENVWYLDQAAASEL >scaffold_602538.1 pep chromosome:v.1.0:6:10666271:10666480:1 gene:scaffold_602538.1 transcript:scaffold_602538.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M348] MAGWELQVNPLSLQRTTQETTSSLLSNRVCPSHKLQSQLLSLAWGTPQYMKFGAN >scaffold_602539.1 pep chromosome:v.1.0:6:10666881:10670730:-1 gene:scaffold_602539.1 transcript:scaffold_602539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCYSRNISAVEDDEIPTGNDEVSNQPSQSTENHRHASIPQSPVASGASEVNSYNISPFQSPLPAGVAPSPARTPGRKFKWPFPPPSPAKPIMAALRRRRGAPPQPRDEPIPEDSEDVADHGGGGGGERLDKNFGFGKNFEGKYELGKEVGRGHFGHTCWAKAKKGKMKNQTVAVKIISKAKMTSTLSIEDVRREVKLLKALSGHRHMVKFYDVYEDADNVFVVMELCEGGELLDRILARGGRYPEVDAKRILVQILSATAFFHLQGVVHRDLKPENFLFTSRNEDAILKVIDFGLSDFIRYDQRLNDVVGSAYYVAPEVLHRSYSTEADMWSIGVISYILLCGSRPFYGRTESAIFRCVLRANPNFEDMPWPSISPTAKDFVKRLLNKDHRKRMTAAQALAHPWLRDENPGLLLDFSVYKLVKSYIRASPFRRSALKALSKAIPDEELVFLKAQFMLLDPKDGGLSLNCFTTALTRYATDAMMESRLPDILNTMQPLAQKKLDFDEFCAAAVSVYQLEALEEWEQIATSAFEHFEHEGNRIISVQELAGEMSLGPSAYPLLKDWIRNSDGKLSFLGYAKFLHGVTVRSSSTRPR >scaffold_602544.1 pep chromosome:v.1.0:6:10686064:10688810:-1 gene:scaffold_602544.1 transcript:scaffold_602544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEVVEVTVVKAAEASGGKSSRRKMRKKDAVDGGGDGLVKWERFLPKIALRVLLVEADDSTRQIISALLRKCSYRVAAVPDGLKAWEMLKGKPESVDLILTEVDLPSISGYALLTLIMEHDICKNIPVISTDSVNTVYKCMLKGAADYLVKPLRRNELRNLWQHVWRRQTSLAPDSFPLDERQQKPEGASANNSNGKREEHVVIGNGGDAQSSCTRPEMEGESADVEVNARDAVQMECAKSQFNETRLLANEMQNSSKKAIDLMGASFRRTGQRNREESAAQYESRIELDLSLSRPIPSENQSSGDRPSLHPSSASAFTRYVHRPLQTQCSASPVVPDQRKNFAASQDDNIVLINQYNTSEPPPSAPRRNDTSFYTGADSPGPPFSNQMNSWPGQGSYPTPTPINNIQFRGPNTAYASAMAPASVSPTPSSVSPHEYSSMFHPFNGKPEGFQDRDGSMDVEERRYVSSATEHSAIGNHIDQLIEKKNEDGYSSSVGKFQQSLQREAALTKFRMKRKDRCFEKKVRYESRKKLAEQRPRIKGQFVRQVQSTQAHQ >scaffold_602545.1 pep chromosome:v.1.0:6:10688907:10689224:-1 gene:scaffold_602545.1 transcript:scaffold_602545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRAKPKASHHTNFFKKGYLTYWISLSRIQYFADVANVATSANSTRYFSNPFTLFTTVRSWSVHRPNPISTVKTFARFFLDIFFSLTIIL >scaffold_602551.1 pep chromosome:v.1.0:6:10729697:10737295:1 gene:scaffold_602551.1 transcript:scaffold_602551.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7M359] MAATLISTGLRHTTLPENYVRPISDRPRLSEVSQLEDFPLIDISSTDRSVLVQQIHQACARFGFFQVINHGVSKQLIDEMVSVAHEFFSMSMEEKMKLYSDDPTKTTRLSTSFNVKKEEVNNWRDYLRLHCYPIHKYVHEWPSNPPSFKEIVSKYSREVREVGFKIEELISESLGLEKDYMKKVLGEQGQHMAVNYYPPCPEPELTYGLPAHTDPNALTILLQDTTVCGLQILIDGQWFAVNPHPDAFVINIGDQLQALSNGVYKSVWHRAVTNTENPRLSVASFLCPADCAVMSPAKPLWEAEDNETKPVYRDFTYAEYYKKFWSRNLDQEHCLEYFRNN >scaffold_602553.1 pep chromosome:v.1.0:6:10747249:10748281:-1 gene:scaffold_602553.1 transcript:scaffold_602553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVAHDLHVDLFRPRFTTDQHLQYRLTNRSGDHISSESDGTKILWSYTEGLRKLLNYIKTKYNNPTIYITETGFDDYEDGTVTREEIIEDTKRIEYHQKHLRQLQKAIMKENFTINE >scaffold_602555.1 pep chromosome:v.1.0:6:10753461:10753782:1 gene:scaffold_602555.1 transcript:scaffold_602555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAYIVFKEQEMGSFGFESLPLEMSFCSTRTEVYNDRRVFLLQGTQGCREDGWLEIELGEYYVGSDDEEIEMSILETREGGWKGGIIVQGI >scaffold_602556.1 pep chromosome:v.1.0:6:10757260:10757566:-1 gene:scaffold_602556.1 transcript:scaffold_602556.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M364] MITVAIAAELLEEYTAALTRITATLLPPPPTSRRRSVRADSSLPRNDNSSSRAPNYTAFLLNF >scaffold_602557.1 pep chromosome:v.1.0:6:10759626:10760782:-1 gene:scaffold_602557.1 transcript:scaffold_602557.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M365] MALILIHQASLQIPDSHGSSPHTIIPTPSKGADQFLCSALFERKLRIATAYNSTNLFHLIATNSHHLIIPFVSIRGVDLIGPTMYFGLMGDGQPIGSYDDMWAGWCIKVISSYSDSLLRLMIEWTI >scaffold_602561.1 pep chromosome:v.1.0:6:10795293:10796984:-1 gene:scaffold_602561.1 transcript:scaffold_602561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREYLDYTLVPLGLGLMVFYHLWLLYRIIHRPSSTVVGLNAFNRRLWVQAMMEDSSKNGVLAVQTLRNNIMASTLLASTAIMLCSLIAVLMTSATGERSVWFVFGDKSDRAFSLKFFAILVCFLVAFLLNVQSIRYYSHASILINVPFKQLMAVSSGGRGNGSLMINQDYVAATVNRGSYFWSLGLRAFYFSSPLFLWIFGPIPMFITCCVLVCSLYFLDLTFDSMKCSVGAADAEEPEVRSLNV >scaffold_602562.1 pep chromosome:v.1.0:6:10802827:10803316:1 gene:scaffold_602562.1 transcript:scaffold_602562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGKSPMVTSANVIYLSAILGRDCDGPNQCHKCDWKCENEIVCGNMYRCKLTGLTHVCDKNCNQRILYDNHSSLCRASGRIFPLSSVEEQAVKGVRRKLDAAESHPSESCSFKRRRRDAQFHASPFERSFTAVSPICSPAGDGMELI >scaffold_602567.1 pep chromosome:v.1.0:6:10815284:10815603:-1 gene:scaffold_602567.1 transcript:scaffold_602567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGNYVMVAASEVEELRQKNGEMEKAVEEMRKEMVQLWRRTQVAEEAEEHLCSQLAELEAESLDQARDYHSRIIFLTKELSRFSSDSVSP >scaffold_602568.1 pep chromosome:v.1.0:6:10817650:10817975:-1 gene:scaffold_602568.1 transcript:scaffold_602568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGNYVTVAASEVEELRRKNGEMEKAVEDMRKEMLQLWRRTQVAEEAEERLCSQLAELEAESLDQARDYHSRIIVLMNELSRLSSDSVSASP >scaffold_602569.1 pep chromosome:v.1.0:6:10827568:10828899:-1 gene:scaffold_602569.1 transcript:scaffold_602569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRLLLIFSSMHVAYAISIQLQQTWLRFVKNRAKRCCQRIRGEDRISALPDDLLMHILLFLPGTKDVVATMILSKRWRSIWTMVPRLGYYDDTHKVFTGGLFGRLLGRLFDKSEQQQRCLWRFIDKSLQLHKAHVLESLLIKLGPSGDHVDVGKWIAIAVARRVRELTLMITWSAEPASLSKMHNEGIGGSLVIDSPALKKFFYRDYSEDSCSIENKPCFDKANIGFLSYPDDKFMRSISSVMNFELDLRTATVVWCNANIFSKLMECKVTLLAELDWLESLMGLLQNSPNLKVLFINQVCAPSVS >scaffold_602571.1 pep chromosome:v.1.0:6:10831614:10834490:-1 gene:scaffold_602571.1 transcript:scaffold_602571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHMVFQSVVAPKPLSPLKPCLPIPRPLVTLPCKLRRGFVRASSSFLVDDSVSGLERCLQLPSGVELGPSSSGSFSASTQMCPVMKGKFGSVGAVTLEKGKLDMTQKISETSPEIATGGGGGNIGKSINNGGGDGGDDNGDDDDYFDEFDDGDEGDDDGLFRRRMFLAEIFDRKFVDAVLNEWQKTMMDLPAGLRQAYEMGLVSSAQMVKFLAINARPTTTRMISRALPQGLSRAFVGRMLADPAFLYKLLLEQAATVGCSVWWEVKNRKNRLKEEWDLALINVLTVSACNAAAVWLLAPCRSYGNTFRFDLQNTLQKLPNNLFEMSYPLREFDLQKRFHSLFYKAAELSILGLAAGTLQGSLSNFLAGKKKNRVSVTVPSISTNALGYGAFLGIYANLRYQLLCGFERGVSSHFDVIGVALFFGTALRIMNVQLGEKSRQVWLGVEADPLAQSDDLLAKAYNRPSEEAVAKPSSRWFISKNAIVSGLLGKKQEDSVSDPPPPKARRKRIVRKKVAASAS >scaffold_602573.1 pep chromosome:v.1.0:6:10853675:10873470:-1 gene:scaffold_602573.1 transcript:scaffold_602573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGIVQGVVQLLLGYLGRYIKDIKKDQLKITLWNGEVLLENVELILEAFEYLQLPIALKQGRVGKLSIKIPWKKLGRDPIIIMLEDVFICASQRNDQEWSSDVVEKREFAGKQAKLAAAELAKLSRRVDSPAGKSFFSFIAAKVNRSGEIFDDLPQYSISAELTDVVMTLNEFQLQQILILLDYLQTSQLRERYGRYRPCSTSLLRKPPGWQKLWWHYAQKSILSDSIDESIRLGLEEVEKKSDIDDILSYRSAAEGEMQEACSELTVNMGATGATGSEKEPSDDPTLNKSRGWLNWLSRGMLGAGGTEDSSQFSGVVSDEVVKDIHKATKFHPLSSSPRNTSATGKICTCSIRLNVPKISGTLQHITGSSSQVITELDILGVIVECKSWKDSTAMIVSVISGRLLYPHNGKEILTMKGVCSQSDTLETKPSYGARLKLSKDHDVALSLKVTLQPLEAAYDVDFFLAVSKFFMGSRYFKLQHERILSSLNGLESETRLVAKAEYLLSSRNKVKWDLDIIDLTLSFPGRLVESESYNLVLVLESLSITSSSTDALSPSPRLESDADHVVNSLQSSVSVAALDAFQVKDLYDHFDIKICNLEMKLMKIYPFQELPLVEKSSLLIKFASCIIPEESILKQLEVEATLPMFNVHFSPSIFKGVMSVIEYLDIQDHAARNAPPSPAPIFHFTIKTNLAFFRLHVNLENEGENSTVLVLSIQQLDLWYSLTKFEEWSVRAKTLEMTACSSKDAADSHILCSSGNLLKSSSHGHGMDAHTSDQTNIIDYGTTPEAAISLNCKVSQSKSFVFHKYTVYWRGAELHCYPYIFGLLTNFLDKIASYKISSSDTNPSSLTTDTSAPAEITQFDFERFGFSNFIESRSCGCIPLDKYPFVTIYNSGSLDSLESSLCYSTSDWRKLFILRNKKDGAQIGLNCECNSCTFQPKRDCPLNGLASSSDLGQTNHFTVDMHVFNTNVHFHDSSSVFGTIILPVSRYFLTISDDHLDLVASAEDLMLESSLFTNYSGGFLWKHSSTDVSQVLNLRVRKKDLEPSGSELEVSIGIQHTCCILPPEYLAIIIGYFSLSDWTSKSGLQSLPQATELTKAPSEFAIAYKIEILDSSIVLPVEDDDRRQLKVDIQQLYISFVPECALSNVVQHIPQECVIPLNQVAERADCLNIFGRDLSVSLLLSESGISTFENDAMCRSITLAASIIADAWISFPCDRNPLTDLACVMSRVDVCEIVVDDSDALDGFKAFLDVFDQLSLVDEESKLFVSDVPQFLRTKMRLKQELSVAPLGSSTSFIKFRIFVNLLTAKLHRLRKDPGTLLSEPVLQADMKFVCSGEFKNNFPMSLDVQFFEIGIYSLLSSVMLARCINAYGDPSALKVRFTEQAENEYDLCFSLPSLDIWLHSFDWIEVIELLKSYSQILEDPFLSKGSNLDMDESIGVVRTVCDNTDRVLNVLQTEVSENSSEVMAFSARSETIGVQIHFPLCTSHTEFPGFMATDVHEISEEEHRNFFKGNYCKYVSVTARSRSGELSILGRDVKLSYKIEKLNGILAISGVDTVRSCSLFGAAQLLVETSIQMDQNKIMSIDVGILSDNVEMHASHQVLSFWHGITFDAPETPSSQNSQGNMSIKVQIRDVSLLISDGKWGCSGMLLEGLMRNFLLQANLTEKNMESLVSCDLEVNYNNMHKVLWEPFIEPWNFDIKLSRKFDANSLLNNAGLTEVIVASSNHLNLNITESLFECIFRIIEMSNTLELMETDVIPDDKGLSVYCTKSTRTERYSPYVFQNLTSLPLGYQVFQGHDSDVLNMSAPVAQNFVQPGSSVPIYIDNSDTLLIPDRRRSQFGCFSSESGDAIHHYMKVQLDGTSFASPPHSMDRIGLSYFEVDFSKTSNSSDNVEKASKSGSGSSFVVPVVYEVSLQQQSKLIRVYSTVIILNSTSMPLELRFDIPFGISPKTLCLTWLILTPSFILLMSILFQILDPIFPGQEFPLPLHLAKSGRLRWRPLGDSYLWSEAHSISKVLSQDSRIGFRRSFACYPCHPSHEPFRCCISVQSTSLPASFHLNDLPDGNFGQQLHDLDQSREQVIHQVTLSTPFVVSNCLPEPISLSIESGGITQTASLPEGETPFHHIDPSHDLVLEFKLNGYRSSSLKFSRSETFSTAAKFSGGKFSQIETISFDSYVGGGSVYVSCEKTMDATCGAREVFIFVPFLLYNCTGTPLIVSDCTNETKAMYSVIPSCYNLIEQHFVQSQKVGLGILTSEKDLLDKVLMEDIPSSPSSSECSNTASSTERFLDRHATQSTRQVPFVAYPKDSPIVRKRSLSSKSLREVCFQGNESGKVKACIYSPCPISRASDTMIRVKRDLPEWDNSSSPYPLWSAPFPLVPPSGSTNVIVPQPSPGESSLLSVTCSILGGALAGRTQAITFQPRYVICNSCSHNLCYKQKGTNLVSHLAVGQHCQLQWTDTARELLVSIRLNEPGWQWSGSFLPDHLGDTQLKIWNYVNKAFNMVRVEVQNANMSSGDEKIVGSVHGHVGTNFILLSDDDMGYMPYRIDNFSNERLRVYQQKCETFDTIVHPYTSCPYAWDEPCYPHRLTIEVPGDRVIGSYAFEITKQPIAVHLRSTSEKPERTLLLSICAEGATKVFSVVDSGYHTMKDIKETFDSRFHVKGKQKLQTDNVIRYTERFLLVLPSIGISLVNSHPQELVYACASNVVLELSQSVDQQKLSFQISSLQIDNPLHNSSYPVILSFNHDHKGIPPDWGVKNKKAILLSETVQQVRGNSRDAVVYVGLAKWRKKDVSLVSFEYINIRIGEFGLELELQTLLSLLEFVKAVLPNSQARLLPLSDPTLRPLIYDTGSKDISSEDTPHARNIPVFNKSQRSIVALPIVVPIGAPWQHIHLLARRHRKIYVESFDLAPIQFTLSFCSAPWMLRNGILTSGESLIHRGLMALADVEGARIHLKQLTIAHQITSWESFQEILVGHYTRQILHEIYKVFGSAGVIGNPMGFARNVAFGIKDFLSAPSRSISKSPAGIIQGMAHGTTSLFSSTVYALSDAATQFSKAAHKGIVAFTFNDHDVARMEKQQLGEGSRSKGVIGEVFEGLTGLLQSPIRGAEKHGLPGVISGVAMGITGLVARPTASILEVTGKTAQSIRNRSRIHNIRSQRHRLRLPRPLSREQPLRPYSWEEAVGTAVLMEVGDSLKFKGEKLVKCKALKQEGAFVVITGRLVLVLSSPSLVDFRKQGFLGVPIDLVWNIEREIGLESVIHTDCSGGVVRIIGSNSDGVWNWRQDQQKKSSPTKKRWNNSSAQPLLQTNLELPSEEEAEDLLSVLLSTIETGKSRSWHSRFVLSRSNIS >scaffold_602574.1 pep chromosome:v.1.0:6:10879686:10883378:-1 gene:scaffold_602574.1 transcript:scaffold_602574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPERRKAIALFMAFGTKGDVYPLAAIAAAFARVQQHYSVVLMSHLAHENLSSHLNKANVSYLPINSPPALSSEPQGTQNAAGQYSDFKKLFLEEKERIKREHREECHSAFKSIFGKGPCMEGDFVVINFFALEGWSLAELFQIPCVVAAPYVVPYSPPSGFERQFRKELPDLYKYLKEAPIGKVSWSDVTHWMWPLFTEEWGSWRYEELNLSCYPFADPVTDLPIWRSRPPSPLVLYGFSKEIVECPDYWPLSVRVCGFWFLPNEWQFSCNKCGDNPFTGRLGTDDSHTCSNHSELYTFISSCEPELPIFVGLSSVGSMGFVRDPIAFLRVLQSVIQITGYRFIIFTAGYGPLDAAIWTIANRSDSSEKQPLHAGISIFNGKLFCFPGMVPYKWMFQRCAAAIHHGGSGSVAAALQAGIPQIICPFMLDQFYWAEKMSWLGVAPQPLKRNHLLLEEPNDENIMEAAQVVAKAIYDALSAKSRACAMEIAEILSLEDGVTEAVRVLREEVCVSGSNRMFG >scaffold_602580.1 pep chromosome:v.1.0:6:10952382:10953453:1 gene:scaffold_602580.1 transcript:scaffold_602580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDITTKDCGAHHSHSSSCNRRCVIWPIIIILFLIFLVIFLVWAILHPSKPRFILRDATVVNFNVFGNPPHLTSSFKITLSSRNPNDKIGIYYDRLDVYASYGNQQITSPTAMPTTYQGHKEVKDWSPFVGGNSIHLAPYNALNLEQGTIMLMLHLNGRVRWKFGTFITGKYHLYVRCRAFINIGNSASGVKQQQHETSCMSLIFG >scaffold_602581.1 pep chromosome:v.1.0:6:10954810:10955312:1 gene:scaffold_602581.1 transcript:scaffold_602581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVELKVNLHCDKCIRKILKAIKKIEDIETYDVDTQLNKVTVTGNVTEEQVIRVL >scaffold_602583.1 pep chromosome:v.1.0:6:10961444:10962764:1 gene:scaffold_602583.1 transcript:scaffold_602583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHAAKDIGMKRSASELALQEYLTTSPLDPCFDLINRDTCELRDILLWSEGLIPAGTFRDAQSSICENLSADSPVSANKPEVREGVRRTVSGSSHVNSDEEDAETEAGQSEMTNDPNDLKRIRRMNSNRESAKRSRRRKQEYLVDLETQVDSLKGDNSTLYKQLIDATQQFRSAGTNNRVLKSDVETLRVKVKLAEDLVARGSLTSSLNQLLQTHLRPPSHSINSLHYTGNTSPAITVHSDQSLFPGMTLSGQNSSSGLGNVSSEAVSCVSDIWP >scaffold_602584.1 pep chromosome:v.1.0:6:10963144:10968848:-1 gene:scaffold_602584.1 transcript:scaffold_602584.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 family protein [Source:UniProtKB/TrEMBL;Acc:D7M390] MRNSRFRGINSGSFQNNRWWSLVVDSLLSFFVRVSEIIKRLCNKFVSMGLGNIYRRRMKVFSVAILIYLDYKGVQQKEKWIKNSKVPALWDKAHDRNAKRVLNLIVELEGLWVKLGQYLSTRADVLPQAYISLLTQLQDSLPPRPLQEVCRTIERELGHSMDVLFTDFVDEPLATASIAQVHRATLANGQDVVVKVQHDGIRAIILEDLKNAKSIVDWIAWAEPQYNFNPMIDEWCKEAPRELDFNIEAENTRAVSKNLGCKKTYDEVRSDNRVDVLIPDIIQSSESVLILEYMDGIRLNDVESLDAFGVDKQKIVEEITRAYAHQIFVDGFFNGDPHPGNFLVSKEPQHLPILLDFGLTKKISHSLKQALAKMFLASAEGDQVALLSAFAEMGLKLRLDMPDQAMSVAGLFFRSSTPSNEAMKTLKTLNDQRVQNMKVIQEKMQLNQKEVKRFNPIDAFPGDIVIFARVINLLRGLSSTMNVRIVYLDIMRPFAESVLLGSISRGPTVDAHWIHESPIHSDVESKVRKLLAELGSIQKILGIQVCAYKDGKVIIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGVTAGMIHWLVDKRKLQLDQTVANIWPGFGSNGKDTIKVNHVLNHTSGMHNAFDPVGENPLLICDWDECLKRIANSSPETEPGNQQFYHYLTFGWLCGGILEYASGKKFQEILEESIVKPLKIDGELYIGIPPGVESRLATLTLDTDEMSKLSSIASQPELPSTFQPDKILQLATNLPVLFNTLNVRRAIIPAANGHCSARALARYYATLADGGLVPPPHSSLSQPPLGSHTHVPKFTSLKDTTKKRKSKEMAATEKRKSKDHQERRLYDGKQFTSAGSSGESNTESLARLVDTSSYAGKTEINSDDHQHDIHNLFSNPSIHDAFMGAGDYSGLVVPDGKFGLGFKRVISQDGSLVGFGHSGMGGSTGFCDIKNRFSIAVTLNKMSMGGVTAKIVKLVCSELNIPLPKDFSLSTDTGPDSQMGTPLIN >scaffold_602590.1 pep chromosome:v.1.0:6:11007919:11008290:1 gene:scaffold_602590.1 transcript:scaffold_602590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVIRLVENPNQSGSDTHSRRKVMVYLPTGEVVSSYSTLEQILQSLGWERYFGGDTDLLQFHKRSSIDLISLPRDFTKFNSVYMYDIVVKNPNYFHVRDSH >scaffold_602592.1 pep chromosome:v.1.0:6:11021600:11021878:-1 gene:scaffold_602592.1 transcript:scaffold_602592.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3S7] MDQKEERTKKKFFFFKGKERETCISRKRKKSPWKKIRVFYKMRIDYLCDCKTELKSRNFLGGNGGGGHQSPNKFKIKN >scaffold_602594.1 pep chromosome:v.1.0:6:11037695:11038276:-1 gene:scaffold_602594.1 transcript:scaffold_602594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEDSLPSKRGLSNHYKGKSKSFGNLGEIGSVKEVAKQENPLNKRRRLQICNKLARKSFYSWQNPKSMPLLPVNEDEDDDDDDDDEEDLKSGFDENKSSSDEEGGGRVKKVVARKGSFKSRAYKSRSCFALSDLIEEEDDDDDDDDQ >scaffold_602595.1 pep chromosome:v.1.0:6:11039520:11041048:-1 gene:scaffold_602595.1 transcript:scaffold_602595.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3T0] MVLDPPGVKISPIWSGSSSSILHPESGPLVSPSIDLAGLLWFELWRSLKQIRAIRLEGAKTVMTSEEASDGRCIKDCRQSKKLLVRIKQTQVIDSSKIYLLIIDGVENDDESNGQKEFYHDQRHLVLGVISQIIKNVAYAHVCVELALALGGYVSTKAAGHALVF >scaffold_602602.1 pep chromosome:v.1.0:6:11079572:11080503:1 gene:scaffold_602602.1 transcript:scaffold_602602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTKSTTDNDNKNSKPAKTESITTVPEWITKTINGGSFRHVDLETGTNGWASPPGNVFSLRSHNYFTTKQKSPGGDYLLSLAAVDWLKSTTNKLDHILSRPDNRVIHALKTSHSRSFIFAVNFQIPGKEHYNFVFYFATQKPIPSDSLLHKFINVDDSSFRDERFKIISNVVKGPWVVKAAAGKFGAFVVGKSVKCSYYRGVDYFEVDVDISSSPILTALVRLMLGYVTSLMVDVCFVVEAQTEEELPERLIGGARICHMELSSAFVVDDDDDDDDEKKRRRRMMGVAEENDDGR >scaffold_602603.1 pep chromosome:v.1.0:6:11081346:11082522:-1 gene:scaffold_602603.1 transcript:scaffold_602603.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein [Source:UniProtKB/TrEMBL;Acc:D7M3T7] MDVHESRSLSRYSNEQKILVVGEGEFSFSLSLAKAFGSATNITAISLDIREELGRNYNNGKVNVEELERLGCTVVRGVNVHSMASDDRLAHYDIIIFNFPHAGKRDKVFGGFMESAREMMKDEDGEIHITLNTLPPFNKWDIKALAEEKGLRLIQRMQFTKWAFPTYSNKRGSGSNYDFIYPIGSAITYMFKK >scaffold_602605.1 pep chromosome:v.1.0:6:11091025:11097646:-1 gene:scaffold_602605.1 transcript:scaffold_602605.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M3T9] MSSFSITRKKTPFQKHREEEEARKKKAEDETARLYQEFVESFQGDNATTKTFVRGGTINPGDKPKVDSEGEKSKDGGSVSKKGSRYVPSFLPPPLASKGKEPEKKREEERPREREKGKTRNIDHFMEELKREQEMRERRNQDRDRQGDNSPSSRFDELPDDFDPSGRPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTDEEKRRQRNCGFVSFMNRADGQAAKDEMQGIIVYEYELKIGWGKAVSLPSQALPAPPPGHMAIRSKEGCNLVFSGQTGPPIITSVPNQNSELVLTPNVPDITVVTPEDEHLRHVIDTLALYVLDGECAFEQAIMERGRGNPLFKFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPYIMITGSGRWIPPPLPVTRTQEHEKESASTYAAGRTRRAEVERTLTDPQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEVVEVLTESLTLKETSIPTKVARLMLVSDILHNSSARVKNASAYRTKFEATLPDIMESFNDLYRSITGRITAEALKERVLKVLQVWADWSLFSDAYIHGLRSTFLRSGVSGVTSFHSICGDAPEIENKSYADNMSDIGKINPDAALAIGKGAARQELMNLPIAELERRCRQNGLSLVGGRVMMVARLLSLEDTEKQRGYEAVDEISKHPQNHSTWEEVKSEREHIKNSYAEVEMKEPINLATTIPIPQPELKAFVGKEKNDLILPASKWARDDDEADDEQKRSSSSGSDNTGGITFKADDEDLKGNDCVRAQPDNGMDEEQRQKRRRIEVALIEYRETLEEEGMKNAEEIERKVEINRKRLEVDYGLSKSNEGNRNQKSIIERKETREGSQESSKKRHRGENQSQSPPRKSSTRERERDHDLERDRDRERHRDRDRQHDLNRDRDRREKSSSHDRDDHDRSKERDRDWRRRGTR >scaffold_602609.1 pep chromosome:v.1.0:6:11108265:11110847:-1 gene:scaffold_602609.1 transcript:scaffold_602609.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:D7M3U3] MEFYRCCRRLQILGSVILLLFIHGAYSFYLPGVAPQDFEKGDELKVKVNKLTSIKTQLPYSYYSLPFCRPKKIVDSTENLGEVLRGDRIENAPYSFKMREAQMCNILGRVTLDAKSAKAFKEKIDDEYRVNMILDNLPLVVPIERIDPGQGSPSVVYQLGYHVGLKGQYEGSKEQKYFMHNHLAFTVRYHRDMQTDAARIVGFEVKPYSVKHEYEGQWSEKTRLTTCDPHTKRLVVSSATPQEVENKKEIIFTYDVDFQESEVKWASRWDAYLLMSDNQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNELETQEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQCLGMVLVTMIFAMLGFLSPSNRGGLMTAMLLLWVFMGLFAGYASSRLYKMFKGTEWKRIAFRTAFLFPAVVSAIFFVLNALIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGAYLGFKKPPLDDPVKTNKIPRQIPEQAWYMNPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFVILMVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSAVYLFLYAAFYFFTKLQITKLVSAMLYFGYMLIASYAFFVLTGTIGFYACLWFTRLIYSSVKID >scaffold_602615.1 pep chromosome:v.1.0:6:11145547:11146577:-1 gene:scaffold_602615.1 transcript:scaffold_602615.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M3U8] MAIHWISTTVSFSPLLESVISAISVILIGIIFESTVSGKHYSSPRVIFIILSMGWCVFVLILGVSQPKIEWRYIYRIFIILLLMEENWYRRLFKMTLGIAGDMKNLEPKDCTFDMDLMEERHGFWHYGLSMEVMEEKCSKEIKAYANFTENFFETWALLLAFDLNEKGNIAEALEELVTFMEKLKPILRTFGSKEICKIITKNLTGKKLCGYVGENYRVRIFHYKDLGTEVLVPLCLRFRITYTHVGLKAAVCKNKVQPSDAAFKSYQRKANMKLDNNRSYDRLASKAILRKASSNSLLLYFSQYIAHTSAYVIICVTVILHNIFVITL >scaffold_602617.1 pep chromosome:v.1.0:6:11164928:11165667:1 gene:scaffold_602617.1 transcript:scaffold_602617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASIVSSSTAFPYQDSLNQSIKYEEKDVHDPSQELNLIDCIDDTASIVNGSTTSTEQKLFSCNYCQRTFYSSQALGGHQNAHKRERTLAKKGQRMAASASAFGHPYGFSPLPFHGLYNNNRSLGIQAHSMSHKLSSYSGFGGHYGQVNWSRLPFDQQPAIGKLPSMENFHHHHQMMMAPSVNSRTNNIDRPSNTGRILEGSPTLEQWHGDKVLLSSNHHEEQQKLDLSLKL >scaffold_602618.1 pep chromosome:v.1.0:6:11172437:11173665:1 gene:scaffold_602618.1 transcript:scaffold_602618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRMVVVTGRKKKPGSVPVYLNVYDLTPINGYAYWLGLGIYHSGVEVHGVEYGFGAHEHSTTGIFEVEPKQCPGFTFRKCILIGRTDLDPENVRAFMEKLAEEYSGNTYHLITKNCNHFCNDVCVQLTRRSIPSWVNRLARFGLFCNCVLPAELNETKVRQVKSKEEKIPEAEKKKLRSRSSRFPPDPSLSSSGSLNRSRRGERRRQCLPPSPTVSV >scaffold_602621.1 pep chromosome:v.1.0:6:11198018:11198337:-1 gene:scaffold_602621.1 transcript:scaffold_602621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPTSLSLLTVTIISSNALSVNDYSTNRVITCTNLLPSFGLQALMDFLSNCFSYLCAISALTFVRLSYFVLSLFILVTLATLYFVSFE >scaffold_602628.1 pep chromosome:v.1.0:6:11243144:11245251:-1 gene:scaffold_602628.1 transcript:scaffold_602628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGTLFYPLLITLSVALITYNIIISANAPLKQGFPGRSSSSDISIDPVIELPRGGGSRNRNNGKRTRLFHTAVTASDSVYNTWQCRVMYYWFKKVQASAGPGSEMGGFTRILHSGKPDQYMDEIPTFVAQPLPSGMDQGYVVLNRPWAFVQWLQQTDIKEDYILMSEPDHIIVKPIPNLAKDGLGAAFPFFYIEPKKYEKVLRKYYPEERGPVTNIDPIGNSPVIVGKDALKKIAPTWMNVSLAMKKDPEADKAFGWVLEMYAYAVSSALHGVSNILHKDFMIQPPWDIEVGDKYIIHYTYGCDYDMKGKLTYGKIGQWRFDKRSYDSTPPPRNLTMPPPGVSQSVVTLVKMINEATANIPNWGS >scaffold_602630.1 pep chromosome:v.1.0:6:11258698:11259155:-1 gene:scaffold_602630.1 transcript:scaffold_602630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFWLNEACRYNRIEIQNHLPPGTILQVHCRSKNPNGDVGVKQLKDAIVPIIMEFQDKSIDHKKRAWMCRLSYISKKYKLEYYYDIQVYRAATFRRCGELRRWIAYKDGIYFTKHHDKPPGFVLPWMVRDPNNPPK >scaffold_602633.1 pep chromosome:v.1.0:6:11275689:11275998:1 gene:scaffold_602633.1 transcript:scaffold_602633.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M3W6] MVVVMKRDVIDGRKDEERVRDFGLMVEIDGEVKELRSFEEEEVVARDEIAIDAEKNLVFFGEFGRNFEESVRFCEKGEEAKKKKIVRRR >scaffold_602637.1 pep chromosome:v.1.0:6:11308917:11311869:1 gene:scaffold_602637.1 transcript:scaffold_602637.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTNSGRWSELPIDILRSVFERFSFVDFHRAKIVCSNWYSCSKQSLLRKTGSPWLILFLNDGGCAMYNPDEARIYRTKRGFSGIRFLANSGNWFLVLDSKSNIYIIDLFSEKKIDLPPLESMKGGLFSLEQVGDTAFKARLNNGSAYSFHNAEDLRGLLWVDEKKKEFVVVWFFDKGTEYLAFCKNGEDHYRDIPIRKNVGKELQGLYDMVLYGGDSLYISTTRQSIQKLNFFGQEGFIDVSNSEILPFRKISFYLPDGARFGNNIAVTISGEVLLVQNFFYEATRYRSFRLYKKDPNPDLNTIINNPYPMVEVYSLGDEALLLDLGITVSADGTLLGIARNSIYFTRHDRVRSGWKHSCLDICVFNLATKKLKRFPGLSNLKLTDARWLHVPADRNLGIEPNSIYFTRHDRACYHGREPSCPDICVYNLATKTLKRFPGLGLDLSNNLKLKDARWFLPS >scaffold_602638.1 pep chromosome:v.1.0:6:11312560:11312991:1 gene:scaffold_602638.1 transcript:scaffold_602638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEFQQTTAQSEFLDMNEEDCQIEINEKETKIQEHDQSDESQAKRKRRRLTSSVSLRGEANVKETDKSMETPNSSPCWSELPGDILRSVFKRLSFVDFQRAKIVCLSWYSSSPIFLCCFSPHFGDKC >scaffold_602647.1 pep chromosome:v.1.0:6:11351114:11352203:-1 gene:scaffold_602647.1 transcript:scaffold_602647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGSLSEGVIKNIIISYTYVAIWIFLSFTVIVYNKYILDKKMYDWPFPISLTMIHMSFCSTLAFLLIKVFKFVEPVSMSRDTYLRSVVPIGALYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKEGFKSETMINMLSISFGVAIAAYGEARFDVWGVILQLGAVAFEATRLVMIQILLTSKGITLNPITSLYYVAPCCLAFLFIPWIVVEFPILRDTSSFHFDYLIFGTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGIAFLGVAYYNHAKLQALKAKDAQKTAQQVDEETGRLLEEREGNEGGRKNEPDD >scaffold_602649.1 pep chromosome:v.1.0:6:11360653:11361856:-1 gene:scaffold_602649.1 transcript:scaffold_602649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLWSEIPMDILRSVFERLSFVDFHRAKIVCSNWYSCSKQTLPRKNTSPWLILFPEEDGNCALYNPEEARVYKTKRDLSRFRFLANSGKWFLVLDSKFNLYIIDLFSEKNIDLPPLESFKGNKYNLKRVGDKKIKEVRLEIGYTSFVILKAKYLRGLLWVDEKKEEYVVVWYFSDKYKIDYSAFCKNGEDHYHEIPTYFGISDMVLTSYGDSLYILNTSDYIEKLDLSGQEGFKDVSKNEAIFLRFRLPGLPRDFKFTYDAKGSNSIAVTSSGEVLLVMNIFSESTRRRIFFLYKDPGGSIYNKLVEVDSLGNEALLLDLGITVPADGDLGIEPNSIYFTRHDRVRYQKRSCPDICVFNLETKTLRRFPGLSNLKLTDARWFLPS >scaffold_602654.1 pep chromosome:v.1.0:6:11402009:11403086:1 gene:scaffold_602654.1 transcript:scaffold_602654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIKITLLHLLFVAISENATYDCVDIFKQPGLNHPLLQNNTIQIAGVRSQAGPFYGVEAWYDGFALNVGRYQISYSQIFIGSLLNNQENFIQAGYIINPGFFRTGQLWTYAFWKVCM >scaffold_602658.1 pep chromosome:v.1.0:6:11415907:11416802:-1 gene:scaffold_602658.1 transcript:scaffold_602658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDRNTLFIVSEYFPNGKLERHIYRVHINITKTPPKSLPWATRLKISIGVAQGLAFFHSRKNTGLYRGNLTPVKILLDSFKDTKFYQFCNYHIHAGMRIACDVYSFGVILLEILTGLEITRINLAKQVIRDDKVFMAEVIDLDLENIYPYEEGRPMYKIIKKCLKRHPYKRPLMQQVLDNLNAIAQI >scaffold_602662.1 pep chromosome:v.1.0:6:11428516:11430462:1 gene:scaffold_602662.1 transcript:scaffold_602662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTVVSFFLLFIATATASKSTVSFRDGMLPNGDFELGPKPSDMKGTEILNKLAIPNWEVTGFVEYIKSGHKQGDMLLVVPAGKFAVRLGNEASIKQRLKVVKGMYYSLTFSAARTCAQDERLNISVAPDSGVIPVQTVYSSSGWDLYAWAFQAESEVAEVVIHNPGVEEDPACGPLIDGVAMRSLYPPRPTNKNILKNGGFEEGPLVLPGATTGVLIPPFIEDDHSPLPGWMVESLKAVKYVDIEHFSVPQGRRAIELVAGKESAIAQVVRTIIGKTYVLSFAVGDANNACKGSMVVEAFAGKETLKVPYESQGTGGFKRASIRFVAVSSRSRIMFYSTFYAMRSDDFSSLCGPVIDDVKLISVRKP >scaffold_602667.1 pep chromosome:v.1.0:6:11446415:11447717:1 gene:scaffold_602667.1 transcript:scaffold_602667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVNGYHQIRRLLISDICFRANPSRFFQSIQVLYHSSVDSFEDTLPCEWYESKLTVLKKLSRALKDVDLVDGKLEDINGVVIYDDGIKKKMQAFKSLARMFVGSPSIQKKLREEGRFKFPFFGSESEREPLVVNSLTKVSNFLNVSAQQRKLVRCTVCSQVTQYRIWRGALEDILNGLKEEVDWLVEHREVSQGRVLGQQVILSCLRFLSESSVSFEAEKSTSWMRPVPARYAKANASAKWEDVLDMVNDLRRYLEHDEEITVLYHLEKLVSMKEGLLQIKDVFLDNTIGFREVRHQEHLVYRKLSKMLGSPSPCLFSLVMYFLYGRVRDIEVDLCGGFYKEKGEFLCLSMGRILTSTDEKMLERGMKQLDRALGLFEFVWETAGMKETLNLQGHLWCLGAEERSITYRGKTFFVHDLSL >scaffold_602671.1 pep chromosome:v.1.0:6:11457169:11457834:-1 gene:scaffold_602671.1 transcript:scaffold_602671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGSSTLNPYAAAYVPLSKREGSLADTKPATHHHVQQQQQHHYVAHGYGVQGMGSYPGSQMSPKKSSDMVYNHQLKDEDLEMDMDIEYLLVTFAGLSHESINDVYLANSCDLDATIEMLNQLEIYSTEAQEYLPDTLDIGDVPETITEPSTSTVSKPKNEANASSSSSSSGIHNAPVSSS >scaffold_602673.1 pep chromosome:v.1.0:6:11468635:11468958:1 gene:scaffold_602673.1 transcript:scaffold_602673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLMERMEEMGLPIKQYEWYIDLRRHGTVKHSGFGLGLERMLLFATGMDNIRDVIPFPRYPGRADL >scaffold_602674.1 pep chromosome:v.1.0:6:11470891:11472238:1 gene:scaffold_602674.1 transcript:scaffold_602674.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7M406] MRIYQPTLLSFTTVVLLYISAAVARGGSRQLLYTRDDPITIPPYLIFENVRLERAYVALQAWKRTMISDPWNLTANWFGSRVCDYNGVVCSSSLDDPLVKTVSGVDLNHGDIAGHLPEELGLLTDIALFHVNSNRFCGTLPVGFSQLSLLFELDLSNNRFAGKFPEVVIGLPKLKYLDLRYNEFEGQLPESLFDKELDALFLNSNRFVNKIPVNMGNSPVSVLVLASNRFEGCIPTSFGKMGKTLNEIILMDNGLQSCLPNDMGLLQNVTVLDVSYNWLVGELPKSMGQMENLEVLNVERNMLSGLIPEELCSLEKLREFRYGSNYFTGEPATCRYLENYNYTMNCLKDERDQRSMMECKMFLSKPVDCDSFKCSPVSSCFSPPPPSQISPSSQPLAPAPSPTQPPLSPPPPARPCPPPIYSPPPPPLSPAPSMN >scaffold_602678.1 pep chromosome:v.1.0:6:11494675:11497733:-1 gene:scaffold_602678.1 transcript:scaffold_602678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSRVDNEEAVARCKERRNVIKEAVSASKAFAAGHFAYAIALKNTGAALSDYGHGESDQKALDDVLDQQHYEKQSRNNVDPAAPQPPPPPPIENLPPPPPPLPKFSPSPIKRAISLPSMAVRGRKVQTLDAMAIEEEEEDEEEEEEVKGSGRGTARKEEEEPRTPENVGKINGRKRLETTTPEIVSASPANSMAWDYFFMVENMPGPNLDDREIRNGYENQSNHFQFNEEDDEEEEEERSGSRKVVEEMEPKTPEKVEEEEEDEEEDEEEEEEEEVVEVKKKKKGKAKIEHSNTAPPEFRRAVAKTTSAAASSSVNLMKILDEIDDRFLKASECAQEVSKMLEATRLHYHSNFADNRGYVDHSARVMRVITWNKSLRGISNGEGGKDDQESDEHETHATVLDKLLAWEKKLYDEVKQGELMKIEYQKKVSLLNRHKKRGASAETVEKTKAAVSHLHTRYIVDMQSMDSTVSEVNRLRDDQLYPRLVALVEGMAKMWTNMCIHHDTQLGIVGELKSLEISTSLRETTKQHHHQTRQFCTVLEEWHVQFDRLVTHQKQYINALNSWLKLNLIPIESSLREKVSSPPRPQRPPIQALLHSWHDRLEKLPDEVAKSAIFSFAEVIKTILLHQEDEMKLKEKCEETRREFIRKKQGFEDWYQKHLQKRGPTEEAEGGDDTTTSARDHVTERRIVVETLKKRLEEEEEAHQRHCVQVREKSLNSLKIRLPEIFRALSDYAHACADSYEKLRIISQSHKSNGGATESS >scaffold_602679.1 pep chromosome:v.1.0:6:11501637:11502847:-1 gene:scaffold_602679.1 transcript:scaffold_602679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLFKDSLSIAVYRRNRFHREWKNQLNSMNLSPIMVLIIVFVTVSGFAIPINKTLDSRGFFVGKIIKMWEHKDQRKNPRRVELLWFFKPSEIMLHLKGIQDVLVNELLLASRIGRGLTNENQLEAISGKCYVLLCTSEDIRNPQPSDEEIKSADFFFRRTFDVGTYKILDKIDDKIAGVDVKFIFNKISCEKQDSLKPKLPSTSGSDRQNSYKKEEECHKRLARKKFTFVEERSNKDYSRLDDDLTTLLVQGEMITKEKKRPRK >scaffold_602684.1 pep chromosome:v.1.0:6:11536188:11538875:-1 gene:scaffold_602684.1 transcript:scaffold_602684.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7M4J7] MDFCWKREMEGKLAHDHRGMTSPRRICVVTGPVIVGAGPSGLATAACLKERGITSVLLERSNCIASLWQLKTYDRLHLHLPKQFCELPILPFPADFPTYPTKQQFIEYLEDYARRFDIKPEFNQTVESAEFDENLGMWRVTSVGEEGTTEYVCRWLVAATGENAEPVVPRFEGMDKFAAAGVVKHTCHYKTGGDFAGKKVLIVGCGNSGMEVCLDLCNFGAQPSLVVRDAVHVLPREMLGTSTFGLSMFLLKWLPIRLVDRFLLVVSRFILGDTTLLGLNRPRLGPLELKNISGKTPVLDVGTLAKIKTGDIKVCSGIRRLKRHEVEFDDGKTERFDAIILATGYKSNVPSWLKENKMFSKKDGFPIQEFPEGWRGECGLYAVGFTKRGISGASMDAKRIAEDIHKCWKQDEQVKKI >scaffold_602687.1 pep chromosome:v.1.0:6:11552639:11553365:-1 gene:scaffold_602687.1 transcript:scaffold_602687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLHLHLQLYRHYLSLPHSSSLSSKLPSLHRCHVASSPTNSRLSINSTNSIVLRPLTVVRSRSSETDITPQFELEKPQKQANGIFLIILINLAIFMAQHFFQVRGIKSMYLYSDFPAWYQFVTATFCHANW >scaffold_602693.1 pep chromosome:v.1.0:6:11565870:11570514:-1 gene:scaffold_602693.1 transcript:scaffold_602693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEPYLGFASYLRDDGEQFRSMLDLNPQLREMMQNPDFLRQFSSPEMMQQMMTLQQSLLSQNRNTASHHKATVRLCLPGMSSSLVFSVMVGIWYKNIAQRTYVWVANRDNPLLDSNGSFGISETNLVIRDQVNALVWSTELRIQTSPVVAALLDNGNFVLRSSNHLEDLLWQSFDFPTDTLLPHMQLGLDPKSILTSWKSLDDPSSGDYKFKFETEISPKLSIWDKGGRLYDSGPWNGYKFNKLPPLFNLTRTRVGPTCLFIATYETSFSRLVMAYTGLLIQYTWNQSTTEWDWSWSLFNHICDLFNRCGSNAYCDVNVNTKQFCNCIEGFELRNSTNMTDGCTRKTPLKCGADKFIPLVQMSFPYTENTSFPGTSDIQECQDICVVRCKCTAFSITHNLLSGSLECVTWTGELLDLRRNSNESQKIYIKLDGKKKNNNKGKIIGLGVSIPTVIVLFSVAGFCFWKRKQNLATSSRTTLDNFSDSNEIGHGGFGKVYKVRIMLWNTLK >scaffold_602694.1 pep chromosome:v.1.0:6:11574106:11575001:1 gene:scaffold_602694.1 transcript:scaffold_602694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHREKVADPDIQLVCDDTNIFKWTALIKGPSETPYEGGVFQLAFAVPEPYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDVRGFNSMARMYTRLAAMPKKG >scaffold_602695.1 pep chromosome:v.1.0:6:11575102:11576096:-1 gene:scaffold_602695.1 transcript:scaffold_602695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAWFAAAANTRYSVAVPLIGVQVWNRIAPGLASKFDSPYSLPVIAPRPLYILNGAKDPRCPLGGLEVPLKRAEKAYKETASPENFKFKAEDGVGHEVTSFMIKESSDWFDKFLKEEDMTCD >scaffold_602697.1 pep chromosome:v.1.0:6:11580338:11580609:-1 gene:scaffold_602697.1 transcript:scaffold_602697.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4K7] MVEYANPITTFLEKVAKQETSSERKVKPRRSEKIKEKAEYEVLIPHFMMAEPTVEEQDMDSDDGNIYEERREHKTP >scaffold_602708.1 pep chromosome:v.1.0:6:11667265:11667828:-1 gene:scaffold_602708.1 transcript:scaffold_602708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQRTISDISHQISIDNTLTKEPSPTATATTLLSLSTISEVEDAKCECCGMSEECTPEYIHRVRSKFLGKLICGLCEKAVEEEMEKMINSDVVVEKRREEAVKAHMSACSRFNRLGRSYPVLYQAEAVKEMLKKRSKKMVGVTKPEKGGLARSSSCMPALAKELKDRTLVN >scaffold_602709.1 pep chromosome:v.1.0:6:11671727:11673096:1 gene:scaffold_602709.1 transcript:scaffold_602709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLNYVRFPNVATFERLVASCPVLEDLKIDVLWNDGRVYRVQSRSLKRLRLLRSSSMVFDSVPGVVIDAPLLCYLRISDSVSETYIVNNLESNAKLDIHLDFGSEDFGETSVSWRSFLPAISEVMDLTICENNFKMGSEEMNQISFLLGMTRIVDMTIFMYTSKVFLGSEKINQLSFSSVNPKCLLSSLQFVELDAQIMGFDDELLNLAKYFLENSSILQKITVHRNRHGSTYEGLINKFRRRSRICQVILI >scaffold_602713.1 pep chromosome:v.1.0:6:11695263:11697640:1 gene:scaffold_602713.1 transcript:scaffold_602713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSSLPSVPVVPGFPVIGNLLQLKEKKPHKTFTRWSEIYGPIYSIKMGSSSLIVLNSTETAKEAMVTRFSSISTRKLSNALTVLTCNKSMVATSDYDDFHKLVKRCILNGLLGANAQKRKRHYRDALIENVSSKLHAHARDQPQEPVNFRAIFEHELFGVALKQAFGKDVESIYVTELGVTLSKDEIFKVLVHDMMEGAIDVDWRDFFPYLKWIPNKSFESRIQEKHKRRLAVMNALIQDRLKQNDSESDDDCYLNFLMSEAKTLTMEQIAILVWETIIETADTTLVTTEWAMYELAKHPSVQDRLCKEIQNICGGETIKEEQLSQVPYLNGVFHETLRKYSPAPLVPIRYAHEDTQIGGYHVPAGSEIAINIYGCNMDKKRWERPEEWWPERFLDEKYESSDLHKTMAFGAGKRVCAGALQASLMAGIAIGRLVQEFEWKLRDGEEENVDTYGLTSQKLYPLMAIINPRRS >scaffold_602716.1 pep chromosome:v.1.0:6:11731815:11732467:1 gene:scaffold_602716.1 transcript:scaffold_602716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQEASLVQNLDVWIAINIYGCNMDKKRWERPEDWWPERFLDNSKYESSDLHKTMAFGAGKRVCAGALQASLMAGIAIGRLVQEFEWKLRDGEEENVDTYEKFIFEEIV >scaffold_602718.1 pep chromosome:v.1.0:6:11748912:11749950:-1 gene:scaffold_602718.1 transcript:scaffold_602718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRSEMGNRRQQNLFLIASPDEIDKIRRAETSSQAGAIAGAKAAAVAAVASAIPTVAAVRVFPWAKANLNYTAQALIISAASIAAFFITADKTILQGARRNTEAQLKKAQQDSK >scaffold_602719.1 pep chromosome:v.1.0:6:11750635:11751235:-1 gene:scaffold_602719.1 transcript:scaffold_602719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWKVCINGNWWLLSEDIVVGYWPGTLLKDLRHSVTAVQWGGEVYSLKVRNKTHRNFNGVESGHQKSMAKPVSYKIMYSWFGEPDNIVSHTVHSYRRRRVA >scaffold_602723.1 pep chromosome:v.1.0:6:11765564:11766909:1 gene:scaffold_602723.1 transcript:scaffold_602723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRVIQLEEGKDIVVTGGRAGLNKAFPFKLLLLLGFFLAFTVVLFFISVSTFKYYGINSVVTSVTSSFVPCHEKRNDLHKWIKPPMVLMHNMSDEELLWRASFMPKRKEYPFNRVPKIAFMFLTMGPLPLAPLWERLLKGHEKHYSVYIHSTVSSSAKFPASSVFYRRHIPSQVAEWGRMTMCDAERRLLANALLDISNEWFVLLSESCIPLFNFTTIYTYITKSKHSFMGSFDDPSPYGRGRYHGNMAPEVSINQWRKGSQWFEVNRELAVSIVKDTLYYPKFKQFCKPACYVDEHYFPTMLTIEKPAALANRSVTWVDWSRGGAHPATFGAQDISEEFFAWVLKGDNCTYNGGYTSMCYLFARKFSPSALEPLIQIAPKILSF >scaffold_602727.1 pep chromosome:v.1.0:6:11805077:11805267:-1 gene:scaffold_602727.1 transcript:scaffold_602727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRLADFRYSIGIFEKYTVLKPQPLILA >scaffold_602732.1 pep chromosome:v.1.0:6:11829270:11829792:-1 gene:scaffold_602732.1 transcript:scaffold_602732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDLGWCFFVATVVVVAALLPTLTMAGRLELKNEISGVASVRKAKLAVRCWSNEDDLGWDMIKPKQSRVWTFTTMNMWPFQKTEFRCQFRSGFGTTNEDVVTVFSVKGGFRKECGVGGDECFWVGKRDGLYLRRIVKDGGGDSRKKYVDVLKSKWVWKW >scaffold_602733.1 pep chromosome:v.1.0:6:11832568:11833072:-1 gene:scaffold_602733.1 transcript:scaffold_602733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNKIPKTLNGNFVLILIITIMMVTHSHGFRLEIKNELSGRYRKLVYKCWSRDNDFGWRQNWPGQYKDWSFAISLFHTFFHCHFRTGYGRVDKKLVVSWDLKDRCGDRTKCTWVVKKDGLYLRRWKTIFFSNKYGNERWNDYIPHDVLENRWS >scaffold_602737.1 pep chromosome:v.1.0:6:11845430:11846309:1 gene:scaffold_602737.1 transcript:scaffold_602737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENGSAFMFEERSINKMCVLVDTQDVGNNCKVTAFIGGIDLCDGRYDTPDLETVFKDDFHNPTFPLGRIMTKRSSSSNLFFYELQSDDFKVKVMADGT >scaffold_602738.1 pep chromosome:v.1.0:6:11855895:11856172:-1 gene:scaffold_602738.1 transcript:scaffold_602738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTSVLHCAVVKNDVIGPVEELINEEEESPAIYGNFTYVEKFWGTTFATESCIDSFKASTT >scaffold_602739.1 pep chromosome:v.1.0:6:11859377:11859733:-1 gene:scaffold_602739.1 transcript:scaffold_602739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRFGGRASQDNGTVCFGSSTTVGSDLSAHCFPSYICKYSIRKDGVYYKHENKFFPWRQFPRSAKGRSSSKVSLRNILKN >scaffold_602746.1 pep chromosome:v.1.0:6:11905138:11907156:1 gene:scaffold_602746.1 transcript:scaffold_602746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIERFGPIFLLFLTIILSISSISCETILFTKQAALFVFGDSVFDVGNNNYINTFRAAQANVWPYGQTTFKFPTGRNSDGRLIPDFIAEYAWLPLIPPYLQPGNSVSQFTYGVNFASAGAGALVETYKPQNVIPLGSQLNNFKNVEKMFKEKLGEAETKRIISRAVYLIQIGPNDYFYPFSVNVSYFQSNSKDRFVDYVIGNTTTVIEEIYKIGGRKFGIMNMGRLDCVPGLLTLDPRRIGSCFEPITELIKLHNIRIPNVLRDIQRRFPEFKYSLFDSYSAGTEAMENPTKYGFKEVKKACCGSGPFRGSSTCGYRAGTSREFELCENVSDYMFFDGSHTSEKANQQTAELMWDGPSDLVGPFTLKTLFQNL >scaffold_602748.1 pep chromosome:v.1.0:6:11911104:11911353:1 gene:scaffold_602748.1 transcript:scaffold_602748.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4Q1] MTHTHQTNETTSHNHHHKGEKDITCQLMPSCVHYRILLPLALLLAPFTSATDPSCLMHFNSHLPARQPS >scaffold_602751.1 pep chromosome:v.1.0:6:11927104:11928181:1 gene:scaffold_602751.1 transcript:scaffold_602751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGALMEEKRRATTSSSSSSSQVHMSNDIDWQMLDKSRFFFLGAALFSGVSTALYPIVVLKTRQQVSPTRVSCANISLAIARLEGLRGFYKGFGTSLLGTIPARALYMTALEITKSSVGQATVSLGLSDTTALAVANGAAGLTSAVAAQVVWTPIDVVSQRLMVQGDLSLSKHIPGVMNSCRYRNGFDAFRKILYTDGPRGFYRGFGISILTYAPSNAVWWASYSLAQKSIWSRYKHSYNHKEDAGGSVVVQALSAATASGCSALVTMPVDTIKTRLQVLDTEENGRRRAMTVMQSVKSLMKEGGFRACYRGLGPRWVSMSMSATTMITTYEFLKCLATKKQK >scaffold_602752.1 pep chromosome:v.1.0:6:11928458:11930961:-1 gene:scaffold_602752.1 transcript:scaffold_602752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGAYNPRTVEEVFRDFKGRRAGMIKALTTDVQEFFRLCDPEKENLCLYGHPNEHWEVNLPAEEVPPELPEPVLGINFARDGMAEKDWLSLVAVHSDAWLLAVAFFFGARFGFDKADRKRLFNMVNDLPTIFEVVAGTAKKQGKDKSSVSNNSSNRSKSSSKRGSESRARFSKPVPKDEDEEEEEEGVEEEDDDEQGETQCGACGESYAADEFWICCDLCEMWFHGKCVKITPARAEHIKQYKCPSCSNKRARS >scaffold_602753.1 pep chromosome:v.1.0:6:11946821:11948276:-1 gene:scaffold_602753.1 transcript:scaffold_602753.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase [Source:UniProtKB/TrEMBL;Acc:D7M4Q6] MVLWVFGYGSLIWNPGFDFDEKIIGYIKDYKRVFDLACIDHRGTPEHPARTCTLEQSTGAICWGAAYCVRGGPEKEKLAMEYLDRRECEYDSKTLVEFYTENDSSTPTPIVTGVIVFTSTPDKVSNKYYLGPAPLEEMARQIATATGPCGNNREYLFKLEKAMYDIEHEEEYVIELANEVRKQLDLPEEVKALLKPVVSRVPVKSQAHVSTRQRVFAS >scaffold_602754.1 pep chromosome:v.1.0:6:11949012:11952154:1 gene:scaffold_602754.1 transcript:scaffold_602754.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4Q7] MASTSPESGPSAPTDELHTFLSRTVIRLRKCKSFHVSNILAVEYDPKLLVMYPELPDPAFHVTPHSDPQSSYLESLISSGYPFSHMCWSGGDASLPPLISCRKRKAVVIASESSTSGPEEVISRKSRSMNSRPNTYRCCVDKRVNKQLRLIKESILVEMYQLLQTNIHAPAACNLQVRPRRTNLSTVSGFSVEASSDRSTSDTSSNQIPNEASAETVAISVGSDDRISSPRSTASKFPRASSSNYNGSGSPDSLSTTLCLAPDVDSLLPINSVDGSNSMKGKCTQKISRRISFLHEATAATAPATPLSSQQTPCTGTNNRPNLLGLGSVCQSSKASLTNRSSSPKRSNFVDCFTRPSAAMDRLQFTPTVANATSESPVPVSGIENPSICGCNL >scaffold_602755.1 pep chromosome:v.1.0:6:11952421:11953084:1 gene:scaffold_602755.1 transcript:scaffold_602755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLDFNIALDIATRVGESSFTDLGGMLSTSKFFNKLVYNPAVLSRVSLLPFLSNANLINVDSPFRHFFYHCLQAKNPNACYLESLRLAAKDGLAEVGLDLVGRIGSFPPHALFTKGLLTLCFGDFASAISIIDNFVETVGSFREADAVASQVFRHIMQIGPVKIRSHADTWNYVQFPHCLHTGCRIDKRCRRCFFYWFSVMYLLLC >scaffold_602758.1 pep chromosome:v.1.0:6:11960922:11961143:-1 gene:scaffold_602758.1 transcript:scaffold_602758.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4Q9] MQTSFRATTCGEASRSSSRTSFVLVPDISTRSLIVCLFKTSRKQSGILLIIPFYKDFFG >scaffold_602760.1 pep chromosome:v.1.0:6:11978681:11983672:1 gene:scaffold_602760.1 transcript:scaffold_602760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:D7M4R1] MLSNHLQNGIESDNLIWSRVPESDDPSTDGVTLLNSRRDGDGGVNSLDYEVIENYAYREELAHRGKLYVGYYVAVKWFFSLLIGIGTGLAAVFINLSVENFAGWKFALTFAIIQKSYFAGFIVYLLINLVLVFSSAYIITQFAPAAAGSGIPEIKGYLNGIDIPGTLLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLNSRWPQLFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAIVAVVVRTAMGWCKSGICGHFGGGGFIIWDVSDGQDDYYFKELLPMAVIGVIGGLLGALFNQLTLYMTSWRRNSLHKKGNRVKIIEACIISCITSAISFGLPLLRKCSPCPESVPDSGIECPRPPGMYGNYVNFYCKTDNEYNDLATIFFNTQDDAIRNLFSAKTMREFSAQSLLTFLAMFYTLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVRFYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEVQARLKGIPLLESRPKYHLRQMIAKEACQSQKVISLPRVIRVGDVASILGSNKHNGFPVIDHTRSGETLVIGLVLRSHLLVLLQSKVDFQHSPLPCDPSARHIRHSFSEFAKPVSSKGLCIEDIHLTSDDLEMYIDLAPFLNPSPYVVPEDMSLTKVYNLFRQLGLRHLFVVPRPSRVIGLITRKDLLIEENGESSAVELQQSTSVRGRYSETVTRMDAARPLLDDLLG >scaffold_602762.1 pep chromosome:v.1.0:6:11997155:11999038:1 gene:scaffold_602762.1 transcript:scaffold_602762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQKWSLGLLSLATEGRGIEYNSSYSLTTNLGLTRVWRDEPPADKILSITSFSIIRSIMAPYVSSVFEAAGYKWRLVLYTNGKQDDGGKDHVSLYARIVETESLPIGWEVNVDLKLFVYNGKLNKYLIVTDGLVKRYNNATKELGFGQLIPQSTYYDGNDGFREQDTGTFGAEISIVNRSNLKEKVTFISNPPNNVFTWKILHFSTLEDKIYKSDEFLVGDRYWKLGFNPKGGLVPIYLYAQGFKANAVEATTYGAANLRLKNQRNTNHITSFTEYWYLVLSGYGLGVNTIPLADVKDASKGYLVNDAIIIEAEMLTVSVTNLVSV >scaffold_602771.1 pep chromosome:v.1.0:6:12047420:12047736:1 gene:scaffold_602771.1 transcript:scaffold_602771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANMWKMMMMVALIMIGCGLQACNGMNVGKSNPTMFKGFACFRRCSITCSVNQNQNQNKNRCYKKCLKKCGLVWVSKPKPASSSSTPPTS >scaffold_602772.1 pep chromosome:v.1.0:6:12049398:12049687:1 gene:scaffold_602772.1 transcript:scaffold_602772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNVCKMMMLMALIMMACGLQACNGTNFDEFKPDSRFVCFKNCSISCGKQNKPCYQDCLTKCGLPQRPSRSTPSSSPTAA >scaffold_602781.1 pep chromosome:v.1.0:6:12100732:12100974:1 gene:scaffold_602781.1 transcript:scaffold_602781.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5B0] MAGSGVRGINLVFYSGSSYTYFNAEAYQEILDLVRNNFFSEKMEDLVSPVVFYYIFMTNLVSTYLL >scaffold_602788.1 pep chromosome:v.1.0:6:12147149:12147380:-1 gene:scaffold_602788.1 transcript:scaffold_602788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNKVEISQLEMLPRDLLGIIVSKVASTSAEDYHNCKELGASANDERVLKTLDFAPLVKKPL >scaffold_602789.1 pep chromosome:v.1.0:6:12151768:12152490:-1 gene:scaffold_602789.1 transcript:scaffold_602789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLEIKGGEAIARADTAAGLRKKELVSIHQFHEFIFKADEHTEDADFFYNAEVLSVLQKNVWSYVSCTGCSQKVDKVGNALRCNKFVSPSVTGVVRYRVEIAVHDSHDEATFVVFDNQMTKLTTRTAATLILEDGNGGTRDE >scaffold_602793.1 pep chromosome:v.1.0:6:12221990:12222285:-1 gene:scaffold_602793.1 transcript:scaffold_602793.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5C4] MATSGGYSSGGCATSGAFLSAGLAISGGFLSAGLATSNGHFSGGNATSGGYLSGVSATSGGYLSGVSATSAALRGFTFRRHKKI >scaffold_602796.1 pep chromosome:v.1.0:6:12245351:12246461:-1 gene:scaffold_602796.1 transcript:scaffold_602796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGKYYCDYCDKEFQDTQVARKRHLQSKPHLRAKALWYSSSSSDLINPQVSNFATKGLCNRFITSNFCPFGDSCRYFHPKNNPGSTGFANNTQLHVDMLNQHIEGSTLNRDYVSGRPGAGWFDLPPSLKPPPEQGYPQLPFIDWG >scaffold_602798.1 pep chromosome:v.1.0:6:12252521:12252719:-1 gene:scaffold_602798.1 transcript:scaffold_602798.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5C9] MAFEAFTVKARSEHLLPALHLRHHSPTSLDESAVFAHGAGREPRAKVLREQR >scaffold_602801.1 pep chromosome:v.1.0:6:12261914:12262639:1 gene:scaffold_602801.1 transcript:scaffold_602801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASPAHTLVSTTTVASGQWKQWRRRWFRRFPFFTGYSLYARAKRRNYAWDMSRLRNNFAFNCLFEKDHVMDLFFYDGVVLKADLMAKLDKEVKALEEDSIRACPLQVILLDASFRIKCLV >scaffold_602805.1 pep chromosome:v.1.0:6:12273897:12274410:1 gene:scaffold_602805.1 transcript:scaffold_602805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSLFYTFLQTKHQEENVDSTDPNYPLFPQEVEIRPIAYFPWEILKTLTIDEIIDKFQLPMEQIRDTMLRDVSEDVISRTNFMICCAEILVKDLDTNTIHQVKLWKHPNENNFALHKSWIEEFVKRRRLVDGMVVGMYWDFEATMFCFSVLEGSK >scaffold_602808.1 pep chromosome:v.1.0:6:12305418:12309790:1 gene:scaffold_602808.1 transcript:scaffold_602808.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g26830/F2P16_90 [Source:UniProtKB/TrEMBL;Acc:D7M5D8] MLLRLTARSIRRFTTSSSSLQALLSSSSASSFCTVPSMAANHPKDEAYLSAVIPKRIRLFEQIQANQLENLKSLPHDPIKITLPDGNVKEGKKWETTPIDIAAQISKGLANSALISAVDDVLWDMSRPLEGDCKLELFKFDSDKGRDTLWHSSAHILGQALEQEYGCQLCIGPCTTRGEGFYYDGFYGELGLSENHFPNIEAGAAKAAKEAQPFERIEVTKDQALEMFSENNFKVEIINDLPADKTITVYRCGPLVDLCRGPHIPNTSFVKAFKCLRASSAYWRGNKERESLQRVYGISYPDQKQLKKYLQFLEEAKKYDHRLLGQKQELFFSHQLSPGSYFFLPLGTRVYNRLMDFIKKQYWQRGYTEVITPNMYNMALWETSGHADNYKDNMFTFNIEKQEFGLKPMNCPGHCLIFQHRVRSYRELPMRLADFGVLHRNEASGALSGLTRVRRFQQDDAHIFCTTEQVKEEVQGVLEFIDYVYKVFGFTYELKLSTRPEKYLGELETWDKAEADLKEAIEAFGKPLVLNEGDGAFYGPKIDITVSDAMNRKFQCATLQLDFQLPIRFNLEYAAEDEAKKAKPVMIHRAVLGSVERMFAILLEHYKGKWPFWISPRQAIVCPISEKSQKYAEKVQKEIHDAGFYIDADLTDRKIDKKVREAQLAQYNYILVVGETEAATGQVSVRLRDSAAHSVKSIEDLLEEFKAKIAEFV >scaffold_602815.1 pep chromosome:v.1.0:6:12357827:12359116:1 gene:scaffold_602815.1 transcript:scaffold_602815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLYARLSPTLKQRIDSYDNYCSLFQVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQFRAKLKNKTEQEIALLRQHDKHDKHGLEQFTATDGYDYSGGSNVLKVLGYFSMVGLLRVHCLLGDYHTALKWLQPIDITQQGLYTSVIGCHIATIYQYGFANLMLRRYLDSVREFNKILLYIFKTNQYHQKSPQYEQLLKKNEQMYALLALCLSLCPQTKLVDDSASSQLRDKYGEAFGIFDELFSYASPKFITPSAPSFEEPLVNYNQLKMFLYEVKQRQLLSGVRTRTFLKVYSSISLAKLANYLEVDEPTTLSLRGGTMGRYAIWTASNWN >scaffold_602823.1 pep chromosome:v.1.0:6:12421410:12422161:-1 gene:scaffold_602823.1 transcript:scaffold_602823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGGKSKRGRGGGRAPKVSPNRPTASGTSNRRPSTLPSQYTFTPANPEAPETQQSPVNPVALESQPPTHRDYPPPTQLFQSGDDSPRGSGSYPFRASGSTQVRGSGSVQGRGSVGSIHRLASRSNQPPAPVQPPAPVQPPASTQPAVSNRQIPSRQQRPSPQPRASVSHHSSHAQNSHEESEDEEADGESEEDVLRDSTLPEDVLADLHATLLIPGREKYTTVISPNLEPETTW >scaffold_602824.1 pep chromosome:v.1.0:6:12426586:12427875:1 gene:scaffold_602824.1 transcript:scaffold_602824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSISQVSRFRIHSFGSSCCERVHGWIKNSSSLKLLDVRASSVDGKARWIRRNVSTTTQGSRGNIKSSVLGGTVPLTRIIDEESRTKVQPFGNLQQRLAQDKDLPKLLTVIVSDLETTGLNRKRERIIEIAAQDLAGGGYSTFQTLVNPGDVPVTNTDIHGIRTDMVCRPEVPRMEELIPIFLQYVESRQKPGGYVMLVAHNGKSFDFRFLINEFNRCSYEIPHNWLLLDSLPLGREHMKSLDPTGKPKASLKVLQEHYSLTREGDAHRALSDVLLLSKVFQKLTIDLKLSLSDLVLRCHTASDIIAVMAKNKKA >scaffold_602828.1 pep chromosome:v.1.0:6:12448732:12450663:-1 gene:scaffold_602828.1 transcript:scaffold_602828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTLLFRKYRNSLRSVRAPLSSSSLTGTRSGGVGPVIEMATTSLLNPNRSYAPVSTEDPGTSSKGAITVGLPPAWVDVSEEISVNIQRARTKMAELGKAHAKALMPSFGDGKEDQHNIESLTQEITFLLKKSEKQLQRLSAAGPSEDSNVRKNVQRSLATDLQLLSMELRKKQSTYLKRLRQQKEDGVDLEMNLSRNRYRPEEDDFGDMLNEHQMSKIKKSEEVSVEREKEIQQVVESVNDLAQIMKDLSALVIDQGTIVDRIDYNIENVATTVEDGLKQLQKAERTQRHGGMVKCASVLVILCFIMLLLLILKEIFL >scaffold_602835.1 pep chromosome:v.1.0:6:12476242:12477100:1 gene:scaffold_602835.1 transcript:scaffold_602835.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M5F8] MDSSMSTKKKTKLSLRNQTCFKKSSLSSSTAKKTTNLSMREETMFKKAFELSTLCDIEVCVIYYGRDGELIKTWPEDQSKVRDMAERFTKLNDRERRKKSTNLSLLLRKKILDDNKLLEKVLEMKDSLESGLRVLQDKLLLLQPENQIELGQSRVVSSTTNPLSFPVDHHQQWTKPLVNGVPNAEKDLSTSSLNQHQSKFSVFLYNHDNGSFYQVPDSVSSFDQSTSTALLGAQGSGLRSNFDLPMVFPPQMQKQAPPLVLFDQFAPWNQAP >scaffold_602838.1 pep chromosome:v.1.0:6:12499545:12499878:-1 gene:scaffold_602838.1 transcript:scaffold_602838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTPETGIKTKESYEVNSRGVEIFSKSWLPEASRPRALVCFCHGYGDTCTFFFEGMFVYFS >scaffold_602839.1 pep chromosome:v.1.0:6:12522734:12525303:1 gene:scaffold_602839.1 transcript:scaffold_602839.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M5G1] MSMSPSILSVLSEDLLVRVYEFLDPPCRKTWRLISKDFLRVDSLSRTTIRILRVEFLPTLLFKYPNLSSLDLSVCPKLDDDVVLRLALDGTVSTLGIKSLNLSRSTAVRARGLETLARMCHALERVDVSHCWGFGDREAAALSSAVGLRELKMDKCLSLSDVGLARIVVGCSNLNKISLKWCMEISDLGIDLLCKMCKGLKSLDVSYLKITNDSIRSIALLLKLEVLDMVSCPLIDDAGLQFLENGSPSLQEVDVTRCERVSLSGLISIVRGHPDIQLLKASHCVSEVSGSFLQYIKALKHLKTIWIDGAHVSDSSLVTLSSSCRSLVEIGLSRCVDVTDIGMMGFARNCLNLKTLNLACCGFVTDVAISAVAQSCRNLETLKLESCHLITEKGLQSLGCYSKLLQELDLTDCYGVNDRGLEYISKCSNLQRLKLGLCTNISDKGIFHIGSKCSKLLELDLYRCAGFGDDGLAALSRGCKSLNRLILSYCCELTDTGVEQIRQLELLSHLELRGLKNITGVGLAAIACGCKKLGYLDLKLCENIDDSGFWALAYFSKNLRQINLCNCSVSDTALCMLMSNLSRVQDVDLVHLSRVTVEGFEFALRACCNRLKKLKLLAPLRFLLSSELLETLHARGCRIRWD >scaffold_602843.1 pep chromosome:v.1.0:6:12563802:12564281:-1 gene:scaffold_602843.1 transcript:scaffold_602843.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5G5] MEKEHSSLFYYDQYSQFSRSSQGCRSLNNFSPFNKFCTSACFFDASIAKSDLQPFKKIRYSSEHGCLTMSFDSPSSCPSTSFLCDLSLNLVRGNAHIPELYTRDLISTIGSRDHYSVDLIPKFTGNSSIMNDSKDWGLDLSLQM >scaffold_602844.1 pep chromosome:v.1.0:6:12565773:12566072:1 gene:scaffold_602844.1 transcript:scaffold_602844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAEWKRVFTMRNEERKRLDLGIGDSGSFKGSSRDGGGRLWPGKAKPPSMVLRSTPYCLQLSRLSMEIHEKGRLDLFFLLKTALR >scaffold_602847.1 pep chromosome:v.1.0:6:12576002:12578618:1 gene:scaffold_602847.1 transcript:scaffold_602847.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7M5G9] MSYGYDDDAKRKKRYVIISISSVLLISMVVAVTIGVSVNKSDNGGEGEITTSVKAIKDVCAPTDYKETCEDTLRKDAKNTSDPLELVKTAFNATMKQISDVAKKSQTMIELQKDPRTKMALDQCKELMDYAIGELSKSFEELGRFEFHKVDEALIKLRIWLSATISHEQTCLDGFQGTQGNAGETIKKALKTAVQLTHNGLAMVSEMSNYLGQMQIPEMNSRRLLSQEFPSWMDGRARRLLNAPMSEVKLDIVVAQDGSGQYKTINEALNYVPKKKNTTFVVHIKAGIYKEYVQVNRSMTHLVFIGDGPEKTVISGSKSYKDGITTYKTATVAIVGDHFIAKNIGFENTAGAIKHQAVAIRVLSDESIFYNCKFDGYQDTLYAHSHRQFYRDCTISGTIDFLFGDAAAVFQNCTLLVRKPLLNQACPITAHGRKDPRESTGFVLQGCTIVGEPDYLAVKENSKAYLGRPWKEYSRTIIMNTFIPDFIPPEGWQPWLGDFGLNTLFYSEVQNTGPGAPITKRVTWPGIKKLSEEEILTFTPAQYIQGDAWIPGKGVPYIPGLFTGNGSTTNPTELGSTNSTITGSGSSSNTTGSSDSPSTVVSPSTSPPAGHLGSPPATPSTIVSPSTSPPADHLGSPPTTPSSAP >scaffold_602852.1 pep chromosome:v.1.0:6:12602245:12602631:-1 gene:scaffold_602852.1 transcript:scaffold_602852.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18 family protein [Source:UniProtKB/TrEMBL;Acc:D7M5H5] MVIPPAVRPPRLFDYLKPYVLKMHFTNKFVHAQVIHSPTATVACSASSQEKALRETMDITRDVAAAAKIGKILGERLLMKDIPAVTIQMKREQRYHGKVKAVIDSVREAGVKLL >scaffold_602854.1 pep chromosome:v.1.0:6:12623041:12624249:-1 gene:scaffold_602854.1 transcript:scaffold_602854.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxia-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7M5H7] MAESKTKFAEVREWIVEHKLRTVGCLWLSGISGSIAYNWSKPAMKTSVRIIHARLHAQALTLAALAGAAAVEYYDHKSGATDRIPKFLKPDNLNKD >scaffold_602855.1 pep chromosome:v.1.0:6:12629991:12630527:-1 gene:scaffold_602855.1 transcript:scaffold_602855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIDEKPFLKVCRQRFSGENVELEQAMLCSKWQKTLKDPSWYPFKRVGTGEKMKEVVDEEDEKLKNLRKEWGEEVKNAVKTALVELNEFNPSGRYTVPVLWNFEQERKATLKEGIAHMIKEIKTRKRKLT >scaffold_602856.1 pep chromosome:v.1.0:6:12638946:12639216:1 gene:scaffold_602856.1 transcript:scaffold_602856.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5I0] MFPLIVVVFFTVRDHFSHVDFLSWDVTSDFEFFAFDSVDRMIWICVRPRILILKACQYLSYLFSESHRVHVSVMIV >scaffold_602859.1 pep chromosome:v.1.0:6:12646608:12647131:1 gene:scaffold_602859.1 transcript:scaffold_602859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEALSFFYKAKERKCISTSSTYNSVIPMIMKEGLHEKVHEVYAEMCNERGCFPDMVTYSALISLYEKLGRDDSAVRLFDEMKDILFGIYFKEGKVEKALHLFQEMKRAGCFQTVYAYTELIKGLGNAGWVEEAYGLYKDMLRDGLTPDVVFLIYLMRTC >scaffold_602867.1 pep chromosome:v.1.0:6:12689294:12689685:-1 gene:scaffold_602867.1 transcript:scaffold_602867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSRTIYAAVAILMIVIAAVEAGSYEDALGFVVRTGTTSNCKGSIAECIAEEEEFEFDSEISKRILASKKYISYGAMRKNNVPCSRRGASYYNCKRGAQANPYSRGCSTITRCRR >scaffold_602870.1 pep chromosome:v.1.0:6:12709290:12709869:1 gene:scaffold_602870.1 transcript:scaffold_602870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S21 [Source:UniProtKB/TrEMBL;Acc:D7M5J1] MQNEEGQTTELYIPRKCSATNRLITSKDHASVQLNIGHLDANGVYTGQFTTFALCGFVRAQGDADSGVDRLWQKKKVEAKQN >scaffold_602880.1 pep chromosome:v.1.0:6:12758330:12761294:-1 gene:scaffold_602880.1 transcript:scaffold_602880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCH_1 [Source:UniProtKB/TrEMBL;Acc:D7M628] MADFQTSTQRAKWIFTPQKLADKYKAANKRAVQMLEKCGTTQVEVDASGSLTYPKDKVDAADPADKKLKPLSVDEERFMRAFYEAKVQEVCSAFAFPHKIQATALQYFKRFYLQWSVMQHHPKEIMLTCVYAACKIEENHVSAEEIGKGINQDHQIILKYEMAVLQSLEFDLIVYAPYRAIEGFVNNMEEFLQARDDQIQKLESLLKAATAEADKVMLTDAPLLFPPGQLALASLRIANGVLGVIDFDRYLENIVSQPNSEHTTSELTKFLDDIEFLVKNYKKPSEKDMKHINRKLKSCLGHSSSHDESKKREKRSKHKSHRSSNDTPNGAPIG >scaffold_602881.1 pep chromosome:v.1.0:6:12762771:12767845:-1 gene:scaffold_602881.1 transcript:scaffold_602881.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7M629] MAPSRKSKSVNKRFTNEASPDINGGSASKTKQRKKKLADKLGPQWTKGELARFYDAYRKHVGDWKKVAAAVRNNRSVEMVEALFSMNRAYLSLPEGTASVAGLIAMMTDHYSVMEGSESEVEDHDASEVPRKHLKRKRPQVRPIDFREEVIPPHSVASVEGCLSLLKQTQAYEKRRRATGKRTPRFLVAITHERDDRADSSPPNKRAKKQLDADDDASRRGGGSPYRRTELSDSTQTRLRKMLQAQEAQFKHPDSSMFENGVRTSRDRRHKKGAADRDGALLRDMEGLVTKKGKNVRIEEAEGNDSDDDDDGLGALKALAEMSASLAPAALMESELSPLWEEERIANNVDEKSKTLETVSTSHHREKAKEAGPEASLLLAISAPDKRKPKSVPESVDGNVVSVEELGTSRRKRKPKFQVLDVEAPKESIQEKFLYTKESAEDDNLKTLVKARRSGQGPAKQLKTAKTSEESSLASDKKLTIPDAIVPATQVSALGPATLPQKPPNRRKMSLKKSLQERAKSLETTHDKLRSRKSLSEHELLKEKFSNCLSYPLVRRWCIYEWFYSAIDYPWFAKMEFTDYLNHVGLGHAPRLTRVEWSVIKSSLGRPRRLSERFLHDERDKLQQYRESVRKHYTELRGCATGVLHTDLAHPLSVGNRVIAIYPKTREIRDGKILTVDHNKCNVLFDELGVELVMDIDCMPLNPLEYMPEGLRRKTDKCVAIGKEAQLNRHPSSDASLLFSPSVLENVKFSMNHPAKQAASSGKNNEDSGNMVHQASSIGEHQPLYSSIVPGIKHQEHTNGSLDHYPSNTAEPMTNGFISQDGSGKNKTQMPSELITSCVASWLMMQMISQKQYPPEDVAQLMDTAVSDLQPRCPQNMPIYREIQTYMGLIKTQIMALVRT >scaffold_602882.1 pep chromosome:v.1.0:6:12769661:12770289:1 gene:scaffold_602882.1 transcript:scaffold_602882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDAEPSISKENGFPSPVDSVPTRPVRKIGSSVYPWNYPSSARSLPAFEPSPRDFQRGVIKTLMDATYYSTTREAESILEWFDSVKMLHRSLNDRHAELLKQMQSLERGMRMLESELRDWERFELDRAVPMPESLCNYLKIYHHKGGICRRQCRV >scaffold_602894.1 pep chromosome:v.1.0:6:12821749:12823635:-1 gene:scaffold_602894.1 transcript:scaffold_602894.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seryl-tRNA synthetase [Source:UniProtKB/TrEMBL;Acc:D7M642] MDINLFREEKGNNPEIIRESQRRRFSNVDVVDEIIQVDKEWRQRQFEVDSFRNEFNKLNKHVAQLKIAKADATEIIQQTEKNKQDATAREVEVREAFATLQAKLLTFGNLVHDSVPFDKDEANNLVIKLFGEKRFSTPDLKLKNHVDLVELLGIADTKRGADIAGGRGYFLKGFGVRLNQALINFALDSLEDRGFTPLQPPFFMRKDVMAKCAQLAQFDEELYKVTGEGDDKFLIATAEQPLCAYHRNQTIHPSELPKRYAGYSTCFRKEAGSHGRDTLGIFRVHQFEKVEQFCITAPNDSWAMLEEMLKNSEEFYQALKIPYRVVSVVSGALNDAAAKKYDLEGWFPASETYRELVSCSNCTDYQSRRLDIRYGQNKSKDQTKQYVHMLNSTLTATERTICCILENYQREDGVDIPDVLQPYMGGKKFMPFKR >scaffold_602898.1 pep chromosome:v.1.0:6:12829805:12831302:-1 gene:scaffold_602898.1 transcript:scaffold_602898.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7M646] MHSFGYRANALLTFAVTILAFICAIASFSDNFSNQNPSAQIQILNINWFQKQPHGNDEVSLTLNITADLQSLFTWNTKQVFAFVAAEYETSENALNQVSLWDAIIPEKEHAKFWIQISNKYRFIDQGHNLRGKDFNLTLHWHVMPKTGKMLADKIVMSGYRLPNAYR >scaffold_602899.1 pep chromosome:v.1.0:6:12837977:12839132:-1 gene:scaffold_602899.1 transcript:scaffold_602899.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M647] MDPIKQISLLVLLFFLLLSGSAGQPGSPNPRSDQYAYSGSLSPAMAVVVVVVIAALFFMGFFTVYIRHCTGAVDGNVTPTGGARRRVTNATVARGLDAETIETFPTFVYSEVKTQKIGKGALECAICLNEFEDDETLRLLPKCDHVFHPHCIGAWLEGHVTCPVCRTNLAEQKIEPVEPEAVVEIDLEAQQQSAVPEPVVELPRVNFSRSHTTGHSVVLPGESTDRFTLRVPEELRKKIMANWKLNRSNSVFVLARGGSSRSGKPIDRSRAKSDRWLFRKTPSFLWRNRDDGSIRLGGTGSVRGNSITSPTGDSVRADRWAFLRNPSFLWRNTTPVPSPRVEVNKDGEGTSSVQHTDTVGSASGSVRLPV >scaffold_602901.1 pep chromosome:v.1.0:6:12844874:12846886:-1 gene:scaffold_602901.1 transcript:scaffold_602901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETEAESQNLHVLSAFLAMEPVDSVITLARGCTGGSITENAQRFFWEICVKAATNGNASYAKKLLKKLINEVELENGEVLDEVYEEYALYMLTSKEDTLVKENIRITKFISFLFPEGSYKHPSCPRSRKLVIPLQCSLNMLEGDTGCSIWPSSLFLSEFVLSFPELFANKFCFEVGSGVGMVGICLAHVKAKKVILTDGDLLTLSNMKLNLERNHLNYDDELLKQPGEAQSTQVKCIHLPWETASESELSEYRPDIILGADVIYDPSCLPHLLRVLVALLNKPPKRDNGSFETEDSDTTPQGHSPKVAYIASVIRNADTFNVFLTLVDQMDLSITDVTAELRPPFELLPYMHSYDRSSVRLFSISSR >scaffold_602902.1 pep chromosome:v.1.0:6:12847105:12848684:1 gene:scaffold_602902.1 transcript:scaffold_602902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLHSLSLHPPNPKPQNPNKPKIVSPFATFRRDVVLRTASLCFVSFIIQNPIQESLADPLKSSKPLRLGIANTKSWFQFFGDGFAIRVPPDFEDVNEPEDYSAGLSLYGDKAKPQTFAARFQTPDGSEVLSVVIRPSNQLKITFLEAKDISDLGSLKAAARLFVPGAATIYSARTIKVKEEEGLRNYYFYEFGRDEERIALVASVNRGKVYIAGAAAPESKWKDDELKLRSAAISFTIL >scaffold_602903.1 pep chromosome:v.1.0:6:12849222:12851531:1 gene:scaffold_602903.1 transcript:scaffold_602903.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase [Source:UniProtKB/TrEMBL;Acc:D7M651] MALVRRFQTVRSLLKTAGSRESSSLPFRNGNEFSLIQIGDDFRFGCWRSYHSSLYQVPEAHGKSVYSRLYEGHNNANTHLLRSTMIAESLPFTNDKRSATTQVKAPPQLQKTGAVRVSMVSPGFVYEPYALQEKISIWRRCFTRSGWRRTKEDFIRELRSAYAIAKLRKTGYSKNTFYIEALELYKQINILMANGDKKTIRKNVTERMYSALKNEIKQREAMWDGVYWEMIEPVVKIRTLQARLIGIDRTDLKKAFIQLTLEFLTKQKFEAYDAKGNVVAGDRNKEVLVRDIWVFEKSLFHTGAYWRLCGRIKL >scaffold_602905.1 pep chromosome:v.1.0:6:12856297:12856915:-1 gene:scaffold_602905.1 transcript:scaffold_602905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASFIRSLPSAGNFANLLPTGTALIFETLLPSFSNGGECNNKPANKLLTISLISFCAAACFFSSFTDSYVGQDGRIYYGIATSNGLYILNDYPKDGYDPESGLTADKKRRYKLSFVDFVHAFVSVVVFLALAVESSDFRRCLLPEGDENSWGGHFVLLIKYFAVMVLTMASFFFAIFPSKRRGIGYTDIR >scaffold_602906.1 pep chromosome:v.1.0:6:12862760:12863324:-1 gene:scaffold_602906.1 transcript:scaffold_602906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIVSLTISHANLSGIIPKSFHSNLTFIDLSDNLLKGSIHTSITLFSNLKSLNLSKNSISGDIPDSIDDLISLEYLSLSFKKVSGPIPDPISSIQELTHLDLSGNQLNGTVPRFISKVKYLTHLNLANNAFHGVLPVMQASSKTSKCSKSEVFFNASFTMEMFCFVKNEEEEL >scaffold_602910.1 pep chromosome:v.1.0:6:12930444:12930813:1 gene:scaffold_602910.1 transcript:scaffold_602910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNRRRDTNLLAHSLKISTPSMKTIVQVQDDYDEFKKAEAIFIALNLSKHTRFYWTCISTLKEQVFWRKYFIDIAESTDEDKLQLLETMTGVLRNNKNVSQQVGSD >scaffold_602911.1 pep chromosome:v.1.0:6:12932195:12932934:-1 gene:scaffold_602911.1 transcript:scaffold_602911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSTLCFQWSRTPSETPSLLWINNYSLRTLVFASTTSFEDSHGRSVTNSHSITILANDFKQRAFTITFKNSILLSHEDVDCYKRDPEPLFCFSKQALLDYKTVVSRISASVILRVDLLVPARTTALIFSSTSLRLLTMTILSSMDLFVEIFSTNRDLTCTKTLHSLCFKALMESLSIYLIYLFVALGNVHYALNFGIFESFSMYLELFE >scaffold_602912.1 pep chromosome:v.1.0:6:12935832:12936243:-1 gene:scaffold_602912.1 transcript:scaffold_602912.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M660] MARDLSLGPSVVPCPVETSTAHDDSFFRATGLPPRKALSCCISAFAADFVYSLLSLNHLSSEIHIPQQISPSSQFSRRTSSPPWSAITRLGFSLVGLVPSFGPISLMKRKPNSIKSRSAPIGI >scaffold_602915.1 pep chromosome:v.1.0:6:13006002:13007400:-1 gene:scaffold_602915.1 transcript:scaffold_602915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDAGGGETRRRRDFASTICFLSLFSVFLILLPLCLSLQPPQIFQKTDSTHIIRLSFPIHPAECSHRASLMARVSSLGPSDAPCPVESSTAHGESIFWVEITRLSACASSEKMVRPLTHSLGSSRSSARLRALIHWFPATALYSDLRSETYFSFSHGSARFEAISSVHRIAVSELATSFSPPSSLAIFIHRCNRGLGFQILGFSMSGPFISYWTCLRIDRPKCPIARPRSVSLQSLIRSSILMLRHNTKTGQGHLLRFVSTSLQDLNEFHLSGLKKLQLPITHTLRIRIQLLLLIYDQFQSDPKPDFVEKIPLLFDLKDLRRPSSSTMERFSAPPSSLVERTCPSLLAISKLFAPATTEANEPFPTSRTLLIVTNVNFPEVFAEVSLTHHDLVCGMLRCSLCLRASMDLSTKLKASRIYLSMFVVSLFLISLLYPTIRLMILYSLSPFEIE >scaffold_602916.1 pep chromosome:v.1.0:6:13030601:13031590:1 gene:scaffold_602916.1 transcript:scaffold_602916.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M666] MEAAVHSVFVDLCDGVSIREVLIGLVVVFPALFGWCFSSMVWCWLCLSLSFQSLLPQPLTARSRTSCERLLEQAFCRMEAFINLQSHFYKRKSPRRTSRTSSLHLVPAAFWRG >scaffold_602917.1 pep chromosome:v.1.0:6:13051472:13053343:1 gene:scaffold_602917.1 transcript:scaffold_602917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLLHSVGLLPCSNQQKSFVFHSYYSYRCIVCSSETALSTRRQVLEQVDSKLSSGDERAALSLVRDLQGKPDGLRCFGAARQVPQRLYSLEELKLNGINAASLLSPTDATLGSIERNLQIAGVSGGIVAWKAFDLSSQQLLFLSLGFMFLWTLDLVSFNGGIASLVLDTIGHTFSQRYHNRVVQHEAGHFLVAYLVGILPRGYTLSSLEALQKEGSLNIQAGSAFVDYEFLEEVNSGKVSATMLNRFSCIALAGVATEYLLYGYAEGGLDDISKLDGLVKSLGFTQKKADSQVRWSVLNTILLLRRHEIARSKLAQAMSKGESVGSCIEIIEDSIDPSDI >scaffold_602922.1 pep chromosome:v.1.0:6:13098117:13098855:-1 gene:scaffold_602922.1 transcript:scaffold_602922.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M671] MAGKVLSSAPFNAPPMIVQDNFYSEEPIIGNPNDSGGSDGEDNVDVEEEDEDDDIDRNECDIGMNKEAGHTFGAGGIEQVKQMGQSFVSAICICLFSFAYEAIFRCVLLESLLHMFTTSLRRIDNPKSQ >scaffold_602925.1 pep chromosome:v.1.0:6:13147712:13151425:-1 gene:scaffold_602925.1 transcript:scaffold_602925.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:D7M674] MGSLMEKVTSGLELVDLSKRNFRKTLESLQEGAHSLLLLTIQWKEIESYFESTRNVLEERAKELEESMKVKALELEKKEKELCLIDESMKAKQSELEKKEKELCLIGESMKAKQSELEKKEKELCLIDESMRAKQSEFEKKEKEFDLEQKAEFEKTKGEVEQLEKFTTRMESVERFSDEKLMELDVRAKELEKKVKEVEKQREGSVAGGKLRDEFEPLVSLLAKNMGSSVTMPVKCSALYLKENAKDFVDDLVKKNTALARMVPYLDPAKVILDAVEGSLKEYWNKGLGEADDRVVNSCIVLLENLLQMNRRITPEVKQEATQLGIDWLGKEKANLNNDPRVLGCLLFLAAYGLASVTTSEVLLTLLERFLLYDHAPKLFRLLGLEDKVFGAVETLKKRDEYLATLNFICEFRLYKLCPGNRPGELLLEFLISSNKAAQVIAGTGTSVEAQKARREKRRADAVMAIKYIKEAKAENMFPAKILKKLIVLKNDESAQRATEPVHKSYDKRQSTTKAVGKPEAKSISPYEQKREIKRPRLTEPTAPSLNLTVKQPEGVSAPSGKQVKESGVNHQPDTITTHPASTETKPNILSGSINADMLRELVEKQPLKESEGLSNALKCTPDPAKLVLDTSMVLCPTNAEGGYEFKLLVTTASCSLLFNQLKKLLPKIGHPVKGDAKKLAIYWKDKISKSKRDELEVICFLQFVGIFGIVSEFKADDLLGLLDNSYWQTVSPDLCQFLGLDNAIPGFIQNLIKTGHRIRAIDYIYSFGMVHRFQPVSAIINDSLRIIKESAEKSYREANNESAKQVAAIDRQVRALRAAIKCISCHKLESEFQLGDLEEQIKSLLKLRRNTVSGSESGSTSSKPDSTIKQSQTAKPPTVVEVASVTSNIPLEPSTEAASSSVSKPFSTKKNKRGKKRSLSGNNQSSGHVASHTSNHFPNHDYSLDQRQTWPVDNDDRGFTGFHADYNYNQWRQPEGSQFYQHYQPLNPHYRNF >scaffold_602927.1 pep chromosome:v.1.0:6:13165708:13165957:-1 gene:scaffold_602927.1 transcript:scaffold_602927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHNVPVLDETSDLFRRFRQKKRDALFDSKKIEIYEEFDTVAYWKQKALNLEKMLEASTERERRLIKNS >scaffold_602928.1 pep chromosome:v.1.0:6:13167265:13167822:-1 gene:scaffold_602928.1 transcript:scaffold_602928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSINTYGKSLWCEGFSILGAALAWRAVKESTYRMVNETPMTQDNQPALSSFLSKENAERLALGLCVMRGTALKVVKMLSIHDESCFCSDKYQIQTIIALWKLKLCGNSFEIKEIVEKIFVKSKRSSSLS >scaffold_602929.1 pep chromosome:v.1.0:6:13171025:13172471:1 gene:scaffold_602929.1 transcript:scaffold_602929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTPSSSPSFGTPSSTPAFGTSSPAFGTSSATPAFGTPSVPSFSSGGFGSSLFSTPFSSQQPQQQQQQQQPSSLFQQQPSSSFGFQSPFNNTAQQQTPFPNAQLTTQMAPVAPIPYSLADRDVQAIIEAYKEDPTNPKYAFQHLLFSVTEPQYRVKPAAVSDIMWAEAMSKLEGMDSTERERLWPQLVQGFKDLSQRLKLQDEVLASDRDRIKTTQSNVKMLQRHLQASTFPSIERLRQKEQSLQRRMLRITVKITFTVVFF >scaffold_602940.1 pep chromosome:v.1.0:6:13223877:13227119:-1 gene:scaffold_602940.1 transcript:scaffold_602940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:D7M692] MLASHFDSLVSKLPSLSTSDHASVVALNLFVALLCACIVLGHLLEENRWMNESITALLIGLGTGVAILLISKGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFVTIMLFGAVGTIISCTIISLGVTQFFKKLDIGTFDLGDYLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVVFNAIQSFDLTHLNHEAAFHLLGNFLYLFLLSTLLGAATGLISAYVIKKLYFGRHSTDREVALMMLMAYLSYMLAELFDLSGILTVFFCGIVMSHYTWHNVTESSRITTKHTFATLSFLAETFIFLYVGMDALDIDKWRSVSDTPGTSIAVSSILMGLVMLGRAAFVFPLSFLSNLAKKNQSEKIKFNMQVVIWWSGLMRGAVSMALAYNKFTRAGHTDVRGNAIMITSTITVCLFSTVVFGMLTKPLISYLLPHQNATTSMLSDDNTPKSIHIPLLDQDSFIEPSGNHNVPRPDSIRGFLTRPTRTVHYYWRQFDDSFMRPVFGGRGFVPFVPGSPTERNPPDLSKA >scaffold_602941.1 pep chromosome:v.1.0:6:13229517:13229750:1 gene:scaffold_602941.1 transcript:scaffold_602941.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LEV1] MLHEMSFRQASSVVSWRRIWWWLEGEGSSGIRSRSSHCCPLKTLQQSEFGSDSMADVTASLR >scaffold_602944.1 pep chromosome:v.1.0:6:13283609:13285721:1 gene:scaffold_602944.1 transcript:scaffold_602944.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M697] MESSKLLSLLRECTNTKSLRQAKLVHQRILTVGLRSDVVLCKSLINVYFACKDHCSARLVFENIDIRSDVYIWNSLVSGYSKNSMFHDTLKVFKRLLNCPICVPDSFTYPNVIKAYGALGREFLGRMIHTVVVKSGHVCDVVVASSLVGMYAKFNLFEDSVQVFDEMPERDVASWNTVISSFYQRGDAEKALELFGRMERSDFEPNSVSITVAISACSRLLCLERGKEIHRKYLKKEFELDEYVNSALVDMYGRCDFLEMAREVFQQMRRKSLVAWNSMIRGYVARGDSKSCVELLNRMIIEGTRPSQTTLTSILMACSRSRNLLHGKFVHGYVIRSVVDADIYINCSLIDLYFKCGEVKLAETVFLKTQKDVVESWNVMISGYVSVGNWFKAVDVYDQMVSVGVQPDIVTFTSVLSTCSQLAALEKGKQIHLSISESRLETDELLLSALLDMYSKCGNVKEASRIFNSIPKKDVVSWTVMISAYGSHGQPREALYHFDEMQKFGVKPDGVTFLAVLSACGHAGLIDEGVKYFSQMRSKYGIEASIEQYSCLIDILGRAGRLLEAYGILQQKPETRDNAELLSTLFCACCLHRDHLLGYTIAKLLVEKYPDDASTYTVLFNLYASGESWDAAKRVRLKMKEVGMRKKPGCSWIEMNEKVCHFFAEDRSHPQAENVYECLALLSGHMEAGQ >scaffold_602951.1 pep chromosome:v.1.0:6:13331863:13332055:1 gene:scaffold_602951.1 transcript:scaffold_602951.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6A4] MPVEPDAKINKKKNLIHLSRRHRFRSLADEPQFPATLNSRHKPFAPPLSL >scaffold_602954.1 pep chromosome:v.1.0:6:13351233:13352318:1 gene:scaffold_602954.1 transcript:scaffold_602954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELTEEGRTVLIEGIGLILWRWTAMRAAVENGWGGRDSQAKADGTVSTVFNFFIQSNDPAKDIEKLGDILDKGLDELNTTAEDGSVDEVANTLIDLYEDCCNDNYEMLEELRATRSQASASVVKVSNGNDEDSDDEDEDNDQTTDMMVDASNQKPEAMPVDEPATDDGWTVISSRKNKGKRN >scaffold_602955.1 pep chromosome:v.1.0:6:13352524:13352876:-1 gene:scaffold_602955.1 transcript:scaffold_602955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDFFLFPKFPPVWSGLDVQASLVLQGSSSRLMVFSDYVSDSVIPRIALDADIQGPFVIVLILQFLWFSCNDLFPQSISSYTIDWWSLWHSLPCSFPPFEAY >scaffold_602957.1 pep chromosome:v.1.0:6:13363623:13363875:1 gene:scaffold_602957.1 transcript:scaffold_602957.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M6B0] MLRTVESECSEPLNRRETQAKAERREVAADDSEFLRKEKQRSSGDEPEKDSQLEAQPNGLRRHCLKMDGE >scaffold_602959.1 pep chromosome:v.1.0:6:13380723:13381388:-1 gene:scaffold_602959.1 transcript:scaffold_602959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDINIALDIVSRVGEDSFKALGGMLLASKFYHYLASHPIVLNNVSLQPFLADASLINEDSIYRPFFRLCLDSLNPTAAYLESIRLAAKLGRAEDALRLLYSSGNSPPQAWFSRALLEVCLGFYQESIATIDSFISSIGSFRQADAIGSTVFKHIMQIGPVKIRSHANTWHYGDIPTCFATRCRIDRRCRQCFLYWFSVMYLLLC >scaffold_602963.1 pep chromosome:v.1.0:6:13483657:13484539:1 gene:scaffold_602963.1 transcript:scaffold_602963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRHKKLGYCCDEDQRLLVAEFMPNDTLAQLLFHRSVNPETVTYRSGTILVNLLDGMHIAPSHVSDSV >scaffold_602964.1 pep chromosome:v.1.0:6:13515997:13516453:1 gene:scaffold_602964.1 transcript:scaffold_602964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIEVGSVGDSFSVSSLKAYLSEFIATLLFVFAGVGSAIAFDKLTSDGALDTAGLVAIAIAHAFALFVGVSIAANICGGHLNPAVTLGLAIGGNITLITGFFYWIAQCLGSIVACLLLVFVTNGKVRIVVGIGVALF >scaffold_602972.1 pep chromosome:v.1.0:6:13583354:13584479:-1 gene:scaffold_602972.1 transcript:scaffold_602972.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S24 [Source:UniProtKB/TrEMBL;Acc:D7M6T2] MAEKAVTIRTRNFMTNRLLARKQFVIDVLHPGRANVSKAELKEKLARMYEVKDPNAIFCFKFRTHFGGGKSSGYGLIYDSVENAKKFEPKYRLIRNGLDTKIEKSRKQIKERKNRAKKIRGVKKTKAGDPKKK >scaffold_602973.1 pep chromosome:v.1.0:6:13590384:13590672:1 gene:scaffold_602973.1 transcript:scaffold_602973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHVMACLEKKERSQLVSNSKQNPSSACSLDEDCGGSSSYFCPNPSSSVHECCGV >scaffold_602974.1 pep chromosome:v.1.0:6:13602728:13603182:1 gene:scaffold_602974.1 transcript:scaffold_602974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKTLASFHGDQNPSSSPQSSSQIVSFDQNSYSARDQTYGESSSQVASFDLNPSSEIGGLRCETEEENNQINLLQEIQTEAMVNLYGESFWDSLFKDDNGFGLNSDLCLNTTNDMIDLGEFNEEELEYLDFSQFTD >scaffold_602979.1 pep chromosome:v.1.0:6:13662403:13663538:1 gene:scaffold_602979.1 transcript:scaffold_602979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEFASPSFLSLPHEIVLNCLARISRSYYPKLSLVCKTFRTLLISKELTVARVHLKTHETFFHVCLQLPDRPNPSMFTLWIKPGQILTNQLEKNERSTGDTRLVQIPSSYYSKVPPYVITVGSESYGLSHCNDPSSIMWVRKKGTFCWRKAPNMTVARAKALACVLSGKIYVMGGCRADEPVNWCEVFDIKSQTWEPLPDPGSELRFSSIRKLEVFKEKLYVRSNEEKDSVYDPKEGKSDVLEKPHVRCTIIENVVYCYNKQSCWWYDTNRKECRLVRGLDMLNLNLGCGMIEIANYGEKLLILWDKFVHRGTCQDKDIWCAVIALEKRDGSDEVWGNIEWADIVMTVSSSYVFVNSLVYGS >scaffold_602982.1 pep chromosome:v.1.0:6:13685812:13687683:1 gene:scaffold_602982.1 transcript:scaffold_602982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATKRHLEDRIETYEEQSKRLRQTSFRDQNVTIPQGWLDCPRFGQDIGFIIPSKVPLSESYNDCVPSGKRYNFKEWFTSGRTRLGLVIDLTNTTRYYHPNTELRHNGIKYVKIRCSGRDAVPDNVSVNTFVYEVNQFENNFSQKYVLVHCTHGHNRTGFMIVHYLMRSRPMMSVTEALKMFSDARPPGIYKPDYIDALYSFYHEVKPESVICPPTPEWKRSEEVKVDDDDDALSYPVVQGNNQEENVKKLSNDDILGDDIPYGQEVSYQQLINDMLNISMQFPGSHPVSLGREALQLLRQRYYYATWKADGTRYMMLLTRDGCYLVNREFRFRRVQMRFPCKYDPSDYKVHHYTLLDGEMVIDTFEVGGRRCQARRYLVYDLVAINGQSVAERPFSERWNILEREVIRPRNDEKKVMNHWYRYEMEPFGVRIKPFCLLSAVEKIPSLSHETDGLIFQGWDDPYVFGTDHGLLKWKFVETLDFLFDMDKYGRQMLFLQERGKMKLMEGYSVEFRGDGWDNNPASYCGKIVECSWDKEKKVWVSLRIRVDKSKPNGIGTGHSVIKCIKDDITKDVLLEEIKKITRLPMYVDRIRRDTQEAERRKHGNRKG >scaffold_602985.1 pep chromosome:v.1.0:6:13723831:13724152:-1 gene:scaffold_602985.1 transcript:scaffold_602985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIPLMFIALVILSISFPAPIKAQGIVGCDAELDKCEVMRNNQQWGLVYNTCCKKLKKPGPQPCMCLFFKYPALKETALSLLRFCHAPIPKC >scaffold_602987.1 pep chromosome:v.1.0:6:13729396:13729592:-1 gene:scaffold_602987.1 transcript:scaffold_602987.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6U5] MPYINQGSEWRKRIEQSGSSGSSVSSRTKADQADLAVQVRIKRFEHFKNIC >scaffold_602989.1 pep chromosome:v.1.0:6:13746275:13746489:-1 gene:scaffold_602989.1 transcript:scaffold_602989.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6U7] MFELNMTLGKFGGQLGGGSTKKPAKGIHKLKERVVKQIVGNFLSSVLDARHLLHSCS >scaffold_602995.1 pep chromosome:v.1.0:6:13834764:13835335:-1 gene:scaffold_602995.1 transcript:scaffold_602995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGGKSKRGRGGGGRAPKVSLNRPVANRPTASGTSNRRPSTLPSQYTFTPANPEAPETQQSPVNPVALESQPPTHRDYPPPTQLFQSGDDSRVWVISISGIWIYSSSRVWICSRTRLCRIYSSSSFSVESTSCAGSTSCAGSTSSVDSACSVESTNTISSTKTFSSTSSLCIAS >scaffold_602999.1 pep chromosome:v.1.0:6:13885375:13885708:-1 gene:scaffold_602999.1 transcript:scaffold_602999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTPETGIKTKESYEVNSRGVEIFSKSWLPEASRPRALVCFCHGYGDTCTFFFEGMFVYFS >scaffold_603000.1 pep chromosome:v.1.0:6:13896541:13898456:-1 gene:scaffold_603000.1 transcript:scaffold_603000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHLMQMQPMMAGYYPSNVTSDHIQQYLDENKSLILKIVESQNSGKLSECAENQARLQRNLMYLAAIADSQPQPPSVHSQYGSAGGGMIQGEGGSHYLQQQQATQQQQMTQQSLMAARSSMLYAQQQQQQPYATLQHQQLHHSQLGMSSSSGGGGSSGLHILQGEAGGFHDFGRGKPEMGSGGGGEGRGGSSGDGGETLYLKSSDDGN >scaffold_603005.1 pep chromosome:v.1.0:6:14019332:14021945:-1 gene:scaffold_603005.1 transcript:scaffold_603005.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M6W4] MNEKLRILFSVLCFFYVLLVSLSQSNGQDISLSCGASEPAVDQDKKKWEPDTKFLKTPNTVHAPATYQDPSLLSTVPYMTSRIFTAPATYEIPVKGDKRHLLRLHFYPSTYTGLNISDSYFSVAANDVTLLSNFSAAITCQALTQAYLVREYSLAPSEKDVLSITFTPSDKHPKAFAFINGIEVIQMPELFDTASLVGFSDQTSDTKTANLQTMFRLNVGGQDIPGSQDSGGLTRTWYNDAPYIFSAGLGVTLQASNNFRIDYQKMPVSTAPADVYKTARSQGPNGDINMKSNLTWMFQVDTNFTYIMRLHFCEFQLSKINQKVFNIFINNRTAQGDTNPADILAWSGGKGVPTYKDYAIYVDANTGGGGEEISLQMTPSTFGKPEYYDAQLNGLEIFKMDTMKNLAGPNPKPSPMQANEDVKKEFQGNKRITAFVIGSAGGVATVLLCALCFTMYQRKQKFSGSESHTSSWLPIYGNSHTSATKSTISGKSNNGSHLSNLAAGLCRRFSLSEIKHGTHNFDESNVIGVGGFGKVYKGVIDGGTKVAIKKSNPNSEQGLNEFETEIELLSRLRHKHLVSLIGYCDEGGEMCLIYDYMSLGTLREHLYNTKRPQLTWKRRLEIAIGAARGLHYLHTGAKYTIIHRDVKTTNILLDENWVAKVSDFGLSKTGPNMNGGHVTTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLSKEQVSLGDWAMNCKRKGTLEDIIDPNLKGKINPECLKKFADTAEKCLSDSGLDRPTMGDVLWNLEFALQLQETADGSRHRTPSHGGGSVDLGGGGGVAVNIGAGESDLGDDLSSEENSGIFSQIVNPKGR >scaffold_603009.1 pep chromosome:v.1.0:6:14064136:14064646:-1 gene:scaffold_603009.1 transcript:scaffold_603009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIPNPLITDIIRRIGSEGFRYLGPFIAVGPCFKEIVYSREVLLDVDLDEFMFNTRLGREESIYRPFLLRCAAEGHKTARYIESLRRLTQVGPSVEALEMLGEVGYSDLYTMFAFAVMLLCCGSYEQGMIVNRTFMARFETLQDAIDIADVVES >scaffold_603011.1 pep chromosome:v.1.0:6:14067970:14068640:1 gene:scaffold_603011.1 transcript:scaffold_603011.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M6X1] MILSIVPNSSSHGGVLDHTIEAKEQQHNDFFCLNKREGYHELSSKTQIYFSSAVAKWDADFYIKVDDDVHVNLGVKYHEPEYWKFGEEGNKNFRHATGQI >scaffold_603013.1 pep chromosome:v.1.0:6:14080882:14081242:-1 gene:scaffold_603013.1 transcript:scaffold_603013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIPNPLITDIIRRIGSEGFRYLGPFIAVGPCFKEIVYSREVLLDVDLDEFMFNTRLGREESIYRPFLLRCAAEGHKTARYIESLRRLTNTVATFLRRCLEK >scaffold_603014.1 pep chromosome:v.1.0:6:14086374:14086851:-1 gene:scaffold_603014.1 transcript:scaffold_603014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIPNPLITDIIRRIGSEGFRYLGPFIAVGPCFKEIVYSREVLLDVDLDEFMFNTRLGREESIYRPFLLRCAAEGHKTARYIESLRRLTQVGVSVDVLEMLGEVGYSDLYTMFGFAVMLLCCGSYEQGMIVNRTFMARFETL >scaffold_603016.1 pep chromosome:v.1.0:6:14105390:14106446:1 gene:scaffold_603016.1 transcript:scaffold_603016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSRFPLKLEVNSKPLKGPIICPIRTYYSKVPLELLFPTTDRRFYFLKSYVFCSANSVPLYLLLLTSALHFNSYILLFDFQLIPKSKLLAYKRRARCVAGLLKSMERYPESTVTAMI >scaffold_603017.1 pep chromosome:v.1.0:6:14106955:14107499:-1 gene:scaffold_603017.1 transcript:scaffold_603017.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6X7] MKLENPQKDKRREPGLKTYPSRPGGKESTSPRKSYQGTTSSMLKLSLIYKANGIPHIEEIKDLAQSSVSTLF >scaffold_603018.1 pep chromosome:v.1.0:6:14107702:14108009:-1 gene:scaffold_603018.1 transcript:scaffold_603018.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6X8] MVRHWVWVKFRRIFLSSFGHISLNHSGETFGYYTIGLLGHELLSSSLPDLRACRCSWKRRGII >scaffold_603019.1 pep chromosome:v.1.0:6:14108422:14108696:1 gene:scaffold_603019.1 transcript:scaffold_603019.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6X9] MAKRLKYFTISKIYLLKCFPFVRNNLKLGMDLTLLVYRPTRIVRNVPDLDCEALLGP >scaffold_603021.1 pep chromosome:v.1.0:6:14115299:14115749:1 gene:scaffold_603021.1 transcript:scaffold_603021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFPLPILANILSRIGEQGFRLLGPFIAAGPTMKEAVYSREVLQNTDLSEFIYNGELAAETSMFRPFLLKCYEKGNITALFVESLRRLTQDGPSQDALDMLAASSTLNLNALFAFGMMLLCCGAVEEGTTSLMPF >scaffold_603023.1 pep chromosome:v.1.0:6:14121727:14121928:-1 gene:scaffold_603023.1 transcript:scaffold_603023.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6Y3] MSATAAGDVPTETMLSKPLLPEESQPTPLKEPEMPDVSPLYGKLFDSCVAKSN >scaffold_603033.1 pep chromosome:v.1.0:6:14255579:14255811:-1 gene:scaffold_603033.1 transcript:scaffold_603033.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6Z3] MMLMNFKCTGTTLVAQTYIVDERLDGLYSCTELICCDKIAIMCLQDGYNNKPTISEIVHIYVG >scaffold_603034.1 pep chromosome:v.1.0:6:14308710:14309036:-1 gene:scaffold_603034.1 transcript:scaffold_603034.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M6Z4] MVTVRRTSKRLAHGASTSTPARSGTVRPIPATSTPIPVNIAPIPVTTAPIPVIFGANPASSTNSMQNQW >scaffold_603041.1 pep chromosome:v.1.0:6:14376554:14377349:1 gene:scaffold_603041.1 transcript:scaffold_603041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRCLGLGLKEIVVSTCTNDDIPTLNEKSNVKASSKALDTAIVSNGAALMNGFNHMVVDSSVLPLVDVVEPNTEFLSSSISNEGAAIDLCSVAVTDASKNVDVVHYSEAKELPSSDTNVMATPAKVFSIITTSASGVHSSTNSFRKASSSNKYAVLDLASDVVLPDDSEEDVSSGSDDSDEDLILNLKSPFSEKHLQDRPLQLPIRAINNGRGGRRGERRGRGNRGRRGGFG >scaffold_603044.1 pep chromosome:v.1.0:6:14405359:14405653:-1 gene:scaffold_603044.1 transcript:scaffold_603044.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M707] MDNRRTTRPRSAKVTTEIDVVLKQSEPIEQQRPHKGGSTTTRRISNQNHHRRPDRDGGQPRRRREPRRGRRTRTREANALSGA >scaffold_603049.1 pep chromosome:v.1.0:6:14522039:14522792:1 gene:scaffold_603049.1 transcript:scaffold_603049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWFQVSKYPFYFALGTKKVTRNTNLYQNLADKRVIFCDETLKLIFEGKDKVGFLEISKLLSPYFVKTARGGESPLSPIKILSILQKIGKHLGPGKEEDAHEFLRSIFLQRRVTLFFHKNARCAVETMQSVFLKEAHAAGLFVEETTLVGVTFGGYL >scaffold_603050.1 pep chromosome:v.1.0:6:14529753:14529999:1 gene:scaffold_603050.1 transcript:scaffold_603050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVRCLFDSNHFMLPEALFLHSLGCPNPLGLTHSLRYRSTLELPCCELQLNNVAAINQKAQFDGGW >scaffold_603054.1 pep chromosome:v.1.0:6:14579962:14580696:1 gene:scaffold_603054.1 transcript:scaffold_603054.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7J7] MAPVGKGKASRGRGRGRGGRSGARVFNGKNPSRGVGSSSHASNPSSSNATASASQTFRATTPAQDPPSSQPPPPPRLSSPQVSGQPPNYQQPPLNYQQPPPNYQPPPPNYQPPPPNYQPQPPYQPPGPYQPPGAYQDQVHPHQIPQPQMRPQENPNDPPPDYQQMLENLLVLPGREHLPRLSRVPIPDVETIWYKSCLFC >scaffold_603055.1 pep chromosome:v.1.0:6:14582294:14582617:1 gene:scaffold_603055.1 transcript:scaffold_603055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDVENARIANLERLVMYWKESDPAFAAFVASQPQPTAPATTAAANATATANGPATAATAQNKAEEMAGETNPATAPTGTVAATPTPSPSF >scaffold_603056.1 pep chromosome:v.1.0:6:14595037:14595754:-1 gene:scaffold_603056.1 transcript:scaffold_603056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVRGGKSKGRGRGRGPQSPAKRPVVPTRPISSGVSTRRPRSLLPQYEFTPVNPQDPIPEAEQPEIRQPSPRVSLRDYPPPQQLFQSGEGSLHASGGSPRGSGSTPFRASRSTQVRGSVSSIHRLASGSHRAAQSPAPVQSPPLNQPRARASVSGHSSQAQNVEDGEDEEDEEGEEGESEEEGLRESTLPEDVLATLNDLLSVPGRDLYTTVISPTLEPGTTW >scaffold_603057.1 pep chromosome:v.1.0:6:14618683:14619819:1 gene:scaffold_603057.1 transcript:scaffold_603057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEDPSTKPLGRFCLMPLSHSCFCFLEQMSFCCYADVVLQCLAFTRLLISYLIRGLHSKTCKKTSWCFVCEFEHLILKARGGESPLSPIKILSKLQKIGNNLSPGKQEKAHEFLRYAVETMQYVFLKEAHAAGPFAEETTLRLVDIFTPRQQQFLGFKIKCLHKSELMMDLSVEIDGDIGSLEEALAQVIAYEVLDGEN >scaffold_603058.1 pep chromosome:v.1.0:6:14619910:14621094:1 gene:scaffold_603058.1 transcript:scaffold_603058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEGPDFLTVVLKCFQSDNFGKLSKPIYFPELLDIFPYMSDTNHSDHPVYSLYAVVVHLDAMNTSFSGHYVCLDSLRPVSNNGGRKSKERRNLAAIPLRHDNSKDRDMEKSLLPRVDWSSGSLSSMFSSSDTTSSCSTKDSSELVMVLPNSYNVHGAALGRRNLGWPYEVAEE >scaffold_603072.1 pep chromosome:v.1.0:6:17530723:17530955:1 gene:scaffold_603072.1 transcript:scaffold_603072.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7L9] MDSKNASRRSRHSRPLEEPVRSQETDSSSLEEERARRNALEQRLVEQIALTEQMQRMIRDPRH >scaffold_603077.1 pep chromosome:v.1.0:6:17566536:17568298:1 gene:scaffold_603077.1 transcript:scaffold_603077.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7M7M3] MDYLGIDMSCAIGSLRNGEFPEKDCLLPLISKLLGYCLVAASITVKLPQIMKIVQHKSVRGLSVMAFELEVVGYTISLAYCLHKGLPFSAFGEMAFLLIQALILVACIYYYSQPVPVTTWIRPLLYCAVAPTVLAGQINPTLFEALYASQHAIFLFARLPQIWKNFKNKSTGELSFLTFFMNFAGSIVRVFTSLQEKAPLSILTGFALGVVTNGSILTQILLYSKPAAAKEKKAN >scaffold_603080.1 pep chromosome:v.1.0:6:17575544:17579302:-1 gene:scaffold_603080.1 transcript:scaffold_603080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7M7M5] MLEYRCSSVDWKPSPVVALANSSDDSQVAAAREDGSLEIWLVSPGAVGWHCQLTIHGDPNSRISSLAWCCSGSKGLPSGRLFSSSIDGSISEWDLFDLKQKIVLESIGFSIWQMALAPISVASIDVEGIKNGYSSENGEESGSEEDGSDSDEFHEQSDGSDTDRLLAAACDDGCVRLYRISDLDKLTYYRSLPRVSGRALSVTWSPDAKRIFSGSSDRLIRCWDANSCQEVYRITVGLGGLGSSSEICVWSLLSLRCSVLVSGDSTGTVQFWDSQHGTLLEAHSNHKGDVNTLAASPSHNRVFSAGADGQVILYKLSSSTNGSQDLKPSSSQKWDYIGYVKAHTHDIRALTVAVPISREDPFPDDILPDKANRKQRKKGKPVDFTYHKWAHFGVPMLISAGDDAKLFAYSIQEFTKFSPHDICPAPQRVPMQMVHNSMFNKTSLLLVQGISTLDILRLNISSDSSGRASTKSLVRVKSRDARKIICSAISNTGSLFAYSDQIGPSLFELKKNEFTKCPWSVSRRRLPELPFAHSMIFSSDCSRLIIAGHDRRIYTIDISSLELVYAFTPSREEHEGEAPPKEPPITKLFTSSDGQWLAAINCFGDIYVFNLETQRQHWFISRLDGASVAAAGFHPWNNNVLVISTSSNQVFAFDVEARQLGKWSLLHTYVLPKRYQEFPGEVLGLSFSPSPNSSSVIVYSSRAKCLIDFGKPVEEDEENDLPNGNLSKTLEGKLVNLGLKKGKGTNRKRRLDEYQLEGKTNERKNFEILPSKHPVLFVGHLSKNSILVIEKPWMDVVKSLDSQPVDRHIFGT >scaffold_603083.1 pep chromosome:v.1.0:6:17609468:17610005:-1 gene:scaffold_603083.1 transcript:scaffold_603083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSGSTSSFGSNSGVRKLCECGLPAKVFKSKTDKNPNRRFFGCQLYKEGGNAHCKFFRWLDEEVIGWPKRALAEAQSVIKEKAEKIEELNATILELRGDLERQNLEISSINTEDEKISIELGLQKRIDEMETIVYRQRIVIRGLTGLLVCVVSAIVFCIVSDV >scaffold_603089.1 pep chromosome:v.1.0:6:17688557:17690509:1 gene:scaffold_603089.1 transcript:scaffold_603089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETMNGTISELDKNMRNRMDALESKFESLVTKRVSDVDVKEMKEYKPEFATSNNNEDDEATSKSPSWVVEENPTSHDGLPIQRVVKKVYTVRKKEKKEGEISGDLILFEKKDGTKPERKNYENAALKLEATKTVVKASSKPALAVAVTKVAKKGGSKAAVKEVAAKNPGFKASKIGGAKAAVQDEAATKDGSKAANKSATMAGNKMKMKSITQEDDVVDVTDQVKDDALKMVSSSEDTFSDPGQQHANKVMNATLTAMVEKIKNLDEGMTVGRRVPQLDGSQKYPYVGNSTVKRIITDGGPSSSIPDHLKPASDEKVHQLFDFLEEDEEFLLTLTGLIINVAYGSAMAMFRKRLMREPSVYPNQRITFLDQYMLRELAQDYKHFSGGRKCFKFRDFFAEHLNGTAPIESATHKKWFVDVDHLYACLFVNGDHWVALDIDLPMKRINIYDSIPHLTTIPEMSRQCMFLREMIPAMMSVMVPEEIRKKSTARLEVKRITKKVPVNKDPGDWDIIRWALR >scaffold_603092.1 pep chromosome:v.1.0:6:17727303:17727941:-1 gene:scaffold_603092.1 transcript:scaffold_603092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSTNMDARKMLFAAILSICALSSKKISIYNEEMIVALCFIGFIIFSRKSLGTTFKVTLDGRIQAIQEESQQFPNPNEVVPPESNEQQRLLRISLRICGTVVESLPMARCAPKCEKTVQALLCRNLNVKSATLTNATSSRRIRFQDDRVTKFYTLVGNQFAYSCISKAERVEFIRESLVVLRMVRGGGFS >scaffold_603093.1 pep chromosome:v.1.0:6:17728172:17728756:-1 gene:scaffold_603093.1 transcript:scaffold_603093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIKYFTFSMIISILGIRGILLNRRNIPIMSMPIESMLLAVNSNFLVFSVSSDDMMGQVFASLVPTVAAAESAIGLAIFVITFRVRGTIAVEFINSIQG >scaffold_603095.1 pep chromosome:v.1.0:6:17730153:17730459:-1 gene:scaffold_603095.1 transcript:scaffold_603095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNLENERSPYRGRELAARIDWEVHKLEGKVARNRALDMVRDAQLNIWRQGLDQELVRQQENESRLTLRNSWLRVLLQTREHRPPEN >scaffold_603098.1 pep chromosome:v.1.0:6:17745576:17747618:1 gene:scaffold_603098.1 transcript:scaffold_603098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSERIDSGEAETRTRTNLSQEVEEYIIDTIDHSLGLPISMEALQKKLYTAEESQRRLREQYLALVSRLKEKDHVIDRVRSEASMNAQALKKFVDENQKLASECGNLLSQCKKLEKECLLYHQDRDALMEFGNESDERAREAEARVRELEDEIGRMSEEMQIFKRQIGDGEVDNCTTPLEEDLLDSVLGSLISKDETIMGRLFLEANIQDQSCQALLSKWDHLKPSMQKVLSLVSRAKKFEKEKECIIMNLAKAEQEVELVSTLNRKLDKENRKLLRQQQQSPLCSADRNRNSASAKSNKRKSPKTLSSPVEKRLEFSSPEISRKPLSPVWNNSPDSKMNKI >scaffold_603100.1 pep chromosome:v.1.0:6:17752348:17752769:-1 gene:scaffold_603100.1 transcript:scaffold_603100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSVVVLLFLALIASSAIAQAPGPAPTRSPLPSPAQAPRTAAPTPSITPTPTPSATPTAAPVSPPAGSPLPSSASPPAPPTSLTPDGSPAAGPTGSTPVDNNVAATLAAGSLAGFAFVASLLM >scaffold_603101.1 pep chromosome:v.1.0:6:17756761:17761542:-1 gene:scaffold_603101.1 transcript:scaffold_603101.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATISA3/ISA3 [Source:UniProtKB/TrEMBL;Acc:D7M7P5] MLTSPSSSSSSTFDSFSSNFSPVLTNAFSGFTIPMGLNFSRKVIGARVFSRKVKDRSTLKVSCRRAQERVVEEEASTMTETKQLFKVSTGEVSPLGVSQVDNGINFALFSQNATSVTLCLSLPQSGKDDLSDDGMIELVLDPSVNKTGDTWHICVEDLPLNNVLYGYRVDGPGEWQHGHRFDHSILLLDPYAKLVKGRSSFGDSSQKFAQFYGTYDFESSPFNWGDDYKFPNIPEKDLVIYEMNVRAFTADESSGMDPAIRGSYLGLIEKIPHLQDLGINAVELLPVFEFDELELQRRPNPRDHMVNTWGYSTVNFFAPMSRYASGEADPVKASKELKEMVKALHSAGIEVILDVVYNHTNEADDKYPYTTSFRGIDNKVYYMLDPNNQLLNFSGCGNTLNCNHPVVMELILDSLRHWVTEYHVDGFRFDLASVLCRATDGSPLSAPPLIRAIAKDSVLSRCKIIAEPWDCGGLYLVGKFPNWDRWAEWNGMYRDDVRRFIKGDIGMKGSFATRVSGSSDLYQVNQRKPYHGVNFVIAHDGFTLRDLVSYNFKHNEANGEGGNDGCNDNHSWNCGFEGETGDAHIKSLRTRQMKNFHLALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTALNNFQWKELDAKKQNHFRFFSEMIKFRHSHHVLKHENFLTQGEITWHEDNWGNPESKFLAFTLHDGVGGRDIYVAFNAHDYFVKALIPQPPPGKQWFRVADTNLESPDDFVSEGVAGVAETYNVAPFSSILLQSK >scaffold_603102.1 pep chromosome:v.1.0:6:17771209:17771656:-1 gene:scaffold_603102.1 transcript:scaffold_603102.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7P7] MARVRDGEGVYESTARRPTEPADASVEPERETAYPTEPDASMVKPGSETVGMQTDPTEEAAVDASESQPGNETVELERNDAEGQAIESPIEQSTEAVEAAEEELVGASEEEDETVTAQVYISLRMDYWYSWYN >scaffold_603105.1 pep chromosome:v.1.0:6:17777513:17779414:-1 gene:scaffold_603105.1 transcript:scaffold_603105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGASSAREEFVYMAKLAEQAERYEEMVEFMEKVAKAVDKDELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVSLIRDYRSKIETELSDICDGILKLLDTILVPAAASGDSKVFYLKMKGDYHRYLAEFKSGQERKDAAEHTLTAYKAAQDIANSELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDTTDDIKEAAPAAPKPAEEQS >scaffold_603106.1 pep chromosome:v.1.0:6:17783249:17783486:-1 gene:scaffold_603106.1 transcript:scaffold_603106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHTEVIKYFLEIMLNLEQAILYDDTPFDDDLSIISTELQMHENVASPKCKIQVISDNSYRLFS >scaffold_603108.1 pep chromosome:v.1.0:6:17786433:17786847:-1 gene:scaffold_603108.1 transcript:scaffold_603108.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7Q3] MGNGGKTWIELWIMIINEIKLDSSKCGSNGCLVETSRFVAKTKTSESLTVHLRCAVFHVCSMHNGVPQTIEARTYLPQAIPLCIGLFRHNLFRGQTNPKSRSSKTNILYLHIDQLILKSQEFLY >scaffold_603109.1 pep chromosome:v.1.0:6:17787814:17789298:-1 gene:scaffold_603109.1 transcript:scaffold_603109.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M7Q4] MEEDCEFRHWDELIPDALGLIFSHLALQEVLTVVPRVCKAWNRAVTGPYCWQEIDIELWSNRCHQSDHLDRMLEMLIPRSSGSLRKLSVTGLRNDSIFSFIAQHAGSLKTLKVPRSGLTNSGVVNVAEKLSSLTFLDLSYCCKVGPEAIQALGKHCKSLREFCRNMHPLDVASVVSHDDEAYAIANTMPKLKRLEIAYHRVSTEGVLKILSSCIFLEFLELRGCWDVQLDNKFFKEKFPDMKVLGPRVIGFYDMINDWEDCCSDYFSDGSDYLAWEFFEDGVMGEFYEDEFDHGWDDNFNADNAILDMEPHIWPPSP >scaffold_603111.1 pep chromosome:v.1.0:6:17814351:17814565:1 gene:scaffold_603111.1 transcript:scaffold_603111.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M7Q6] MKLESESFQLNLCSIFLYNAVIFERKPSQDLKLSLLNLIRSDRRKTKKSQSSMEEKL >scaffold_603114.1 pep chromosome:v.1.0:6:17840437:17841679:1 gene:scaffold_603114.1 transcript:scaffold_603114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRFFSRTLIKSQNPILPQISSSSPNPNPNLRTFPFSTSFLITKTPNKLKKRRKKPESARTKSIQHESTKISHFESLVSRDAHFRFLIRSKEFISKQPERILRLDDAGKLYRELGFPRGRKVTRFIPKHPLIFQTYRHNDGKIWLGFSEFMQDLLDEEKGLMELMELDRVNRVRKLLMMTKDKRILLSKIHHTRLLFGIPEDFRDQVAKYPDYFRVVTGGDGNRVLELVNWDANLAVSELERQFMVDEDKAKRAFKFPVKHGKELELEEKDKRKLNQLNTFPLVSPYSDGWKFDVWTLEAEKYRVGIVHEFLNLTLEKRASIHHIVEFKDEFSLTRQTYLMLKKQPTTFYLAGTEMNWTVFLKDGYDESGVLISKDPQVVFNEKLYKYADMQQMEVN >scaffold_603115.1 pep chromosome:v.1.0:6:17841984:17843270:-1 gene:scaffold_603115.1 transcript:scaffold_603115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKPILLLLLLMVIMFINLTNATIRVQICPKGSAKDYILGFQDKSALHRPAFVTEGDDQWLQMASEMIDKNKCDYVALLFYASWCPFSRLLRPSFDLMSLLYSSVPHFAIEESSVKASTLSKYGVHGFPTIILMNSTMGVVYRGSRTLDSLVAFYSDVTGIETLDETWVEKNRLVHHFHTKPENCPFPWATRSPENLLRQETYLTFATVFVLLRLLQLISPTMIVLAKSTWGRVAQNMRPRNLLEHTFAMYLKEPCMSSNLQEGAMNARAWASKSLATVSIGESSSSSRSVSASK >scaffold_603117.1 pep chromosome:v.1.0:6:17866657:17867570:-1 gene:scaffold_603117.1 transcript:scaffold_603117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQRPTMSDAREYLRIVENKFQDKPEKFRTLLKLLHDFRSRRIGTKDVITIVKDLFKGQRELILGFNTFLPKEYEIKLEDVKAPSPITVGFSDAISFINKVRIRFEDDVAVYRTFITMLSMFQKGRMSLSEVYNEVNLLFQEHNDLCLEFYNFLP >scaffold_603120.1 pep chromosome:v.1.0:6:17903589:17904450:-1 gene:scaffold_603120.1 transcript:scaffold_603120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFLDKGNLNWLLKKPKIASSYCMEAEEGSRKRKGQEFVANDEVVKRSESQNFTHTSFDQKRKRYSEDSTNMNNNIEEGYSHHHMSLDLELNLSPSLIDLNHHQDNYYKSYEDDERKKKMMEKDLVLGLETRKSMSRVAFDLDEDCCDRGGVGGGNEEEMVARVCMKCHMLVMLCKASPACPNCKFMHSPEDTSLSLIFTPKPTLLA >scaffold_603123.1 pep chromosome:v.1.0:6:17937894:17938753:-1 gene:scaffold_603123.1 transcript:scaffold_603123.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7M899] MAKTIFGFVLLVITTFTVMLGCCSATVHIVGGSDGWTAKEDDTWTDRPEYHVGDSLIFEYDRNLSDVTQVSGGLEYEFCDSSFPKAVYNTGHDVVTLKEPGSYYFITSNHTQCTSGQKLDVLVTHDPSSPIPPPSPSKILPSGNIYKVGDSKRWSVYDSEFYYQWSKEKQFHVGDSLLFEYNNKVNDVFEISGDLEFLYCDPISPVAVHKTGHDLVKLTEPGIHYFISSEPGHCEAGLKLQVVVGPTANVPKLSPLERLTRWLDSFMFNHH >scaffold_603124.1 pep chromosome:v.1.0:6:17943322:17944181:-1 gene:scaffold_603124.1 transcript:scaffold_603124.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7M8A0] MAKTIFGFVLLVITTFTVMLGCCSATVHIVGGSDGWTAKEDDTWTDRPEYHVGDSLIFEYDRNLSDVTQVSGGLEYEFCDSSFPKAVYNTGHDVVTLKEPGSYYFITSNHTQCTSGQKLDVLVTHDPSSPIPPKSPSKILPSGNIYKVGDSKRWSVYDSEFYYQWSKEKQFHVGDSLLFEYNNKVNDVFEISGDLEFLYCDPISPVAVHKTGHDLVKLTEPGIHYFISSEPGHCEAGLKLQVVVGPTANVPKLSPLERLTRWLDSFMFNHH >scaffold_603127.1 pep chromosome:v.1.0:6:17978824:17979026:1 gene:scaffold_603127.1 transcript:scaffold_603127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARAVYLGEAGQVPTKDDKELELEIVRNLRKRCVESERQISVALEAFPFDLY >scaffold_603128.1 pep chromosome:v.1.0:6:17986302:17986726:-1 gene:scaffold_603128.1 transcript:scaffold_603128.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8A4] MKTIILFLTFLVLLSSCTSIIIQKANLEERTSLDNPSASPSMDQILVDIHLGHSLRQGVMGFCYDCGKACFRRGKYIRSCTRFKCRCSISDIK >scaffold_603131.1 pep chromosome:v.1.0:6:17997697:17997895:-1 gene:scaffold_603131.1 transcript:scaffold_603131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQILVDIHLGQSLRQGVMGFCYDCGKACFRRGKYIRSCTRFKCRCSISDIK >scaffold_603140.1 pep chromosome:v.1.0:6:18147921:18150029:-1 gene:scaffold_603140.1 transcript:scaffold_603140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M8B6] MAMDLKLIAAGLRHCGKIQAFKRGESIQAHIVKQGISQNVFLANNVISMYVDFRLLSDAHKVFDEMTERNIVTWTTMVSGYTCDGKPSKAIELYRRMVESQEEAANEFIYSAVLKACGLVGDIQLGSFVYERIGKENLKGDVVLMNSVVDMFVKNGRLSEANSSFKEILRPNSTSWNTLISGYCKAGMVEEAVSLFNRIPQPNIVSWNCLISGFVDKGSPRALEFLVRMQREGLVLDGFALPCGLKACSFGGLLTMGKQLHGCVVKSGLESSPFALSALIDMYSNCGSLSDAADVFHQEKPALCNTVAVWNSMLSGFLINEENEAALGLLLLLYKSDLCFDSYTLSGALKICINLVNLRLGLQVHSLVVVSGYELDYIVGSILVDLHANVGNIQEAHKLFHRLPNKDIIAFSGLIRGCVKSGFNSLAFYLFRELIKLGLDADQFIVSSILKVCSSLASLGCGKQIHGLCIKKGYQSEPVTATALGDMYVKCGEIDNSVVLFDGMLERDVVSWTGIIVGFGQNGRVEEAFQYFHKMINSEIEPNEVTFLGLLSACRHSGLLEEARSILETMKCEYGLEPYLEHYYCVVDLLGQAGRFQEAEELIKKMPLEPDKTIWMSLLTACGTHKNAGLITVIAEKLLKAFPEDPSLYTSLSNAYATLGMWDQLSEVREAAKKLGAKESGMSWIEFA >scaffold_603146.1 pep chromosome:v.1.0:6:18179678:18180416:1 gene:scaffold_603146.1 transcript:scaffold_603146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFHDVSILRPCITGWHIRVKVLRMITVCINPRNALRLVLVDDKGFKIDCWINSEYAKHYAEFLKEDRWFSFTAFRVLENSDRVRLTNHYFRMSVFGTTVVLPADPPSTEPRDSFTPFSSIIDGTVDESVLIDLIGVLSDVGELVNVGTNPSDLTGFRLSFRIRGPWLVNRYFLPL >scaffold_603147.1 pep chromosome:v.1.0:6:18181452:18182747:1 gene:scaffold_603147.1 transcript:scaffold_603147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLSNAIRNSIRKRECFFSVSDLNPFVHEWKIKVKILRKFYLYETLELILVDEKGQKIHAVINKEYEDRRTSKIVEGNWISITNFDLVPVTGAFRPVPHRFKIVWNSGTTIKDIRPLCSAEFFSFVAFEDIKSGSLDPTLCVDLIGRVVRVGNGRESGPPASDWNELFLELENEEGERLQCRLPNEYSNAFFNEWRNCLDNIIICVLRFARLEVTRDFWRATTVYTCTRILLNYRCVEVTRMRDVFYARREADD >scaffold_603149.1 pep chromosome:v.1.0:6:18186935:18188066:1 gene:scaffold_603149.1 transcript:scaffold_603149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRSSICVSDLIPSMNERMMGRFIIIRRFRCSSRLDTLELILADEKGDRIQASIGLDCLAYDSSRLVEGTWIFIKDFGLVDAVGSVRPTRHAYKILWNLSTSFQRTVVTASVDYFKFVRFEDVLAGLVDPSVCVDLIGRLMCVGNYDEDEGMNSTWEQIYLELENVRGIRIRCRLPKGYATKFFSGLKTCADNIILCVMRFARLELSRGDMRATTLCTCTELLFNPSCDEASRMRLAFASVERKLF >scaffold_603152.1 pep chromosome:v.1.0:6:18195566:18197991:1 gene:scaffold_603152.1 transcript:scaffold_603152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRKSKNSLTFIDDINPIHDQNKIKVLILRLWKAYQRDAGNTIEMVLVDEKGSRIHATVEDKNIKKFDSVLKEGDVVTLNPFKLIKYSGDYKSNSLSFKILFYRTTQVKPCDDFPKEVPEKYFVEFGDVLNGSRDTRVFVDVIGQIVNVGPIEDIKIRGKSTPKLDVELRDRGNVRLICTLWADFAKQVKVYTEANPAAVVCVIRCAQVKEWKGNWTISNAMGATRVLLDPPGVFVDEFRSGLPTDGVVLTNHDNSELFAGSTVSIRDQFLVKNHKRTVRDIVEALEEGMCVTMVTVGSVERTSKWYYVSCKMCNKSVEPYPENSGDDGNPPLYYCGICDKDVSAVVFRYRLVLEVSDATNYKARFLLFDAMGSTLLRRTAQELYNAVSENDPSILPSEIGALVGRRFLFKVSIGGDNLKSDRSHYVVQLFSDDDELIKDYSDGLDSEVLVPLPVTPITKEASVRRGGVSVENPKPPAKKIKIEGKKEESFATT >scaffold_603155.1 pep chromosome:v.1.0:6:18217343:18218840:1 gene:scaffold_603155.1 transcript:scaffold_603155.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7M8D2] MDYPYEDFLDLFFSTNTDTLATAASTSSCGYRLNDLDIDWDCDFRDVIESIMGDEGAMMEPESESVPMLHGQEGLCNSASTSLSLADGVSVEEPKTDESKGLRLVHLLVAAAEASTGANKSRELTRVILARLKDLVSPGDRTNMERLAAHFTNGLSKLFERDIVLRPQQHRDDVYDQADVISAFELLQNMSPYVNFGYLTATQAILEAVKYERRIHIVDYDITEGVQWASLMQALVSKNTGPSAQHLRITALSRATNGKKSIAAVQETGRRLTAFAESIGQPFSYHHCKLDTNAFSTSSLKLVRGEAVVINCMLHLPRFRNQTPNSVISFLSEAKTLNPKLVTLVHEEVGLMGNQGFLYRFMDLLHQFSAIFDSLEAGLSIANPARGYVERVFIGPWVANWLTRITADDAEVESLASWPQWLETNGFKPMEVSFANRCQAKLLLSLFNDGYIVEELGQNGLVLGWKSRRLVSASFWASHESNQ >scaffold_603156.1 pep chromosome:v.1.0:6:18220628:18221323:1 gene:scaffold_603156.1 transcript:scaffold_603156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFLHLLADPCSVSGIAKLEHVKRLEIKFCVIMFREQLHLSLKIGRDLVRLLQDLVYVSEFEDIWNDKVSNHYSDTSQFYRLKTSSRFLELCRQNHHTEQSAKLTLFYDWLFFDDRIDNIIMNVEPSALLMVRSTAVPVCYVLGY >scaffold_603159.1 pep chromosome:v.1.0:6:18318054:18318402:1 gene:scaffold_603159.1 transcript:scaffold_603159.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M8D8] MRIIAYFLLVYYLLCNSITYTSIFCNSTLCNPVACNSIASNYFGFILISGFNSLRFNLGFTIPSNWKLSPSSIHGIRLEINEFDFNLCVSRSISIFVSLYRF >scaffold_603165.1 pep chromosome:v.1.0:6:18387588:18388017:1 gene:scaffold_603165.1 transcript:scaffold_603165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKGHDHHVGNYGGYLKCDACDDRPYSHGIHYCADCEFTVHDKCVFVFSTPETFEHRSHVGHCLKLLTTGAPDHTDQKCHICGKNTKRLLYHCSICKLNLDIDCMVDDMCARARTSEYVVVPSSSTLA >scaffold_603173.1 pep chromosome:v.1.0:6:18473678:18474281:-1 gene:scaffold_603173.1 transcript:scaffold_603173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIPPPIVANILSRIGEQGFRLLGPFIATGPTMKEAFYSREVLLKADLSEFIYNGELAVETSMFRPFLLKCYEKGNITALFVESLWRLTQDGQSQDALDMLAESSTLNLNAHFAFGMMLLCCGAVEEGTYVVDAFLEKVTDLTKGFLIADQVELQIKSMGASGAGVFYRHFNSTRSDLQVSPSSKF >scaffold_603174.1 pep chromosome:v.1.0:6:18501418:18502021:-1 gene:scaffold_603174.1 transcript:scaffold_603174.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8E8] MDPLGQWLDDQDIDRWSPPSSRTASLDDTPPCSLRSSSLSGGSDASSDDYVPSSGPDTPPPSPAKGSTDTSSLEKSGSSKEGSPPPSSEQEMSPPAVAYVEEAESSRRVRRCIGEPQPRSSPRHLGPQSLTMDTKSIQETVKKIGPGGRDFIPGRICYPQDFLTNSDGHVRAKTQDWLAKVSPSIKL >scaffold_603175.1 pep chromosome:v.1.0:6:18503496:18503769:-1 gene:scaffold_603175.1 transcript:scaffold_603175.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8E9] MDRSTIYHSSSAPNSPASEPSSPMPPDSPKFEMERFHRWIGRSQPEVGFPLSSLTSPSVQPIYPWDRVPINGNGPEF >scaffold_603176.1 pep chromosome:v.1.0:6:18505836:18506230:-1 gene:scaffold_603176.1 transcript:scaffold_603176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHHYLSDLNVHSTRWLVHVKILSMWKEPLVNGRVETRIILADEKANRIDANIPNRYYNLNFQAVLKPGLWFCLSDFEVLRAQ >scaffold_603177.1 pep chromosome:v.1.0:6:18519883:18521207:-1 gene:scaffold_603177.1 transcript:scaffold_603177.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M8F1] MAKSSNWPSLLMLVLALYAVAAHTSAQYTYSPPSPPPYVYSSPPPYAYSPPPPSPYVYKSPPYVYSSPPPYAYSPPPSPYVYKSPPYVYSPPPYSYSPPPSPYVYKSPPYVYSSPPPYAYSPPPSPYVYKSPPYVYSSPPPYAYSPPPSPYVYKSPPYVYSSPPPYAYSPPPYSYSPPPSPYVYKSPPYVYSTPPPYAYSPPPYSYCPPPSPYVYKSPPYVYSSPPPYAYSPPPYSYSPPPSSYVYKSPPYVYSSPPPYAYSPPPYSYSPPPSPYVYKSPPYVYSSPPPYAYSPPPYSYSPPPSPYVYKSPPYVYSSPPPYAYSPPPYSYSPPPSPYVYKSPPYVYTSPPPYAYSPPPYSYSPPPSPYVYKSPPYVYSSPPPYAYSPPPSPYIYKSPPYIYSSPPPYVYSPPLSPSYSYSSPPPPIY >scaffold_603182.1 pep chromosome:v.1.0:6:18598186:18601782:-1 gene:scaffold_603182.1 transcript:scaffold_603182.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPKKK9 [Source:UniProtKB/TrEMBL;Acc:D7M8F7] MKKSSDKSPVRRHDTAKQFNSDAESSSSSFTDSESTCSFLTPSMEFPHRISFRQMDLSEAAPSSTNLDITELTRSDSSETELIPSESNKIENLAPNEDISVSTSSSMTRSLFIDRILARMKKSPLLSPGRRGDKTSPVRRLDRRDALRNISYDAGEDCFGSTSSLLMTRSLDFPNRTSFRVGGVDEGEIDRIYRYFDVSGPEDFAISSDAWEAGKERSSSDVVNRLKSLDIDCQEVYSQGLSEAGPSGVVVASNLTLSESNKIEKLSTLTDKEVVDGDTVENKRGIERKPTILVKSRGYLVPNDVVAVGGGIKGVRPPVLNLTLADKEVVDVGMVENKSDIERKPTILVKSKGYLVPNDAVVVGGGMKVIPPVLNLPQEDKEVVDGGTAENRSGIKGVRPSVLKPPPVMKLPPVDLPGSSWDFLTHFAPDSETVRRPSSSSSSENGCDEEEAWDEKVETEETGDMFIQVDDTTDEACSFTTNECDSSSSVSNTSPIYVSGGSINTSWQKGQLLRRGSFGSVYEAISEDGLFFAVEEVSLLDQGSQAQECIQQLEGEVALLSQLEHRNILRYRGTDKDGSNLYIFLELVTQGSLLKLYQRYQLRDSVVSLYTKQILDGLKYLHDKGFIHRDIKCANILVDAYGAVKLADFGLAKVSKLNDSKSCKGTPFWMAPEVVNPKGNDDGYGNPADIWSLGCTVLEMCTGHIPYSGLTPVQAQIRIERGTLPDIPDTLLLDARDFIVTCLKVNPEERPTAAELLNHPFVRRPLPSSGSGSASPLIRR >scaffold_603185.1 pep chromosome:v.1.0:6:18631304:18633806:1 gene:scaffold_603185.1 transcript:scaffold_603185.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complex small chain family protein [Source:UniProtKB/TrEMBL;Acc:D7M8G0] MAGTNDSCPLVKNILLLDSEGKRVAVKYYSDDWTTNAAKLAFEKYVFSKTSKTNARTEAEITLLENNIVVYKFAQDLHFFVTGGENENELVLSSVLQGFFDAVALLLRNNVEKMEALENLDLIFLCLDEMVDQGMVLETDANVIAGKVAMQSAEASGSLSEQTLTQALATAREHLARSLLT >scaffold_603193.1 pep chromosome:v.1.0:6:18714166:18714415:1 gene:scaffold_603193.1 transcript:scaffold_603193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGTPMTQDNQPAVSSFMSNESAETLALGLCEMRGAALKFGKILSIHDEGLVPALVNSKSEPLSFCGS >scaffold_603195.1 pep chromosome:v.1.0:6:18724255:18724639:-1 gene:scaffold_603195.1 transcript:scaffold_603195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRRGNTNSFEEGNTSAHNQITARSEANFKWTYQRKRRLIELYAETLAMNNYSLKDPTPYGREFITRKFNEEFNIRSGKLL >scaffold_603197.1 pep chromosome:v.1.0:6:18766969:18771285:1 gene:scaffold_603197.1 transcript:scaffold_603197.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SULTR1_1 [Source:UniProtKB/TrEMBL;Acc:D7M8H2] MSGTYPDGGGSGARNPAEVRQRVLAPPKAGLLKDIKSVVEETFFHDAPLRDFKGQTPAKKALLGIQAVFPIIGWAREYNLRKLRGDVIAGLTIASLCIPQDIGYAKLANLDPKYGLYSSFVPPLVYAGMGSSRDIAIGPVAVVSLLLGTLCQAVIDPKKNPADYLRLAFTATFFAGIFQAGLGFLRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGFLGIKTFTKKTDIISVMKSVFKNAEHGWNWQTIVIGASFLTFLLVTKFIGKRNRRLFWVPAIAPLISVIISTFFVFITRADKQGVQIVKHIDQGINPISAHKIFFSGKYLTEGIRIGGIAGMVALTEAVAIARTFAAMKDYQIDGNKEMIALGTMNVVGSLTSCYIATGSFSRSAVNYMAGVQTAVSNIVMAIVVALTLEFITPLFKYTPNAILAAIIISAVLGLIDIDAAILIWRIDKLDFLACMGAFFGVIFISVEIGLLIAVVISFAKILLQVTRPRTTILGKLPNSNVYRNTLQYPDAAQISGILIIRVDSAIYFSNSNYVRERASRWVREEQENAKEEGRPAIKFVIIEMSPVTDIDTSGIHSIEELLKSLEKQEIQLILANPGPVVIEKLYASKFVEEIGEKNIFLTVGDAVADCVPRLAEQQA >scaffold_603203.1 pep chromosome:v.1.0:6:18826380:18826995:-1 gene:scaffold_603203.1 transcript:scaffold_603203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSFEKILKSEIFAIVLEMQIYTFLWLLVYNIFYSMIFDTLMQFSFEKILKSEIFAIVLEMQIYTSLVATCVAVIGLFASGDWLLLSKEMEEFQEGQSIYVLNLVGTAVSWQLGSVGSMALIFLVSSLFSNFIGTLSLIVTPLAAIAVSHDKLTEVKMVAMLIAFMGFSFYIYQTYLDDLKVQRAREA >scaffold_603204.1 pep chromosome:v.1.0:6:18846052:18846282:-1 gene:scaffold_603204.1 transcript:scaffold_603204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIPLCFKTREDAETLGLTGQELYTIDLPNSVSEIKPGQDVTVITNNGKSFTCTLSLCSK >scaffold_603207.1 pep chromosome:v.1.0:6:18877887:18879160:-1 gene:scaffold_603207.1 transcript:scaffold_603207.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8I3] MAVNSNHRFLSFSSVLVGFAVKRHDSWVSLSLFAAASVFFSSLDSLIFLQHRQQVKAHMDSHFGVETPAEKKLEDVMPIATGHEKRSLKLNWRVEESSNTATKRKEGGRDVVVAESEELRIEESSNTVEESETEAENEEKTELTIEEDDDDWEGIERSELEKAFAAAAKLL >scaffold_603208.1 pep chromosome:v.1.0:6:18889348:18889808:1 gene:scaffold_603208.1 transcript:scaffold_603208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRIASELHGIFWCIRRLKELRKNSCEIWSDCNAALEALAKPEEYPKYRSHIIKIHQVIRVMREVNFYFYSPKDNSLAKDISCSVTRKGRFTSYLGRQGPHDYTTGLTKNDEVEDATDRWFSFSVFISLLIPCFYV >scaffold_603213.1 pep chromosome:v.1.0:6:18925031:18927700:-1 gene:scaffold_603213.1 transcript:scaffold_603213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYSVSLDFTKPSLFTRIALSTQIPLAMATTVNKTVRVAAAQMTSVNDLMTNFATCSRLVQEAALAGAKLICFPENFSFVGDKEGESVKIAEPLDGPVMQRYCSLARDSNIWLSLGGFQERFDDTHLCNTHVVIDDAGMIRDTYQKMHLFDVDVPGGSSYKESSFTVPGTKIVSVDSPVGRLGLTVCYDLRFPKIYQQLRFEQKAQVLLVPSAFTKVTGEAHWEILLRARAIETQCYVIAAAQAGKHNEKRESYGDTLIIDPWGTVVGRLPDRVSTGIVVADIDFSLIDSVRTKMPIDKQRVSLDL >scaffold_603220.1 pep chromosome:v.1.0:6:18959618:18960370:1 gene:scaffold_603220.1 transcript:scaffold_603220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDFFDGKEPNKGTNPDEVLAYTTAVQGGVLSGEGGEETQKNLNLLKTNILLLDVAPLHLSIDTVGGVMTNIIPRIPTKKSQVFTTYQDQQTTVTINVYEEISMTKDNPELGNFQLTGLLPAPRRKEQFFMGVPQMEVTFEVDANGILQVNTEDKVPKMSQSITITNDKGRLTGEEIDEMIILISYV >scaffold_603224.1 pep chromosome:v.1.0:6:19067999:19068423:-1 gene:scaffold_603224.1 transcript:scaffold_603224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIILSLTFLVLFSSCTSIIIQKANLEERTSLDNPLASPSMDQILVDIHLGQSLRQGVMGFCYDCGKACFRRGKYIRSCTRFICRCSISGIK >scaffold_603226.1 pep chromosome:v.1.0:6:19080901:19081339:-1 gene:scaffold_603226.1 transcript:scaffold_603226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKAGDSTPEELANATQVQGDYLPIVREKPIMELVKLTSEMKSFKAYDKIRLERTNKRHAGARAKRASEAEKEEKK >scaffold_603227.1 pep chromosome:v.1.0:6:19089292:19089490:1 gene:scaffold_603227.1 transcript:scaffold_603227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMLVDIHLGQSLRQGVMGFCYDCGKACFRRGKYIRSCTRFKCRCSISDIK >scaffold_603228.1 pep chromosome:v.1.0:6:19092103:19092301:1 gene:scaffold_603228.1 transcript:scaffold_603228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQILVDIHLGQSLRQGVMGFCYDCGKACFRRGKYIRSCTRFKCRCSISDIK >scaffold_603232.1 pep chromosome:v.1.0:6:19108092:19108326:1 gene:scaffold_603232.1 transcript:scaffold_603232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKNILWSFCYSRLTKSERKKPLKLTRLIPGQSKTITLEYESNLTFEQSGVANSLVFATWE >scaffold_603234.1 pep chromosome:v.1.0:6:19159491:19159935:1 gene:scaffold_603234.1 transcript:scaffold_603234.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LX70] MSYFKRNEGAIVFALASVGFIAFYCINYYIRRCRNQAAAAGDSEEARMSPRRPPRGLDPEAIESFPSFIYTKARGIEPGIGELECVVCLNEFKDDETLRLVPPCVHVFHADCIDIWLSHSSTCPICRANVAPY >scaffold_603236.1 pep chromosome:v.1.0:6:19162956:19164048:1 gene:scaffold_603236.1 transcript:scaffold_603236.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LX72] MNIFTRYRLHRVVSSVILPLFLFHLLPYVTCQQESGSAKPNVQTDFSTESIIAIVMLAIFITLSMVSCCLHCTFYRAETEAAGQEVLHNRARRGLEKEVIESFPVFLYSEVKGLKIGKGGVECAVCLSEFEDQETLRWMPPCSHTFHANCIDVWLSSRSTCPVCRANLSLKPNESFPYPNMDVETGGVQEPPNERSLIGNIANYTTPRSRSTGLLANWKMAEIFVPRSHSTGHSLVQLGENLDRFTLQLPEEVQRQLVSLNLIRRSHMALPQAMSSRQGYRSGSVGSERGGFSEGRQTHLRALSMSLSFSFQTTSVRSTRDRNDQVQETSQAKDKYFGERSFERLMPERV >scaffold_603237.1 pep chromosome:v.1.0:6:19174732:19175068:1 gene:scaffold_603237.1 transcript:scaffold_603237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFIAALLYPMFTSRNLQEVKGLKLGKGGVECAICLKEFEDQETLRWMPPCSHNFHANCIDVWLSSRSTCPVCRANLSLKLGDSFPYPSMDLETGNA >scaffold_603238.1 pep chromosome:v.1.0:6:19175387:19175591:1 gene:scaffold_603238.1 transcript:scaffold_603238.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LX74] MASARLDSSRLTRQLHLHDELALPQSCSRTAARVALVFPVVVHGGFPTLRFSVS >scaffold_603239.1 pep chromosome:v.1.0:6:19177864:19178866:1 gene:scaffold_603239.1 transcript:scaffold_603239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFARDLIYQIVTRILLPLFLSHYLPYVTCQQVSESADRNKKTNLPAETVIAIVILALFISLSIVACCLHNTLYSAEIEAASQEVLHTRARHGLEKEVIESFPSFLYSEVKGLKTGKGGVECAVCLSEFEDQETLRWMPPCSHTFHANCIDVWLSSRSTCPVCRANLSQKPNESFPYPNMDVETGGVQEPPNERSLTCNSVTWNNNANYTTPRSRSTGLPSNWRMAEIFFPRSHTTGHSLVQLGENLDRFTLQLPEDVQSQLVSLNLIRRSQMALPQAMSSRQGYRSESGFPQGRQMHRRALSMSLTFFFSNYFCSVNT >scaffold_603240.1 pep chromosome:v.1.0:6:19179831:19180938:1 gene:scaffold_603240.1 transcript:scaffold_603240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7LX76] MTIFTRDFSHRIVVCVLLPLFLFQCLPYVICQHESADRNGRNKVSSIIGIVLLSLFLFLLVFYCLHYGCCSEEIEAGGHQVLHTRVRCGIDKDVIESFPTFLYSEVKGLKIGKGGVECAICLSEFEDEESLRWMPPCSHTFHANCIDVWLSSWSTCPVCRADLSLKPSESFPYPSMDIETGNARRVVLESPNEISLTGNSVTWNDNANYRTPRSRSTGLLSSWPVAEIFFPRSHSTGHSLVQLGENIDRFTLQLPEEVQRELVSLNLIKRGHIALPRARSSRQGYRSGSVGNDRSGFSQGQQTLSRAISTSLSFSFQPAPIRSTLGRDNLILETSQANDDDFGERSFQRLMPEII >scaffold_603241.1 pep chromosome:v.1.0:6:19181100:19185124:-1 gene:scaffold_603241.1 transcript:scaffold_603241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENSPATAIAPREPPKIQRLEESVVNRIAAGEVIQRPVSAVKELVENSLDADSSSISVVVKDGGLKLIQVSDDGHGIRREDLPILCERHTTSKLTKYEDLFSLSSMGFRGEALASMTYVAHVTVTTITKGQIHGYRVSYRDGVMEHEPKACAAVKGTQIMVENLFYNMTARRKTLQNSADDYGKIVDLLSRMAIHHNNVSFSCRKHGAVKADVHSVMSPSRLDSIRSVYGVSVAKNLMKVEVSSCDPSGCTFDMEGFISNSNYVSKKTILVLFINDRLVECSALKRAIEIVYAATLPKASKPFVYMSINLPREHVDINIHPTKKEVSLLNQEIIIEMIQSEVEVKLRNANDTRTFQEQKVEYIQSTLTSPRSDSTVSPKPSGQKAQKVPVNKMVRTDSSDPAGRLHAFLQPKPHNLPDKVSSLSVVRSSVRQRRNPKETADLSSVQELIAGVDSCCHPGLLETVRNCTYVGMADDVFALVQYNTHLYLANVVNLSKELMYQQTLRRFAHFNAIQLSDPAPLSELILLALKEEDLDPETDKNDDLKERIAEMNTELLKEKAEMLEEYFSVYIDSDGNLSRLPVILDQYTPDMDRVPEFLLCLGNDVEWEDEKSCFQGVSAAIGNFYAMYPPLLPNPSGDGIQFYTKRGESSQEKSDLDGNVEMEDNLDKDLLSDAENAWAQREWSIQHVLFPSMRLFLKPPASMASNGTFVKVASLEKLYKIFERC >scaffold_603243.1 pep chromosome:v.1.0:6:19204416:19204808:1 gene:scaffold_603243.1 transcript:scaffold_603243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISCSYFLILMLVLLGFSIVESKGDRRCTLIIDLAPCHHVHCRLNCYAERNGVGECIASKVGSTPNCVCTYDC >scaffold_603244.1 pep chromosome:v.1.0:6:19207281:19207671:1 gene:scaffold_603244.1 transcript:scaffold_603244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISCSYFLILMLVLSVVEKVKGDKRCTLIIDLSPCYPDDCRLNCYAERNGVGECIASKVGSTPNCVCTYDC >scaffold_603246.1 pep chromosome:v.1.0:6:19216584:19216978:1 gene:scaffold_603246.1 transcript:scaffold_603246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDRRCTLIIDLSPCYPDDCRLNCYAERNGVGECIASKVGSTFNCVCTYDC >scaffold_603248.1 pep chromosome:v.1.0:6:19225698:19226112:-1 gene:scaffold_603248.1 transcript:scaffold_603248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCASTFSTRWSRIVFLLLCSPLLLPLLCLSIPLLCAVEIFSRLLSCIVKAPTSSAVSEVLAVDEDDLRLRRCEEGFGVKEKDEKEESSLLDRYLDDQLSLAKSICEDDGDRDSDSIRVPLLS >scaffold_603249.1 pep chromosome:v.1.0:6:19226652:19230307:-1 gene:scaffold_603249.1 transcript:scaffold_603249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVSSSIVHNSKDAGSLSVSLKASSHRISSLLATSSPPPKIQPNLPSLVFEFSGCLPLGSFRPMLLPVKEKKMSGSANLIVVGVSREDPSFPQVGLGPFSFSSRPIFERCVSSCFLQKSRSGPHPLLFFLSQFLSRFMPPIDRGRQLEHNLSLLLCWFKIYLRTLPLEPPSCIIHEFKSLKKDGIMIPSLRSGDYQNFFNFSSPLHLFTESNQLLLLFSIIRDSPLEFKSLKKNGIMIPSLRSGDYRNFFNFSSPLHLVTKSNELLLLFSIIRNSPLEYKSLKKNGIMIPSPTSGDYRNFLNPSYPLPLIFKLKIVPKEIYIFQDILLFVPTSSLRFVSTNQEAKDFFSTSHDLLIVTTTNFADLLAEVSMTHFEVACERIELWNLENEQFEELVIQPALTYYDRYFQRAPVQTDGGLGWRNIWRRLQQDDAACLQLLRMSLPCFTTLCNILQTNYGLQPTLNISIEESVAMFLRICGHNEVQRDVGLRFGRNQETVQRKFREVLTATELLACDYIRTPTRQELYRIPERLQVDRRYWPYFSGFVGAMDGTHVCVKVKLELQGMYWNRHDNKKWRIISDFPRYNVHIQKRVVMATVGLHNFIRISNFSDADFADVVTETNINNGDFEHDVGDMDAAELADGEHMTEIKDNIANMLWENQNNR >scaffold_603253.1 pep chromosome:v.1.0:6:19248231:19251327:-1 gene:scaffold_603253.1 transcript:scaffold_603253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSNFIHKFRHSAKPYKMEDEEEESPTELNTINGLSSFVVISPDKLSLKYTGVSQHGHDVGVVQANKPAPCNRIAYYFEIYIKDAGVEGRVSIGFTTDSFRIARHPGWELNTCGYHGDDGLIYLGKRQGEAFGPTYTTGDTVGGGINYDSQEFFFTVNGTLVGTVSKYIKGPLFPTVAVHSQNEEVTINFGQEKFAFDFKGYESAERNKQQIAIEKISIPSNMSLGLVKNYLLHYGYEETFHALDLATNSTVPPINGTQEDGIEDTSYALHERKNFRQLIGKGEIDAALAKLRDCYPQLVQNDKSEVCFLLHCQKFIELIRIGALEEAVKYGRVELAKFLGLAAFQVIVEDCFALLVYERPQESNVGYFLEESQREVVADAVNAAILSTNPNNKNQLHSHLETLLRQLTAGCLELRSLNDGQGEAFSLHRLLTTTNWKRTKKTGKL >scaffold_603255.1 pep chromosome:v.1.0:6:19265970:19266832:-1 gene:scaffold_603255.1 transcript:scaffold_603255.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7LX90] MASATSTYARTSCIILPKIQNGTHFRDDTRAFRRITARRVTYASQGPTKPPKPSPGVDTRIHWESPDEGWIGGRSDPTKSVDEDKTNLLSDEKFAELIKDSFDSHYQFLGVSTDADLEEIKSAYRRLSKEYHPDTTSLPLKTASDKFMKLREVYNVLSDEETRRFYDWTLAQEVASRQAEKMRMKLEDPKEQDFRGYESIPDMVDRLGGRNMELSDQAMTALTFDILIILFAVCCIVFVLVFKDPSY >scaffold_603256.1 pep chromosome:v.1.0:6:19275757:19276193:1 gene:scaffold_603256.1 transcript:scaffold_603256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEVSLPLIHEHPMIPWNDLRKGDCCGRLEAISDGYYCKRCDFFVHRECSESSEFIKHPSHSVHSLQLRSNSHNNYCNLCRKDITHLCYRCDICDFEVDLYCV >scaffold_603263.1 pep chromosome:v.1.0:6:19332591:19333924:-1 gene:scaffold_603263.1 transcript:scaffold_603263.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LX95] MEFLQDYCNLHNCPPLRRSEIEYYAMLAKVGVHHYGINRHFGETNMNVHSSRSHTIFRMGGGRSFARETIGRVAPELWQENFEAICRI >scaffold_603267.1 pep chromosome:v.1.0:6:19374947:19376864:1 gene:scaffold_603267.1 transcript:scaffold_603267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTRSSEFEIVSTPVICGHGVKESGAMVISDSDITVIVKLIKIRSNVPD >scaffold_603272.1 pep chromosome:v.1.0:6:19415958:19416209:1 gene:scaffold_603272.1 transcript:scaffold_603272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEASRTARESLELVFRMSNILDTGLDRHTLSVLIALCDLGVNPEALATVVKELRRESIPDSVTTTPS >scaffold_603273.1 pep chromosome:v.1.0:6:19416883:19418966:-1 gene:scaffold_603273.1 transcript:scaffold_603273.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase/ protein binding protein [Source:UniProtKB/TrEMBL;Acc:D7LXA5] MTRSSIAILSLLLMSHLVSAKILLIGKNTSLSFDDIEANFTPMIKRSDQGGVLYVAEPLDACSDLVNTVNVKNGSSVPPPYVLIIRGGCSFEEKIRNAQKAGYKAAIVYDYEDYGFLVSMAGNPSGVLIYGTFVSKATGEVLTQYAGRTDFEVWLMPSFETSAWSIMAISFISLLAMSAVLATCFFVRRHRVRRRRILALNGNDFPRMAKNMLKRMPTTIFKGVCDEASTSISCAICIEDYRIGDKLRILPCHHKFHVGCVDLWLGQRRSFCPVCKRDARSISIDMPASEHTPLLTPSNSMTPTSSFLLSSSSTTPLQSSHELPIFIRVDPSLPSTSMQPHTVPMYLSHSRSHTSFQNGSYRFSRPIPVSRSSADLRNAVSQRSYNSPRLGSLPRSLHSRYTHILSPGNASRSWVVGSSTSQRENSLHLNVSRRSFPHFLSASSLPGC >scaffold_603274.1 pep chromosome:v.1.0:6:19425026:19426735:-1 gene:scaffold_603274.1 transcript:scaffold_603274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTDYSHNNHGWQQVVYRKCHQKQKPADQTASGGKMVGNKTLTNGSYNVFSALMVASEAEETEKAMASLSGAVAKIDPSYLATSLAEYNWRPRYQGQDKLPVIVWMLAQARTILVNRAVRKGEWRIPPSSFEILLRLTFPSSSARVKATKRFEKVYPLLKEVALAPKSATEGKAVEQIFTFSLKLAGEGASGNKRNIGLAKEAVAIAIWSVTENVDCFKQWDILLRRI >scaffold_603276.1 pep chromosome:v.1.0:6:19451330:19451649:1 gene:scaffold_603276.1 transcript:scaffold_603276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDVVPIPTNANYVAFDDLRLGRSTQQVVGRLLRFWDARNIKKDGQFMGIVLLLLDEKCSVIHAF >scaffold_603285.1 pep chromosome:v.1.0:6:19515607:19519786:1 gene:scaffold_603285.1 transcript:scaffold_603285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQSGTERFLGGRSGYNPYVNGGASSDHVAIGIRNGGGGNFGVVNQHGKASRWRRSTRLDRNRRCGVGSLVFVLCVVLVVCVSAYYYFSGYTNFGKDDKGIDTSEGDFLTNVSRTDPLKILKFGHGSVLHGRDSRYWDKDDRRRDEDYNEDDVEDKSVVEERSVSEVKKDVSLRNPLKGSDWKGVGFYNEAGRDELKKYEVEYQASLVKGGQSLKENDGHHQPFDTESNEDDSIDSHDTQGDEYVDMGHDGDENEESHKDNHRHNEDGATEESHKENASVFLHSTTKHQKIEKIHGATSKRSRGKSSLVGAGGKSGKTAQTDTKRRARSHRFSGVSCEMKLLNSSQQIKEPLKTQNFAAPSLQYIEMEDKPDGEEQWEPKFAGHQSLQEREDSFLVQEQKIHCGFVKSPEGLPSTGFDLTEDDANYISRCHIAVISCIFGNSDRLRHPANKMVSSLSRKDVCFVVFVDEITMQTLSAEGQVPDGAGFVGLWKLVVVRNLPYADMRRVGKIPKLLPHRLFPSARYSIWLDSKLRLQLDPLVILEYFLWREGHEYAISNHYDRHCLWEEVAQNKKLNKYNHTVIDQQFEFYQADGLTRFNASDPNKLLPSNVPEGSFIVREHTPMSNLFSCLWFNEVERFTPRDQLSFAYTYQKLTRMNPDTPFNLHMFKDCERRKITKLFRHRSEEKRNLIQAATQ >scaffold_603287.1 pep chromosome:v.1.0:6:19526358:19526855:1 gene:scaffold_603287.1 transcript:scaffold_603287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAVLFLTLLILVFSCTSLVIKESNFEERAYPSHLAATPPIDQSASNKNLGFSSGCNPKCFCYNCTKKYVSNKNLVFSSGCNQKCFCYNCAKSCFRRGRTVQSCQGFVCRCHYGQHP >scaffold_603288.1 pep chromosome:v.1.0:6:19532615:19534660:-1 gene:scaffold_603288.1 transcript:scaffold_603288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSQTQTQISSSPLKQTIAVASIAAGIQFGWALQLSLLTPYVQLLGIPHKWASLIWLCGPISGMLVQPIVGYHSDRCKSRFGRRRPFIAAGAGLVAIAVVLIGYAADIGEILGDRVDSTPKSHAIVVFAVGFWILDVANNMLQGPCRALLADLSGNSGKKTRTANYFFSFFMAVGNVLGFAAGAFTHLHDAFPFTLTKACDVYCANLKSCFFFSILILMSLTIFALWYVDEKQWSPETEKEVNGGGEVDDGNMEITEEVTALSKEVRVPLFGELFSAVKDMERPMVMLLLVTCLNWIAWFPFLLFDTDWMGREVYGGDSGGNVNDNARRVYNTGVHAGALGLMLNSVMLGFTSLGLEWLARGVGGVKRLWGIVNFILAFCLGMTVLITKMAESSRRDSAVLGVVAISPPVGVKIGALSLFALLGVPLAITYSIPFALASIFSSSSGAGQGLSLGVLNLAIVVPQMVVSVGAGPFDEMFGGGNIPGFVLAAVVAAVSGVLALTVLPSPPPEADVLNVSAVGGH >scaffold_603297.1 pep chromosome:v.1.0:6:19664073:19667303:1 gene:scaffold_603297.1 transcript:scaffold_603297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASRTILSLSLSSSLFTISKIPHVFPFLRLHKPRFRHAFRPLYSAAAKTSPTTETNVTDPDQLKHTILLERLRLRHLKESAKPPQQRPSSSVVGVEEENSIRKKSKKLVENFQELGLSEEVMGALQELNIEVPTEIQCIGIPAVMERKSVVLGSHTGSGKTLAYLLPIVQLMREDEANLGKKTKPRRPRTVVLCPTRELSEQVYRVAKSISHHARFRSILVSGGSRIRPQEDSLNNAIDMVVGTPGRILQHIEEGNMVYGDITYLVLDEADTMFDRGFGPEIRKFLAPLNQRALKTNDQGFQTVLVTATMTTAVQKLVDEEFQGIEHLRTSTLHKKIANARHDFIKLSGGEDKLEALLQVLEPSLAKGSKVMVFCNTLNSSRAVDHFLSENQISTVNYHGEVPADQRVENLKKFKDEEGDCPTLVCTDLAARGLDLDVDHVVMFDFPKNSIDYLHRTGRTARMGAKGKVTSLVSRKDQMLAARIEEAMRNNESLESLTTDNVRRDAARSQITQEKGRSVKQMREVSKQRNSRDKPSSSPPARLTGGKTPVRKSSSSSFSKPRKASSPPEKSSKPKRKILKTVGSRSIAARGKTGSDRRPGKKLSVVGFRGKSSSARAS >scaffold_603300.1 pep chromosome:v.1.0:6:19714334:19716392:1 gene:scaffold_603300.1 transcript:scaffold_603300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LXC9] MFLLKTWRSTAFGVYGYMNFTKNGFIEHSKKFKPEDMQLQIEGKNCVVTGANSGIGYAAAEGLASRGATVYMVCRNKERGQEALSKIQTSTGNQNVYLEVCDLSSVNEIKSFASSFASKDVPVHVLVNNAGLLENKRTTTPEGFELNFAVNVLGTYTMTELMLPLLEKATPDAKVITVASGGMYTSPLTTDLQFSGEKFDGVEQYARNKRIQVALTEKWADKYKEKGIGYYSMHPGWAETPGVAKSLPSFSESFAGKLRTSEQGADTIVWLALQPKEKLVSGSFYFDRAEAPKHLKLAGTSKSHDLIDSVIDTVHSMAALDP >scaffold_603301.1 pep chromosome:v.1.0:6:19716597:19718772:-1 gene:scaffold_603301.1 transcript:scaffold_603301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGIFGLIPSSSPDELRKILQALSTKWGDVVEDFESLEVKPMKGAMTNEVFMVSWPRKETNLRCRKLLVRVYGEGVELFFNRDDEIRTFEYVARHGHGPTLLGRFAGGRVEEFIHARTLSATDLRDPNVSALVASKLKRFHSIHIPGDRNVLIWDRMRTWIGQAKNLCSNEHSAEFGLDDIEDEINMLEQEVNNEQEIGFCHNDLQYGNIMIDEDTNAITIIDYEYASYNPIAYDIANHFCEMAADYHSNTPHILDYTLYPGEEERRRFICNYLTSSGEEDIEQFLDDIEKYTLASHLFWGLWGIISGYVNKIEFDYIEYSRQRFKQYWIRKPQVLSFYPS >scaffold_603307.1 pep chromosome:v.1.0:6:19749982:19750229:1 gene:scaffold_603307.1 transcript:scaffold_603307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVISMALIMMILGVMVIEGETKSEIKCSGICRDYCKRSSPASECAACRTKCYQSPPVAMRAKIHFI >scaffold_603312.1 pep chromosome:v.1.0:6:19764942:19765401:-1 gene:scaffold_603312.1 transcript:scaffold_603312.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LXX1] MDAGIEQFVRVSCRLSVSRLNYLINLINAWSTNSIHYDDGVVVEAVYKWVPGSLGLELVGEGGEGDGFGGNNQQGLGGNDQQAVPAAVAGVSDSEGDEAALDEDREAGDDQQSKRKRKREQKEKKDGQEEEKEKKIQKL >scaffold_603313.1 pep chromosome:v.1.0:6:19766273:19767613:1 gene:scaffold_603313.1 transcript:scaffold_603313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRIKLVVPEEVLVKILARLSLTSIARFISVCKEWKSIINSDYFRDQYESLNSSSSISWSIMSTRNQTFASEIVGHHGCQRWGLKNSLGSYMHNKSDTPLRKTCVLSCTDGIVLLYTETIEGAPMYHVGNPLLQQWVQIPLPPHLTVFDVVRLQESMFFSDTGLVTKMEKGIVVGYKVVWMLVSWFVSTKLTFMIYSSETGVWKTENVRCKRSMIWSRLKYSVPLNGILHWLSSIGSDIDANYIVSYDFYNGVDDDECRTIPFPDFQEYQQARCFKRTITMSAGFVVYCNIFSDNGGRTIMVWRLISTDDHPNAWQLSWKLNPICKFYADYFPVVMHPLNYEIIYMWCRNKNAMMSLNLRTFRYSLRKKLSPEEKVKKSMDGCIMRFSGCKEYMDLIYPIFANAIYGGVHDLYFSQYVLPRWLNPLPQRAS >scaffold_603315.1 pep chromosome:v.1.0:6:19791741:19792533:-1 gene:scaffold_603315.1 transcript:scaffold_603315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATGNCRSRLKLLCKKPMIIRKGKSDGVEEAQPSSSLLSSIDFIGLGFADKKSTRGLPAGLVPVVDYLPQGDLPEVELIVGDQTRFAKKEKEVEQEGDGNSHVYKPKVSTWGVFPRPSNISKTLCDWPI >scaffold_603317.1 pep chromosome:v.1.0:6:19802762:19803223:1 gene:scaffold_603317.1 transcript:scaffold_603317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDIEILPDADASTQKIRMFGIDDREDKNGRRRIKDVEVYVPIVYGSIAFYLGKKGTE >scaffold_603318.1 pep chromosome:v.1.0:6:19808596:19809129:1 gene:scaffold_603318.1 transcript:scaffold_603318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVREAIKKQTDVAMILFGHVLSSTPKDSNVIFSPASINSAITMLAAGPGGDLVAGKILSFLRSSSIDELKAIFRELSSVVYADSSASGGPKIKAVNGLWIEKSLPTDPKFKDLFENFFKAVYVPVDFRSKVNFHFNLAFFRKKKRKSRVWQNITKLGFGRNI >scaffold_603319.1 pep chromosome:v.1.0:6:19810917:19812210:1 gene:scaffold_603319.1 transcript:scaffold_603319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPEEMMINIIVRLPLQSIVRFKLVCREWKSLTESAFFNDLYQSISNSTSSNWPILHGSYRCTTSYLEEVQLNLPREPWHGNSHNWSFTSGVIRKYTQNNNKIKEIWVVACADGLVLLCLLDEDMTKRYYIGNPMLQQWIQLSPPPYLTQYHFFIDSGLVTRMHNGALLSYKVIRVYSEARHLDVSRTWTFQIYSSEMGKWSVQHVSCPGDGVSQQTSNPVSLNGKLHWFHESRRIMVHDFFSHDDQVREICLPARMLGSRWHLSCIRHGCASPCNKIICTTSQGYFVLIEAGLIDKVKSYNVRVWRLKSDSWNWEKAWEINMACVGLGLNSVPMAINYFDINIIYLWDLDRKFFIACNLRTHTKSHGNRKHGPPYKEDTMCLESRSCISQFVPSLQVVPTLGTHDDIDLVLALY >scaffold_603320.1 pep chromosome:v.1.0:6:19814477:19815773:1 gene:scaffold_603320.1 transcript:scaffold_603320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPDIEIRMEEIDVNLPEELVINIIARLPLQSIVRFKLVCKEWKSLMESAFFRDLYQSNSNWSILHGSYRCINSCLEELELNLHGSESCHGNSQYWSFPSGLIHKYTQNNNKVKEIWVVACADGLVLICLLEEDITKRYYIGNPVLTQWVQLSSPPYLPQYRYHFIDLGLVTRMHNGALLGYKVIRVYSEARHLAVSRTWTFQIYSSDTGKWSVQHVSCPGQGVSPLTSNPVSLNGKLHWFHESRRIMVHDFFSHDDQVREICIPARMLGSRWHRDDRGSCIRHGCASPCNKIICTTSQGYFVLIEAGLIDEVRSYNVRLWRLKSDSWSWEKAREINMACVGLGFKCVPMAINYFDIDIIYLWDLDRQCFVICNLRTNTKYYGGRKRGAPNKECTICYESRSCLSQFVPSLQIVPT >scaffold_603322.1 pep chromosome:v.1.0:6:19834506:19835274:1 gene:scaffold_603322.1 transcript:scaffold_603322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKASLAVEPPLVVESSLGNLKSSPWSELDGLQPETKIINEQLVKINSKGFLTINSQPSVNAERSDSLTVGWGGPVGYVYQKAYLEFFCSKEKLDAVVEKCKALPSITYMAVNKGENWVSNTAQSDVNAVTWGVFPAKEIIQPTIVDPASFKVWKDEAFRTW >scaffold_603325.1 pep chromosome:v.1.0:6:19850013:19852882:1 gene:scaffold_603325.1 transcript:scaffold_603325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVVIEEFSTDPAGNLDFSEFVVVPESSPEDGSVLEVTCDLGLQQKPETLTAHNFFTDPAELPMVTETLLGDGPVMEVGLTELPMVAETLLGDGPVMEVGLTELPMVAETLLGDGPVMEVGLTELPMVAETLPEDGPVLEVGLTELPMVTETMPGDGLVMEVGLTEFPMVTETLPDDGSVLEVSCELRLEQKPARTLLLVGRSGNGKSATGNSILGKPAFKSKGRASGVTTVCESQSSILPNGQIINVIDTPGLFSLSPSTEFTCRELLRCFSLTKEGIDAVLLVFSLRNRLTEEEKSALFALKILFGSKIVDYMIVVLTNEDSLEEDGDTFEEYLEDSPDFKEIFKACNDRKVLFQNKAKAHESQKAKQVQELLNYVEEIARKNGKPFMDDLSHELRENETAFQIKQRDILEMKGWYTKQEMSQKLKDMERSFENQQLRQMMERVETQLRETKERLEQQLNQEQASRLEMEKRAKEVEKQSSDVVKKLNEEQTARLELEKRAKEADMQLSDVVKKLNEEQAARLELEKRANEVEKQSSDVVKKLNEELAARLELEKRAKEAEEHSTDVVKKLNEEQAARLELERRAKEAEEHSTDVVKKLREDLEKAEKMTKELEEKAKQHCIIL >scaffold_603326.1 pep chromosome:v.1.0:6:19853541:19855264:1 gene:scaffold_603326.1 transcript:scaffold_603326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVCELQSSTLPNGQILNVIDTPGLFSLSPSTEFTCREILRCLALTKDGIDAVLLVFSLRLTEEEKICAFHALEDNGDTFEEYLNDCPDFKEILEACNDRIVLFENKTKAPEIQKAQQVQEVLNYVEEIARTNEKPYMDDLSHEIRENETAFQEKQRQILEMKVNQQEMSHMIKDMVESHENQQLSHMMERVETKLKDTQTRLEQQLKEEQAARLEMEKRANRVEKHSSDVVNRLRRDLERADRMIMQVKTKSNKCIIL >scaffold_603332.1 pep chromosome:v.1.0:6:19878159:19879101:1 gene:scaffold_603332.1 transcript:scaffold_603332.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LXZ4] MQMLHLCVSARHLSRRGFLDLPRRRTLIKSCRLEKEIPIRAVLSGDGTALAADSKEAGLCGKLKKSRSSFTVEAWIPLSLCHGLRRTTVVKLYVSPPMLVRYITKMPNVDSFS >scaffold_603334.1 pep chromosome:v.1.0:6:19888592:19891276:-1 gene:scaffold_603334.1 transcript:scaffold_603334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISVVNSSLCHPIASFPSSTFHSHRPHRFSNRISGNGSWVRERRRLSVKSSNSEAKSNESSQKSSSNNTSSFLSFLCPLLKVFSGGDPSQRRNHALEVATSSLASVARLPWGSRVSTGSIDNQDVSSNPPLRLQLFEFEACPFCRRVREAMTELDLSVEVYPCPKGSVRHRELVRRSGRKEMFPFLVDPNTETLMYESGDIVLYLFKQYGNGRGPSTGLLESTLFTGWMPTLLRAGRGMSLWDKASTDLPPKMLELFSYENNPYSRLVREALCELELPYVLHNIGEGSTRMKSLLKASGSNKVPFLVDPNTGVQLGDYQKILAYLFETYSSAASA >scaffold_603335.1 pep chromosome:v.1.0:6:19896520:19898455:-1 gene:scaffold_603335.1 transcript:scaffold_603335.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7LXZ8] MVAMKKVRFVNMDPESVRFMAREIHILRKLDHPNVMKLECLVTSKLSGSLYLVFEYMEHDLSGLALRPGVKFTESQIKCYMKQLLSGLEHCHSRGILHRDIKGSNLLVNNDGVLKIGDFGLANFYHPEQDQPLTSRVVTLWYRAPELLLGATEYGPGIDLWSVGCILTELFLGKPIMPGRTEVEQMHKIFKLCGSPSDDYWKKTKLPLATSFKPQQPYKRVLLETFKNLPSSALALVDKLLCLEPEKRGTASSTLSSKFFTMEPLPCDVSSLPKYPPSKELDAKVRDEEARRKKAETVKGRGPESVRRGSRDFKSTAITPEFVASGHSKDTITTKRFNPQEDSRTGLRGETGRGDREKGFSHTNSMIHPSIAATWSKNESSRNNVVELKATRSSNVPMTGRYLSPSHKEDVAVETTTTYVRKKNRMHCSGPLMPPGGNIEDILKDHERQIQEAVRKSRLEKSATRKNNKTCAK >scaffold_603337.1 pep chromosome:v.1.0:6:19901988:19903996:1 gene:scaffold_603337.1 transcript:scaffold_603337.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LXZ9] MVDLLNSVMNLVAPPATMVVMAFAWPLLSFISFSERVYNSYFATENMEDKVVVITGASSAIGEQIAYEYAKRGANLVLVARREQRLRVVSNKAKEIGANHVIIIAADVIKEDDCCRFITQAVNYYGRVDHLVNTASLGHTFYFEEVSDTTVFPHLLDINFWGNVYPTYVALPYLHQTNGRIVVNASVENWLPLPRMSLYSAAKAALVNFYETLRFELNGDVGITIATHGWIGSEMSRGKFMLEEGAEMQWKEEREVPANGGPLEEFAKMIVAGACRGDAYVKFPNWYDVFLLYRVFTPNVLRWTFKLLLSTEGTRRSSLVGVGSGMPVDESSSQMKLMLEGGPPRFPASPPRYTASPPHYTASPPRYPASPPRFAQFNIQEL >scaffold_603339.1 pep chromosome:v.1.0:6:19921289:19923663:-1 gene:scaffold_603339.1 transcript:scaffold_603339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQEETAEVLRDVDKFLNHPWGRISFDMTMSCIKSREASGLAQTSFAVQGFVHALQLVLLEDVLDIEKSMPVDTPVFVDDDSEEEGVVVGVVALAKLIGHGGSPSRLVSRLKGESGAGLVGAKVVAPKAVAPVTKGKVKPPTRAKKAVQPKRKRMRVDGRLRQIRDDDETETATDPVGDESKTAGRKETMEDFRTDSQQREASVTMDDVKTEQRDGDELDDVHPDDTIKSVLDSLNLSGEAKDVASKSVNDDAECQGKESSEAGTLSLFPTKTSAGSEENLSGERDVIDKKWLEVEKNLAVGRKINFGGSLFLVAKDMEEILGLQVVIGPWMMDSFIKYFRDKWATLEVSLSEPRVVFQGSKFDFLVLSHRIKFENSVKKKYVFDQDLMLCFPLNFDTLYFPFNFDKQHWIGMCLDIRGIYLYVFDCNQKMCRDTRLRKEMETLLEMLLFVVRQVSP >scaffold_603342.1 pep chromosome:v.1.0:6:19933467:19933680:1 gene:scaffold_603342.1 transcript:scaffold_603342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMSMLIIYLLFIGGDKTAIKSESGNVMDSGPNDRIFIFYIDHGGLGVLGEFNKTK >scaffold_603350.1 pep chromosome:v.1.0:6:19993134:19993694:1 gene:scaffold_603350.1 transcript:scaffold_603350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQYVIVCFDVKAEKFRFIDGWYIHQLINYKGKLGGVNLHYEQHSEWNGGWYIRELRLWVLEDVEKQEWSKYVYPFWENGYRNPLSVVGMTMTSEIVLSETWGSRPYNVFYLSPERKTFQCVNFQGVGAKHEKFNHCGIVYAFVDHVEDLSVNDAKQLKSSIYALDRSLYV >scaffold_603358.1 pep chromosome:v.1.0:6:20038285:20039837:1 gene:scaffold_603358.1 transcript:scaffold_603358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSKGRLKAWFNKKITDPLLQILRRGTEPKQLAFSAALGITLGIFPICGVPVFLCGVAFALLGSACHAPTVMLANIIATPVELALVVPFLRLGEKVTGGPHFPLTSDALKKVFTGQASQEVFLSIGNALLGWLVATPFFFITLYVVLLPCFKILVRKFGAGNSTPKLPTTSMETELNPKPRDA >scaffold_603359.1 pep chromosome:v.1.0:6:20040121:20041720:1 gene:scaffold_603359.1 transcript:scaffold_603359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYIGGGVAPTERGGGASPFCSGGHVLTFEAIFGKYDRVLKELPKGNWFCSMDCTRINSTLQKLLLGGAEKLSDSCFGIIQTNATVVAAGLLRVFGREVAELPLVATRMCSREKGYFQLLFSCIEKLLSSLNVGSIVVPAAEEEEHLWMNKFGFRKLAPEQLSKYIKICYQMVRFKGASMLQKPVDSHQIIENGNFGFETSQLVVCSSFALK >scaffold_603369.1 pep chromosome:v.1.0:6:20109553:20110712:1 gene:scaffold_603369.1 transcript:scaffold_603369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRNRIDLPEDLVVEILSRVPAESLVRLRTTSKRWNVLIKDGRFAKKHYANAPRHSLIIMLIAFRVYLVSVDLHEINNNKIDICKVFHCDGLLLCTTIDNRLVVSNPCSCEIKWIQPRNSYKKFDIYAFGKSSCNKYKILRMDQFDYTSPVLLDYEIYDFNSNSWRVIGKIIREWFIPRCTDRGMSVNGNTYWLASTNDFTSGHFKLGFDFSTESFARVSLPGDHLPDRIFALSVTREDPKICIATRSIIQELHIDVWIATTIESTGAASWRKFLSVNLANLYKPFCFARGMNFLVDQENKVLVCRGKYWVSDVFLHVVGKDKCIQVDHHDAESRCSLVDNYVPTLVQIQQGL >scaffold_603371.1 pep chromosome:v.1.0:6:20137776:20138042:1 gene:scaffold_603371.1 transcript:scaffold_603371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAYKGYLVSVDLHGIHNNKVKLTSQFSLKDPFCESCREVDIHNIFHCDGLLLYTTMDNRLVVWNEAKGKYES >scaffold_603375.1 pep chromosome:v.1.0:6:20142827:20143126:-1 gene:scaffold_603375.1 transcript:scaffold_603375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASKTWMVAASIGAVEALKDQLGVCRWNYVIRSANQYLRNNLRSVSEAKKLSSSSFDYTNKTKQAEESLRTVMYLSCWGPS >scaffold_603376.1 pep chromosome:v.1.0:6:20145398:20145590:-1 gene:scaffold_603376.1 transcript:scaffold_603376.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LY33] MVSSGDSGVDEEPMVHGASTVVRCTLPARSPNRNQGSLWQEEASAGCYR >scaffold_603379.1 pep chromosome:v.1.0:6:20148428:20149303:-1 gene:scaffold_603379.1 transcript:scaffold_603379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHFLTLSLLLVTVCVCVSFITTKLNPKEAILSVSSDSEIPTEIHGVKILRQASDTKLAQLGVASWPKWEGAPSKFPWEFKKTETMYFMEGKVKVNVDGFDEEEETFEIGKGDVVVFPKEMKVVWEITEAVKKHYSLEE >scaffold_603385.1 pep chromosome:v.1.0:6:20204130:20204440:-1 gene:scaffold_603385.1 transcript:scaffold_603385.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LY39] MEVAEDAGESEKLAAGSPRIRSGGTYVAATSVRVAVKEYVKCRFFSSSETAKTIGVRFFSSSESAKTSSGGYFSSSESAKTKLGIVFVG >scaffold_603392.1 pep chromosome:v.1.0:6:20237615:20237824:1 gene:scaffold_603392.1 transcript:scaffold_603392.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LY45] MLSPSRRISGEVDSPHSAAIHLCLIFSDKFLSCSSNCFMLVSHRRWKPFLRVACR >scaffold_603393.1 pep chromosome:v.1.0:6:20253659:20255128:1 gene:scaffold_603393.1 transcript:scaffold_603393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGFDDAFVSSRQLLLLASICSGALMCKIVYDLTSFISPLFFSVYGNLNNKVKMEWNNRGFSTFHAVFTSVASIYFLVISDQFDENVHGDSVINSTTRLSESVMGISLGYFIADLAMIFWHFPTLGGIEYVFHHLISMFAIFLSVTSGQSQFYIFLVLLSEATTPFVNLRWYLDNSGQKGSKAYMLNGIALFLGWLVARILLFIYFFVHMYLHFHQVKQVFPLGFYSLLTLPPALAVMNLLWFWKITKGLIKTLSKAKTSGMKKQ >scaffold_603394.1 pep chromosome:v.1.0:6:20256087:20259369:-1 gene:scaffold_603394.1 transcript:scaffold_603394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEAEVGSVVVMAPPTPGTPGTPGGPLITGMRVDSMSFDHRKPTPRCKCLPVMGSTWGQHDTCFTDFPSPDVSLTRKLGAEFVGTFILIFTATAGPIVNQKYDGAETLIGNAACAGLAVMIIILSTGHISGAHLNPSLTIAFAALRHFPWAHVPAYIAAQVSASICASFALKGVFHPFMSGGVTVPSVGVGQAFALEFIITFILLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSTGGSMNPVRTLGPAVASGNYRSLWVYLVAPTLGAIAGAAVYTGVKLNDSVTDPPRQVRSFRR >scaffold_603397.1 pep chromosome:v.1.0:6:20314507:20317972:-1 gene:scaffold_603397.1 transcript:scaffold_603397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEEVKRIIDEVKDLHDSAASFISSSSQQELSLRQKASAVDSSIRRLHSTLVSNKNLDPKLVEKLEEDLHRARCMLVDGETSSFLPSKPQGRFVRMFCGPVNVRALRKDVQLKVKEEYNRYRDKTALLFLFFPATLLILRSYYWGGCLPAFPVQLYEAWLLFLYAGLAMRENILRANGSDIRPWWLYHHYCAMAMALVSLTWEIKGQPNCVQKQRGVHLFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLWLLCPILFILQVFEAYVGLLLLRKTVTGVVNEWQVMVCGILLVVMAVGNFINTVETLIAKSRVKAKMKRSKSRAELN >scaffold_603399.1 pep chromosome:v.1.0:6:20323047:20324469:-1 gene:scaffold_603399.1 transcript:scaffold_603399.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L9 [Source:UniProtKB/TrEMBL;Acc:D7LY55] MKTILSSETMDIPDGVAIKVNAKVIEVEGPRGKLTRDFKHLNLDFQLIKDEVTGKRQLKIDSWFGSRKTSASIRTALSHVDNLIAGVTQGFLYRMRFVYAHFPINASIDGNNKSIEIRNFLGEKKVRKVEMLDGVKIVRSEKVKDEIILEGNDIELVSRSCALINQKCHVKKKDIRKFLDGIYVSEKGKIAVEE >scaffold_603400.1 pep chromosome:v.1.0:6:20328613:20329015:-1 gene:scaffold_603400.1 transcript:scaffold_603400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGVLLILPCILIFLVLSHVEEVEAMKKFGCNTTHPFPGKCGNNGRSTCVSDMKKIPGAPKNRDIRCECSDRPSLTSRFPGERICRCDYDC >scaffold_603401.1 pep chromosome:v.1.0:6:20337596:20337896:1 gene:scaffold_603401.1 transcript:scaffold_603401.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LY57] MAKKGAKIRAQPFSPDYVSPIASRQAQSLIQGNLRAAIVNRRSSGVTYTARDSAVNISSSDESFDSSHSPFFLHASFSSKFNSCFA >scaffold_603402.1 pep chromosome:v.1.0:6:20340831:20341131:1 gene:scaffold_603402.1 transcript:scaffold_603402.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LY57] MAKKGAKIRAQPFSPDYVSPIASRQAQSLIQGNLRAAIVNRRSSGVTYTARDSAVNISSSDESFDSSHSPFFLHASFSSKFNSCFA >scaffold_603405.1 pep chromosome:v.1.0:6:20353233:20354005:-1 gene:scaffold_603405.1 transcript:scaffold_603405.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYN9] MKKWLGTQASINIAKVLSIQELMNSTPRHYYDEHGVVGPFIDNEVGILNVYALAHHFRESGTIYEEDCPITEEQVFLDESTTTEGCERIIPSICDVHSIYDYIADYGGEDGVSMFHQELEYQLREGGPLSIQFEVYPSYYTTQNLSSFLLVDTMSSPLH >scaffold_603407.1 pep chromosome:v.1.0:6:20358301:20360668:1 gene:scaffold_603407.1 transcript:scaffold_603407.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7LYP1] MSSLIMKSLGSIVSIITRIFSFRRGRYVSNPRTTTHISYFRMSRKRPLSLQTVELKVRMCCTGCVKIVRNAISKLRGVDSVEVDRELGRVRVVGYVDRNKVLKAVRRAGKRAEFWPYPEPPLYFTSTQNYFVDPSKEFKESYNYYRHGYNGTEQHGNIPVGSRGDDRVSNMFNDDNVNACRLM >scaffold_603408.1 pep chromosome:v.1.0:6:20364276:20366610:1 gene:scaffold_603408.1 transcript:scaffold_603408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQCDRSLSPFVTSLSSSFVASESDSEDSLWNDFTFDFSFLTSEDHKICPNDATTVPKAKESGHSVFGVTSITPVARSADIANDNSGFDGVEGRFSCGKDESACSGKFLVRKDSNCSPVFSKVSAPRIECTNGSGIDKKNLCSANRSVLSEKSSIQDDIVGDSSCWRRTRSHNTVALGVKSLNFRRFSDDQNCLNGLNPLAPQYIPSNGKKNLDKNGRVFEENCSFSEAGIEQGSNQNTRRSVLIHHVDESLGLVSQESLSNTMSVLDIDKEFQKSTKLDPLAPVFVPACAKLSAFVREKHGAADHMITMETNVGSTSGLSSSDNMLLSNVKVETHSSEVHGSLKNRYSNNAHEWGTTFSFNPQLRFQVQISENTKLDRSSNKSRGRKKLNPLAPQFSLADNKQKVYGCEKKQAANDLPAMGNGSVLISPIGSSSSDVRALSDFGDRHRCSTSSPSPKVDVKKLLTTIHGLSELLTHVHSSETSDLPNEQYLDLINCTVQNLNSYINNRVQEHTGNHSSAVHSSCDLYSLPYIRKQSIRDHQPPKAKITSASVNVKRKEKYSMVSGDMVPDSHFQFGVNKENGFGKAIGNHHQTEEQINLGVF >scaffold_603416.1 pep chromosome:v.1.0:6:20416481:20419730:-1 gene:scaffold_603416.1 transcript:scaffold_603416.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7LYQ1] MRNYRTSIVVVLSLVIFLNVARAGSERKVVHIVYLGEKQHDDPEFVTESHHRMLWSLLGSKEDAHNSMVHSYRHGFSGFAAKLTKSQAKKIADLPDVVHVIPDSFYKLATTRTWDYLGLSAANPKSLLHETNMGEQSIIGVIDTGVWPESEVFNDNGFGPVPSHWKGGCEIGENFTSSLCNKKLIGAKYFINGFQAENESFNSTDSLDFISPRDFDGHGTHVSTIAGGSYVPNISYKGLAGGTVRGGAPRARIAMYKACWYLDDEDITTCSSADILKAMDEAMHDGVDVLSISLGSEVPLSDETDIRDGMTTGAFHAVLKGITVVCSGGNSGPDSLTVTNTAPWMVTVAATTLDRSFATPLTLGNNKVILGQAMYTGPELGFTSLVYPENPGNSNESFSGTCEELLFNSNRTMEGKVVLCFTTSPYGGAALRAARYVKRAGGLGVIIARHPGYAIQPCQDDFPCVAVDWVLGTDILLYTRSSGSPMVKIQPSKTLIGQPVGTKVATFSSRGPNSIAPAILKPDIAAPGVSILAATTNTTFSDRGFIMLSGTSMAAPAISGVVALLKALHRDWSPAAIRSAIVTTAWRTDPFGEQIFAEGSPPKLADPFDYGGGLVNPEKAANPGLVYDLGLEDYILYLCSVGYNETSISQLVGKRTVCSNPKPSILDFNLPSITIPNLKDEVTLTRTLTNVGLLKSVYKVAVEPPLGFKVTVTPETLVFNTRTKRVSFKVKVSTKHKINTGFYFGSLTWSDSMHNVTIPLSVRTQILQNYYDEN >scaffold_603417.1 pep chromosome:v.1.0:6:20421530:20422149:-1 gene:scaffold_603417.1 transcript:scaffold_603417.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYQ2] MEDKNERIATLEKEMEEHKAAIEEQKAENMRWDAEYNKKDDQMEMFMNEMRAKLPSEEKVHVLPNNFHLTRPICSFCEKRCTHK >scaffold_603420.1 pep chromosome:v.1.0:6:20432763:20433502:1 gene:scaffold_603420.1 transcript:scaffold_603420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMFIKHIMFPLSLLLLLFIASPTTNAMLIKDMYTFCKETADVDFCLKYIGTDKRILAAKDFNDVLLIAFSQCKIQVSEATKQINKVRQNFSGPNGKRRIKRCEINYGLASALFDEAYEIGILKGVQNKAHNAALDGLDYVRACEDEWKINGPVQKSPLTFYNTNIDKLFSIIFIIVQKLNG >scaffold_603421.1 pep chromosome:v.1.0:6:20436394:20436727:1 gene:scaffold_603421.1 transcript:scaffold_603421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYIKSAKHLYFTRKCSSLLITKIEILCLYITVSGVDQRRQVKQTWCSRPLPNQTGKCIIEEYESKCRQKWKGNRTEATCRHQCTCHFRCPWLQGQA >scaffold_603423.1 pep chromosome:v.1.0:6:20448889:20449733:1 gene:scaffold_603423.1 transcript:scaffold_603423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLAKHIIFPLSLFLFVLHEFPVANAMLVKDMHAFCKETNDVNFCLKYIGTDKRILAARDLNDVLLIAFSQCKIQVTNAAKQINKVRQKFSGPIGKERINFCERNYGLASTMFQEAYEMGQQKMLAYRAHLTAQVGLDYVRDCEDEWKKNGPIQKSPVTFYNTNVVKLFSIIRLIISKLKH >scaffold_603430.1 pep chromosome:v.1.0:6:20494983:20495319:1 gene:scaffold_603430.1 transcript:scaffold_603430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFNLPKCKLSFQTIAFSSSPTSGICAVIALRPLMRYSVRSSICYLGAIEWITQDFPCSHGFDPYMHSNLFYANDHFYCFSSGGILVVPHNESSSME >scaffold_603431.1 pep chromosome:v.1.0:6:20495527:20495764:1 gene:scaffold_603431.1 transcript:scaffold_603431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSVYFPKYGLHGKGCVSYSFDEGRYYPRKQLPKPTICQKQKELCPLRSLWIEPPFKDILDLMK >scaffold_603434.1 pep chromosome:v.1.0:6:20506460:20507567:1 gene:scaffold_603434.1 transcript:scaffold_603434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKWRAIMKSRLTMSFTVVEMLQVQGRVVYPRLRIFVRSSAFWTETVFSNLSNSNYRLFAVDLLGLGKSPKPADSLYTMREHVEMIEKSVLLFFSNLPYHQVQVPAIEAEPRQYVMRKVAPPRVWPPIAFGTSMACWYACTH >scaffold_603435.1 pep chromosome:v.1.0:6:20508009:20511279:-1 gene:scaffold_603435.1 transcript:scaffold_603435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRNGNARAPSGGVPPKAGNTYSIDVKNFISRARALYEHWKKHSADLWGSADALAIATPPASDDLRYLKSSALNIWLLGYEFPDTIMVFTSKQIHFLCSRNKASLLEVVKKAAHDELKVDVIMHVKPKGDDGTGLMDAIFLAIRDLSRGDGNDSQVVGHIAREAPEGKLLETWTERLKNANFQFVDITGGLSDLFAVKDDTEVMSVKKAAYLAYSVMKNVVVPNLESAIDEEKDVTHSALMDITEKAILEPTKASVKLKAENVDICYPPIFQSGGKFDLKPSAASNDELLTYDPASIIICAVGARYNSYCSNVARTYLIDATSLQSKAYEVLLKAHEAAIDALRSGRKINTVYQAALSVVEKNAPEFVDKLTKSAGTGIGLEFRESGLNINAKNDKVLRPKMAFNVSLGFQNLECESESRSKNKKFSLLLADTVLVTDQKPELLTKCSKSVKDVAYSFKEDEEEEKPRKKARTSGSENYMTKTALRSDDHVVSKEELRKQHQAELARQKNEETARRLAGDRSGAGDSRSTAKTSADVVAYKNVNDMPHRDLMIQVDTRNEAVLLPIYGSLVPFHVATIRTVSGNQDTNRNCYIRIIFNVPGTPFNPHDSNSLKNQGAIYLKEVSFRTKDSRHSSEVTQQIKTLRRQVMARESERAERATLVTQEKLQLAGNKFKPLRLSELWIRPPFSGRKKIPGTLEAHANGFRYSTTRPDERVDVLFANIKHAFFQPAEKEMITLLHFHLHNHIMVGTKKTKDVQFYVEVMDVVQSLGGGRRSAYDPDEIDEEQRERDRKNKINMDFNHFANRVNDMWQLPQFASLDLEFDQPLRELGFHGVPHKTSAFIIPTSSCLVELIEFPFLVVSLSEIEIVNLERVGFGQKNFDMAIIFKDFKKDVLRVDSVPTSSLEGIKEWLDTTDIKYYESKLNLNWRQILKTITDDPQSFIDDGGWEFLNLDGSDSESGGSEESDKGYEPSDVEVESESEDEASESESLVESDDEAEEEDSEQESEEEKGKTWDELEREATNADREHGVESDSEEERKRRKMKAFGKSRPGTSGGGGRSSMKNMPPSKRSKHR >scaffold_603436.1 pep chromosome:v.1.0:6:20512336:20512528:-1 gene:scaffold_603436.1 transcript:scaffold_603436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPQSTVPDSTNPDNTVLQTKDVQDSISHQTAHAESVAREPQSPTPF >scaffold_603440.1 pep chromosome:v.1.0:6:20524952:20526070:1 gene:scaffold_603440.1 transcript:scaffold_603440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HpcH/HpaI aldolase family protein [Source:UniProtKB/TrEMBL;Acc:D7LYS4] MTTASIFPAAVVVTTDVTSLLNPSSLIIGKSLSPSKFSSIRSSVSFSRKTLTPIRYSSSPADHSPVAAVEAITNRSKTSLKSRLRGGETLYGLFLLSFSPTLAEIAAHAGYDYVVVDMEHGPGGIPEALDCIRALNAAGTSAILRLPENSSTWAKKALDLGPQGIMFPMIESRKDATKAVSYCRFPPDGIRGSAHTVVRASNYGIDEGYLSNYAEEILIMCQVESSEGVKKADEIAAVDGVDCVQMGPLDLSASLGYLWDPGHKKVREMMKKAEKSVLTSDPAKGGAYLSGFAMPHDGAVEIRGRGYHMVAGAVDVGLFRNAAVEDVRRFKMGLVNESDGEDSLEHDKDVDDEKYWSE >scaffold_603441.1 pep chromosome:v.1.0:6:20526171:20529631:-1 gene:scaffold_603441.1 transcript:scaffold_603441.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1706 [Source:UniProtKB/TrEMBL;Acc:D7LYS5] METESDDVTITVVKDMRARLENRIRNQHDAHLDLLSSLQSIVPDIVPSLDLSLKLISSFTNRPFVATPPLPEPKVEKKHHPIVKSGTQQQQSHGHDSKSMLVDSNQRDSGSGVEADGSSGSPMVLVRAMVAECLLQRVPFSPTDSSTVLRKLENDQNARPAEKVALRDLGGECGPILAVETALKSMAEENGSVELEEFEVSGKPRIMVLAIDRTRLLKELPESFQGNNESNRVAETPNLVENSIVSAGGFGVSGSGNFPRPEMWGGDPNMGFRPMMNAPRGMQMMGMHHPMGIMGRPPPFPLPLPLPVPSNQKLRSEEDDLKDVEALLSKKSFKEKQQSRTGEELLDLIHRPTAKEAATAAKFKSKGGSQVKYYCRYLTKEDCRLQSGSHIACNKRHFRRLIASHTDVSLGDCSFLDTCRHMKTCKYVHYELDMADAMMAGPDKALKPLRADYCSEAELGEAQWINCDIRSFRMDILGTFGVVMADPPWDIHMELPYGTMADDEMRTLNVPSLQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVIVAEVRETSRKPDEMYAMLERIMPRARKLELFARMHNAHAGWLSLGNQLNGVRLINEGLRARFKASYPEIDVQPPSPPRASAMETENEPMAIDSITA >scaffold_603448.1 pep chromosome:v.1.0:6:20553755:20554040:-1 gene:scaffold_603448.1 transcript:scaffold_603448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSSINSTASTASNLSTASLEKLDQAASWVSTTVISAFFASLERCSCVNLSTSDDDDDGEESHNRPLALSAATHPDDIV >scaffold_603454.1 pep chromosome:v.1.0:6:20589091:20590878:-1 gene:scaffold_603454.1 transcript:scaffold_603454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDRDGATAGVRSPNHRDLISTLPDVILQTILSSLPTKFAIRTSILSKQWRHVWCNTPSLNFEWCGSYLGADPINETLAHYKAQKMMSFHLCLTAIKNTPYIESWIEFVKSRNVENMTIDLGSRASSDYTIPDSFYINSYVKQLTLRLAFHDRMIPRGSVCWTSLKVLVLRNCNLFDGSTIPKILSGCPVLESLTLYMCRCYNLKVLDLSKSLRLTTLEIANNCWVSGQIHIVAPHIHNLTLTVPPYWPCNLVDVSSLTEARLDIGFNSQEAFDADFLQQFVQNIIEKLRNVDKLTFGEKFIKILSLVELRCLPLPLFKFKALTFETMISQYAIPGMVKLVQSSRELKKLTVHIKDEGRIIPDEFLDYYLKCHGSKTDKTWTSEARLFKNIIRENVESMHMASFMELVLKITKTLENMVIRFGSYLEERRFEELLDMVPVLSHENNNVSIVLGSTTKSDQTFFVSMKVRSNV >scaffold_603456.1 pep chromosome:v.1.0:6:20605074:20605344:1 gene:scaffold_603456.1 transcript:scaffold_603456.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F8M12.18 protein [Source:UniProtKB/TrEMBL;Acc:D7LYT8] MAGPGQAAKQSSEVLGQRKSLGICPLRAAAVGAVIIGGLGYMVLYSKKKPEASAGDVAKVMSGVGGTPENTRPRN >scaffold_603462.1 pep chromosome:v.1.0:6:20626220:20627602:-1 gene:scaffold_603462.1 transcript:scaffold_603462.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7LYU1] MPSTPLANGVSPPLCGVERTEVTASSTVADDKPGVSMMEQLVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDFTMEQDGITPVNGWKAYYATTRAIISVNTEFFSIIRDRALQVMARLWLNSDYVKCIHASGELFSGYNEVMQSWQLCFNWEQGFDFQVHTVRTRILTDMAWVTMKAYLNVDGGPFLITNVFEFHNGRWHMVHHHSSVMLIDDQQVVVH >scaffold_603463.1 pep chromosome:v.1.0:6:20628384:20632453:-1 gene:scaffold_603463.1 transcript:scaffold_603463.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQPDTSPVETRKSLDISEKTNSGCSAEAVYSGNFSVTVADEGETALVVSIVKGDESHMEPSDTNPLPVEAKMDGDPDSSHLKVLCREENTEQVPVKSELKQSLPHDISSRLSSDSYQPFFAADMENRADPVVEKDCLSVDDKNDLSTTAISNSDVPSVVSLKRKHSDCSGDDGNSETKPEIYKALNKPKLEEEEELATFHHESRSPSNNTTVDIFSIVKGTGRRKNLMRSNPTDKSSEGENAAGLRVKKIKRTPEDEQESMVLVEKLRKEIREAVRNKSMEDITENQFDPKLLAAFRAAVAGPKTDEAPRKISALAVKAKKLMLQKGKVRENLTKKIYADLNGKRKSAWHRDCEVEFWKHRCIQSRKPEKIETLKSVLSLLKKKPGDAKTNFSSETPQASNPILSRLYLADTSVFPRNDNLKPLLAPKEMGNSQNNAKPTEANKTLPKISDAKGSRLKAVGSKLNSGNKQSDGQPNLTSSNSKEMFDIPDDLKKDKRKWALQVLARKKALAGNNSTQDREGSPELKGNYPLLAQLPADMRPSLATSRHNKVPVAVRQTQLYRLTEHFLKKENLLSVRRSAATELAVADAINIEKAIADKSSSKVVYLNLCSQEILHHSESKAMDNAVEPNSSSPMAVNETERISGKDSDDPTILEALRAAGLADSPPNSPTRSTEVPPEKGDSFLDKARAGPDNVLDLDSVPNTDIFGDFEYELDEEDYIGATMATKASVTQQDESLTKVKVVLSTVQPGKSLNQSEVVGDEETTTENQKEITNGKEDGKSFVPMEPVPEAEGEGEGEGEGEGEGGGEILSVAECEELYGPGTEKLVEKPLIEGFAENGVKAKAPDSECESNTHREFIASNFEIPSIQEKKLPRSIQKCKPSEKPSKEEKGKADGFGNSITKKVEAYIKEHIRPLCKSGVIDVEQYRWAVTKTTEKVMKYHSKAKSANFLIKEGDKIKKLAEQYVETASSGAHHKDKDKGK >scaffold_603466.1 pep chromosome:v.1.0:6:20637450:20638911:-1 gene:scaffold_603466.1 transcript:scaffold_603466.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7LYU5] MMICERDDFSLTGPLHLTSIDWANEHHRRSVAGSLVQGIYVAERDRQLQRDGPELALSPIWSEFFHFRLIRKLVDDADNSIFGGIYEYKPPQLISQTVKSLELSPRFVVAFRGTVTKVDSISRDIEHDIHVIRNGLHTTTRFEIAIQAVRNIVASVGGSNVWLAGHSLGASMALLTGKTIARTGVFPECFAFNPPFLSAPIEKIKDKRIKHGIRIAGSVITAGLALAKKATQQYSQNDRASPATSDPFAALSDWFPRLYINPGDHLCSEYIGYFEHRNKMEEIGIGFVERVATQHSLGGMLLGGKEPVHLIPSSVLTVNLSSSRDFKQAHGIHQWWREDQKFETKVYQYK >scaffold_603467.1 pep chromosome:v.1.0:6:20639674:20642152:-1 gene:scaffold_603467.1 transcript:scaffold_603467.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-glucose/UDP-D-galactose 4-epimerase 5 [Source:UniProtKB/TrEMBL;Acc:D7LYU6] MMSKNVLVTGGAGYIGSHTVLQLLLGGYSVVVVDNLDNSSAVSLERVKKLAAEHGERLSFHQVDLRDKPALEKIFSETKFDAVIHFAGLKAVGESVEKPLLYYNNNLVGTITLLEVMAQHGCKNLVFSSSATVYGSPKEVPCTEEFPISALNPYGRTKLFIEEICRDVYGSDPEWKIILLRYFNPVGAHPSGEIGEDPRGVPNNLMPFVQQVAVGRRPHLTVFGNDYNTKDGTGVRDYIHVIDLADGHIAALRKLEDCKIGCEVYNLGTGNGTSVLEMVDAFEKASGKKIPLVIAGRRPGDAEVVYASTERAESELNWKAKYGIEEMCRDLWNWASNNPYGYDSSSEDSSH >scaffold_603472.1 pep chromosome:v.1.0:6:20677079:20677505:-1 gene:scaffold_603472.1 transcript:scaffold_603472.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYV1] MFFSQTAPKNKKGRIYGVGSIQVIPTVSSSAPTPTEESAELRQNLEAEKKRNAELVSRIEGYDHLSGILAHDFPALAAALRAQKQPTETAPNHTDEELARNNTSDAHNETDFEDARTEAATAHLSPHA >scaffold_603473.1 pep chromosome:v.1.0:6:20684469:20685525:-1 gene:scaffold_603473.1 transcript:scaffold_603473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSRFPLKLEVNSKPLKGPIICPIRTYYSKVPLELLFPTTDRRFYFLKSYVFCSANSVPLYLLLLTSALHFNSYILLFDFQLIPKSKLLAYKRRARCVAGLLKSMERYPESTVTAMI >scaffold_603475.1 pep chromosome:v.1.0:6:20687724:20688366:-1 gene:scaffold_603475.1 transcript:scaffold_603475.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYV3] MDPLGQWLDDKDIDRWSPPSSRTASLDDTPPCSPRSSSGGSDASSDDYVPSSGPDTPLSSPAKGSTDSSCSEKSGSSQEGFSQSSPPPSSEQEMSPPAVAYADEAESSRRVRRRIGEPGPRSSPRHLGPQSLTMDTLSIQETVEKIGPEGRDFIPGRICYPHDFLTNSDGHVRAKTQDWLAKVSIMLKVVNFLGFENCFD >scaffold_603476.1 pep chromosome:v.1.0:6:20689571:20690015:-1 gene:scaffold_603476.1 transcript:scaffold_603476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSTIYHSSSAPNSPASEPSTPMPPDSPKFDVQRFHRWTGCSQPEAGFPLSEQTSPSVQPIYPWDRVPINGNGPEFQPAGRDFVPGKITYPETFFARCPRSVFTKNQHWVAKVSVSILKVMVFVTLVNCGLVV >scaffold_603477.1 pep chromosome:v.1.0:6:20703228:20705064:-1 gene:scaffold_603477.1 transcript:scaffold_603477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTTLQSLIMKEDEERRKEQRNWLDLPPELTTSILLRLSVTDILDNARKVCRQWRRVCKDPSMWQKINLRDCLFYRFDFEGMCRHIVDLSQGGLLEINIEHFVSDSLLSYIADRSSNLKSLGLSIYEPMTNKGVMNGIAKFPLLETLEVFHSSLKLDLKAIGHVCPQLKTLKLNSLCCPGPAHGNYAISQLGDMPPLVECDDDALAIAESMPKLRHLQLMGNGLTNTGLNVILDRCPHLEHLDVRKCFNMNLVGNLEKRCLERIKELRRPGDSTADYPYNIGVSVMLQIMISCRFYPSHRVAS >scaffold_603485.1 pep chromosome:v.1.0:6:20739010:20739209:-1 gene:scaffold_603485.1 transcript:scaffold_603485.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYW3] MATKEAIGSEVCQFERIIIRNQNTKTATTFESDLNQIRNNKKKNTKRKLRR >scaffold_603488.1 pep chromosome:v.1.0:6:20750956:20751233:1 gene:scaffold_603488.1 transcript:scaffold_603488.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LYW6] MITSLVPGTAGGKVLEELCKMDIGEFGSLADLLFGMPWICATALLYAMFITQASNQASAAPSMSLAMSMSQCRGLKSS >scaffold_603489.1 pep chromosome:v.1.0:6:20756014:20760673:1 gene:scaffold_603489.1 transcript:scaffold_603489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEGSVGDVSRIDEADVAHLQFKNSEQSFKPENCEVREVKDVQAQKEAGSPDCSYGVIAEFLDGKNGDPVEQIGNEPCSSRQNAGDVVEELTVKTCEGSSMAIVGRPSSRARLEMNRSQFLHRFPLDGDLPGSSSMSKKENNRETIGILRNAGKMSLPETSTGQLAIVSVNGEANELLKNVERNTVPVEALSHEGIKTKMLSQSGFSQFFVRKTLKGKGVTFRGPPQNISKASNMDQQTVASSGSPLVISNTPAKISSSIPLAAYDGLPCLPSNASKPSSCGSPSDIHRGCGGEGLSLREWLKSERQEVNKAECMYIFRQIVDHVDCSHSQGVVLCDLRPSSFKIFKENAIKYVGSGSQRESFYSNMNKETLSQLENPLVRRRLGDTSSPSIPAKKQKSGGPSSRQWPMFQRAGGVNIQTENGDGAIHEFHYRSSQPHGSTAARPFTSVSEQLEEKWYASPEELRGDMRSASSNIYSLGILLYELLSQFQCERAREAAMSDIRHRILPPKFLSENPKEAGFCLWLLHPESSCRPSTRDILQSEVVNGIPDLYAEGLSLSIEQEDTESELLQHFLILSQEKRQKHAAKLMEEIASVEADIEEIVKRRCAIGPLSLEEASSSSPASSVPEMRLIRNINQLESTYFAARIDAHLPEARYRLRPDRDLLRNRDNTVAEVENSETWSSDDRVGAFFDGLCKYARYSKFETRGVLRTSELNSTSNVICSLGFDRDEDYFVTAGVSKKIKIYEFNSLFNESVDIHYPAVEMPNRSKLSGVCWNNYIRNYLASSDYDGIVKLWDVTTGQAISHFIEHEKRAWSVDFSEACPTKLASGSDDCSVKLWNINERNCLGTIRNIANVCCVQFSPQSSHLLAFGSSDFRTYCYDLRNLRTPWCILSGHNKAVSYAKFLDNETLVTASTDNTLKLWDLKKTTHGGLSTNACSLTFGGHTNEKNFVGLSTSDGYIACGSETNEVYAYHRSLPMPITSYKFGSIDPISGKEIEEDNNLFVSSVCWRKRSNMVVSASSNGSIKVLQLV >scaffold_603501.1 pep chromosome:v.1.0:6:20833224:20833430:1 gene:scaffold_603501.1 transcript:scaffold_603501.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7LZF9] MDANPIMEPTRDLSIVGGTGDFLMTHGIATLQTELIQASSSSVSKWILNSMNVN >scaffold_603502.1 pep chromosome:v.1.0:6:20844983:20845579:1 gene:scaffold_603502.1 transcript:scaffold_603502.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7LZG0] MANQIYLFSLIFFSVLLYQSTTILSFQKSLDLAKPCKRFVFHLHNVAYDGDNMDNATSAAIVNPLGLGDFNFGKFVIMDNPVTMDQNYLSKPVARVQGFFFYHGKAKYDTWLSWSVAFNSTQHKGTLNIMGENPFMEPTRDLQVVGGTGDFVMTRGIAMFMTDLVEGNKYFRIKMDIKLYECYY >scaffold_603503.1 pep chromosome:v.1.0:6:20848472:20851495:1 gene:scaffold_603503.1 transcript:scaffold_603503.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7LZG1] MTLSSANRERVTKPRSGIQEVVRYKKATFAKGQFIDSNFVVVFVANGEAAGFKKKKIQEEEILLNLFIDIEDGTGMCSLLNLPSSNYGLQPNQSLWQQLWGSKQQIYHDEYRESLWEPSSALISLRRQVSESFTTTFYFILLIKVMLMVFWSFSSKLIANKKCVEEGLNRLYMLPIRLSKDSKRFILHLHDIAYDGDNAANATSAAIVNPLGLGEFSFGKFVIMDDPVTMDQNYLSKLVARVQGFFFTMRPTDCWWYGDFLMTRGYATLTTDHFDGSKYFRVKLDIKLYECYYN >scaffold_603504.1 pep chromosome:v.1.0:6:20865328:20866667:-1 gene:scaffold_603504.1 transcript:scaffold_603504.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:D7LZG2] MADEHKHEESSPNLDPSVEVIERESLMEKISEKIHHKGDSSSSSSSDDDENEKKSSPSPKSLKSKVYRLFGRERPVHKVLGGGKPADIFMWKNKKMSGGVFGGATVAWVLFELMEYHLLTLLCHVMIVALAVLFLWSNATMFIHKSPPKIPEVHIPEEPLLQLVSGLRIEINCGFSSLREIASGRDLKKFLSAIAGLWVLSILGGCCSFLTLAYIALVLLFTVPLFYDKYEDKVDAYGEKAMAELKKQYAVFDAKVLSKIPRGPLKDKKKD >scaffold_603506.1 pep chromosome:v.1.0:6:20875230:20875451:-1 gene:scaffold_603506.1 transcript:scaffold_603506.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZG4] MNLETENNGNIITTTSSRRRILNYHKWYHSANMYKIFGTSKLESFWISKTISFHWLGLK >scaffold_603512.1 pep chromosome:v.1.0:6:20912316:20912862:1 gene:scaffold_603512.1 transcript:scaffold_603512.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZG7] MSGFCWKVIATVSIVGISISLHTLCSSMCWMQYTTIVGYTILYVAMLLMLQFSTIRIYKEHEPPLPYARTIYSLVLMTAVYYFSFNYPTVDVLVYTYSMFAFGFASFNVNSPMQFQHARCTLIFGMFIGFSGLKFGEFCSTHSCPLVPSFLWIYLHGKALGMVNGFYI >scaffold_603513.1 pep chromosome:v.1.0:6:20918266:20918815:1 gene:scaffold_603513.1 transcript:scaffold_603513.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZG8] MRGLWWKVIATLSLLSISISLYRLCPSTCSLQYASIGGTTLYVVMLLMLHFPTTIRIYKEHEPPLPGTRVLVSVILVTAIYYITFNYPTWDVLVYTYSMFVFGVSMFQLNSPMQLRHVQWTLFVGLYIGCFGRAFGDICTTQYSCPLVASFFWIYLHAKVLSIINGFFI >scaffold_603515.1 pep chromosome:v.1.0:6:20940205:20945030:1 gene:scaffold_603515.1 transcript:scaffold_603515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSVACLWSRAPPSHRVTATASLTNPPTLYTGGSDGSIIWWSISSSSESNSVTRLSSSEIKPIAMLCGHTAPIVDLAVCDPTTVSGNGVTSDCSDNGNADPFVNCCALISACSDGVLCVWSRSSGHCRRRRKLPPWVGSPSILCTLPSEPRYVCVGCSYIDTQSVDTVDGAETLADTDFQNRKPSRCTVVVVDTYTLTIVHTVFHGNLSIGYLNFMGVVQLEEQESLLMADSFGRLQLVPVSENSEKGEDVSESSKGSVVSRNWLNEGEIAVSVITRGNLVAFFSKNRCVFWLLNREEAIGEISFVDDSLCSENHSPNFHFKEAMILYSSTSTIEGDKDDRISETFVLWDDNGSAVLFVMSYIDGDFTYKNLGEIVTPPDKHSVKSTFCFVQLRQYLLRVESTCCDVEQPSQWRPHITVWSLCLGGIGSEKELQRKLLGEGSYFADWISSCCLDTTGSISAETGISHLGSQCSTENGLQSFVSDNRQCVSSSMVISENMYVPYAVVYGFFSGEIEIAKFDFLHGLDSPASSPRSDTDSFVSRKRLLGHTGSVLCLAAHRMFGDANGCGSSHVLISGSMDCTIRIWDLESGNVIMIMHHHVAPVRQIILAPARTERPWSNCFLSVGDDSCVALSSLETLRVERMFPGHPNYPTKVVWDGTRGYIACLFRSLSRKSDPIDVLYIWDAKTGARERVLNGAASHSMFDHFCAGISEKSYSGTVLNGNTSVSSLLFPVDEERKPFYLKNYERAASLSTSKPSASQEKAREESSIASSFLQSIRYPPIKCTCPFPGISTLIFDLSSLAVSCQTHEDSDMHKMLEEKSDKPTAQQKTSNDKSPVQKTLDNPAEVVDMDKAIGEYLIRFSLSFLHLWGIDSELDQMLVAHLKLKRPESFIVTSGLQGDKGSLKLAFPGLNATLELWKSSSEFSALRSVMMVSLAQCMISLSHSTATYSSNLAAFYKRNLDEKYPDLKPPLLQLLVTFWQDKSEQVRMAARSLFHHTASLEIPLPLCSDHASEHAKLVISLSGISLNEPKVLSTGEEYPTNSVDSEHIHQAQRLSQAEESELLSWLESFEMQDWISCIGGTNQDAMAAHIIVAAALSIWYPSLVKPGLAMLVVHKLLNLVMAMSEKYSSTAAELLSEGMETTWKTWIGPDIPRIVSDIFFQKECQFLNRSTSTLIESQIWSTASDSPVHVVSLRTLIRVIRAAPRNLVLHLEKVCRICFYNSVRRANTVMRKTCLQTSMATLREVVRVFPVVIPNDSSTRLAIGNAITEINPVSAYMTCKGTKPCMLWIFVLPLSQFLIGFCNLNCSMTKIRVLDASGPPGLPNLLRGASESAVTTAISALSFSPDGEGLVAFSENGLMIRWWSLGSVWWEKLSRSITPVQCTKLIFIHQWDGRDFHQTLLGQV >scaffold_603516.1 pep chromosome:v.1.0:6:20945138:20945357:-1 gene:scaffold_603516.1 transcript:scaffold_603516.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7LZH3] MRNTYLNSYIGCYNRQVPNSTLYLVKNYFAFVHWPIRGHKRGQDGEQAALAVLRGLYVL >scaffold_603518.1 pep chromosome:v.1.0:6:20952716:20953493:1 gene:scaffold_603518.1 transcript:scaffold_603518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLKKPNPEADFKETSNIFGAKWKGISVEENKPYEEKYQADKEAYLQVITKEKREREAMKLLDDQQKQKTAMELLDQYLQFVQEAEQDNKKKAKKIKDPLKPKHPIFAYLIYANEGRAALKGENKSVIEVAKITGEEWKSLSEEQKAPYDQV >scaffold_603520.1 pep chromosome:v.1.0:6:21008305:21008945:-1 gene:scaffold_603520.1 transcript:scaffold_603520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVEDKTRVESVLDYIEDIDLRRRMLPYIKAFSFGLKDWRLRINCITNPYMYEQKLLKLNKAFKIRLGRGLYGGCLGMRANGNHQLSDGKLLSLQSSAAGLR >scaffold_603521.1 pep chromosome:v.1.0:6:21036592:21037331:1 gene:scaffold_603521.1 transcript:scaffold_603521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVEDKTRVESVLDYIEDIDLRRRMLPYIKAFSFGLKDWRLRINCITNPYMYEQGMRANGNHQLSDGKLLSLQSSAAGLRTEDSWVGGRCDGQGSDSVVQ >scaffold_603522.1 pep chromosome:v.1.0:6:21043743:21048895:1 gene:scaffold_603522.1 transcript:scaffold_603522.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7LZI0] MKCRSVACLWSRAPPSHRVTATASLTNPPTLYTGGSDGSIIWWSISSSSESNSVTRLSSSEIKPIAMLCGHTAPIVDLAVCDPTTVSGNGVTSDCSDNGNADPFVNCCALISACSDGVLCVWSRSSGHCRRRRKLPPWVGSPSILCTLPSEPRYVCVGCSYIDTQSVDTVDGAETLADTDFQNRKPSRCTVVVVDTYTLTIVHTVFHGNLSIGYLNFMGVVQLEEQESLLMADSFGRLQLVPVSENSEKGEDVSESSKGSVVSRNWLNEGEIAVSVITRGKLVAFFSKNRCVFWLLNREEAIGEISFVDDSLCSENHPPNFHFKEAMILYSSTSTIEGDKDDRISETFVLWDDSGSAVLFVMSYIDGDFTYKNLGEIVTSPDKRSVKSTFCFVQLRQYLLRVESTCCDVEQPSQWRPHITVWSLCLGGIGSEKELQRKLLGEGSYFADWISSCCLDPTGSISAETGISHLGSQCRTENGLQSFVSDNRQCVSSSMVISENLYVPYAVVCGFFSGEIEIAKFDFLHGLDSPASSPRSDTDSLVSRQRLLGHTGSVLCLAAHRMFGDANGCSSSHVLISGSMDCTIRIWDLESGNVIMIMHHHVAPVRQIILAPARTERPWSNCFLSVGDDSCVALSSLETLRVERMFPGHPNYPTKVVWDGTRGYIACLFRSLSRKSDPIDVLYIWDVKTGARERVLNGAASHSMFDHFCAGISEKSYSGTVLNGNTSVSSLLFPVDEERKPFYLKNYERAASLSTSKPSASQEKAREESSIASSFLQSIRYPPIKCTCPFPGISTLIFDLSSLAVSCQTHEDSDMHKMLEEKSDKPTAQQKTSNDKSPVQKTLDNPAEVVHMDKAIGEYLIRFSLSFLHLWGIDSELDQMLVAHLKLKRPESFIVTSGLQGDKGSLTLAFPGLNATLELWKSSSEFSALRSVMMVSLAQCMISLSHSTATYSSNLAAFYTRNLAEKYPDLKPPLLQLLVTFWQDKSEQVRMAARSLFHHTASLAIPLPLCSDHASEHTKLVRSLSGISLNEPKVLSTVEEHPTNSVDSEHIHQAQRLSQAEESELLSWLESFEMQDWISCVGGTSQDAMAAHIIVAAALSVWYPSLVKPGLAMLVVHKLLNLVMAMSEKYSSTAAELLSEGMETTWKTWIGPDIPRIVSDIFFQIECVSSSVGAHQTVPSSIKETLVEILLPSLAMADVLGFLSIIESQIWSTASDSPVHVVSLRTLIRIIRAAPRNLVLHLEKAVNFVLQTMDPSNTVMRKTCLQTSMATLREVVRVFPMVILNDSLTRLAIGDAITEINNACIRIYDMQSMTKIKVLDASGPPGLPNFLRASESAVTTAISALSFSPDGEGLVAFSENGLMIRWWSLGSVWWEKISRSLTPVQCTKLIFIHPWDGFSSNSSRTSVISSITSDEQELPLQETAKNISHVERLKQLVQHLDLSYRLEWASERKVVLTRHSVELGTCLL >scaffold_603524.1 pep chromosome:v.1.0:6:21068669:21070206:1 gene:scaffold_603524.1 transcript:scaffold_603524.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7LZI2] MAKFGLALVMMILVIQGFVRFSEAQLKMGFYDQTCPYAEKIVQDVVNQHIHNAPSLAAGLIRMHFHDCFVRGCDGSILINATSSNQQVEKLAPPNLTVRGFDFIDKVKSALESKCPGIVSCADIITLATRDSIVAIGGPTWNVPTGRRDGRISNFAEARNNIPPPFGNFTTLITLFGNQGLDVKDLVLLSGAHTIGVSHCSSFSNRLFNFTGVGDQDPSMDSEYVDNLKSRRCLALADNTTTVEMDPGSRNTFDLSYYRLVLKRRGLFESDAALTMNPAALAQVKRFSGGSEQEFFAEFSKSMEKMGRIGVKTGSDGEIRRTCAFVN >scaffold_603526.1 pep chromosome:v.1.0:6:21081010:21081365:1 gene:scaffold_603526.1 transcript:scaffold_603526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRTGSTHPIEISTASTETTIQIQDDYDEFKKAEEIFIALDLPKYTRFYWTYISTLKEQALWRKYFIDIAESTDENKLQLLETITGVLRNNEYMREQLGSNQ >scaffold_603527.1 pep chromosome:v.1.0:6:21082702:21083034:1 gene:scaffold_603527.1 transcript:scaffold_603527.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZI5] MAQSTAFLDDVKSAWRGLSAGACPSYLENTHDQIWLPNDGFALTIFESKSKPDLQVDVAGHFVSPIP >scaffold_603529.1 pep chromosome:v.1.0:6:21093686:21096734:1 gene:scaffold_603529.1 transcript:scaffold_603529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAKSATLILLVAMVITSCATAMDMSVVSSNNNHHLTTSPGRLHSGFDAEASLIFDSWMVKHGKVYGSVAEKERRLTIFEDNLRFISNRNAENLSYRLGLTQFADLSLHEYGEVCHGADPRPPRNHVFMTSSDRYKTSAGDVLPKSVDWRNEGAVTEVKDQGHCRSCWAFSTVGAVEGLNKIVTGELVTLSEQDLINCNKENNGCGGGKVETAYEFIMKNGGLGTDNDYPYKAVNGVCDGRLKENNKNVMIDGFENLPANDEFALMKAVAHQPVTAVIDSSSREFQLYESGVFDGSCGTNLNHGVVVVGYGTENGRDYWLVKNSRGNTWGEAGYMKMARNIANPRGLCGIAMRASYPLKNSFSTDKSSIA >scaffold_603531.1 pep chromosome:v.1.0:6:21106487:21108238:1 gene:scaffold_603531.1 transcript:scaffold_603531.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:D7LZI9] MDKEIESAADPGDTNIKGVLVHGGRYFQYNVYGNLFEVSNKYVPPIRPIGRGAYGFVCAAVDSETHEEIAIKKIGKAFDNKVDAKRTLREIKLLRHLEHENVVVIKDIIRPPKKEDFVDVYIVFELMDTDLHQIIRSDQPLNDDHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNSNCDLKITDFGLARTTSETEFMTEYVVTRWYRAPELLLNSSEYTSAIDVWSVGCIFAEIMTREPLFPGKDYVHQLKLITELIGSPDGASLEFLRSENARKYVKELPKFPRQKFSSRFPSMNSTAIDLLEKMLVFDPAKRITVEEALCHPYLSALHDLNDEPVCSKHFSFDFEDPSSTEEEIKELVWLESVKFNPHPTI >scaffold_603534.1 pep chromosome:v.1.0:6:21118625:21119140:1 gene:scaffold_603534.1 transcript:scaffold_603534.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring-H2 finger protein RHA1b [Source:UniProtKB/TrEMBL;Acc:D7LZJ2] MGLPTDFKELQIPEYVLKTLYVIGFFRDMVDALFPYIGLPSFLDHHETYRPDPTHHALSTSASLANELIPVVRFSDLLTDPEDCCTVCLSDFNSDDMIRQLPNCGHVFHHRCLDRWIVDCNKMTCPICRNRFLPEEKPTPFDWGSSDWFRDEVESTN >scaffold_603535.1 pep chromosome:v.1.0:6:21120160:21120520:1 gene:scaffold_603535.1 transcript:scaffold_603535.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LZJ3] MHSLISIILKLNLIEFEISSLSTWRDGPMEHHRRHCQHIYILSHHLHRCQHILYFHHPRLFHRQHTIYHNYLHQNYRNLQSPTTFGPNCHNSSPPPQIYHYPMTTN >scaffold_603536.1 pep chromosome:v.1.0:6:21123667:21124186:1 gene:scaffold_603536.1 transcript:scaffold_603536.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring-H2 finger protein RHA1a [Source:UniProtKB/TrEMBL;Acc:D7LZJ4] MGLQEDFIKELQIPGYIVKILYVIGFFRDIVDTLCPYIGLPSFLDHHETSRPDPARHGLSTSASLANELIPVVRFSDLLTDPEDCCTICLSDFDSNDKIRQLPKCGHVFHQRCLDRWIVDFNKMKCPICRNRFLPEEKYTQCDWGSGSDWFNGEVESSN >scaffold_603538.1 pep chromosome:v.1.0:6:21133946:21134574:1 gene:scaffold_603538.1 transcript:scaffold_603538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGEGVSLPLIHEHLMMPWNDLRKGHCCGHFLAISDGYYCKICDFFVHKKCVDESTEYIEHPLHSIHTLKLLQSKLEFRCDVCDVRDVMGIVNLCYRCEICDFQVDLYCAKYPP >scaffold_603540.1 pep chromosome:v.1.0:6:21144486:21145130:1 gene:scaffold_603540.1 transcript:scaffold_603540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMCDPYTPAGVPIPTNKRHNAAKIFRHQSLPPRSLDSYKLDYCYKKNRITGLILSKEIKPLISIVFEGKDANVIAHGAINCGKTYLIHVLKAIPLRY >scaffold_603542.1 pep chromosome:v.1.0:6:21152683:21152935:1 gene:scaffold_603542.1 transcript:scaffold_603542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKNLLKVLFFLFVYLTCSMAMVPYRGCDVIGVDLGDGSGKYEKRVSKEGFLSGRKLVSGPSRSSCGH >scaffold_603543.1 pep chromosome:v.1.0:6:21154879:21157028:-1 gene:scaffold_603543.1 transcript:scaffold_603543.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7LZK0] MWPFWWKGASGFSARSTAEEVTHGIDGTGLTAIVTGASSGIGEETTRVLALRGVHVVMAVRNIDSGNQVREKILKEIPEAKIDVMKLDLSSMASVRSFASEYKSLNHPLNLLINNAGIMACPFTLSSDNIELQFATNHLGHFLLTNLLLETMKKTANESNREGRIVIVSSEGHRFAYREGVRFDKINDEASYNTLQAYGQSKLCNILHATELARQFKEQGVNITANSLHPGSIMTNLLRYHSFINTIGNAVGKYVLKSIPQGAATTCYAALHPQAKRVSGEYLMDNNISNPHSQGKDKDLAKKLWEFSLTLTGEEES >scaffold_603545.1 pep chromosome:v.1.0:6:21163071:21165803:1 gene:scaffold_603545.1 transcript:scaffold_603545.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7LZK2] MASSTKGPVKKGRQPSIKHSCNLAEAKRKLSKISDHHSHVDYAYVFQRDSRKPGETKLSQFLSRDALISAVDLIWDRSDFVSADLDFVNRDEVSKFKVSADSTQLPADQKANSQLSSTPQPRVKLLGVAGKMYTFDPYNQRSWFNQSDGAKSISGFCKENGILFESRWKTLYSWMEGVVPTSTRYHEEAAEIEKREHFEDPIPNPLSSKAELASRNANSCDCVFDTTGAKSQSTVTEPRSPLLASVAGSLVDTRISRSNDVNDLSLLCKDRCANNKGLNIISSKCSTDCVTEVSSSGNNSDEDSFSIVKNKRLLERDRKGQETEVCSSSVETPTYAFVAKQRHAFAGALAGISVSLCLHPLDTVKTMIQSCRFGEKSLCNTGRSIISERGFSGLYRGIASNIASSAPISALYTFTYETVKGTLLPLFPKEYCSLTHCLAGGSASIATSFIFTPSERIKQQMQVSSHYRNCWTALVGIIQKGGLLSLYAGWTAVLCRNIPHSIIKFYVYENMKQVVLPSIGPRGEPAQPTTLQTLTCGGLAGSAAAFFTTPFDVVKTRLQTQIPGSRNQQPNVYQTFQSIRKQEGLRGLYRGLIPRLVMYMSQGAIFFASYEFYKSL >scaffold_603548.1 pep chromosome:v.1.0:6:21184313:21184557:1 gene:scaffold_603548.1 transcript:scaffold_603548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVFCAVSFLILEFFGQLQPLVFCQFDGSVCCNSREVLKLQRQFNAVKVLLCSVRPIYQTITHMFI >scaffold_603552.1 pep chromosome:v.1.0:6:21214163:21214505:1 gene:scaffold_603552.1 transcript:scaffold_603552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIPLMLIALVILLTPFPASIEAVRLGSCENELQICRSLIKKRLTPDENRKHNICCDNLLKPKACMCLFMKYPKLTEPALSFMRSCGRGVGPDPISDFC >scaffold_603553.1 pep chromosome:v.1.0:6:21234630:21234940:1 gene:scaffold_603553.1 transcript:scaffold_603553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLVRYSDRSFFDSLEQEPEITVCNSEKIGDVTEFNRIWPDFMEDMFIAASSRRYAAKVSPLMGFQRIYALMQCIPGSSSISCDACLR >scaffold_603555.1 pep chromosome:v.1.0:6:21260029:21263418:-1 gene:scaffold_603555.1 transcript:scaffold_603555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRRFAQVSSDEEDDVPITRSKGRNSASPEETLGKRRKRKTVKLYEDFEEKEAERKRKRKGKKEEDDDEDMAEEEEEEEEGTPPEGEEEEEEEEKPDDACPLGESVTVTGKGKGKRTHFKQFAYDGNTYDLEDPVLLVPEDKSQKPYVAIIKDITQTKDGSMMILGQWFYRPEEAEKRGGGNWQSSDTRELFYSFHRDEVPAESVMHRCVVYFVPAHKQLPKRKNNPGFIVRKVYDTVEKKLWKLTDKDYEDSKQHEIDVLVDKTMSVLGDLPDLESEEMFVDQENVSKAKRSFRKANISPVDVRREEDSSLKAETPGSGAGISSEHYAILEKFDSLTGDAHRDKCLGKLLEAVHHICYIPENKQAGDEAKVGSDGSHLEQDGKNTKPENGKDEKFLWPDAAVPPVCALENASHASLASDFQKYNQKMRTLVFNLKNTALLARRLLNGELEPAKILNMSPTELKEGLTADETTKKEPDDADRMQMTSVRCSRCNQLKVGLRDVIQAGHGDRYQLECVDCGYSWYASRDEVSTLTIVTEKPAQCTEKEDVEKNLTSPRETEKPKDDALKTNDSNADNNPEPFKKPE >scaffold_603560.1 pep chromosome:v.1.0:6:21282620:21283754:-1 gene:scaffold_603560.1 transcript:scaffold_603560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVESLGEVSGWADLNRDILELIFNKLEVMDITMGASRVCISWFLASHKKTLWNTVDLTSLQELDVSRSFNFKDEEKPIFFYKHRVDDDEGLTNLLTKFISWFFLDLYEVESGIIGISLMNLLIEITKLSRMTPKNLFFNFNSYIQENGLKFAAETYISSLRNESSQIRSAFTACVSYLFVLFCFRMPNIEKLALPIWCYQTEKSLRFAFSQWKNLKTLIIAHEHSFTGIFDFKAVGESCSNLTNLKYLGHLEEYKTREIVPYLQSLKRLSLRCSLVSYEAVYCLIIGLQNLTMLNVSHCKNSYFHAITRSRDDFVITTATQKLENFILCPQDCRICKDHQCCYSWSYHAEDWRNDEIKELAF >scaffold_603561.1 pep chromosome:v.1.0:6:21284612:21286027:1 gene:scaffold_603561.1 transcript:scaffold_603561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSQSLEISKENHFADVRLDLMIEICMRFPVKSVARFLLLSKFWVRSMSQPRLQVQVVFMDLDRQKKCQDWSRPALLISLGYGLEKCIANPSTDTSTVLGRVQTSSTNAQSFFGYDQVNDEYKLLVMCMSMNGPPGRRISSNHQVVTLGAKNKPWRMIDYITPHQPVFNSVCIDGVLYYVAFTGDKFSQVSLMRFNLRSEKLDIFTSLSGKVALATKTPSYKFELWILDQHAEAHGWLKKSFSINSWKMLLRLDNLFIRSTTHTGDFILAPRYYSNKFYVIHYNPDTNSFRESIVINCEEENENEQTGKFEN >scaffold_603562.1 pep chromosome:v.1.0:6:21291561:21292490:-1 gene:scaffold_603562.1 transcript:scaffold_603562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLDSLGEVSKWADVNRDILELIFNKLDLMDITMGASRVCISWFLASNKSTLWNTVDLTKLQELDISNSFVFKSKVRPIFYYKHPVDDDEGLSNNLLTKIISRFFHDYFEVQGGISLMNLLIEISKLSCMTPKNLFFNFNSYLQENGLKFANLKTLIIAHEHSFTGTFEFQAVGESCSNLTNLKYLGHLEDYKAIEMVRYLHSLKRLSLRCSLVSNLAVYRLIIGLRNLTILNVLQTPA >scaffold_603563.1 pep chromosome:v.1.0:6:21295094:21295412:1 gene:scaffold_603563.1 transcript:scaffold_603563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTLCCTLLWIFQENGYQLLFLSARAIVQAYLTRSFLNNLKQDGKALPNGHVVISPDGLFLALYREG >scaffold_603568.1 pep chromosome:v.1.0:6:21328443:21329440:-1 gene:scaffold_603568.1 transcript:scaffold_603568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHVKLIFPFIFSIIFLISTATAATFDILNRCSYTVWAAASPGGGRHLNPGQSWRLDVAAGTKMARIWGRTNCNFDSSGRGRCETGDCNGGLQCTGWGQPPNTLAEYALNQYNNLDFYDISLVDGFNIPMSLVYKTNQYCCTNGQGSCSSTGFSRFFKQRCPDAYSYPQDDPTSTFTCSSTNYRVVFCP >scaffold_603570.1 pep chromosome:v.1.0:6:21339229:21339874:-1 gene:scaffold_603570.1 transcript:scaffold_603570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMCDPYTPAGVPIPTNKRHNAAKIFRHQSLPPRSLDSYKLDYCYKKNRITGLILSKEIKPLISTVFEGKDANVIAHGARNCGKTYLIHVLKAIPLRY >scaffold_603571.1 pep chromosome:v.1.0:6:21344580:21345861:-1 gene:scaffold_603571.1 transcript:scaffold_603571.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOSM34 [Source:UniProtKB/TrEMBL;Acc:D7LZN7] MANISYSTFMFSIIFLISTATAATFDILNRCSYTVWAAASPGGGRRLDAGQSWRLDVAAGTKMARIWGRTNCNFDPSGSGRCQTGDCSGGLQCTGWGQPPNTLAEYALNQFNNLDFYDISLVDGFNIPMEFSPTSSNCHRIVCNADINGQCPNELKAPGGCNNPCTVYKTNEYCCTNGQGSCSSTGFSRFFKQRCPDAYSYPQDDPTSTFTCSSTNYRVVFCP >scaffold_603573.1 pep chromosome:v.1.0:6:21368276:21368576:-1 gene:scaffold_603573.1 transcript:scaffold_603573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTKDVTFVTICVFISSIDARRKYIHYPIKRDLGNGCNPRFPTAACYKRIPANLYRCTIANRCVRDTSSIRVSSLKKFLEIPPM >scaffold_603575.1 pep chromosome:v.1.0:6:21374570:21375834:1 gene:scaffold_603575.1 transcript:scaffold_603575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEQTGETPTVAGVGGGGAGCSAGNSGGSSGCGAGGGGGGSGGGGGGGGDSQRSIPTPFLTKTYQLVEDPVYDELISWNEDGTTFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFSNDCFKRGEKILLRDIQRRKISQPALAAAAAAAAAAVVASAVTVAAVPVVAHAVSPSNSGEEQVISSNSSPAAAAAAIGGVVGGSLQRTTSCITAPELVEENERLRKDNERLRRELTKYKGLYANIYTLMANFTPGREDCAHLLAEGKPLDLLPERQGMSEAMAMASRIETGIGLKLDEDLTPRLFGVSIGVKRARREEELGAAGEEDDDRREAVAQEGEQSSDVKAEPMEENNPANHNGSWLELGK >scaffold_603577.1 pep chromosome:v.1.0:6:21390367:21390557:1 gene:scaffold_603577.1 transcript:scaffold_603577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFKKYTVLKPQPLILA >scaffold_603580.1 pep chromosome:v.1.0:6:21400215:21401982:1 gene:scaffold_603580.1 transcript:scaffold_603580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M078] MAAKSQKTLILLANLIKVPPLKAFSLLNSPNFHGFQHTHESISILLRLLLSGNLYSHAQSLLLQVISGKIQSQFFTSSSLLHYLTESETSKTKSRLYEVIINAYVQSQSLDSSIYYFNEMVDKGFVPGSNCFNNLLTFVVGSSSFNQWWCFFNESKIKVVLDVYSFGIVIKGCCEAGEIEKSFDLLVELREFGFSPNVVIYTTLIDGCCKKGEIEKAKDLFFEMGKFGLVANEWTYTVLIHGLFKNGIKKQGFEMYEKMQEHGVFPNLYTYNCVMNQLCKDGRTKDAFKVFDEMRERGVSCNIVTYNTLIGGLCREMKANEANKVMDQMKSYVINPNLITYNTLIDGFCSVGKLGKALSLCRDLKSRGLSPSLVTYNVLVSGFCRKGDTSGAAKMVKEMEERGIKPSKVTYTILIDTFARSDNMETAIQLRSSMEELGLVPDVHTYSVLIHGFCIKGQMNEASRLFKSMVEKMLEPNEVIYNTMILGYCKEGSSYRALRLFRDMEEKELAPNVASYSYLIRVLCKERKLKEAEDLVEKMIDSGIDPSDTICNLISRAKTDSPVAQIIDASSFNLN >scaffold_603581.1 pep chromosome:v.1.0:6:21403372:21405350:1 gene:scaffold_603581.1 transcript:scaffold_603581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWVKLFVLVALVLTSCVAKPAAIRELSEEEDFELERQLKLLNKPPVKTVETEYGHIYDCIDFYKQPAFDHALLKNHDFHPEMKPSKVNRPEKVETAEKRKRKRSHNNKTKAVTLKGVGCPHGTVPIRRTTKEDLIRLKTFNEMFDSNIHPQTNSEPGLHYAGGRVRPEWIKKSIGGADGHFTLYQTPYVNQLQFSSGLIKVSNGTDFIKAGWTVNPTLYGDDRCRFFAYLHTREQHCFNTNCPGFVIVNTDIPLDYAFPEVSQTGVHMVEARFYIFRDPLNGNWWLNIGDKEKTIGFWPSRIFTDLAYNADDVFWGGELFTIPNSKSSPMGNGLKVEYDDPKLYAYARDVSIVDADSQKIIGVIGANEVISDIGWDYVRQNYFYVTKYWGRTIMFGGPARIFGK >scaffold_603586.1 pep chromosome:v.1.0:6:21432687:21433873:-1 gene:scaffold_603586.1 transcript:scaffold_603586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLARIPRLYYPILSLVSKRYRSLLTSLELYDIRTLLGRTENCLYVSLRLSSESKPCWFTLCRKPTPIPNPSRNPNSRWFTSCFRPYKILKNRTRKEENKSSEKFMVSVPIRNDCPQFGLTSTLGTTIGSNIYMIGGHIDGAVSSRVFILDCRSHTWHEAPSMQMSRKCPLVSVLDGKIYVVDRKNVADPSNLIEFFDPKIQIWEHVPIPSEELRGSYITRSLVLGEKLYLFGYKSTVYKPKEQIWDVVGLEKCLRWVRNSSSCVIDNIGGMVDCGGKIAVLWEKKVRAIGSNKKMIWCGEIALERHNTQEICGKIEWCNVVLTVPKSCSLLQFNAVTL >scaffold_603587.1 pep chromosome:v.1.0:6:21435069:21435957:1 gene:scaffold_603587.1 transcript:scaffold_603587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSQLSATILTIFTILAIGVMVKETVGQAPHNCVEILKEASKGATCDAKLCASQCRQKFPAAGGFGECNSNRGQVECQCKFYCKSDGSPITN >scaffold_603589.1 pep chromosome:v.1.0:6:21442951:21443270:-1 gene:scaffold_603589.1 transcript:scaffold_603589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGKESSTFNMPYLPDDILLHCLARVSRLYYPILSLVSKRFRSLVTSLELHDIRIVLGRTENCLYMCLYFSSESKPCWCWIIQDLKKKVIS >scaffold_603591.1 pep chromosome:v.1.0:6:21448127:21448334:-1 gene:scaffold_603591.1 transcript:scaffold_603591.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M088] MSSLTKNDAPIITLNKLAEVYDFGLTAAEFHAFFDASLWIGGLSPYSLFAFHSQ >scaffold_603593.1 pep chromosome:v.1.0:6:21450342:21450918:-1 gene:scaffold_603593.1 transcript:scaffold_603593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIESARGLFLDAECTLFSVVSSCSILPLYLDIRNDAQVFARWYRAPELLFGAKKYGAAVDVWAAGCIFAELLLRRPFLQPKADQWPDLTNLPDYVEYQYVSAPSLRSLLPAVSEDALDLL >scaffold_603594.1 pep chromosome:v.1.0:6:21450973:21453328:-1 gene:scaffold_603594.1 transcript:scaffold_603594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRFEIRGCSELELTRKVCGLLWLPDAVAMSCLAQLSRLDLAAVAIASKEHRNLVASHALGHLRWRMGCTEPSLYVYLHMFPDPSPQWFILHPVQRRLKRVFSRLYPAPEAGSCIVARHWGIYVIGGLVNGKPTSEVTFFDCVKHTVNRFPPMKMARSGASASMANGKIHVFGGCWDVADSSNWAEVFDIETKTWDFLFVFTPKMPLNIQWSVVTDKKEVYVVDEDGDNLSLSPGKCVFVATGKTDSEPQYRTDWCCFGSSFFFCGDTRGRILWCLPDDLDWKQVKGLEELSGYDICKLCCNSAWRIFIFWKAPLTLELWCAEISLLKDARPEECELWGKIEWSGAVLSHSCISPFLAAVSSGVRAPGMDALKVSLAKPDKIAHGVSVWEWSGSALDEGDEASQWFTDFFGKPCRLLIQTRPVDPNYAPGHIAMFSDMYPFLLYITGQPKRIIQI >scaffold_603595.1 pep chromosome:v.1.0:6:21459412:21460182:-1 gene:scaffold_603595.1 transcript:scaffold_603595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRRKFGVAKEEIDGSDAKLDNEELGRLKEVNIDEASARRHVRDSLKDVQLNLDHILFKTPETGIKTKELEATVKEDKEWRWLLNGMSWRSTRAASVSL >scaffold_603596.1 pep chromosome:v.1.0:6:21475179:21475843:-1 gene:scaffold_603596.1 transcript:scaffold_603596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQVPLLNLPLTTTPELINKIETYLNKNYTCPHQQIENSKTSILPKSSEKLKAMNFPISMIKIGTWTPVAINPEDIVAKFYFAKKKLIWEFLFGEEETNMPRLKRKIEIQWNDVSSFEESIYTHDETGILKIELRKRPTFFIETNPQAGKHTQWKQLDRDFTDFYLQVSSFNLDHFFCFE >scaffold_603600.1 pep chromosome:v.1.0:6:21484934:21485258:-1 gene:scaffold_603600.1 transcript:scaffold_603600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAQVFMFVTVLTFVCVFISSTDAIRYIIYPPVHKHPNGCDPRFPTPACYKRTPENPYRRGCSCINRCRRENCGPNRVSSLKKILDKILEIPV >scaffold_603603.1 pep chromosome:v.1.0:6:21496387:21496579:1 gene:scaffold_603603.1 transcript:scaffold_603603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNQESIDTFISSTGASEAVALQKLEVISIPVILFSFFVSLYDWRWGK >scaffold_603606.1 pep chromosome:v.1.0:6:21516157:21516397:1 gene:scaffold_603606.1 transcript:scaffold_603606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFLVVSTTAALPPCSSSSSAADASTEVRRNRYKVAVDAEEGRRRREDNMVEKSKREESLLLEAS >scaffold_603607.1 pep chromosome:v.1.0:6:21516646:21517006:-1 gene:scaffold_603607.1 transcript:scaffold_603607.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0A3] MENHTEKTLKAEQDETNKIIKVVHAFDLNELPMEYAFDLNKLPMEDDLEVNKEIKIEPEVNPKSVHDFEEKIPNNEEEEPHHANEIEDSSWLYQIHFKKFPNRKQT >scaffold_603613.1 pep chromosome:v.1.0:6:21555356:21558369:-1 gene:scaffold_603613.1 transcript:scaffold_603613.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7M0A8] MAKNVGILAMDIYFPPTCVQQEALEAHDGASKGKYTIGLGQDCLAFCTELEDVISMSFNAVTSLFEKYKIDPNQIGRLEVGSETVIDKSKSIKTFLMQLFEKCGNTDVEGVDSTNACYGGTAALLNCVNWVESNSWDGRYGLVICTDSAVYAEGPARPTGGAAAIAMLIGPDAPIVFESKLRGSHMAHVYDFYKPNLASEYPVVDGKLSQTCYLMALDSCYKHLCNKFKKIEGKEFSINDADYFVFHSPYNKLVQKSFARLLYNDFLRNASSIDEAAKEKFTPYSSLSLDESYQSRDLEKVSQQIAKPFYDAKVQPTTLIPKEVGNMYTASLYAAFASLIHNKHNDLAGKRVVMFSYGSGSTATMFSLRLNDNQPPFSVSNIASVMDVGGKLKARHEYAPEKFVETMKLMEHRYGAKDFVTSKEGIIDLLAPGTYYLKEVDSLYRRFYGKKGDDGSIANGH >scaffold_603617.1 pep chromosome:v.1.0:6:21581900:21583872:1 gene:scaffold_603617.1 transcript:scaffold_603617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTRSSEFEIVSTPVICGHGVKESGAMVISDSDITVIVKLIKIRSNVPD >scaffold_603619.1 pep chromosome:v.1.0:6:21602758:21602965:-1 gene:scaffold_603619.1 transcript:scaffold_603619.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M0B4] MFIAKLVNFAAPSVKGTCEFERVYVAGPLDACQNLLNTPEEHSSNETSPFVLIVR >scaffold_603626.1 pep chromosome:v.1.0:6:21642136:21644664:-1 gene:scaffold_603626.1 transcript:scaffold_603626.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7M0C1] MEEDESTTPKKKTDSQLNLPPSMNRPTVSLESRINRLIDSNHYHSPSKPIYSDRFIPSRSGSNFALFDLASSSPKKDGKEDGAGSYASLLKTALFGPVTPEKSDVVNGFSPSRNIFRFKTETQRSLNLYPPFGSDVVTGVSPSPVKSPRKILRSPYKVLDAPALQDDFYLNLVDWSAHNVLAVGLGNCVYLWNACSSNVTKLCDLGVDESVCSVGWALRGTHLAIGTSSGTVQIWDVLRCNRIRTMEGHRLRVGALAWSSSVLSSGSRDKSILQRDIRTQEDHVSKLKGHKSEICGLKWSFDNRELASGGNDNKLFVWNQHSTQPVLRYCEHAAAVKAIAWSPHHHGLLASGGGTADRCIRFWNTTTNTHLSCIDTNSQVCNLVWSKNVNELVSTHGYSQNQIIVWKYPTMSKLATLTGHTYRVLYLAVSPDGQTIVTGAGDETLRFWNVFPSPKSQSRESEIGALSFGRTTIR >scaffold_603627.1 pep chromosome:v.1.0:6:21646118:21646959:1 gene:scaffold_603627.1 transcript:scaffold_603627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSADELIRTCHVLLRLGDFVGVQDVVNKNKVLFGERLDEFEKAAAISAVLTAAENPLPNGLMDWYGMIRVKPPGPVLSEDFEKLMNLLNSSNNPFPFCQEARVRASLAWSYLSDPTSKAGYDNAMSNKENLVIEDQSDDITDVGGLCGDGSKGEVDTRKRKADSLTCSDLPASEYLKEFQETEENPLPLAETQQAPNNEVPSKDTSSVPEKHKVGPGPDDEVVIISDDEDEEYVGRDIISMARTAKVRIVDGRKVKFIPLRKKQN >scaffold_603629.1 pep chromosome:v.1.0:6:21655088:21655841:1 gene:scaffold_603629.1 transcript:scaffold_603629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSREGASKNRTDPEDKPIQRCHQLLKMRDFKGARYIIQMNKLVSNKRDAEFEKALVICDILIAAENRLPHGLLDCYGMIRMTGPGPVLFENMEKIMNLLEWGDTTNPFPFRHEASEKAFFAWSLLSNPTIKEMYDYAISDEDNLESQGNVIECINVGGTQSGDGLGGEKRKRKTRDLPGSEYLKEFPTADVNPLPLSEKRQAPHNRFRWNDASFVPKKHNFGPGTNDKVEVISRR >scaffold_603631.1 pep chromosome:v.1.0:6:21665284:21665714:1 gene:scaffold_603631.1 transcript:scaffold_603631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEDNQSGDITNDSGLCGEGSKGEVDTRKRKADSLSCYDLPASKYFKKFKETEENPLPPAEKQLASYNVVPSKDTSSVPEKDNVGPGPDDEVIVISDDDDDEYERRTSKVRIVNGRKLKFIPLKKKQK >scaffold_603647.1 pep chromosome:v.1.0:6:21754442:21755835:-1 gene:scaffold_603647.1 transcript:scaffold_603647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSSCNFALTNPIISQIDSFSKQRLSVPLYFFSIRNPLKSLTNPWLGVVDTSLSLTSPVSALQTNRRRRIHKSVISSLPTANRDLVVSDAKKPKWSWRAIKSFAMGELEARKLKYPNTGTEALLMGILIEGTSFTSKFLRANKITLYKVREETVKLLGKADMYFFSPEHPPLTEDAQRALDSALDQNRKAGGIGEVMPAHILLGIWSEVESPGHKILATLGFTDEKSKELESFASTSGFLDE >scaffold_603655.1 pep chromosome:v.1.0:6:21814511:21814991:-1 gene:scaffold_603655.1 transcript:scaffold_603655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIESLFKSLGHLASHGHLHDAFKTFSLLRLQSSSSAVSGDVILHSAASLLSACVDVRAFVPGLQIHAHCVSSGVEYHSALVPKLVTFYSAFNLHSVAQSIIENSDILHPLPRNVLIASYAKNELFEEVVAAYKRMVSKKSDPMHK >scaffold_603656.1 pep chromosome:v.1.0:6:21816410:21816976:-1 gene:scaffold_603656.1 transcript:scaffold_603656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRFPGSTIKMPSRFGFVTYADSSVVDKVIQDNHIIIGKQIEIKRTIPRGSMRSNDIKTKKIFVGGIPSSVDDDEFKEFFMQFGELKEHQIMRDHSTGRSRGFGFVTYEK >scaffold_603659.1 pep chromosome:v.1.0:6:21830397:21832276:1 gene:scaffold_603659.1 transcript:scaffold_603659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYATIEEASIALGRNLTRLETLWFDYSATKSDYYLYCHNILFLFLVFSLVPLPLVFVELARSASGWFNRYKIQPKVNYSLSDMFKCYKDVMTMFILVVGPLQLVSYPSIQMIEVRSGLPLPTITEILSQLVVYFLIEDYTNYWVHRFFHSKWGYDKIHRVHHEYTAPIGYAAPYAHWAEVLLLGIPTFMGPAIAPGHMITFWLWIALRQMEAIETHSGYDFPWSPTKYIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYIYGTDKGYRFQKKLLEQIKESSKKGNKHNGGIKSD >scaffold_603667.1 pep chromosome:v.1.0:6:21866844:21867066:-1 gene:scaffold_603667.1 transcript:scaffold_603667.1 gene_biotype:protein_coding transcript_biotype:protein_coding METESCSIYLENLSGLKPIDLTRMTCSHVFHNRVSWSCSSVKHLSVVFYSALGSMIGTKD >scaffold_603672.1 pep chromosome:v.1.0:6:21897749:21899100:1 gene:scaffold_603672.1 transcript:scaffold_603672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQKNNLVPEEALVKILARLSLKYIARFRSVCKEWKSIIDSDFFRDLYESLKSSSSVSWSIMNTRNKRVSVEIVGHHGCERWGLTNSLGSYMHHIPETKVRNNSVLSCTDGIVLLYTETVEGELMYHVGNPLLRQWVRIPLPPHLSAFDVVRLQENRHFSDNGLVTKMEKGIVVGYKVVWMLASYPASKELTFMIYSSETGLWITKKVRCLRALLWSRLGYSVPLNGILHWLAASGCSLDADYVVSYDFYKGCDDDLDCNIIPFPGIQRFERNQRFKRTITTSAGSVVYCNVFNDSGGRTIRVWRLVSTKDHPKSWKLSWKLNTNSSLIGFGADYFPVVMHPLNSDIIYLWSRNKNSLVLLNLRTHKFSLHKEVPDEEENKSMDGCNMRFNGCKAYMDLIYPIFVNDILGGFHNLYFSQYVLPRWLNPLPQLSS >scaffold_603673.1 pep chromosome:v.1.0:6:21899728:21902353:1 gene:scaffold_603673.1 transcript:scaffold_603673.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:D7M0Z6] MDQKSFLRLIFLIVTAGFILSFTSTYFSHAPSSTTGILDCTDSSSSPLCASRNFLFNKQQPRPIPKHDPKPKTKNHDHFSDTPNHPLDPLTVVEINKVRSILNTHALFTSGTPHALHTVVLEEPDKNLVRHWEKGNPLPPRKASVIARVGPDTHVLTVDISTGRVDSENSPVSVSGYPMMTIEEMNAMTVVPFANADFNRTIISRGINLTDVICFPISSGWFGNKEENARVIKSQCFLTQGTPNFYMRPIEGLTILVDLDTKQVIEISDTGRAIPIPGSTNTDYRFEKLVTTDKTRLLNPISIEQPRGPSFVIEDNHLVKWANWEFHLKPDPRAGVVISRVRVHDPDTQETREVMYKGFVSELFVPYMDPSDAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRNAAYMDGVFAAADGTPFVRENMVCIFESYAGDIGWRHSESPITGIPIREVRPKVTLVVRMAASVGNYDYIIDYEFQTDGLIKAKVGLSGILMVKGTTYQNKNQVEKDREGNEEELHGTLLSENVIGVIHDHYVTFYLDLDVDGPDNSFVKVNLKRQETEPGESPRKSYLKAVRNIAKTEKDGQIKLSLYDPSEFHVINSGKTTRVGNPTGYKVVPRTTAASLLDHDDPPQKRGAFTNNQIWVTPYNKSEQWAGGLFTYQSHGDDTLAVWDRDIENKDIVVWYIENKDIVVWYTLGFHHIPCQEDFPIMPTVSSSFDLKPVNFFERNPILRAAPNFEHDLPVCGVQSVSA >scaffold_603676.1 pep chromosome:v.1.0:6:21916623:21916941:-1 gene:scaffold_603676.1 transcript:scaffold_603676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSCDRRTLYILVYLALRQIQKVVSSVTAGTSGSSYRRKPPIHRNPNVWENLLEFNPDRFLDKSCDFSGNDYSYFPFGSGRRICTGWPWQI >scaffold_603679.1 pep chromosome:v.1.0:6:21928143:21929614:1 gene:scaffold_603679.1 transcript:scaffold_603679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKISSWTDLDSEDEVLRMDSETTLKQEVAWATHFSLHNGIILIQIFIWFQSCLFCFNLQSTKIILSPGI >scaffold_603680.1 pep chromosome:v.1.0:6:21930013:21931407:-1 gene:scaffold_603680.1 transcript:scaffold_603680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIFTFVTIQNRNGHFNIVLATIVISSVFWYIWVYTKSKRLFPPIPPGPRGLPVVGNLPFLHPELHTYFHSLAQKHGPVLKLWLGAKLTIVITSSEATREILRTNDVIFANHDVPVVGSLSTYGGVDIVWSPYGTEWRMLRKICINKMLSNATLDSNSFNLLRRQETKRTVRYLADRARAGSAVNVGEQIFVTILNVVITQMLWGATVADGEERDSVGAEFLELITIIDVVGKPNVSDFFPVLSRFDLQGLAKRVRGSAQRMDLMFDRIINQRVRMDKGSKGNGVDFLMVLLNAKEEDENMSMNHVKAFLMDMVLGGTDTSLNTIEFAMAELINKPEIMKKAQQELDKVVGKNNIVEETHIT >scaffold_603684.1 pep chromosome:v.1.0:6:21945078:21945450:1 gene:scaffold_603684.1 transcript:scaffold_603684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTYALGRLQSLWEKMQENNKPEKWISEIGELRNELSSKFMIFNAGTRTCLGKNLVMTIMKTVAVEALRNYDIKIVEWQNIEPLPGLTLHMENGLKVTTSIIYDIKSCF >scaffold_603690.1 pep chromosome:v.1.0:6:22011890:22012414:-1 gene:scaffold_603690.1 transcript:scaffold_603690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7M112] MKRVRGFKIGHRFVKIFKWMILPRKRQCPTRITNPVSGIRSLARCLSRGAKRLCGGGKKNPGQNQIRLGKDPKTSNRVAPRGHLVVHVGESDGDTRRVVVPVIYFNHPLFEELLEQAERVHGFNQPGRITIPCRVSDFEKVQMRIAAWDHCRRKSSYKII >scaffold_603692.1 pep chromosome:v.1.0:6:22028139:22031101:-1 gene:scaffold_603692.1 transcript:scaffold_603692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFKILLLVFFVNISFCFAADPYSFYNFEVSYITASPLGVPQQVIAINGKFPGPTINVTTNENLVVNVRNKLDEGLLLHWNGIQQRRVSWQDGVLGTNCPIPPKWNWTYEFQVKDQIGSFFYFPSLHFQKASGGFGSFIVNPRAIIPVPFSTPDGDITVTIGDWYIRNHTALRKALDDGKDLGMPDGVLINGKGPYRYNDTLVADGIDFETITVHPGKTYRLRVSNVGISTSLNFRIQGHNLVLAESEGSYTVQQNYTSLDIHVGQSYSFLVTMDQNASSDYYIVASARVVNETIWRRVTGVGILHYTNSKGKAKGHLPPGPQDEFDKTFSMNQARSIRWNVSASGARPNPQGSFKYGSINVTDVYVLRNMPPVTINGKRRTTLNGISFKNPSTPIRLADKFKVKDVYKLDFPKRPLTGPAKVETSIINGTYRGFMEVVLQNNDTKMQSYHMSGYAFFVVGMDYGEWTENSRGTYNKWDGIARSTIQVYPGAWSAILISMDNPGAWNLRTENLDSWYLGQETYVRVVNPDENNKTEFGHPDNVLYCGALSKLQKPQKISSSASRSIGFTSLSMVVMALVMMMMIQH >scaffold_603696.1 pep chromosome:v.1.0:6:22041465:22041747:-1 gene:scaffold_603696.1 transcript:scaffold_603696.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M115] MSSTSVGSSHRLIVLDLPTMDAISLANLESKDLASTGYSRLALRLLSSSKFYACGDVVWYLFIHKLCINIVSKLDMFQE >scaffold_603705.1 pep chromosome:v.1.0:6:22113290:22113897:-1 gene:scaffold_603705.1 transcript:scaffold_603705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNSASLALFFALNILFFTLTAATDCRCNPSPKHKPIPSPKPRPVPSPSVPTPSVPIPSVPTPSVPSPSVPTPSVPTPSVPNPSVPTPVTPPSTPGSSRNCPIDALRLGVCANVLSGLLNVQLGQPSAQPCCSLIQGLVDLDAAICLCTALRANVLGINLNVPISLSVLLNVCNRRLPSGFQCA >scaffold_603707.1 pep chromosome:v.1.0:6:22130754:22131248:-1 gene:scaffold_603707.1 transcript:scaffold_603707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNSASLALFFALNILFFTLTVATDCKCNPSPKHSPRVPTPRVPIPSVPTPSVPTPSTPGSSRNCPIDALRLGVCANVLSGLLNVQLGQPSAQPCCSLIQGLVDLDAAICLCTALRANVLGINLNVPISLSVLLNVCNRRLPSGFQCA >scaffold_603708.1 pep chromosome:v.1.0:6:22135156:22135587:-1 gene:scaffold_603708.1 transcript:scaffold_603708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSASLVIFLTFSILFFTLTTACGGGCSPTPKPKPKPQSTGSCPRDTLKLGVCANVLKDLLKIQLGTPPVKPCCSLLKGLVDLEAAACLCTALKANVLGTKLNVPVSLSLLLNVCGRKVPSKFVCA >scaffold_603709.1 pep chromosome:v.1.0:6:22138622:22139051:-1 gene:scaffold_603709.1 transcript:scaffold_603709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSASLVIFLTFNILFFTLTTACGGGCSPTPKPKPKPKSTGSCPRDTLKLGVCANVLKDLLKIQLGTPPVKPCCSLLKGLVDLEAAACLCTALKANVLGNKLNVPVSLSLLLNVCGRKVPSGFVCA >scaffold_603712.1 pep chromosome:v.1.0:6:22153002:22153403:-1 gene:scaffold_603712.1 transcript:scaffold_603712.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M127] MDDNNRRIKDKNYLTATTIFYPTAAMIGDRVLRGLKPGHTSLVYSIQSHQPKEVFYKCGPKTFLLFCGLKQMICLLYGWAGPG >scaffold_603716.1 pep chromosome:v.1.0:6:22182822:22184020:-1 gene:scaffold_603716.1 transcript:scaffold_603716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPTSPATTNGEEPLVKKQKKNPSQIPLLPDDVLVSCLARVSRLHYGTLSLVSKSFRSLIASPELYKTRSLLGRTESCLYVCLRFPPERNQRWFTLSLKPNNRTVANNNKSSCNLLVPVPTSNYPHAQDLGLVAVGSNIYNFGGSGPSSVSILDCQTHTWHEAPSMRVKQYYPHANVVDGKIYVAGRCIDLESSNWMEVFDPKTQTWEPLLLAPLERRRCTYSISKSVVIEGGIYMIGGDIGVVYKPREGKWEEIRSLEELGCLGVSYCVIGNVLYCYGSRNGIIWYDFKIRKWMNIKGLEDLPKLVNCDCVVRSVEYGGKIAILWNKYLRSGSGYNNKMIWCAVISLERRNSKEIWGKVEWVDEVLTVPVSCETVFALSATV >scaffold_603717.1 pep chromosome:v.1.0:6:22185571:22186764:-1 gene:scaffold_603717.1 transcript:scaffold_603717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLTSPATTNGEEPLYKKQKKNPSQIPSLPDELLVSCLARVSRLHYATLSLVSKSFRSLIASPELYKTRSLFGRTESCLYVCLRFHPERNQRWFTLSLKPNNRTVANNNKSSCNLLVPIPTSHNPHMLVSILDCQTHTWHEAPSMQVKQYYPHANVVDGKIYVAGSCVKPKSSNWMEVFDPKTQTWELVLATLGKRFTHCINKSAVIEGAIYMFVDDIGVVYKPREGKWAEIRSLEDLQYLGFCYCVIGNVLYCYYWRNGIIWYDFKIRKWMNMKGLEGLPQFPNYGCVVRLVEYGGKMVILWNKYGSGCNNNKMIWCAVISLERRNSKEIWGKVEWVDAVLTVPVSCETVCALSATV >scaffold_603718.1 pep chromosome:v.1.0:6:22187319:22189083:-1 gene:scaffold_603718.1 transcript:scaffold_603718.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER membrane protein complex subunit 3 [Source:UniProtKB/TrEMBL;Acc:D7M133] MAEDLVLDTAIRDWVLIPLSVVMVLIGILRYFVSKLMRSSPTPDAKMVKEGQVVIRARNLKVGANFIPPRSFRTRRFYFSNEENGLLHVPKGEAQNPQAAMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGDENAIDDTQRMMQMGGFGFDASKSLGAEKDGLDIIQHEWALPRFEQRAESVLRKLVK >scaffold_603722.1 pep chromosome:v.1.0:6:22197540:22197962:1 gene:scaffold_603722.1 transcript:scaffold_603722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNRYFEDQPYEVENPFNIMITLSPFDIDLSTTLLVPKTLLEANLFPFFDISFLVELLQVRNKIEVFDIDTKITTFLTMKEDGNNFKFRGWNNILERKHYRAGDTLAFWWDLHHTRLNFKHVA >scaffold_603723.1 pep chromosome:v.1.0:6:22199134:22199548:1 gene:scaffold_603723.1 transcript:scaffold_603723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFPDKPYEANNPHNIMITLSPFDVDMSTTIMMPKALLETNLFPFMEISTLVELLQVPNKPKMIGIYDIDSKITTFVIIKKDGNNFKFHGWNDILLRKHYKAGDTIAFWWDLRHTRLNFKQVA >scaffold_603724.1 pep chromosome:v.1.0:6:22200880:22201282:1 gene:scaffold_603724.1 transcript:scaffold_603724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTELYPDKPFDADSPWNILITLTPSDIGLSNMITFPKEIVENKMLPFLPDNFIGYLDFFDFDTEVVTTLTVKEDADGNFQFHGWSMVLQRKNYKTGDIIGFWSDAYNSRFNFQLLMIA >scaffold_603725.1 pep chromosome:v.1.0:6:22214299:22215159:1 gene:scaffold_603725.1 transcript:scaffold_603725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQVKQFRGKQVRKQAAVTPTCMQALVQDQSRVRAYRRAPLDSIELKDYAKQTKGLRDLSIDGSTIGGKRMGEVDKKHFLKVCK >scaffold_603726.1 pep chromosome:v.1.0:6:22219644:22219896:-1 gene:scaffold_603726.1 transcript:scaffold_603726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWTDLRRGDCCGRLEVISDGYYCKTCDFFVHKKCGEFSEYIEHPSHSSHTLQLESYPVFDCKLCGRNRD >scaffold_603733.1 pep chromosome:v.1.0:6:22247569:22251000:-1 gene:scaffold_603733.1 transcript:scaffold_603733.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7M149] METSSAISIGTCLKEHQKIYKDWFNIADSDGDGRVSGNDATKFFAMSKLSRQELKQVWAVADSKRQGFLGLSEFITAMKLVSLAQEGHEITSDLLKGSVDMKSVELPVLEGLENVVSKLKVAKTNVDVEENVVTKPQVTAKAPWFKSKSIIKPQVNVVTIVDGLKRLYTEKLKPLEVTYRFNDFASPVLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLGCDYPGAHIGPEPTTDRFVVAMSGPDERTIPGNTMAVQADMPFNGLTSFGGAFLSKFECSQMPHPVLDQITLVDTPGVLSGEKQRMQRSYDFTGVISWFASKCDMILLLFDPHKLDISDEFKRVITSLRGNEDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPINEDAVGPIGKELFEKEQNDLLADLMDIPKKACDRKINEFVKRARAAKINAYIMSHLKKEMPAMMGKSKAQQRLMDNLEEEFGKVQREFHLPAGDFPSVEHFREVLGGYNIDKFEKLKPKMIQAVDDMLGYDIPDLLKKFRNPYD >scaffold_603735.1 pep chromosome:v.1.0:6:22258315:22258698:1 gene:scaffold_603735.1 transcript:scaffold_603735.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M151] MASQLDLIGEDYIAGIWSNPPANNRVTSVYIEVQAGIWSNPPATTRFLFLPYLKSLSRSTMVLKAPPLDIQVRFLGFGLTKPDQARLNPSLSSNRFLESEKFLSFSILRDREN >scaffold_603739.1 pep chromosome:v.1.0:6:22273588:22275081:1 gene:scaffold_603739.1 transcript:scaffold_603739.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid lipid-associated protein 1, chloroplast [Source:UniProtKB/TrEMBL;Acc:D7M155] MATVPLFSQFPCKTLVPSSSNTKSQSKSPILLPINSVNRRSEIGISVHRPDFKIRATDINDEWGQEGVERVLSSSSPVSVAEKVADGAIESAEETERLKRSLADSLYGTDRGLSASSDTRAEISELITQLESKNPTPAPNEALFLLNGKWILAYTSFVGLFPLLSRRIEPLVKVDEISQTIDSDSFTVQNSVRFAGPFSTTSFSTNAKFEIRSPKRVQIKFEQGVIGTPQLMDSIEIPESVEILGQKIDLNPIKGILTSVQDTASSVARTISNQPPLKFSLPSDNTQSWLLTTYLDKDLRISRGDGGSVFVLIKEGSSLLNP >scaffold_603740.1 pep chromosome:v.1.0:6:22275608:22276203:-1 gene:scaffold_603740.1 transcript:scaffold_603740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVNRAEEKTERENKKLNKQSQHQTREPRICRALCCGNKARLSISSCSSAESDKSSRFSDQHGSLSSLAHYMVQEKLEQMIRETQEATHQEKIRQQKMRRRRRRSEGSISSTKFIVMMAMEKCSFDPREDFRDSMVEMIVANKIKEADELRSLLEYYLSMNPREYRSAILEIFYEVCADLFRS >scaffold_603742.1 pep chromosome:v.1.0:6:22288162:22288369:1 gene:scaffold_603742.1 transcript:scaffold_603742.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M158] MYDTKFRPMVGLGINDSNVFAPIGYWPENFTCLTDYVQWDSEIVNKNIYGWHTII >scaffold_603743.1 pep chromosome:v.1.0:6:22290275:22291128:1 gene:scaffold_603743.1 transcript:scaffold_603743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQTTKRTFLGLASRNSTPFPVVSRSYHPNVVDHYDNPRNVGSFDKSDPNVGTGLVGAPQCGDVMKLQVKFDGSGQIIDAKFKTFGCGSAIAASSLLNGSRDNPWKKQIAKHLSLPPVKLLCSMLAEDAIKAAVKNYKQKQAEANSETVEA >scaffold_603745.1 pep chromosome:v.1.0:6:22299843:22303107:1 gene:scaffold_603745.1 transcript:scaffold_603745.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:UniProtKB/TrEMBL;Acc:D7M160] MAVLDKLFRASRAWRGSLSHSKSVAPSQPRARELHRCFYSGTFDQSNSKVNQVLRSCSTINESPYFSIAPAVLGALFSVGAIGVAYAESDEVFFTDSSLKLTFLKATNDKSSAPIDPPPNYVDIAKKERARIEELISSKGTQYGSYPRFNVAVRGQKITLKFQVPSTCEVAQLISNIGSQLGVKVSDRTGGSDMLLRAWDNPVAWQITLRSVENKKKLGESEDDSDDDLCILIFGSLLTSDKVEVEFIKKGSLTTEELEAFVSALRVAGTKAGQNKGGGVRGSARESSTDKSISQLESMGVRIYGVNKPLGDDSIDDISWDNIAGYDQQKREIEDTILMALHSPEVYDDIVRGTRSKFESNRPRAVLFEGPPGTGKTSCARVIANQAGIPLLYVPLEAVMSKYYGESERLLGDVFSQANELPDGAIIFLDEIDAFAISRDSEMHEATRRVLSVLLRQIDGFEQDKKVVVIAATNRKQDLDPALISRFDSMIMFDLPDLQTRQEIITQYAKQLSKPELVQLAQATEAMSGRDIRDVCQGAERTWASKLIRRAKAGGEERKITLPPIQEYLESAEARRKALLSVTEQKEPKFAARSKKPLLDFE >scaffold_603753.1 pep chromosome:v.1.0:6:22334138:22334409:1 gene:scaffold_603753.1 transcript:scaffold_603753.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M168] MKIRVFVTSCVRRNFTGGSDRWKKAMAKVAQQGTLAQKQVQKKRIGWLRKLEWKQNTVWVSPIKSSLPKSSNRGKQ >scaffold_603754.1 pep chromosome:v.1.0:6:22337742:22341246:1 gene:scaffold_603754.1 transcript:scaffold_603754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIGVSAGINILTAFIFFIIFAFLRLQPFNDRVYFSKWYLKGLRSSPASGGGFAGRFVNLDLRSYLKFLHWMPEALKMPERELIDHAGLDSVVYLRIYWLGLKIFAPIAMLAWAILVPVNWTNNELELAKHLKNVTSSDIDKLTISNIPESSHRFWAHIVMAYAFTIWTCYMLMKEYETVANMRLQFVASEARRPDQFTVLVRNVPPDPDETVSELVEHFFLVNHPDNYLTHQVVYNANKLADLVGKKKKLQNWLDYYQLKYTRNNSQTRPITKLGCLGLCGQKVDAIEHYIAEVDKTSKEIAEERENVVNDQKSIMPASFVSFKTRWAAAVCAQTTQTRNPTEWLTEWAAEPCDVYWPNLAIPYVSLTVRNLVMNVAFFFLTFFFIIPIAFVQSLATVEGIEKVAPFLKVIIEDKFVKSLIQGLLAGIVLKLFLIFLPGILMTMSKFEGFTSVSSLERRSASRYYIFNLVNVFLGNVIAGAAFEQLNSFLNQSPNQIPKTIGMAIPMKATFFITYIMVDGWAGVAGEILMLKPLIIYHLKNALLVKTEKDREEAMNPGSIGFNTGEPQIQLYFLLGLVYAPVTPMLLPFILIFFALAYVVYRHQIINVYNQEYESAAAFWPDVHGRVITALIISQLLLMGLLGTKHAAFAAPFLIALPVITIGFHRFCKGRYEPAFIRYPLQEAMMKDTLERAREPNLNLKGYLQDAYIHPVFKGGDDDDGDMIGKLENEVIIVPTKRQSRRNTPAPSRISGESSPSLAVINGKEV >scaffold_603757.1 pep chromosome:v.1.0:6:22349897:22350185:-1 gene:scaffold_603757.1 transcript:scaffold_603757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRQKKTAKVVTVVMGLCIVAYIAGPSLYWHLNETIADSLHSSCPPCVCDCSSQPLLSIPDGNHHYCCHSFLLSLVTKPNF >scaffold_603758.1 pep chromosome:v.1.0:6:22350312:22352601:-1 gene:scaffold_603758.1 transcript:scaffold_603758.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M173] MIRRSSVLNSTKYFNSHINHLSSHGDHKQVLSTFSSMLANKLLPDTFTFPSLLKACTSLQLLSFGLSIHQKVLVNGFSSDSYISSSLVNLYAKFGLLGHARKVFDEMRDRDVVHWTAMIGCYSRAGIFGEACSLVKEMRFQGIKPSPVTFLEMLSGISEITQLQCLHAFALVYGFECDIAVMNSMLNLYCKCDRVGDAKELFDQMEQRDMVSWNTMISGFAFVANMSEILKLLYRMRDDGLRPDQQTFGASLSVSGTMCDLEMGRMLHCQIVGTGFDGDMHLRTALITMYLKCGEEEASYRVLETIPDKDVVCWTVMISGLMRLGRAEKALIVFSEMLHSGSDLSSEAIASVVASCAQLGSFDLGASVHGYVLRQGYTLDTPALNSFITMYAKCGHLDKSLILFERMNERDLVSWNAIISGHAQHGDLCKALLLFEEMKFKTVQQVDSLTVVSLLQACSSAGALPVGRMIHCIVIRSFIRPCTLVDTALVDMYSKCGYLEAAQRCFNSITWKDVVSWGTLIAGYGFHGKGDIALEIYSEFLHFGMKPNHVIFLAVLSSCSHNGMVQQGLKIFSSMVRDFGVEPNHEHLACVVDLLCRAKRVEDAFKFYKENFTRPSIDVLGIILDASHANGKTEVEDIICRDMIELKPVDAGHYVRLGHSFAAMKRWDDVSESWNQMRSLGLKKLPGWSKIEINGKTTTFFMNHTSHSDETVSLLKLLSREMMQFGSKNLCDHSNNVTMAQVSNIIIT >scaffold_603762.1 pep chromosome:v.1.0:6:22386343:22387795:-1 gene:scaffold_603762.1 transcript:scaffold_603762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKKTTLQRYLAQLQQHPLRTKAITAGVLSGVSDVVSQKLSGIQKIQLRRVLLKMIFAGGFLGPAGHFFHTYLDKFFKGKKDTKTVAKKVVLEQLTLSPLNHLLFMVYFGVVIERTPWNLVRERIKKTYPTVQLTAWTFFPVVGWINYKYVPLHFRVILHSLVAFFWGIFLTLRARSMTLALAKAK >scaffold_603770.1 pep chromosome:v.1.0:6:22418321:22420917:1 gene:scaffold_603770.1 transcript:scaffold_603770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M1R5] MGKILCLSLLLVLQTLHVVNAVKCFGSSFNVNSSYAQNRPKVFPTLADKVVTNGGFYNASLGQSPDRVYALVICNTQTECRSRMDSFIWGGNDDVTCLVRSSNQSTFGNLQLKPPVVWPSPDNIESSKNLTLFEQQWEEMVNRTLKAATEAATSSVLKYYSVEKAGFTEFPDVYMLMQCTPDITSRDCKQCLGDSVMYFRKDYMGRKGGMASLPSCYFRWDLYAFHNAFDNVTRVPAPPPRPQSQEKQSCITEKKGKSIGYGGIIAIIVVLTFINILVFIGFIKVYARRGKLNNVGSAEYSDSDGQFMLRFDIGMILMATDDFSSENTLGQGGFGTVYKGTFPNGQEVAVKRLTKGSGQGDMEFKNEVSLLTRLQHRNLVKLLGFCNEGDEEILVYEFVPNSSLDHFIFDEDKRSLLTWEVRFRIIEGIAKGLLYLHEDSQLKIIHRDLKASNILLDAEMNPKVADFGTARLFDTDETRAETRRIAGTRGYMAPEYLNHGQISAKSDVYSFGVMLLEMINGGRNNSFEGEGLAAFTWKRWVEGKPEIIIDPLLIENPRNEIVKLIQIGLLCVQENAAKRPNMSSVIIWLGSETIIIPLPKAPAFTWSRSQSESGAMSISDDVFTELSCR >scaffold_603773.1 pep chromosome:v.1.0:6:22443760:22444636:-1 gene:scaffold_603773.1 transcript:scaffold_603773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPIVRSYVRSKVPRLRWNSDLHNSFVQAVEQLGGENRASSWKNPCKKHIQSLAEKILAKRSVRVTGQVTWWQFQQYLHNYQRLRGNANFFQNHQRFV >scaffold_603774.1 pep chromosome:v.1.0:6:22449532:22451220:1 gene:scaffold_603774.1 transcript:scaffold_603774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSVNVSSSSSSGIINSGFSRSGASSEPKVSQIGSLRLFDRVHVAPVSLNLSGKRSSSVKPLNAEPKTKDSMIPLAATMVAEIAEEVEVVEIAELAKKLENASPLEIMDKALEKYGKDIAIAFSGAEDVALIEYAHLTGRPFRVFSLDTGRLNPETYRFFDAVEKHYGIRIEYMFPDSVEVQGLVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLKAWITGQRKDQSPGTRSEIPVVQVDPVFEGLDGGVGSLVKWNPVANVEGNDVWNFLRTMDVPVNTLHAAGYISIGCEPCTKAVLPGQHEREGRWWWEDAKAKECGLHKGNVKENTADANVNGESKSAVADIFKSENLVTLSRQGIENLMKLENRKEPWIVVLYAPWCPFCQAMEASYDELADKLAGSGIKVAKFRADGDQKDFAKQELQLGSFPTILVFPKNSSRPIKYPSEKRDVDSLTSFLNLVR >scaffold_603775.1 pep chromosome:v.1.0:6:22451811:22452452:-1 gene:scaffold_603775.1 transcript:scaffold_603775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGLMRWDDDDDDSSEESSSSSASDSNVDNSTETVKKKKRKSKKPIARFAKKDKKAFDYESLQQHGYKAVGLPDISAPMEKQDWSWATGKDTKRVEEVKESYREREATRAAVTGGETIENAQLRTDRKNLSFSQKEKKKRDLGQASRGKNYVEEEKRQLRESGVYSGFDS >scaffold_603776.1 pep chromosome:v.1.0:6:22452647:22452856:1 gene:scaffold_603776.1 transcript:scaffold_603776.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1S2] MPQIQLEHNISFLQQSYLAGVVDDCRSSIRGGDCDSRKIRSDTRVPSPVEQPREQ >scaffold_603777.1 pep chromosome:v.1.0:6:22453157:22454451:-1 gene:scaffold_603777.1 transcript:scaffold_603777.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:D7M1S3] MAKNSFKLSNPLEMRMAESTRIRAKYPDRVPVIVEKAGQSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLGAEKAIFVFVKNTLPPTAALMSAIYEEHKDEDGFLYMTYSGENTFGSVC >scaffold_603778.1 pep chromosome:v.1.0:6:22456276:22456827:-1 gene:scaffold_603778.1 transcript:scaffold_603778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSRRRTHNSRRVPSMRIEGEEEFQEEDVWSVLREGETSGPEMKIPKSHFSSSSSSSSSPWNIHRSKEVSGVKQSSAPMNVPDWSKVYGDSKSNRRSSHLHYHDADDDEEDDDGCMVPPHEWVARKLARTQISSFSMCEGVGRTLKGRDLSKVRNAVLSKTGFLE >scaffold_603779.1 pep chromosome:v.1.0:6:22464067:22465274:-1 gene:scaffold_603779.1 transcript:scaffold_603779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSNLTTMWVSSKPSLSADSSSLSFRSVLKCPVPLPNHTSSPPSRSSSVSPLQASLRELRDRIDSVKNTQKITEAMKLVAAAKVRRAQEAVVNGRPFSETLVEVLYNINEQLQTDDVDVPLTKVRPVKKVALVVVTGDRGLCGGFNNFIIKKAEARIKELKGLGLDYTVISVGKKGNSYFLRRPYIPVDRYLEAGTLPTAKEAQAVADDVFSLFISEEVDKVELLYTKFVSLVKSEPVIHTLLPLSPKGEICDINGTCVDAAEDELFRLTTKGGKLTVERETFRTPTADFSPILQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMSAMSSASDNASDLKKSLSMVYNRKRQAKITGEILEIVAGANAQV >scaffold_603784.1 pep chromosome:v.1.0:6:22480034:22481358:1 gene:scaffold_603784.1 transcript:scaffold_603784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKPKQNLVLLTEEALKNIWARFSLRSIARFRSVCKEWKLLIDSDIFRDHYLSLNSSSSSVSWSIMNNKNKTEIVGTDGIVLVYTETMEGAPMYHVGNPLLQQWVRIPFPPHLFVYDLVRLQGNRYFNDNGLVTKMEKGIAVGYTVVWTLASGGVSKELTFLIYSSETGLWITKKVSCRRSLIWSRLAHSVPLNGILHWLATIDNSSLDANYVVAYDFYNGGGDVCPIIPFPDIQQFQETRRFKRTLTTSAGFVVYCNIYSDNNGGERAIRVWRLVSTNENLNSWQLSWKVNTKGDVDYFPVVMHPINFDVIYLWSWNKNALVLFNLRTQKYSLRREAKKSKSMDGCILTFSNCKEYMDLIYPSFVNSMLHGSHYLFFSQYVLPRWLNPLPRLSS >scaffold_603789.1 pep chromosome:v.1.0:6:22508103:22511134:-1 gene:scaffold_603789.1 transcript:scaffold_603789.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 23 [Source:UniProtKB/TrEMBL;Acc:D7M1T4] MGCFSSKHRTTQNDGGERSNPINPVQTHVVNQVPEHREPQIPTPSVPISVRDPATILGKPLEDIRRFYSLGKELGRGQFGITYMCKEIGTGNNYACKSILKRTLISEREDVKTEILKRKLVNKYDKENLKREIQIMQHLSGQPNIVEIKGAYEDRQSVHLVMELCAGGELFDRIIAQGHYSERAAAGIIRSIVNVVQICHLKGVIHRDLKPENFLFSSKEENAMLKVTDFGLSAFIEEGKVYQDVVGSRYYVAPEVLRRSYGKEIDIWSAGVILYILLSGLPPFWADNEDGIFVEILKGEIDVESQPWPSISESAKDLVRKMLTKDPKRRITAAQVLEHPWIKGGEAPDKPIDSTVLSRMKQFRAMNKLKKLALKISAESLSEEEIKGLKTMFANMDTNRSGTITYEQLKTGLSRLRYRFSETEVKQLMEAADVDGNGTIDYYEFISATMHRYKLDRDEHVHKAFQHFDKDNNGHITRAELESVMKEYGMGDEASIKEVISEVDTDNDGKINFEEFRAMMRCGTTQPKGKMFPFH >scaffold_603793.1 pep chromosome:v.1.0:6:22530156:22532020:-1 gene:scaffold_603793.1 transcript:scaffold_603793.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATABC1 [Source:UniProtKB/TrEMBL;Acc:D7M1T8] MASLLANGISCFSPQPTSDSSKSPKGFHPKPESLKFPSPKSLNPTRPVFKVRAADVVGIDSRPIGAESSGTSTVSSTDKLQQYFQNLDYDKKYGFVEDIDSFTIPKGLSEETIRLISKLKEEPDWMLEFRFKAYAKFLKLEEPKWSDNRYPSINFQDMCYYSAPKKKPTLNSLDEADPQLLEYFDKLGVPLTEQKRLANVAVDAVLDSVSIATTHRKTLEKSGVIFCSISEAIREYPDLIKKYLGRVVPSDDNYYASLNSAVFSDGSFCYIPKNTRCPMPISTYFRINAMETGQFERTLIVAEEGSFVEYLEGCTAPSYDTNQLHAAVVELYCGKGAEIKYSTVQNWYAGDEQGKGGIYNFVTKRGLCAGDRSKISWTQVETGSAITWKYPSVVLEGDDSVGEFYSVALTNNYQQADTGTKMIHKGKNTKSRIISKGISAGHSRNCYRGLVQVQSKAENAKNTSTCDSMLIGDKAAANTYPYIQVKNPSAKVEHEASTSKIGEDQLFYFQQRGIDHERALAAMISGFCRDVFNKLPDEFGAEVNQLMSIKLEGSVG >scaffold_603799.1 pep chromosome:v.1.0:6:22548898:22550183:1 gene:scaffold_603799.1 transcript:scaffold_603799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTAAPEGGLIKKSNEEWRAVLSPDQFKILREKAIEKRGSGEYVKLFEDGIYCCVGCGNPVYKSTTKFDSGCGWPAFFDAIPGAINRTEERAGLRYEITCTKCDGHLGHVFKNEGFPTPTDERHCINSVALKFSSAIPSQ >scaffold_603804.1 pep chromosome:v.1.0:6:22578376:22580472:-1 gene:scaffold_603804.1 transcript:scaffold_603804.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine/AMP deaminase family protein [Source:UniProtKB/TrEMBL;Acc:D7M1U8] MEWIKSMPKIELHAHLNGSIRDSTLLELARVLGEKGVIVFADVEHVIRKNDRSLVEVFKLFDLIHKLTTDHKTVTRITREVVEDFALENVVYLELRTTPKRNDSIGMSKRSYMDAVIEGLRSVSEVDIDFVTASDSQKLLNACDGIGRKKIYVRLLLSIDRRETTESAMETVKLALEMRDVGVVGIDLSGNPLVGEWSTFLPALQFAKDSNLHITLHCGEVPNPKEIQAMLDFKPHRIGHACFFKDEDWTKLKSFRTPVEICLTSNIITKSISSIDIHHFADLYNANHPLILCTDDFGVFSTSLSNEYALAVRSFGLSKRETFALARTAIDATFAEDEVKQQLWLIFDSASPEYA >scaffold_603809.1 pep chromosome:v.1.0:6:22607813:22613572:-1 gene:scaffold_603809.1 transcript:scaffold_603809.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-ethylmaleimide sensitive factor [Source:UniProtKB/TrEMBL;Acc:D7M1V2] MAGRYGSQVMTMTVTNTPSADLAFTNLAYCSSSDLRQFSVPGSDLFLANVYNIRDGNIALNAIQRRHARVSTGDMVSVSRFVPPENFDLAMLTLELEFVKKGTKSEQVDAALLSTQLKRKYTNQVLTVGQKATFEYHGTNYILTVNRADVEGQDHTNGIERGLLSKDTYIVFEASNSSGIKIVNQREAASSNIFKHKEFNLESLGIGGLGAEFADIFRRAFASRVFPPHVTSRLGIKHVKGMLLFGPPGTGKTLMARQIGKMLNGKDPKIVNGPEVLSKFVGETEKNVRDLFADAEQDQRTLGDASELHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVQVEISLPDEAGRLQILQIHTNKMKENSFLGTDINLQELAARTKNYSGAELEGVVKSATSYALNRQLSMDDLTKPVEEENIKITMEDFLHAIYEVQPAFGASTDDLERCRLNGMVDSGDRHNHIYKRAMLLVEQVKVSTRSPLVTCLLEGPSGSGKTALAATVGIDSDFPYVKIVSAETMIGLSESTKCAHIVKVFEDAYKSPMSIIILDDIERLLEYVAIGPRFSNIISQTLMVLLKRLPPKGKKLLVFGTTSELTFLDSVGICDSFSVTYSVPTLKTEDAKKVLTQLDVFSEDDVDAAAEALNDMPIKKLYMLIEMAAQGEHGGSAEAIYAGKEKIKITHFFDCLQDIVRF >scaffold_603811.1 pep chromosome:v.1.0:6:22624310:22626621:1 gene:scaffold_603811.1 transcript:scaffold_603811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDFFWSYTDEPHASRRRQIPYRYPQIRQLFGPDPWAFLKIALVVILQLSTAAILHNSGWLKILAIGSFLNHNLFLAIHELSHNLAFSTPVYNRWLGIFANLPIGVPMSVTFQKYHLEHHEHYVFNPNQETYSYYGPLNLLTWGVGYHNEHHEFPRIPGNKLHLVKEIAGEYYEGLES >scaffold_603813.1 pep chromosome:v.1.0:6:22634069:22635924:-1 gene:scaffold_603813.1 transcript:scaffold_603813.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7M1V6] MTGTVKDIVSKAELDNLRQSGAPIVLHFWASWCDASKQMDQVFSHLATDFPRAHFFRVEAEEHPEISEAYSVAAVPYFVFFKDGKTVDTLEGADPSSLANKVGKVAGSSTSAEPAAPASLGLAAGPTILETVKENAKASVQDRAPVSTADGLKSRFEKLTNSQPVMLFMKGTPDEPRCGFSRKVVDILKEEKVDFGSFDILSDNEVREGLKKFSNWPTFPQLYCNGELLGGADIAIAMHESGELKDAFKDLGINTIGSKESQDEAGKGGGVSSGNTGLSETLRARLEGLVNSKPVMLFMKGKPEEPKCGFSGKVVEILNQEKIEFGSFDILLDDEVRQGLKVYSNWSSYPQLYVKGELMGGSDIVLEMQKSGELKKVLSEKGITGKQSLEDRLKALINSSEVMLFMKGSPDEPKCGFSSKVVKALRGENVNFGSFDILTDEEVRQGIKNFSNWPTFPQLYYKGELIGGCDIIMELSESGDLKATLSE >scaffold_603814.1 pep chromosome:v.1.0:6:22659591:22659887:1 gene:scaffold_603814.1 transcript:scaffold_603814.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1V8] MSLQETTFTRRKPVTHLSFSNDTVSHISFSASLDLWGPPWGEKLSTADGAFMSLPTVAKPLAVNPTWPCTATFMAKIRNLLRF >scaffold_603818.1 pep chromosome:v.1.0:6:22699609:22699840:-1 gene:scaffold_603818.1 transcript:scaffold_603818.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M1W3] MSDGLDSGLIKADEAPIVKQIRAERRNKKKRGAAAKYIYSHHHRHRHHRSPKKKAIVFSFLFS >scaffold_603824.1 pep chromosome:v.1.0:6:22732403:22733149:1 gene:scaffold_603824.1 transcript:scaffold_603824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSGGFDIKSKRECEGLGLGFVRFTRGLGRKRILISKSNSNSDRARANDSSPEANKSLLETLHQDILIRVLCHVDHEDLATLKRVSKTIRKAVIEAKKSHFDFSTPKKRLPFRDAILVLEEDSDSSNQDDEMEPPNAPIRRRIINRESDLSKISMVLFK >scaffold_603826.1 pep chromosome:v.1.0:6:22741978:22742626:1 gene:scaffold_603826.1 transcript:scaffold_603826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGKIPWTIFLFSHPMFSCFISLYTIFLLYFPQDSLRILISPVPLLVGAFLVSYFRFGLTRESIARPGNNENSIGPELKTGLGSNPDFMGDLVERNLKLRAPLEVIHEEEEQPMTRFVMIERLPSLSMFNPESDSELDRYFPVMSENDPTRFVRIERLPSLSIKPEFYLVFGSELDNYFPVMDVKIRSGLGGSRDSLCYR >scaffold_603827.1 pep chromosome:v.1.0:6:22745123:22749014:1 gene:scaffold_603827.1 transcript:scaffold_603827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFSIFERFSKAFQDHPSLTRILVVSTISGGGLIAYSEANASYGANGAGIVETGTKKKKVVLLGTGWAGTSFLKNLNNSQYEVQIISPRNYFAFTPLLPSVTCGTVEARSVVEPIRNIGRKKNVDTSYLEAECFKIDPASKKVYCRSKQGLSSNGKKEFSVDYDYLVIATGAQSNTFNIPGVEENCHFLKEVEDAQRIRKTVIDSFEKASLPELSDEERKRILHFVVVGGGPTGVEFAAELHDFVTEDLVSLYPRAKGSVRITLLEAADHILTMFDKRITEFAEEKFSRDGIDVKLGSMVTKVNEKDISAKTKGGEVSSIPYGMIVWSTGIGTRPVIKDFMKQIGQGNRRALATDEWLRVEGTDNIYALGDCATINQRKVMEDVSAIFSKADKDKSGTLTLKEFQEAMDDICVRYPQVELYLKSKRMRGIADLLKEAETDDVSKKNIELKIEEFKSALSQVDSQVKFLPATAQVAAQQGAYLAKCFDRMEDCEKNPEGPIRMRGEGRHRFRPFRYRHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKQVSWRTRVLVVSDWMRRFIFGRDSSSI >scaffold_603838.1 pep chromosome:v.1.0:6:22807594:22809627:-1 gene:scaffold_603838.1 transcript:scaffold_603838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRYENQAPEKLQGKYQAMVVCCILGIGSLVSWNSMLTIADYYYQVFPDYHPSRVLTLVYQPFALGTIVILAYHESKINTRKRNLIGYILFTISTFLLIVLDLATKGRGGIGPYIGLCAVVASFGLADATVQGGMIGDLSLMCPELVQSFMGGLAVAGALTSALRLITKAAFEKKNDGLRKGAMMFLAISTFIEFLCVMLYAYVFPKLPIVKYYRRKAASEGSKTVSADLAAAGIQNQSDLTDDDSKNQRLSNKELLIQNIDYAVNLFLIYVCTLSIFPGFLYENTGQHGLGAWYALVLVAMYNCWDLVGRYTQLVKWLKIENRKLITIAVLSRYLLIPAFYFTAKYGDQGWMIMLVSVLGLTNGHLTVCILTTAPNGYKGPEQNALGNLLVIFLLGGIFAGVALDWLWLIGKKNAF >scaffold_603839.1 pep chromosome:v.1.0:6:22819287:22821450:-1 gene:scaffold_603839.1 transcript:scaffold_603839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGYENQALENLEGKYQAMVVCCILGIGSLVSWNSMLTIADYYYQVFPDYHPSRVLTLVYQPIALGTIMILAYHESKISTRKRILTGYILFTISTFLLIVLDLTTKGHGGIGHYIVLCTIVASFGLADATVKGGLVGDLSLMCPELIQSYIAGSGMAGALTSVLRLITKAAFEKSNNRLRKGAMMFLAISTFIEFLCVMLYAYVFPKLPIVKYYRRKAASEGSKTVVADLAAAGIQNQSDLSDDNSKNQRLSKKELLLQNIDHAVNLFLIYVLTLSIFPGFLYENTGQHGLGDWYALILVATYNFWDLVGRYAPLLNWLKVENRTALTIAVLSRYFLVPAFYFTAKYGDKGWMIMLVSILGITTGHLTVCIMTIAPKGYTGPEKNALGNLLVVFILGGAVVGIYLGWLWLIGKKNAF >scaffold_603846.1 pep chromosome:v.1.0:6:22852734:22854048:-1 gene:scaffold_603846.1 transcript:scaffold_603846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCFKSSVSLISIFFFMILKAVSSATNPIYLYHICPNTTTYSRNSTYLTNLRTVLSSLSSPNAAYASRFDNATAGEENDNNRVYGVFLCRGDVSAEICRDCLAFAVNETLYRCPREKVAVIWYDECMLRYSNQSIVGQMRIRPAVFLTNKQNITENQVSRFNESLPALLIDVAVKAASSSRKFATEKANFTVFQTIYSLVQCTPDLTNQDCESCLRQAINWLPRCCDRSVGGRVIAPSCSFRYELYPFYNETIAEATMAPPLSTVAAPPLKLPSEEGKSKSSTVKVTAVAVPVSVCVLLLGAMCWLLAKRRNKLSAETEDLGITKKGIELILSFSSLIY >scaffold_603849.1 pep chromosome:v.1.0:6:22866783:22867526:1 gene:scaffold_603849.1 transcript:scaffold_603849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSNNNDHLLLPENFNSSNEFDNFLESPVWTSMMRGSNNNDHLLLPENLNSSNEIDIFQDSPVWTSMMLGSNNNDQLLLLENSPPLNSDKEIVNTQGTPEKHITLRSIPMVMRPKVQALQTEKSLPLNSSSDLDNFQDSSVSTSMKFGSNNNDQVLPQPERSTPLNSYKDIANTQGPPVKRIKLGGINNSHREVRSYKTAPGIK >scaffold_603850.1 pep chromosome:v.1.0:6:22870616:22871871:1 gene:scaffold_603850.1 transcript:scaffold_603850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCRQISERSPLVNQQRQITSFFGKYASFSSPSPSPSPPLSNKKTPKSINPNPNSPSPSPSPSRAAEALVGNGIPVSPEVANGLKNDEAYGVVLMRVVILGRLRWKAGAIKTGRYGFYARCDVWLRFNPSPNGQVPLLAPSTCKVDV >scaffold_603851.1 pep chromosome:v.1.0:6:22885999:22886783:1 gene:scaffold_603851.1 transcript:scaffold_603851.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2I1] MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPLDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >scaffold_603852.1 pep chromosome:v.1.0:6:22893193:22894701:-1 gene:scaffold_603852.1 transcript:scaffold_603852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPVTCDMRRRRLSGRAKDRGDKSRHRSEQDSWICLLRPIGAFACPQRECEERVFVTVGTTSFDALVKAVVSEDVKDELQKRGFTHLLIQMGRGNFFPTKCDGADGSLVVDYFTFSSSIADYIRFASLAISLLVLAXX >scaffold_603856.1 pep chromosome:v.1.0:6:22905711:22908711:1 gene:scaffold_603856.1 transcript:scaffold_603856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPHNKSFLLKKSVKTAWCAAHVQNLLEKSQYIATNIPKTVDHILLHEVPMSIRMSGHILIGVVRIYSKKLDYLSHDYNLLRSLVAKPEDLRQAQFHLITLPQTLNLDELDLEDDDTLYMEFETHIGSEEDITLSDQIPTGIDPYVTITFDDEDIIPESIPMDIEIEKRRDAARDLSPASHSPFAAQQQNVRVGSLHESLNDKEATIHNIDEEVLNSRGHSMFEFRLRSPSFAGSEEERADFVHPSPELVLQPSTPPPTPQTRPRKRKHYDKTYVLLSHKILRKRLEDPSKTVRKRKKLPSSKLGFWRLDNQSKKDQLFNEPLFTGFSNVLRSVFEKDYVASKPYLAVPDETIPEPASSPTREAETEINPASPVPDSTNRDSTVQRPPQQETEDVQGVAGPQSARAESVAAEAQSPQPSNNDDIEIEHFRDGGFHDYMPSPPPRSSPFRTNDFTTQPNTWETESFRTETSTSTNPENLPGLNIPEYMPSPPLRSSPFRTNDFTTQPESLETGFYRTEPSTSTIPESSSPGLKTPGLPTISERMDEELYFLEDGGNSQVRSPATQYSDALPGRTRTVAQYLKERCSSSLTSSHASGDLSLNKILEGKTRKIAARMFYETLVLKSRGLIDMKQDQPYSDISLKLMPALFSKTQL >scaffold_603858.1 pep chromosome:v.1.0:6:22918095:22921023:1 gene:scaffold_603858.1 transcript:scaffold_603858.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative rRNA methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M2I9] MGKVKGKHRLDTYYRLAKESGLRSRASYKLLQLDAKFNFLHSSRTVLDLCAAPGGWMQVAVQKVPVGSLVLGIDLVKIAPVRGCVTIQQDITRTTECKAKIKKALKKHGTDKTFDLVLHDGSPNVGGAWSQEAMSQNALVIDSLKLATEFLAPHGNFITKIFRSRDYDSVVYCLGELFENVEVFKPMASRSTSAETYLLGLNYKAPDKINPNLLDYRQLFKVVAEPTKKVKDVLGGSKQKRNRDGYEDGESILRKVASAADFIWSENPLEILGTVTCISFDDQASLPLKEHNLTTEEVKTLCDDLPVLGKNDFKHILKWRMQIRKALSPEKKEGTKKELDVGKEDEENEDDKLLNELEELTNTADRKNKRAKKLLAKRRAKDMTRKAIGPQIGALEDGYVDHELFSLSVMKGKKDLMTVDNDEDDNDNGNVDDSENEEGASDDSKDSVIDSDEERQRYNEQMEEIFDEAYDRYMVKKEGSAKQRKRARHAHAEKLEDGDGDVAMKLDYDSDMNEENDEANPLVVPLDDGEAQTKEEISNQWFSRDIFAEATEDGDLGKDDHSEDEMSTKKQSKNLSKPYKSKQKASVLFDQSFPNSSNKEDDFDIVPAPALGSDSDSSSDDDVHAKAEILACAKKMLRKKQREEMLDGDAYNKYMFEDEGLPKWFLDDEKQHRQPMKPVTKEEVNAMKAQFKEINARPAKKVAEAKARKKRAAMKRLEKVRKKANTISDTADISNRSKDKMIDKLYKKAAEPRKPKKELVVSKKGVGVKVGKGQKRVDRRMKSDARKRGGGKPSRNGKKSACHW >scaffold_603862.1 pep chromosome:v.1.0:6:22928586:22935018:1 gene:scaffold_603862.1 transcript:scaffold_603862.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UV-damaged DNA-binding protein 1A [Source:UniProtKB/TrEMBL;Acc:D7M2J3] MSSWNYVVTAHKPTSVTHSCVGNFTSPQELNLIVAKCTRIEIHLLTPQGLQPMLDVPIYGRIATLELFRPHGEAQDFLFIATERYKFCVLQWDAESSELITRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLFGCAKPTIAVLYQDNKDARHVKTYEVSLKDKDFVEGPWSQNNLDNGADLLIPVPPPLCGVLIIGEETIVYCSANAFKAIPIRPSITKAYGRVDVDGSRYLLGDHAGLIHLLVITHEKEKVTGLKIELLGETSIASTISYLDNAVVFVGSSYGDSQLVKLNLHPDAKGSYVEVLERYINLGPIVDFCVVDLERQGQGQVVTCSGAFKDGSLRVVRNGIGINEQASVELQGIKGMWSLKSSIDEAFDTFLVVSFISETRILAMNLEDELEETEIEGFLSQVQTLFCHDAVYNQLVQVTSNSVRLVSSTTRELRDEWHAPAGFTVNVATANASQVLLATGGGHLVYLEIGDGKLTEVQHALLEYEVSCLDINPIGDNPNYSQLASVGMWTDISVRIFSLPELTLITKEQLGGEIIPRSVLLCAFEGISYLLCALGDGHLLNFQLDTTTGQLKDRKKVSLGTQPITLRTFSSKSATHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAREGELTIGTIDDIQKLHIRTIPLGEHARRICHQEQTRTFGICSLGNQSNAEESEMHFVRLLDDQTFEFMSTYPLDSFEYGCSILSCSFTDDKNVYYCVGTAYVLPEENEPTKGRILVFIVEDGRLQLIAEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLRDDGTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLLYKHEEGAIEERARDYNANWMSAVEILDDDIYLGAENNFNLVTVKKNSEGATDEERGRLEVVGEYHLGEFVNRFRHGSLVMRLPDSEIGQIPTVIFGTVNGVIGVIASLPQEQYTFLEKLQSSLRKVIKGVGGLSHEQWRSFNNEKRTAEARNFLDGDLIESFLDLSRNKMEDISKSMNVQVEELCKRVEELTRLH >scaffold_603867.1 pep chromosome:v.1.0:6:22943972:22947073:-1 gene:scaffold_603867.1 transcript:scaffold_603867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDYRNWAELPAELTSSILLRLGVVEILENAQKVCRSWRRVCKDPSMWRKIVIDNSGNRDIFKYDLDSMCRHAVDRSQGGLLEIDIEYFGTDKLLDYIADRFSLSLFSISSNLRSLRLVRCHQITDKGVAEAVVKLPLLEDLEVSYCSFSGECLSVVGQSCPHLTTLKLNRRPRVEFVINMRDHNAIAIAESMPELRHLQLLGNALTNTGLNAILDSCTHLDHLDLRQCYNINLVEDFMKQCVERIKYLRCPNDSIADCSTETSFLDIDSDDGFPYMYGGYDMMGGYDIMGSYDMMGGYDIMGSYDMMGGYDIMGGYDSETASSSWSSTSTSVSSLVKDEEVRNWAELPPELTSSILGRLGTIDILENAQKVCRSWRRVCKDPSMWRKIDMDNLGDLGAMGYDLEIMCRHAVDRSQGGLVEIDIWYFGTDELLNYIADRSSNLRTLRLIMCYPIADEGFIEAVVKLPLIEYLELSHCSLSGESLKVVGQSCPNLKTLKLNSEPDPKFNDDEFNNEEALAIAESMPELRHLQLFGNILTNVGLNAILDGCPHLEHLDLRKCSNVDLTGDLEKRCVERIKDLKRPTDSTAGHPYGRITVDVRYDASDYYW >scaffold_603868.1 pep chromosome:v.1.0:6:22947476:22949106:-1 gene:scaffold_603868.1 transcript:scaffold_603868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISAVSKKKRRTSSTSQMKDEEARNWADLPSELTYLILIRLSGIDILDNAQKVCRQWRCVCKDPSMWQKIDMRSRIRNERMLDRLASMCRHAVDRSQGGLVEIHVGSFASDDLLDYIADRSRNLRSLGLGMCFPRATNPGLVDTITKLPLLETLEVSHSCLILDFKAIGQACPQLKTFKLNSSGRFWSSRNFRNSRNDDYYALEIAESMPELRHLYLYGNKLSDIGLNAILNGCPHLEHLELHKCFKLKLVGDLEKRCYERIKYFRHTNK >scaffold_603869.1 pep chromosome:v.1.0:6:22955497:22956475:1 gene:scaffold_603869.1 transcript:scaffold_603869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNGPKQNHENKDFHPTARRRGARSVTMKISRDFIRCSRYLHTLCVIDQAKADKLAISSFSFLISGCSCLTTVKNDDKLEFSKILEAWQLLLVSVYAL >scaffold_603872.1 pep chromosome:v.1.0:6:22962426:22964046:-1 gene:scaffold_603872.1 transcript:scaffold_603872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISAIAKKKMRTSSTSPMKDEEPRNWVDLPSELTSLILIRLSVTDILENARKVCSPWRRICKDPSMWRKIDMRDLANRGILFKYNADSMRRRCRDAVDLSQGGLLEIKIDRFVSDSLLSYIADRSSNLKSFALPVCYPSITTIEELVNAIAKFPFLETLEFFDLLFILDLKAIGHACPQLKTLKINFSGYTPCDDDDAIAIAESMPELRHLQLIGNGRLTDTGLNAIRDGCPHLEHLDVQIRHN >scaffold_603877.1 pep chromosome:v.1.0:6:22980878:22981162:-1 gene:scaffold_603877.1 transcript:scaffold_603877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLLSTSVPSLMKDEKWRNWAELPSKLTSSILLRLGAIEILENAQKVCTSWHRVCKDPSIKRRKTHFSLFVISSAK >scaffold_603878.1 pep chromosome:v.1.0:6:22984036:22984945:-1 gene:scaffold_603878.1 transcript:scaffold_603878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRGYRNWAELPSDLTSSILLRLSVIEILENAQKVCRLWRRVCKDPWMWRRIDMRNPKNLGGMIDMEIICRHAVDRSQGGLVEIDIGYFGTDSLLNYMADSSSNLRSLRLVKCNLITEVVKLPLLEDLEVSFCDLSGDSLRVVGQSCPNLKTLKLNYNLRTVCIIARFDGIAIAIAIAESMPQLRHLELLWNRLTNTGLNAILDSCPHLEHLYEGYSREEMF >scaffold_603879.1 pep chromosome:v.1.0:6:22985387:22987031:-1 gene:scaffold_603879.1 transcript:scaffold_603879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNQVKCVLPEQEKKHKWLPPPPLKEGESQNWAEIPPELTSSILLHLSTIEILKNAQRVCRSWRRVCKDPSMWRKIDMRNNGIFGYDYDRMCRHAVDLSEGGLVEINMVHFGNDSLYSYIAERSSNLRCLRLAMCYPLTGKGFVSAVMQLSFLEELEISHGYAQLDLKAIGQSCTLLKTFKLNRPSFSRYVKYDDEALAIAETMPGLRYLELFGNGLTNSGLEAILDNCLHLEHLDLRRCFNIYLVGDLEKRCSESFRDLRRPEDSTADSPFDATSVIISEGEDNYFSDDSDLYNPYYD >scaffold_603881.1 pep chromosome:v.1.0:6:22988480:22990534:-1 gene:scaffold_603881.1 transcript:scaffold_603881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNQCDDDRTALIFLGTGCSGAVPDFRCLLQPSDPPCHVCSQSLSLLPHLNPNYRCNTSLLIDYCILESKSFIILTHEHADAVHGLDDIRSLQPRGATMDTYPLPVFLSQFSMESIATRFPYLVEKKVKEVPRRVSLLDWTIIEENCDKPFTASGLSFTPLPVMHGEDYIALGFLFGGKSKVAYISDVSRIPPSTEYAISKAGAGQLDLLILDTNIPRKRGLHPTHICFPEALEIIKRLCPKRALLTGMTHDFDHHEYNEILVEWSLREGIHVQLAHDGLRLPIDL >scaffold_603883.1 pep chromosome:v.1.0:6:22993810:22994146:-1 gene:scaffold_603883.1 transcript:scaffold_603883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYESQRDVVELATLEQTKKHVEELEEVLKKFEQTYLEKGKAADDTLLSDEEMEKLSQMMNVVKVLNNCSTKIGQSLPLKEEEERELIEVDLNNIP >scaffold_603892.1 pep chromosome:v.1.0:6:23036445:23037398:-1 gene:scaffold_603892.1 transcript:scaffold_603892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSKTETESTQIVSTTPIETTTEESAVSSSLNKDKSDSDPKENDSSNDEDSIVDDGDSASDQSEWGVDSFDDKEYISPDEDTHSDEEVERKSRLYKRNLHFSHVRFLVEEGLGPRPRPRSVGGGSTYLESLDSEHSPVKGRTQLQYAQDMAKLSLRKYNAFNETNVKLDHVVRVTGCFRGRFTSYITFMAKESEEDDTLVEYQAKVTKNIKRKTYPMFCRPSPKLDLDM >scaffold_603893.1 pep chromosome:v.1.0:6:23038308:23039228:-1 gene:scaffold_603893.1 transcript:scaffold_603893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPSKTEAETAHIVSAAAEPIETTTEESAVSYSLDKDKSDSDPKENDSSNDEDSIVDDGDSASDQSEWGVDSFDDEEYCSPDEDSHTDEEVEGKARLYKRNLHFSHGFLVEEGIGPRPRSRSVGCGYILLKSLDSEHSPAKGRTQLQYAQHMAKLSLRKYNTLNETNVKLDHVVRVTASFGVRQTSYITFMAKESKGDDTLVEYQTKVAKKFQCKTYPMFCRPSPKLDPDM >scaffold_603894.1 pep chromosome:v.1.0:6:23042996:23048542:-1 gene:scaffold_603894.1 transcript:scaffold_603894.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-pore channel 1 [Source:UniProtKB/TrEMBL;Acc:D7M2M4] MEDPLIGRDSRGGGADRVRRSEAITHGTPFQKAAALVDLAEDGIGLPEQILDQSSFGESAKYYFIFTRFDLIWSLNYFALLFLNFFEQPLWCEKNPTPSCKDRDYYYLGELPYLTNVESIIYEVITLAILLVHTFFPISYEGSRIFWTSRLNLVKVACVVILFVDVLLDFLYLSPLAYDFLPFRIAPYVRVILFILSIRELRHTLVLLSGMLGTYLNIVALWMLFLLFASWIAFVMFEDTQQGLTIFTSYGVTLYQMFILFTTSNNPDVWIPAYKSSRWSSVFFVLYVLIGVYFVTNLILAVIYDSFKEQLAKQVSGMDQMKRRMLEKAFGLIDSDKNGEIDKNQCIKLFEELNNYRTLPKISKEEFGLIFDELDDTRDFKINKDEFADLCQAIALRFQKEEVPSLFENFPKIYHSALSQQLRAFVRSPNFGYAISFILVLNFIAVVVETTLDIEESSAQKPWQVAEFVFGWIYVLEMALKIYSYGFENYWREGANRFDFLVTWVIVIGETATFITPDENTFFSNGEWIRYLLLARMLRLIRLLLHVQRYRAFIATFITLIPSLMPYLGTIFCVLCIYCSIGVQIFGGLVNAGNKQLFKTELAEDDYLLFNFNDYPNGMVTLFNLLVMGNWQVWMESYKDLTGTWWSITYFVSFYVITILLLLNLVVAFVLEAFFAELDLEEEENCQGEDSQERRNRRRSAGTKSRSQRVDTLLHHMLGDELSKPECSTSDT >scaffold_603900.1 pep chromosome:v.1.0:6:23096816:23097597:1 gene:scaffold_603900.1 transcript:scaffold_603900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDQSLEDASVLYGEGAFCFKSKKPEPISVSVSSDDGDDSNFDCNICLDSVQEPVVTLCGHLFCWPCIHKWLDVQSFSTSDEYQRHRQCPVCKSKVSHSTLVPLYGRGRCTTQEEGKNIGPKRPVGPVYRFEMPNSPYSSTDLRLSQRVHFNSPQEGYYPVSGVMSSNSLSYSAVLDPVMVMVGEMVATRLFGTRVMDRFAYPDTYNLAGTSGPRMRWRIMQADKSLGRIFFFFMCCVVLCLLLF >scaffold_603902.1 pep chromosome:v.1.0:6:23102079:23102915:-1 gene:scaffold_603902.1 transcript:scaffold_603902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWWEIRHTSQKTLGLGFCSAISRALKPLLFCCLATPKNWHPKVVSMFTWDHRGDLKIDSNGSVPNLGMATLAKKTDFRVFLVCDTLAMYSSIITIVSFSQLKSLQHRTSSSWRLHQCQQRLWLMYLAVRHLPLLGYVIMVIGDIFLLVLMLLLVPYVSPYTNTQLFLRHIF >scaffold_603905.1 pep chromosome:v.1.0:6:23116172:23116956:1 gene:scaffold_603905.1 transcript:scaffold_603905.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LT55] MQVALGRDEFRSGIYKSPSQVPIIDGIYLEAYLPPKKIPFLSNLNIFFLGKLLQRERYSQSTRNKPSHDHSETELSNAHGEVIEQGFKLKWLKLKLDEVSLKRKKLDVDVLQLDERVKNIELMRLDFKLDCLKTNLEEVSLERKKSDDA >scaffold_603907.1 pep chromosome:v.1.0:6:23122839:23123726:1 gene:scaffold_603907.1 transcript:scaffold_603907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRGFEVIPTSGMTLRSRSEHRLSGGDKHKDNVNALLLVANLVATVAFAAGFTIPGGFSSAPPNLGMANELDFCYFLSPCLQHFFSAWLVQGGHRIAAFQCRLQHPNDNCHFLHVMVLLLGPYVIPQLPGVPFLQPVTGLYLQLLLLFVNEEDYVSGSGHTCEKKRVKSEDIWNIGG >scaffold_603910.1 pep chromosome:v.1.0:6:23134686:23135100:1 gene:scaffold_603910.1 transcript:scaffold_603910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSLVAIVALIWGQLGDPELVHRAFKLALPSLAFALVFMSAAFYHALLATTSHNILLSIANTIIYSVFSVSISYLLAPYCGPYIPGFRLFKISMHIYLRILLGFVDEDDAQTHHTLGSGPTSL >scaffold_603912.1 pep chromosome:v.1.0:6:23145182:23146618:-1 gene:scaffold_603912.1 transcript:scaffold_603912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGNGSTQKSNLDRFLHCTTPVVPPQSLPKAEIRSLNRIWHPWEREKVEFFRLSDLWDCYDEWSAYGAGVPIRLTNGESLVQYYVPYLSAIQIFTSRSSLIRFRDDSEDGESRDSFSDSYSDESESDKLSRCASEEGLEHDALLHPNDRLGYLYLQYFERSAPYARVPLMDKINELAQRYPGLMSLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTCFLSYHTLSSSFQDMEPEENGGEKERIRKEGEGVTLLPFGLATYKMQGNVWLSEDDQGQDQERVLSLLSVADSWLKQLRVQHHDFNYFSRMAHRG >scaffold_603919.1 pep chromosome:v.1.0:6:23182899:23185047:1 gene:scaffold_603919.1 transcript:scaffold_603919.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVEAGHVDVIGWFEHVSENACKVQSETLRRILELNSGVEYLRKWLGTVDVEKMDDYTLETLFTSLVPIVSHADLDPYIQRIADGETSPLLTQEPITVLSLSSGTTEGRQKYVPFTRHSAQTTLQIFRLSAAYRSRYYPIREGGRILEFIYAGKEFKTLGGLTVGTATTHYYASEEFKTKQETTKSFTCSPKEVITGGDFGQCTYCHLLLGLHYSSQVEFVASAFSYTIVQAFSFFEEIWREICADIKEGNLSSRITLPKMRKAVLTLIRPNPSLASHIEEVCTELESNLGWLGLIPKLWPNAKFISSIMTGSMLPYLNKLRHYAGGLPLVSADYGSTESWIGVNVDPNLPPEDVSFAVIPTFSYFEFIPLYRRQNQSDICSDGDFVEEKPVPLSQVKLGQEYELVLTTFTGLYRYRLGDVVEVTGFHKGTPKLSFIYRRKLILTINIDKNTEKDLQRVVDKASQLLSRTTRAEVVDFTSHADVIASPGHYVIYWEIRGEAEDKALEECCKEMDTAFVDYGYVVSRRMNSIGPLELRVVERGTFGKVAERCVGKCGGLNQFKTPRCTTNSVMLNILDDSTIKRFRSSAYD >scaffold_603921.1 pep chromosome:v.1.0:6:23196962:23197151:1 gene:scaffold_603921.1 transcript:scaffold_603921.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2P5] MAVSSIPISSLSNTISYYSKVESDAASRINRAFSYICRSKPFKRTHWRA >scaffold_603922.1 pep chromosome:v.1.0:6:23197607:23199588:1 gene:scaffold_603922.1 transcript:scaffold_603922.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2P6] MDDNPNSTLSILGGINFDPEEEDDGLLKDPPPIQRPIVSVVSDSSSAINEANKKTDSPSSRNGESSETGELKTVAEEDDHNDGYDPKVDRGKRKLMGEEETSNSRKKMAFSNIVSGMQYQASAEESRHLQQYLGGPSSAAPVQRLNARQEGTFSQAYDDQHRPWLNPRRYNHCDRAQRSISSTAPVLQPVPIYQPSHHNQNPRLNQIVRSGPTMNLQQQLRGPNHGSTSSTSDGNNFREPIGMERQRFLDAAPRNTYQQLSVANQIRGPALVHRNYEPWSLQNTYPQHPSSAAPVQRLNARQEGTFSQAYDDQHRPWLNPRRYNHCDRAQRSISSTAPVLQPVPIYQPSHHNQNPRLNQIVRSGPTMNLQQQLRGPNHGSTSSTSDGNNFREPIGMERQRFLDAAPRNTYQQLSVANQIRGPALEHHNYEPWSLQNTYPQHPSANQMTNPMPGQMRQMQHSMMYPYQHPVANPQNLYQHQMANTEAEQNQIQQMLQLPSQTQQPTQSQGFNAGPSSEQGQDQQQPR >scaffold_603923.1 pep chromosome:v.1.0:6:23203110:23203425:1 gene:scaffold_603923.1 transcript:scaffold_603923.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2P7] MDMEHQISTEESIHGRLNCKEAFTSPVRCSILSHNNNKGQLKLSHKHSHNLNLILQQRRGLLCFRLLVDWQREEDQCPCFQLVGSFGFYES >scaffold_603924.1 pep chromosome:v.1.0:6:23203794:23205123:1 gene:scaffold_603924.1 transcript:scaffold_603924.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2P8] MAEPEPRDDPEYMKNFPNGFLYFDVTHTCFLEEDRDSNLEEESAKINPELCDDVFARKPQLKDSASCSAINEATKKTYTSSSRNRDSSESGEIRRVGDKEDDRKSQLSPNAAPWSPNNNTYQQLPVANQIRGTALEDPNYAPWRLQNTYQQLPVANQMVKDSIMNPYQHSMADHHNFYQHLVADLKFWNAPRRQPRSFAIGQNYFNSRQARPELNLQMLQRVPQTQQPTQFQGLSSEQG >scaffold_603925.1 pep chromosome:v.1.0:6:23210019:23211957:1 gene:scaffold_603925.1 transcript:scaffold_603925.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M2P9] MSEFIDQDDSKMEEDLNHQEESNSELCDDGASQVKDSEESTPTVSVVPASCSAINEATKKTNFSSLSSRNGESSETGEINTVAGEDNRNDGDDGYETSKSDEETSSLVTQPQNPHRSYSDTSLLMISPRENVGFSSLVTRPSHQNPRLDQIVSFVPASCSAINEASKKTEASSSRNRDSSESGERRRVDDKEEDRNDGDEPKESDIYKTLLGLDSYFEFSVHNEEAENREVQNHEFPSSPAWNTYQQHSVANQMPNPMHGKMMYPYQHPVRPMHGPMMYPYQHPITNQMANPMMPMHGPMMYPYQHPIANQMANPMMPMQYPLMYPYLHPMANQQNLYQQHPVTNQIPNVVPFQTQMFNRGPASAPQRLQNTYPVVYQMPTRIPRHVLQQLSGMRPMPYPRGNQQNFYQQHPMAHHMPMPYSMANQQNFYYQRPVTNLVPAQSTMIMPAESHVHWYDTEQCLNSWGMRLIQDPMMYPYQYPHANHLNQRMPQFRSNQLSTTITPDGQTRSVAIIQDPFSPQQRVYLVLDVVPQAQVNHFLQRQTQTQQPTQSQGGDRGASSSEQGGYQQQPK >scaffold_603930.1 pep chromosome:v.1.0:6:23226621:23227128:-1 gene:scaffold_603930.1 transcript:scaffold_603930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTELKRVFQMFDKDGDGRITTKELNESLKNLGIIIPENELTQIIQKIDVNGDGCVDIEEFGELYKTIMVEDEDEVGEEDMKEAFNVFDRNGDGFITVDELKAVLSSLGLKQGKTLEECRKMIIQVDVDGDGRVDYKEFRQMMKKGRFFSSLS >scaffold_603934.1 pep chromosome:v.1.0:6:23240861:23243015:-1 gene:scaffold_603934.1 transcript:scaffold_603934.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein [Source:UniProtKB/TrEMBL;Acc:D7M2Q8] MALENFYNEHKYPTEDMKGKLAEEVGLTEKQVSGWFCHRRLKDKRHVKEDGNAVGSQDRSSVVLQDRGSGLRQDSCGSTKQTDYWNPKPREVESQRLYGGSYMGNADGEDSTSSDRSSSLHKNLVSSKDGIRDVESSRYVAHKDVIQNPQVMRSYGYNKPSGYLKVKGESENFAITAVKRQLGRQYQEDGPPLGVEFDPLPPGAFEPQTNTIVQEPIYVGNQRRSHPPHVLGTRKSFNPGPSYELARKSKMHSPDPDSEDDDDDDDDNIMVGMEPGLRDKKSLGEPRRKSPSPSFYNSVPHHKSFKETLKGSPREISVTNSKNGRISSKSWAEGSRNNMIANFHNLSGSNIETNQSHDYDKHIFNGGRKTGYLTKSSKLLPPSRSRSPDSMDRGPSSGMAGKYHGERNQMKTHREKLHSTDEPPVAKRVKHGYIQQVYAPKSSYSEILERKSQINRSGVELPTSLSGDDETDESSSSSMD >scaffold_603939.1 pep chromosome:v.1.0:6:23253886:23255823:-1 gene:scaffold_603939.1 transcript:scaffold_603939.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coproporphyrinogen III oxidase [Source:UniProtKB/TrEMBL;Acc:D7M2R3] MASHSLTLFTSPSSFNLFSSHRLNPSPSYFTLHFPRLLKRPHFDIQCSVSIEKEVPETERPFTFLRDSDAAQDKVCEAIEAVEGGPKFKEDVWSRPGGGGGISRVLQDGNVWEKAGVNVSVVYGVMPPEAYRAAKAATAEQKPGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDVPGAPRQWWFGGGTDFTPAYIFEADVKHFHSVQKEACDKFDPSFYPRFKKWCDDYFYIKHRDERRGLGGIFFDDLNDYDQEMLLSFSTECASSVIPAYIPIIEKRKDTPFNEQHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLSARWEYDHKPEEGTEEWKLLDACINPKEWL >scaffold_603940.1 pep chromosome:v.1.0:6:23256022:23260364:-1 gene:scaffold_603940.1 transcript:scaffold_603940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKSLHLLSSTILLHRFASLSSLPRRRIIVRIPNPSFSSPFPPILSRPISSGKVLAMAEESSSSTPSTSQKHTNRLAAEHSPYLLQHAHNPVDWYPWGEDAFEEARKRDVPIFLSIGYSTCHWCHVMEVESFEDEEVAKLLNDSFVSIKVDREERPDVDKVYMSFVQALYGGGGWPLSVFLSPDLKPLMGGTYFPPNDNYGRPGFKTLLKKVKDAWDSKRDTLVKSGTYAIEELTKALSASAGADKLSDGISREAVSICAKQLSRSYDSEFGGFGSAPKFPRPVEIQLMLYYFKKLKESGKTSEADEEQSMVLFSLQGMANGGMHDHIGGGFHRYSVDECWHVPHFEKMLYDQGQLANVYLDGFIITKDVIYSYVAKDILDYLRRDMIAPEGGIFSAEDADSFEFEGAKRKKEGAFYIWSSDEIDEVLGENADLFKEHYYVKKSGNCDLSSRSDPHNEFAGKNVLIERNEMSAMASKFSLSVEKYQEILGECRKKLFDVRLNRPKPHLDDKIIVSWNGLVISSFARASKMLKAEPESTKYCFPVVNSQPEEYIEVAEKAALFIRGNLYDEQSRRLQHSYRQGPSKAPAFLDDYAFLIAGLLDLYENGGGIEWLKWAIKLQETQDELYLDREGGAYFNTEGQDSSVLLRVKEDHDGAEPSGNSVSAINLVRLASIVTGEKADSYLNTAHRLLAVFELRLREMAVAVPLMCCAADMISVPSRKQVVLVGSKSSPELNNMLSAAHSVYDPNKTVIHIDPSNSDEMEFWEEYNSNVAEMAKKNRNSEKVVALVCQHFTCSPPVFDSSSLTRLLSK >scaffold_603942.1 pep chromosome:v.1.0:6:23263859:23264748:-1 gene:scaffold_603942.1 transcript:scaffold_603942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFYQKNEHDTDRSKSTETKKRKNMKRLGGGGLSLQTFANMKSENNRYNPSLIKKQKEFYKNAKYVSKFRKSVKQQDIDDKNETGESSKVDDDDDDKQKSRSNRRIGVEDLYKQTREEMEKVRKEREATFQAKKEAKEEAESRRKVAKGKMMRKTRHGQPVMKYRIEHLLESIKKSAGIDESS >scaffold_603944.1 pep chromosome:v.1.0:6:23267470:23268334:1 gene:scaffold_603944.1 transcript:scaffold_603944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWVCSYVTRNVVNLHPFSLTKHFDFPATIRRRPVSFPITSAPKFPSLKLRNSQSSTHEGEEGSESSVQALTIPEEWLLPSRAIEESEWLRVTLHKWLDDEYCPEPTNVEISEVAAKSYYSSLLEKETDMGEILLKMAQDLTSISYQESFHGAFTSANAAINLIVDRIETGLL >scaffold_603947.1 pep chromosome:v.1.0:6:23275389:23276995:-1 gene:scaffold_603947.1 transcript:scaffold_603947.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1 small nuclear ribonucleoprotein C [Source:UniProtKB/TrEMBL;Acc:D7M3A0] MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRIYYQQFEEQQTQSLIDQRIKQHLDQTGGYPQVGAVFNQHMLARPRPPMMLPPGGMPMGMRPPVLPRPMMPGQGYMPPQGVPQMMAPPGAPLPPPPQNGILRPPGMAPIPGQGGGPPPNYNGLPPPPPYHTNPAAPPSGSFNNPNPNNPNPSAESPESNE >scaffold_603951.1 pep chromosome:v.1.0:6:23291642:23296143:1 gene:scaffold_603951.1 transcript:scaffold_603951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLQPKHMVQAVNALHWRNSVEFHKLLKDNGDFSICFNSDQGLPQKISVEKMVKMLPRHLIAVVMTPNKDGKSRYILCGIRLLQTLCDLTPRNAKLEQVLLDDVKLSAQMIDLVIIVIIALGRNRKESCNSNKESLLEATLVASCLHLFHGFISPNSQDLVLVLLAHPRVDVFIDSAFGAVLNVVISLKAKLLYRQTDSPKRLGASSVEEVNFHCQQAEAALQFLHSLCQHKPFRDRVAKNKELCGKGGVLRLAQSILSLAITPEFVGATITIASTSRMKAKVLSILQHLFEAESVSFLDEVANAGNLQLAKTIASEVLKLLRLGLSKASMATASPDYPMGFVLLNAMRLADVLTDDSNFRSFFTEHFSMVLSAVFCLSHGDFLSMLCSSDLSSREDDANVDYDLFKSAGWVLSIFSSSGQSVTPQFKLSLQNNLTMSSYAHQRTSLFIKMIANLHCFVPNVCEEQDRNRFIQNVMSGLRKDPSSILIKMLPGSSYTPVAQRGTGVCRNLGSLLRHAESLIPSSLNEEDFLLLRVFCDQLQPLIHSEFEESQVQDIEGTGGNLSGKQQRKEILNLNNEEASENCDVRVEGMITKQGVNEEVDTVERLKESDADASNLETSGSDTSSNRGKGLVEDGELVQNMSKRFKGSASGEVKEDEKSETFLVFEKQRTKRKRSIMNDDQTGMIEKALADEPDMQRNSASIQLWADKLSQKFILRLNNRKAKLARANKQTGTVRDNNSSGELPESPGDENTWQQKPSTPYKDQTASKTPKTGENLLRSSSSSEEGIKQGQQVRLMDERGDEIGKGMVLRTDGEWYGLSLETRQICVVDVTELSESYDWSKKMIPYGSDDVGRTFTEANSRFGVMRVAWDVNKLQY >scaffold_603952.1 pep chromosome:v.1.0:6:23297109:23303338:1 gene:scaffold_603952.1 transcript:scaffold_603952.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7M3A5] MGSKPWLHPAPQYKTLETFWDDEDDAPGPRCAHTLTAVAATKTHGPRLILFGGATAIEGGSSSVPGIRLAGVTNSVHSYDVLTRKWTRLKPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDMTNDKFKWHRVVVQGDGPGPRYGHVMDLVSQRYLVTVTGNDGKRALSDAWALDTAQKPYVWQRLNPDGDRPSARMYASGSARSDGMFLLCGGRDTLGAPLGDAYGLLMHRNGQWEWTLAPGVAPSPRYQHAAVFVGARLHVSGGVLRGGRVIDAEASVAVLDTAAGVWLDRNGQVTSARGIKGQIDQDPSFELMRRCRHGAASVGIRIYVHGGLRGDVLLDDFLVAENSTFQSDISSPLLASDRTQQSSTPRFSYAARPPSGSEPTFAMSEGLSLDENSLEKLTEASAAEAEVASSVWRAAQLGSGTLDEEPSTSDASSPTVETTTDDIANEGDVRLHPRAVVVAKETVGSLGGMVRQLSLDQFQNESRRMVPMNNSDVPQPTKKFTRQKSPQGLHKKVIAALLRPRNWKPPGNRKFFLDSYEVGELCYAAEQIFMHEQTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGENDGIWAWTRFNQLFNYLPLAALIENKIICMHGGIGRSISTVEQIEKIERPITMDAGSLVLMDLLWSDPTENDSIEGLRPNARGPGLVTFGPDRVTEFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPILSPENSPEHSGDDAWMQELNIQRPPTPTRGRPQPDFDRSSLAYI >scaffold_603956.1 pep chromosome:v.1.0:6:23326644:23329250:1 gene:scaffold_603956.1 transcript:scaffold_603956.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7M3A8] MFFGPSEIDTDEMGYAMSRLEIESDLCDTGKDFCGVGSSSASHRSSEHLADLDHEISQVTKLKSSPHQRYSREVPGRHQLPVSTVRMLAGRESNFSGRGRFSAADCCHMLSRYLPIKGPWLVDQMDSRAYVSQFSTDGSLFIAGFQGSRIRIYNVEKGWKVQKDILAKSLRWTVTDTSLSPDQRNLVYASMSPIVHIVDVGSGTTESHANVTEIHDGLDFSSDEDGGYSFGIFSVKFSTDGRDIVAGSSDDSIYVYDLEANRVSLRTVAHTSDVNTVCFADESGNLILSGSDDNLCKVWDRRCFIGRDKPAGVLVGHLEGVTFIDSRGDGRYFISNGKDQTIKLWDIRKMSSSAPARHEVLRNYEWDYRWMDYPTEARDLKHPLDQSVSTYKGHSVLRTLIRCYFSPAHSTGQKYIYTGSNDSSVYIYDLVSGDKVAVLKHHSSPVRDCNWHPYYPTLISSSWDGDLVKWEFPGSGEAPIMSKKRVRRRHFYY >scaffold_603957.1 pep chromosome:v.1.0:6:23329807:23331036:-1 gene:scaffold_603957.1 transcript:scaffold_603957.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7M3A9] MKFATLFWVLLLLLRFGLFVKSIIVPVDFLALQAIRKSLDDLPGSNFFDSWDFTSDPCNFAGVYCDGDKVTALNLGDPRAGSPGLSGRIDPAIGKLSALTELSIVPGRIMGSLPHTISQSKNLRFLAISRNFLSGEIPASLGELRRLKTLDLSYNQLTGSIPPPIGYLPELSNLILCHNHLNGSIPQFLSQSLTRIDLKRNNLTGIISPTSLPPSLQYLSLAWNHLTGPVNRVLLRLNQLNYLDLSLNRFTGAIPGQIFTFPITNLQLQRNFFYGVIQPVNQVTIPTVDLSYNRFSGELSPLLSNVQNIYLNNNRFTGQVPVSFVDRLLASNIQTLYLQHNFLTGIQISPAADIPVSSSLCLQYNCMVLPVQTPCPVKAGLQKTRPTTQCNEWRG >scaffold_603961.1 pep chromosome:v.1.0:6:23344812:23345121:1 gene:scaffold_603961.1 transcript:scaffold_603961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKLAIASGCFKRYTHKFFLLKEFVLKLKVKANNEAGFEDGKISSLQAVLGHIWRSRVKNSGMSREEDTHCRLPIDMSHLKASRSG >scaffold_603965.1 pep chromosome:v.1.0:6:23394162:23396017:-1 gene:scaffold_603965.1 transcript:scaffold_603965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLCFMTSHGYSIPGLGLPQDLCNTEIIKQNSRFHLVNPGARQEIIPASSFNLNPDLEPWKPVSSFSQFVEIDSAMMKPLLMDVHETAPESLVLSFGIADKSARQEKVMEFLLSQSEEFKEKGFNMSLLNELMELEAMKSSSQLRACDTSSVLYLNQELGKPVLDLVRDMMDNPEFSVRSNGHVLFSSSSNRELNDLLSIASEFNLSRNSTKWRQLSPLIPHFQRFESEVLTPAKLKAVTVAPLKSPEKTRLKSPRKHNTKQKSKERDLYKRNHLHAYESLLSLMIGNDHQHKHSTVLSLQKSCGELSELLTQFSVTVAGTGIAVLFSVVCSLASRRVPFCANKFFDTGLGLSLVILSWAVNRLREVIVHVNRKANKPCSSLKDDEIINSVEKSIKEVYYRAGTVLAVFALRFAC >scaffold_603967.1 pep chromosome:v.1.0:6:23400513:23400721:-1 gene:scaffold_603967.1 transcript:scaffold_603967.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M3B9] MSLEKKNNVNGAIFSRLLLFSRNFTFSQSIANSSPPPSLVTPTLKNLVLNVMLQN >scaffold_603977.1 pep chromosome:v.1.0:6:23427364:23428186:-1 gene:scaffold_603977.1 transcript:scaffold_603977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVGQVSTHLPKKQVSQNLDVGGWSFLQYFSEPKGIVQNREDDTKKTAYVHPIEKRSVAKLSLEMCTESLGTENGSDSGDEMSLLALEATNISMISLTKKPQKETNLMTRENSFPPPLKSVNGFNNSRMVKSYKEDGRLVVQAIRVCSPPRCFVSERREGRLRLCLSENSLNSQDGEEEFQENESGFEGHEDKEEEKGEEEEDDSEEEEEGIVGNNENFEGKIGNKKFSRRPKRRCNENGCEPKTMLNWKEQQFWVTT >scaffold_603978.1 pep chromosome:v.1.0:6:23434844:23436202:-1 gene:scaffold_603978.1 transcript:scaffold_603978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVETPSTNHTNYEGTSLNMVSTTSFDSSPSIISPSSDKRLWSNLRNRVDVLLEENSKYHKPIAADTIAGETERSMRFKNDSMLLLKGFDSVSHTLSQLSSNLDNALQGVRELAKPPSLSEILHSNLKADQIQRQQKEEEEESKGTKRKHESDIEQKEDSSNEEEKRPKERKIMNKAKNIAISMAAKANSLARELKSIKSDLSFIQERCGLLEEENKRLRDGFVKGVRPEEDDLVRLQLEVLLTEKARLANENANLVRENQCLHQMVEYHQITSQDLSPSYEQVVQGLCLDFSSPVPQYDDDEEEEDETRARDVSKALNESFEKAAEEEQY >scaffold_603983.1 pep chromosome:v.1.0:6:23484474:23486575:1 gene:scaffold_603983.1 transcript:scaffold_603983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQNPNKRLCRVQNQNPNSPPGFEQNHVDLIISSFLSLPDLPSLSSPLSIGCSFDRVVEKLLEASDDDSVHDRTLQLASLLQDTTKRLSRKHASLHNSNSWLLPHELTIKGLRKLKYLNLSRNSIIKGRFLRDVSHDCKDSLLETLILRDCNSLEEDVSNEAGLIYGGERCSKPK >scaffold_603984.1 pep chromosome:v.1.0:6:23487556:23489933:1 gene:scaffold_603984.1 transcript:scaffold_603984.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M3D7] MQIYKFGALSRRHTSLNGLKRRYNNANSASNFHSSKSSTQTQIQKSQTKPLPKSGDSDIKEWNVAISSYMRTGRCSEALRVFKRMPRWSSVSYNAMISGYLRNGEFELARMLFDEMPERDLVSWNVMIKGYVRNRNLGKARELFERMPERDVCSWNTILSGYAQNGCVDDARRVFDRMPEKNDVSWNALLSAYVQNSKLEEACVLFGSRENWALVSWNCLLGGFVKKKKIVEARQFFDSMKVRDVVSWNTIITGYAQNGEIDEARQLFDESPVHDVFTWTAMVSGYIQNRMVEEARELFDRMPERNEVSWNAMLAGYVQGERVEMAKELFDVMPCRNVSTWNTMITGYAQCGKISEAKNLFDKMPKRDPVSWAAMIAGYSQSGHSYEALRLFVLMEREGGRLNRSSFSSALSTCADVVALELGKQLHGRLVKGGYETGCFVGNALLLMYCKCGSIEEANDLFKEMAGKDIVSWNTMIAGYSRHGFGEEALRFFESMKREGLKPDDATMVAVLSACSHTGLVDKGRQYFHTMTQDYGVRPNSQHYACMVDLLGRAGLLEEAHNLMKNMPFEPDAAIWGTLLGASRVHGNTELAETAADKIFAMEPENSGMYVLLSNLYASSGRWGDVGKLRVRMRDKGVKKVPGYSWIEIQNKTHTFSVGDEFHPEKDEIFAFLEDLDLRMKKAGYVSKTSVVLHDVEEEEKERMVRYHSERLAVAYGIMRVSSGRPIRVIKNLRVCEDCHNAIKYMAKVTGRLIILRDNNRFHHFKDGSCSCGDYW >scaffold_603986.1 pep chromosome:v.1.0:6:23494602:23494791:1 gene:scaffold_603986.1 transcript:scaffold_603986.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPNPKRQCRDDFSSIQSPNSVAIAPDFNQNDFDSTISSFLLLPDSPXX >scaffold_603987.1 pep chromosome:v.1.0:6:23497648:23498312:-1 gene:scaffold_603987.1 transcript:scaffold_603987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVPSEERRKTLKRSHTSARFRKLSNYATGKFLKVYTGHTNKVFCITSAFSVTNGKYIVSGSEDNCVDLWDLQQRNILQRLEGHIDAVISVSCHPVQNEIASSGNHLDKTIRIWKQDA >scaffold_603988.1 pep chromosome:v.1.0:6:23500741:23501658:1 gene:scaffold_603988.1 transcript:scaffold_603988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAMLPSVSSSSENPNPSPLGLSDSPDFSQSEMDSIISSLLTFPDSPSLSIRSSFDRVLDNLLSSSDDSVQLTTHRDNRGLASSDRGKRCNKPNFPLERLKEERSDVTFVADFPPLPSSEKPYGVCDEEELRLIEMMEAEDDEVDDEDDSDEESDDASDEDESEDEDMGFNVDYLL >scaffold_603990.1 pep chromosome:v.1.0:6:23506164:23507431:1 gene:scaffold_603990.1 transcript:scaffold_603990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIISSLLTFPDSPSLSIRSSFDRVLDNLLSSSDDSVQDQLIDRTLERFSLLLESTKRRFQKRATLHNSISWFLPSDLTIKIFSKLDTKSLMQVSACCTMLNKSAMDPLCYSHIDLTTAFQHADDRVLSTLINRSGKQLRSLKLGRRDAPGYVPSLFTNSCLAPLQFTGNLLRSLHIYSIGFMYIDSLLAPLSACANLTDLKIVGVNVFLEPIIELLAIKCCLIEHLFLDNFSQGKNFIWWGFLYFFLTSLLKLTYFVSG >scaffold_603991.1 pep chromosome:v.1.0:6:23507486:23508380:1 gene:scaffold_603991.1 transcript:scaffold_603991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWPESFLRVLGDRCRESPLETLILRDCYSLQEGEVVKFLNSVIAGNFKSIRYIDVSSNNCLASNGSRRTSLPNFPLETLKKKRWDVTFVGDFPLRPRY >scaffold_603992.1 pep chromosome:v.1.0:6:23509987:23511420:-1 gene:scaffold_603992.1 transcript:scaffold_603992.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7M3E4] MPSGGNGASNGAGNAGTSGNIPMYKPYRHLKTLEGHTAAISCVKFSNDGNLLASASVDKTMILWSATNYSLIHRYEGHSSGISDLAWSSDSHYTCSASDDCTLRIWDARSPYECLKVLRGHTNFVFCVNFNPPSNLIVSGSFDETIRIWEVKTGKCVRMIKAHSMPISSVHFNRDGSLIVSGSHDGSCKIWDAKEGTCLKTLIDDKSPAVSFAKFSPNGKFILVATLDSTLKLSNYATGKFLKVYTGHTNKVFCITSAFSVTNGKYIVSGSEDNCVYLWDLQQKNILQRLEGHTDAVISVSCHPVQNEIASSGNHLDKTIRIWKQDA >scaffold_603997.1 pep chromosome:v.1.0:6:23527257:23527914:1 gene:scaffold_603997.1 transcript:scaffold_603997.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLIVAVEHRDQYYGKKSLGHDRFRSAPSKTFRQINCRTFQSGVGLLPRPKRTSSTPLTKGALPQVQSPRSPKSVLPVFNPLSVDSVRTSPIPIANSRGSQIRRCSSEFVDKRRSLSYSELWAGPTYSNSPPPASVPIPKFSLHQKRTVSLTFPAPESAVDICEVAKSAPVSPTSSGDNPFHCTVSATMTLRRMLNLELETADE >scaffold_603999.1 pep chromosome:v.1.0:6:23552038:23557114:-1 gene:scaffold_603999.1 transcript:scaffold_603999.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SULTR3_2 [Source:UniProtKB/TrEMBL;Acc:D7M3E9] MSSKRSSQYHQVEIPPPQPFLKSLKNTLNDILFADDPFRRIRNESKTSKKIELGLRHVFPILEWARGYNLEYLKSDVISGITIASLAIPQGISYAQLANLPPILGLYSSLVPPLVYAVMGSSRDLAVGTVAVASLLTAAMLGKEVNAVKNPKLYLHLAFTATFFAGLMQTCLGLLRLGFVVEILSHAAIVGFMGGAATVVCLQQLKGLLGLHHFTHSTDIVSVLRSIFSQSHMWRWESGVLGCCFLVFLLTTKYISKKRPKLFWISAMSPLVSVILGTLFLYFLHAHFHDIQIIGELKKGINPPSITHLVFTSPYVMLALKIGMITGVIALAEGIAVGRSFAMYKNYNIDGNKEMIAFGMMNILGSFSSCYLTTGPFSRSAVNYNAGCKTALSNVVMAVAVAVTLLFLTPLFFYTPLVVLSSIIITAMLSLVDYEAAIHLWRLDKFDFFVCLSAYLGVVFGTIEIGLILSVGVSVMRLVLFVGRPKIYVMGNIQNTEIFRNIEHYPQAITLSSLLILHIDGPIYFANSSYLRDRIGRWIDEEEDKLRTRGEINLQYIVLDMSAVGNIDTSGISMLEELNKFMGRRELKLVIANPGAEVMKKLSKSNFIESIGKERIYLTVAEAVAACDFMLHTAKPDSPVPESNNV >scaffold_60400001.1 pep scaffold:JGI8X:scaffold_604:586:2308:1 gene:scaffold_60400001.1 transcript:scaffold_60400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIIERYEKFTNALYVAERPQIERYLQELKMEMNRMVKKIDLLEVHHRKLLGQGLDSCSVTELQEIDTQIEKSLRIVRSRKAELYADQLKKLKEKERELLNERKRLREEQIREMLMRPVVQPTLQICDKGKTEGGCSTKHSSEVETDLFIGLPVTRL >scaffold_604002.1 pep chromosome:v.1.0:6:23566537:23566894:1 gene:scaffold_604002.1 transcript:scaffold_604002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMRKALYNVGFWIRETGQALDRLGCRLQGKKHFREHRTNYNGYYKRRGI >scaffold_604005.1 pep chromosome:v.1.0:6:23591323:23591725:-1 gene:scaffold_604005.1 transcript:scaffold_604005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSMGILVVVFFATFLAISAAPPLNPQESCIRRNINRSQPPSSSLEHKTSIFDSLRDNLCRDMARAVMFYVRITGKFPAHYVKAMCNVFGNDEKKVKEYVMDKWLGGSKLLSNVSCAFH >scaffold_604006.1 pep chromosome:v.1.0:6:23592184:23594291:-1 gene:scaffold_604006.1 transcript:scaffold_604006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLKRAIGAVKDQTSVGLAKVGGRSSSLTELEVAVVKATRHDDYPAEEKYIREILSLTSYSRNYVSACVTILSRRLNKTKNWSVALKTLILIQRLLTDGDRAYEQEIFFATRRGTRLLNMSDFRDASQSDSWDYSAFVRTYALYLDERLDYRMQGRRGKRSGGDGDSGEDDDHRETSTNIRSKAFVVKSKTVTEMKTEKIFVRVQHLQQLLDRFLACRPTGNAKNNRVVIVALYPIVKESFQLYYNITEIMGVLIDRFMELDIHDSIKVYEIFCRVSKQFDELDPFYGWCKNMGVARSSEYPELEKITQKKLDLMDEFIRDKSALAAQSTKSSSNKSNKSEEEENKTEEIQENQEDLNSIKALPAPKHEEEEEKMETKKDSEEVVSRQGQEGDLLDLTDEAGETAGTVGDSLALALFDGAVSTESASGPGWEAFNDNSADWETDLVKSATRLSGQKNELGGGFDTLLLDGMYQYGAVNAAVKTSTAYGSSGSASSVAFGSAGRPAASMLALPAPANGNRIGSKSPVMVDPFAASLEVAPPAYVQMNDMEKKQRLLMEEQIMWDQYNRNGRQGHMNFGQNQQQQLYQLPYSMGPYSYTPRY >scaffold_604010.1 pep chromosome:v.1.0:6:23602358:23604014:-1 gene:scaffold_604010.1 transcript:scaffold_604010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLKNPPATVGLSETFAKLKSQGKVALIPYITAGDPDLSTTAKALKVLDSCGSDIIELGVPYSDPLADGPAIQAAARRSLLKGTNFNSIITMLKEVIPQLSCPIALFTYYNPILRRGIDNYMTVIKNAGVHGLLVPDVPLEETEALRNEARKHQIELVLLTTPTTPKERMNAIVEASEGFIYLVSSVGVTGTRESVNEKVQSLLQQIKEATSKPVAVGFGISKPEHVKQVAEWGADGVIVGSAMVKILGESESPEQGLEELEVFTKSLKFALIS >scaffold_604014.1 pep chromosome:v.1.0:6:23618169:23622337:-1 gene:scaffold_604014.1 transcript:scaffold_604014.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCUL1 [Source:UniProtKB/TrEMBL;Acc:D7M3G4] MERKTIDLEQGWDYMQTGITKLKRILEGLPEPAFDSEQYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFEEYINSTVLPALREKHDEYMLRELVKRWSNHKVMVRWLSRFFYYLDRYFIARRSLPPLNEVGLTCFRDLVYNELHSKVKEAVIALVDKEREGEQIDRALLKNVLDIYVEIGMGQMERYEEDFESFMLQDTSSYYSRKASSWIQEDSCPDYMLKSEECLKKERERVTHYLHSSSEPKLVEKVQHELLVVYASQLLEKEHSGCRALLRDDKVDDLSRMYRLYHKIVRGLEPVANIFKQHVTAEGNTLVQQAEDTATNQAANTASVQEQVLIRKVIELHDKYMVYVTECFQNHTLFHKALKEAFEIFCNKTVAGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQNSFEDYLGNNPAANPGIDLTVTVLTTGFWPSYKSFDINLPSEMIKCVEVFKGFYETKTKHRKLTWIYSLGTCHINGKFDQKSIELIVSTYQAAVLLLFNTTDKLNYTEILAQLNLSHEDLVRLLHSLSCAKYKILLKEPSTKTVSQTDVFEFNSKFTDRMRRIKIPLPPVDERKKVVEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVSECVEQLSRMFKPDIKAIKKRMEDLITRDYLERDKENPNMFRYLA >scaffold_604015.1 pep chromosome:v.1.0:6:23623277:23627935:1 gene:scaffold_604015.1 transcript:scaffold_604015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFKEEIEIGSSVESLMELLDSQKVLFHSQIDQLQDVVVAQCKLTGVNPLAQEMAAGALSIKIGKRPRDLLNPKAVKYLQAVFAIKDAISKRESREISALFGITVAQVREFFVTQKTRVRKQVRLSREKVIVSNTHALQEDGVLENSNATNHVDPVPLNSTHPEACSISWGEGETVAFMPPEDIPPDISDSDKYFVENIFSLLRKEETFSGQVKLMEWIMQIQDASVLIWFLSKGGVLILTTWLSQAASEEQTSVLLLILKVLCHLPLHKASPENMSAILQSVNGLRFYRTSDISNRAKGLLSRWTKLFAKIQAMKKQNRNSSQIDSQSQLLLKQSIAEIMGDSSNPEDILSLSNGRSENVRRFESSQGPKLLLTSADDSTKKQMLGSNPSYNKERRKVQMVEQPGQKAAGKSPQTVRIGTSGRSRPMSADDIQKAKMRALYMQSKNSKKDPLPSAIGDSRAVVPEKPLALQSAKDSLPSQNNEAKSEDTPVLSAVQPVNEPLAGQAVNGPLAGQPVNGPLAVQPVNGPSGGQPVNGPSAVIVPVQADEIKKPSTPPKSISSKVGVMMKMSSQTILKNCKRKQIDWHVPLGMELDELWRVAAGGNSKEADVQRNRNRRERETLYQSLQTIPLNPKEPWDREMDYDDSLTPEIPSQQPPEESLTEPQDSLDERRTAAGAATTSSSLSSPEPDLELLAALLKNPDLVYALTSGKPRNLAGQDMIKLLDVIKTGAPHSSSSSNKQVEERVEVSLPSPTPSTNPGMSGWGQEGIRNPFSRQNQVGAAVARSGAQLRVASMQWHQTNEQSIPRHAPSAYSNSITLAHTEREQQQYMQAKLHHNLHLQQQQPISTTSYAVREPVGQMGTATSGSWRSQQSQNSYYSHQENEIASASQVSSYQGNNQYMSSNPGYESWSPDNSPSRNHPNMRGQQQQQAASRKHDSSTHPYWNQNKRWR >scaffold_604018.1 pep chromosome:v.1.0:6:23638077:23639891:1 gene:scaffold_604018.1 transcript:scaffold_604018.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast thylakoid lumen protein [Source:UniProtKB/TrEMBL;Acc:D7M3G7] MAKSLLCSSTLNPFFSTTLSSSKKNQIAYSGNSKNQTSSLLCNRRELSLGFMSSLVAIGLVSNDRRRHDANAAILEADDDEELLEKVKQDRKKRIERQAVLNSAVKEKGYLQDLVYKLSKVGQAIENNDLPAAGLVLGKGIDTEWVKTVNLAFTKLSTSPEENTEVEAFNSSLASLITSVNKNDIESSKLAFVSSAGAFEKWTTLTGLLEQLKGL >scaffold_604020.1 pep chromosome:v.1.0:6:23642916:23647671:-1 gene:scaffold_604020.1 transcript:scaffold_604020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOC159 [Source:UniProtKB/TrEMBL;Acc:D7M3G9] MDSKSATPEPTNPFYASGKSGKTYASVVAAAAAAAADKEDGGAVSSAKELDSSSEALSGNSDKVGADDLSDKEKPNLEGDGKVSDEVDDSAIPEATPKPEVVSDGTIEEDGVSLLSPKPEAVSDGLVVVEENKKVNEDVEDIKDDGESKIENGSVDVGEKQASTDGIVVDENPERESESKVKDVGEEDVGAKKVDEVTQASGANEEESELSGKVDVDDKSDYVIEEEGVKLTDKGDVIVDSSPVESVHVYVAKPGVAVVGDAEGSEELNINADAETLEVANKFDQIGDDDGGELEPVSDKAIEEVEEKLSSGADSSKLESVDTNAAEPEVVAVESGTEPKDVEQTNGLEKGMTYAEVIKAATAVADNGTKEEESVFSGVVDDEEEGVKLTNKGDFVVDSSAIKAVNVDVAKPGVVVVGDVEASEVLETDGKITDVHNKFDPVGQVEGDGVERESVKATEEGGEKLTSEGDSVVDSSVVESVDADINVAEPGVVVVRAAKEAVIKEDDGDDEVDKTIPNIEEPDDLTAAYDGNFELAAKEMSGAAKVEPDEPKVGVEVEESPVSESLTVGSVDAKEDSNPAAQSQFEANQNPEVREVFEGDNAEEGGNKLPAEDIVSSREFSFEGKEVDQEPSGEGVTRVDGSESEEETEEMIFGSSEAAKQFLAELEKASSGIEAHSDEANISNNMSDRIDGQIVTDSDEDVDTEDEGEEKMFDSAALAALLKAATGGGSSEGGNFTITSQDGTKLFSMDRPAGLSSSLRPLKPAAAPRANRSNIFSNPNVTMADETEVNLSEEEKEKLEKLQSLRVKFLRLLQKLGHSAEDSIAAQVLYRLALLAGRQTGQFFSLDAAKKKAVESEAEGNEDLNFSLNILVLGKAGVGKSATINSILGNQKASIDAFGLSTTSVREISETVGGVKITFIDTPGLKSAAMDQSANAKMLSSVKKVMKKCPPDIVLYVDRLDTQTRDLNNMPLLRTITASLGTSIWKNAIVTLTHAASAPPDGPSGTPLSYDVFVAQCSHIVQQSIGQAVGDLRLMNPSLMNPVSLVENHPLCRKNREGVKVLPNGQTWRPQLLLLCYSLKVLSEANSLLKPQEPLDHRKVFGFRVRSPPLPYLLSWLLQSRAHPKLPGDQGGDSVDSDIEIDDVSDSEQEDGEDDEYDQLPPFKPLRKTQLAKLSKEQRKAYFEEYDYRVKLLQKKQWREELKRMKEMKKNGKKVGESEFGYPGEEDDPENGAPAAVPVPLPDMVLPPSFDSDNSAYRYRFLEPTSQLLTRPVLDTHGWDHDCGYDGVNAELSLAVASRFPATATVQVTKDKKEFNIHLDSSVSAKHGENGSTMAGFDIQNVGKQLAYVVRGETKFKNLRKNKTTVGGSVTFLGENIATGVKLEDQIALGKRFVLVGSTGTMRSQGDSAYGANLEVRLREADFPIGQDQSSFGLSLVKWRGDLALGANLQSQLSVGRNSKIALRAGLNNKMSGQITVRTSSSDQLQIALTAILPIAMSIYKSIRPDATNDKYSMY >scaffold_604023.1 pep chromosome:v.1.0:6:23673498:23676811:-1 gene:scaffold_604023.1 transcript:scaffold_604023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSDQTSFYASKLSGRTWASVVSGDGGGNELGALSFVNDFDTSSQSLAGKSECCDIDRADDIGLFDLDQSVSPMTEIVTDDSVSSEIEGERIGVEEAMKEIEEDSVGKFENVSVDVVDENLVSYETEKDEIEVRESENEVKDLEEKEEIGDKESENEVKGVEEAYDEVKKVDVLVSEDGTKKEKSWFSDKVDDEDNIDQVVEKEAVKLRNFIMELLTTESLHVDVVDKNTLNIQETVSTCEFSAEDMEIKQASSGEGVVGSESDEDTKGMIIGSSKSGEQFLEELEEVSSGIQVHSDETNIPNHHVDRIDGQIFTDSDEEVDTNDDVEEKTFDSAALTAFLKAATSGSSDGGNFTISQDVMKLFSMEPQAGLGSSLRFVQSPAPLPNHSNIFPSLKVQMGGESENNLSEEEKHKLEKLQSMRVKYLRLVHRLEQSVEDSIAVHVLYALAFLTLRHSGQLFSLDAAKKMVMESEAKGKDLNFSLNILVLGKSGVGKSATINSILGDQKASIHAFQPSTTSVLEISGTVGGVKVTIIDTPGLKSSAMDQSANSKMLSSVKKIMKKCPPDMVLYVDRLDAQNRGLDNMPLLRTITASLGSSILKNAIVLLTHAGCAPPDGPYDTPLSYDVFVEQCSHIVQQSIGHAVGDLRLINPRLVNKVSLVENHPLCRKNREGVKVLPNLGDLSCYFCPLEALDHRKLFGFQVPALPLPNLLSWLLQSRAHPKLPADQGGDSVDSDIEIDVSDSEQEDGEDDEYEQLPPFKVKLLQKKQWREELRRMREIKKNGKKKVTESEYCYPEEEEAPPALAPVVLLDVVLPPSFDSDNSAYRYRRLEPTSQLITSAQVTKDKKEFNIHLDSSICAKHGDYGSTMAGLVIQGSEQLMYTLKGETKFKNSKRNETTLGGLVTFFGGKIPSGLKLEKQIALGKRVVLVGNAGTTRSQGDSAYE >scaffold_604033.1 pep chromosome:v.1.0:6:23717196:23719254:1 gene:scaffold_604033.1 transcript:scaffold_604033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKFLIIVFLSFLSQSQKSSSQVLELTYNGFRPPSTNISIQGIATITPNGLLKLTNTSMQRTGHAFYTKPIRFKDSPNGNVSSFSTTFVFDIHSEIPTLSSGGMAFVIAPNPGLPFGSTFQYLGLLNVTNNGNDTNHVFAVELDTIMSIELNDMNNNHVGIDINSLISVKSSSAGYWDENNRFNNLTLISRKRMQVWIDYDGRTHRIDVTMAPFSENKPRKLLVSIVRDLSSVLLQDMFVGFSSATGSMLSEHFVLGWNFRVNGKAQPLALALSKLPILPVWDSKPPRFYSFIKNWMPWISLLLIPLLLIIFLVRFLVRRKRKFAEELEDWETEFGKNRLRFKDLYYATKGFKDKNLLGSGGFGRVYRGFMLKTKKEIAVKRVSNESRQGLKEFVAEIVSIGQMSHRNLVPLVGYCRRRDELLLVYDYMPNGSLDKYLYNSPEVRLNWKQRFKVINGVASALFYLHEEWEQVVIHRDIKASNILLDAEYNGRLGDFGLARLCDHGSDPQTTRVVGTWGYLDPDHVRTGRATTATDVFAFGVLLLEVVCGRRPIEIVNESDDTVLLVELVFGFWIEGKLLDATDPNLGFEYDQREVEMVLKLGLLCSHSDPPARPTMRQVLQYLRGDAMLPDLSLFDFRGSEKMLGISHRFSESCMFTSRSSIAYSILSGGR >scaffold_604036.1 pep chromosome:v.1.0:6:23736775:23738518:1 gene:scaffold_604036.1 transcript:scaffold_604036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLKPLLPSEVLASSSFKKSAISLRMQSLCAPPLHFPATALRRCRTPRSAVRSLRAERREENDPLIQSALDSASLRLRETNRTEPLFIDPYAACFLPPYPKKELDTNEQQHYCLATKFIDDKLLDIAKRIDGLKQVVLFTDGMDTRPYRLNWPTSTMIFDVSPEKVFEIASEKLQGVGARIPKSCLFFHIPVESGNIEQRLRSKGFSGNRPSIWAMQGLPYESQSGFEAILSAISSLAMNECYLIGELPTNITLQSDLSNWMEKLFMSNGFRVKVVSYEEIAASLGVALPSPVNHDTVLFIAQQLKFSDDQMEKWRQEFQRVEEDGDEQGFEEL >scaffold_604038.1 pep chromosome:v.1.0:6:23742458:23746266:-1 gene:scaffold_604038.1 transcript:scaffold_604038.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:D7M416] MANKLKVDELRSKLAERGLSTTGVKAVLVERLEEAIAEDTKKEESKSKRKRNQDSSDVTEESNKLIAIGEFRGMIVKELREEASKRGLDTTGTKKELLERLCNDANNDSSAPVKSGTDGAEDDKNGFEEEKNEEKIVTATKKGAAVLDQWIPDEIKSQYHVLQRGDDVYDAILNQTNVRDNNNKFFVLQVLESDSKKTYMVYTRWGRVGVKGQSKLDGPYDSWDRAIEIFTNKFCDKTKNYWSDRKEFIPHPKAYTWLEMDYGKEENDSPVNDIPSSSSEVKPENSKLDTQVAKFISLICNVSMMAQHMMEIGYNANKLPLGKISKSTISKGYEVLKRISEVIDRFDRTRLEELSGEFYTVIPHDFGFKKMSQFVIDTPQKLKQKIEMVEALGEIELATKLLSVDPGLQDDPLYYHYQQLNCGLTPVGADSEEFSMVANYMENTHAKTHLGYTVEIAQLFRASRAVEADRFQQFSSSKNRMLLWHGSRLTNWAGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYANTGANDGVLLLCEVALGDMNELLYSDYNADNLPPGKLSTKGVGKTAPNPSEAQTLEDGVVVPLGKPVERSCSKGMLLYNEYIVYNVEQIKMRYVIQVKFNYKH >scaffold_604046.1 pep chromosome:v.1.0:6:23786923:23791455:1 gene:scaffold_604046.1 transcript:scaffold_604046.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7M424] MTSLSSNLSHRDRCAFDDCLELLDDTVFDLTTAVSELRSHSPELHNVKMFLSAAMTNTRTCLDGFASSNNDENNNNKTYGVAESLKESLFNISSHVSDSLAMLEEIPGNIPGKLEEDVGFPMWVSGSDRNLLQDPVDETKVNLVVAQNGTSNYTTIGEAVSAAPNSSETRFVIYIKCGEYFENIEIPREKTMIMFIGDGIGRTVIKANRSYADGWTAFHSATVGVRGSGFIAKDLSFVNYAGLASHQAVALRSSSDLSAFYRCSFESFQDTLYVHSHKQFYRECDIYGTVDFIFGDASVVFQNCSLYARRPNPNQKIIYTAQGRENSSQPTGISIISSKILAAPDLIPVQANFKAYLGRPWQLYSRTVIMKSFIGDLVDPAGWLKWKDDFALETLYYGEYMNEGPGSNMTNRVQWPGFKRIETAEEATQFSVGPFIEGNKWLNSTGIPFTIDL >scaffold_604053.1 pep chromosome:v.1.0:6:23834135:23834731:-1 gene:scaffold_604053.1 transcript:scaffold_604053.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7M431] MKMAGRIFLLFLSVYVTVAIAATATAPKLGVIALSIASSNASDTSFYIKAKLKQKNLEPALEDTLDDCSKNYLDAVAQLDDSLAALMQNSFIDVDIWLNTAISDGEACETALNDRAGNDAELARRNTNLLKLCKDALLINTILTP >scaffold_604061.1 pep chromosome:v.1.0:6:23853898:23859997:-1 gene:scaffold_604061.1 transcript:scaffold_604061.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATXDH1 [Source:UniProtKB/TrEMBL;Acc:D7M437] MGSLKNEGEMEQTGDEFMEAILYVNGVRRVLPGGLAHMTLLEYLRDLGLTGTKLGCGEGGCGACTVMVSSYDRKLNRCVHYAVNACLAPLYSVEGMHVISIEGVAHRKLGLHPLQESLASSHGSQCGFCTPGFIMSMYALLRSNKNSPCEEEIEECLAGNLCRCTGYRPIVDAFRVFAKTNDALYSGLSSLSLQDGLSICPSTGRPCSCGSTKTNEAATCNDTRFQPISYSDIDGAKYTEKELIFPPELLMRKLAPLKLRGKGGLIWYRPVRLQYLLDLKAKHPDAKLVVGNTEVGIEMRLKKLQYRVLISVAQVPELNTVNVNDNGVEVGSALRLSELLRLFRKVVKERPAHETSVCKAFIEQLKWFAGTQIRNVACIGGNICTASPISDLNPLWMASRAEFRIINCNGDIRSIPAKDFFRGYRKVDMESNEILLSVFLPWTRPLEYVKEFKQAHRRDDDIAIVNGGMRVFLEERGQELCVSDVSIAFGGVAEVSLCARKTEEFLIGKNWNRGLLQDALKVIQSDVLIKEDSPGGMVEFRKSLTLSFFFKFFLWVSHHIHDIKPTIETFPSSHMSAMQSFSQHCRIGRQDYETVKQGTSIGLPEVHLSARIQVTGEAEYTDDTPVPPNTLHAALVLSQMPHARILSIDDSDTKYSPGFAGLFLAKDVPADNMIGPVVADEELFATDVVTCVGQVIGVVVADTHENAKTAAGKVKVEYEELPAILSIKEAIDAKSFHPNTEKRLTKGDVELCFRSGQCDRIIEGEVQMGGQEHFYMEPHGSLVWTIDGGNEVHMLSSTQDPHRHQNYVSRVLGLPMSKVVCKTKRIGGGFGGKETRSGFIAAAASVPSYLLNRPVKLILDRDVDMMISGHRHSFVGKYKVGFTNEGKILAYDLEIYNNGGNSLDLSSAILEIAMFHSDNVYEIPHVRITGSVCFTNFPSNTAFRGFGGPQGMLITENWIQRIAAELDRSPEEIKEMNFQVEGSMTHYSQYLQHCTLHQLWKELKVSCNFLKARSEVNEFNSHNRWKKRGVAMIPTKFGVSFTKKFMNQAGALVHVYTDGTVLVTHGGVEMGQGLHTKVAQVAASAFNIPLSSVFVSETSTDKVPNASPTAASVSSDMYGAAVLDACQQIKARMEPVASKLNTNSFAELAGACYFQRIDLSAHGFHIVPDIGFDWISGKGNPFRYYTYGAAFAEVEIDTLTGDFQTRTVDIILDLGYSLNPAIDIGQIEGAFVQGLGWVALEELKWGDAAHKWIKPGNLLTCGPGNYKIPTIHDIPFNFKVSLLKGNPNSKGIHSSKAVGEPPFFLASSVFFAIKDAIRAARAEMGLSNKWFPLDTPATPERIRMACFDEFTSPFVSKDFCPKLSV >scaffold_604066.1 pep chromosome:v.1.0:6:23877162:23877724:1 gene:scaffold_604066.1 transcript:scaffold_604066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSPAFAAARSTLVRRLPAKRLASSGRTADPEIHARNDGDEPGLFPSDPEGLDDVANPKTPADEDVPDIRPPGFVKEPLSPPKNPRDTSHKLESTPVGLPADLNFQQKRN >scaffold_604068.1 pep chromosome:v.1.0:6:23884159:23887957:-1 gene:scaffold_604068.1 transcript:scaffold_604068.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:D7M445] MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTKDNNITTGKIYQSVLEKERKGDYLGKTVQVVPHITDAIKDWIESVSLIPVDGMEGQADVCVIELGGTVGDIESMPFIEALRQLSFSVGPENFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHFLACRSAQPLLESTKAKLSQFCHVSAANILNIHDVPNIWHVPLLLRNQNAHHSILKQLNLTSVATAPDLDSWTRMAETFDNLTNHVKIAMVGKYIGLTDSYLSVVKALLHACIACSLKPHIEWIAASDLEDESEKSTPEAHAAAWNILKSAECILVPGGFGDRGVSGMVLAAKYARENKIPYLGICLGMQIAVIEFARSVLGLERANSTEFDAQTSDPVVIFMPEGSRTHMGSTMRLGSRRTHLQNQDSLTSKLYGEVSYVDERHRHRYEVNPEVAQALEEAGLRFVGKDDTGKRVEVIEFQDHPFYVGVQFHPEFKSRPTRPSPLFLGFILAASKLLQAHFSN >scaffold_604069.1 pep chromosome:v.1.0:6:23895066:23895268:-1 gene:scaffold_604069.1 transcript:scaffold_604069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLEQLHGVWMWILVVKLQALQHGNGAGVCVLYGSENLKASSTIYSSAVVKT >scaffold_604070.1 pep chromosome:v.1.0:6:23895388:23896414:1 gene:scaffold_604070.1 transcript:scaffold_604070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWFLFVKVPAPDTFLVQNPPSVPTLIAVKWASSWRLAAFVVDWHNFGYTLLALSLGRNNMFVSLYRWYGLIMGKMATGSLKAMQHELDQNWGVRAQVLYDQPPEFFHPALLEERHELFCRVKNDLCHPISRGISLKYKSPCFDFLLSFSIRSDVKSFNLNLELENQELNETLFTTKIYTDISLKQNRPALVLSSTSW >scaffold_604071.1 pep chromosome:v.1.0:6:23896633:23899214:-1 gene:scaffold_604071.1 transcript:scaffold_604071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITLVFNETGQSDISSATSDLIADARHQVLEYFNASPEDYSCIFTSGATAALKLVGETFPWTQDRNFLYTMENHNSVLGIREYALAQGASACAVDTEEVANQPGQLTNSGPSIKVKHRAVQMRNTSKIQKEDPRDLVKLIKENPETMLQGSPFSKSNRWMVLIDAAKGCATLPPDLLKYPTDFVVVSFYKVSPGFSKINEALLTFLFSAVFMKLFGYPTGLGALLVRNDAAKLLKKTYFSGGTVAASIADIDFVKRRERVEEFFEDGSASFLSIAAIRHGFKLLKSLTPSAIWM >scaffold_604077.1 pep chromosome:v.1.0:6:23943950:23945058:-1 gene:scaffold_604077.1 transcript:scaffold_604077.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Os01g0254000 [Source:UniProtKB/TrEMBL;Acc:D7M454] MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLANVPFLILGNKIDIPYAASEDELRYHLGLSNFTTGKGKVNLTDSNVRPLEVFMCSIVRKMGYGEGFKWLSQYIK >scaffold_604079.1 pep chromosome:v.1.0:6:23964267:23971312:-1 gene:scaffold_604079.1 transcript:scaffold_604079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRQISGRSPLVNQQRQITSFFGKSASSSSSPSPSPSPSLSNKKTPKPNPNPNSPSPSPSPPKKTPKLNPNPSSDPPARSPSPGPATPSPVQSKFKKPLLVIGQTPSPPQSAVITYGDEVVGKQVRVYWPLDKKWYDGSVTFYDKCECKHVVEYEDGEEESLDLGKEKIEWVVGDKSGDRFKRLRRGASALRKVVTDDDDDVEMGNVEEEKGDKSDGDDSSDEDWGKNVGKELCESEEEDVELVDENEMDEDELVEEKDEETPKGSRVSKTDFRKRKTSEVTKSGGEKKSRTDKDTILKGFKASVVEPPMKIGEADRVVKNLEDNILDGDALARFGARESEKFRFLGVDRRDAKRRRSTDENYDPRTLYLPPDFVKKLTGGQRQWWEFKSKHMDKVVFFKMGKFYELFEMDAHVGAKELDIQYMKGEQPHCGFPEKNFSVNIEKLVRKGYRVLVVEQTETPDQLEQRRKETGSKDKVVKREICAVVTKGTLTDGEMLLTNPDASYIMALTEGGECLTDQTAEHNFGVCLVDVATKKIMLGQFKDDQDCSALSCLLSEMRPVEIIKPAKVLSSATERTIVRQTRNPLVNNLVPFSEFWDSEKTIHEVGIFYKRISCQPSSAYSSEGKIPGDGSSFLPKILSELATEDKNGSLALSALGGAIYYLRQAFLDESLLRFAKFESLPCCDFSNVNEKQHMVLDAAALENLEIFENSRNGGYSGTLYAQLNQCVTASGKRLLKTWLARPLYNPELIKERQDAVAILRGENLPYSLEFRKALSRLPDMERLIARMFSSIEASGRNGDKVVLYEDTAKKEVQEFISTLRGCETMAEACSSLRAILKHDKSRRLLHLLSPGQILPNISSSIKYFKDAFDWVEAHNSGRVIPHEGADEEFDCACKTVEEFESNLKKHLKEQRKLLGDPSINYVTVGKDEYLLEVPEILSGSVPHDYELCSSKKGVSRYWTPTIKKLLKELSQAKSEKESALKSISQRLIGRFCEHQEKWRQLVSATAELDVLISLAFASDSYEGVRCRPVISGSTSDDVPHLSATGLGHPVLRGDTLGRGSFVPNNVKIGGSEKASFILLTGPNMGGKSTLLRQVCLAVILAQIGADVPAETFEVSPVDRICVRMGAKDHIMAGQSTFLTELSETAVMLTSATRNSLVVLDELGRGTATSDGQAIAESVLEHFIDKVQCRGLFSTHYHRLSVDYQTNPKVSLCHMACQVGEGIGGVEEVTFLYRLTPGACPKSYGVNVARLAGLPDYVLQRAVIKSQEFEALYGKKQRTTDHKMAAMIKQIISSVASDSDYSASKDSLSELHSMANTFLRLTN >scaffold_604083.1 pep chromosome:v.1.0:6:23980507:23985520:-1 gene:scaffold_604083.1 transcript:scaffold_604083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAPMDEKAKRMRDLLSSFYAPDPSISTSNSSINASFDNINSTSFDADQYMDLMIKKSNLEVLLQRHVQMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKSNIFGMEGNMDQLLQKIMSVQSRSDGVNTSLFEKREHIEKLHRTRNLLRKVQFIYDLPARLQKCIKSEAYGDAVRFYTGAMPILKVYGDTSFQDCRRASEETIEIIIKNLQTKLFSDSESIQARAEAAVLLKQLDVPVDSLKAKLLEKLEQSLDGLQIKPEEASKLVEHDDSSNDTESNDQHPAKIHEDAVRGFSEAMRAYREIFPDSEERLFKLARALTAMHFENMELYIRKRVSAADFLGIFRIIWEDVVLMDEVLPEAALSDLSAEAAQVTLKQFVARTFSHLQQDISDTLLKFDINQKEAVEGEVLKVILEASQKAVLQGTTNIFQDFRQLLDENAGIFIKMRDLISGWIQKGFQDFFRSLEAQFLVLSGKTSSSNDIEGKSSDKIHAGLILVLAQLSVFIEQKVIPRVTEEIAASFSGGNSQAFDNGPAFIPGELCRVFHAASEKLLQHYIDTRTQKVSVLLRKRFKTPNWVKHKEPREVHMYVDMFLHELEEVGKEVKQVLPQGTFRKHKRTDSNGSNTTTSSRSNTLHSDKMTRSNSQRARSQLFETHLAKLFKQKVEIFTKVEFTQESVVTTTVKLCLKSLQEYVRLQTFNRSGFQQIQLDIQFLKAPLKETVEDEAAIDFLLDEVIVAASERCLDVIPLEPPILDKLIQAKLAKSKEHNNNTVSS >scaffold_604085.1 pep chromosome:v.1.0:6:23992223:23997496:-1 gene:scaffold_604085.1 transcript:scaffold_604085.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M461] MVTDDSDSSGRIKSHVDDDEEDRLEGLENRLSELKRKIQGERVRSIKEKFEANRKKVDVHVSPFSSAASSRATAGDNGNSNVFSSRMEIPLCKLNGFSHGVGDRDYVPTKDVTAASVKLPIAERIPPYTTWIFLDRNQRMAEDQSVVGRRQIYYERHGGETLICSDSEEEPEPEEEKREYSEGEDSVIWLIGQEYGMGEEVQDSLCQFLSVDASDILGRYNELKLKDEQNTENFSNSGFKLGISLEKDLGAALDSFDNLFCRRCLVFDCRLHGCSQPLISASEKQSYWSDYEGDRKPCSKHCYLQVEVKAVREVPKAEEKASKEECSKAISSDDPHDAATGVNLQVEKTDIGFKNLDSSSGVEQEHGIRGKREVPNLEDSNDLPNLSNKKQKTTASDTKMSLVNSTPSLDQAFDSSKGDQSETVETNNVNRDSGADSKEVAEPLPDILVLDGGSSVCQPDHGSGNGSIIIGEMSEKSQPSTEWNPIEKDLYLKGVEIFGRNSCLIARNLLSGLKTCLDVSSYMRENEVSVFRRSSTPNLLLDDGRTDPGNDDDEVPPRTRLFRRKGKTRKLKYSTKSAGHPSVWKRIAGGKNQSCKQYTPCGCLSMCGKDCPCLTNETCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEAPRRGEGQCGNMRLLLRQQQRILLGKSDVAGWGAFLKNSVSKNEYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQYVLDAQRKGDKLKFANHSAKPNCYAKVMFVAGDHRVGIFANERIEASEELFYDYRYGPDQAPAWARKPEGSKKDDSAITHRRARKHQSH >scaffold_604086.1 pep chromosome:v.1.0:6:23999660:24003513:-1 gene:scaffold_604086.1 transcript:scaffold_604086.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M462] MSIFSLASSSGSQRDILRMALKPVLFVYCVVSLVSVLLADAQHEGLPISPTLSPSTSPAISDLPLPAEFPRFHRKYFAPQQTEAPEHPPPFSRLVASVHPPTNSHFSKPSMKKNAQSPGAGLVDIAPAQSSNSALPDALTQPPLSPSISNCCKADMVLKRRSIGCHCVYPIKLDILLLNVSETPSWNMFLNEFATQLGLLPHQIELINFYVLSLSRMNISMDITPHSGISFSASQASAINSSLISHKIQFSPTLVGDYKLLNLTWFEAPAPSQAPLVASSPHKAPSQGSSASTSVRSPGKKKHPNLILIFAIAAGVLILAIITVLVICSCALREEKAPDPHKETVKPRNLDAGSVGGSLPHPASTRFLSYEELKEATSNFESASILGEGGFGKVYRGILADGTAVAIKKLTSGGPQGDKEFQVEIDMLSRLHHRNLVKLVGYYSSRDSSQHLLCYELVPNGSLEAWLHGPLGLNCPLDWDTRMKIALDAARGLAYLHEDSQPSVIHRDFKASNILLENNFNAKVADFGLAKQAPEGRGNHLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTWTRPILRDKDRLEELVDSRLEGKYPKEDFIRVCTIAAACVAPEASQRPTMGEVVQSLKMVQRVVEYQDPVLNTSNKARPNRRQSSATFESEVTSSMFSSGPYSGLSAFDHENITRTTVFSEDLHEGR >scaffold_604087.1 pep chromosome:v.1.0:6:24004876:24006634:-1 gene:scaffold_604087.1 transcript:scaffold_604087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILIHLLLPPTTTIVFSNSFSTKLILRSPNHTRRVICSVSKSSEVKSDEGASKLEYKPGLLDDFFMQSFRNKLVEEVGSDSEKPGYVGLIELVKLLLLKGRTRSETSDAAVRILKSLFPPLILELYKLLIAPIAQGKLAALMVARVTVLTCQWLMGPSKVNIIDLPNGESWDSGVFVEKCQYLEESKCVGVCINTCKLPTQTFFKDYMGVPLVMEPNFKDYSCQFKFGVAPPEDDGNVNEPCFETCSIAGRRKLKSGECPLA >scaffold_604089.1 pep chromosome:v.1.0:6:24020952:24021176:1 gene:scaffold_604089.1 transcript:scaffold_604089.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHK7] MKNLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCSVSRSSRRVF >scaffold_604090.1 pep chromosome:v.1.0:6:24025405:24025704:1 gene:scaffold_604090.1 transcript:scaffold_604090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYVRRGRVVACVMVLLMSTQVLGILSGTDGHDSGKNRRQKHGGHGDGVGAGGGGGVGSGGGIAYTVPKSMAVGGGKSKLPQFL >scaffold_604094.1 pep chromosome:v.1.0:6:24052970:24055149:1 gene:scaffold_604094.1 transcript:scaffold_604094.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 3 [Source:UniProtKB/TrEMBL;Acc:D7M473] MSAKISIFQALVFLFYRFILRRYRNPKPKYQNGPSSLLQSDLSRHTLIFNVEGALLKSDSLFPYFMLVAFEAGGVIRSFILFILYPLISLMSHEMGVKVMVMVSFFGVKKEGFRAGRAVLPKYFLEDVGLEMFQVLKRGGKRIGVSDDLPQVMIEGFLRDYLEIDVVVGRKMKVVGGYYLGIMEDKTKHDLVFDELVRKERLNTGRVIGITSFNTSLHRYLFSQFCQEIYFVKKLDKRSWQTLPRSQYPKPLVFHDGRLAIKPTLMNTLVLFMWGPFAAAAAAARLFVSLCIPYSFSIPILSFSGCRLTVTNDYVSSQKPKSSQRKGCLFVCNHRTLLDPLYVAFALKKKNIKTVTYSLSRVSEILAPIKTVRLTRDRVSDGQAMEKLLTEGDLVVCPEGTTCREPYMLRFSPLFTEVSDIIVPVAMTSTVTFFYGTTASGLKAFDPLFFLMDPYPTYTIQFLDPVSGATCQDPDGKLKFEVANHVQSEIGKALDFECTSLTRKDKYLILAGNNGVVKKN >scaffold_604104.1 pep chromosome:v.1.0:6:24086396:24087852:1 gene:scaffold_604104.1 transcript:scaffold_604104.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase [Source:UniProtKB/TrEMBL;Acc:D7M483] METFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKATIDYEKIVRDTCRSIGFISDDVGLDADKCKVLVNIEQQSPDIAQGVHGHFTKRPEDIGAGDQGHMFGYATDETPELMPLSHVLATKIGARLTEVRKNGTCRWLRPDGKTQVTVEYYNDNGAMVPVRVHTVLISTQHDETVTNDEIARDLKEHVIKPIIPEKYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVANGMARRALVQVSYAIGVPEPLSVFVDTYGTGLIPDKEILKIVKEAFDFRPGMMTINLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWDKPQRL >scaffold_604112.1 pep chromosome:v.1.0:6:24121309:24121845:-1 gene:scaffold_604112.1 transcript:scaffold_604112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEGVLMPLIHEHLMVPWNDLRKGDCCGHLEAISDGYYCKTCDFFLHKKCVHSPEFIEHPSHPDHTLRLQSKPRHNCSLCGKRKLNIFYRCDFDVDLYCK >scaffold_604116.1 pep chromosome:v.1.0:6:24152298:24154753:-1 gene:scaffold_604116.1 transcript:scaffold_604116.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M495] MTRRRHGWQRPLHPLQIVGAVIYSVLVAAFYVFLGFFLGNRIANISLLSVYSFVAVSVIVLFVRCTAIDPTDKTSAKKKRKAKSKAVLMKLRFKVVLSQVVVRFFRRLERKILRNFLRRTYLDPWKSSVQLEPLLPFPLVMKDDDSVTPDPKEEDDISYCSLCDLEVKRSSKHCRTCNRCVEGFDHHCRWLNNCVGKKNYTTFILLMVFVLLMLIIEGGTAIAVFVRCFVDKKGMEIDLKRRLYVEFPQWALATISIILVLFTAYGSAAMGQLFLFHVVLIRKGMRTYDYILAMREENQFTEVDPFDELDSSSDESSDFDSPERSRPTLISKFMCRKANENQHRLSIKIEGDERSPSSTVINKKPGFHVSINPWKLITLSSEKALQAAEKAKERLRKAKPVSGAEEDSLKPLPLETKFGLLLDPDNNNTVLPPSTTAAVKLQVSPGRFSSPRRRFSGSSSSTVPSPKQKYRSNFDLKLTEVSRELESYISRQVLCSVIKQDGSEASPR >scaffold_604118.1 pep chromosome:v.1.0:6:24169909:24171048:1 gene:scaffold_604118.1 transcript:scaffold_604118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQISLLLCLVLFIFSISSSLHETEARKHNKYKPAPIMSLVPRPLYHQIFIHKDNNACPAKGFYPYEAFVEATRSFPRFGSVGNSWTRRREVAAFLAQISHETTGGWATAPDGPYAWGLCFKEEVSPQSNYCDASNTQWPCVPGKSYKGRGPIQLSWNYNYGQAGRALGFDGLQKPELVANNSVLAFKTALWFWMTEQTPKPSCHDVMVNRYRPTKADRAANRTVGYGLVTNIINGGLECGIPGDGRVSDRVGYFQRYAQLFKVTTGPNLDCENQRPFS >scaffold_604119.1 pep chromosome:v.1.0:6:24171100:24173523:-1 gene:scaffold_604119.1 transcript:scaffold_604119.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase [Source:UniProtKB/TrEMBL;Acc:D7M498] MELSLLRPTTQSLLPSFSKPNLRLNVYKPLKLRCSVAGGPIVGSSKISGGGGTTVTADCVIVGGGISGLCIAQALATKHPNATPNLIVTEAKDRVGGNIITREENGFLWEEGPNSFQPSDPMLTMVVDSGLKDDLVLGDPTAPRFVLWDGKLRPVPSKLTDLPFFDLMSIGGKIRAGFGALGIRPSPPGREESVEEFVRRNLGDEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWKLEQNGGSIIGGTFKAIQERKNAPKAERDPRLPKPKGQTVGSFRKGLRMLPEAISARLGSKVKLSWKLSGITKLDSGGYNLTYETPDGVVSVQSKSVVMTVPSHVASGLLRPLSESAANALSKLYYPPVAAVSVSYPEEAIRTECLIDGELKGFGQLHPRTQGVETLGTIYSSSLFPNRAPPGRILLLNYIGGSTNTGILSKSEGELVEAVDRDLRKMLIKPNSADPLKLGVRVWPQAIPQFLVGHFDILDTAKSSLTSSGYEGLFLGGNYVAGVALGRCVEGAYETATEVNNFMSRYAYKSM >scaffold_604121.1 pep chromosome:v.1.0:6:24197155:24198196:1 gene:scaffold_604121.1 transcript:scaffold_604121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDEDMKMASFLREEEEQHYSLSRLSVCSNYDGDEADGESSDSDEKRVGGGGGEKSMEELNFSDSDKGSTGCQSLPATPPRRRRRRGGYLAVSSPVSGDKAYASENEVQKTNNNQRRRRRLKPECPPWVDSMRRSYGGDEQSSHGGYGGGVVVVTRPIGGGRPLCMDLEEVKACKDLGFELEPGRVSYSGSTMDTSSGGNSPISSNHRISSPGDDPKDVKARLKAWAHAVAFVSTTHHQPPNSL >scaffold_604128.1 pep chromosome:v.1.0:6:24231545:24232476:1 gene:scaffold_604128.1 transcript:scaffold_604128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRGSSGKPQGGGADYGKPEPFVIFPDITLPDPKSISTDLQLVQTYFSFNKFWRNSPYHLGDGVSKKENESLDIERYSDSLKPKMKSNKNGSFFDFLVLRPDNFPKELLGDTRRERPVKRAKWSQEADLQKLDVFEKLEAKFKVLEKQFNGFFGVLCGNVFGLCLVRLKAMK >scaffold_604131.1 pep chromosome:v.1.0:6:24247435:24249420:1 gene:scaffold_604131.1 transcript:scaffold_604131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKRKKGDGDGEEMESEGRGKSSFNGTEKRSVILPSMIKNKDKRSKVYAKQKHEKKVEKQKKIRARDAAEKRALELGEEPPQKMIPKTIENTREADETVCRPDDEELFADIDADEFNPVLRREITPKILITTCRFNSTRGPAFISELLSVIPNSHYQKRGTYDLKKIVEYATKKDFTSLIVVHTNRREPDALLIIGLPTGPTAHFKLSNLVLRKDIKNHGNPTSHQPELVLNNFTTRLGNRVGRFFQSLFPPDPNFRGRRVVTFHNQRDFIFFRHHRYIFETKESKQSDKGKDGVQETIKPRLQECGPRFTLKLVTLQHGTFDTKGGEFEWVHKPEMDTSRRRFFL >scaffold_604133.1 pep chromosome:v.1.0:6:24254507:24256670:1 gene:scaffold_604133.1 transcript:scaffold_604133.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANAC068/NTM1 [Source:UniProtKB/TrEMBL;Acc:D7M4U2] MVKDLIGYRFSPTGEELINHYLKNKNLGTKTWLVDEAISEINILSHKPSKDLPKLAKIQSEDLEWYFFSPIEYTNRKKNEMKRTTGSGFWKPTGVDRKIRDKRGNGVVIGFKKTLVYHEGKGPNGVRTPWAMHEYHITCLPHDKRKYVICQVKYKGKAADISYEPSRSDSNTTRAINRAAEPELQVEQPGRENFLGMSLDDLEQEDPKTCLQPQGPHLALNDDEFIRGLRHVDREQVEYLFANEENIDGLSMNDLRIPMIVQQEDLSEWDGFNADTFFSDNNNNNNLNVHQLTRYGDDYRNAGYNGGNFEGVHPYQELIMQENRNDHMPKKPLTGTIDYSSDSSSDAGSISTTSYQGISSPNNSVGSSSRRLSSCSSTDSCKDLQTCADPSISRETREYQFTQRTVPSKQEVKQEIPRDVDASMNNESSLVKTEEKCLFIPEDAMERNRKTPRFIYLMIIGFIRRIGFTTRQSLNPLKKF >scaffold_604136.1 pep chromosome:v.1.0:6:24273668:24274526:-1 gene:scaffold_604136.1 transcript:scaffold_604136.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7M4U6] MAKRIFGFFLLAITTFTVLLGCCSATVHKVGDSDGWAPKEDDNWTDREEFHVGDSLVFEYDRNFNDVTQVSGGLEYEFCDSSFPKAVYNTGHDVVTLKEPGSYYFITSNHTQCTSGQRLDVLVTHDPSSPIPPPSPSKILPSGNIYKVGDSKRWSVYDSEFYYQWSKEKQFHVGDSLLFEYNNEVNDVFEISGDLEFLYCDPISPVAVHKTGHDLIKLTEPGIHYFISSEPGHCEAGLKLQVVVGPTPNVPKLSPLERLTRWLNSFTFNHH >scaffold_604139.1 pep chromosome:v.1.0:6:24288650:24289509:-1 gene:scaffold_604139.1 transcript:scaffold_604139.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7M4U9] MVKRIFGFFLLVITTFTVLLGCFSATVHKVGDSDGWTPKEDDNWTDSEEFHVGDSLIFEYDRNFNDVTQVSGALEYEFCDSSFPKAVYNTGHDVVTLKEPGSYYFITSNHTQCTSGQRLDVLVTHDPSSPIPPPSPSKILPSGNIYKVGDSKRWSVYDSEFYYQWSKEKQFHVGDSLLFEYNNEVNDVFEISGDLEFLYCDPISPVAVHKTGHDLIKLTEPGIHYFISSEPGHCEAGLKLQVVVGPTANVPKLSPLERLTRWLNSFTFNHH >scaffold_604140.1 pep chromosome:v.1.0:6:24291972:24293010:-1 gene:scaffold_604140.1 transcript:scaffold_604140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNSDQELADIGASSSDNTNYFYSSERREHMFDKVLTPSDVGKLNRLVIPKQHAENYFPLEGNQNGTVLDFQDRNGKMWRFRYSYWNSSQSYVMTKGWSRFVKEKKLFAGDTVSFHRGFIPDDNAPPERRRKLMFIDWRHRAEINLVHNFNQHNFIFGSPTYPTARFYPVTPEYSMPSHRSFPPFYHNQFQEREYLGYGYGRIVNGNGARYYAGSPLDHHQWNLGRSEPLVYDSVPVFPAGRVLPSAPPQPSTTKKLRLFGVDVEESTSSGETRGEMGVPGYSSSSPVVIRDDDQSFWRSPRGEMGASSSSAMQLSDDEEIKRKGKSIEF >scaffold_604142.1 pep chromosome:v.1.0:6:24301625:24302424:1 gene:scaffold_604142.1 transcript:scaffold_604142.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAMMA-TIP3/TIP1_3 [Source:UniProtKB/TrEMBL;Acc:D7M4V2] MAINRIAIGTPGEASRPDAIRAAFAEFFSMVIFVFAGQGSGMAYGKLTGDGPATPSGLVAASLSHAFALFVAVSVGANVSGGHVNPAVTFGAFIGGNITLLRAVLYWIAQLLGAVVACLLLKVSTGGMETAAFSLSHGVTPWNAVVFEIVMTFGLVYTVYATAVDPKKGDIGIVAPLAIGLIVGANILVGGAFDGASMNPAVSFGPAVVSWTWTNHWVYWVGPFIGAAIAATVYDTIFIGSNGHEPLPSNDF >scaffold_604144.1 pep chromosome:v.1.0:6:24327295:24327580:1 gene:scaffold_604144.1 transcript:scaffold_604144.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4V5] MAKSEPKSVDLRTEMSLKWCCLSGRSAVVLIFHDSGSNRRVWFLPFKIFHRLRFSTYLSFVFLYFFVSIRMNLIKFALFC >scaffold_604148.1 pep chromosome:v.1.0:6:24369082:24370402:-1 gene:scaffold_604148.1 transcript:scaffold_604148.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4W0] MFSDAGRAVSHRRRTVVPLTIHLGFLVLPLLSFQFFAFVPGHFMRLLRTAPDANIFALGWLRRARSDSNVSCASLPLIGTECLLSLAQILRCRSFTAGVSLLFSKADMSSMIQQWSSTCLVRLGVSAAFPCYSNLNLTQAFAVGPVSAASSLPKAHLSSMSSSAPTTTLVSASRRQAPVDALGLFSVRVLRLYPCWAWSILTSVWAFFSSSMGSSFWSYYFGYFSWSRYTSILRSYGIGYTSILRSYLKHQDALPPQSQSSSCHGQESFCSGRMLFRDLALCWFQRLCKLVLCHPCFACQLWDCLACLVADSITSSISLSVCCVVQGVFSLISTRIIKVQGLRDNVFCLSARIALIYPPIYYPCVSLCSCSSEFDGFEGSDPFFCNISLSEDD >scaffold_604150.1 pep chromosome:v.1.0:6:24381558:24382958:-1 gene:scaffold_604150.1 transcript:scaffold_604150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harpin-induced family protein [Source:UniProtKB/TrEMBL;Acc:D7M4W2] MIYVTIAMGEGEAKADHKNAPSASSTPESYSKGGGGGGGGALRAICGAIFTVLILLGIIALILWLVYRPHKPRLTVVGAAIYDLNFTAPPLISTSVQFSVLARNPNRRVSIHYDKLSMYVTYKDQIITPPLPLPPLRLGHKSTVVIAPVMGGNGIPVSPEVANGLKNDEAYGVVLMRVVILGRLRWKAGAIKTGRYGFYARCDVWLRFNPSSNGQVPLLAPSTCKVDV >scaffold_604153.1 pep chromosome:v.1.0:6:24390865:24392625:-1 gene:scaffold_604153.1 transcript:scaffold_604153.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:D7M4W5] MSAETCFGSSGDQSSKGLPTHGGSYVQYNVYGNLFEVSRKYVPPLRPIGRGAYGIVCAATNSETGEEVAIKKIGNAFDNIIDAKRTLREIKLLKHMDHENVIAVKDIIKPPQRENFNDVYIVYELMDTDLHQIIRSNQPLTDDHCRFFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKLGDFGLARTKSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGETMTREPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQYPRQNFAARFPNMSAGAVDLLEKMLVFDPSRRITVDEALCHPYLAPLHDINEEPVCVRPFNFDFEQPTLTEENIKELIYRETVKFNPQDSV >scaffold_604155.1 pep chromosome:v.1.0:6:24395610:24397690:-1 gene:scaffold_604155.1 transcript:scaffold_604155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICHICESDSFEDGGDGFFYCLQCSTQADGIIQTGVDGEDLGGAGGGGTYRKQDTRPEPAPNPEPVKLSYEDYYKETRDRYVKGLLMMITYQCDALVEKFNVTPLIIGLVDDDWADKAILESELQSEGEVREPEVKRGKASEEPQNLYGKRAVTIWLSQLKKSLPLSSSLALSFLACHKAGTPTDIVRWARQGKFPYLSSFLKIREQMGDRSAACPVSVSVMFKPSQIVSAQRLEAQVASIADIIGLPLPPVNFYAIASNYLERLSIPKEKVLDLVRLLHNWSMPSDLYLSKNELRLPTRVYVMSIIIVAIRMLYNINGFGVWEQSLGLLDASEEDVTKATEELLKNLETKYHEVAAETVEHEKDLLSYLLHGKNEIFAGLEEASADDTYRTVDNLWNSYPKDQLRDRNNPCSSRSRKSETGSTDCDEPSLESVSSPDKHNHEEKSKEKVIRRLITDMRDNLFQYIPPRVKVKRLDYLQYVRKNDDGALIYAAHADYYILLRVCAMVAEIDARNMHRSVLSFERRLAWIEKKIDHVLHLTPLSIPF >scaffold_604158.1 pep chromosome:v.1.0:6:24410478:24413735:-1 gene:scaffold_604158.1 transcript:scaffold_604158.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSTE24 [Source:UniProtKB/TrEMBL;Acc:D7M4W9] MAIPFMETVVGFMIVMYIFETYLDLRQLTALKLPTLPKTLVGVISQEKFEKSRAYSLDKSYFHFVHEFVTILMDSAILFFGILPWFWKISGAVLPRLGLDPENEILHTLSFLAGVMTWSQITDLPFSLYSTFVIESRHGFNKQTIWMFIRDMIKGTFLSVILGPPIVAAIIFIVQKGGPYLAIYLWAFMFILSLVMMTIYPVLIAPLFNKFTPLPDGDLREKIEKLASSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKNEDEIVAVIAHELGHWKLNHTTYSFIAVQILAFLQFGGYTLVRNSTDLFSSFGFDTQPVLIGLIIFQHTVIPLQHLVSFGLNLVSRAFEFQADAFAVKLGYAKDLRPALVKLQEENLSAMNTDPLYSAYHYSHPPLVERLRAIDGEDKKTD >scaffold_604164.1 pep chromosome:v.1.0:6:24439058:24440209:1 gene:scaffold_604164.1 transcript:scaffold_604164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSTMILVSMESLFSRLYHRIWMTGPVDEHNILNSVSVEIYVDEFHPLNIGLLAMRGRELLCVLRTPKGCIELLHRYNFEIKGKRAVVIGGSKIFDMPAALLLQREYATVTIAHSRTKNSEEIVRQADIIFSAVWQPNMVRENWIKLGAVIKDPSTPHGYRVVGDICYEEVCKVASAITTPAVGGKRTMPLAMLLSNTLTSAKRNHNFM >scaffold_604165.1 pep chromosome:v.1.0:6:24443498:24443729:-1 gene:scaffold_604165.1 transcript:scaffold_604165.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M4X6] MGFIFTAKNDDNTISVEESGNDFHKEDVIFSLPASEDEVFAGGRTEINRRFVTVDENLGYSSI >scaffold_604166.1 pep chromosome:v.1.0:6:24444182:24445664:1 gene:scaffold_604166.1 transcript:scaffold_604166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTNVLSKIRAFNGVNRPIYVLAGLAFLISVATLAKFNYITPLRFSDRFCNYHGSFDGDNYNIGSQMRKTIETAIFKIHQEMDDLKALEANSSSSSPSSDSSASGSMFRHVAFLADVLSLVQSVHMELPSFEERFVADHPLKQQNGDPGEHFMREEIKKYIKIKPNRLGKQNFMGANGTFTSIGHACFAMKEDLEEYMDYDVGEICNDDWRLAQKLMVHGCDPLPRRRCFSRGPQLYHKPFPINESLWKLPDNRNVRWGQYKCKNFACLASNTIARKGFFKCTDCFNLTYHESPRWLNRGEVDLETNQTADFSIAEVLEIKPGEIRIGLDFSIGTGTFAARMREQNVTIVSATINLGAPFNEMIALRGLVPLYLTVNQRLPFFDSTLDMIHTTRFLDGWIDLILLDFVLYDWDRVLRPGGLLWIDGFFCLKEDLSDYMEAFKALRYRKHKWVVVPKKDKDDKEVFFSAVLEKPPRPFR >scaffold_604168.1 pep chromosome:v.1.0:6:24447877:24449804:1 gene:scaffold_604168.1 transcript:scaffold_604168.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M4X9] MAQQKFLHQRPIQNPFSSSPLSNSSISNRPISLLSRNGLLILLALLVILGVFLPWAGSPLFPFPNRLSSSQSKWRDYSLPQAVKFVAKNGTVIVCAVSYPYLPFLNNWLISVSRQKHQDQVLVIAEDYATLYKVNEKWPGHAVLIPPALDSQTAHKFGSQGFFNFTARRPQHLLEILELGYNVMYNDVDMVWLQDPFQYLEGKHDAYFMDDMTAIKPLDHSHDLPPPGKKGRTYICSCMIFLRPTNGAKLLMKKWIEELQTQPWSRAKKANDQPGFNWALNKTAHQVDLYMLSQAAFPTGGLYFKNKTWVKETKGKHVIIHNNYIVGFEKKIKRFRDFNLWLVDDHASESPLGKVG >scaffold_604169.1 pep chromosome:v.1.0:6:24451193:24455845:1 gene:scaffold_604169.1 transcript:scaffold_604169.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family protein 1 [Source:UniProtKB/TrEMBL;Acc:D7M4Y0] MGSLESGIPTKRDNGGGRTGRQQQLQQQQQFFLQRNRSRLSRFFLLKSFNYLQWISSICVFFFFVVLFQMFLPGLVIDKSDKPWTSKEILPPDLLGFREKGFLDFGDDVRFEPTKLLMKFQREANGLNFTSSSLNTTLQRFGFRKPKLALVFADLLADPEQVLMVSLSKALQEIGYAIEVYSLEDGPVNSIWRKMGVPVTILKTNHASSCVIDWLSYDGIIVNSLRAKSMFTCFMQEPFKSLPLIWVINEETLAVRSRQYNSIGQTELLNDWKKIFSRASVVVFHNYLLPILYTEFDAGNFYVIPGSPEDVWKAKNLEFPPQKDDVVISIVGSQFLYKGQWLEHALLLQALRPLFPGNYLESDTSHLKIIVLGGESASNYSVAIETISQNLTYPKDAVKHVSIAGNVDKILESSDLVIYGSFLEEQSFPEILMKAMSLGKPIVAPDLFNIRKHVDDRVTGYLFPKQDLKVLSQIVLEVITEGKISSLAQKIAMMGKTTVKNMMARETIEGYAALLDNMLKFSSEVASPKDAQKVPPELREEWSWHPFEAFLDTSPNNRTARSYEFLAKVEGHWNHTPGESIIFGAVNDDSFVYEIWEEERYLQMMNSKKRREDEELKSRALQYRGTWEEVYKSAKRADRSKNDLHERDEGELLRTGQPLCIYEPYYGEGTWSFLHQYPLYRGVGLSVKGRRPRMDDVDASSRLPLFNNPYYRDALGDFGAFFAISNKIDRLHKNSWIGFQSWRATARKESLSKIAENALLNAIQTRKHGDALYFWVRMDKDPRNPLQKPFWSFCDAINAGNCSVKNLDSLPPMPEDEDTWSVMQSWTLPTRSFLEFVMFSRMFVDSLDAQIYEEHHRTNRCYLSLTKDKHCYSRVLELLVNVWAYHSARRIVYIDPGTGLMQEQHKQKNRRGKMWVKWFDYTTLKTMDEDLAEEADSDRRVGHWLWPWTGEIVWRGTLEKEKQKKNLEKEEKKKKSRDKLTRMRSRNGRQKVIGKYVKPPPENETVTGNSTLLNVVDA >scaffold_604170.1 pep chromosome:v.1.0:6:24456007:24456817:1 gene:scaffold_604170.1 transcript:scaffold_604170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M4Y1] MEETHVLEINLISAQGLKEPTGKLRRLQTYASVWVDSSNKLRTRIDRIGSENPIWNDKFVFQVSPEFLSSETSGVSIEIYAVGYLRDHQIGTVRFLVSNFLPTAAVKVPSLVALQIRRPSGKFHGVLNIAAMVMDASELPADFFKSVQETRRSRRMRKSRSAVSSSENGFADDGESSKENSVCDSVNYSDDGTDSTASSPMPSPLRDWNAVRNLAGKNHVRSSSDGGGLMCCFLMKSSGMLPPLKKKNDGRLRSVI >scaffold_604173.1 pep chromosome:v.1.0:6:24472007:24473151:-1 gene:scaffold_604173.1 transcript:scaffold_604173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVAASSSVTVIVPRVPAISTRCSAVPYLPPLPPRSFGRSSLTVPLKLVSGNGLQKVELMKTRASSEDTSSIDTNELITDLKEKWDGLENKSTVLIYGGGAIVAVWLSSIVVGAINSVPLLPKVMELVGLGYTGWFVYRYLLFKSSRKELAEDIESLKKKIAGSE >scaffold_604180.1 pep chromosome:v.1.0:6:24499906:24500110:-1 gene:scaffold_604180.1 transcript:scaffold_604180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M4Z0] MAAAVVIVSGAYSYLNYWVLNDGGDGIYDDSDDDYIIGGGEFGKKEGDVNKIVD >scaffold_604191.1 pep chromosome:v.1.0:6:24535810:24536796:-1 gene:scaffold_604191.1 transcript:scaffold_604191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTGGDDREMYGALVTAQSLRLHHRHHCSDNQCTSVLVKYIKAPVHLVWSLVRRFDQPQKYKPFISRCTVNGDPEIGCLRQVNVKSGLPATTSTERLEQLDDEEHILGINIIGGDHRLKNYSSILTVHPEMIDGRSGTMVIESFVVDVPQGNTKDDTCYFVESLIKCNLKSLACVSERLAAQDITNSIATFCNTSNGYREKNHTATNL >scaffold_604197.1 pep chromosome:v.1.0:6:24554530:24554780:-1 gene:scaffold_604197.1 transcript:scaffold_604197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCVQENAESRPTMASVVVMLNANAITLPRPSQPAFYTGDGESFPRYNNQINHIASLNDVTITEFYAR >scaffold_604200.1 pep chromosome:v.1.0:6:24567307:24568076:-1 gene:scaffold_604200.1 transcript:scaffold_604200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGGKSKRGRGGGRAPKVSPNRPTASGTSNRRPSTLPSQYTFTPANPEAPETQQSPVNPVALESQPPTHRDYPPPTQLFQSGDDSPRGSGSYPFRASGSTQVRGSGSVQGRGSVGSIHRLASRSNQPPAPVQPLAPVQPPAPVQPPASTQPAASNRQIPSRQQRPSPQPRASVPHHSSHAQNSHEESEDEEADGESEEDVLRDSTLPEDVLADLHATLLIPGREKYTTVISPNLEPETTW >scaffold_604207.1 pep chromosome:v.1.0:6:24611327:24611735:1 gene:scaffold_604207.1 transcript:scaffold_604207.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7M515] MGSGDKVMSHWSFHIPRLHHHEHDHEKVPKGCLAVKVGQGEEQERFVIPVMYFNHPLFVQLLKEAEEEFGFSQKGTITIPCHVEEFRYVRGLIDRENTRFLGNNLLDHHHHHHNHLIRCFRV >scaffold_604208.1 pep chromosome:v.1.0:6:24614209:24614857:1 gene:scaffold_604208.1 transcript:scaffold_604208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMIKFLLLTLVVISPISICAEKDLMINECHNADVPAICMQCLESDPTSIHANHLGIAAIVINCLESHLHIITNNITNLSSKKDKGEVKTALEACKKDLSTNAATILSEAKTSLKTGDYDKTNRSIKLALGFPLGCRFNLKSVKFTSPQLFSQINIYAQLSDAAMRIIDRF >scaffold_604209.1 pep chromosome:v.1.0:6:24618646:24619207:-1 gene:scaffold_604209.1 transcript:scaffold_604209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKFHETQAKTKHVEDRGGFVSNLPDKGLKTHLSSEPDIKEATVDSQTDVLLVASDGIWKVMTNEEAMEATMILIWLI >scaffold_604213.1 pep chromosome:v.1.0:6:24629255:24630936:1 gene:scaffold_604213.1 transcript:scaffold_604213.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M5J9] MNLFRFCSGLKVLGYFMILLVVAVVGVSYYAVVVSTWWPILIRSDHGALSALAALIIFVFHFLLIMLLWSYFTTVFTDPGSVPEYFRREMGGGDNLEAGTSTDQGAFGSLGYCTKCRNVKPPRCHHCSVCQRCVLKMDHHCVWIVNCVGARNYKFFLLFLFYTFLETMLDVIVLLPSFIEFFSQAIKHSSSPGKLASLVLAFVLNLAFVLSLLCFVVMHLSLLSSNTTSVEMHEKNGEVRWKYDLGKKKNFEEVFGKKKAFWLLPLYSKEDLDNMTSLQGLEFPTRSDIDP >scaffold_604215.1 pep chromosome:v.1.0:6:24636351:24638459:-1 gene:scaffold_604215.1 transcript:scaffold_604215.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 17 [Source:UniProtKB/TrEMBL;Acc:D7M5K1] MGKKSGSSSSSWLTAVKRAFRSPTKKEHNNNAHGNAVDEDEDKKKEKKRWLFRKPTNHDSPVKTSGVGKEVPAQKSTDTTTINPTASSSVTKQRYTASTPPTTFSAASETHPPPPTMELLNLTRRTYTAREDYAAVVIQTGFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLRCMQALVRVQSRVLDQRKRLSHDGSRKSAFSDTQSVLESRYLQDISDRRSMSREGSSIAEDWDDRPHTIEEVKAMLQQRRDNALRRESNNSLSQAYSHQVRRTRGSYSTGDEDEEERPKWLDRWMASKPWDKRASTDQRVPPVYKTVEIDTSQPYLTRGNSRTGASPSRNQRPSSPSRTSHHYQQHNFSSATPSPAKSRPIQIRSASPRIQRDDRSAYNYTSNTPSLRSNYSFTARSGYSVSTATTTATNAALPNYMAITESAKARIRSQSAPRQRPSTPEKERIGSARKRLSFPVPPLPQQIDGQSLRSPSFKSIGGSQLGALEQQSNYSSCCTESLGGGGEISPASTSDYRRWLR >scaffold_604218.1 pep chromosome:v.1.0:6:24655224:24659706:1 gene:scaffold_604218.1 transcript:scaffold_604218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLDSFLVSDSDSDSDLDSSSVPHRTVDEILNASSSSSPSSSPPSSPPSINRLKQDDPNRRLSEALTNVAVVRPESELHRGFPPTRRSSTSSSSLRQLPLPSLFAGVRSNVKPGAALAAAVAASRLVPTPHAAIIKSRRASSASSELLQQVSNQEEDDQDVLSSNGDSVGVAAGSVSVDDFRSFGGESRMEDEDNGVAGVASQEDEAKVMEVQASDITESLVDTRDYEANSKPDLVTASSGFDAEGNVSAEQDAKKTTEAGNAAVDDDKDETMLIASLVESSESQRLNDSEGNCYDAKVSNDEDSSVIDVKSDKSDIIIPDSKEEGGDAFIPDDGSSMSGISELVEERIVELENERMSKREKLKSQSFRKQLVLAEEFEKKQAYTGLHWEEGAAAQPMRLEGVKIGSTNLGYFDVDADNVISRTISSQAFKRDHGSPQVLAVHLNYIAVGTSKGVIVVIPSKYSSDTADQMESKMIWLGLQGERSQSPVTSVCFNQIGSLLLAGYGDGHVTVWDMQRASIAKVITEHTAPVVYAFFLGRDSQGSRIFKVITSDTKGVVFKHTFSWALLLNMYTVKTQCLLDGQKNGTVLSASPLPDEIFGSSLASSKGGNSAVPSSSISSMMGGVVGVDSTWKLFNEDSTSVEEGVVIFASYQTGLVVKLIPDLEVYAQLPRPEGVREGSMPYTAWKCSTGNSSPENYSKEAEDRVSFLVIAWDRRVQVAKLVKSDLKEYAKWSLDSPAIGVVWLDDQLLVIPTVTGHLYLFTRDGVVIHQTNFLVAGSSGNDIISYHTYFTNVFGNPEKAYHNSMGVRGASVYILGTGHLVISRLLPWKERVDVLRRGGDWMGAFNMAMSLFNGQAHGVVDLPKTVDAIREAIAPSLAELLLSYVDEVFSYISIAFSNQIEKNGVTHEPSSGTNNVNLEIEEQYNRVGGVAVEFCVHINRMDLLFDEIFSRFVAVQQRGIYFTSICMCINFLFWATFYYGTGFSIIPTRFTTLGLRLVLYRLLGSVSLFFKLRRELWSTDLGISYVGLAFGDMLGSLPPEIMQALVEHYSRKGWLQRIEQCVLHMDISSLDFNQVVRICREHGLYGALLYLFNKGLDDFRSPLEELLIVLRNSDKQRAIAIGYVMLSRCTLFWLYKTLCAKLISSAPSSLVSVMD >scaffold_604223.1 pep chromosome:v.1.0:6:24675265:24676796:-1 gene:scaffold_604223.1 transcript:scaffold_604223.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M5K8] MDFVNNLDTDTSLSILSCLDDPSDIVRASAVSRSWRQFAAFAFAVIKYSLSRNLCLKLFHQLSNVDHIVETSNDSNEASSEAGSSSLIDTRLLEKQHRAYALLARGCMSSPIQSCIADAIIASSTDNFPAESILNTLEERDRIGRTPSYWSSTGQHRTSVPETLLYRLNGDLCVITEINIQPFQAYFQRGRPIYSSHYVRFRLGHHNENSPNCNNSQDKKGEPGKSSVESNYVWTYTSQEFPMAQENRLQSFKLPEPVLCIGGYILVEFLGRVQTQEMDGQYYICVSHVKVEGRSLAKSFRVENVDDNGKFGLKVLSYSDPKETEEMDAEAGQEMDADAGQTQLRNLEQLLNLLHRHPLEVVNYVWPESDDEYAESEDEA >scaffold_604224.1 pep chromosome:v.1.0:6:24680685:24683730:1 gene:scaffold_604224.1 transcript:scaffold_604224.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M5K9] MVIPTRDAIQSYMSITGASESLAIQRLEEHGNNLPEAINSHFRDVERSIYDDSSLETRSDYNVVEDNNHVRGIETRPVNEPRPVPGALPSILSAARAFRPSLLLDPNYRRNIFRQLSGSALSGSPSPSPHTGEVTGFPAHSMWGNDQTHPPPRLGEVGDGYARHSPSYGSQVHGGTHRDAESPVHSNDAEEEMIRAAIEASKKDFQEGRHNTRYSLDNDPSSVLSPREVINREDEDIARAISMSLEMEEHENALREQLAEFMPQSVEHHDPCQSNANESTRYQPGSSSVQDKREDMKQKQPINTSSQHRHDLQNVEASYPEEWGGIPSKELHEAIMLEKALFSGVAKESASHNSQPGVLKESQSPDKRVVGQEPERKVAFPIEPSVENEDAITLLVRMPDSSRHGRRFLKSDKLQYLFDFIDAAGLVKPGTYRVVRPYPRRAFSLQDGALTFEELSLTNKQEALFLELLE >scaffold_604236.1 pep chromosome:v.1.0:6:24751745:24752820:1 gene:scaffold_604236.1 transcript:scaffold_604236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEMAVAVNIMDEIRVETSRMEKSISAVPGLAVILFGDSKSSKAYDVPGLVVGIKTFLVRLAEDSSEEEVLKYVSNFNDDPCVHGILVQSPLPSHMDEKNILNAVSVEKDVDGFHPLNIILDYLRCAEENRYAFRVFQKDASSCYTGTTLRSKKREQLFLEGVTLLVCQLLCYCREDATVTIIHSKTKNPEEITRQADIIISAVGQPNMVRRSWIKPGAVVINVGTSVVKDSSAPYGFRMVGDICFEEVCKVASAITPDACGPSLLMAKAMLLSNTLTSAKRIHNFM >scaffold_604240.1 pep chromosome:v.1.0:6:24762295:24765960:1 gene:scaffold_604240.1 transcript:scaffold_604240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:D7M5M3] MMWKNIAGFSKAAAAARTHGSRRCFSTAIPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGIRGLLPPRVMTCEQQYDRFIESFRSLERNTAGQPENVVSLAKWRMLNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCQNYSGLYRRPRGMYFSAKDKGEMMSMIYNWPAQQVDMIVITDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRVLPIMLDVGTNNEKLLQNDLYLGVRQPRLEGEEYLEIIDEFMEAAFTRWPKAVVQFEDFQAKWAFETLERYRKKFCMFNDDVQGTAGVALAGLLGTVRAQGRPLSDFVNQKIVVVGAGSAGLGVTKMAVQAVARMAGISEIDATKNFYLIDKDGLVTTERTKLDPGAVLFAKNPAEIREGASIVEVVKKVRPHVLLGLSGVGGIFNEEVLKAMRESDSCKPAIFAMSNPTLNAECTAVDAFKHAGGNIVFASGSPFENVELDNGKVGHVNQANNMYLFPGIGLGTLLSGARIVTDGMLQAASECLASYMTDEEVQKGILYPSINNIRHITAEVGAAVLRAAVTDDIAEGHGDVGPKDLGHMSKEDTVNYITRNMWFPIYSPLVHEK >scaffold_604247.1 pep chromosome:v.1.0:6:24782401:24782905:1 gene:scaffold_604247.1 transcript:scaffold_604247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKIQITAISAEDLVDGRKPVDKNAFVAFNMAGNYWKQPLRTSLDEVGGNHPMWEDKLETEFSSEKEPTSVMYVQVYYRSSGKDKHVGTARVPVKEFTGGYAPEGFMHCLSYRLWDEQGRRNGIVNFSVRILPNKSDTTKSGLVPPFWLRFS >scaffold_604251.1 pep chromosome:v.1.0:6:24791768:24793578:1 gene:scaffold_604251.1 transcript:scaffold_604251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILCCVPVLECVYCLGCAHWLWKKCLYSAGHESENWGLATSDEFEPIPRICRLILAVYEENLHDPMWAPPGGYGLDPNHVILKKDYDQTEGRVTPYMIYLDHDNGDVVLAIRGLNLAKECDYAVLLDNKLGQTKFDGGYVHNGLLKAAMWVFEEEHVVLRELLEANPSYSLTFVGHSLGAGVVSLLVLFVIQNRARLGNIERKRIRCFAIAPPRCMSLHLAVTYADVINSIVLQDDFLPRTTTALENVFKSIICLPCLLCLTCLKDTFTFEEKKLKDARRLYAPGRLYHIVVRKPLRLGRYPPVVRTAVPVDGRFEQIVLSCNTTADHAIIWIERESQRALELMLEEDQVMQIPVEQKIVRQKSIVEDHDEEYRAAIMKAASLNIPMSPSPSYGTFHDTEEGESSTGSGMEGSPSGWSFKGMRRKWDQFIDCHFPVNDHREHIIFKKNESSALLCGQETL >scaffold_604259.1 pep chromosome:v.1.0:6:24839781:24840542:1 gene:scaffold_604259.1 transcript:scaffold_604259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNTKRRKIDRVGFLSEHVMALKEAIHPDIIVKPGDNSSGIPSHKAVLAVKSKVFRNMLESDECKVAPEKSIIIPDLSYEELKSLLEFFYSGTLSPDNKHIRALYLAADKYDIQYLQDICRDLLISSMNLSFDNILDVLELSTIPADTHLKEAALLVLASRNIHMIFSKRFESFAHENPQLSLEITRTCLNKLQSYVVYCRQHHRFK >scaffold_604260.1 pep chromosome:v.1.0:6:24843309:24844958:1 gene:scaffold_604260.1 transcript:scaffold_604260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRVGANKFPERQPIGTSAQSTDKDYKEPPPAPLFEPGELSSWSFYRAGIAEFIATFLFLYITVLTVMGVKRAPNMCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMIMQCLGAICGAGVVKGFQPTHYQTLGGGANTVAHGYTKGSGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHSWDDHWIFWVGPFIGAALAALYHQIVIRAIPFKSKS >scaffold_604262.1 pep chromosome:v.1.0:6:24850112:24850315:1 gene:scaffold_604262.1 transcript:scaffold_604262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFTALDHKPEVALSIDFDRRTGVQSKSWSSVAKKSQVEVSFHALDLIHHIV >scaffold_604264.1 pep chromosome:v.1.0:6:24875818:24879188:1 gene:scaffold_604264.1 transcript:scaffold_604264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRFVVWSGTEVPTQRVEDDEPRVTISTPLSSDFPASASLSPSLGFIRSFLISKPSFSPLLWKKLESFSLLLPFPFVEMALGGLISNRNLGSFIGSGDGCQRLGKSRSEVSNRVMLFPNAFLCRNHQPLQASLQHELGHRTSFGCFIQPRMDSTIRFRNTFKECGVSKINRSRAYYKSEDSDITEGVVPSADGSAEAILVEGNLQNASPWFPRRWVIVLLCFASFLLCNMDRVNMSIAILPMSQEYNWSSATVGLIQSSFFWGYLLTQILGGIWADKFGGKVVLGFGVVWWSFATIMTPIAARLGLPFLLVVRAFMGIGEGVAMPAMNNMLSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPMLIHKFGWPSVFYSFGSLGSIWFLLWLKFAYSSPKDDPDLSEEEKKVILGGSKPREPVTVIPWKLILSKPPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVSRGLSITNVRKIMQSIGFLGPAFFLSQLSHVKTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWDDVFKVAVALYLIGTLVWNLFATGEKILD >scaffold_604265.1 pep chromosome:v.1.0:6:24879560:24881437:-1 gene:scaffold_604265.1 transcript:scaffold_604265.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP86A2 [Source:UniProtKB/TrEMBL;Acc:D7M5P5] MDVSNTMLLVALVAAYWLWFQRISRWLKGPRVWPVLGSLPGLIEQRDRMHDWITENLRACGGTYQTCICAVPFLAKKQGLVTVTCDPKNIEHMLKTRFDNYPKGPTWQAVFHDFLGQGIFNSDGDTWLFQRKTAALEFTTRTLRQAMGRWVNRGIKLRFCPILETAQNNYEPVDLQDLILRLTFDNICGLAFGKDTRTCAPGLPENGFASAFDRATEASLQRFILPEFLWRLKKWLGLGLEVSLSRSLGEIDGYLDAVINTRKQELLSQQESGVQRHDDLLSRFMKKKDQSYSETFLRHVALNFILAGRDTSSVALSWFFWLITTHPAVEDKIVREICSVLIETRGTNVSSWTAEPLEFDEVDRLVYLKAALSETLRLYPSVPEDSKHVVNDDILPDGTFVPAGSSVTYSIYAAGRMKSTWGEDCLEFKPERWISPEDGKFVNHDQYRFVAFNAGPRICLGKDLAYLQMKTIAAAVLLRHRLTVAPGHKVEQKMSLTLFMKNGLLVNVHKRDLEVMMKSLVTKQRNDVVVLNGKCNGGIGGEGVAVNAAVAVAV >scaffold_604266.1 pep chromosome:v.1.0:6:24890580:24891008:1 gene:scaffold_604266.1 transcript:scaffold_604266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNSKLHLVVFMIIVSLLANRAQSTRVMDDTFPECEFKGPCRMKNDCIATCGGEPSRALCIPNPSVDTLQCCCLSN >scaffold_604268.1 pep chromosome:v.1.0:6:24895103:24897652:-1 gene:scaffold_604268.1 transcript:scaffold_604268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVNMSVLPTFSKRSVSRLSTLRHLPQRQTENEIVERASRIPSTHENLAVSRRPHAQRRRSPRQTENESVERFSSIPSTIPENLAVSRLPHVQRRRPPRQTGNERSSIPIHEPVFSSIPNFGPMFRIPNHHEPMFRTRNPLTRYSSVRKLGESFVCIMESFQRGSTSAVMGMLQYEHVLDPQFVSSVLEMNVDIDAKITFFNWAGLRSNYIHNHSTYKAFTHLLEEARLATRLSDWVYPTLLGLYLKFRKVEKALLLVEEMEQTPGSSPALYSYYTELIKEFVRVGKIEEAYCLHENVSRNALSPDLVFLKKLINILSKIGRVELLTKVAGVWTFMHRAYSYSALIMTLFESKAPDSEVSYLFDEMKADGVSPVGLTYAILINGFCERNKVEKALLFLEEMDEEDLPTFPACYRFIKSIRYQAGNELSKEEEENFRIVTCRVYAVMIKHFGKRGKLMDLFNEMIKEEDQEESGPDVYAYNALMSGMVKAGMIDEANLLLRKMEEKGCIADVNSHNIIMNGFARTGVPERAIELFETMKHSGLKPDGITYNTLLGCFAHAGMFKEAARMMREMKDEGFVYDAITHSSILEADGNVDQNLLQQMMTTWEAGSMSTSTSKASMRLVYKALLLLQTMNPLVPVKKGFMLKPGLFNI >scaffold_604270.1 pep chromosome:v.1.0:6:24921847:24922626:-1 gene:scaffold_604270.1 transcript:scaffold_604270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRSRQTEDMVETDMVSPHISIRHKSTTVKHIALHPFSSKLDLEHLPSHIPEGERVCIIASHPSSDNSTGEESALLYKVVSLGTFERVAPKWVRDVIKFSTSMSPVFFDRVSRVLKPRF >scaffold_604274.1 pep chromosome:v.1.0:6:24936061:24937750:1 gene:scaffold_604274.1 transcript:scaffold_604274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRHSYAGGSSPATTSSNYSNTTFTDRSFPTPARTPTDKSISIARRISGIFISCFTPPDPDIDHSKSASDNNIRSRRSSTGSVQRNYGNASETEFTFDEIYDATKNFSPSFRIGQGGFGTVYKVKLRDGKTFAVKRAKKSMHDDRQGAEFLSEIQTLAQVTHLSLVKYYGYVVHDDEKILIVEYVANGTLRDHLDCKEGKALDMATRLDIATDVAHAITYLHMYTQPPIIHRDIKSSNILLTDNFRAKVADFGFARLAPDTESGATHISTQVKGTAGYLDPEYLTTYQLTEKSDVYSFGVLLVELLTGRRPIELSRGPKERITIRWAIKKFTSGDTISVLDPKLEQNPANNLALEKVLEMAFQCLAPHRRSRPSMKKCSEILWGIRKDYRELLNTSL >scaffold_604277.1 pep chromosome:v.1.0:6:24946977:24949855:-1 gene:scaffold_604277.1 transcript:scaffold_604277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSEKVSATSPSSRDEFKDVIKGLIKLLMLVIFLGIIFIWALMPTMVYRTKWLNPVMRTEFGTSTYFGAIGLTFFMFMFPMVLMACLGCLYLHLKKQKNTNHLAREKITDGGVFAAMKRPMLVKGPLGIVSATELILLAMFVALLLWNFFMYMRNAFPTITPQSAAKHHQKLWQARLEAMAVRIGLVGNCCLAFLFIPVVRGSSLLPVVGLTSESSIKYHIWLGHMLMTLFTAHGLCFIVYWASMHEISQMVSWGKTEMSNVAGEITLLSGLVMWATTYPSIRRRFFEVFFYSHYLYIVFMLFYVLHVGVAFCFITFPGFYIFMVDRFLRFLQSRDNIRLSSARVLPSDTVELTFFKNKGLVYNPTSILFVNIPSISKLQWHPFSITSSSNLEADKLSIVIKSEGKWSTKLYEMLSSSDHIDSSLSVSVEGPYGPTSIDFLRHESLVMVSGGSGITPFISVIRDLIAITQTTSCEIPKITLICAFKNASDIAMLDLILPTSGLQLSTDLNIQIEAFITKEKEPRNEETQKIRTIWFKPNLSDQPISAILGPNSWLCLAAILASSTLIFMIIIGVMTRYYIYPIDQNKNKYNAASRSILYLLVLCVSIMVTSSAAVLWNKKKYNVESSKQVQTVDVPSPTFSPSSWAYREIESNPQESLVQCTNLHFGERPDLKKILLETKGSSVGVMVCGPKKMRQKVAKICSSGLAENLHFESISFSW >scaffold_604279.1 pep chromosome:v.1.0:6:24959186:24961539:1 gene:scaffold_604279.1 transcript:scaffold_604279.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M5R1] MAGVRLSLLKSLQRSINSSSKPHSAAKSLSGFQKKEPYASFNLLNNSHARSFTCGINPLDGPKASPSMVSFTRLHNAKPFNYSIPFLGHSSYARAFSSKSDGIVSPGGDGNGNDIDWVEKAKDVLQTSVDAVSETARKTKEASDEMIPHVQQFLDSNPYLKEVIVPISLTMTGTLFAWLVMPRILRRFHTYALQSSAKLLPVGFSNEDVPYEKSFWGALEDPARYLVTFVAFAQIAAMVAPTTIAAQYFSPTVKGAVILSLVWFLYRWKTNVITRMLSAKSFGGLDREKVLTLDKVSSVGLFAIGLMASAEACGVAVQSILTVGGVGGVATAFAARDILGNVLSGLSMQFSRPFSMGDTIKAGSVEGQVVEMGLTTTSLLNADKFPVLVPNSLFSSQVIVNKSRAQWRAIASKIPLQIDDLDTIPQISNEIKEMLRSNTKVFLGKEAPHCYLSRVGKSFAELTIGCNLKHMGKEELYNTQQEVLLEVVKIIKKHGASLGTTWDNSTV >scaffold_604294.1 pep chromosome:v.1.0:6:25036196:25036398:-1 gene:scaffold_604294.1 transcript:scaffold_604294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLFFSSKKSKTAAKMDVARIKLIRNKRLVVVKQMRRDIDVLLQSGQNATA >scaffold_604296.1 pep chromosome:v.1.0:6:25042216:25045096:1 gene:scaffold_604296.1 transcript:scaffold_604296.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast transketolase [Source:UniProtKB/TrEMBL;Acc:D7M5S6] MASLTSSSQASILARPSSNRSSPPLPPTDLHVSLSLPSFSALRASFTRSSSPTLRRRRRLLLPISAAATDVSLVDKSVNTIRFLAIDAVEKAKSGHPGLPMGCAPMGHILFDEVMQYNPKNPYWFNRDRFILSAGHGCMLQYALLHLAGYDSVREDDLKNFRQWGSRTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPGNQIVDHYTYAILGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTENVETRFQGLGWHVIWVKNGNTAYDEIRAAIKEAKAVKDKPTLIKITTTIGYGSPNKANSYSVHGSALGEKEVDATRKNLGWPYGPFHVPEDVKSHWSRHIPKGAALETEWNAKFADYENKYPQEAAELKSIVTVNLPTGWEKSLPTYTPESPADATRNLSQQCLNALAKVLPGFLGGSADLASSNMTLLKMFGDFQKNAPEERNLRFGVREHSMGAICNGITCHSPGLIPYCATFFVFTDYMRAAIRLSALSQAGVIYVMTHDSIGLGEDGPTHQPIEHVASFRAMPNILMLRPADGTETAGAYKVAVENRKRPSILALSRQKLLHLPGTSVEGVEKGGYVISDNSTGNKPDVILMGTGSELEIAAKAGEKLREEGRAVRVVSLVSWELFDEQSEEYKESVLPSEVSARVSIEAGSTFGWEKMVGSKGKAIGVDSFGASAPADVLYKKFGLTVDAVVAAAKDLC >scaffold_604297.1 pep chromosome:v.1.0:6:25046078:25047407:1 gene:scaffold_604297.1 transcript:scaffold_604297.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-glucuronate 4-epimerase 2 [Source:UniProtKB/TrEMBL;Acc:D7M5S7] MKQMSHLDDIPSTPGKFKPYFHRTRWQSSVAKLAFWSLVFVGLIFIFFYRSPVSSNPDPSRRSLRTYSWGGPAWEKRVRSSARVRTRRGFSVLVTGAAGFVGTHVSAALKRRGDGVLGLDNFNDYYDPSLKRARQALLERSGVFVVEGDINDAALLKKLFEVVPFTHVMHLAAQAGVRYAMENPSSYVHSNIAGFVNLLEVCKSANPQPAIVWASSSSVYGLNTRVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKAISIFEGVNHGTVARDFTYIDDIVKGCLGALDTAEKSTGSGGKKRGAAQLRVFNLGNTSPVPVTDLVTILERLLKVKAKRNIMKLPRNGDVQFTHANISSAQRELGYKPTTNLQTGLKKFARWYLGYYNGGKKAAS >scaffold_604299.1 pep chromosome:v.1.0:6:25049318:25051723:-1 gene:scaffold_604299.1 transcript:scaffold_604299.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7M5S9] MESVIVVAIASVIFGALIAVLFFGNYFRKRTSEVQSMAKAEPQDPIRNPKSNHPAPKKNHPKSQASDKNQNKRHHPLDLNTLKGHGDAVTGLCFSSDGRSLATACADGVIRVFKLDDASSKSFKFLRINLPAGGHPTAVTFADDASSIVVACHHMSGSSLYMYGEEKQKDPQGKLPLPSIKWDHHHIHEKRAVLTISGATATYGTADGSAVIASCSEGTDIILWHGKTGRNLGHVDTNQLKNHMAAVSPNGRFLAAAAFTADVKVWEIVYQKDGSVKEVSRVMQLKGHKSAVTWLCFSPNSEKIITASKDGSIRVWNINVRYHLDEDPKTLKVFPIPLCDSGGNMLHYDRLSLCPEGKILAASHGSTLQWLCAETGNVLDTAEKAHEGDITCISWAPKAITVGERHAMVLATSGDDKKVKLWEAPKSQSL >scaffold_604300.1 pep chromosome:v.1.0:6:25051748:25051944:1 gene:scaffold_604300.1 transcript:scaffold_604300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M5T0] MVQRAVNGGVSRASLMETDLRIQVTGSGLEVLSLLKYMGLNLTDPLISKPI >scaffold_604302.1 pep chromosome:v.1.0:6:25053752:25055780:1 gene:scaffold_604302.1 transcript:scaffold_604302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRHLPSKFSFSGSSMAQNQLIDSLTSHISLYHSHSSSSSMANSIPNPRSAILRWFSSLSVHQRLSHLTFVDPKFVQILLQMLGYIRTKGPGSFIILPDLPSSDLPSLCFKKSRGLISRVAESNEPERFVFDSTRLFGSREGENAQDCSCSVNSLDSVVMADDLLTNVDRFVETMDALSNGAFLRGEESDLGSNWVELEWLKAKGYYSMEAFIANRLEVSLRLAWLNTNSGKKRGIKLKEKLNAAAAAANSYWRKKACVDWWQNLDAATHRKIWTCLLGKSAKSVIYEILREANQAQQGDIWLFNFASARKRRTETSEVSFCDMILEPNSVSRKPITVASNLSGLYVLQEFASLLILCQNGLVPVQSVFFSSLGTITTLVDCILRKLRGFLMVISIDSVKVELLDDNTHKCSPSSSSNQKLGLTSRKHKGKTRNLKKPTPEATSDKNVSLSTKSGKKDQAKLEFTKNREAIECKKVPAASTKISSPAASAATMVNYFLSSYFG >scaffold_604303.1 pep chromosome:v.1.0:6:25056221:25060364:1 gene:scaffold_604303.1 transcript:scaffold_604303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGRSRTLEEGESHRIHHQRREAGYGIASSSSEFVSYEWPAVAPMYFSHVNSHLPTATDRLHLDVGHNLHAYVRQPFVSTVQHARNPSIEGSHKQVLSRPMPMSLDWPPMVHSNCGLTTAFACNYDSGILVDIPEQKNKPELGNECENNWMLEEDFEVHTVSGVDYNQYFGGGVMYWNPSDHLGTGFSRPPSLSSDDSSWAWHEAEMKRSVDDMVAFSSSYSANGLDSPTAASFCSPFHPLGPANQPLGYVVPGNEISTKILQAPPTTSEVAGEEEVSGTLASLSGDVEGNSGDSLPYPILRPIIIPNMSKSDYKRSYDTKSPNVPPTRREHPRIKRPPSPVVLCVPRAPRLPPPSPVSNSRARRGFPTVRSGSSSPRHWGMRGWFHDGVNWEEPCGAEVVLPWRNKSLAVRPIIQPLPGALLQDHLIAMSQLGRDQEHPDVAFPLQPPELLNCPMQESLSLIHGLLNDEIDSFCKQVAAENMARKPYISWAIKRVTRSLQVLWPRSRTNIFGSSATGLSLPSSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKTDSLKTVENTAIPIIMLVVEVPCDLVCSIQSPKDGPDCISITVDQDSNGNTEMVGFEDSAAANSLPTNTGNLANAKSVRLDISFKTPSHTGLQTTQLVKDLTDQFPAATPLALVLKQFLADRTLDQSYSGGLSSYCLVLLITRFLQHEHHLGLSINQNLGGLLMDFLYFFGNVFDPRQMRVSVQGSGIYRNRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSVLENELTCITSSSDSCGKQQLHNLLPKIIPSIISS >scaffold_604304.1 pep chromosome:v.1.0:6:25068234:25070291:-1 gene:scaffold_604304.1 transcript:scaffold_604304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCVPNCHIDDTTAAAAATTTVRSITAADIPILDYEVAELTWENGQLGLHGLGPPRVTASSTKYSTGAGGTLESIVDQATRLPNHKPTDELVPWFHHRSSRAAMAMDALVPCSKLVQEQQSKPGGVGSTRVGSCSDGRTMAGGKRARVAPEWSGGGSQRLTMDTYDVGFTSTSMGSQDNTIDDHDSVCHSRPQMEDEEEKKAGGKSSVSTKRSRAAAIHNQSERKRRDKINQRMKILQKLVPNSSKTDKASMLDEVIEYLKQLQAQVSMMSRMNMPSMMLPMAMQQQQQQLQMSLMSNPMGLGIGMGMPGLGLLDLNSMNRAAAAATAPNIHANMMPNPFAPMTCPSWDASSNDARFQSPLIPDPMAAFLACSTQPTTMEAYSRMAALYQQMQQQLPPSNPK >scaffold_604307.1 pep chromosome:v.1.0:6:25073483:25075465:1 gene:scaffold_604307.1 transcript:scaffold_604307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMNLRRRSAVAIGHQSKSKLASFSSASLPCSGIPKSLISVLPRGGASRQDSTGANGLLFRFRNPQASICTEARPKNINSSYFTRSFASRTSKEPGNQQNKAKKEVTTVEDPFDSPTYHIPDKPVTFTEGASYSLVILAGLGVAGAAGYGVFKELIFQPKEYKVFDKALKRIQDDGQVRVRIGSPIKGYGQETRNRAARQRIPNRVFTDEDGVEHVEVNFYIRGPQGAGKVYTEMFKDKAEKEWKYTYLIVEILTPSPAKLMLESYLPA >scaffold_604310.1 pep chromosome:v.1.0:6:25088911:25089443:1 gene:scaffold_604310.1 transcript:scaffold_604310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELRRDNGSAVLDWIRRQLLEEDDFDLLTAQDSSSPPRRSANYKGVRRRPWGKYAAEIRDPNKNGARIWLGTYETPEEAAVAYDQAAFKMRGSKAKLNFPHLIGIDQAEPVRVTNGNKRQRSMEPNSQAAKRRSIINLTATTLPSLGLKLSVLQKHLQRL >scaffold_604311.1 pep chromosome:v.1.0:6:25091743:25093820:-1 gene:scaffold_604311.1 transcript:scaffold_604311.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRAB1B [Source:UniProtKB/TrEMBL;Acc:D7M6C2] MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYIESYISTIGVDFKIRTVEQDGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDEESFNNVKQWLSEIDRYASDSVNKLLVGNKCDLAANRAVPYETAKAFADEIGIPFMETSAKDATNVEQAFMAMAASIKDRMASQPAANNARPPTVQIRGQPVPQKNGCCSS >scaffold_604313.1 pep chromosome:v.1.0:6:25100703:25100985:1 gene:scaffold_604313.1 transcript:scaffold_604313.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M6C5] MTKRRVRESHSRLSPLSSSSSSDNNRVHRVKGFSGDSGVDEEPMVHGASSVVLCILPARSPNRNQGSLWRGEASAGRSG >scaffold_604314.1 pep chromosome:v.1.0:6:25102657:25110590:-1 gene:scaffold_604314.1 transcript:scaffold_604314.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRNL [Source:UniProtKB/TrEMBL;Acc:D7M6C7] MGKGSEDLVEKESLNSTPVNSDTFPAWATSSVSQTGSSGDAKVGLNLSKIFGGDLLEKFSVDKSTYCHAQIRATFYPKFENEKTDQEIRTRMIEMVTKGLATLEVSLKHSGSLFMYAGHKGGAYAKNSFGNIYTAVGVFVLSRMFREAWGTKSLEKEAEFNDFLEKNRMCISMELVTAVLGDHGQRPLDDYVVVTAVTELGNGKPKFYSTSGIIAFCRKWRLPTNHVWLFSTRKSVTSFFAAFDALCEEGIATSVCRALDEVADISVPASKDHVKVQGEILEGLVARIVSSQSARDMENVLRDHPPPPCDGANLDLGLSLREICAAHRSNEKQQMRALLRSVGPSFCPSDVDWFGDESHPKNADKSVITKFLQSQPTDYSTSKLQEMVCLMKEKRLPAAFKCYHNFHRADDISPDNLFYKLVVHVHSDLGFRRYQKEMRHMPSLWPLYRGFFVDINLFKSNKGRDLMALKSIDNAVKDASENDGQREKDGLADGDANLMIKLKFLTYKLRTFLIRNGLSILFKEGPAAYKTYYLRQMKIWGTSDGKQKELTKMLDEWAAYIRRKCGNDQLSSSTYLSEAEPFLEQYAKRSPMNQILIGAAGNLVRTEDFLAIVDGDLDEEGDLVKKEGVTPATPEPAVKEAVQKDEGLIVFFPGIPGCAKSALCKELLNAPGGFGDDRPVHTLMGDLVKGKYWPKVADERRKKPQSIMLADKNAPNEDVWRQIEDMCRRTRASAVPIVADSEGTDTNPYSLDALAVFMFRVLQRVNHPGKLDKESSNAGYVLLMFYHLYEGKNRNEFESELIERFGSLIKMPLLKSDRTPLPDPVKSVLEEGINLFNLHSRRHGRLESTKGTYAAEWTKWEKQLRDTLVANSEYLNSIQVPFESVVHLVREELKKIAKGEYKPPSSEKTKHGSIVFAAINLPATQVHSLLEKLAAANPTMRFFLEGKKKTIQEKLERSHVTLAHKRSHGVAAVASYGQHLNREIPVELTELIYNDKMAALTANVGCVDGETVVSKNEWPHVTLWTGEGVTAKEANTLPQLYLEGKASRLVIDPPVSISGPLEFF >scaffold_604315.1 pep chromosome:v.1.0:6:25110704:25110988:1 gene:scaffold_604315.1 transcript:scaffold_604315.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:D7M6C8] MGNMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >scaffold_61400002.1 pep scaffold:JGI8X:scaffold_614:3748:4664:-1 gene:scaffold_61400002.1 transcript:scaffold_61400002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKTEEPIARADTGAGIRKKELVSIHQLHEFISKTDEQKLKIHYNVSSPIYQKTQDADFLCNAKVLNVLQKNGWSYVSCTGCNRKLDKVGNALRCSKCVSSSVTGVVKYRVELAVHDGHDEATFVVFDNEMTKLTTKTAATLILENGNGGTRDDIPSCIEDLTGKQFLFQIKVTPFNFSSKHRTFTVSKLSEAYTNCTQV >scaffold_63000001.1 pep scaffold:JGI8X:scaffold_630:782:997:-1 gene:scaffold_63000001.1 transcript:scaffold_63000001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXV9] MESLTLDRTFLLVVLTMVLRLILWNMPSLRTPFLVIRQTPSPFSIGGIRYCNEVLGK >scaffold_6300002.1 pep scaffold:JGI8X:scaffold_63:12641:13346:-1 gene:scaffold_6300002.1 transcript:scaffold_6300002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSISSTPWSRTPFVLRNLWYAYKGLRTYVLIISKRFCRCVICGTGLLLFNDLFSLSSSSIVFKHRTFTTISIIQILCYKVYVAIYRRDLNHSLLVLKLSAIDYSTIISRILVFVLPWVVQLAPLRIIALIHSSTSQHLLTVTNLSSFESFEDDLSIHHDLTGFNALPSPCLKALMGLKSMNLIFLFVALGNIFVVMF >scaffold_63600001.1 pep scaffold:JGI8X:scaffold_636:91:1868:-1 gene:scaffold_63600001.1 transcript:scaffold_63600001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEIITEQPPESIVGLIEKMAYVVALRGPEFEKEMIIVNRGDTTFSFMSSSDPNHALYQQKLTEYQGGKPPDFQPNTPATREPKGKAQSYDLEPPPSKEPRYTEISRREKELCTIKLIAQFVARYGKLFHEDLKRVGVMSPMFDFIKPTNSNFGLYNALVTSYSRVLKPSLGSPFFLDRIFDHLQLEKLEEGSETAMIDLFDFVGGVDFFAHMDDAHYPAILPPPQPFSMITHLSDLQADDSAIHECVGHAETSLGPPTIRITPKEFGVIKLTALFVARYRMPFRRALMMRVAMNPLFEFMFFLPSSLKKRLLFTDYPSLTKRVLFTDPSFGFVEPTEIRFNIFNLLVDAYSRVLFPCKKLKKSDACTRAVVDFFLKLLHLERLEEGVAAAVIDLHAFVGGVDRFAHLDDEDYSASMPPPERLSVMMNRVTLSEPDMLLQLPLGSQLASVRKQYKCVGDIDSLRAPTLRSVTFRVPGKGITLKELGIIKLTAQFVVRYGYDFWCVLTDRVSTNSFFQFLNPFDKQFRFYCGLLLAYTGVLKPSKMGFSTDFNCGSWRREWKRL >scaffold_63800001.1 pep scaffold:JGI8X:scaffold_638:2573:3481:-1 gene:scaffold_63800001.1 transcript:scaffold_63800001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMKLKLDQMEFCHSLYLLARKPDMKVLYAEGGQDFVDLLFIFLAIPLESVWEITGGNVELGCIGNFWRNMKSLSSSGGTNSMLPQHYGFHKSLIGVGYERNKLDVDVDDVEAISLLSAMNTKSDLVAEHTLPVSSGFVKRGSTFMISDDLIVTASNLSSTLGLLKKLDTDLDDIEEQVISITGAEAINLLKASLVTSTPLTTALGSLLLKKPKVESL >scaffold_6400001.1 pep scaffold:JGI8X:scaffold_64:1157:2897:-1 gene:scaffold_6400001.1 transcript:scaffold_6400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 family protein [Source:UniProtKB/TrEMBL;Acc:D7MW40] MGNTYCLLGGCIDQASVGVVERWGRFEHIAEPGCHFFNPLAGQWLAGVLSTRINSLDVKIETKTKDNVFVQLVCSIQYRVVKASADDAFYELQNPKEQIQAYVFDVVRALVPMMTLDALFEQKGEVAKSVLEELEKVMGAYGYSIEHILMVDILPDPSVRKAMNEINAAQRLQLASVYKGEAEKILQVKRAEAEAEAKYLGGVGVARQRQAITDGLRENILNFSDKVEGTSAKEVMDLIMITQYFDTIRDLGNSSKNTTVFLPHGPGHVRDISDQIRNGMMEAAASAQVNDV >scaffold_6400002.1 pep scaffold:JGI8X:scaffold_64:3753:6669:-1 gene:scaffold_6400002.1 transcript:scaffold_6400002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATQMLLHLLLLPLLFVYFSNQVGAELTDELTTLLEVKTELDPEDKHLASWSINGDLCKDFEGVGCDWKGRVSNISLQGKGLSGKISPNIAKLKHLTGLFLHYNALVGDIPRELGNLSELTDLYLNVNNLSGEIPSNIGKMQGLQVLQLCYNNLTGSIPRELGSLRKLSVLALQSNKLTGAIPASLGEISALERLDLSYNHLFGSVPGKLASPPLLRVLDIRNNSLTGNVPPVLKRLNEGFSFENNLGLCGAEFSPLKSCNGTAPEEPKPYGATVFGFPSRDIPESANLRSPCNGTNCNAPPKSHQGAILIGLVVSTIALSAISILLFTHYRRRKQKLSTAYEMSDTRVNTVGGGFRKNNGSPLASLEYTNGWDPLSDNRNLSVFAQEVIQSFRFNLEEVETATQYFSEVNLLGRSNFSATYKGILRDGSAVAIKRFSKTSCKSEEPEFLKGVNMLASLKHENLAKLRGFCCSRGRGECFLIYDFAPNGNLLSYLDLKDGDAHVLDWSTRVSIAKGIAKGIAYLHSYKGSKPALVHQNISAEKVLIDQRYNPLLSNSGLHTLLTNDIVFSALKDSAAMGYLAPEYTTTGRFTEKTDVYAFGILVFQIISGKQKVRHLVKLGTEACRFNDYIDPNLQGRFFEYEATKLARIAWLCTHESPIERPSVEAVVHELGNCSSCL >scaffold_6400004.1 pep scaffold:JGI8X:scaffold_64:47828:48583:1 gene:scaffold_6400004.1 transcript:scaffold_6400004.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7MW43] MRIYAPVEGLHFIAKGIAFRNTAGPAKGQAVALRSSSHLSVFHRCSIEGDQDTLMVHSQRQFYRECQANVITAQGRTDLIQNTGISIHNSIIIPAHDLKLVVRSVKTYMGRPWMKYSRTVVLKTYIDSVVNAVGWSPWTKGSTYGLNTLFYAKYKNIGPASSTRWRVRWKGFHVLSKASDVSAFTVGKFIAGTAWLPSTGIPFTLEL >scaffold_64900002.1 pep scaffold:JGI8X:scaffold_649:2240:2627:1 gene:scaffold_64900002.1 transcript:scaffold_64900002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDLVLDTAIRDWVLIPLSVVMVLILILRYFVSKLMRSSPTTDAKKVKEGQVVIRARNLKAGATFMPPKSFRARRFYFSTEVWFL >scaffold_6500005.1 pep scaffold:JGI8X:scaffold_65:39575:41767:1 gene:scaffold_6500005.1 transcript:scaffold_6500005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLAVKPTPTGPQVFICFRGADVRKHFISFLDPALRKANINVFIDEDEYLGSDLVNLLKRIEESEIALVIFSEEFTSSYWCLEELAKIKDCKDQGKFGDHFRDQIRNLRHQPERTQKWEDALLSIPESIGMPLAAQSDRTDKDFITSMVIKIQRLVEHMAVKGNQETEANLPGGSIVPAMKPKREANSQGGSMVLAMKPETEASHQGGFVVPARQLGIAFSYKSRCWSWSTITGPNKQVSPYLFSSEAIDIVTMKKVYWLKIVGTFKTGDLTPGTKYEVVFVVKLKDNAIGWEKPVTLKLKVIHHNGDNDRVERIENLNDYIGRNWVDILAGVFVVPPKNTPAIITFTMYETEYKKKGLVVKGVTIRPTN >scaffold_65300002.1 pep scaffold:JGI8X:scaffold_653:2525:2987:-1 gene:scaffold_65300002.1 transcript:scaffold_65300002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGFLLVFFFLITAKTSVSRPFAWSRGNMPGQNYPGESGSGRGPNWEYNWGWGSAPGSGWGFGSGSGRSPTGWGRGSGYGYGSGSGSGTGYGYGSGGGGARGGGYGYGSGNGRSGGGGGGGGSNGEVAALSHGSKIHP >scaffold_66300001.1 pep scaffold:JGI8X:scaffold_663:3754:4179:1 gene:scaffold_66300001.1 transcript:scaffold_66300001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MXX6] MSMLKGLMWLESKVGKVSDLALALTILFFYLHVAGPSKLLLFKRDLRFSDGLLSIILTVFSKALGPNLWGRELGSIFCTEVVATYKKLMWGECCSSCLVVRQWMVRRHVPDGEAPLTGEETIKSL >scaffold_66400002.1 pep scaffold:JGI8X:scaffold_664:4491:5015:1 gene:scaffold_66400002.1 transcript:scaffold_66400002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVVMNGELKKQPRPGKGGYQGRGLTEEEARVRAISEIVSTMIERSHRNENVDLNAIKTAACRKYGLARAPKLVEMIAALPDSERETLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRAR >scaffold_66800001.1 pep scaffold:JGI8X:scaffold_668:3775:4220:1 gene:scaffold_66800001.1 transcript:scaffold_66800001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KZB5] MDGAFAAVVTCSFNLDWLTSPEIRLSLVVASSFGIHTPSRLTTHALILHVSQGDDPDLLQRGFNPRWFVAWKKRGINRLLLDE >scaffold_67500002.1 pep scaffold:JGI8X:scaffold_675:6270:6747:-1 gene:scaffold_67500002.1 transcript:scaffold_67500002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLNIMFCFSWGLKSFLVDGIPIRVFKNNEANGVAYPKNQPMKIYSSLWEADDWATQGGRVKIDWTNAPFSASYKSFNDVDCCSRTSIWDWVTCNANSNSWMWTTLNANQLGQLKWVQDDYMIYNYCTDFKRFPQGLPTECNHN >scaffold_68000001.1 pep scaffold:JGI8X:scaffold_680:82:370:-1 gene:scaffold_68000001.1 transcript:scaffold_68000001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVVNVIIILGACGIFVLTVICCVSHRKKEPLSQPRDLEKGLAGIKDARTCCFDRNSCCRCGCNHSTFWRLRRWRRWWRL >scaffold_68200001.1 pep scaffold:JGI8X:scaffold_682:2077:3016:-1 gene:scaffold_68200001.1 transcript:scaffold_68200001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPFRFMLNQTETESYGAFIPRNLRPLIDRAIPHARAQISGSPVVAIGLASSGQTYFGVNVELPGLPPNYSIHAEQFLVANFALHFELKLIGLAISPNGYYFKAPCGHCCQFLREISNMSDTKVLITGPTGQGETHGTRMLLSTFLILLGTISPGNVPRLLEPSDNSIGFIDSSLQMDICSNSEHCNHLSCRALRAATKSYARFSKCPSGVALIDRRGTVYSGWFMESVAHNPSLGPVQAALVDFVVNGDGQEFKEIVEAVLVEKRGAVLSQEDTARMILEKIADPDCVFRVLHCEYN >scaffold_68200002.1 pep scaffold:JGI8X:scaffold_682:4553:4762:1 gene:scaffold_68200002.1 transcript:scaffold_68200002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWIPLLDIFLKSPSPETEASLWLDQASNSSSSSSSAAAPINRSFFFLIPEETM >scaffold_68500002.1 pep scaffold:JGI8X:scaffold_685:4741:5413:-1 gene:scaffold_68500002.1 transcript:scaffold_68500002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFKNRTPRKWSQEWEVELAIVLMTKVIELGGIPTIGDCAVILRAALRAPMPSAFLKILQTTHSLGYSFGSPLYDEIITLCLDIGELDAAIAIVADMETTGITVPDQTLDKVISARQSNENPRSEPEEPPSTVSS >scaffold_69900001.1 pep scaffold:JGI8X:scaffold_699:4633:4892:1 gene:scaffold_69900001.1 transcript:scaffold_69900001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMWTVASLVIALVVVRISHWLYRWSNTKPKCIGKLPPGSMGFPIIGETIHFFKPYGFYEIPPFLKKRLLR >scaffold_70000001.1 pep scaffold:JGI8X:scaffold_700:924:1793:-1 gene:scaffold_70000001.1 transcript:scaffold_70000001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMPSYMIENPKFEPYKPKKRRYYTSSMLTIFLSIFTYIMIFYVFEVSPSSVFKDTKVLFFISNTLILIIAADYGAFSDKESHDFYSEYKAATATMRSRADYYSPIPVSRQRENLRDAKIKNPKEEEEGVPMVKEIVYVYPPEKIVTVVNEEKPRDVLAIENFKQVTDQTVASEEACDARNHVNPNKPYGRSRSDKPRRKRLSEGTETTKRKSYGRRKSDCSTRMVIPEKWENVKEESEEFSKLSNEELNKRVEEFIQRFNRQIRSQSSRVSST >scaffold_7000001.1 pep scaffold:JGI8X:scaffold_70:365:929:-1 gene:scaffold_7000001.1 transcript:scaffold_7000001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIKNPKNADDCSDSISKNSHQGVDDSLNQSRSYVCSFCIRGFSNAQALGGHMNIHRRDRAKLRQKLMEDNKDDVVAESDSSEVVSLDLNEQQQQQEALTCDDHDDQDQYVEKDLSPKQKLEFWVQESKLDTNDRGKGTEVSIDGSSSRHHREIEGLDLELRLGQSVVEKKTT >scaffold_7000004.1 pep scaffold:JGI8X:scaffold_70:15322:16404:1 gene:scaffold_7000004.1 transcript:scaffold_7000004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFPKLPLLGLFLPLAILNSPASADGPICPQTETLSRASFPKGFLFGTASAAFQVEGAVEEACRGPSVWDIYCRKYAFRCSGDNGDVATDFYHRYKEDIKLMKNLNSDAFRAYALV >scaffold_7000005.1 pep scaffold:JGI8X:scaffold_70:16483:16915:-1 gene:scaffold_7000005.1 transcript:scaffold_7000005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENDKERNVNREALTALRKRARTTKTSILSPFDSMIKDIHGSSTKPLVQEVCSTCGSHDSSEPTWMMLPGADLFAAIPFHAVHTMLEKNEEKMELNY >scaffold_7000006.1 pep scaffold:JGI8X:scaffold_70:29582:30526:1 gene:scaffold_7000006.1 transcript:scaffold_7000006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNIYSDGRKEKGVSKAGVQFYHDLIDELIKKDLIPLVTVFHWDTPSDLEDEYGGFLSQNIVKDFMEYAEFVFNEFGDKVKNWVTFNEPWVFSRAGYDEGKKAPGRCSKYQNEFCEDGRSGYEAYLVSHNLLISHAEAVYIFRNKYKKVGRSELRIARLGSSHMHDFNDAQDGASIERSLDFMMGW >scaffold_700001.1 pep chromosome:v.1.0:7:1235:1458:-1 gene:scaffold_700001.1 transcript:scaffold_700001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLKQKKAHLNEIQINGGDVAKKVVYAHSFFKSTPLMYFFTINVLLKVFVFVRKSRLVR >scaffold_700004.1 pep chromosome:v.1.0:7:14498:14723:1 gene:scaffold_700004.1 transcript:scaffold_700004.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MEW4] MIIEPRIRQSVGTAPTPPPISYYILLLFFFNQVSDSPNSKKTLGEVMVNIRDAHASILSIT >scaffold_700013.1 pep chromosome:v.1.0:7:43151:43715:-1 gene:scaffold_700013.1 transcript:scaffold_700013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMGFIAQATGGGGFKEYVFLSSPTTTTRPRLPYPHIDGLHISTYQAFIDALAFFLDVSDLFHVRGMPLQRVKDKNRKWRRMEEDELSVFVYRDGTLDKSGHGRPSKEDSYIHVLIHLKPLPSSTLLPLKDIIVSV >scaffold_700017.1 pep chromosome:v.1.0:7:54691:54880:1 gene:scaffold_700017.1 transcript:scaffold_700017.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MEX3] MQWTPQGSFLRPTSHVTNQDLQLVASPDRNKPLMIPWPQILVDGTLAVR >scaffold_700020.1 pep chromosome:v.1.0:7:60254:61410:-1 gene:scaffold_700020.1 transcript:scaffold_700020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAVFLWQSHPLYPFLLFLNRDEYHNRATEALRWWEDGETVGGRDLVGGGTWLGCTRHGRLAFLTNFREASSFPDAKSRGDLPIRYLQSRKSPAEFAEEIQDEISLYNGFNLVVAHVLSKSMIYITNRPPHGHKLATQVSPGIHVLSNANLDSPWPKCLRLRECFQQLLAENGSREFPVKTMVEEVMTNTVKDEETELPHVFTPETEYHLSSIFVDMQRPTGRYGTRSISAISIKSHGDGDGDGEVCFYERHLEEGTSWKEHNQQFVIIQCI >scaffold_700021.1 pep chromosome:v.1.0:7:61579:64709:1 gene:scaffold_700021.1 transcript:scaffold_700021.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MEX7] MTTFSRSAAFLSLVVVLHAVHVGGAILESQAPHRELKAYRPLQDNNLEEVYASSAAAVHYDPDLKDVNIVATYSDHYGNIRFGRVKMGDLSTSWVFENPAYQVSGRKKGPQLVIPRDSFQNDTGIEDNASRSTTNQTDESENQFPNVEFANPAKLKRQILRQERRGQRTLELIQQEKETDEQMQEAAIEKSMSFENSVIGKYSIWRRDYESPNADAILKLMRDQIIMAKAYANIAKSQNVTNLYVFLMQQCGENQRVIGKATSDADLPSSALDQAKAMGHALSLAKDELYDCHELAKKFRAMLQSTERKVDGLKKKGTFLIQLAAKTFPKPLHCLSLQLAADYFILGFNEQDAVKEDASRKKFEDPSLYHYAIFSDNVLATSVVVNSTVLNAKEPQKHVFHIVTDKLNFAAMKMWFRISAPADATIQVENINDFKWLNSSYCSVLRQLESARLKEYYFKANHPSSISAGADNLKYRNPKYLSMLNHLRFYLPEVYPKLEKILFLDDDIVVQKDLAPLWEIDMQGKVNGAVETCKESFHRFDKYLNFSNPKISENFEASACGWAFGMNMFDLKEWRKRNITGIYHYWQDMNEDRTLWKLGSLPPGLITFYNLTYAMERSWHVLGLGYDPALNQTAIENAAVVHYNGNYKPWLGLAFAKYKPYWSKYVEYDNPYLRRCDINE >scaffold_700027.1 pep chromosome:v.1.0:7:84521:85754:-1 gene:scaffold_700027.1 transcript:scaffold_700027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSVGNNNRYWVLRHGKSIPNERGLVVSSMENGVLPEYQLAPDGIAQARLAGESFLQQLKESNIELDKVRICYSPFSRTTHTARVVAEVLNLPFHAPQCKMMEDLRERYFGPTFELKSHDKYPEIWALDEKDPFMRPQGGESADDVVSRLATAMESMEAEYQRCAILVVSHGDPLQMLQNVFHSAKLQEGGGLAERFQMSRVASVLSQHRKFALLTGELRPLI >scaffold_700035.1 pep chromosome:v.1.0:7:109767:110814:1 gene:scaffold_700035.1 transcript:scaffold_700035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFCSPLTLLPMKLSPAAIRSSSSSAGSSSNFDLRTYWTTLITEINQKLDEAIPVKHPAGIYEAMRYSVLAQGAKRASPVMCVAACELFGGDRLAAFPTACALEMVHAASLIHDDLPCMDDDPVRRGKPSNHTVYGSGIALLAGDALFPLGFHHIVSHTPPELVPRATILRVIAEIARTVGSTGMAAGQYVDLEGGPFPPSFVQEKKFGAMGECSAVCGGLLGGATEDELESLRRYGRAVGMLYQAVDDITEEKNNGAGKAMEMAEELKEKAKKELQVFDNKYGGGDTLVPLYTFVDYAAHRHFLLPL >scaffold_700046.1 pep chromosome:v.1.0:7:145919:148919:1 gene:scaffold_700046.1 transcript:scaffold_700046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTSSKAKKDGKRSSGLFGKKTVSKSTPGSPAHPSGARSPPPSYLSNKRAETEYDFPTSDEQRPYWKQPASERVPNSHPRPPVYGYGTPERRRDHGRDRMDAMSYEPETNAPSSPFHPAGNRTPERPRRSTEYRREHQDRMYEADTRSNASPFHPFRSPSPSPYQTPDRRRDHDRDHYDAMYEPEANTLLQNNAPGSPFHPAGSRTPPSYRTPDRRSNHGKEQYEDLYDQDGDVPPRNSSPPSPFHPAAYKTSDQRSNHGKEQIEDFYEPDDDVTPRNSSPPSPLHPAANRSPPPPQQYRTPDRRRSHQDNEEFEAMYELGGDVIPQNSAPPSPVRGPYYSSSDDDNHSTYLYPEIRSPLRSRLVSENNTPVHHNYQIVAAETYEQDKQFEPPELPDESQSFTMQEITKMRGLKNYESGKEENQSMISEAYVSVANYRVRQSVSETLQAIIEKHGDIAATSKLQAMATRSYYLESLAAVVMELKKTVLRDLTKTRVAEMAAVVKDMESVKINVSWLKTAVAELAEAVEYFGQYDTAKVEKEVCERDLTAKKGEMEEMTAELVKREKEIKECREKVTVVAGRLGQLEMKGSKLNKNLDLFQSKVHKFQGQAVLLHV >scaffold_700050.1 pep chromosome:v.1.0:7:155661:158057:1 gene:scaffold_700050.1 transcript:scaffold_700050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRTRYLIAILLVVSLCSKASHGHGGGEVDDDNDEKKKKGVTYDGTSLIINGKRELLFSVSVHYPRSTPDMWPSIIDKARIGGLNTIQTYVFWNVHEPEHRKYDFKGRFDLVTFIKLIQEKGLYVTLRLGPFIQAEWNHGGLPYWLREVPEVYFRTDNEPFKEHTERYVRKILGMMKEEKLLASQRRSHHLGTENECNAVQLAYKENGERYIKWAANLVESMKLGIPWVMCKQNNASDNLINACNGRHCFEFLGILQLIEQSEDIAFSVARYFSKNGSHVNYYMMVDRYHIPRSFMKEEKKKNMLVILEEEPGVKLEAIDFVLVNRDTICSYVGEDYPVSVKSWKRERPKIASRSKDMRLKAVMKCPPEKQMVAVEFASFGDPTGTCGNFTMGKCSASKSKEVVEKDRTPVTGVFGGESLFDSSSERDFWGQRVSGDSEDIGSADQV >scaffold_700051.1 pep chromosome:v.1.0:7:158378:161256:1 gene:scaffold_700051.1 transcript:scaffold_700051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSIPNVKTMSINVNPSATTISAFEQLVHQRTHLPQPLLRYSLCLRNPSLDSSDPALLSDLGFGPLSTVLVHVPLIGGAAPPQPLFNSNYVAGLGRGATGFTTRSDIGPARADGDDVNHKFDDFEGNDAGLFANAECDDEDKEADAIDRRRKDRRDIENYRASNPKVSEQFVDLKRKLHTLSEDEWDSIPEIGNYSHRSKKKRFESFVPVPDTLLQEKGIVSALGPNSRAAGGSETPWIDLTSVGEGRGFLLSLKLERLSDSLSGQTVVDPKGYLTDLKNKELTNDADIFHINRARPLLKSITQSNPKNPNGWIAAARLEERAGKIKAARTQIQKGCNECPKHEDVWVEACMLATPEDAKAVIAMGVKQIPNSVKLWLEAAKLEHDEDNKSRVLRKGLEHIPDSVRLWKTVKDMANKEDAVVLLHRAVECCPLHPELWMALARLETYENTKKVLNRAREKLPKERGIWITAAKLEEDNGNTTKVGKIIEKGINALQREEVVIDREKWRSLREPVDEEDRKKTWVADAEECKKRGSIETARAIYAHLEKSHGSMESLDAVLRKAVTYLPQAEVLWLMCAKEKWLAGDVPAARGILQEAHAAVPNSEEIWLAAFKLEFESREVERARMILAKARERGTTGRVWMKSAIVERELERLMSKALQESPKSGLLLAADIEMAPPCLLPQTKIDDALKKCVKKEAAHVTAMVAKISWQDRKVDKARLWFQRTVNVDPDNGDFWALYYKFELEHGSEEKQKEVLTKCVASEPKHGEKWQAISKALENAHQPVEVILKRVVVALTREERNKL >scaffold_700052.1 pep chromosome:v.1.0:7:162148:170126:-1 gene:scaffold_700052.1 transcript:scaffold_700052.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRAEATSAAPSSSPSSPPSASAGPTTRSKRARLSSSSSLAPSSTTRSRSSRSAAATAPAPMDTSTDSSSGFRRGGRGNRGNTDNTNSDKGKEKEHDVRIRERERERDRAREQLNMDAAAAAARSADEDDDNDSEDGNGGFMHPNMSSASSALQGLLRKLGAGLDDLLPSSGIGSASSSHLNGRMKKILSGLRSEGEEGKQVEALTQLCEMLSIGTEDSLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVSCLVARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDASDYVMEAVPLLTNLLQYHDAKVLEYASICLTRIAEAFAPYPEKLDELCNHGLVTQAASLISTSNSGGGQASLSVSTYTGLIRLLSTCASGSPLGFRTLLLLGISSILKDILLGSGVSANTSVSPALSRPADQIFEIVNLANELLPPLPEGVISLPTSTNAFVKGSCQKKSCPSTSGKQEDALKISPREKLLGDQPELLQQFGLDLLPVLVQIYGSSVNGTIRHKCLSVIGKLMYFSSSEMIQSLIGDTNISSFLAGVLAWKDPQVLVPALQVAEILMEKLPETFSKVFVREGVVHAVDQLVLVGKSSHASPTDKDNDCVPGSARSRRYRRRSSNANSDGNQSEEPKNSASLNIGANHNSLDTPTASFMLKETVSSCAKAFKDKYFPSDGGDLDVGVTDDLLHLKNLCTKLTAGIDDHKVKGKGKSKASGPCLGDFSASKEEYLIGVISEILGELSKGDGVSTFEFIGSGVVAAFLNYFSCGYFSKEKISELILPKLRQEGLRRFKAFLEVALPFDGNEGKVPPMTVLIQKLQNALSSLERFPVVLSHPSRSLSGSARLSSGLSALAHPLKLRLCRAPGEKTLRDYSSNIVLIDPLASIAAVEEFLWPRVQRSESALKPAAPAGNTEPGTLPSGVGVSSPSSSTPASTTRRHSSRSRSAINIGDTSKKDPVPEKGTSSSKGKGKGVMKPAQADKGPQTRSSAQKRAVLDKDTQMKPASGDSSSEDEELDISPVDIDDALVIEEDDISDDEDDDNEDVLDDSLPMCTPDKVHDVKLADSVDDDGLATSGRQMNPASGGTSGAAAARGSDSTDTGIGNSYGSRGALSFAAAAMAGLGAASGRGIRGSRDLHGRTLNRSSDEPSKLIFTAGGKQLSRHLTIYQAVQRQLMLDEDDDDRFGGSDLISSDGSRFNDIYTIMYQRPDSQVNRLSVGGASSTTPSKSTKSATTTNSSVESQSHRASLLDSILQGELPCDLEKSNSTYNVLALLRVLEGLNQLCPRLRAQTLSDRFAEGKITSLDDLSTTAAKIPHEEFVNSKLTPKLARQIQDALALCSGSLPSWCYQLTRACPFLFPFQTRRQYFYSTAFGLSRALNRLQQQQGADGSGSTNEREMRIGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVSLGMWRSSSGDKVSMQIDRDEIEDGKSSAANIDIVLAPLGLFPRPWPSTADISEGGQFHKVIEYFRLLGRVMAKALQDGRLLDVPLSTAFYKLILGQELDLHDIVLFDAELGKTLQELRVLVARKHYLEAVGGDNSSTVSDLCLRGSRIEDLCLDFTLPGYPEYILRSGDEIVDITNLEEYISLVVDATVKRGVTRQIEAFRSGFNQVFDITSLQIFTPSELDYLLCGRRELWEVETLAEHIKFDHGYTAKSPAIINLLEIMGELTADQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSTSSAATNGAGASETADDDLPSVMTCANYLKLPPYSTKEIMYKKLLYAINEGQGSFDLS >scaffold_700057.1 pep chromosome:v.1.0:7:188401:189964:-1 gene:scaffold_700057.1 transcript:scaffold_700057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSRRASFTLTLSFIVLLLASTGSYGSTFTFANRCGFTVWPGILANAGSPTLSTTGFELPKGTSRSLQAPTGWSGRFWARTGCKFDGSGSGTCTTGDCGSNTVECAGLGAAPPVTLAEFTLGTGGDDFYDVSLVDGYNIPMIVEVAGGSGQCASTGCTSDLNTQCPAELRFGDGDACKSACEAFRSPEYCCSGAYATPSTCRPSVYSEMFKAACPRSYSYAYDDATSTFTCAGGDYTVTFCPSSPSQKSTSYSPPVTDSSSTSQGSDLVPGSDTGYAAGQGQQTPVQGTVYGSQGTGSEMGTGETMLQDGSWMAGLAMGDSSRPAGASLAMLLAAFTFPFIFS >scaffold_700058.1 pep chromosome:v.1.0:7:191706:193102:-1 gene:scaffold_700058.1 transcript:scaffold_700058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRILLFMILAFVLSLSEVEPISFKITNRCRNTIWPGLLSGANSAPLPTTGFRLSRGKSKTVAIPASWSGRLWARTLCSQDRSSGSFVCLTGDCGSGKVECTGSGAKPPATLAEFTLNGTGGLDFYDVSLVDGYNLPMLILPKKIVLGGCGATGCLVDLNGACPRDLKLVARGKGNGVACRSACEAFGDPRYCCSDAYATPDTCQPSVYSLFFKHACPRAYSYAYDDKTSTYTCATGADYFIIFCPPPYTSEKLLGSRKDGATLPLVNKSMIHLRHPHSS >scaffold_700059.1 pep chromosome:v.1.0:7:194173:194802:-1 gene:scaffold_700059.1 transcript:scaffold_700059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDNGGGERRKGSVKWFDTQKGFGFITPDDGGDDLFVHQSSIRSEGFRSLAADEAVEFEVEMDNNSRPKAIDVSGPDGVPVLGNSGGSSGGRGGFGGGRGGGRGSGGGYGGGGGYGGRGGGRGGNDCYKCGEPGHMARDCSDGGGGYGGGGGGYGGGGGNYGGGGGRGGGGGGGSCYSCGESGHFARDCTSGGR >scaffold_700060.1 pep chromosome:v.1.0:7:196237:196465:1 gene:scaffold_700060.1 transcript:scaffold_700060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MFM4] MGQAYTLIIHFGKENGSLAQQAVASGRLGCFSGGISAVRFRSKKLSGNRERRSFNFLYLVGI >scaffold_700062.1 pep chromosome:v.1.0:7:200010:200625:-1 gene:scaffold_700062.1 transcript:scaffold_700062.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7MFM6] MKNTLVTFFTLCLSVAVLAARHESYYCKTKPANMKEEKVTRVRFYLRDTLSGQNPTAVRIAHANLTGGSESPVGFGSLFAIDDPLTVGPEKHSKEIGNGQGMYVSGSKEMSKFSIVMYVDLAFTTGKFNGSSISIFSRNPVAEEAGEREIAIVGGRGKFRMARGFVKIKTHKIDMKTGDAVLRYDATVYHY >scaffold_700066.1 pep chromosome:v.1.0:7:208634:214214:-1 gene:scaffold_700066.1 transcript:scaffold_700066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVEGGLENDVVFALVVFSLQYILASHEYWKYNNGSMRWKVTLKVIEVMKTCLRFSKFSTKLRDVLLDILLNDASVHSALFRIICTTTQNLEIIQVYAAQVLSKLFALAESSQLYIISNAGFGLDNKQPALLVAIFDSDEDSDAGNLKQSRKDASSIPDWACKSRLLHTILQYVERATDFVDRYTDILLGLLDFLKTLWQEAGQYANMLEPFKASKKLWQEFSNIISQASKIKDSTVGSLGKEEISKLFVKYQCQASVLEIMACNMFLYKKLLFAESLKKPCVEPKKTSNNAVSPPKLTWTADSDPKDIFSKWCDVSVLDGLIQSVSSLDGESEINFQAKARKLEGRDIPTGPFKELFQFLVESSFWEKDKQETNKDVNMALEDCLFDTQQIQTELGFDIWDFSEWKTSKTTAEEMLTYMQRANSMVLLSTSQLSVLHALISVLILYEDNVHLNATPYVQSLEESAAAERIIPSRVTLSSIDIVCRKFFTTVDSLASLWDAPKIVFDILTAQADLLSRLLKSAKKNLSLSICVLVLRNVGPSLKILGSLRYSNAILKKTINLLLEVLLLVVGFGSDNSNSSGMGHMVLAQDFAEISDATIGLLPLLCNFMGNPEYLTLCLTTVDLILRTFLTPETWFPIIQSQLRLQHVILQLQDKKSTASLSAILKFFLTIAQVHGGAQMLLNSGFFSTLRALLMEFPDGMSTLVSDNEKGCLLEKTEKPQHIWGIGLAVVTAMVHSLGSISAGADIVESVISYFFLEKGYMISYYLAAPDFPSDDRDKVRPRSQRTWTSLTYLRETEHTLLLMCALASHWRSWVKIMKDMDSALREMTIHLLAFISKGAQHLRESQSYTSHLLCPPVVKEEFDSCKRPSFINSKHGWFALAPLVCVGKPKISAVSISAALVIRGHTTEHPGSVPQSQFSDSVAIQIYRVASLLLKFLCLQAEGVVTRAEEVGYVDLAHFPELPEPEILHGLQDQFTGIVAELCDNYRSKEVPDEVKKLCLLLIQTTEMSLYLELCVVQVCRIHPVFGRVDNFSKDLKKLVKAAEVHAYLETSMDSLKKIAVFLYPGSL >scaffold_700077.1 pep chromosome:v.1.0:7:252737:253046:1 gene:scaffold_700077.1 transcript:scaffold_700077.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR_AC1 [Source:UniProtKB/TrEMBL;Acc:D7MFP1] MAFLRSFLGAKQIMRRESSSTPRGFMAVYVGENDQKKKRYVVPVSYLNQPLFQELLSKSEEEFGYDHPMGGLTIPCHESLFFTVTSQIR >scaffold_700078.1 pep chromosome:v.1.0:7:256951:257308:1 gene:scaffold_700078.1 transcript:scaffold_700078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKRSSKLTQTAMLKQILKRCSSLGKKQCYDEEGLPLDVPKGHFPVYVGEKRTRYIVPISFLTHPEFLILLQQAEEEFGFRHDMGGLTIPCEEVVFLSLTSMIR >scaffold_700079.1 pep chromosome:v.1.0:7:260129:260420:-1 gene:scaffold_700079.1 transcript:scaffold_700079.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MFP3] MSTNFVKTYRTQFDFIQLSHIPKIKNLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYFPCSVSRSSRRVF >scaffold_700081.1 pep chromosome:v.1.0:7:266227:267869:1 gene:scaffold_700081.1 transcript:scaffold_700081.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidophosphoribosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MFP5] MAFSVEISSISPYTLSANLRNVSRNTIFPSFFKPSLKPYVSKTLSISVSCRHPLSPVFSARTHVTDEDEDDKPHEECGVVGIHGDPEASRLSYLALHALQHRGQEGAGIVAANQNGLESITGVGLVSDVFTESKLKNLPGDIAIGHVRYSTSGASMLKNVQPFIASCKLGSLAVVHNGNFVNYKQLKTKLEEKGSIFITSSDTELVLHLIAKSKAKTFLLRVIDACEKLRGAYSMVFVFEDKLIAVRDPFGFRPLVMGRRSNGAVVFASETCALDLIDAIYEREVCPGEIVVVDRNHGDSSMFMISHPEPKQCVFEHGYFSQPNSIVFGRSVYETRRMYGEILATVAPVDCDVVIAVPDSGTVAALGYAAKAGVPFQIGLLRSHYAKRTFIEPTQKIRDFAVKVKLSPVRAVLEGKRVVVVDDSIVRGTTSLKIVRMLRDAGAKEVHMRVALPPMIASCYYGVDTPRSQELISSKMSVEAIQKHINCDSLAFLPLDCLEEVYGPVESRRYCYACFTGKYPVTAPETEEEADAS >scaffold_700087.1 pep chromosome:v.1.0:7:294256:295682:1 gene:scaffold_700087.1 transcript:scaffold_700087.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:D7L7L0] MSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >scaffold_700091.1 pep chromosome:v.1.0:7:307765:309283:1 gene:scaffold_700091.1 transcript:scaffold_700091.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (B-box type) family protein [Source:UniProtKB/TrEMBL;Acc:D7MFQ5] MRILCDACENAAAIVFCAADEAALCRSCDEKVHMCNKLASRHVRVGLAEPSNAPCCDICENAPAFFYCEIDGSSLCLQCDMVVHVGGKRTHGRFLLLRQRIEFPGDKPKANNMRDNLQNQRVSTNGNGEANGKVDDEMIDLNANPQRVHEPASNNNGIDVNNENNHEPAGIVPVGPFKRESEK >scaffold_700098.1 pep chromosome:v.1.0:7:331059:334646:-1 gene:scaffold_700098.1 transcript:scaffold_700098.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:D7MFR0] MKLHLYPLSLVFSFFLLLLRPTMSSNQHDYSDALSKSILFFEGQRSGYLPNDQRMTWRRNSGLSDGWTHNTDLTGGYYDAGDNVKFNFPMAFTTTMLAWSVIEFGELMPSSELRNSLVALRWSSNYLLKSVSQLPNRIFVQVGDPIADHNCWERPEDMDTPRTAYVVNAPNPASEVAGETTAALSAASIAFRSSDAGYSQTLLQNAVKTFHFADMYRGAYSSNDDIKNDVCPFYCDFNGFQDELLWGAAWLRKATGDESYLNYIESNREPFGANDNVDEFGWDNKVGGLNVLVSKEVIEGNMYNLEAYKASAESFMCSLVPQSSGPHVEYTPAGLLYKPGGSQLQHATTISFLLLVYAQYLSRSSLSLNCGTLTIPPDHLRRLAKKQVNYILGDNPMGLSYMVGYGERYPKRIHHRGSSLPSIKDHPEAIRCKDGSVYFNSTEPNPNVLIGAVVGGPGEDDKYDDDRSDFRKSEPTTYINAPFVGVLAYFAANPGSS >scaffold_700100.1 pep chromosome:v.1.0:7:346127:347944:-1 gene:scaffold_700100.1 transcript:scaffold_700100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLLCSPILYVFLIFMLYLVRVLLNRSLSFPPGPKGFPVIGNFKLKNQLNHRGLAELAKQFGGLLHLQMGKIHIVAVSTADMAREILQVQDVVFANRPANVAISYLTYNRADMAFANYGPLWRQMRKICVMKLFSRKRAESWASVREEIDSMVQTLTNQTGSLVNVGELVFALTRNITYRAAFGSFARDGQDEFVKILQEFSKLFGAFDITEFLPWMKWFGNHGFNKRLENARKSLDGFIDRILDAHIEKKKSRKPDDDGLDDDMVDELMAFYNGENGSKSNNDSQSSLSLTRDNIKALVMDVMFGGTETVASAIEWAMTELMKNPHELRKLQQELAEVISLNRQFHESDLENLPYFRCAMKETLRLHPPIPLLLHEAAADSVVSGYSIPRGSRVMINVYAIGRDRSVWTEPDAFKPGRFMDNKAPDFKGSDFEFLPFGSGRRSCPGMQLGLYAMELAVAHMLHSFDWDLPGGVSSGDLDMTDMFGLTAPRATRLIAVPTYRLKCPMF >scaffold_700110.1 pep chromosome:v.1.0:7:419064:421759:-1 gene:scaffold_700110.1 transcript:scaffold_700110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MGB5] MEIRKKPNIFTVLVIYFSSMPSMALLLAILLFLSGPSASAVAAAAVGPATGFKPADDILIDCGSKSSSKTPDGRVFKSDQETIQYIEAKEDIQVSAPPSDKVASPIYLTARIFREEATYKFHLTRPGWHWVRLHFLAFPNDKFDLQQATFSVLTEKYVLLHNFKISNNNNDSQAVVQKEYLVNMTDAQFALRFRPMKSSAAFINAIEVVSAPDELISDSGTALFPVNGFSGLSDYAYQSVYRVNVGGPLIMPQNDTLGRTWIPDKEFLKDENLAKDVKTTPSAIKYPPEVTPLIAPQTVYATAVEMANSLTIDPNFNVSWNFPSNPSFNYLIRLHFCDIVSKSLNDLYFNVYINGKTAISGLDLSTVAGNLAAPYYKDIVVNATLMGPELQVQIGPMGEDTGTKNAILNGVEVLKMSNSVNSLDGEFGVDGRTTGMGKHGMVATAGFVMMFGAFIGLGAMVYRWKKRPQDWQKRNSFSSWLLPIHAGDSTFMTSKGGSQKSNFYNSTLGLGRYFSLSELQEATKNFEASQIIGVGGFGNVYIGTLDDGTKVAVKRGNPQSEQGITEFQTEIQMLSKLRHRHLVSLIGYCDENSEMILVYEFMSNGPFRDHLYGKNLAPLTWKQRLEICIGSARGLHYLHTGTAQGIIHRDVKSTNILLDDALVAKVADFGLSKDVAFGQNHVSTAVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLLEALCARPAINPQLPREQVNLAEWAMQWKRKGLLEKIIDPHLAGTINPESMKKFAEAAEKCLEDYGVDRPTMGDVLWNLEYALQLQEAFTQGKAEETENAKPGVGTPGSVPVSAPSPIAPSATTNAAATVPVSSKVEENNGTAEGQAVDEHSGTAMFTQFANLNGR >scaffold_700116.1 pep chromosome:v.1.0:7:437093:439926:1 gene:scaffold_700116.1 transcript:scaffold_700116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHVDRFAIPCFEGILSSDEKKERKSDFENSEDERRTRIGSLKKKAINASTKFKHSLKKKRRKSDVRVSSVSIEDVRDVEELQAVDEFRQALVMEELLPHKHDDYHMMLRFLKARKFDIEKAKHMWADMIQWRKEFGTDTILQDFQFEEIDEVLKYYPHGYHSVDKEGRPVYIERLGKVDPNKLMQVTTLDRYIRYHVKEFERSFMIKFPACTIAAKKYIDSSTTILDVQGVGLKNFTKSARELITRLQKIDGDNYPETLHQMFIINAGPGFRLLWSTVKSFLDPKTTSKIHVLGYKYQTKLLEVIDSSELPEFLGGACTCADQGGCMLSDKGPWKNPEIVKMVLHGGAHRAKQVVKVLNSEGKVIAYAKPSYPWIKGSDTSTAESGSEAEDIVVSPKAVKSYSHLRLTPVREEAKVGSGETSFTGSFAGYDEYVPMVDKAVDATWKVKSTAINRAPSKGAHMPPNVPKDHESFSARALVIFMAFVMAILTFFRTVSYRVVTKQLPLPTSQPQIEGSAATEEADLLNSVLKKLTELEEKIGALQSKPSEMPYEKEELLNAAVCRVDALEAELIATKKALYEALMRQEELLAYIDRQEAAQDQKKKKRKQMFCF >scaffold_700117.1 pep chromosome:v.1.0:7:440289:442993:-1 gene:scaffold_700117.1 transcript:scaffold_700117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTMVAHLDRHNKIDVEISEDDNRLTKLCSLKKKAINATNKFKHSMTKKGRRHSRVACVSIVDEIDTEELQAVDAFRQALILDELLPSKHDDHHMMLRFLRARKFDLEKAKHMWADMLNWRKEYGADTIMEDFDFKEIDEVVQHYPQGYHGVDKEGRPIYIERLGQVDATKLMKVTTIDRYVKYHVKEFEKTFNVKFPACSIAAKRHIDQSTTILDVQGVGLNNFNKAAKDLLQSIQKIDNDNYPETLNRMFIINAGYGFRLLWSTVKSFLDPKTTAKIHVLGNKYQTKLLEIIEANELPEFLGGKCTCADKGGCMRSDKGPWNDPEIFKLVQNGEGRCLRRSLSGIEEKTISEYNSETKKKCEPEETYKQSAAEKEKKFIDKNVDVADWPTKIHKANNSSTELKDVYSAVNPLERKGYLYGSVMALLMGIVGVMRLTKNMPRKLTEANVYSREGSAVYQDGVTVMSRQEYMVMVKKMTDLEEKCKSMEAQAAFSLEREKILDAALRRVDQLELQLSETNKALDETMTRQHEIMAYIEKKKKKKRKFLLF >scaffold_700126.1 pep chromosome:v.1.0:7:465226:465662:-1 gene:scaffold_700126.1 transcript:scaffold_700126.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7MGD1] MASSSMSSHSSGSWTAKQNKAFEQALATYDQDTPNRWQNVAKVVGGKTTEEVKRHYELLVQDINNIENGHVPFPNYRTSGGCTNGRLSQEEKRMRNMRLQ >scaffold_700130.1 pep chromosome:v.1.0:7:480817:484228:-1 gene:scaffold_700130.1 transcript:scaffold_700130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAILGFLQNNEQITDSGQFSAEFNLDHNEVVNVIKSLHGFRYIDVQDIKKETWVLTDEGKKYAAEGSPEVQLFLAVPEEGSISKDELQKKLAPSVFKIGCSQAGKNKWVEMGKQVSRKVKHVEDKVKGQLLQIQEGKESDKDSINSLKARKLIVPQIWKGYSVKKGPNYAPERKKVATDLTRENLQNWKELEFKEYNFEAKGAPLEAGHLHALLKVRKQFKDIFVQMGFEEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLKVPSTTRTLPEDYVERVKRVHESGGYGSRGYNYDWKREEANKNLLRTHTTAVSSRMLYALAQKPFVPKKYFSIDRVFRNEAVDRTHLAEFHQIEGLICDRGLTLGDLIGVLKDFFSRLGMSKLRFKPAYNPYTEPSMEIFSYHEGLGKWVEIGNSGMFRPEMLLPMGLPEDVRVIAWGLSLERPTMILYGIDNIRDLFGHKVDLDLIKRNPICRIGI >scaffold_700133.1 pep chromosome:v.1.0:7:488707:489372:1 gene:scaffold_700133.1 transcript:scaffold_700133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCALKPKVLSETGAPAPEELKDSLLEYHKIDAAKSLSNLFLQDKTEKTTKEDEKITPEKMPVTEDLKTALAEEKSPTKEAKSPVTETKYAITETKAPADENITENLKTVLEEAKSPATETKTPITETKAPVDDQKVKNEEAIRKEKVIDVVTVKETGTEAKAEEVGREAAQ >scaffold_700134.1 pep chromosome:v.1.0:7:491046:492629:1 gene:scaffold_700134.1 transcript:scaffold_700134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPETEHPNKVFGWGARDKSGVLSPFHFSRRDNGENDVTVKILFCGVCHTDLHTIKNDWGYTYYPVVPGHEIVGIATKVGKNVTKFKEGDRVGVGVIAGSCQSCESCDQDLENYCPQMSFTYNAIASDGTKNYGGYSENIVVDQRFVLRFPENLPSDSGAPLLCAGITVYSPMKYYGMTEAGKHLGVAGLGGLGHVAVKIGKAFGLKVTVISSSSKKEEEAINHLGADSFLVTSDPQKMKAAIGTMDYIIDTISAVHALYPLLGLLKVNGKLIALGLPEKPLELPMFPLVLGRKMVGGSDVGGLKETQEMLDFCAKHNITADIELIKMDEINSAMERLAKSDVRYRFVIDVANSLSPP >scaffold_700144.1 pep chromosome:v.1.0:7:540501:544130:1 gene:scaffold_700144.1 transcript:scaffold_700144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFSSFFLSITTLFFFSFFSLSFQASPSQSLYREIHQLISFKNVLPDKNLLPDWSSNKNPCTFDGVTCRDDKVTSIDLSSKPLNVGFSAVASSLMSLTGLESLFLSNSHINGSISGFKCSASLTSLDLSRNSLSGPVTSLTSLGSCSGLKFLNVSSNTLDFPGKVSGGLKLNSLEVLDLSSNSLSGANVVGWVLSDGCGELKHLAISGNKISGDVDVSHCVNLEFLDVSSNNFSTGIPFLGDCSALQHLDISGNKLSGDFSRAISTCTELKLLNISGNQFVGPIPPLPLKSLQYLSLAENKFTGEIPEFLSGACDTLTGLDLSGNDFYGTVPPFFGSCSLLESLALSSNNFSGELPMDTLLKMRGLKVLDLSFNEFSGELPESLMNLSASLLTLDLSSNNFSGPILPNLCRNPKNTLQELYLQNNGFTGKIPPTLSNCSELVSLHLSFNYLSGTIPSSLGSLSKLRDLKLWLNMLEGEIPQELMYVKTLETLILDFNDLTGEIPSGLSNCTNLNWISLSNNRLTGQIPRWIGRLENLAILKLSNNSFYGNIPAELGDCRSLIWLDLNTNSFNGTIPAEMFKQSGKIAANFIAGKRYVYIKNDGMKKQCHGAGNLLEFQGIRPEQLIRVSTRNPCNFTRVYGGHTSPTFDNNGSMMFLDMSYNMLSGYIPKEIGSMPYLFILNLGHNFISGSIPDEVGDLRGLNILDLSSNKLEGRIPQAMSALTMLTEIDLSNNNLSGPIPEMGQFETFPPAKFLNNSGLCGYPLPRCDPSNADGYAHHQRSHGRRPASLAGSVAMGLLFSFVCIFGLILVGREMRKRRRKKEAELEMYAEGHGNSGDRTANNTNWKLTGVKEALSINLAAFEKPLRKLTFADLLKATNGFDNDSLIGSGGFGDVYKAILKDGSAVAIKKLIHVSGQGDREFMAEMETIGKIKHRNLVPLLGYCKVGDERLLVYEFMKYGSLEDVLHDPKKAGVKLNWSTRRKIAIGSARGLAFLHHNCSPHIIHRDMKSSNVLLDENLEARVSDFGMARLMSAMDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGKRPTDSPDFGDNNLVGWVKQHAKLRISDVFDPELMKEDPALEIELLQHLKVAVACLDDRAWRRPTMVQVMAMFKEIQAGSGIDSQSTIGSIEDGGFSTIEMVDMSIKEVPEGKL >scaffold_700147.1 pep chromosome:v.1.0:7:557322:557592:-1 gene:scaffold_700147.1 transcript:scaffold_700147.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8J5] MEKSLVVSSVDDSVHAFTDHRVSRLFLCLRGIYFFLKRKKINQTNWAFHISKIFLIWAKIFVLVQWPISGPSLHLP >scaffold_700149.1 pep chromosome:v.1.0:7:561203:565490:1 gene:scaffold_700149.1 transcript:scaffold_700149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNVKLAEEGMLRVLFSAVYLLSHKNRNDNQISAVSRLLGLATRFATEMIRIYGLLEYQKDGYMLDSKPRTQILSLPPVSLHIDVMENSRRLSEMGYLLEITRNFQSRITRKFKKLGKGKNEKSLNLVDPNSLQDDSQLEIVPDPASAESRQLDTSLFDTNGELALTPMGMMTAKAGQIIGERSYASGLVPQVVVEEKKVLPLENPKEMMARWKANNLDLKTVVKDALLSGRLPLAVLQLHLQHSKDVVEDGEHHDTFTEVRDIGRAIAYDLFLKGEPGVAIATLQRLGEDVEACLNQLVFGTVRRSIRYQIAEEMRKLGFLRPYEDNVLERISLIEHLKLDCGEVDGVVLGSWTKINESASEHAPDETDAVAGYWAAAAVWSNAWDQRTFDHIVLDQPLVMGVHVPWDSQLEYYMCRNDWDEVLKLLDLIPEDVLYDGSLQIALDGPKQSSGVNYSVSSRSDYICSIEEVDAVLMDVPYIKIFRLPADIRCSLWLTALMEQELARKLIFLKEYWENALDVVYLLARAGVILSNCEVSFKEETCRPSLDLCLSIKKGGANVDTLSAVHKLFIHYCTQYNLPNLLDLYLDHHELVLDNDSFSALQEAVGDSHWAKWLLLSRIKGREYDASFSNARSILSRSGAPNGEPSVPETDEIVCTVDDIAEGAGEMAALATMMCAPVPIQKSLSTGSVNRHTNSSAQCTLENLRSFLQRFPTLWSKLVSACLGEDISGNLLRTKTKNEYLNWRDGVFFSTARDTSLLQMLPCWFPKAVRRLVQLYIQVSISDLYVVCALLSCRTLLQLSGIEDLVQLNTCTVIGDIISLRISMKSCDGCEKADI >scaffold_700153.1 pep chromosome:v.1.0:7:580698:582286:1 gene:scaffold_700153.1 transcript:scaffold_700153.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP96A9 [Source:UniProtKB/TrEMBL;Acc:D7M8K2] MALVSLLEISIAFFCFLIFQIFLISKKPHRSFLTNWPLLGMLPGLLPQLPRVYDFITEVLEDGNLNYLFKGPFLGGIDMLFTVDPANIHHIMSSNFANYPKGTEFKKLFDVLGDGIFNVDSELWKDLRKSSQSMMNDPDFQRFSLATSLSKLEKGLVPLLDHAAKEKLVVDLQDVFQRFTFDTTFVLATGYDPGCLSVEMPEIEFARALDDAEEAIFYRHVKPEMVWKIQRLIGVGDELKLKRAHAVFNRVCSECIASKRDEINRGDGSSSSNDLLMSSLNVDTTKYKLLNPSDDRFLRDTILSFMLAGRDTTGSALTWFFWLLCKNQEAMAKIRQEINTNLFPRNKNDGSVSYDSDSFNPQGIKKLVYLHGAICEALRLYPPVPFNHKSPTKPDVLPSGHKVKANSKILFCLYSLGRMKSVWGEDALEFKPERWISESGRSVHEPSYKFLSFNAGPRTCLGKEVAMMQMKTVAVKIIQNYEINVVEGHKIKPAPSVILHMKHGLKVTVSKRCWS >scaffold_700154.1 pep chromosome:v.1.0:7:584150:585750:1 gene:scaffold_700154.1 transcript:scaffold_700154.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP96A10 [Source:UniProtKB/TrEMBL;Acc:D7M8K3] MALISLFEISIAFFCFLLFRYFLINKKPHRLCPTNWPFLGMIPGLLVEIHRVYDFITEILEVTNLTYPCIGPCFANLDMLVTVDPANIHHIMSSNFANYPKGPEFKKLFDILGDGIFNADSELWKDLRKSAQSMMMNPEFQKFSLATSSNKLEKGLVPLLDHVAKEKLVVDLQDMFQRFTFDTTFVLATGYDPGCLSVEMPEVEFARALDDAEEAIFFRHIKPEILWRLQGLLGLGDEKKMTKARATLDRVCSKYIATKRDEVSRGTNNVDSHSKDLLTSYMNLDTTKYKLLNPSDERFLRDTILTFMLAGRDTTGSGLTWFFWLLIKTPEVIAKIRQEINTNLFQRSKVNADASDNNDSDSFNPQELKKLVYLHGAICEALRLYPPVPFQHKSPTKPDVLPSGHKVDANSKILFCLYSLGRMKSVWGEDALEFKPERWISESGNSVHEPSYKFLSFNAGPRTCLGKEVAMMQMKTVAVKIIQNYEMKIVEGQQIEPAPSVILHMKHGLKVTVTKRCLV >scaffold_700157.1 pep chromosome:v.1.0:7:590320:593146:-1 gene:scaffold_700157.1 transcript:scaffold_700157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIMQKIKEIEDEMAKTQKNKATSHHLGLLKAKLAKLRRDLLAPPTKGGGGGAGEGFDVTKSGDSRVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVITYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLTFRKKDKGGINLTSTVTVTHLDLDTVKAICGEYRIHNADITLRYDATADDLIDVIEGSRIYMPCIYAVNKIDSITLEELEILDKLPHYCPVSAHLEWNLDGLLDKIWEYLDLTRIYTKPKAMNPDYDDPVILSSKKRTVEDFCIRIHKDMLKQFKYALVWGSSAKHKPQRVGKEHELEDEDVVQIVKKI >scaffold_700164.1 pep chromosome:v.1.0:7:612745:613976:-1 gene:scaffold_700164.1 transcript:scaffold_700164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPARSSVDRNGEEPPVKKKKTSQVLPQTPQLYPILPLPDDLVLSCLARVSRSYYPTLSLVNKSFRSLLASPELYETRSILGRTESCLYVCLRLPPDFNTSWFILCRRPNRTQKKKKKNSNGSLLIPIPSLQSPPAHSSGLVAVGSNIYNIGGGPMEDTPSSTVSVLDCKSHAWCEAPNMLVERKHPASNVVDGKIYVAGGCEECNSSNWMEVFDSKTQTWELVSCPLAEQCESRIDKSAVVEGEIFMLGDKGVAYKPNEDRWEAIGPLSDFDLGWGWLSYSVIDNVLFCYRNLDGITWYDSKIGNWLNLKGLKGLPKFADYSRVKLADHGGKMAILWDKYVPSSGYENKMIWCAVIALERHNSQEIWGRVEWLDAVLTVPKTYKFVCALSVTV >scaffold_700165.1 pep chromosome:v.1.0:7:616828:618044:1 gene:scaffold_700165.1 transcript:scaffold_700165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQIYLSNLSRGRTLKYVRVKILSLWRVRIYGFRCKTEMLLADEQGTKIEGTIGCGPFHNVDMRELREDAWYTISDFVVSVPIRRTPNTLHPFHIKFHSDTKMTLIYDLYSPNFFEFAKYSVIKRRLLEANRPFVICGLFVSLLDLCGVVVYVSEIKRMTYVPGEYDASTACNYLYFRLMDQKGRELPCFALGHYAADFMNVWTSRGYQASFRYQPVFCVLRFWKVDEFMGMHLVY >scaffold_700167.1 pep chromosome:v.1.0:7:620727:622022:1 gene:scaffold_700167.1 transcript:scaffold_700167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLSNAIRNSIRKRECFFSVSDLNPFVHEWKIKVKILRKFYLYETLELILVDEKGQKIHAVINKEYEDRRTSKIVEGNWISITNFDLVPVTGAFRPVPHRFKIVWNSGTTIKDIRPLCSAEFFSFVAFEDIKSGSLDPTLCVDLIGRVVRVGNGRESGPPASDWNELFLELENEEGERLQCRLPNEYSNAFFNEWRNCLDNIIICVLRFARLEVTRDFWRATTVYTCTRILLNYRCVEVTRMRDVFYARREADD >scaffold_700169.1 pep chromosome:v.1.0:7:626273:627341:1 gene:scaffold_700169.1 transcript:scaffold_700169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFIIIRRFRCSSRLDTLELILADEKGDRIQASIGLDCLAYDLSRLVEGTWIFIKDFGLVDAVGSVRPTRHAYKILWNLSTSFQRTVVTASVDYFKFVRFEDVLAGLVDPSVCVDLIGRLMCVGNYDEDEGMNSTWEQIYLELENVRGIRIRCRLPKGYATKFFSGLKTCADNIILCVMRFARLELSRGDMRATTLCTCTELLFNPSCDEASRMRLAFASVERKLF >scaffold_700172.1 pep chromosome:v.1.0:7:634858:635983:1 gene:scaffold_700172.1 transcript:scaffold_700172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRKSKNSLTFIDDINPIHDQNKIKVLILRLWKAYQRDAGNTIEMVLVDEKGSRIHATVEDKNIKKFDSVLKEGDVVTLNPFKLIKYSGDYKSNSLSFKILFYRTTQVKPCDDFPKEVPEKYFVEFGDVLNGSRDTRVFVDVIGQIVNVGPIEDIKIRGKSTPKLDVELRDRGNVRLICTLWADFAKQVKVYTEANPAAVVCVIRCAQVKEWKGNWTISNAMGATRVLLDPPGVFVDEFRSG >scaffold_700177.1 pep chromosome:v.1.0:7:659167:660341:-1 gene:scaffold_700177.1 transcript:scaffold_700177.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M8M7] MNEEEAPSEQKKTLSLVPSPITNLFLPDDILLSSLSRISRLYYPTFSLVSKSFRSLVASPELYLTRSILGRTESCLYVSLRLLNDSNLRWYTLCRVPDRKLTNFSGGHLLVPILSRHAPPAHWSSVVAVDSNIYAIGGPINDAPSSSVSVLDCQCDMWHEAPPMRVARNYPTATVLNGKIYVAGGCEECISLDCIEVFDPKTQTWDSVASPGTERCERLVYKSVGIEGKYHLFGGAGHVAYDPKEGRWDSVGMDMDMGRTWVSYCVINNILFYYNNRKFKWYDYKGGFWRKLMGLERLIKFLCYSRVNLAAYGDKMAVLWETSVPSSSKNKMIWCAEITIERHDIYEICGKTQWFDVVLRVPKSHELVHVLAATV >scaffold_700179.1 pep chromosome:v.1.0:7:663456:664774:-1 gene:scaffold_700179.1 transcript:scaffold_700179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVSVSRHNKRKEMSPSSSRLLSLPDDVALNCLSRLSRLDSAALSLTSKSHRSLVVSPELCRTRELMGCTEEYYYVCLSIPPDPTPRWFILHRGGPTLDDAAAVNNSVNQRLRPIPSHPCQPPESSSFVELDYGIYIIGGIINGSPTSEVLRFDCLSHTWRRVRSMRVARASAAANVVDGKIYVFGGCEEVDSSNWAEVFDPKTQTWDTLPLPDDDPEIRKNTSMIDKSVVMEGKVYAVDEEDQSFYYLPREGIFRRGNHDSKSGNRKDWCAIGKLLYSCGTRGKILWCEAEKLDWREVMGLDAFDWCLFGLKGNYCAENANIALKLHCTEDGFSRLSTNSRGNIVVFWKVHIPSTIDKKSFPGPGKFLINSTKTLELWCAEISLNRSPGGEIWGKIEWADLVSELDPLSYTVKVLYSVSLNI >scaffold_700180.1 pep chromosome:v.1.0:7:665715:665916:1 gene:scaffold_700180.1 transcript:scaffold_700180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAVVWIITLIFLLFSGSSNTAVARIAYETPTQTSKLVTILRNYIVFFFTGP >scaffold_700181.1 pep chromosome:v.1.0:7:666991:667181:1 gene:scaffold_700181.1 transcript:scaffold_700181.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KKE9] MATNRSFASNFTEKNCVLRHINNARSEMELSGEIVAGSENDMADGKDDV >scaffold_700184.1 pep chromosome:v.1.0:7:673634:675220:-1 gene:scaffold_700184.1 transcript:scaffold_700184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRKAKECVKLTEEDKNDDKRIRREEKKEDFVDEEVERQIAAIRAIRDVEIEQTLTALRLLRSNFTEEQLDTPVLEFFKENLPDLSISRNEETGEIELKWKDENGDSFAGNANGVDMNYSILKRLSMRFPDLYSRSSLGGYDLPDNVKANLLGTDNPLLDNLVFQGTSENQMLASHDAFQTPGVNGQRLSFGMTPKTRRLPKPGEMMLSVHGSPLGVYKEDHNMGAINEENS >scaffold_700190.1 pep chromosome:v.1.0:7:696005:696743:1 gene:scaffold_700190.1 transcript:scaffold_700190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEDQEEEDMFEDAVCTTPARVNTPLSVITEAFEDLADLLKPQRSDEIEEDEDGLRLCDFCSACTHVSVLFNCLGFAFKFAEMEYIAKVKDLVEASKTFDTLQNILDLDVEKETVKTPGSHSRNLRRVRQGLDLIRAIFEQFLIADDYSLKDAATTAYTEVCAPFHTWAVRTAVYAGMYTLPTRDQLLLRLNETDQSVEKNMRRYMEASRPIIEYIDKLYIERNIKLDW >scaffold_700191.1 pep chromosome:v.1.0:7:698428:698827:1 gene:scaffold_700191.1 transcript:scaffold_700191.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M8P1] MESPFSFMPHGVDRRLVSSLTDLSNSGSVLGGSVPLSSLLLPLCVLLVGSILSLIWMVLPETFVVTSLISIWFLDLFALAPWFLWWSLWFSSRFDLVLLSFEIGFIRLKNCLAPCFYV >scaffold_700193.1 pep chromosome:v.1.0:7:701554:703936:-1 gene:scaffold_700193.1 transcript:scaffold_700193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPFPVLDNRPIDKWKVTELKEELKRRRLTTRGLKEELVRRLDEALRVEQEESERINSAAAEKANQEAHMFPVTGGNVNVTPDRNQTTPVTPVEAAFSTETTPVAAEKTPEPIQAKTTTEASAGVETTPTPVFSKPAVNPVPFASDEVEKVDDVRDIAGLDSSVVACDAAVVDVASSEHKSENKEPFSGLDGGDSKAQPSEAVLEKSAMNNQVSEVIPITGFEVKSDCISTDSVSNNEKIELKDNKIADNVKLEQNVNKFQEPSTVVGESHPMDVEEPLEQKKSVGGGDDSNAANADMTKENNIIDAGDSEKLNLDRSSGDESMEDEPETKQTESITSVDKSEKIETLSKEESRADRDAGKGKAPENKSHPLVASDKRKLPANDQEAVGNNEPAKRQRRWNSESINVPEAQTTNSATPTTTPRSIGLKRDFSRSDSSVSEDGLKERVVPPSPKEPTNSLRIDRFLRPFTLKAVQELLGKTGNVTSFWMDHIKTHCYVSYPSVEEAAATREAVYNLQWPPNGGRHLIAEFVRPEEVKAKLEAPLPPPPQPQHQHQPQAQTLSRPPPTALPPPPPLAKPPPVVERLPLPPPPPLVSEEQEPPIVTLDDLFKKTKAIPRIYYLPLSEEQVAAKLAANNNK >scaffold_700203.1 pep chromosome:v.1.0:7:733637:734788:1 gene:scaffold_700203.1 transcript:scaffold_700203.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7M952] MISQEEVPQSTNHSLSFSSLPHEIAVSCLARVSGSYYPKLCLVSKQFRSIILSNELYKARTQLGTKENRLYVWLKLPTRSYPSWFTLWIRPKQTLTNDGPIKKKSTGNLLVSLPSSYNYQVVVPSVIVGSETYIIGGYNVPSSSVWVYKNGKIHTLCKAPRMSVARINAVIVGQYPKIYVMGGCDSDESMNWGEVFNIKTQTWEPLPDPGPDVRGHLVRKMKMYQKNLYVSSEKKDYIYDTQERRWDVTEVLLNCSWCVIEKVRYIYYNKNCWWLDTKSKEWRTIKGLDFLNKFREIDRVEIVNFGGKLVMVWDRFTLSKRNKKIWCAMIALEKSHGGEEIWGKIEWIDDVLMVPLSYTFLDCMVISI >scaffold_700208.1 pep chromosome:v.1.0:7:771625:773913:-1 gene:scaffold_700208.1 transcript:scaffold_700208.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MI-1-P synthase [Source:UniProtKB/TrEMBL;Acc:D7M957] MFIESFKVESRNVKYTENEIHSVYDYETTEVVHEKTINGSYQWIVKPKTVKYDFKTDIRVPKLGVMLVGWGGNNGSTLTAGVIANKEGISWATKDKVQQANYFGSLTQASSIRVGSFNGEEIYAPFKSLLPMVNPDDVVFGGWDISDMNLADAMARARVLDIDLQKQLRPYMENIVPLPGIYDPDFIAANQGSRANHVIKGTKKEQVDHIIKDMREFKEKNQVDKVVVLWTANTERYSNVVVGMNDTMENLMESVDRDEAEISPSTLYAIACVLEGIPFINGSPQNTFVPGLIDMAIRNNVLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVASNGILFEPGEHPDHVVVIKYVPYVADSKRAMDEYTSEIFMGGKNTIVMHNTCEDSLLAAPIILDLVLLAELSTRIQFKSEGEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNMIMEFK >scaffold_700211.1 pep chromosome:v.1.0:7:783087:783451:1 gene:scaffold_700211.1 transcript:scaffold_700211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKSCCIRLRLALKELPPTCVLLLIVLCIWVWGAYVHILDVTRPKVTIASMNFTITEIPTSAKWDLLIRTPQNLPSYYICLEGDLQAFLIYKHVEKNFNPPFSFL >scaffold_700215.1 pep chromosome:v.1.0:7:807558:807831:-1 gene:scaffold_700215.1 transcript:scaffold_700215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRDPTYQDFMKKLFLSRQRKLLKSHKFDVERFKELENKWKIMSCSSSSCHANASIRNRVGVDLDLEDSLQSRHRD >scaffold_700216.1 pep chromosome:v.1.0:7:808633:810240:1 gene:scaffold_700216.1 transcript:scaffold_700216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNTPVRNPHTSTADLLSWSETPPPHHSTSSAARSHQPSDGISKILGGGQITDEEAQSLNKLKNCSGYKLKEMTGSGIFNDKGKVGSESDATDPKTGLRYYQQTLNGMSQISFSADGNVSPKKPTTLTEVAKQRELSGNLLTEADLKSNKQISSAKIEEISGHDIFGPPSEIQPRSLVAAQQEARGNRDMGEPAPRNLRTSVKVSNPAGGQSNILFSEEPVVKTSKKIHNQKFQELTGNGIFKGDESPGTADKQLSSAKLREMSGNNIFADGKSESRDYFGGVRKPPGGESSISLV >scaffold_700219.1 pep chromosome:v.1.0:7:814696:816030:1 gene:scaffold_700219.1 transcript:scaffold_700219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSPLAKFKLVFLGDQSVGKTSIITRFMYDKFDTTYQPTIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAIVVYDVSNRQTFLNTSKWIDDVHRERGQSDVIIVLVGNKTDLVEKRQVSISEGEDKGKEYGVMFIETSAKENFNIKALFRKIAAALPGVDTYSLATKSEDMVDVNLKTTSNSSQGEQQGGGGGGGGCSC >scaffold_700220.1 pep chromosome:v.1.0:7:816159:817360:-1 gene:scaffold_700220.1 transcript:scaffold_700220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSAAKESPSFPLSSLPDEVALSCLARVPRSDHLALSLVCKRYRSLVLSPEFYKMRSLLGRTEKCIYVCVSPHPNSTPLWFILRPEKPKTLETSAVNPRLMRPIPSFPFQPPRTSSVVALDWGIYVIGGFGLNEKPTSDVLLLDCRTNTWRRVPSMRVARFSPGAGVMDGKIYVFGGRPDDDSTNWGEVFDPKTQTWDTLVPLRDRSEKDGFIRESLVKEDKVYGVKWFEGSVYYSPSDGKWGRTNRPDLLSYCVVEELLYGFDMSFGRVFWRESDESEWKIVKGLEALQMIFHGQFFGNSMFSKNISQVNSFGVNVVLFWLERSDDDERLDVWCAEVSFERREEKGEIWGTIEWKEAVTTVDRAPFGPFGGDHNKVLYSATVNI >scaffold_700221.1 pep chromosome:v.1.0:7:817927:819644:-1 gene:scaffold_700221.1 transcript:scaffold_700221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFFDEGKQQFASVADGNAPVKYPSFKPPKSSFGDGGKHSAIDVFPLLVKEAAYPLIEDRGIDTSLVQDMCTISVLPDEGNTIPQCTSQFTLLSFVKALLPSKNQMLFDAQLNCQKTQNRINVLLGGTDSYQSCVVDINVEKGNGGDTVTSHDEVVGSGKSESVHMQKVLQRQASLSTDKAISERCHDAPTNRWRRYKRAASFDSRKIVILFSILSSVGTLILIYLTLRVKQNGDNNNSFNHM >scaffold_700224.1 pep chromosome:v.1.0:7:825287:826380:1 gene:scaffold_700224.1 transcript:scaffold_700224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNDQSFTAETLDPALQKKHHDMLERLSARHQARKSDSPDASSSSSSSTLESTSSFLAKFSDSKRSIESRIAESRLVSSSTDSSKLKSDLAEISVAINNLEKLLAENSYFLPSYEVRSSLKIVSDLKQSLDILSGELVPKKKFSFKSKSTTKKPESKLPEIQKSDAVLPPKLLPVRDSPGLRNKHGVTLVKSFKGSSIGEFTLSDLDSCQVKLTGTVNALFLHRLKKCNVYTGPVIGSILIDDVEDCVLVLASHQIRIHCARKSDFYLRVRSRPIIEDSNGVRFAPYCLDYQGIEEDLKTAGLEEETKNWANVDDFRWLRAVQSPNWSVLPEEERVSLVTISSEGDSRA >scaffold_700225.1 pep chromosome:v.1.0:7:827252:828655:1 gene:scaffold_700225.1 transcript:scaffold_700225.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase [Source:UniProtKB/TrEMBL;Acc:D7M974] MEGLAIRASRPSVFCSTPGLGGDSHRKPPSDGFLKLPASSIAADRKLVANSASFHPVSAVNVSVQASLTADFPALSETILKEGRINGKERAENIVWHESSICRCDRQQLLQQKGCVVWITGLSGSGKSTVACALSKALFERGKLTYTLDGDNVRHGLNRDLTFKTEDRTENIRRIGEVAKLFADVGVICIASLISPYRRDRDACRSLLPEGDFVEVFMDVPLHVCESRDPKGLYKLARAGKIKGFTGIDDPYEAPVNCEVVLKYTGDDDSCSPRQMAENIISYLQNKGYLEG >scaffold_700229.1 pep chromosome:v.1.0:7:849796:851388:1 gene:scaffold_700229.1 transcript:scaffold_700229.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7M978] MASCFSYVSSRNKCYQYSFSRAGLRSSTSDLGDGTVVHCWIPQSHIDTKPTLLLLHGIGANAMWQWDRFIDRFIPRFNVYVPDLIFFGDSYTTRPDRSESFQASCVMKAMDGYGVRTMTVAGLSYGGFVAYSLAAQFKERVDRVVLICAGVALEEKDSEDGMFKVKSPEEAAAVLFPQSPSMLRRLLQLSFYKPPIWIPSCFAMDYIHVMCKDYLQERKELVEALHKGRRFSNLPKITQPTLMIWGEEDQVFPVELAHRLKRYLGENGAQLVLLKKTGHAVNEEKPKEMYKHMKSFLCTDAMIPPNQINAKRLMLANLISHQQINK >scaffold_700231.1 pep chromosome:v.1.0:7:855661:857437:-1 gene:scaffold_700231.1 transcript:scaffold_700231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCNHSAILFSPSTAGSSSVSSSSSLIGLPRFQTLRFKSRSLYSKSRVSPVSALPSRSLEALIFDCDGVILESENLHRQAYNDAFSHFDVRCPPSSSESLNWSLEFYDKFQNLVGGGKPKMRWYFKENGWPTSTIFESPPENDDDRAKLIDTLQDWKTERYKEIIKSGSVEPRPGVIRLMDEAKAAGKKLAVCSAATKSSVILCLENLIDIERFQGLDCFLAGDDVKEKKPDPSIYITAAEKLGVSVKDCLVIEDSVIGLQAATKAGMSCVITYTSSTSDQDFNDAIAVYPDLSNVKLKDLETLLQTIVTAA >scaffold_700232.1 pep chromosome:v.1.0:7:858678:860898:1 gene:scaffold_700232.1 transcript:scaffold_700232.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:D7M981] MALSNASSLSTRSIYGGGDARISHRQSNRQSSFTFHPAVNTKLKSVNLVTAVHAAEPARNAVSVKESVAPSSSGALKWTPESWKLKKALQLPDYPNANELESVLKTIEAFPPIVFAGEARNLEERLADAAVGKAFLLQGGDCAESFKEFNATNIRDTFRVLLQMSIVLTFGGQVPVIKVGRMAGQFAKPRSDPFEEKDGVKLPSYKGDNINGDTFDEKSRIPDPNRMIRAYTQSAATLNLLRAFATGGYAAIQRVTQWNLDFVEQSEQADRYQELANRVDEALGFMSACGLGTDHPLMTTTDFYTSHECLLLPYEQSLTRLDSTSGLYYDCSAHMVWCGERTRQLDGAHVEFLRGIANPLGIKVSNKMDPNELVKLVEILNPNNKPGRITVIVRMGAENMRVKLPHLIRAVRRSGQIVTWVCDPMHGNTIKAPCGLKTRAFDSILAEVRAFLDVHEQEGSHAGGIHLEMTGQNVTECIGGSRTVTYDDLSSRYHTHCDPRLNASQSLELAFIVAERLRKRRTGSQRVS >scaffold_700236.1 pep chromosome:v.1.0:7:874645:876546:-1 gene:scaffold_700236.1 transcript:scaffold_700236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDEKPSIDVASPRYSSNKVADIDTELYKMKASLENRENEVVSLKAELLKKDIFIKNLEASEKKLLDSFKDQSRELEETKALVEESKVEIASLKEKIETSYNSQDSSEEDEEEDDSSVQDFDIESMKIEMESTKESLAQAHEAAQASSLKVSELLEEMKSVKSELKSATDAEMTSEKAMDDLALALKEVATDCSQTKEKLVIAETELEAARLESQQWKEKYEEVRKEAELLKNTSERLRIEAEDSLLAWNGKESVFVSCIKRGEDEKNSLLDENNRLLEALVAAENLSKKAKEENHKVRDILKQAINEANVAKEAAGTARAENSNLKDALLDKEEELQFALKEIERVKVNEAVANDNVKKLKKLLSEIEVAMEEEKQRSLSRQESMPKDVQVVEKKIEEKEKKEEKKENKKEKKESKKEKKEHSEKKEEKEKKEQTHQNIDKKMIGKTCSFSIMKLAHHNHNHKHNKETLEEETKNANGGNHYHQEHSDESGEGNSPSSDSYLFKGSIFDVAETPHAQMHHKRRSSCTFLEEVGTINPEDLENLDGNHLEEGELNDKGAVARKKKAFIRRFGDLLVRRKSLSFSHKKESSTDSQDKQQPQTPTSPSPPLPPMSPEP >scaffold_700242.1 pep chromosome:v.1.0:7:889916:890324:1 gene:scaffold_700242.1 transcript:scaffold_700242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKGMILPPVPSQLVLLRPNPLLQWRLGALTALVFFLMLVVWSIDGCSIQSFVEPWRFNAYSVRISPSPSPLMSPKPKLQKPTKSSSFFSRRRRHRRSFFFSRRISLANFCHYLFRCQIQF >scaffold_700246.1 pep chromosome:v.1.0:7:911966:912979:1 gene:scaffold_700246.1 transcript:scaffold_700246.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring-H2 finger protein ATL4O [Source:UniProtKB/TrEMBL;Acc:D7M9J2] MTRVECFNRLRWIIFFHVAIILQSKANAQSFSPSPPDLQPGHTPSKTTVFTVLVALFFLTGLLSVYIRHCTRSNPDSSTRYFRRRANDDCSRRGGLDNAVVESFPVFAYSTVKESKIGSKDLECAICLNELEDHETVRLLPICNHLFHIDCIDAWLYSHATCPVCRSNLTAKSEKSCEEDDGVPIAAMRDHVVIDIETVEAAKSHHRRLSSEISGKFPRSNSTGHSMDRFSDGTERFTLRLPDDVKRQIMAVKGRRLKRTRSFDIDLSAEKYCRSGEESSYKVGSGEKADRVNWPDRWGLTLFVPKSNSGSVRSQKANGEPLK >scaffold_700248.1 pep chromosome:v.1.0:7:917098:917570:-1 gene:scaffold_700248.1 transcript:scaffold_700248.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M9J4] MALKTLQALIFLGLFATSCLAQAPAPAPITLLPPVESPSPVESPTAEPPSPFASPPVPVEEPTPVPTTPPTVSPPTTSPTTSPVASPPKTHGLAPGPSGPTPAPAPAPRADGPVADSALTNKAFLVSTLIAGALYAVVLA >scaffold_700251.1 pep chromosome:v.1.0:7:926329:927627:1 gene:scaffold_700251.1 transcript:scaffold_700251.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine endopeptidase [Source:UniProtKB/TrEMBL;Acc:D7M9J7] MELCTRSTTVITHLPWSNNGHGYLAGKSVHRISLLPLHRKVASLVLQSRTLRCSRKFPGETVSEETSTGVNEFGLEDRDGVVVAVEEKTSNSEASQAEDDQTQALEFLNDIKLDSDKTYSILLYGSGAIVALYLTSAIVSSLEAIPLFPKLMEVVGLGYTLWFSTRYLLFKRNREELKTKVSEIKKQVLGSDSE >scaffold_700252.1 pep chromosome:v.1.0:7:928403:928730:1 gene:scaffold_700252.1 transcript:scaffold_700252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSVRLVSFITFAMITMLISISISVNPQSIKPRLHPHSCFPSNIKEPCHNVQNRYEADKLCQKVCYSQTDYMAGKCISGAKGRQRQCYCGVCNL >scaffold_700253.1 pep chromosome:v.1.0:7:931222:933184:1 gene:scaffold_700253.1 transcript:scaffold_700253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMCDPYTPAGVPIPTNKRHNAAKIFRHPSLPPRSLVCCGLATVQAILTIVLWQFENSYKLDYCYKENRITGSILSKEIKPLISTVFEGKDANVIAHRARNCRKTHLIHVLKAIPLRELGLTVLTMSEILSMAEERGDSVSVSVYEVSQETVYDLLDQEKRVVAVLEGAQGKIQLKGLPQVPVKSLSEFHKGVMIHATTGNANPGSLGRMNFLDMADSRKQNSALAPLEIARVNKLIYALQNVMYALNGNESHVPYRESKLTRMLKDCLKGCNRRSSRICLGSNRAMTNPTKKKIYGLERSISLCSAAQRRQTPLTVSATSRKQTVLRGNVTERKD >scaffold_700254.1 pep chromosome:v.1.0:7:936610:937015:-1 gene:scaffold_700254.1 transcript:scaffold_700254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRACRRLLKPKFVVTLRTISSSSSSSYVDRHISVILCDQSLCKHNAHHRRKLGKCMSSRVFNLVSRKRCLSLVNSGYQKIIGYRKPILSTNFGQFRLSQDNWSLCEQMCVGGLGSFGDT >scaffold_700257.1 pep chromosome:v.1.0:7:947192:947723:1 gene:scaffold_700257.1 transcript:scaffold_700257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIVKLVSFFITLVVMIFLLISTGIAKIEGKRPHLCNPTRMKAPPGTCNVQNGNKLCRKLCMGPVDNGYFRGFEFGYCRATPKGRYCECSNC >scaffold_700263.1 pep chromosome:v.1.0:7:960540:963538:1 gene:scaffold_700263.1 transcript:scaffold_700263.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Permease [Source:UniProtKB/TrEMBL;Acc:D7M9K8] MDSGSGLDPDTGNKGKGSGGGNCNGERKFGAFFKRVEPFLPKKDLNPRDLRSWAKKTGFVSDYSGETSTSTRTKFGESSDFDLRKGRDQIVTGSSHKTEIDPILGRKRPEIEHVTGSEPGFREEEERRLNRNEATSETENEGGKINKDLENGFYYPGGGGESSEDGQWPKPIVMKFGLRDNPGFVPLIYYGLQHYLSLVGSLVFIPLVIVPAMDGSDKDTASVISTMLLLTGVTTILHSYFGTRLPLVQGSSFVYLAPVLVIINSEEFRNLTEHKFQDTMRELQGAIIVGSLFQCILGSSGLMSLLLRFINPVVVAPTVAAVGLAFFSYGFPQAGTCVEISVPLILLLLIFTLYLRGVSVFGHRLFRIYAVPLSALIIWTYAFFLTVGGAYDYRGCNADIPSSNILIDECKKHVYTMKHCRTDASNAWRTASWIRIPYPFQWGFPNFHMKTSIIMIFVSLVASVDSVGTYHSSSMLVNAKRPTRGIVSRGIALEGFCSLLAGIWGSGTGSTTLTENIHTINITKVASRRALAIGAMFLIVLSFLGKLGAILASIPQALAASVLCFIWALTVALGLSNLRYTQTASFRNITIVGVSLFLGLSIPAYFQQYQPLSSLILPSYYLPFGAASSGPFQTGIEQLDFAMNAVLSLNMVVTFLLAFVLDNTVPGSEEERGVYAWTRAEDMQMDPELQADYSLPRKVARIFGCGC >scaffold_700266.1 pep chromosome:v.1.0:7:971783:973264:-1 gene:scaffold_700266.1 transcript:scaffold_700266.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7M9L1] MHCGCAFASQSVSPRLPFEIKASVSKLRSSSAQLPRTQIQINPSDDLLVNSSDKSPATRVSLPSHVNSITSTTNPFVKHCLKLRQSSSYRHAHGSVLVVGAIPIREVCMFQMNKQGITSEIECLLLHEEAQIPQGLESLSIRIVRVSSLVMKKLSGVQSTESVEAIALMRIPSSFIDLKDDKDIITDCNKWFPSSAHRVLVLDSIQDPGNLGTLIRSAMAFHWDGAFLLPGCCDPFNDKALRASRGASFQLPIVSGNWNHLKVLEHEFQMKLLAGHPATSTQKPKLVSKLSVEFAQSVAEKPLCLILGSEGNGLSEQSRKVCELVSIPMAGDFESLNVSVAGGIFLFMLQSFSGS >scaffold_700269.1 pep chromosome:v.1.0:7:977789:979752:-1 gene:scaffold_700269.1 transcript:scaffold_700269.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elicitor-activated gene 3 [Source:UniProtKB/TrEMBL;Acc:D7M9L4] MGKVLQKEAFGLAAKDNSGVLSPFRFTRRETGEKDVRFKVLFCGICHSDLHMVKNEWGMSTYPLVPGHEIVGVVTEVGAKVTKFKTGEKVGVGCLVSSCGSCDSCTEGMENYCPKSIQTYGFKYYDDTITYGGYSDHMVCDEGFIIRIPDNLPLDAAAPLLCAGITVYSPMKYHGLDKPGMHIGVVGLGGLGHVGVKFAKAMGTKVTVISTSERKRDEAINRLGADAFLVSRDPKQIKDAMGTMDGIIDTVSATHSLLPLLGLLKHKGKLVMVGAPEKPLELPVMPLIFERKMVMGSMIGGIKETQEMIDMAGKHNITADIELISADYVNTAMERLEKADVRYRFVIDVANTLKPNPNL >scaffold_700270.1 pep chromosome:v.1.0:7:981143:981685:-1 gene:scaffold_700270.1 transcript:scaffold_700270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRRLAVPSDLGFCPVSSNSVRGDSRFLSGKSGFHKRFWLAFILPRRRSVVYRLLLGLKNIPKHITIPFCRFFILSSVQIKFGGKQGFFVRCFDEAFLTGFGARRRRRLMSVTF >scaffold_700273.1 pep chromosome:v.1.0:7:988003:992961:-1 gene:scaffold_700273.1 transcript:scaffold_700273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKELENGSPGVKVGRVMTQVFVLIFLLQGVRSTTSLNNLNVTKPGQKQSPPVTLRKVGHNQVVVDNGIIQVSFSSPAGLITGIKYNGFNNVLNDQIENRGLVGEKFNIVNQTSEHVEISFSRTWNISQHTSGAPLNVDKRYIIRSGVSGVYMYAVLERLKGWPDVNMDQTRIVFKLNTTKFDYMAISDGRQKIMPSATDRDIKTGRASPLAYKEAVHLINPQNHILKGQVDDKYMYSMENKDNKVHGWISADQRVGFWMITPSDEFRSCGPIKQDLTSHVGPTILSMFTSVHYAGVDINTIYKSKEPWRKMFGPVFVYLNSASSRNLLWTDAKRQMVSEVQSWPYDFVKSVDYPLHHQRGIVKGRIFVMERYNKSETNLFGKFAFVGLALPGEAGSWQTENKGYQFWTRADKVGMFTIANVRPGKYSLYAWVSGFIGDYKYERDITITPGRVIDVGRIVYEPPRNGPTLWEIGEPDRTAAEFYIPDPDPTLLTKLYLNYSNPQDRFRQYGLWDRYSVLYPRKDLIFTTRVSDFKKDWFYAHVNRKAGNGTYKATTWQIKFNLKAVIQTRIYTLRIALAAATTTDLVVWVNQANSKPLFITGLIGRDNAIARHGIHGLYKLYNIDVHGKLLRVGNNTIFLTHGRNSDSFSGVMYDYLRLEGPSGV >scaffold_700281.1 pep chromosome:v.1.0:7:1020732:1021678:1 gene:scaffold_700281.1 transcript:scaffold_700281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPSCPSQPPEASSVVVLDWGIYVIGGLIKGKPTSKVMLLDCRTHTWRQVPSMRVTRASAAAGVVDGKIYVLGGCKFDEKNWGEVFDPKTQTWDNLPPMPDTKKRDQYIHDSVVRDQKVYAVDGTDRTLYYSPSLGQWGRGNRGEVKGNRRDWCMIDNLIFFLTRHGTVIWCEPDELDWHETEGMVSKEVKGLGFLKKSLSCSRLVHFGEQIVNLWEKYKIPQKLIDLLPGARLNNSGGNIVLFWDVIEGDHLEIWCAEISLERRQGSEIWGNIEWSNAVMTVDPFLDRSKVLYSASVTL >scaffold_700283.1 pep chromosome:v.1.0:7:1036069:1038869:-1 gene:scaffold_700283.1 transcript:scaffold_700283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSATYKKPPHKKRKTSPSPPDSSWSPLSSLPDEMILSCLARVSRLDQAALSIVSKSYRSLMASPELYKTRSKVGYAENCLYVCLLTPPDLTPRWFILRRGETLNRLSPIPSLCSQPPEASSVVVMDWGIYVIGGFIKETTRTSDVWLLDCRTHTWRQVPSMGVARACAAVGVVNGKIYVFGGCLDPDSSNWAEVFDPKTQTWDSLPPMPDRIKRDQYIHDSVVVRGEKIYAVDGIDRTYCYSPCEGKWGRGNDAPTNGNRRDWCVIDGLIFCISRSGSVYWCEPDQLECEPEGMYWRKVKGLVSLKKRLSSSRLVHFDGKIEALWESYKITIGRDKKLIDLLPGTRLSNSGSNILLLWDVIEEDHLQIWCAEISLERRQGPEIWEISLERRQGGEIWGNIEWSNAVMTVDPFLDRSKVLYSASVTL >scaffold_700288.1 pep chromosome:v.1.0:7:1058862:1060027:1 gene:scaffold_700288.1 transcript:scaffold_700288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIVRSALSRAAIRVAPRTSVAPKRNFSSSAGHDDAYEAAKWEKITYLGIASCTALAVYVLSKGHHHGEDPPAYPYMHIRNKEFPWGPDGLFEVKHNEGH >scaffold_700293.1 pep chromosome:v.1.0:7:1092578:1092787:-1 gene:scaffold_700293.1 transcript:scaffold_700293.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MA04] MRMPIEGNLAHLAEKLIGNPNGPEAQPNVPYIVDDMKQITNDDLLRKEDRAEIRF >scaffold_700297.1 pep chromosome:v.1.0:7:1113598:1116072:-1 gene:scaffold_700297.1 transcript:scaffold_700297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFCDNDDNNHSNTTNLLGFSLSSNMMKMGGRGGGEAIYSSTTSSAATSSSSVPPQLVVGDSTSNFGVCYGSNSAGEIYSHMSVMPLRSDGSLCLMEALNRSSHSNHHQDSSPKMEDFFGTHHNNTSHKEAMDLSLDSLFYNTTHEPNTTTNFQEFFSFPQTRNHHQEETRNYENDPGLTNGGSFNVGVYGEFQQSLSLSMSPGSQSSCITGSHHHQQNQNQNHHQISEALVETSVGFETTTMAAAKKKRGQEDVVVVGQKQIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSFKKEGHSRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHTNFSAENYQKEIEDMKNMSRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDVAAIKFRGTNAVTNFDITRYDVDRIMSSNTLLSGELARRNNNSIVVRNNEDQTALNAVVDGGSNKEVSTPERLLSFPAIFALPQVNQKMFGSNVVGNMSSWTSNPNAELKTVALTMPQMPVFAAWADS >scaffold_700302.1 pep chromosome:v.1.0:7:1148020:1148225:-1 gene:scaffold_700302.1 transcript:scaffold_700302.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MA13] MMITWLCFSGEDCFCVYREVAFFIEDSLRRAAIDDGDGLRFRWRLKDGFHDAEW >scaffold_700303.1 pep chromosome:v.1.0:7:1148489:1150236:1 gene:scaffold_700303.1 transcript:scaffold_700303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRKACQKRTKKEKPELVIAETELKATQDKMSKKKETKLSKYIKVPIKMLVKARDLYIQSMNQWSSHDLIGSGMGLGIPVCNVSTLPRSFSASHAQYSMRPEDDRVPELVRAASARNMIIGDARHGPSKLRKAKSSRSCGGLHGFEKIDETSPLISFGSKHKMLQKSKSYGVAKYTYSLQ >scaffold_700304.1 pep chromosome:v.1.0:7:1150938:1152289:-1 gene:scaffold_700304.1 transcript:scaffold_700304.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyl transferase GMA12/MNN10 family protein [Source:UniProtKB/TrEMBL;Acc:D7MA15] MGKYGGAKTKTTVCLSDGAIFLAGAFMSLTLVWSYFSIFSPSFTFTFTGLRHGGEPVQCSGLNMQLDPREPGFYDDPELSYSIEKSITNWDEKRHQWFKSHPSFKPGSENRILMVTGSQSSPCKNPIGDHLLLRCFKNKVDYARIHGHEIFYSNALLHPKMNSYWAKLPVVKAAMLAHPEAEWIWWVDSDAIFTDMEFKPPLHRYRQHNLVVHGWPNIIYDKPSWTALNAGVFLIRNCQWSMDLIDTWKSMGPVSPDYKKWGPIQRSIFKDKLFPESDDQTALIYLLYKHKELYYPKIYLEGEYYLQGYWIGVVDGFANVTERYLEMEREDDTLRRRHAEKVSERYGAFREERFLKGEFGGRGSRRRAFVTHFTGCQPCSGDHNPSYDGDTCWNEIIRALNFADNQVMRVYGHVHSDLSKTSPLQPLPFDYPNEAW >scaffold_700306.1 pep chromosome:v.1.0:7:1159435:1161524:-1 gene:scaffold_700306.1 transcript:scaffold_700306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLQAEAIESGELEATKPLPWYPKNLAWHSNFSRKEISKNQILERFHEFLKLETEVGNMTRQESVSMFLIFSLFLCYAHFTALDMVLINLLCYIRYELYVGQPPFYTNSVYALIRHIVKDPVKYPDEMSPYFKSFLKGLLNKEPRNRLTWPALREHPFVKESQEEVEAREIQTAVVDHKAAWMLKGNGGQQRNEKCDSVTLAENMSATKGLADVQSDMKSAVNVNSPPP >scaffold_700307.1 pep chromosome:v.1.0:7:1162662:1165583:-1 gene:scaffold_700307.1 transcript:scaffold_700307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIKRSNLLIPQTKRTCTTNLMVANNEGQHFPSCNTKRTLSVASEINPQKPIALTSLLQPRNTSSSTELLIATVVSTMALHSKEPSTVPPLDSEWVWHCHRLDPAISEPANISALEKCTTYDLVSTVKRQSPFYYQVSRAHVDNDVFLQEAVARYKAFLYLIKGNRERSIKLFCVPTYDIDLIWHTHQLHAHSYCNDLTKMIGKVLDYILGNITSLPPRTFAQMPLAAVVIMARSRTDYLERTVKSVLTYQSPVASKHPLFISQDGSDQAVKSKALSYNQLTYMQHLDFEPVITERPGELIAYYKIAPSSWNDNGQKQFVQDVMIPGKFDIAGHSHQLFHVLMVVGAFTHYRAGLCVS >scaffold_700310.1 pep chromosome:v.1.0:7:1167639:1168634:-1 gene:scaffold_700310.1 transcript:scaffold_700310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFRGNIWDFDSKPKVMQTLGYPLPMNDRIKEITEARNIELGLGLQLCFLHPSKHKFEHPRFCFERLEYVGQKIQIQLKLENDFMKSLQAEAIESGELEAIKPLPWYPKNLSFHARRLEKTRYLRGTFSCQLLQNKYSLPFAYLC >scaffold_700313.1 pep chromosome:v.1.0:7:1176635:1177179:-1 gene:scaffold_700313.1 transcript:scaffold_700313.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12 family protein [Source:UniProtKB/TrEMBL;Acc:D7MA23] MRAPISGFLSRSLGYLHRTTPLTTATRHLCAVASPEARTKKLERIADDLLNLNRIELYDYSILFSHKLGLNRYGSAVAVAGSDGEASGSTETKTAEKTAFDVKLEKFETASKIKVIKEIRAFTDLGLKEAKELVEKAPVIVKKGLTKEEAEKIMEKLKAVGAIVALE >scaffold_700314.1 pep chromosome:v.1.0:7:1179446:1181081:-1 gene:scaffold_700314.1 transcript:scaffold_700314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLQQQQQSDSIITNQSSLSRTSTTTTGSPQTAYHYNFPQNDVVEECFNFFMDEEDLSSSSSHHNHHNHNNPNTYYSPFTTPTQYHPAASSTPSSTAAAAALASPYSSSGHHNDPSAFSIPQTPPSFDFSANAKWADSVLLEAARAFSDKDTARAQQILWTLNELSSPYGDTEQKLASYFLQALFNRMTGSGERCYRTMVTAAATEKTCSFESTRKTVLKFQEVSPWATFGHVAANGAILEAVDGEAKIHIVDISSTFCTQWPTLLEALATRSDDTPHLRLTTVVVANKFVNDQTASHRMMKEIGNRMEKFARLMGVPFKFNIIHHVGDLSEFDLNELDIKPDEVLAINCVGAMHGIAPRGSPRDAVISSFRRLRPRIVTVVEEEADLVGEEEGGFDDEFLRGFGECLRWFRVCFESWEESFPRTSNERLMLERAAGRAIVDLVACEPSDSTERRETARKWSRRMRNGGFGAVGYSDEVADDVRALLRRYKEGVWSMVQCPDAAGIFLCWRDQPVVWASAWRPT >scaffold_700321.1 pep chromosome:v.1.0:7:1236696:1236938:1 gene:scaffold_700321.1 transcript:scaffold_700321.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAL0] MELCSSSSSLWRLWAIPFVFEDQSTIPTDSLRVLSFRLEFNSMIVDSITKFVDTTLFLPRLSSSVF >scaffold_700325.1 pep chromosome:v.1.0:7:1249804:1250023:-1 gene:scaffold_700325.1 transcript:scaffold_700325.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAL3] MDSSRTLGNAYYRNLEERKGVFDSDQALYTDPRSQPLVEEVASKAVMVRISKELSHAQ >scaffold_700330.1 pep chromosome:v.1.0:7:1265445:1265826:1 gene:scaffold_700330.1 transcript:scaffold_700330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAL6] MAITSYHHAHISVQYHHAHISVQYEFIAAAASVNNEFIDMINPREYKKTHIGNHEMMTKTPERINIITVTKNLQNLKEVNLTQLPQWILHASLPSWRNDDGAFMPDVATILTS >scaffold_700333.1 pep chromosome:v.1.0:7:1272686:1274041:1 gene:scaffold_700333.1 transcript:scaffold_700333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEEAHNVKVIGSGDQATIVLGHGFGTDQSVWKHLVPHLVDDYRIVLYDNMGAGTTNPDYFDFDRYSNLEGYSFDLIAILEDLKIESCIFVGHSVSAMIGILASLNRPDLFSKIVMISASPRYLNDVDYQGGFEQEDLNQLFEAIRSNYKAWCLGFAPLAVGGDLDLIAVQEFSRTLFNMRPDIALSVAQTIFQSDMRQILPYVSVPCHILQSIKDLAVPVVVSEYLHANLGSESVVEVIPSDGHLPQLSSPDTVIPVILRHIRNDIAV >scaffold_700334.1 pep chromosome:v.1.0:7:1275319:1281939:1 gene:scaffold_700334.1 transcript:scaffold_700334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSERVELAKHCSSRNWSKAIRVLDSLLAKQSSILDICNRAFCYNQLELHKHVIKDCDKALLLEPCAIQAFILKGRALLALGRKQEAVLVLEQGYKNALQQTADVKQLLELEELLTDARREIDATESRQETPASHSEKSDEKTDKLDNHESGACSNGNSHDHEASRELGEKSKIISFSKDTSKASKQSDGSSDLCNGSAYKEKENGKCGSQINGSYESCKPCNGSDLHDNLAESSDRLGDLSINGNKLIIKSSKMSHKAEARCDETRKNKKYTIARISGTHSISVDFRLSRGIAQVNEGNYMKAISIFDKVLKEEPTYPEALIGRGTAYAFQRELESAIADFTKAIQSNPAATEAWKRRGQARAALGEYVEAVEDLTKALVFEPNSPDVLHERGIVNFKSKDFTAAVKDLSICLKQEKDNKSAYTYLGLAFASLGEYKKAEEAHLKSIQLDSNYLEAWLHLAQFYQELADHCKALECIEQVLQVDNRVWKAYHLRGLVFHGLGEHRKAIQELSIGLSIENTIECLYLRGSCYHAIGEYRDAVKDYDATVDVELDAVEKFVLQCLAFYQKELALYTASKVSSEFLCFDIDGDIDPMFKEYWCKRLHPKNVCEKVYRQPPLRESLKKGKLKKQDLAITKQKANVLRFADLIGKRIQYDCPGFLPNKRQHRMAGLAVIEIAQKVSKAWRIEWRNSTKGTTKSGKKNRRRERTNILSQNRGGAGCSSNSFSETSTGYASLEDRSSGRSMLSWQDVYSPAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMVLGQAKVVRYFPNYERTLTLAKSIIKDKLSVRSKKDKVIDLSKDEKIEKIMRAETCDELHKIVGEDFWVATWCDSTGSEGKRLEGTRITCIQKPGRLGYDFSIRTPCTPARWSDFDEEMTSAWEALCNAYCGENYGSTELDALETVRDAILRMTYYWYNFMPLARGTAVTGFVVLLGLLLAANMEFTETIPKGLQIDWEAILNVEPDSFVDSVKSWLYPSLKINTSWRDHPDISSAFSTTGAVVAALSSYND >scaffold_700338.1 pep chromosome:v.1.0:7:1304367:1305379:-1 gene:scaffold_700338.1 transcript:scaffold_700338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEAKRNLSDVETQVKILVEDLKNDSADTQRNATAELRLLAKYDMDNRIVIENCGAIGLLVNLLYSNDPETQENAVTALLNLSINNNKNKSAIVDAGAIEPLIHVLENGGSEAKANSAATIYSLSLLEENKIKIGSSGAVGPLVDLLGNGTPRGKKDAITALFNLSIHHENKARIVQYGAVRYLIELMDPAVGMVDKAVAVLTNLATIPEGRNAIGEEGGIPLLVEVVELGSAKGKENAAAALLRLSTNSGRFCNMVLQEGVVPPLVALSKSGTPRTREKAQALLSYLRNQRHENA >scaffold_700339.1 pep chromosome:v.1.0:7:1306376:1306736:1 gene:scaffold_700339.1 transcript:scaffold_700339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKIQIKRINDRQQRNIAFAKRKNGLLKKAYELFILCNVPVALILFSPSGKLFVFYAKARYMYINIVSLPKQITFSLSSSSFNSNILLFHHISSINCFVINLI >scaffold_700341.1 pep chromosome:v.1.0:7:1315658:1317468:-1 gene:scaffold_700341.1 transcript:scaffold_700341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSFKTSSSSSPRLTPKSIAGAGDDASQFFHHKTSYRAHTTTLFFILVSLSLFGFFSLYCSPNTIYRAAFFATTRPSKSRIVSYVINTQDSSHHQLSNGSRRIRAEAVLWPGWDILVIVSPEEKVMPPQLPGENYTCFYPNGEKSIARFAAILPFSNRTSFRCSLPGIYRHHHPIPTPILASSKTFQLSPETRWPDLPLWNFVVFEAISTENDVVLFVKGPNRGLGSNKPPESFRCVFGEESDTAIRTAVTSSVQEVFRCSLPDITIDTPIKIYLEAVATDKEETKTIPSVAYYTPKHTLAEPREKVLLCATTMVYNVAKYLREWVMYHAAIGIQRFIIYDNGSDDELNDVVEVLNSEKYDVIKVLWIWPKTQEAGFSHAAVYGNDTCTWMMYLDVDEFLFSPAWDKQSQPSDRMIRSLLPSNHSMIGQVSFKSHEFGPSNQTKHPREGVTQGYTCRREEDQRHKSIVRLSVVEHSLYTAIHHFGLKGEYEWRVADTEEGVVNHYKYQAWREFKAKFKRRVSAYVVDWTRVSNPKSRDRTPGLGFKPVEPDGWAYKFCEVMDLRLKRLTKKWFGYPVKNGYRMAWQR >scaffold_700345.1 pep chromosome:v.1.0:7:1327413:1327773:1 gene:scaffold_700345.1 transcript:scaffold_700345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRQTCSTHPIELSTPPSETTIQIQDDYDELKKAEAIFIALNLPKHSRFYCTCINTLKEQVFWRKNFIDIAESTDEDKLQLLKTITGVLRSNEDMPKQLGLDQ >scaffold_700354.1 pep chromosome:v.1.0:7:1375396:1377329:1 gene:scaffold_700354.1 transcript:scaffold_700354.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP81D4 [Source:UniProtKB/TrEMBL;Acc:D7MAN7] MDLTQILILSFLSLFASAIFLLTRSKRKLHLPPSPGISLPVIGHLHLLKPPLHRTFLSLSQSIGNAPIFHLRLGNRLVYVISSRSIAEECFTTNDVVLANRPKFTISKHVGYNGTYLLSSSYGDHWRNLRRIAAVEILSTHRLNSFLYIRKDEIRRLISHLSRDSLHGFVEVEMKTLLTNLASNTTIRMLAGKRYFGEDNDEAKLVKQLVSEVVTSAGAGNAIDYLSILRWVTSYEKRIKNLGNRFDAFLQRLVDEKRAEKEKGETMIDHLLALQDIQPDYYTDVIIKGIILTLIIAGTDTSSVTLEWAMSNLLNHPEILKKARMEIDEKVGLDRLVDESDIVNLSYLQNIVLETLRMYPAVPLLLPHLSSEDCKVGGYDIPSGTMVLTNAWAMHRDPELWEDPEIFKPERFEKEGEAEKLFSFGMGRRACPGAGLAHRLINLALGSLVQCFEWERVGEELVDMTEDKGATLPKAIPLGAMCKARSIVGKLI >scaffold_700357.1 pep chromosome:v.1.0:7:1391135:1391875:-1 gene:scaffold_700357.1 transcript:scaffold_700357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKPSRSDEISDPDQQIKNANQIRADFDSLAPKRPTKPTRSEPGPPGSFSASDKTTDHPEADKFQSLQSQTHGKVLGEGDSSAVQDEFLETEYYTNLTAIDKQHHTTGSGFINVVKEDGGEATEAVTAAAIGDGGEKAVYRSNPATNEWVPASEEDFDSESSSKPNRSESS >scaffold_700359.1 pep chromosome:v.1.0:7:1396335:1396632:1 gene:scaffold_700359.1 transcript:scaffold_700359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMINKAVLGSILVFMLIGSVLVESRPLGLTKTEEKLMAGFFDGLSLGSMKDSGPSPGEGHKVVERRDTFRFDKHSGPSPSGPGH >scaffold_700360.1 pep chromosome:v.1.0:7:1397195:1400094:1 gene:scaffold_700360.1 transcript:scaffold_700360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRG family protein [Source:UniProtKB/TrEMBL;Acc:D7MAP3] MGSSSKEETASDGDTASGGASPSNDGRLFSEGERVLAYHGPRVYGAKVQKVELRKKEWKYFVHYLGWNKNWDEWVSADRLLKHTEENLVKQKALDKKQGVEKGTKSGRSAQTKTRSSADTKADKDDTKTNAAKGKKRKHDSGNEKDNVSAEKLMKIQIPATLKKQLIDDWEYIAQKDKVVKLPRSPNVDEILAKYLEFKTKKDGMITDSVAEILKGIRSYFDKALPVMLLYKKERRQYQESIVDDTSPSTVYGAEHLLRLFVKLPELLSYVNMEEETWSRMQQTLSDFLKFIQKNQNTFLLPSAYDSDKVSDGKGKGKDD >scaffold_700363.1 pep chromosome:v.1.0:7:1420409:1422871:1 gene:scaffold_700363.1 transcript:scaffold_700363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMELISVVFFFFFCSVLSSSGLNSDGLVLMKFKSSVLVDPLSLLQTWNYKHETPCSWRGISCNNDSKVLTLSLPNSQLLGSIPSDLGSLLTLKSLDLSNNSFNGPLPVSFFNARELRFLDLSSNMISGEIPSAIGDLHNLLTLNLSDNALAGKLPANLASLRNLTVVSLENNYFSGEIPGGWRVVEFLDLSSNLINGSLPPDFGGDSLRYLNVSFNQISGEIPPEIGVNFPRNVTVDLSFNNLTGPIPDSPVFFNQESNFFSGNPGLCGEPTRNPCLIPSSPSIASNADVPTSTPAIAAIPNTIGSNPVTDPKSQQTDPNARTGLRPGVIIGIVVGDIAGIGILAVIFLYIYRCKKNKIVDNNNDKQRTETDTITLSPFTSSSSSPEESRRFKKWSCLRKDPETTPSEEDNDEDEESGYNANQRSGDNKLVTVDGEKEMEIETLLKASAYILGATGSSIMYKAVLEDGRVFAVRRLGENGLNQRRFKDFESHIRAIGKLVHPNLVRLCGFYWGTDEKLVIYDFVPNGSLVNPRYRKGGGSSSPYHLPWETRLKIAKGIARGLSYLHEKKHVHGNLKPSNILLGHDMEPKISDFGLERLLTGETSYIRAGGSSRIFSSKRYTTSSREFSSMGPTPSPSPSSVGPMSPYCAPESFRSLKPSPKWDVYGFGVILLELLTGKIVSVEEIVLGNGLTVEDRHRAVRMADVAIRGELDGKQEFLLDCFKLGYSCASPVPQKRPTMKESLAVLERFHPNSSVIKSSSFHYGH >scaffold_700364.1 pep chromosome:v.1.0:7:1424793:1427309:1 gene:scaffold_700364.1 transcript:scaffold_700364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSNSAASAEEPPHKKRKMSPPPSMLSSLSDDMVMSCLARVSRSDQAALSMVSKSYRSLVASPDLYRTRSLLSCAENYLYLCLLTPPDQTPRWFILRRGKSANRLLIPIPSWHFQPPEASSVVAVDWGIYVIGGRIEGRRTKAVRLLDCRTHKWHRVPSMGVSRVSPAVGVVGGKIYVLGGCGDRDSSNWAEVFDPKTQTWESLPMPDQTIRSYYIHNSLVLMDEKEKVYAVDGFDHTFYYSPSEIKWGLGNTPPRKKTRRDWCYIGKFIYSIDCKGNLCWYEPGHLDSEQGEMCWRDVKGLGSLKESLSRFRLVPFDNRLVAMWESEKIKTGKDKNLIDLLPGARLSFSGPNIVLFWDVVEGDRLDIWCAEISLEQRQGPEIWGNIQWSNAVMTVEHPFLDRYKSSKQVDKSCKKQKVDKDNSSKEKEQLVIAGTELKVRVSAMEGEKRLNCSRIRIEAEESLSAWNGKNLCSVSCIKRVGESEKNSLVDENNRLLEAFVADENLSKKAKEENRNNVRNISNMRLIIEANVGVDGKSEG >scaffold_700367.1 pep chromosome:v.1.0:7:1429640:1430407:-1 gene:scaffold_700367.1 transcript:scaffold_700367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAELQKTISFRRNFYRKLWYETTNLDHAVVAVGYGSENGVDYWIVRNSWGPRWGEEGYIRMERNLAAAKSGMCGIAVEAPYPVKHSPNPVRGSINSV >scaffold_700369.1 pep chromosome:v.1.0:7:1435576:1437772:-1 gene:scaffold_700369.1 transcript:scaffold_700369.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:D7MAQ0] MKLSALHQSYLNRRSNSFRSPTSLDSSVDGSGKSLIAVFWLILHCLCCLISLVLGFRFSRLVFFFLFSTSSMNLYSAPFRPDLPVKHLDVHTIGRNLDPGANGTVAMATKSSRVVVGRHGIRIRPWPHPNPVEVMKAHGIIERVQKEQKTIFGMKSSKMVIAVTPTYVRTFQALHLTGVVHSLMLVPYDVVWIVVEAGGATNETGLIIAKSGLRTIHVGIDQRMPNTWEDRSKLEVFMRLQALRVVREEKLDGIVMFADDSNMHSMEFFDEIQNVKWFGTVSVGILAHSGNAEEMVMSMDKRKEMEKEEEEESSSLPVQGPACNSTDQLIGWHIFNTLPYAGKSAVYIDDVAAVLPQKLEWSGFVLNSRLLWEEAENKPEWVKDFGSLNENEGVESPLSLLKDPSMVEPLGSCGRQVLLWWLRVEARADSKFPPGWIIDPPLEITVAAKRTPWPDVPPEPPTKKKDQMPLSQGNTVVVIPKQQQHSTKIRKPKRRSKKNKHEPRPTDTQVYSSSSKHQERN >scaffold_700370.1 pep chromosome:v.1.0:7:1447580:1448217:1 gene:scaffold_700370.1 transcript:scaffold_700370.1 gene_biotype:protein_coding transcript_biotype:protein_coding METATEVATVVSTPAVTVAAAATRKREKPYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPEAAARAYDTAVFYLRGPSARLNFPELLAGVTVAGGGGGGGNGGGDMSAAYIRRKAAEVGAQVDALEAAGAGGNRHHHHHHHHQQRGNHDYGDNLSDYHINDDHMECSSKEGFKRCNGSLERVDLNKLPDPETSDDD >scaffold_700378.1 pep chromosome:v.1.0:7:1495139:1496822:-1 gene:scaffold_700378.1 transcript:scaffold_700378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTSVSSNSFGGFLSPGAPSYADNKGWSSERVPHPSSTTSNSVTNGGRRHIGSASALTTPFYSGRAIPSKWEDAERWICSPVATYPQVVCKNSSVSSQFSEQRRQKSKSGPIVPPTLPHPQPTSSSSAIGCYHYSPRMMMRSMEAPPKGLMVAGSPFSTGVLEADRVFRGSVGGGGGGGGCDGYGHGPGHGHSRSWVDLMSEETSSLSSKTDTEEEKAEMTTAMQSPVVSRRDMATQMSPEEMSPNNNQSPPLVVSVIEPPPCRGEVREVKMDKGARMIKRPKRRVMSSRIIRREQPEVEDNSEASASSSSWDISEPAMTLSKLQREEAKIAAWENLQKAKAEAAIRKLEVKLEKKKSASMDKILNKLQTAKIKAQEMRRSSVSSEHEQQGNHQISRNSVKITHLVRRHTFMTPFMTCFAPRVDCRKSSSAL >scaffold_700384.1 pep chromosome:v.1.0:7:1520729:1522249:1 gene:scaffold_700384.1 transcript:scaffold_700384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMEAPSPPFQSPSRSSQQLHFYLAVDRPQFKMETVVELLGVLGRRPWLPIVVCCSSRDELDAVCSSLSTLPYISLAALYSDLADRERTMVIEKFRQATINWNQQLNSVVEEVLEESETRKEENKSHLVVVTDVCLPLLSSGESSLSARVLINYELPTKKETYTRRITTCLASGGIIINMVVGGEVTTLKSLEESSGIIIAEMPINISEIL >scaffold_700385.1 pep chromosome:v.1.0:7:1523659:1526164:1 gene:scaffold_700385.1 transcript:scaffold_700385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVTNLGSCLKITYVIFAFCSAFFLGALKGLIVGPIAGLTLIVGNVGVILGLFPAHVTWTIYAVATTNRFDIPLKLAILVALPALFGIWLGLSLAISVLVGVGYGFFTPWISAFEAFRQDTESNKFFHCLVDGTWGTIKGSCTVVTDFADFCYHSYPLYLKELRESPAPDELETLRLIHVPGCIIVGIIGLVIDIPLFTAIAVFKSPYLLLKGWYRLAQDAINREGPFLEIACIPVAGLTILLWPIIVIGFVLMTIFSSIFVGLYGAVVVFQERSFRRGVSYVIAVVGEFDEYTNDWLYLREGTIFPKPRYRMRRGSFSSEVSVIVHPSDVSRVNSSGSADAPAMLVPSLVHSVSVREAIQEVRMVQIWEHMMGWFEMQGRELLDAGVLTAADLYESLKGRQGNESSIINVGLPSYALLHTLLSSIKAGTHGVLLLDGSEVTNLNRPQDKFLDWFFNPIMVLKYQIRAIKLGESEVRYLGKVVLFGNHEQRMEAWDNLGNPPQENLRAAQIQGISRRMMGMVRSVSKLPTYRRRFRQVVKALITYHLEKQGLNRTGSMSSGDFIEEV >scaffold_700387.1 pep chromosome:v.1.0:7:1527818:1529788:1 gene:scaffold_700387.1 transcript:scaffold_700387.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:D7MB08] MAGVQSLQPRLISSFLGNHSIRSTQPLIHLFRFDLGRRHVSMQLSRTFSGLTNLLFNRRNEDEIIDGKRKRLRPGNVSPRRPVPDHITKPPYVDSFKAPGISSGLEIHDKKGIECMRASGRLAAKVREYAGTLVKPGVTTDEIDEAVHNMIIENGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFFCGNVDEKAKKLVEVTKESLDKAISICGPGVEYKKIGKIIHDLADKHRYGVVRQFVGHGVGSVFHADPVVLHFRNNEAGRMVLNQTFTIEPMLTIGSRNPVMWDDNWTVVTEDASLSAQFEHTILITKDGAEILTNC >scaffold_700394.1 pep chromosome:v.1.0:7:1565266:1566561:1 gene:scaffold_700394.1 transcript:scaffold_700394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNKDHDSDSDAPEEFTQEQAKLEDEALRKIQRENKTRISREKKERRKLMAEKITPRKSRKIEKFEDIDKEEEEEPEENSEALAKKGFLSKDIIDFLAEREKQNAESDSEEDEIIDELPRKKKQKSSGIETVIYKDIPPPECLKTGLDFLKMRRAQVPRSSSVIKNSGQALRLATGAALAKKQRQRK >scaffold_700395.1 pep chromosome:v.1.0:7:1567135:1569866:-1 gene:scaffold_700395.1 transcript:scaffold_700395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSLWKQIHHCASLILDKSKSRGGRRRDGSDSSLNVKKKAALIRKLYEDKLREALEEASEYGSLFKSQDIDQDNGDGSLGRSRSLARLHAQREFLRATALAAERIIESEDSIPELREALTKFLRMYPKYQASEKIDQLRSNEYSHLSSSASKVCLDYCGFGLFSYVQTLHYWDTCTFSLSEITANLSNHALYGGAESGTVEHDIKTRIMDYLNIPENEYGLVFTVSRGSAFRLLAESYPFQSNKRLLTMFDHESQSVNWMAQTAREKGAKAYNAWFKWPTLKLCSTDLKKRLSYKKRKKKDSAVGLFVFPAQSRVTGTKYSYQWMALAQQNHWHVLLDAGSLGPKDMDSLGLSLFRPEFIITSFYRVFGHDPTGFGCLLIKKSVMGSLQSQSGKTGSGIVKITPQYPLYLSDSVDGLDGLVGFEDHNEDKAKEAHRPGTQMPAFSGAYTSAQVRDVFETELLEDNISSDRDGTTSTTIFEETESVSVGELMKSPVFSEDESSDNSFWIDLGQSPLGSDQHNKIASPLPPIWLTNKRNQKQRQSPKLIPKSYSSPLYDGSDVLSFDAAVMSVTEHGTNSTPSRNRRSSSNHLHVQEIQEENCGHSLANGLKSSNISSEIKDSAIRRETEGEFRLLGGRDGGRSRLLGVEDEHPSKGRRVSFNMERVSHSIVEPGEASLASIYDEDYNNTSDVENGDDEGADDEWERKDTETEIVCRHIDHVNMLGLNRTTTRLRFLINWLVISLLQLQVPESGGRNMNLVQIYGPKIKYERGAAVAFNVRDKSKGFVSPEIVQRLGEREGISLGIGILSHIRIVDDKPRNHRARTKEDSALHLQNEAGKNGFIRFEVVTASLSFLTNFEDVYKLWAFVAKFLTPGFSREGSLPTVEEEEAEDSET >scaffold_700399.1 pep chromosome:v.1.0:7:1580650:1581668:-1 gene:scaffold_700399.1 transcript:scaffold_700399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYVLVHGGCHGAWCWYKVKPVLEASGHRVTVVDLTASGVNMSKVEEIQTLEDYAKPLLKVLESFGSEDKVILVAHSLGGISVGLAADMFPSKISVAVFITSFMPDTTNPPSYVFEKYLGSVMEEDRLNMEFGTYGRHDRPLTTILLGTKYLAKKMYQLSPIEDFELAKTLVRVGPAVTRNLTGTRSLTEEGYGSVTRVYIVCQEDKGLTEEFQRWIIENFPVEEVMEIKDADHMPMFSKPLELCDRLLRIADKYPKLRY >scaffold_700403.1 pep chromosome:v.1.0:7:1592951:1593293:1 gene:scaffold_700403.1 transcript:scaffold_700403.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MB21] MVIKFDGNQLTTSSPEFLIDNDCNPPHRATPSNLLYSVVEQTPWNCPSFNVLSFLHLEFYVYKATPWPLCFTSHPSLRQEPALLYSVNQRKPQLASPP >scaffold_700405.1 pep chromosome:v.1.0:7:1598103:1600514:1 gene:scaffold_700405.1 transcript:scaffold_700405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIVTIQVGEFANFVGSHFWNFQDELLGLASDPESDPIFRSHNLDMDVLYRSGETLQGVATYTPRLVSVNLKGSLGTMSSRGTLYNEGSSSRSDSSTTWFGDVDTQRSEPRKRNLFLQSLYEEEQKVGKEKAKEIEDKDIVGCLDEGVECWTDFSKSHYHPQSLYELNGLWMDSQDFNNYGIGKDVFSEASRGEEICDRLRFFVEECDHIQGIKFLVDDSGGFSAVAADFLENMADEYTNVPVLLYSVRSPMSQMSPKKTVTNKLHDAISFSRLSSFCKLFTPIGLPSLTGSKASKFLNLGDEKPYRSSAVYAAALHSSTIPFRMQPTSSDSSEVSNSMDVNTLVQLLTGRGRQNIVSILDSAMPAPTLAGKQLENTLLTSLQALTPEVTEDVEDDQAVESMCILGALRSEDKEALVSEVKNAVDASYEQATTKGKPLICNLSVSRCPLPVPLPFPSIFGNLVGRKGEILSSPVSDSLFRGSLDVHSIPVATRWRSSSAVLPFLETRMGNLEKLGIQWGAMGSDVVRAWGFGREELQEMRENLSKMVSELNPQFLESSDSD >scaffold_700408.1 pep chromosome:v.1.0:7:1608716:1609647:1 gene:scaffold_700408.1 transcript:scaffold_700408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVEFLAMKTEENTANLINSDLNEFVAAAKKLVKDVGMLGGLGFGTSFLQWAASISAIYLLILDRTNWRTKMLTTLLVPYIFFTLPSVIFQFFSGDFGKWIALIAITIRLFFPKEFPDWLEIPAGLILLIVVAPSLIAWTLRESWVGAVICLVIACYLFHEHIKASGGFKNSFTQKNGISNTIGIVALLVYPVWTIFFHIF >scaffold_700410.1 pep chromosome:v.1.0:7:1612076:1613797:1 gene:scaffold_700410.1 transcript:scaffold_700410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:D7MB28] MVRTASFGTSSSFVLRLGQTLFSSASLLFMCFNDDEDFYAYTAFCYLVTVMGLVTPWSVTLALMEAYSIILQQLPMQATVISVIVAGDFVLSFLSLGGACSTVSVAVRLIGAGEKQCDRYKLSATMAFLSSFLSFASTLFNFRLLPSLMSQ >scaffold_700412.1 pep chromosome:v.1.0:7:1621584:1621830:1 gene:scaffold_700412.1 transcript:scaffold_700412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGIFPVIAVPSDSGFCPVSSSSFNGDDRFLFGKSCSHERFWPLVSLLVVDQFYTDCFVFLVFNKFQ >scaffold_700413.1 pep chromosome:v.1.0:7:1626765:1629064:-1 gene:scaffold_700413.1 transcript:scaffold_700413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSLWSSFLVMQIIELEERLQSQFDVRNDLENALGYRETFQDDNIFTPKSLISPKATLRSKCLDFSRRYDELPKHEDQGIEYRCFSFDNRFNDPDSTESDIGSSVRRCQSLLNQRSIFISNRLSPPEESIKEYIKNRSSIGIRISHHIPIMTPNKLSEEMIKYASTMYIKLADTPLLSSISKLWSPSFRKYSVFDDQFESSGPYSLMIKVSHIKRQGHDFELMLRHFRLLVKQLEDVDQSKLTDQEKLAFWINIHNSLVMHTFLVNGIPKNNGKRFLLLSKIIESFSKDLGLNQVALMEKIQECLPEPMRKTIKKLNMGRSRKSIIEWIPHNFGFRYLIAKELIK >scaffold_700419.1 pep chromosome:v.1.0:7:1660033:1660966:-1 gene:scaffold_700419.1 transcript:scaffold_700419.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GNS1/SUR4 membrane family protein [Source:UniProtKB/TrEMBL;Acc:D7MBD4] MSTALINSLTYYLSEHPYIVGFRWSNSQSWGSTWSFLFTSISLYIAVSSSLHILLSAVLRRNRSVPLGHIPEIHSLLMSILSATIFAGILLSAAAEIRDTRWLWRRSKTSTPLQWLLCFPLGTRPSGRVFFWSYAFYLTRFLHMFRTIFAVLRRRRLAVSQLFCNSVMAFTSFLWLEFSQSYQILAILSTTLVYSVVYGYRFWTGFGLPGSAFPSFVVNCQLVLVGCNLVSHAGVLTMHLFKGGCNGIGAWGLNSVLNGAILLLFLNFYVRMHSPMRRRISNTSPELDLQANVTLKN >scaffold_700423.1 pep chromosome:v.1.0:7:1673138:1673333:-1 gene:scaffold_700423.1 transcript:scaffold_700423.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MBD8] MEEEEAYKLIKFVAKIETNYERIKKVADSLSPTGLSSSEAKRRRSRREMLQ >scaffold_700424.1 pep chromosome:v.1.0:7:1673725:1674701:1 gene:scaffold_700424.1 transcript:scaffold_700424.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-conjugating enzyme Ubc12 [Source:UniProtKB/TrEMBL;Acc:D7MBD9] MIGLFKVKEKQREQAQNATRGGASVKKQSAGELRLHKDISELNLPSSCSISFPNGKDDLMNFEVSIKPDDGYYHNGTFVFTFQVSPVYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLFHLFTEPNSEDPLNHDAAAVLRDNPKLFETNVRRAMTGGYVGQTFFPRCI >scaffold_700427.1 pep chromosome:v.1.0:7:1684595:1684818:1 gene:scaffold_700427.1 transcript:scaffold_700427.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHK7] MKNLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCSVSRSSRRVF >scaffold_700428.1 pep chromosome:v.1.0:7:1686094:1687498:1 gene:scaffold_700428.1 transcript:scaffold_700428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGGGSSSGRTPTWKERENNKKRERRRRAITAKIYSGLRAQGNYKLPKHCDNNEVLKALCLEAGWIVEDDGTTYRKGFKPPASDISGTPTNFSTNSSIQPSPQSSAFPSPAPSYHGSPVSSSFPSPSRYDGNPSSYLLLPFLHNIASSIPANLPPLRISNSAPVTPPLSSPTSRGSKRKLTSEQLPNGGSLHVLRHPLFAISAPSSPTRRAGHQTPPTIPECDESEEESIEDSGRWINFQSTAPTSPTFNLVQQTSMAIEMKRSDWGMSGMNGRGTEFEFENGTVKPWEGEMIHEVGVEDLELTLGGTKARC >scaffold_700430.1 pep chromosome:v.1.0:7:1690234:1693721:-1 gene:scaffold_700430.1 transcript:scaffold_700430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAPP1 [Source:UniProtKB/TrEMBL;Acc:D7MBE4] MASHSPPLDALVVPSEDYHQSEYVSARDKRREFVSGFSGSAGLALITKNEARLWTDGRYFLQALQQLSDEWSLMRMGEDPLVEVWMSDNLPEEANIGVDSWCVSVDTANRWGKSFAKKNQKLITTTTDLVDQVWKSRPPSEMSPVVVHPLEFAGRSVSDKLEDLRAKLKQESVAWLYNIRGTDVAYCPVVHAFAILTTDSAFLYVDKKKVSDEANAYFNGLGVEVREYTDVISDVALLASDRLISSFASKTVQSEATKDMEIDADQHDRLWVDPASCCYALYSKLDAEKVLLQPSPISLSKALKNPVELEGIKNAHVRDGAAVVQYLVWLDKQMQELYGASGYFLEAEASKKKPSESSKLTEVTVSDKLESLRAAKEHFRGLSFPTISSVGSNAAVIHYSPEPEACAEMDPDKIYLCDSGAQYLDGTTDITRTVHFGKPSAHEKECYTAVFKGHVALGNARFPKGTNGYTLDILARAPLWKYGLDYRHGTGHGVGSYLCVHEGPHQVSFRPSARNVPLQATMTVTDEPGYYEDGNFGIRLENVLVVNDAETEFNFGDKGYLQFEHITWAPYQVKLIDLDELTREEIDWLNTYHSKCKDILAPFMNQTEMEWLKKATEPVSVSA >scaffold_700431.1 pep chromosome:v.1.0:7:1694196:1695848:-1 gene:scaffold_700431.1 transcript:scaffold_700431.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minor allergen [Source:UniProtKB/TrEMBL;Acc:D7MBE5] MGKGGGCVPSKKKKPSSLATTGDGPGIDDDNDATIAPIQIDDDQTTIDGDRTTATNTAGTTTPAISTAAKISSPLKIFVVFYSMYGHVESLAKRMKKGVDSVQGVEATLYRVPETLSQEVVEQMKAPVKDLEIPEITAAELAAADGFLFGFPTRYGCMAAQMKAFFDSTGSLWKEQSLAGKPAGFFVSTGTQGGGQETTAWTAITQLVHHGMLFVPIGYTFGAGMFKMDSIRGGSPYGAGVFAGDGSREATETELALAEHQGNYMAAIVKRLAQP >scaffold_700433.1 pep chromosome:v.1.0:7:1711195:1713155:1 gene:scaffold_700433.1 transcript:scaffold_700433.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-box binding factor 1 [Source:UniProtKB/TrEMBL;Acc:D7MBE7] MGTSEDKMPFKPTKPTSSAQEVPPTPYPDWSNSMQAYYGGGGTPNPFFPSPVGSPSPHAYMWGAQHHMMPPYGTPVPYPAMYPPGAVYSHPSMPMPPNSGPTNKETVKDQASGKKSKGSSKKKGEGGDKALSGSGNDGVSHSDDSVTAGSSDENDDNANQQEQGSVRKPSFGQMLADASSQSTTGEIQGSVPMKPVAPGTNLNIGMDLWSSQAGVPVKDERELKRQKRKQSNRESARRSRLRKQAECEQLQQRVESLSNENQSLRDELQRLSSECEKLKSENNSIQDELQRVLGAEAVANLEQNAADGEGKN >scaffold_700434.1 pep chromosome:v.1.0:7:1713291:1715143:-1 gene:scaffold_700434.1 transcript:scaffold_700434.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:D7MBE8] MSGFASQIPSMALLGSGLTGEVGLRVLLSPLSSNIVLRTACCSIGIGLPVYSTFKAIESRDENEQQRMLIYWAAYGSFSLVEVFTDKIISWFPLYYHVKFAFLVWLQLPTVEGSKQIYNNQIRPFLLRHQARVDRLVDGVYEEMVKVVRSHQGEIRFVRSMIVKIFGSAVNEVAPPGQRLGEIANDSPEQAETNSDSESDSNHED >scaffold_700442.1 pep chromosome:v.1.0:7:1740036:1740271:-1 gene:scaffold_700442.1 transcript:scaffold_700442.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MBF6] MQAHLSWQRSDDKDVYSELYRPYKHLIHPSINLTHINITYQLLLSSSISPLSLAPSIIDEIVT >scaffold_700445.1 pep chromosome:v.1.0:7:1753710:1754069:1 gene:scaffold_700445.1 transcript:scaffold_700445.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MBF9] MSSTVYRQWRERAGETSHRKTGRRARRTFNTHHENQRRNDPPEPSRTHVKKRASNQEPSHSVGQNQTPERQGRRRFRVVTEKSLWGRRFGGAWMKRAVFLKIDIE >scaffold_700452.1 pep chromosome:v.1.0:7:1777028:1778183:-1 gene:scaffold_700452.1 transcript:scaffold_700452.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g36570 [Source:UniProtKB/TrEMBL;Acc:D7MBG5] MASNSMSSSASWTRKENKLFERALATYDQDTPDRWHNVARAVGGKSAEEVRRHYELLIRDVNDIESGRYPHPNYRSNGNNH >scaffold_700459.1 pep chromosome:v.1.0:7:1810698:1810891:-1 gene:scaffold_700459.1 transcript:scaffold_700459.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MBH3] MPAANPKITTTTSYHDESRSLETKDFITRQARYKNHEHASGCDDDDGCVT >scaffold_700461.1 pep chromosome:v.1.0:7:1818922:1821522:1 gene:scaffold_700461.1 transcript:scaffold_700461.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATLCB1 [Source:UniProtKB/TrEMBL;Acc:D7MBH5] MASNLVEMFNATLNWVTMMLESPSARVVLFGFPIRGHFFVEGLLGVVIVILLTRKSYKPPKRPLTEQEIDELCDEWVPEPLIPPITEDMKHEPPVLESAAGPHTTVNGKDVVNFASANYLGLIGHEKLLESCTSALEKYGVGSCGPRGFYGTIDVHLDCETRISKFLGTPDSILYSYGLSTMFSTIPCFCKKGDVIVADEGVHWGIQNGLQLSRSTIVYFKHNDMESLRSTLEKIMTKYKRSKNLRRYIVAEAVYQNSGQIAPLDEIVKLKEKYRFRVILDESNSFGVLGRSGRGLAEHHSVPIEKIDVVTAAMGHALATEGGFCTGNARIIDYQRLSSSGYVFSASLPPYLASAAITAIDVIDQNPDMLVKLKQNIALLWKGLSDIKGMSLTSNRESPIVFLKLEKSSGSAKDDLLLLEEMADRALKEDSLLVVSSKRSFLDKCRLPVGIKLYVSAGHSESDLLKASESLKRLASELLLKS >scaffold_700462.1 pep chromosome:v.1.0:7:1822408:1825176:1 gene:scaffold_700462.1 transcript:scaffold_700462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIQDAELKPRMGSFKKRSSSKNLRYSMTKRRRSSKVMSAEIIEDVHDAEELKAVDAFRQSLILDELLPEKHDDYHMMLRFLKARKFDLEKTKQMWTEMLRWRKEFGADTVMEEFDFKEIDEVLKYYPQGHHGVDKEGRPVYIERLGLVDSTKLMQVTTMDRYVNYHVMEFERTFNVKFPACSIAAKKHIDQSTTILDVQGVGLKNFNKAARDLITRLQKVDGDNYPETLNRMFIINAGSGFRMLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEIIDDSELPEFLGGSCTCADNGGCMRSDKGPWKNPEIMKRVHNGDHKCSKGSKAENSAEKTIPEENASTTEPASEEEKASTEVEIVPAAHPAWNMPEAHKFSLSKKEVYAIQEACNNATTEGGRSPIFTGVMALVMGVVTMIRVTKNVPRKLTESTLYSSPVYCDDASMNKSAMQSEKMTVPAISGEDFMAIMKRMAELEQKVTVLSAQPTVMPPDKEEMLNAAISRSNVLEQELAATKKALDDSLGRQEELVAYIEKKKKKKKLFNYW >scaffold_700464.1 pep chromosome:v.1.0:7:1831827:1832519:1 gene:scaffold_700464.1 transcript:scaffold_700464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDGKTKVKAINMNNTVDKINETWNQHKASCLSELLEFLGGSCTCADNGGCMRSDKGPWKNPEIMKRVHNGDHKCSKGSQAENSAAKTIPEENDSTTETAPEEEKASTEVEIVRMANH >scaffold_700476.1 pep chromosome:v.1.0:7:1895776:1899663:1 gene:scaffold_700476.1 transcript:scaffold_700476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSKNAAVSDVVNLDSDSDSDDGVGGRGAFRSMASLMENQQVPSTIADAATVAPRETLECRSFWKAGENFAIPTGVTPTASGLLEHARVHPKFLHSNATSHKWAFGAIAELLDNAVDEIQNGATFVKIDKIDIVKDNSPALVFQDDGGGMDPDGLRKCMSLGYSSKKSNTTIGQYGNGFKTSTMRLGADAIVFSRSSRGGKSTQSVGLLSYTFLRKTSQDDVIVPMIDIDISKERPQPIIYGSPEDWAANLQILLKWSPFSTEDELWQQFVEIGTHGTKVIIYNLWLNDEGIYELSFDDDSEDIRLQDEGVHDGKRLPHKVLELRSHISYQLRYSLRAYASMLYLEKFENFKIILRGIPVEQFNIADELRYSKIIKYKPYKATMEQATTKIKVGFIKEGPKLAVCGFNVYHKNRLIRVKTCRRDSSIKICSPGVLEANFIEPAHDKQDFERSSLFQRLEARLKKIVHDYWQSHVQVFGYNIYKVPAAAVKSKKIAIRDQPPTVNTFNPLPLPCDRISQGGPIIREISLSNATSSRTVAVAAPHLKNSTGLRSNFQPVQLSPQPAATVSEISSQLDTENNLVGMSADEISEENMQLFMRCEEYVKKETEMEQAVSNLEKELEETKSKCARLALLVDAKRREMQQEDS >scaffold_700480.1 pep chromosome:v.1.0:7:1924644:1924834:1 gene:scaffold_700480.1 transcript:scaffold_700480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPLRLADFRYIMGIFEKYTVLKPQPLILA >scaffold_700481.1 pep chromosome:v.1.0:7:1937808:1939808:1 gene:scaffold_700481.1 transcript:scaffold_700481.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferulate-5-hydroxylase [Source:UniProtKB/TrEMBL;Acc:D7MBN5] MESSISQTLSKVSDPTTALLIVVSFFIFIGFITRRRRPPYPPGPRGWPIIGNMLMMDQLTHRGLANLAKKYGGLCHLRMGFLHMYAVSSPDVARQVLQVQDSVFSNRPATIAISYLTYDRADMAFAHYGPFWRQMRKVCVMKVFSRKRAESWASVRDEVDKMVRSVSCNVGKPINVGEHIFALTRNITYRAAFGSACEKGQDEFIRILQEFSKLFGAFNVADFIPYFGWIDPQGINKRLVKARNDLDGFIDDIIDEHMKKKENQNAVDDGDVVDTDMVDDLLAFYSEEAKLVSEAADLQNSIKLTRDNIKAIIMDVMFGGTETVASAIEWALTELLRSPEDLKRVQQELAEVVGLDRRVEEADIEKLTYLKCTLKETLRMHPPIPLLLHETAEDTSIDGFFIPKKSRVMINAFAIGRDPTSWADPDTFRPSRFLEPGVPDFKGSNFEFIPFGSGRRSCPGMQLGLYALDLAVAHILHCFTWKLPDGMKPSELDMNDVFGLTAPKATRLFAVPTTRLICAL >scaffold_700482.1 pep chromosome:v.1.0:7:1943155:1946499:-1 gene:scaffold_700482.1 transcript:scaffold_700482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQEMALAKAADAMVHSIQSSVSRDAKKEKHQEYETECREKYAVPEVKSKDVDLDKEKDKKEAADSAETESLEAGVVIIDGSHKPEVLENEKSVEEVTLLSHQRKINVLYELLSACLSDKHQEDNKCKRRRKGYDARHRVALRLLATWFNIEWIKVEAIETMVACSAMAIQKSAEMKGEDALSTTTSWAKWKRGGIIGAAAITGGTLMAITGGLAAPAIAAGFGALAPTLGTLIPVIGAGGFAAAASAAGTVAGSVAVAASFGAAGAGLTGTKMARRIGDLDEFEFKAIGENHNQGRLAVEVLVAGVVFEEEDFVKPWEGLTSNLERYTLQWESKNLILVSTAIQDWLTSRLAMELMKQGAMHTVLASLLMALAWPATILVAADFIDSKWSIAIDRSDKAGRLLAEVLQKGLQGNRPITLVGFSLGARVIFKCLQALAETEQNAELVERVVLLGAPISINNENWRDVRKMVAGRFINVYATNDWTLGIAFRASLFSQGLAGIQPICIPGIENVDVTDMVEGHSSYLWKTQQILERLEIDTYYPVFRDTL >scaffold_700501.1 pep chromosome:v.1.0:7:2055165:2055687:1 gene:scaffold_700501.1 transcript:scaffold_700501.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MBQ2] MLSSSPTSFAHPFLSSSPPLSPISPPSRMARIPPPLVSASCSYTCAEDSPRLHQIPRRLTTAPASLYEILEVPLGATSQDIKSAYRRLARICHPDVAGTDRTSSSADEFMKIHAAYCTLSDPEKRSVYDRRMLRRSRPLTVGTSGLGSYVGRNWETDQCW >scaffold_700504.1 pep chromosome:v.1.0:7:2064925:2066481:1 gene:scaffold_700504.1 transcript:scaffold_700504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSQVSLFLLLILVLIYGVSSTTFTIVNQCSYTVWPGLLSGAGTSPLPTTGFSLNPTETRVIPIPAAWSGRIWGRTLCTQDATTGKFTCITGDCGSSTVECSGSGAAPPATLAEFTLNGANGLDFYDVSLVDGYNIPMTIVPQGGGDAGGVAGNCTTTGCVAELNGPCPAQLKVATTGTEGVACKSACEAFGTPEYCCSGAFGTPDTCKPSEYSQFFKNACPRAYSYAYDDGTSTFTCGGADYVITFCPSPNPSVKSATKGLQPEAVSYSTASPNASPTLSAVFSIGVLAVVASWAMQLVW >scaffold_700522.1 pep chromosome:v.1.0:7:2152761:2155890:-1 gene:scaffold_700522.1 transcript:scaffold_700522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLIQSISGRNRSLVRALVSRRYFASSPEELAKKNYANDLSEYNTAVHSVTAQRRHYLLRDVYDDMKLDGVQPTADIFHSFVVGTMKGARLSDAFFFREEMKAMGIAPDVNLYNFLISTCGKCKNGKEAIRVYDEMKRYDVKPNGQTFVCLLNACAVSGQLDLVYAIVRDMTAAGVGLNQFCYAGLITAHLNKQPRPDNLSTKILEFVEQSKGWSAIDSSRKSAEDVMFSISEEELYNIPTADYAHRTRFLQRNLTVYHVAFSALADIKDVKATEALLEMLKKDGKDTDTYCVLQIMRCYLHSQDFENGLKVFEDYMSADKIPAMELYTTLIEGAMTGHTDNGMKIAQDTLVKMNERNFFLDPRTGSNLLLKAAGEKTGGYTVANMIWDLMLARNILPTLAAVEAYYKGLKEREIPEDDPRLMLVTRTYNNLRLKEGTLPNRR >scaffold_700524.1 pep chromosome:v.1.0:7:2164159:2169226:1 gene:scaffold_700524.1 transcript:scaffold_700524.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitate hydratase [Source:UniProtKB/TrEMBL;Acc:D7MBS5] MASENPFRGILKALEKPDSGEFGNYYSLPALNDARIGEFINYLIPLGYFLNLPYVTVMSSKLRATMLRRFLIGRILRNSIQTCSGSSSGTDFIGVPDVVDLACMRDAMNNLGGDSNKINPLVPIDLVIDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSNAFHNMLVVPPGSGIVHQVNLEYLARVVFNTNGLLYPDSVVGTDSHTTMIDGLGVARWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLRDGMTATDLVLTVTQMLRKHGVVGKFVEFHGEGMRELSLADRATIANMSPEYGATMGFFPVDPVTLQYLRLTGRSDETVFTIEAYLRANKMFVDYSELESKTVYSSCLELNLEDVEPCVSGPKRQTDIRAWIIKWDSRLDFAVPKEAQSKAVEFNFNGTTTQLRHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVTKYLAKSGLQKYLNQLGFSIVGYGCTTCIGNSGDIHEAVASAIVDNDLVASAVLFGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFETQPIGTGKDGKQIFFRDIWPSNKEVAEVNIYGLFNLVSFLICSKLHMKQSPKEIPLGPEIEWDPKSIYIHEPPYFKGMTMSPPGPHGVKDAYCLLNFGDSITTDHISPAGTIHKDSPAAKYLMERGVDRRDFNSYGSRRGNDEIMARGTFANIRIVNKHLKGEVGPKTVHIPTGEKLSFFDAAMKYRNEGRDTIILTGAEYGSGSSRDWAAKGPMLLGVKAVISKSFERIHRNAETLGLTGQELYTIDLPNTVSKIKPGQDVTVITNNGKSFTCTLRFDTEVELAYFDHGGILQYVIRNLIKQ >scaffold_700527.1 pep chromosome:v.1.0:7:2174053:2174282:-1 gene:scaffold_700527.1 transcript:scaffold_700527.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MBS8] MSKRIHDWSPKPLFIEKEAAQRSVLVYWAVNVSGPMKRINGPASALTKETHLREEFRLLSR >scaffold_700530.1 pep chromosome:v.1.0:7:2187187:2188822:1 gene:scaffold_700530.1 transcript:scaffold_700530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPRRRDSRSPSPRKERARSRSRSRSRSRSLPRPISPSRSRGRSEVENPGTTLYVTGLSTRVTDKDLEAHFAKEGKVASCFLVMEPRTRVSRGFAFVTMDSVKDAERCIKYLNQSVLEGRYITVERDASCFLEYTYGFSRLKVVFHYMRFMYFVLSSV >scaffold_700531.1 pep chromosome:v.1.0:7:2188949:2189275:1 gene:scaffold_700531.1 transcript:scaffold_700531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVEGGTMIVMIIETVGHQDATIHLVTKEGLEEIGLTLLMEEAQKEDQREGRRGQREGMNPVARDDLFHPLDKGLNNNSVDLGCVIDHP >scaffold_700532.1 pep chromosome:v.1.0:7:2189572:2189800:-1 gene:scaffold_700532.1 transcript:scaffold_700532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCSSTTKMRRKRKREEEGCRESMERNKGCLAMVKERRSRFYIARRCILMLLCWHKYANS >scaffold_700539.1 pep chromosome:v.1.0:7:2211010:2211372:1 gene:scaffold_700539.1 transcript:scaffold_700539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKFSSKSAFIILLAFTVMIFVTVQIAEAKRLLPEETSLHPEASLTVKQNGFGFCTPPCKELCFGTGCYCVCPPPIKT >scaffold_700542.1 pep chromosome:v.1.0:7:2221021:2221363:1 gene:scaffold_700542.1 transcript:scaffold_700542.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MC51] MAANLPITKLANVKPFQNNRSLIDSTHSIHTRDNENDSCYCFYEQAAKVYQLWRVEIY >scaffold_700544.1 pep chromosome:v.1.0:7:2229749:2230640:-1 gene:scaffold_700544.1 transcript:scaffold_700544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMIVKNQLRSISLPSRSHPSTTGVEEALNKVNSINTTTGSSESVLMGLAGLEELYNCTEEFLKMGSTQRVLSSDGSEFMEEMLDGSLRLMDICSVSRDLMVETHEHVRGVQSCLRRKKLAGGGDQLDVAISGYVGFRKNMRKEAKKLFGSLKNIDGGLSSSLSVNNGQKEEHLVAVTNAMRKVVSVSVSVLRSFLEFLLGRKQSNIKSKLASVLKKKKVHHVEETKNELESLDSAICCSCDDLQEKLEEVEMSIDGFEKNLEGLFRRLIRTRASLLNIISH >scaffold_700545.1 pep chromosome:v.1.0:7:2233805:2234426:1 gene:scaffold_700545.1 transcript:scaffold_700545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGMFSQPPPVYGALQGMNFFASNPEMKAFFEKPRRSWVIDVEGFDTSVPADEMEEALINHFKSCGAILRASVRRHPDNGLANIVMVGDDADEKVMQLNGTELGGKKLVVKARPYPRMDYRHLNLPFASSS >scaffold_700546.1 pep chromosome:v.1.0:7:2235430:2236417:-1 gene:scaffold_700546.1 transcript:scaffold_700546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDLVFLSDQTTHQPVRSVSLPSRIHPLSVKIRAALNRLSFWRRSSSSISISASFGYETVLVGLVDLTELYSCVHELLESPYVKHTLLHHQKGKQLLEDSLDGSVLLLDVYEATREVIVAMREHVTNLKSALRRKGSVEKEAKAYVNLRKKAKKEISKQINALKKMETRDISTNIDHDSTIASTIVLRETIEFTVSIFRHLLLFLSTIPPPPSPTKKIKTTIGFLPFPFVSSSLSDKSLNLIKEMKSLDEVFLGSILDPSKTFFEVETRQKEKMRRDVVEDGFRDLEAELDSVSKSLVKNRVLFLNILSNCYY >scaffold_700547.1 pep chromosome:v.1.0:7:2236994:2239284:-1 gene:scaffold_700547.1 transcript:scaffold_700547.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7MC56] MKTILDISILINFLCFGIVYGQNYNVLNFDAKGDGQTDDSKAFLQAWTAACGGDGDIKTLLIPSDKTFLLQPTVFQGPCKSSSIKVQLDGAIVAPSDKVAWSDPISRMWIKFSTVSGLTIVGSGTIDSRGSSFWELNLKASQRPTALHISKCDNLRINGITSIDSPKNHISIKTCNTVAISNINLFAPETSPNTDGIDISDSTNINIFDSTIQTGDDCIAINSGSSNINITGINCGPGHGISVGSLGAGGAEAKVSDVHVTHCTFNQTTNGARIKTWLGGQGYARNISFTDITLINTKNPIIIDQQYIDKGKLDGEESSVAISNVKYVDFRGTSSNKNAITLKCSETTHCIDVVMDGIDITMANGGKPKVNCQYVDGESSDTDLMRDCFKNNTSS >scaffold_700550.1 pep chromosome:v.1.0:7:2243182:2243428:-1 gene:scaffold_700550.1 transcript:scaffold_700550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSEARPLPKFGEWDVNDPATAEVFTVIFSKAGEDKKTGRSSSKAPSQRKQDGVKPTKKWLCFTFS >scaffold_700553.1 pep chromosome:v.1.0:7:2250557:2252843:1 gene:scaffold_700553.1 transcript:scaffold_700553.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSERAT3_2 [Source:UniProtKB/TrEMBL;Acc:D7MCE5] MACINGENCDFSCSSSLSSLPMIVSRNFSARDDGETGDEFPFERVFPVYARGTLNPVADPVLLDFTNSGYDPIWVSIREEAKLEAEEEPVLSSFLYASILSHDCLEQALSFVLANRLQNSTLLATQLMDIFCNVMVHDRGIQSSIRLDVQAFKDRDPACLSYSSAILHLKGYLALQAYRVAHKLWKQGRKLLALALQSRVSEVFGIDIHPAARIGKGILLDHGTGVVIGETAVIGDRVSILHGVTLGGTGKETGDRHPKIGDGALLGACVTILGNIRIGAGAMVAAGSLVLKDVPSRSMVAGNPAKLIGFVDEQDPSLTMERDATREFFQNVAVAYRETIPNGSLVSGSCREKRH >scaffold_700556.1 pep chromosome:v.1.0:7:2259334:2260183:1 gene:scaffold_700556.1 transcript:scaffold_700556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNPEKTKVDDVEEDEREDSDEQWNDEETDTREIVLGLPALSISSRIFVDSSSVAVVEEDVEKARLNEQAVLAAGLVLAAAEEAVMKGVNEGSGSGGKKKSRPRTSTKTDDKADGSGKKPKKKSSELTHPPKGPPVCHICGRGFGSWKAVFGHMRAHKDRNYQGFLPPPTFSAAAERFSIPGRNSAFAIVTAGGGSSGGLAGGGGSSGGCPASAGGDAWGCEGGKGAAIDLNVDPVEEVEEATESGYIAKFDLNKSPPKDEEEEDKAK >scaffold_700558.1 pep chromosome:v.1.0:7:2267443:2267633:-1 gene:scaffold_700558.1 transcript:scaffold_700558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKSQPLSLA >scaffold_700563.1 pep chromosome:v.1.0:7:2287712:2287907:1 gene:scaffold_700563.1 transcript:scaffold_700563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKALAFTIPALSYSSFFLFSSSPKSHYHELVLSASFSNNFFCLNESIY >scaffold_700564.1 pep chromosome:v.1.0:7:2300262:2301610:1 gene:scaffold_700564.1 transcript:scaffold_700564.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein [Source:UniProtKB/TrEMBL;Acc:D7MCZ4] MMEWDNQQQPNNHHSSNLQGIDVNGGSGAGGGMYVKVMTDEQYETLRKQIAIYGTICERLVEMHKTLTAQQDLAGGRLGGLYADPMMSSIGHKMTARQRWTPTPVQLQILERIFDQGTGTPSKQKIKEITEELSQHGEIAEQNVYNWFQNRRARSKRKQQGGGSSGNNNGESEVETEVEALNEKRVRPESLLSLPDGNNNNNVLGSTTTTTTPRPEDLCFQSPEMSSDLHLLGVLANPRDEHLVGKMGLSESYNLYDHVEDYGMSG >scaffold_700571.1 pep chromosome:v.1.0:7:2322712:2323222:-1 gene:scaffold_700571.1 transcript:scaffold_700571.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 family protein [Source:UniProtKB/TrEMBL;Acc:D7MD01] MAAAAKEILTRRPVAATIRLTVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPDTPMAVTITAFKDNSFEFTVKSPTVSWYIKKAAGVDKGSTRPGHLTVTTLSVGHVYEIAKVKQTDPFCQYMPLESICKSIIGTANSMGIKIVKDLE >scaffold_700574.1 pep chromosome:v.1.0:7:2337536:2339140:-1 gene:scaffold_700574.1 transcript:scaffold_700574.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:UniProtKB/TrEMBL;Acc:D7MD04] MNCMTRLKCLISKARSFARLGGESTLSQPPSLASATVSSSAVMNGLETHNTRLCIVGSGPAAHTAAIYAARAELKPLLFEGWMANNIAPGGQLTTTTDVENFPGFPEGILGAELTDKFRKQSERFGTTIFTETVTKVDFSSKPFKLFTDSRTVLADAVILATGAVAKRLNFVGSGEGSGGFWNRGISACAVCDGAAPIFRNKPLAVIGGGDSAMEEANFLTKYGSKVYIIHRRDAFRASKIMQQRALSNPKIDVIWNSSVVEAYGDGERDVLGGLKVKNVVTGDVSDLKVSGLFFAIGHEPATKFLDGGVELDSDGYVVTKPGTTQTSVPGVFAAGDVQDKKYRQAVTAAGTGCMAALDAEHYLQEIGSQQGKSD >scaffold_700583.1 pep chromosome:v.1.0:7:2371327:2373934:-1 gene:scaffold_700583.1 transcript:scaffold_700583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAFSWIPKGASKAMPDSAESPSMEDIKELIQNGTFKKRKKRWTMKHRVAHAKSVAKSFGKPCSKSKGASSSSTDADDVVKFLKELDMDNYDEEDVSFMILVLDSEIELFSSGQGDLYYPSNEMDPYLKDTDADYDSEDLDDMIIRPTDLLIICASIKREVNSLEVYVYEESGNMYLRHDMIISKAPLCTAWLDCPLKGGEKGNFVAIGSMDSSKEIWDLDLVNEVLPCVQLGRIAGQTSDCHTDPVIDLAWNKEFRNIVASASADKKVKVWDVATGKCKVTMEHHEEKVKAVAWNHYAPEVLLSGSSDGTVVMKDGRDPSHSGLKWSTKAEVEDLAWDPHSEHSFVASLEDGTVKGFDIRASDLSPNFILHAHYGEVSSISYNIQAPNVHTYLSFSLVSYHIHSVASRFNIYVILRAVQLLATGSRDESVKLWDLSNNQPSWIATNMPNAVRAMNKYFCWHTFLSGFFVHHSKLLIYQTGRSFLGLILCGLPLLTRCLWLRGTKYTGVSRRYGSSRP >scaffold_700593.1 pep chromosome:v.1.0:7:2402038:2402933:1 gene:scaffold_700593.1 transcript:scaffold_700593.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MD22] MNNNHSFYDRNFHIPLHPSNASNPNPNLQFALSSSYEHSPKKKRTKTVASSSSSSPKSASKPKYTRKPDPNAPKITRPCTECGRQFWSWKALFGHMRCHPERQWRGINPPPNYRASTTASSRQLNQRSPNWVSFMSEEDHEVASCLLLLSNGTPSSSSSERFECGGCKKVFGSHQALGGHRASHKNVKGCFAITNVTDDPMTVTTSSDQDHKAKILTFSGHHKCNICFRVFPSGQALGGHMRCHWEREEETMISGALDLNVPAIQDLSTSDTSGCCLDLRLGL >scaffold_700595.1 pep chromosome:v.1.0:7:2414338:2416571:1 gene:scaffold_700595.1 transcript:scaffold_700595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSLTLLKNLARNANGSGFQTRSVTYMPRPGDGAPRAVTLIPGDGIGPLVTNAVEQVMEAMHAPIFFEKYDVHGEMSRVPAEVMESIRKNKVCLKGGLKTPVGGGVSSLNVQLRKELDLFASLVNCFNLPGLPTRHENVDIVVIRENTEGEYAGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVAKKYPSITYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGKDKIVLENKANPVALLLSSAMMLRHLQFPSFADRLETAVKKVIAEGKCRTKDLGGTSTTQEVVDAVIAKLD >scaffold_700596.1 pep chromosome:v.1.0:7:2416983:2417630:1 gene:scaffold_700596.1 transcript:scaffold_700596.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MD25] MMRFLGNNVAKVISKNIVFVLFVSKILSPLLVIEIEGTNLQICRLQNRNISSATVTATIDDAPKYLCRAVVCNAQSFFSFCFRKLELSSFNMFFWSTNSGGWVSAVQHPPQSFFRKLKRRNSESSDCWINTKPDLRHP >scaffold_700603.1 pep chromosome:v.1.0:7:2451862:2452648:-1 gene:scaffold_700603.1 transcript:scaffold_700603.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MD32] MLQFLGRKLLRSGFGLSLTERARARAPRLPCCLGGRDEPRLVEHNASLIYGNRIKQLDLMKEKMRLRDARIDAYLKKEMMLKHESIISKKDPEAADDTFFRYCIAISSNRSFLSLKQRCDADEAFAKRLIGFVTRCQRKEANFMLWAMKEHSKYSIPKTYTSESASRAFARTLCPIVIFFVILLAKMAWSKAKDTHKESQREADLKSRFATLRKHLEDMVRDENEKMEVRMTGIEGGIENVREELSKK >scaffold_700604.1 pep chromosome:v.1.0:7:2455302:2456683:-1 gene:scaffold_700604.1 transcript:scaffold_700604.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:D7MD33] MEIVKSRFKRVCVFCGSSSGNRECYRDAATDLAQELVTRRLNLVYGGGSIGLMGLVSQAVHEAGGHVLGIIPRTLMDKEITGETYGEVKAVADMHERKAEMARHSDCFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNYLLTFIDKAVDDGFIKPSQRHIFVSAPNAKELVQKLEAYEPVSDGVIAKSRWEVEKKVQQPQQVVFCSNTSMQTEIAL >scaffold_700607.1 pep chromosome:v.1.0:7:2467569:2467975:-1 gene:scaffold_700607.1 transcript:scaffold_700607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNSRAIFSLFLVVAICAAILITPGIAHDDKTPPRSQFPPDFPIDLEKCWSSLFNTQGCVFELLKSVFSGQFGNVGVACCKAFSTIDANCWPHMFPLNPFFPPLLKDNCAHIVPNSPTTK >scaffold_700611.1 pep chromosome:v.1.0:7:2480410:2482864:1 gene:scaffold_700611.1 transcript:scaffold_700611.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MDF3] MAATLLSRCYRIYNCDACKCVSSENHQTTGKRSLEFDSRFSKPVRLVLRDRYKVTKQLNDPALTRALRGFADSGLMEDALQLFDEMNKADTFVWNVMIKGFTSCGLYFEALQLYCRMVFSGVKADSFTYPFVIKSVTGISSLEEGKKIHAMVIKLRFVSDVYVCNSLISLYMKLGCSWDAEKVFEEMPERDIVSWNSMISGYLALEDGFRSLMLFKEMLKFGFKPDRFSTMSALGACSHVYSPNMGKELHCHAVRSRIETGDVMVMTSILDMYSKYGEVSYAERIFKCIIQRNIVAWNVLIGCYARNSRVTDAFLCFQKMSEQNGLQPDVITLINLLPACAILEGRTIHGYAMRRGFLPHIVLDTALIDMYGEWGQLKSAEVIFDRIAEKNLISWNSIIAAYVQNGKNYSALELFQKLWDSSLLPDSTTIASILPAYAESLSLSEGRQIHAYIVKSRYGSNTIILNSLVHMYAMCGDLEDARKCFNHVLLKDVVSWNSIIMAYAVHGFGRISVCLFSEMIASKVDPNKSTFASLLAACSISGMVDEGWEYFESMKREYGIDPGIEHYGYMLDLIGRTGNFSSAKRFIREMPFLPTARIWGSLLNASRNHNDITVAEFAAEQIFKMEHDNTGCYVLLLNMYAEARRWEDVNRIKLLMESKGISRTSSRSTVEAKSKTHVLTNGDRSHVETNKIYEVLDIVSRMIGEEEEEDSYVHYVSKLRRETLAKSRSNSPRRHSVRLATCFGLISTETGRTVTVRNNTRICRKCHEFLEKASKMTRREIVVGDSKIFHHFSNGRCSCGNYW >scaffold_700612.1 pep chromosome:v.1.0:7:2484023:2485128:-1 gene:scaffold_700612.1 transcript:scaffold_700612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKVEPPQKKKITISPSPPSFSSLPDELVLHCLARISKSYYRSLSLVSKSFYSLLTSPDIYAFRSQIGTTEPCLYICLKSPTCRHSWITLDETLITNGGEIKDELSLEMVKLPSSHSPTRLNSTTVAAGSEIYQIGGINKTKRSRAVISVLDCCSHKWRRAPNMRLPRVDAKSWFLDGKIYVMGGCRKREESMNWGEVLDLKTQTWKPLPCPSDNGVDFNHKENVAVLRGRLYVTTKDKNYVYDPKEGRWKETLELASGICFGLSLESITGPWCLVENVMINDNGGRYWWWYESSSGMIPGLSDLYMKRASNYRTIQLVNYGGKLLIIWQATINKRTTWYALNRLEKRLSSFE >scaffold_700613.1 pep chromosome:v.1.0:7:2485668:2486907:-1 gene:scaffold_700613.1 transcript:scaffold_700613.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MDF5] MDTAKVEEPQETKKTTLTAQTPLSMSISSLPDEIVLSFLARISKSYYRSLSLVSKSFYALLSSTEIYAAQSHIGATEPRLYVCLWLLNKHRWFTLAEIEGELSLVPVRLSSSFPFTRLNSTTVAAGSEIYKIGGTVKGKPSRAVFVLNCQTHRWRHAPKMKVSRVHAKSCFLDGKIYVMGGCRKSEEESMNWGEVFDLKTRTWKPLPSPSDGAVDSNHKVAVFGERLYVITKHKKYAYDPKEGRWLAEVGFVDLEPITGTRSGGIEKVMQPITGPWCVIGNVMFTEEYNKYKWFCSRRGVWLRVEGLNDLEGLNDLYEKRGFHYYRTIKLVNYGGKLLIIWHNWIHSEERTIWCAVIRLEERMLPHFGPWICGEVESCNVVVPSVPKSYKLSSCQCVFV >scaffold_700614.1 pep chromosome:v.1.0:7:2487722:2489003:-1 gene:scaffold_700614.1 transcript:scaffold_700614.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MDF6] MDTAKVEEPQETKKTTLTAQTPLSMSISSLPDEIVLSFLARISKSYYRSLSLVSKSFYALLSSTEIYAARPHIGATEPRLYVCLWLLNKHRWFTLLNPDQTLITNGGEIEGELSLVPVRLSSSNPPARLKSTTVAVGSEIYQIGGTVNGKRSKAVGVLDCRSHTWRRAPNMRVSRVGAKSCFLDGHIYVIGGCRKSEEESKNWGEVFDLKTQTWNPLPSPSDNYAVDSNHKVAVFGERLYVITKHNNYAYAPNEVRWLPDVGSVDLQPITGPWCGGIEKVMKPITGRPWCVIGNVMFTDECRKYRWYSSSHGAWLRVEGLHDLYAKRRFEYRTIQLVNYGGKLLIIWDEWVMILDRNYLIRSQDKQIWCAVIRLEERMSYLGPQIWGQVESCNVVVPSVPKSYKLSSCQCVSV >scaffold_700619.1 pep chromosome:v.1.0:7:2509057:2510789:-1 gene:scaffold_700619.1 transcript:scaffold_700619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLQPSSSSSSIYPSKFPSRTSPFLPRLRSSSLGFVSTHRPESRRVSSISCNSFQNPSAYTSIGSNMTNNSFNGSPQSEESKPNPGFLTRIATTASEQRKTLSTGTVILISAVAALLLNPLLAPPAFASFQTAAKSGWLTSAWTGFLAGCLHTLSGPDHLAALAPLSIGRSKMESAAVGALWGCGHDAGQVIFGLLFLLLKDRLHIEVLQTWGTRIVGLTLVIIGAMGIKEASEIPEPCVALETDISMVSTEKEALPLPKKKKIGFATFATGVVHGLQPDALMILLPALALPSRLAGSAFLIMFLVGTVIAMGSYTAFIGSCSEALKEKVPRITEKLTWVSSLVAIGLGLGIVISPFFGFSLY >scaffold_700622.1 pep chromosome:v.1.0:7:2530884:2533000:1 gene:scaffold_700622.1 transcript:scaffold_700622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGKDEPGLDQVEEEFSVWKRNTPFLYDLMISHPLEWPSLTLHWVPSTPIPYAKDPYFAVHKLILGTHTSGGAQDFLMVADVVIPTPDAEPGLGGRDQEPIVPKVEIKQKIRVDGEVNRARCMPQKPTLVGAKTSGSEVFLFDYARLSGKPQTSECDPDLRLMGHEQEGYGLAWSSFKEGYLLSGSQDQRICLWDVSATASDKVLNPMHVYEGHQSIIEDVAWHMKNENIFGSVGDDCQLVIWDLRTNQMQHQVKVHEREINYLSFNPFNEWVLATASSDSTVALFDLRKLTAPLHVLSRHEGEVFQVEWDPNHETVLASSGEDRRLMVWDINRVGDEQLEIELDAEDGPPELLFSHGGHKAKISDFAWNKDEPWVISSVAEDNSLQVWQMAESIYREDDEE >scaffold_700623.1 pep chromosome:v.1.0:7:2533955:2535000:-1 gene:scaffold_700623.1 transcript:scaffold_700623.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7MDG4] MEDGELDFSNQEVFSSSEMGELPPSNCSMDSFFDGLLMDTNAACTHTHTCNPTGPENTHTHTCFHVHTKILPDESDEKVSTDDTAESCGKKGEKRPLGNREAVRKYREKKKAKAASLEDEVARLRAVNQQLVKRLQNQATLEAEVSRLKCLLVDLRGRIDGEIGSFPYQKPMAANIPSFSHMMNPCNVQCDDEVYCPQNVFGVNSQEGASINDQGLSGCDFDQLQCMANQNLGGNGNGSFNNGNTSVSNKRKGGHRASRAV >scaffold_700628.1 pep chromosome:v.1.0:7:2560185:2561145:1 gene:scaffold_700628.1 transcript:scaffold_700628.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB32 [Source:UniProtKB/TrEMBL;Acc:D7MDG9] MGRSPCCEKDHTNKGAWTKEEDDKLISYIKSHGEGCWRSLPRSAGLQRCGKSCRLRWINYLRPDLKRGNFTLEEDDLIIKLHSLLGNKWSLIATRLPGRTDNEIKNYWNTHVKRKLLRRGIDPATHRPINKTPQDSSDSSKTEDSLVKILSFGPQLEKIANFGDERNEKEVMCQKERVEYSVVEERCLDLNLELRISPPWQDQLHDEKNLRFGRVKRMCTACRFGFGNGKECSCDNTKSQTEDSSSSSYSSTDFSSSIGYDFLGLNNRVLDFSTLEMK >scaffold_700633.1 pep chromosome:v.1.0:7:2586631:2588668:-1 gene:scaffold_700633.1 transcript:scaffold_700633.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MDH4] MADIVKQILVRPIQLADQITKASDEAYSFRQECLEVKAKTEKLAGLLRQAARASNDLYERPTRRIIDDTEQVLFKALALVEKCRATGLMKRVFTIIPAAAFRKITMQLENSIGDVSWLLRVSASGDDRDDEYLGLPPIAANEPILCLIWEQVAILFTGSLDDRSDAAASLVSLARDNDRYGRLIIEEGGVPPLLKLAKEGKMEGQENAARAIGLLGRDPESVEQIVNAGVCQVFAKILKEGHMKVQTVVAWAVSELASNHPKCQDHFAQNNIIRFLVSHLAFETVQEHSKYAIVSNKQTLSSIHTVVMASNTNPTGKKENSEQDETKSNISHPMSNQTPSQMHSLITNTLAMKGSGPSSGSGSGSGSGTNKNQIKQSNQQHQNHTKGGSNPRGNNPTHVSLMGTSIKGREFEDPATKAQMKAMAARALWQLSRGNLQICRSITESRALLCFAVLLEKGDDEVKSYSALAMMEITDVAEQYPELRRSAFKPTSPAAKAVVEQLLKVIENEITDLLIPCIKSIGSLSRTFRATETRIIGPLVKLLDEREAEVAMEAAVALIKFSCTDNFLRDNHSKAIIAAGGAKHLIQLVYFGEQMVQVPALMLLCYIALNVPDSEALAQEEVLVVLEWSTKQAHLVEAPTIDEILPEAKSRLELYQSRGSRGFH >scaffold_700634.1 pep chromosome:v.1.0:7:2589665:2590748:-1 gene:scaffold_700634.1 transcript:scaffold_700634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFGNLVDSMVSFANDSARTVAEEVINPAVSIIQNQLQRPRDVLEQQQILDNLQESNGSHFPGDDYHSPDRKNWMAHLSVDKLTLNKIVWPGTHDSATNGIGDPVFTRWLGECQTLSIFDQLVLGTRVLDIRIQEDRSVCHGALSSYNVDVVLNDVVRFLSETQSEIIILEIRTEFKKKDPMGFEAYLADKIGQFLIHQDDNLFDKPISEILPKRVICIWKPRDSQKPRRGGLLWNSDYLKDNWIDTDLPWTKFQSNLKHLSEQQPISYRRFFYRVENTVTPQADNLVVGVKPVTDRIRKHARLFISQCVSRGCGDKLQILSTDFIEGDFVDACVGLTHARIEGKV >scaffold_700635.1 pep chromosome:v.1.0:7:2591673:2592673:-1 gene:scaffold_700635.1 transcript:scaffold_700635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFFSNQIDRQKDVSNEEKTLTDLEKSDGSHFPGDDYRPSDRKNWMAGLTLEKLTLNKIVWPGTHDSATNDIGIPLISRPLAECQTLSIYEQLVLGTRVLDIRVQEDRQICHGILTSYDVDVVIDDVIRFLSETHSEIVILEIRTEFGHKDPPEFETYLADKLGQFLIHQDDNLFNKPVSEILPKRVICIWKPRESPKPSRGGILWNSDYLKDNWIDTDLPWTKFQSNLKHLSEQQPISSRKFFYRVENTVTPQADNPVVWVKPVTDRIRKHARLFISQCVSKGCGDKLQILSTDFIEGDFVDACVGLTHARIEGKI >scaffold_700644.1 pep chromosome:v.1.0:7:2615342:2621308:1 gene:scaffold_700644.1 transcript:scaffold_700644.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MDI4] MEVTSTTFISTTRSSKYLTLTSYSPVILPASTLRRDFLGCCHSLRRPSPHLRTRAGKRNSRRSSIRSPRLVVRASLDSGLILVIVAVTAFSAIAFAYCQNTFRKRKTSDVVAASTGTIRGGKISTENRRESQHLDGDVYEGNPVEINGGFRKMEEQSVTLLEEEETHQIQEIAVIEYDSFSAEESQFAVANVSTVAKEHTLIDESLSSSIVNGSVALESATFGVKTQVENSEDHKCLEHDFSQAVVGIHSIASPPVVDDTHALEYEYNGLLQKPLEYSVFAESKREEIHTFYGSNHSSAKSSRLPSLKAVSPTVTSATNSLLLDHKNNGVIDTQFPGHSSGQATADVQEEKLVAYGNGGVPHIRKDVKEDWKFPNDGTHVGHQTDESMPQFHARNFELHNSNGRSPESNDAYNRLLRDGRIKDCISLLEDLDQRDLLDMDKIYHASFFKTCKKQRAVKEAFRFTKLILNPTMSTFNMLMSVCASSQDIEGARGVLRLVQESGMTADCKLYTTLISSCAKSGKVDAMFEVFHQMSNSGVEANLHTFGALIDGCARAGQVAKAFGAYGILRSKNVKPDRVVFNALISACGQSGAVDRAFDVLAEMKAETHPIDPDHITIGALMKACCNAGQVERAKEVYQMIHKYGIRGTPEVYTIAVNSCSKSGDWDFACSIYKDMKEKDVTPDEVFFSALIDVAGHAKMLDEAFGILQDAKSQGIRLGTVSYSSLMGACCNAKDWKKALELYEKIKLIKLRPTISTMNALITALCEGNQLPKAMEYLDEIKTLGLKPNTITYSMLMLASERKDDFEVSFKLLSQAKEDGISPNFIMCRCITSLCKRRFEKACAGGEPVVSFKSGRPQIENKWTSMALMVYRETISGGTVPTTEVVSQVLGCLQLPHDAALRDRLISNLSINISSQKQHNIFPLVDGFGEYDPRAFSLLEEATSLGVLPSVSFNKIPLFFDTTELPKNVAEVYLLTIFKGLKHRLAAGAKIPHINLIISMEEKEIRTPEGEKTIDLTGRVGQEIGALLRRLDIPYHRKDSRLRINGVSLKNWFQPKLDSPFSGGKPGDLRSSQVPLGNQISRQQRSIRLGNLSLE >scaffold_700645.1 pep chromosome:v.1.0:7:2622344:2622705:-1 gene:scaffold_700645.1 transcript:scaffold_700645.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7MDI5] MGLSRFAISNATKQILKLNSLANRNRTSSSSSDHVPKGHVAVYVGEQIEVEKKRFVVPISFLNHPSFKEFLSRAEEEFGFNHPMGGLTIPCREEVFLDLIASRLH >scaffold_700646.1 pep chromosome:v.1.0:7:2624600:2624924:-1 gene:scaffold_700646.1 transcript:scaffold_700646.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7MDI6] MAIRLSRVINSKQSQKQQSRVPKGHVAVYVGEEMENKKRFVVPISYLNHPSFQGLLSRAEEEFGFNHPIGGLTIPCREETFVGLLNSYGCIVST >scaffold_700647.1 pep chromosome:v.1.0:7:2626815:2627176:-1 gene:scaffold_700647.1 transcript:scaffold_700647.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7MDI7] MGLMRSMLPNAKQIFKSQSMRNKNGSSSSSTTTSGLVPKGHVAVYVGEQMEKKRFVVPISYLNHPLFREFLNRAEEECGFHHSMGGLTIPCREESFLHLITSHQLH >scaffold_700648.1 pep chromosome:v.1.0:7:2629697:2630061:1 gene:scaffold_700648.1 transcript:scaffold_700648.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:UniProtKB/TrEMBL;Acc:D7MDI8] MIQFKTIVIQVKRVVDKKISRLRHIINVRKGHFAVYVGVDEEETKRFVVPISYLNHPLFQALLLQAEDEFGTDHKRKSLTIPCAKDVFIDITSRLKRSKFIRTESN >scaffold_700658.1 pep chromosome:v.1.0:7:2671800:2673151:-1 gene:scaffold_700658.1 transcript:scaffold_700658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSTAAYFARRAAQKERVRILYRRALKDTLNWAVHRHIFYRDASDLREKFNANQDVEDVDRIDKLIAHGEAEYNKWRHPDPYIVPWAPGGSKFCRNPTPPAGIEIVYNYGLEDNP >scaffold_700663.1 pep chromosome:v.1.0:7:2688151:2691310:-1 gene:scaffold_700663.1 transcript:scaffold_700663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGTMLRYPDDIYPLLKMKRAIEKAEKQIPPEPHWGFCYSMLHKVSRSFSLVIQQLNTELRNAVCVFYLVLRALDTVEDDTSIPTDEKVPILIAFHRHIYNTDWHYSCGTNEYKVLMDQFHHVSAAFLELEKGYQEAIEEITRRMGAGMAKFICQEVETVDDYDEYCHYVAGLVGLGLSKLFLAAGSEVLTPDWEAISNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPREIWGKYADKLEDLKYEENSTKSVQCLNEMVTNALIHIEDCLKYMAALRDPSIFRFCAIPQIMAIGTLALCYNNVQVFRGVVKLRRGLTAKVIDRTKTMADVYGAFYDFSCMLKTKVDNNDPNASKTLNRLEAVQKLCKDTGVLHNRKSYVNDKGQPNNVFIIMVVILLAIVFAYLRATWV >scaffold_700665.1 pep chromosome:v.1.0:7:2694487:2695713:1 gene:scaffold_700665.1 transcript:scaffold_700665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKIRLARFGCKHRPFYRVVAADDKSRRDGKQIEVLGFYDPLQGKEDANRVSLKFDRIKYWLSVGAQPTDSVENMLFRAGLIPPKSMVVVGSKNGQQSTSQHVSPITGEILN >scaffold_700666.1 pep chromosome:v.1.0:7:2698300:2700301:1 gene:scaffold_700666.1 transcript:scaffold_700666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYPETKFLPGNSMIQNATVSYSEGLAGRERTEANNVSASQERQALSRFGGISQMQNLDQDFGSWRDQASDRNGFQLMSAMAGATGILQTGQGLSLSLGSQILPGIHQMSHQNMAPRAEHFRGNEYATQSFLVGNQNLDVVRKIPNSKYLKAAQQLLDEAVNVRKALKQFQTEGDKNNENPQEPNQNTQDSSTNPPAEISHSERQEMQSRLTKLLSMLDEVDRRYKQYYQQMQIVVSSFDVIAGYGAAKPYTALALQTISRHFRSLRDAISGQILVIRKCLGEQQDGSDGKRVGIISRLKYVDQHLRQQRGFMQPQAWRPQRGLPENSVLILRAWLFEHFLHPYPKDSDKIMLARQTGLSRGQVSNWFINARVRLWKPMVEEIYKEEFTENDSNSSSENTPKMSEIGHAAAVDEDRAQEFSQDQTKPDHGHKYGEETRGMVQGSHMDGRKFMAVEPTYNVADMSRLGRGDVSLTLGLQNSQGHGTVVAMSSEAAYNFSGVDIYENAIPGAEMEYVNPRSRQNRINSSQLVHDFVA >scaffold_700668.1 pep chromosome:v.1.0:7:2702776:2703037:-1 gene:scaffold_700668.1 transcript:scaffold_700668.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MEC4] MSPKLPFTAEVVIMTPTNSTAMNNPMSTGCQPKEARMERLSNEIKGASGSAT >scaffold_700675.1 pep chromosome:v.1.0:7:2738895:2740340:-1 gene:scaffold_700675.1 transcript:scaffold_700675.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoflavone reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MED1] MEEEKKKSRVLIIGATGRLGNYLTRFSIESGHPTFALIRNSTSSAKLKSLSDAGVTLLKGSLEDEGSLEEAVSKVDVVISAIPSKHVLDQKLLIKVIKQAGSIKRFIPAEYGANPDKTQISDLDHGFYSKKCEIKRMIESEGIPYTYICCGLFMRILLPSLVQPGLQSPPIDKVTVFGDGSVKAVFVNDVDVAAFTIKTIDDPRTLNKTLYLRPPENICSMNDLVGLWEGKIEKKLEKTFVTENQLLKKIQETPYPDNMEMVFIYSVFIKGDHTYFNIESSGGVNGTELYPDVKYMTVSEFLNTLL >scaffold_700679.1 pep chromosome:v.1.0:7:2751339:2752897:-1 gene:scaffold_700679.1 transcript:scaffold_700679.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:D7MF05] MTSVNVKLLYHYVLTNFFNLCLFPLTAFVAGKASRHTTNDLHNFFSYLQHNLITVAILFAFTVFGFVLYMVTRPKPVYLVDYSCYLPPPHLRASVSRVMDVFYQIRKADTSRNVACDDPSSLDFLRKIQERSGLGDETYGPEGLLHVPPRKTFAAAREETEQVIIGALENLFQNTKVNPREIGILVVNSSMFNPTPSLSAMVVNTFKLRSNIKSFNLGGMGCSAGVIAIDLAKDLLHVHKNTYALVVSTENITQGIYAGENRSMMVSNCLFRVGGAAILLSNKPRDRRRSKYKLAHTVRTHTGADDKSFRCVQQEDDESGKIGVCLSKDITNVAGTTLKKNIATLGPLILPLSEKFLFFVTFVAKKLLKDRIKHYYVPDFKLAIDHFCIHAGGRAVIDELEKSLGLSPIDVEASRSTLHRFGNTSSSSIWYELAYIEAKGRMKKGNKAWQIALGSGFKCNSAVWVALRNVKPSANSPWQHCIDRYPAKIDSDLSKSETHVQNGRS >scaffold_700682.1 pep chromosome:v.1.0:7:2759918:2762464:1 gene:scaffold_700682.1 transcript:scaffold_700682.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl cyclase-associated protein [Source:UniProtKB/TrEMBL;Acc:D7MF08] MEEDLIKRLEAAVTRLEGISSYGGGGVVSLSRGGDFSSGAGTDVASSDPSILAYEDLISQCVGRALSAAEKIGGPVLDVTKIVAEAFASQKELLVRIKQTQKPDLAGLAGFLKPLNDVTMKANAMTEGKRSDFFNHLKAASDSLSALAWIAFTGKDCGMSMPIAHVEESWQMAEFYNNKVLVEYRNKDADHVEWAKALKELYLPGLREYVKSHYPLGPVWNASGKPASAPAKAPPGAPAKAPPAPLFSSESSKPSSSSNQKQGMSAVFQQLSSGAVTSGLRKVTDDMKTKNRADRSGAVSAVEKETRTSKPAFSKTGPPKMELQMGRKWAVENQIGKKDLVISECDSKQSVYIFGCKDSVLQIQGKVNNITIDKCTKMGVVFTDVVAAFEIVNCTNVEVQCQGSAPTVSVDNTTGCQLYLNKDSLETAITTAKSSEINVMVPGATPDGDWVEHALPQQYNHVFTEGKFETTPVSHSGA >scaffold_700685.1 pep chromosome:v.1.0:7:2768188:2768688:1 gene:scaffold_700685.1 transcript:scaffold_700685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMIVLMSSDGQSFEVEEAVAIQSQTIAHMVEDDCAANGIPLANVTSKTLAKVIEYCKKHHVDEANPISEDELKKWDTEFMETDQSTIFDLILAANYLNIKSLLDLTCQTIADMIKGKNPEEIRTLFNIKNDFTPEEEEEVRRENQWAFE >scaffold_700690.1 pep chromosome:v.1.0:7:2790461:2794741:-1 gene:scaffold_700690.1 transcript:scaffold_700690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSNMKQHQYGSYAEPRISFSSGFAATKHEMIKYKEAPVSSDDFEFGVENFSMTTADEIFFDGMILPLKEEVNTSKRMSTLREELSEEDGDSPRSKSKGTSGWWRERLGLGFVRSKKDHKKVSEKENQCLYVLFLSDLSVSISIATAMAEDPSFLPKDGFVLKLPKKSSLVLRMVVLLFVMVCAVYICSICLKQIGVSPNYGFLNVEVFERPCPEPNIEPWDILFVHYPKPKTYNRDECSCHPVRYFAILSMQRSGSGWFETLLNNHTNISSNGEIFSVKDRRANVSTIFETLDKVYNLDWLSSASKNECTSAVGFKWMLNQGLMKHHEEIVEYFKTRGVSAIFLFRKNLLRRMISVLANSYDRDAKLLNGTHKSHTHSAKEAEILAGYKPMINTTLLINELKQIQEMTLKALDYFNTTRHILVYYEDVVKNLTRLDDVQKFLKVPKRKLKSRQVKIHGGSLSQHVQNWEEVQTTLKGTNFENFLRQEF >scaffold_700692.1 pep chromosome:v.1.0:7:2798440:2799291:-1 gene:scaffold_700692.1 transcript:scaffold_700692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYPNNRTEFVGAPAPTRYQKEQLSPEQELSVIVSALQHVISGENETAPYQGFSSDSTVISAGMPRSVSDTCQVCRIEGCLGCNYFFAPNQRIEKSQQEEEITSSSNSRRESSPVAKKAEGGGKIRKRKNKKNGYRGVRQRPWGKFAAEIRDPKRATRVWLGTFETAEDAARAYDRAAIGFRGPRAKLNFPFVDYTSSVSSPVVADDIGANASASASVSATDSVEAEQWPGGEGGECNMEEWMNMMMMMDFGNGDSSDSGNTIADMFQ >scaffold_700693.1 pep chromosome:v.1.0:7:2803466:2803768:-1 gene:scaffold_700693.1 transcript:scaffold_700693.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MF18] MVTSKTLSPLIVVKDDPVSMFHQLTFLGKNLSNAILKKHFVLHTLHCYAPILPPVVSNCYFLGNRFRQEALKSQWKFLTQNTTAKL >scaffold_700694.1 pep chromosome:v.1.0:7:2804481:2806802:-1 gene:scaffold_700694.1 transcript:scaffold_700694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDFVFPPRFFKVFVSHFTSDSMMLPIAYYGHLPSRLHKTVILRGPGGCSWNVATTINEKEVHFSQGWAKFVEDNTLSDGDILTFVYNGDHVFEVSIYRGYDACKEISAVTEVEEDKEDSVFSLSSEDNDTCSQSVMKNTIPEGRDKGKSKVEVVGDPDDEEDSVYSASGEETETDTDSEFQVAKTTIPKSKKKGKKKEQVVESSDEDSDSDSDYIETFGQLDIEENSNSEEDSSYAPDDEGTSTCVKPKVANVKRKVEPKIKNPETYLDDPKNIHFETNVKNRLYELLVHAQLVKDYCLKFGDHVYYIDRIGKLKAKTAKWKDQRVCIKKWMRICDRNELKKEDRILCELLRKGTFVYAIKLHIIRGKNL >scaffold_700703.1 pep chromosome:v.1.0:7:2835445:2840962:-1 gene:scaffold_700703.1 transcript:scaffold_700703.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MF28] MIRLRFNLLRSCNRRLFHRLPRVFSSSSPPTTGSSGPSLNLIKPKLTPSPSPHQSRGLLRSIYTVSPSSSFSKKSVFVLSAAALSTAIAYSAVFPSDHDQSDCNPSGNRRIYESIEDAFQKSGNSLRRVVHHARQTGVAASVLWQSLRSVLSSANHEVRAGFELRVAALLADIASANAARRAALVGAGSGAVVDWLLEAVAIPGDRIGAQDEAARALAYLIADPTVRKDALGRPDAVPKLLKFIFSCQPKNKKHSRRSSFDISDSLKGRSMLVAAIMDIVTSNCDIIEKTPFKSSLPGNATMRDIAAAIQVIEEGGMYFDEPDKDDDSDDGRSGIKGIGIKILEGTTVLGLSRTSGLALLGDLNANAGEGTPKTFALLSKHDNSSQANLSSAVIPGLWDDLHCQHVAVPFAAWALANWAMASDTNRSHIQELDRDGQVVMTALMAPERTVKWHGSLVARLLLEDLNLPLSDSVSDWSSSLLATVSHASKTEDISLAQVALSAFLVSVDRSDNAQKMVMEKGLHLMRDSARKTRKHKAVQEGLSKALELLCAGDMHLSLEESQKWSGILLSWVLGKVASDTVQSSARRILSRTFEDYGPHSVPISQGWLTLIMNEILNHSKTLSAKGASLPKNEKPKVDQSKVTSATQSTNQLAGAVVNLAMAQLGTVPDSVNNVPLADLLLSEPFAVPIKNLKKDSPPKFNAAESALATLKAIKSLTDVCAEDSVCQNKIVDFGILCLLRRFLLSDDYEKLGAIEAYDASRALEARERTPDSLGESSITDIQDPCSVRVPASAHIRRHAARLLTILSLLPQVQKIILADETWCKWLDDCARGNISGCNDPKTQSYARASLLNVYCNQQDGSGSGNDGSSKPDISNMNSNCPRYGDMIFLINPGLPHWKCPEKEHQSGKNNESSSEGEPANVADTDRDHVVDASNLSSSMDPSCSGSRVHDPEFDVIFLHGLRGGPFKTWRISEDKSSTKSGLVEKIDQEAGKLGTFWPSEWLSNDFPQARLFTLKYKTNLTEWSGASLPLQEVSSMILEKLVSAGIGDRPVVFVTHSMGGLVVKQILHKAKEEKLDKLVNNTAGVVFYSCPHFGSKLADMPWRMGLVLRPAPSIGELRSGSPRLVELNDLLRQLHKKGVVEVLSFCETKVTPIVEGYGGWAFRMEIVPIESAYPGFGELVVLESTDHINSCKPLSRSDPSYTEALQFLRKLSAQRLKPHVKLESGIHD >scaffold_700706.1 pep chromosome:v.1.0:7:2844984:2848657:-1 gene:scaffold_700706.1 transcript:scaffold_700706.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7MF31] MATLTEIATLEEKYIELCKKHGILPNTAVLSAFFEAEVKKSRNQRCIMNLYVDRVKYDDYHPLLELCNEINTSEVQGIDLFVRSSCSLEDHYALSLIRSVNQKLRVVHLHDSFGKNFWRDVFFQGLSCKVFNVRSMHFHKLNIVGEFTQLHTLILDKNRIVGFGEDCFSCMPKLTYLSMCDTLVSDLWTSAAALLKLPSLKELRFQIWISCSDSSPPKSQSSPSSSSTGDDKNTFIESDPPVEEDIWDVVEQMDLSLPVEETLHSMDFSYKIPEQDDLDSRISVSSELNGEVLTREKVRRGKMPYRPKDVSPVESFTRQFGNVGLKYISSKASPICSEKHYRMYMINSLPKLQVLDNLAIRKSDRDRAFETYSANFEDLPYKRKKESVVRVLEKRETRSSKGISQNSYKRSLCAAKMGSSASPLLHSLPFLSSRIHQEDDNSRLSPRQFEYHPLDPSLMVFGTLDGEVVVLNHESGKIVRYIPSHGSQSTILGLCWLKMYPSMVIAGSANGSLKLYDIQKASSTVTTSSHATSSGSVTFDEFDQLTSVHANSTDQLFLASGYSRDVALYDIGRGTCLQVFANMHQEHINVVKFSNHSPFLFATSSFDKDVKLWDLRQEPSRPCYTASSTKGNVMVCFSPDDRYLLASAVDNEVRQLLTVDGRLHLNFEIVPRVSSMNYTRSYYMNGNDYIISGSCDENVIRVCCAQTGRRLRDVTLEGNGSDFSMMFVQSLRGDPFRDFNMSVLAAYTRSSSVSEIVKVNLLASRDSTEEQSHGLRSYPSNSMGG >scaffold_700710.1 pep chromosome:v.1.0:7:2858861:2862521:-1 gene:scaffold_700710.1 transcript:scaffold_700710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSSFFVHFSFLLLLLSIITTCGEGVRDPVRRSSERRALMDGQDLSRPLKLTFGGPSRNWTDAIPIGNGRLGATIWGGVSSETLNINEDTIWTGVPADYTNPNAPEALAEVRRLVDEKNYAEATSEAVKLSGQPSDVYQLVGDLNLEFGSSHRKYTQTSYRRELDLETAVAKVSYSVGAVDFSREFFASNPDQVIVAKIYASKPGSLSFKVSFDSELHHHSETNPKANQILMRGSCRPKRLPVNLKKSINATNIPYDDHKGLQFASILEVRVSNGGSVSSLGGKKLSVEKADWAVLLLAASSNFDGPFTMPADSKRDPAKECAKRISSVQKYSYSDLYARHLGDYQKLFNRVSLQLSGSSGNKTVQQAASTAERVRSFKTDEDPALVELLFQYGRYLLISSSRPGTQVANLQGIWNRDIQPPWDGAPHLNINLQMNYWHSLPGNIRECQEPLFDYMSALAINGRKTAQMNYGASGWVAHQVSDIWAKTSPDRGEAVWALWPMGGAWLCTHAWEHYTYTMDKEFLKKKGYPLLEGCTSFLLDWLIKGKDGFLQTNPSTSPEHMFTAPNGKPASVSYSSTMDIAIIKEVFADIVTASEILGKTNDTLIGKVIAAQAKLPPTRISKDGSIMEWAEDFEDPEIHHRHVSHLFGLFPGHTITVEKSPELAKAVEATLKKRGEEGPGWSTTWKAALWARLHNSEHAYRMVAHIFDLVDPLNERNYEGGLYSNMFTAHPPFQIDANFGFAAAVAEMLVQSTTKDLHLLPALPADKWPNGIVKGLRARGGVTVSIKWMEGNLVEFGLWSEQIVSTRIVYRGISAAAELLPGKVFTFDKDLRCIRTEKLYK >scaffold_700712.1 pep chromosome:v.1.0:7:2867984:2870878:-1 gene:scaffold_700712.1 transcript:scaffold_700712.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7MF37] MTKLVEMNHALCFAKGFSRTRLNVATSPFLISRGGGGGYCSKTCIPYRLKFTCYATLSAVVKEQTSAFDGKEAALLVEELRSNFNTGRTKSYEWRISQLQSIAKMIDEKEKCITEALYQDLSKPELEAFLAEISNTKSSCMLAIKELKNWMAPETVKTSVTTFPSSAQIVSEPLGVVLVISAWNFPFLLSVEPVIGAIAAGNAVVLKPSEIAPAASSLLAKLFSEYLDNTTIRVIEGGVPETTALLDQKWDKIFFTGGARVARIIMAAAAKNLTPVVLELGGKCPALVDSDVNLHVAARRIIAGKWACNSGQACIGVDYVITTKDFASKLIDALKTELETFFGQNALESKDLSRIVNSFHFKRLESMLKENGVDNKIVHGGQTTEDKLKISPTILLDVPEASSMMQEEIFGPLLPVFTVQKIEDGFQVIRSKPKPLAAYLFTNSAELQKQFVQNVSAGGMTINDTVLHVTVKDMPFGGVGESGIGAYHGKFSYETFSHKKGVLYRSFSGDADLRYPPYTPKKKMVLKALISSNIFAAILAFFGLSKEP >scaffold_700715.1 pep chromosome:v.1.0:7:2881175:2882889:1 gene:scaffold_700715.1 transcript:scaffold_700715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMEAERKTTGWAARDPSGILSPYTYTLRETGPEDVNIRIICCGICHTDLHQTKNDLGMSNYPMVPGHEVVGEVVEVGSDVSKFTVGDIVGVGCLVGCCGGCSPCERDLEQYCPKKIWSYNDVYIDGQPTQGGFAKATVVHQKFVVKIPEGMAAEQAAPLLCAGVTVYSPLSHFGLKQPGLRGGILGLGGVGHMGVKIAKAMGHHVTVISSSNKKREEALQDLGADDYVIGSDQAKMSELADSLDYVIDTVPVHHALEPYLSLLKLDGKLILMGVINNPLQFLTPLLMLGRKVITGSFIGSMKETEEMLEFCKEKGLSSIIEVVKMDYVNTAFERLEKNDVRYRFVVDVEGSNFDA >scaffold_700720.1 pep chromosome:v.1.0:7:2902927:2904008:-1 gene:scaffold_700720.1 transcript:scaffold_700720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLLQQKVFTQSNLLGQGRFGYVHKGVLPCGKEVAVKSLKQGEREFQAEVDIITHYSLAHSYFLYTRRWQINFGLAKLSQDNYTHVSTRVMGTFGYLAPEYASSGKLSDKSDVFSFGVMLLELITGRPHVDLTGEMEDSLVDWMVQMASCAAAAIRHSARRRPKMSQIVRALEGDMSMEDLSEGTRPGQSTYLSPGSVSSEYDASSYSADMKKFKKLALENKEKIQEIGVRE >scaffold_700722.1 pep chromosome:v.1.0:7:2907577:2910545:-1 gene:scaffold_700722.1 transcript:scaffold_700722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFLPTSVFFPRKVFKPVSPLSHVLVNKHLGVSVKSKTNGLGKIWLGSSVSTHGEKVKATNGFEEVSGEEEKFKKFEEEALKEYEKIEALAKSRIPSSTERGSHYESHARWNKFFDYALKTRFGESGYFTFEEPSQEDLRDDMIFYFELEGVKEFCSIRCAYKGIKLTVHGMKKVTLIIPTNLNLQVFYVQACESGSIFEGLLLPEGLNIYATTASNAEKSSCPGEEPCPPLKYETCLVDLLRLCNSGMHIFQTETLHQKYELEVCTCVGFAYGSHVMQYGDVGLSKDKLDLCMGTNSANDNFTFADANSLKPPSRVTNQRDADLVHFWEKFLGLLNTSSFACYCFHEVPKSTRRFHKKNRSSEASPEAMSHRLHVVRSAGQQLVDDWNCLKNLLERHCGSLSQYGIKHMRSFANICNAGIQMGQMEEAASQDYSVVSWIDKYKFFCMLLF >scaffold_700723.1 pep chromosome:v.1.0:7:2911614:2911946:-1 gene:scaffold_700723.1 transcript:scaffold_700723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRIVSKTRVNPNKPVQGHLATFDLPYPVLYLEEPSFQNDQVEWLLKTSMISSSVSWPMTTRESSELQTTLATERSTA >scaffold_700724.1 pep chromosome:v.1.0:7:2912161:2912370:-1 gene:scaffold_700724.1 transcript:scaffold_700724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVGVPLLVFFLSVISVSAGREGPDDVIKLPSQADTSEFYQLFRLKFNQLLATV >scaffold_700725.1 pep chromosome:v.1.0:7:2917134:2920386:-1 gene:scaffold_700725.1 transcript:scaffold_700725.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATP synthase subunit H family protein [Source:UniProtKB/TrEMBL;Acc:D7MF49] MDQAELSIEQVLKRDIPWETYMNTKLVSAKGLQLLRRYDKKPESARAQLLDEDGPAYVHLFVSILRDIFKEETVEYVLALIYEMLSANPTRARLFHDESLANEDTYEPFLRLLWKGNWFIQEKSCKILAWIISARPKAGNAVIGNGIDDVLKGLVEWLCAQLKQPSHPTRGVPIAISCLSSLLKEPVVTADGLLYETCLCVWLLSYYEPAIEYLATSRTMQRLTEVVKNSTKEKVVRVVILTFRNLLPKGTFGAQMVDLGLPHIIQSLKTQAWSDEDLLDALNQLEEGLKDKIKKLSSFDKYKQEVLLGHLDWNPMHKEANFWRENVTCFEENDFQILRVLLTILDTSSDPRSLAVACFDISQFIQYHAAGRVIVADLKAKERVMKLMNHENTEVTKNALLCIQRLLLGAKYASFLQA >scaffold_700726.1 pep chromosome:v.1.0:7:2921523:2921772:1 gene:scaffold_700726.1 transcript:scaffold_700726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFSELPTDVIISCVARVPINNYRVLSLISKLIASMITSSELFVARSAERSKETLVYCCFTDLNQVPR >scaffold_700727.1 pep chromosome:v.1.0:7:2930893:2932322:-1 gene:scaffold_700727.1 transcript:scaffold_700727.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCD3_1 [Source:UniProtKB/TrEMBL;Acc:D7MF51] MAIRKEEESREEQSNSYLLDALYCEEEKWEDEGEEEVEENSSLSSSSSTSPFVLLPQDLFWEDEDLVTLFSKEEEQRLSCLDDVYLSTDRKEAVGWILRVNAHYGFSTLAAALAITYLDKFICSYSLQRDKPWMLQLVSVACLSLAAKVEETQVPLLLDFQVEETKYVFEAKTIQRMELLILSTLQWKMHLITPISFVDHIIRRLGLKNNAHWDFLNKCHRLLLYVISDSRFVGYLPSVVAAATMMRIIEQFEPFDPPSYQTNLLGALNLTKEKVKTCYDLILQLPVDRVGLQIQNQSSKKRKSHDSSSSSLDSPSCVIDSNPFNSDESSNDSWSASSSSSQQQPPLKKMRGGQENEKKKPILHLPWAIVATP >scaffold_700728.1 pep chromosome:v.1.0:7:2933213:2935377:-1 gene:scaffold_700728.1 transcript:scaffold_700728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMAGIQGQILEVTVVGCQKLKDTEWFSRQDPYVVIEYSSTRHRTRTCTDGGKNAVFQEKFMFTLLEGLRDIKVAVWNSNTLSTDDFIGNATIQLQKVLSQGYDDCTWTLQTKTGRFAGEVRLILHYAGAKKQNYGCAPSAPYAPQVPQYSAPPSASPYSSAPPYSGPSLYPQVQQYPQPSGYPPASSAYPPQPSAYPPPSTSAYPPVPSAYPPPPPSSAYPPPPYPPQPSYYPQGPYPGQYPPPPY >scaffold_700730.1 pep chromosome:v.1.0:7:2941081:2942714:1 gene:scaffold_700730.1 transcript:scaffold_700730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MFR4] MGTSGKVSKLHFLLFPFMAHGHMIPTLDMAKLFATKGAKSTILTTPLNAKLFFEKPIKSFNQDNPGLEDITIQILHFPCTELGLPDGCENTDFIFSTPDLNIGDLNQKFLLAMKYFKEPLEQLLETMRPDCLVGNMFFPWATKVAEKFGVPRLVFHGTGFFSLCASHCIRLPKNVASSSEPFVIPDLPGDIVITGEQVIEKEEESVVGRFMKEIRDSERDSFGVLVNSFYELEPAYSDYFKSFVAKRAWHIGPLSLGNRRFEEKAERGKKASIDEHECLKWLDSKKCDSVIYMAFGTMSSFNNEQLIEIAAALDMSGHAFVWVVNKKGSQVEKEDWLPDGFEEKTKGKGLIIRGWAPQVLILDHQATGGFLTHCGWNSLLEGVASGLPMVTWPVGAEQFYNEKLVTQVLKTGVSVGVKKMMQVVGDFISREKVERAVREVMVGEERRKRAKELAEMAKNAVKEGGSSDLELDRLMEELMLVKLQKEKV >scaffold_700737.1 pep chromosome:v.1.0:7:2965144:2967288:1 gene:scaffold_700737.1 transcript:scaffold_700737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISLHITPSTTLPLRHFKARVSCFSSGHVSFIKDVAATEPPMHLHHLLKVLQTRGETIISPGAKQGLIPLAIPLSKNSSGSVTALLRWPTAPPGMDMPVVEVWRSGVRLIARNVDEYIHRILVEEDAQELTELYRASEEAGEKLYKKGAFAESQIDNLDVYVLKKVGLFPDLLERKVLRHFDEGDHVSAMVTGEFYTKKDLFPGFGRPFVYYANILQKVGRNSEAKDAARVALKSPWWTLGCPYEEVASIAQWEDEQIEFIREKVSDEGRFEDLKKGKAPIQVALDVAAFLLDLASIEGTWSESLNHIAKCYEEAGLHHISNFVLYTD >scaffold_700739.1 pep chromosome:v.1.0:7:2974656:2975951:-1 gene:scaffold_700739.1 transcript:scaffold_700739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTEATKTSSTNGEDQKQSQNLRHQEVGHKSLLQSDDLYQYILETSVYPREPESMKELREVTAKHPWNIMTTSADEGQFLNMLIKLVNAKNTMEIGVYTGYSLLATALALPEDGKILAMDVNRENYELGLPIIEKAGVAHKIDFREGPALPVLDEIVADEKNHGTYDFIFVDADKDNYINYHKRLIDLVKIGGVIGYDNTLWNGSVVAPPDAPMRKYVRYYRDFVLELNKALAADPRIEICMLPVGDGITICRRIS >scaffold_700742.1 pep chromosome:v.1.0:7:2986535:2988986:1 gene:scaffold_700742.1 transcript:scaffold_700742.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DJ-1 family protein [Source:UniProtKB/TrEMBL;Acc:D7MFS6] MGSLGFSISMIASLSPTLMESSLISSIGCVSTIVAPSLSSVSVVSSSPGTRRRGRNLRLRSSMSPSMVTTSGSDVGVVSSATTRKVLVPIGYGTEEIEAVVLVDVLRRAGAEVTVASVEQKLDIEASSGTRLVADVLISKCADQVYDLVALPGGMPGAVRLRDCKILEKIMKRQAEDKRLYGAISMAPAITLLPWGLLTRKRTTGHPAFFGKLPTFWAVKTNIQISGELTTSRGPGTSFQFALSLAEQLFGETTAKSVEEFLLLRDGYQNPKNKEFSSIDWSLDHTPRVLISVANGSEEVEVVTIADVLRRAKVDVTVASVERSLRITASQGTKIITDKLIGEAAESSYDLIVLPGGHTGSERLQKSKILKKLLREQHKSGRIYGAANSSSTVLHKHGLLKEKRTTVYPSETDGPMNQQMIEGAEVVIDGNVITSLGLATVTKFSLAIVSKLFGHARARSVSEGLVHEYPRH >scaffold_700744.1 pep chromosome:v.1.0:7:2995188:2997707:1 gene:scaffold_700744.1 transcript:scaffold_700744.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2758 [Source:UniProtKB/TrEMBL;Acc:D7MFS8] MKFGTFSLFRQVPTCKGGRFTRVLQSVGAVVREFSASANALQDCWKNGNESKEIDDVHTLFRYCTNLQSAKCLHARLVVSNAIQNVCISAKLVNLYCYLGNVALARYTFDHIHNRDVYAWNLMISGYGRAGYSSEVIRCFSLFMLSSGLQPDYRTFPSVLKACRNVTDGNKIHCLALKFGFMWDVYVAASLIHLYCRYGAVVNARILFDEMPTRDMGSWNAMISGYCQSGNAKEALTLSDGLRAMDSVTVVSLLSACTEAGDFNRGVTIHSYSIKHGLESELFVSNKLIDLYAEFGSLKDCQKVFDRMYVRDLISWNSIIKAYELNEQPLRAILLFQEMRLSRIQPDCLTLISLASILSQLGEIRACRSVQGFTLRKGWFLEDITIGNAVVVMYAKLGLVDSARAVFNWLPNKDVISWNTIISGYAQNGFASEAIEMYNIMEEEGGEISANQGTWVSVLPACSQAGALRQGMKLHGRLLKNGLYLDVFVGTSLADMYGKCGRLDDALSLFYQIPRVNSVPWNTLIACHGFHGHGEKAVMLFKEMLDEGVKPDHITFVTLLSACSHSGLVDEGEWCFEMMQTDYGITPSLKHYGCMVDLYGRAGQLEIALNFIKSMPLQPDASIWGALLSACRVHGNVDLGKIASEHLFEVEPEHVGYHVLLSNMYASAGKWEGVDEIRSITSGKGLRKTPGWSSMEVDNKVEVFYTGNQTHPMYEEMYRELTALHEKLKMVGYVPDHRFVLQDVEDDEKEHILMSHSERLAMAFALITTPAKTTIRIFKNLRVCGDCHSVTKFISKITEREIIVRDSNRFHHFKNGVCSCGDYW >scaffold_700745.1 pep chromosome:v.1.0:7:2999284:2999881:1 gene:scaffold_700745.1 transcript:scaffold_700745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRNAAPSQPPPRPLVKQHSWSPDADREEAWLRKKGKKPSGRLGRSKSVTDEDLEELKGCIELGFGFEPDSPDLDPRLSETLPALGLYCAVNKQYSSRLSRTSSLSSIASEGENSNSSTTIVDQGDDPETMKLRLKQWAQVVACSVRQFSGEPN >scaffold_700748.1 pep chromosome:v.1.0:7:3015959:3018214:1 gene:scaffold_700748.1 transcript:scaffold_700748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGRRSSVTPSSPPTPVVHGVFGSSVAVADLFDLQPSICAIMHNNERDYLSHLHEPPHLSKWFPDYVYESPMLDTCYGFEFSDLKESESIKDLEIKKETPTKIDDLVSSKIDDMTDSQAAYSELVVEDSDIDDAVIDKNRRSLFRRVAKRKPTIPTVEEELTYLKNRVSDLEDKVSYLYDVISRLIISNGNNGN >scaffold_700749.1 pep chromosome:v.1.0:7:3024678:3024931:-1 gene:scaffold_700749.1 transcript:scaffold_700749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKRIELCIELMKIGMEFVVVVAEAVQIVMRQHLNHRAPPPPPLLRHGLLPYTASYPSPFLFGFLP >scaffold_700750.1 pep chromosome:v.1.0:7:3031676:3031873:-1 gene:scaffold_700750.1 transcript:scaffold_700750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVETNKFFVRPRNGGKPVNGGKWFEDDQGIRNQ >scaffold_700761.1 pep chromosome:v.1.0:7:3064324:3065009:-1 gene:scaffold_700761.1 transcript:scaffold_700761.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S14p/S29e family protein [Source:Projected from Arabidopsis thaliana (AT3G43980) TAIR;Acc:AT3G43980] MGHSNVWNSHPKKYGPGSRLCRVCGNSHGLIRKYGLNCCRQCFRSNAKEIGFIKYR >scaffold_700763.1 pep chromosome:v.1.0:7:3077672:3078114:-1 gene:scaffold_700763.1 transcript:scaffold_700763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTKAAPPNLILSLYCPPTPLRLPKLHPIGGIESRNLSPPAMRDCDWRGREGIGSFIGAGDKVRGLFVEFEQALFNLKPDKGENDPGMWMSEPNTTRIESGFEAPALNNGDGSDVE >scaffold_700766.1 pep chromosome:v.1.0:7:3087555:3089835:1 gene:scaffold_700766.1 transcript:scaffold_700766.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 10 protein [Source:UniProtKB/TrEMBL;Acc:D7MGE9] MKRLLLLVVLCSLSLSRCESKVVPYDYSATIECLEIPLKPQYNGGIIVNPDLRDGGSLGWTPFGNAKVDFRKIGNHNFVVARDRKQPYDSVSQKVYLEKGLLYTFSAWLQVSKGKAPVKAVFKKNGEYKHAGSVVAESKCWSMLKGGLTVDESGPAQLYFESEDTTVEIWVDSVSLQPFTQEEWNSHHEQSIQKERKRTVKIRAVNSKGQPIPKATISIEQRKLGFPFGCEVEKNILGNKAYQNWFTQRFTVTTFANEMKWYSTEVVRGKEDYSTADAMLRFFKQHGVAVRGHNILWNDPKYQPGWVNSLSGNDLYNAVKRRVFSVVSRYKGQLAGWDVVNENLHFSYFEDKMGPKASYNIFKMAQAFDPTTTKFMNEYNTLEESRDSDSSPARYLQKLRELRSIRVCGNISLGIGLESHFKTPNIPYMRSALDTLAATGLPIWLTEVDVEAPPNVQAKYFERVLREGHAHPQVKGIVTWSGYSPSGCYRMCLTDGNFKNLPTGDVVDKLLHEWGGFRRQTTGVTDADGYFEASLFHGDYDLKIDHPLTNSKASHSFKLTSDVSSSETQASSFVFRV >scaffold_700775.1 pep chromosome:v.1.0:7:3134044:3136845:1 gene:scaffold_700775.1 transcript:scaffold_700775.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:D7MGF8] MFETLASGEIETARLSRNLGITSNLGFSCGGFEDFAMRFEGENMVPLKAEEEEEDEDVVVTETTAFQLQQPLFLQQQQQKLVVGYALTSKKKKSFLQPKLELLARRKGIFFVAIDLNRPLSEQGPFDVVLHKLLGKEWEEVIEDYQQKHPEVTVLDPPRSIQRIYNRQSMLQGMADLKLSDCSGSLFVPKQMVVLKDSAASADEVVEAGLKFPLVAKPLWIDGTAKSHQLYLAYDRRSLAELDPPLVLQEFVNHGGVMFKVFVVGDIIKVMRRFSLPNVSKCEKAKVDGVFQFPRVSSAAASADNADLDPRVAELPPKPFLEALVKELRSLLGLRLFNIDMIREHGSKNVFYVIDINYFPGYGKMPDYEQVFVDFFQNLAQVKYKKRQLCK >scaffold_700778.1 pep chromosome:v.1.0:7:3145141:3145701:-1 gene:scaffold_700778.1 transcript:scaffold_700778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHKVAIETLVLLLLINYLTQIDVSSAQYSQYPQSHESPDSYLRPHNAARAAVKVRPLRWDFGIATVAQDYANQLAAGSCSLEHSSGPYGENLAFGSGDMSAAQAVAMWVDEKSYYDFYSNSCHGPACGHYTQVVWRGSARLGCGKAKCNSGASIVVCNYDPAGNYIGTKPY >scaffold_700782.1 pep chromosome:v.1.0:7:3155522:3156071:-1 gene:scaffold_700782.1 transcript:scaffold_700782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNKGQKLVDVRTSYSKAIYSLQAGDINSAYAEVFGLGNLMLIIFLMQHTGPIISHMSNEIANEALNFICTLLPDYDFYGICVSWIQQGMSESDDGSTGPARGAVGKCLGYRGDFT >scaffold_700783.1 pep chromosome:v.1.0:7:3156415:3156808:-1 gene:scaffold_700783.1 transcript:scaffold_700783.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGG7] MISVLSRISCKGNNTGAASSLVGVNGNEPSRGSCCRYKDNRLILIMNKLQEFFGSSHDRMGDFRGQGKGFREPDCRRHGKRSFDFWIWQIYIRALLTTLLLESIMAELQETETHNLM >scaffold_700788.1 pep chromosome:v.1.0:7:3170363:3170646:-1 gene:scaffold_700788.1 transcript:scaffold_700788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAMFMLPYPLIQQITTTNNTLQPQHEPSSPIVKCLLPARNSSESSDRSKFSLWLFGDPATYDKRFQEAIELSCW >scaffold_700792.1 pep chromosome:v.1.0:7:3183227:3186798:-1 gene:scaffold_700792.1 transcript:scaffold_700792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSTPPSQNLAFSPAASATSSRLTPSKRPFYPHRLPDPTALCRCSSSSSGSNSSSSSSSDDNPRWDSAIQDVLKSAIKRFDAVLSWYTTTLDNDAGENVEKIDDDWDWDRWKKHFEQVDDQDRLLSVLKSQLNRAIKREDYEDAARLKVAIAATATNDAVGKVMSTFYRAVLEERYKDAVYLRDKAGAGLVGWWSGISQDVKDPFGLIVQITAEHGRYVARSYNPRQLSTSAAGAPLFEIFLTLDGKGNYKKQAVYLKWKEIFPDVPTMPSRTLTSGRFLTSPGRKEDTGNLTVESSEDEESDNSDDDSDLLEESYGFQSFLRDMIPGVKVKVMKVTAPGRVDKDFISKVIEQIADEEDEENDLDIEDIDVEDETKAEIDEKNTHIELESVTDEIIDNNGGREIAVKFVIGDIVDRLSGNQPIKESLRSPANLESVENSSFYLRLEKDLNVKESKGVEGTLVDGKGSRQSRRRIDNIMVDLAKSIEKEKKISVKMLKDVGELLSLTLSQAQNRQQLSGLTKFRRIDVTPSLDPLDGLYIGAHGLYTSEVIHLKRKFGQWKGGKESKKPTDIEFYEYVEAVKLTGDPYVPAGKVAFRAKIGRRYELPHKGLIPEEFGVIARYKGQGRLADPGFRNPRWVDGELVILDGKYVKGGPVVGFVYWAPEYHFVMFFNRLRLQD >scaffold_700794.1 pep chromosome:v.1.0:7:3189615:3195189:-1 gene:scaffold_700794.1 transcript:scaffold_700794.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ulp1 protease family protein [Source:UniProtKB/TrEMBL;Acc:D7MGH8] MSLRSVQSRSKRKPLAVFDYTDEEERIEKVSKKLLRKFDSPVTEKTSCAIDKYDFLRCFAQKTQGESKEVDHIVIDAEVPAKEEPSRCELSGDGTIDLIDVISKGSHGSIGVDSSTSSSLSENDEASTGEATNPAPDPHEVDPENAQVLIIPDVIVYGDIYCTNSKLTFSRNCISVESSSVNATKGTFSSQWTIEDIIKIESQWCLEVETAFVNVLLKSREPEGVDSAKDISGIDLLKFSVYDPKWSKEVETIKSLDSRYKNIWFDTITESEESVFSGHDLGTSLTNLADSFEDLVYPQGEPDAVVVRKQDIELLKPRRFINDTIIDFYIKYLKNRIPPKERGRFHFFNCFFFRKLANLDKGTPSTCGGREAYQRVQKWTKNVDLFEKDYIFIPINCSFHWSLIIICHPGELVPSHVENPQRVPCILHLDSIKGSHKGGLINIFPSYLREEWKARHGNTTIDSPRAPDMLSISLELPQQENSFDCGLFLLHYLDLFVAQAPATFNPSLITRSANFLTRNWFPAKEASLKRRYILELLYNLHKGHDPSILPANSKSEPPHCGVSNKNDQESESENVIESCNWRKPFDCSSSTVTDIPQTKTCSSDLILSKETFYAGGYDPQSSKLRKIFMSPIVEEVQESGEKKNDLAMDIQESTGHEIETLQNEECLLYIEDSDDEEAVSVEYVSDSQDSYEVEMKGEDDDDDELIVTGESAGIHRSREIKSDSVSIEKGVNKSRDSTAASCYNELLLVLSDDERSSEDKENFLITSSNVMAKKPKT >scaffold_700798.1 pep chromosome:v.1.0:7:3200708:3201173:1 gene:scaffold_700798.1 transcript:scaffold_700798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKIFFVASLLIALMFPSMITSSRAAQQFTEKQKLKPKFFRPHFPQFPRPGFPSNPTVPSFPQFPRPSFPTNPMPFPQFPRPGFPSNPTPGFPQFPGQGFPKFPFPSPFPQFPKPTMPGSPAPPLSTPPSLPATPTSIP >scaffold_700799.1 pep chromosome:v.1.0:7:3201646:3203684:-1 gene:scaffold_700799.1 transcript:scaffold_700799.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:D7MGI3] MAATPTHFSVSHDPFSSTSLLNLQTQAIFGPKHSLKKTQLRIPASFRRKDLNLQVMASGKTPGLTQEANGVAINRQNDNDVFDDMKQRFLAFKKHKYMDNLEHYKNLADAQAPKFLVIACADSRVCPSAVLGFQPGDAFTVRNIANLVPSYESGPTETKAALEFSVNTLNVENILVIGHSRCGGIQALMKMEDEVDSRSFIQNWVVVGKKAKESTKAVASNLHFDHQCQHCEKASINHSLERLLGYPWIEEKVRQGSLSLHGGYYNFVDCTFEKWTVDYAASRGKKKEGSGIAVKDRSVWS >scaffold_700804.1 pep chromosome:v.1.0:7:3212920:3213113:1 gene:scaffold_700804.1 transcript:scaffold_700804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLKTHSELPLSDFHLLLFVAQFLDVSSDVPALAECSRLFPRAHRINGQ >scaffold_700805.1 pep chromosome:v.1.0:7:3213361:3218168:1 gene:scaffold_700805.1 transcript:scaffold_700805.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister-chromatide cohesion protein 3 [Source:UniProtKB/TrEMBL;Acc:D7M8Q0] MEDSPQGLVKKRRRVRDQDDGSDQIELDFQETRPKPKRSRTHPPPQQNLIEVVKGNGDLISKAVKIWVERYEHSPRLATTELLSMLFQACGAKHSIKEDLLDETDVHDVVIAFVNSDEAGEVEDYQSWRNKELNNFKENLVSFWNYLIIECQHGPLFDKLLFNKCMDYIIALSCTRPRFYRQTATLMGLQLVTSFISVANTLSSQRQTTQRQLNAQSKKRADGPRVDSLNKRLSVTHEQITTLEGMMRKIFTGLFVHRYRDIDNDIRMSCIQSLGIWILSYPSLFLQDLYLKYLGWTLNDKNAGVRKASLLALRRLYETDENVPTLGLFTQRFSNRMIKMGVDVDMSAAVCAIGLVKQLLRQQLIPDDDLGPLYDLLIDQPQEIRRAIGELVYDHLITQKFNTSPSSLTGPGDFSSEIHIFRMLQILREFSTDTIISVYVIDGVWEYMKAMKDWKCIISMLLDQNPQTGSTTDEDSTNLIRLLIVSIRKAVGEKTIPSTDNRKQYHTKAQREMFENNRKDLTVAMMKNYPQLLRKFMADKAKVSSLVEIIMFMKLELYSLKRQEQSFKAAVRLIIDAFFKHGEKEALRSCVKAITFCASESKGELQDFSRGKLKDLEDELLDKLTCAIREVKDGNDEYSLLVNLKRLHELQLLKPVLVESMFHGIALTLRNFRNLDEEVICFLLMNMYMYLAWSLHSIINCEAISEASLSSLISKRDTLFEELSYFLNGIEESKKYGNQLSLRICAILAETWCLFRKSNYDSSKLERLGYCPDSVFLEKFWILCAETFSTSDETDEEDENTEYVEETNRDVAVIAACKLVASDVVPKDYLGPEIISHLGMHGPGVTGIIKNLITCLSKKEDDISNIYLESLKRAYHRYSSELSSGREESRVDKCLQVCRELAGGLSEMYIGAACNKYRLEIFSVVKEGVEFAFRDAPKQLLFLEVAILPFATRLSVPDIIDIKKDVQGRIVHVNTDEDPSGWRPCFTFLVCLILREIER >scaffold_700806.1 pep chromosome:v.1.0:7:3218913:3219193:-1 gene:scaffold_700806.1 transcript:scaffold_700806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCHGILCLDEGSFRPNSTLNKNRLLLLSNVTPQNSFFFNASLGQHPNRVYALPGTETENSSQSLWSTQQKTISALVSV >scaffold_700807.1 pep chromosome:v.1.0:7:3219701:3223532:1 gene:scaffold_700807.1 transcript:scaffold_700807.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:D7M8Q2] MFHVEEESSGGDGSEIDEEFGGDDSTTSLSRWVFDEKDDYEVNEDYDDDGYDEHNHAEMDSDEEDDNVEQRLIRTSPAVDSFDVDALEIPGTQKNEIEDTGIGKKLILALQTLGVVFGDIGTSPLYTFTVMFRRSPINDKDDILGALSLVIYTLILIPLVKYVHFVLWANDDGEGGTFALYSLICRHANVSLIPNQLPSDARISGFGLKVPSPELERSLIIKERLEASMALKKLLLILVLAGTAMVIADAVVTPAMSVMSAIGGLKVGVGVIEQDQVVVISISFLVILFSVQKYGTSKLGLVLGPALLLWFFCLAGIGIYNLVKYDSSVFKAFNPAYIYFFFKRNSVNAWYALGGCVLCATGSEAMFADLSYFSVHSVQLTFTLLVLPCLLLGYLGQAAYLSENFSDAGDAFFSSVPSSLFWPVFLISNIAALIASRAMTTATFTCIKQSIALGCFPRLKIIHTSKKFIGQIYIPVLNWSLLVVCLIVVCSTSNIFAIGNAYGIAELGIMMTTTILVTLIMLLIWQTNIIVVSMFAFVSLVVELIFFSSVCASVADGSWIILVFATIMFLIMFVWNYGSKLKYETEVQKKLPMDLLRELGSNLGTIRAPGIGLLYNELAKGVPAIFGHFLTTLPAIHSMVIFVCIKYVPVPTVPQTERFLFRRVCPRSYHLFRCVARYGYRDVRKENHQAFEQILIESLEKFIRKEAQERALESDGDHNDTDSEDDTTLSRVLIAPNGSVYSLGVPLLAEHMDLSNKRPMERRKASIDFGAGPSTALDVEQSLEKELSFIHKAKESGVVYLLGHGDIRATKDSWFLKKLVINYMYAFLRKNSRRGITNLSVPHSHLMQVGMTYMV >scaffold_700814.1 pep chromosome:v.1.0:7:3245127:3247842:1 gene:scaffold_700814.1 transcript:scaffold_700814.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:D7M8Q8] MALVVRPLFVPGSAGISGTRDICKKNQSRKYLLKPNGASVNCSFSTEKEPLLPSIQQLTDARLIYSVSAALGHNKKSHPECSARVPAIVNALEKNELTPKFRGSQVLELANFKTATIEDIANVHDKAYVFGLEKAMDEASDSGLIFIEGSGPTYATSTTFQDSLIAAGAGMALVDSVIAASRNSPDPPTGFALIRPPGHHAVPKGPMGFCVFGNVAIAARHAQYTHGLKRVFIIDFDVHHGNGTNDAFSEDPDIFFLSTHQDGSYPGTGKISDIGKGKGEGTTLNLPLPGGSGDIAMGTVFEEIIVPCAQRFKPDLILVSAGYDAHVLDPLANLQFTTGTYYSLARDIKQLAKEVCGGRCVFFLEGGYNLESLSSSVADSFRALLGEESLASEFDNPAYLYDEPMRKVRDAIDRAKSIHCL >scaffold_700821.1 pep chromosome:v.1.0:7:3272773:3274402:-1 gene:scaffold_700821.1 transcript:scaffold_700821.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7M8R5] MLTRFKIQNNKMVGANLVSMILLMHAIVGFPFHARGLSMTYYMMSCPFAEQIVKNSVNNALQADPTLAAGLIRMLFHDCFIEGCDASILLDSTKDNTAEKDSPANLSLRGYEIIDDAKQKIENRCPGVVSCADIVAMAARDAVFWAGGPYYDIPKGRFDGKRSKIEDTRNLPSPFLNASQLIQTFGQRGFTPQDVVALSGAHTLGVARCSSFKARLTVPDSSLDSTFANTLSKTCSAGDNAEQPFDATRNDFDNAYFNALQMKSGVLFSDQTLFNTPRTRNLVNGYALNQAKFFFDFQQAMRKMSNLDVKLGSQGEIRQNCRSIN >scaffold_700826.1 pep chromosome:v.1.0:7:3287405:3290046:-1 gene:scaffold_700826.1 transcript:scaffold_700826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGEWTGGIAGTGVLSFKRITLLVCFFNILIALFVLRFLYASSLHIYSNNDNVVKYTADEVRKMEESIRIRRSKEPTLLVQLVKKLKHEVATAESNTELSPNVKHKLVDEILQRLKRFDQKSNVTQLREVVETWRSEKLEEAKELIQGQNGVNSTLIVEEAGMLVRALELEWDVLSEEIGFWLPAEVTNEEHDDKPEGEEEPEEILAGRPVPAVCNAELHTDYGGAAVRWGLTHHKESAADCCQACLDQAKRARPGETRCNIWVYCPSEFGCFSPDIYEHKHQECWLKYAEKPKQNFKDRYSETYRNNHPKAPSIVPWVSGVITA >scaffold_700829.1 pep chromosome:v.1.0:7:3294107:3296216:1 gene:scaffold_700829.1 transcript:scaffold_700829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVKPNPFLSFSSFLHHHCTRFSSDLSARIEDTKRFAETLATRRFSPFPPPPFASISQSKSGAPPTTLNPSLVAKALAGTSVFTVSNTNNEFVLISDPTGDKSIGLLCFRQEDAEAFLAQARLRRRELKTNAKVVPITLDQVYLLKVEGISFRFLPDPIQIKNALELKSSGNKNGFDGVPVFQSELLVVRKKNRRYCPVYFSKEDIERELSKYTRASRGDQQIMVGSLEDVLRKMEMSEKNSGWEDVIFIPPGRSYAQHMQELIKE >scaffold_700831.1 pep chromosome:v.1.0:7:3301980:3304840:1 gene:scaffold_700831.1 transcript:scaffold_700831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTDFFAGEIATELLRQLFLISAKAWKYKSTADNLIALIEDIQPTIKEIQYSGVELPAHRQAQIGMLFDTFEKGKKLTEKVLSSRRWNMFRQLTLARKMEKLEKTITNFLKAPILAHILADVHRLRADSEERLDRVDRSLERVIQQVGSMKIGGGGMIREAMKRAEAMEIETNDDLEKFGVGLELGKIKVKKMMFEAQGGVFGISGMGGVGKTTLARELERDHEVRCHFENRILFLTVSQSPLLEDLREHIWGFLSGCEAGNPVPDCNFQFEGTRKLVILDDVWTTQALDRLTSFKFPGCTTLVVSRSKLTEPKFTYDVEVLSEDEAISLFCLCAFGQKSVPPGFCNDLVKQVANECKGLPLALKVTGASLNDKPEKYWEGVLQRLSRGEPADDSHESRLLNQMEASLENLDQTTKDCFLDLGAFPEDRKIPLDVLINMWIELHDIDEGNAFAILVDLSHKNLLTLGKDPRLGSLYASHYDIFVTQHDVLRDLALHISNVGKVNRRKRLLMPKRELELPREWERNNDEHYIAQIVSIHTGEMNEMDWFDMEFPKAEILILNFSSDKYVLPPFITKMSRLRVLVIINNGMSPAVLHDFSIFANLSKLRSLWLERVHVPELSNSTTPLKNLHKMSLILCKINKSFDQTGVDVADIFPKLGDLTIDHCDDLVALPSSICGLTSLSCLSITNCPRLGELPKNLGKLQALEILRLYACPELKTLTGEICELLRLKYLDISQCVSLSCLPEEIGKLKKLEKIDMRECCFSGRPSSAVSLKSLRHVICDTDVAFMWEEVEKAVPGLKIEAAEKCFSLDWLDE >scaffold_700832.1 pep chromosome:v.1.0:7:3306641:3307215:1 gene:scaffold_700832.1 transcript:scaffold_700832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILCKINKSFDQTGVDVADIFSKLGDLTIDHCDDLVHLTSVQALEILRLYACPELKTLSGEICELPGLKYLDISQCVSLSCLPEEIGKLKKPSSANSLKSLRHVICDTDVAFMWDEVKKVVPGLKIEAAEKCFSLDWLDE >scaffold_700837.1 pep chromosome:v.1.0:7:3319336:3321080:1 gene:scaffold_700837.1 transcript:scaffold_700837.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit K [Source:UniProtKB/TrEMBL;Acc:D7M8S8] MGVEIQSPQEQSSYTVEQLVAVNPFNPEILPDLENYVNEQVTSQTYSLEANLCLLRLYQFEPERMNTHIVARILVKALMAMPTPDFSLCLYLIPERVQMEEQFKALIVLSHYLETGRFQQFWDEAAKNRHILEAVPGFEQAIQAYASHLLSLSYQKVPRSVLAEAVNMDGASLDKFIEHQVTSSGWIVEKEGGSIVLPQNEFNHPELKKNTGENVPLEHIARIFPILG >scaffold_700842.1 pep chromosome:v.1.0:7:3351415:3364128:1 gene:scaffold_700842.1 transcript:scaffold_700842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCLPMELNLRKGDKVWVEDKDFAWIAADVLDSFDNKLHVQTSTGKKVFVSPEKLFRRDPDDEEHNGVDDMTKLTYLHEAGVLYNLQRRYALNDIYTYTGSILIAVNPFKKLPHLYNGHMMEQYKGAPFGELSPHVFAVSDVAYRAMIDDSRSQSILVSGESGAGKTETTKLIMQYLTFVGGRATDDDRSVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEIQFDTNGRISGAAIRTYLLERSRVVRITDPERNYHCFYQLCASGNDAEKYKLSNPRQFHYLNQSKTYELEGVSSAEEYKNTRRAMDIVGISHDEQEGIFRTLAAILHLGNVEFSSGREHDSSVVKDLESRHHLQMAADLFKCDANLLLASLCTRSILTREGIIIKALDPNAAVASRDTLAKTVYAHLFDWLVDKINKSVGQDPESRFQIGVLDIYGFECFKNNSFEQFCINFANEKLQQHFNEHVFKMEQDEYRKEEINWSYIEFIDNQDVLDLIEKKPIGVIALLDEACMFPRSTHESFSMKLFQNFKFHPRLEKAKFSETDFTLSHYAGKVTYQTESFLDKNRDYTIVEHCNLLSSSKCPFVAGLFPSAPEESTRSSYKFSSVSSRFKQQLQALMETLSKTEPHYVRCVKPNSLNRPQKFESLSVLHQLRCGGVLEAVRISLAGYPTRRNYSDFVDRFGLLAPEFMDESNDEQALTEKILSKLGLGNYQLGRTKVFLRAGQIGILDSRRTEVLDASARLIQRRLRTFVTHQNFISVRASAISIQAYCRGCLSRNAYATRRNAAAAVLVQKHVRRWLSRCAFVKLVSAGIVIQSCIRGDSTRLKFSHQKEHRAASLIQAHWRIHKFRSAFRHRQSSIIAIQCRWRQKLANREFRKLKQAANEAGALRLAKTKLEKRLEDLEWRLQLEKRLRTSGEEAKSSEISKLQKTLESFSLKLDAARLATINECNKNAVLEKQLDISMKEKSAVERELNGMVELKKDNALLKNSMNALEKKNLVLEKELLNAKTDCNNTLQKLKEAEIRCSELQTSVQSLEEKLSHLENENHVLRQKTLITSPERIGQVLGEKHASAVVPAQNDRRSVFETPTPSKHIMPFSHSLSESRRSKFTAERNRENYELLSRCIKENLGFNDDKPLAACVIYKCLLHWHAFESESTAIFNIIIEGINEALKGGDENGVLPYWLSNASALLCLLQRNLRSNSFLNASAQRSGRAAYGVRSPFKLHGTDDGASHIEARYPALLFKQQLTACVEKIYGLIRDNLKKELSPLLGSCIQAPKASRGIAGKSRSPGGVPQQSPSSQWESILKFLDSLMSRLRENHVPSFFIRKLVTQVFSFINLSLFNSLLLRRECCTFSNGEYVKSGISELEKWIANATEEFAGTSWHELNYIRQAVGFLVIHQKRKKSLDEIRQDLCPVLTIRQIYRISTMYWDDKYGTQSVSNEVVSQMRVLLDKDNQKLTSNSFLLDDDMSIPFSAEDIDKAIPVLDPSEIEPPKFVSEYTCAQSLVKKPSTASTSKQII >scaffold_700845.1 pep chromosome:v.1.0:7:3370417:3371718:-1 gene:scaffold_700845.1 transcript:scaffold_700845.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g33160 [Source:UniProtKB/TrEMBL;Acc:D7M990] MGFSAGKRKSRDEEDTTVSFLASEFPMDDLSDDVLERVLSWLPTSCFFRMSSVCKRWKSSQSSKSFKLACSQIPTRDPWFFMIHNDSHSSSFVFDSTENTWKNLNRRDFLHHPRRDFIPVASSGGLLCFRCSISGEFLLRNPLTGSSRDIPSPISQDSDKPLQAVAMTTTTVTPSSYKLVTISGEIPNLSFKIYESNSDSWSKDQELELAKNTDSSLHDDSDTDIGTVYFLSKTGNVVIASNNNLQRSPSKQYSSVITVTDGAEIVYFLSSYGTVVACDLTKRCFTELPKLLPPFLEYSIDLVECNGTMYVILLSEFFESASLRVWRLDNNREWVQVGMLPPALSHELYGKKGDINCVGGAGNKVLVCFNASPPEVYCRYFVYDLNAEEWSELPRCFKDGEAMDFVSALSFQPRIEATV >scaffold_700848.1 pep chromosome:v.1.0:7:3385899:3386139:1 gene:scaffold_700848.1 transcript:scaffold_700848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDVSAKPSSVAQSSGTSSSGTAPGPGNMVAPGSGGSHIPRDAFEANTKAYFDNLHAKYKANK >scaffold_700851.1 pep chromosome:v.1.0:7:3392456:3394595:1 gene:scaffold_700851.1 transcript:scaffold_700851.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coclaurine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7M996] MEKIIDVAYGASVKAVLTLLEKNLLPDLVIRRLTRLLLAGRLRSGYKPTAEMQLSDLLRFVDSIKKMPIAINTEKPKTQHYELPTAFFELVLGRNMKYSSCYFSNDSTSLEDAEEAILALYCERAKVEDGQSVLDVGCGWGSLSLYIARKYSKCKLTGICNSKTQKAFIDEKCRKLGLQNVEIIVADISTFEHEGTYDRVFSIEMFEHMKNYGELLKKIGKWMKEDSLLFVHYFCHKTFAYHFEDVNDDDWITRHFFSGGTMPSANLLLYFQENVSIVDHWLVNGKHYAKTSEEWLKRMDKEIVAIKEIMEVTYGKEEAVKWMVYWRTFFIAVAELFGYNNGEEWMVAHFLFKKK >scaffold_700852.1 pep chromosome:v.1.0:7:3394706:3395383:-1 gene:scaffold_700852.1 transcript:scaffold_700852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKKKDSTSARSSTSPCADLRNAYHNCFNKWYSEKFVKGQWDKEECVAEWKKYRDCLSENLDGKLLTRILEVDGELNPTKQADSKESSS >scaffold_700853.1 pep chromosome:v.1.0:7:3396207:3396913:1 gene:scaffold_700853.1 transcript:scaffold_700853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRIYLNSDDAVVALFVEPLFEAMRERRKGVQSGAAMCMAKMVQSAATPPISSFQKLCPRISKLLSNPCFLAEASLLLLVSSLSQVGAIAPQSLDSLLERIYACLASTCWETRTKGCG >scaffold_700855.1 pep chromosome:v.1.0:7:3403915:3408313:-1 gene:scaffold_700855.1 transcript:scaffold_700855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIQEEESGTDEEVLGSSLTMEKVAAAKQYIENHYRAQNKNIQERKERRWILERKLASSGVPKEEQISMIKDLERKETEFMRLKRNKISVDDFELLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMVMRGQVEHVRAERNLLAEVASHYIVKLYYSFQDPEYLYLIMEYLPGGDMMTLLMREDTLREDVARFYIAQSVLAIESIHRYNYIHRDIKPDNLLLDKDGHMKLSDFGLCKPLDCRNLPSIQENRATDDETMSEPMDVDRCFPDTDNKRSWRSPQEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYADDPISTCRKIVHWRNHLKFPEDAKLSSEAKDLICRLLCNVDHRLGTGGGAQQIKDHPWFKDVVWEKLYEMEAAYKPEVNGELDTQNFMKFDEVNSPVPERTRSGPSRKMLLAPKDLSFVGYTYKNFDAVKGLRHSLEMARTMSLDRSPAEAMPVELISGEAAEAQIVSSMDDPMII >scaffold_700859.1 pep chromosome:v.1.0:7:3438716:3440171:1 gene:scaffold_700859.1 transcript:scaffold_700859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSETGMELPYDVEIEILQRLPVKPLHRLELVSKNWRRLIRSRYFMERYMVHQKSKHRFKILAKIIVVDPLVLKVSPKPRLFLRSSDDDPEFTYVLLENNEVVATCLSCDGFICCPRPGNKYLFINPATGQKIQAEQRSPCHRPQTFESHVTVPFFISRYSIDFLGYRTSNHQFPSPAIDLLGFGRDRVSGDYKLVRLFERPGENTACTECEVLSLKTWEWRYISHVPIPCFKGQPSASVNGSIYWFTVYLWLTDAEYSTTAKIIAFDLHTHRFRAVHHPPFGARTPSYIINLMNLRDQVWMVEQTLDCLEMWSMDGGGGAWEKMYSIDLKSYARPNLEVLMPIEICGDGKVLISGKKPNNSNHWDLKERLLKYDPRTKTLLLADHQTFARVPYFQTWVLAPYFQSLFSFDTL >scaffold_700860.1 pep chromosome:v.1.0:7:3445334:3450185:1 gene:scaffold_700860.1 transcript:scaffold_700860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAGRMRRHDETMTLIKNYLEQHGMSSTVQANNTIPTVDVENQRERVVQYVKSLIEQDLNVRSMFYPTVIEGQSRLQTLLEQSVNWQHRDCVHSPLFASLLNDHHCTPQPLHQNHQTLATNNLDMQASSSGLGNYDPMLLEFNGQPQHSAGSHYSPSLYLTIEDDTVMPGWTINSDQSAGFSNDIPSVVTVPPTFSLSDSVVKDIHVTEVVTAFEFHHHLPLVLAVGFSEGLVHTYNYIHEQRPKPHLEIEAHSGPVNDIVFYSSQGELKIVTCGNDRHIKAWNSSNGLVYWKLTHTAPLNSLALHQDGNKVQLFILDVFGTAMKPNIGYNGPLDSNPLLFPSDMNARLELKFSGDGKRLFCYGPGGLVEVDRESFETRRNYNSVMNPQTKCMDICKNGYIAVGDEYCVKVWNVDSDHLFRKIKIDAAVFPECPMVKFNREGSLLAVVSSLWRRWTIKLAKNISSAVFYIRLGWENVCSVNGLSEGELCKFKLFQNGERPVLWLCPGEPGIGHKQKRTLDEVSKGKEKNTPSRESTGQLSLPMSFTRKNNINKAGEVILLNQDGRKWSSYLQITGLGRAGSEWFYLRRGWREMCEANGVKGKGNQSTRKKRACETAPQPKNVKKAPRVGVEGRLNKRISC >scaffold_700862.1 pep chromosome:v.1.0:7:3454646:3454907:-1 gene:scaffold_700862.1 transcript:scaffold_700862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARLEIALSVTMMQLYLNNDVPIKAGWIIRDNKGSYLGSRQSFGKVSFSALESEFHALILASTKLLDTKIK >scaffold_700863.1 pep chromosome:v.1.0:7:3459452:3460222:-1 gene:scaffold_700863.1 transcript:scaffold_700863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTCWSSQQRVNGIGLTCHVALLFCTGHPRLSPRPTKLKKTLVEKRALLSTEGGSSRRHQASNKPNADPQNLHRRQPPQSLIK >scaffold_700864.1 pep chromosome:v.1.0:7:3461915:3464826:1 gene:scaffold_700864.1 transcript:scaffold_700864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAGRMRRHDETMTLIKNYLEQHGMSSTVQALDIERGKLPNLATFTSLLLGGNFEDAVTYFCQFQNEVSLASCHILYFIWRQLFSELLSMNNTIPTVDVESERAEVVKYVENQIQRDVALRSMFYPTIMEGQNRLQTLLEQSVNWQHRDCVHSTGFTSLLNEHHCQPPPANNNTDLNASSSRGRFDDGMIHEFGQPTQHSPSLEFTFDSDDANINELFDGLVVPSPFPKEVMNVLNLSHVTGMEFHPQLPLILVCTTSGEIKLFKLNASNYPNGKEFKIWKFKALSQELKDDFVKKERKTAGAVCVAWGSHGNNTNYAVGFCEGLVQTYNYTHDTPTKQHLEIEAHSGPVNDIVFYSSQGELKIVTCGDDKHIKAWNSSDGLVYWKLTHTAPLNSLALHQDGNKVQLFISDVFGSAIKPNIGYNGQLDGIPLLFPSDMNARLELKFSGDGKRLFYCGPGRLVEVDRVSFETRRSYNSVMNPQTKRMDICKNGYIAVGDEHSVKVWQLDNGEDYFTRICINNDRNFPECPMIKFNREGTLLAVVSLSHLRILANNDGKSLLADVDGGLPHSGRFSCFK >scaffold_700866.1 pep chromosome:v.1.0:7:3473747:3475202:1 gene:scaffold_700866.1 transcript:scaffold_700866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSETGMELPYDVEIEILQRLPVKPLHRLELVSKNWRRLIRSRYFMERYMVHQKSKHRFKILAKIIVVDPLVLKVSPKPRLFLRSSDDDPEFTYVLLENNEVVATCLSCDGFICCPRPGNKYLFINPATGQKIQAEQRSPCHRPQTFESHVTVPFFISRYSIDFLGYRTSNHQFPSPAIDLLGFGRDRVSGDYKLVRLFERPGENTACTECEVLSLKTWEWRYISHVPIPCFKGQPSASVNGSIYWFTVYLWLTDAEYSTTAKIIAFDLHTHRFRAVHHPPFGARTPSYIINLMNLRDQVWMVEQTLDCLEMWSMDGGGGAWEKMYSIDLKSYARPNLEVLMPIEICGDGKVLISGKKPNNSNHWDLKERLLKYDPRTKTLLLADHQTFARVPYFQTWVLAPYFQSLFSFDTL >scaffold_700875.1 pep chromosome:v.1.0:7:3507518:3508671:1 gene:scaffold_700875.1 transcript:scaffold_700875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKKFGSWMGDDRDGNPNVMAKLNAYGQSKDEINVLLQCPFAVRFWDLTSFRSKPREDVQTSLQLLLQVQTTASRSYVILALVAKTLACKRAPLAGFVSVLQTLNVFS >scaffold_700877.1 pep chromosome:v.1.0:7:3513597:3517317:1 gene:scaffold_700877.1 transcript:scaffold_700877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKAVLTGAVATRVTMEPSEDILICVDVDAESTVEMKTTGTNGKPLNRLECVKLAITRFIHDKLARNSDHRFAFATLSKSAAWLKKEFTSDAESAAASLREISATNSSGPADLTLLFQEAAQGAKTSRAQNRILRVILIYCRSSVRPTHDWPINQKLFTLDVMYLHDKSAPDNCTHDVYDSLVDALERVSEYEGYIFESSHGLAQSVFRRMSTLLSHPPQRCAQVDLPKPSAKKPAVSCDQSTSGSKKGAKQPLEKTSNTNTKRVHSLGKENASDLKNYDENLVGSRVKIWWPLDRAYYEAVVISYYSAKARHRVRYIDGDEEILNMRKEKWYFVNESKLPKQDKEANQTGCVEEASTMPQKKKAKTSKEQSVNKQSKMLSPFPLYVKKDDEVIHGEVPQISRRQAEQVLSSCASQLKKYLTEAVKSSSVPLDKHSDVVDSICEGAFDALKQEEVVANEKEDRQGPREAAVKACFFLPETSIETLKFVICKKKMNVFLAYVGSRLLTVNLFSDQQEQQKAAEVSTPELTFVPERKLNLEHSLSFCPHDSSVNPAISSMNENGRKDLSPRHETVAEGGVKTQERKILEMVQQPVAEEEDLAETETQTHKRARVESSSLGKADGEMEKKAAEGEPSCRSQKSSAEPGDSQRKNLPEPCSVTQQLAKVKQSILDTVASVRQFRCELERKEQSIVDTLSIVRLFRSEIEEKEDILEASLLEIDVLGLSDNVMLKQIGEKISGINKILN >scaffold_700884.1 pep chromosome:v.1.0:7:3550297:3550516:-1 gene:scaffold_700884.1 transcript:scaffold_700884.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M9M6] MARAMKQESAGPIPIYNSVSASRAFAYTVCPVGVFVGLYTSKWLKCFQVRRKAPTRHTV >scaffold_700885.1 pep chromosome:v.1.0:7:3550970:3551265:-1 gene:scaffold_700885.1 transcript:scaffold_700885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRALLAVISASPNRCFISPSRIKIQSLTSSSHYYQRQSRKIHRIARSYSSDSDSSVLQPPDVSGIKCSLRFADEKLNFWDL >scaffold_700890.1 pep chromosome:v.1.0:7:3574722:3575008:1 gene:scaffold_700890.1 transcript:scaffold_700890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNKAAIRLPAFYVGVYEAVDDPSLDSVISWSTSNRSFIIWNPEEFHRRILSTSLQLLSPDYSTFFSQLNFYVSLLYLQD >scaffold_700896.1 pep chromosome:v.1.0:7:3596991:3598809:-1 gene:scaffold_700896.1 transcript:scaffold_700896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTETQHQEKEASDAAQKRWTLSDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLQQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAARGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGILCYEFLYGVPPFEAMEHSDTYRRIVQVDLKFPPKPIVSASAKDLISQMLVKESSQRLPLHKLLEHPWIVQNADPSGIYRG >scaffold_700897.1 pep chromosome:v.1.0:7:3605815:3615628:1 gene:scaffold_700897.1 transcript:scaffold_700897.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7M9N9] MFSIAAINDTETTEKWEPLAPSKEAQEFHLSQTYHDGLLKLQAKDYEKARELLESILKDPIIANSKVETIANDNHLHHLRFLALKNLATVFLELGSSHNENALNCYLQAIDLDAKDSVLWNHLGTLSCSMGLLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVSCLSVANLILRHWPSHSRALHVKHCIEATDSAPFAPKGIDKLEPQHVRLKFLGKRKVSDMNQDMDAASKKLKKRVQVKLPEASWVALINILIGIVHPSRETVGISADIPITIELALSTEAVMQGLKKKDHCVDSDSSNMSVKDCNIERESGGSVKEKEPVFSEEHPQERRSTRLERLRNQKPEKEELEFDNSKDPSSDILQYLEKFVLTREFNRVSAGSFCLEEPDPISEHTVVSNFVKENSENYGAYHMGHLLLEYIASKCEHILSRDAALKILELEKLTRHWGRDRTPECSLFLAELYHDFDSKGSDIPDGRSCMVEITYHLSKIIESVSLDYAIDSTPSSWGERFSDSSFKAAKEVLDYEKRSFWAQYFWLSARLSILEDNKAKALEEFLRCLSLLDKEGIGEAPVLIQLPHCRRIRELNINRIIHEINLLKTDFLLEHTIPEMMEKEFYSECVNLLAPLLFPNKDMLPAYAVKTEEGISSVELSALDVLIKACQKSKPIDVEVYMNCHRRKLQVLLESTGTGESVVTPKKPYKNSNESWDHLVAEEVKAILLCISQVKNSLDQSGNSNDMVAPKYCVAGIQALLLRVMSNIVRHFLSKRYSDSQNADGIEEQKKFCFLDAAIGFCKLQHLDATMSTKYQVELIIGLHDLLAEYGLCCAGKNCSGEEGAFLRFAIKHLLAVDMKVKSSINSPDGLGHDMALPDKLCRNEIKSFLTEVHVKNNETNKTDSKKDGSEEQVGYREKEQSEQESKQITEHTEVVAEEEKDEPELLINNALDQCFFCLYGLNLRVDGSYEDELAVHKNTSRGDYQTKEQCVDVFQYILPYAKASSRTGLVKLRRVLRAIKKHFAQPPDDLLIGNVIEKFLDDPDLCEDKLSYEAGSEGFLETITKCLIPSKTLTQSEEVSASDKWPGFVLTKEGEEFVQQNTNLFKYDLIYNPLRFESWEKLGNLYDEASSFIHSCCYCFSEILPSNSRWRKNSALSQRVETSRRRSRRCLLMSLALAKSPDQQSEIHEFLALVFYDSLQSVVPFYDQRSVLPSKDATWTRFCENSMKHFNKAFAHRQDWSHAFYMGKISEKLGHSYEISLSYYKQAMTLNPSAVDPVYRMHASRLKLLNACGKQNLEALKVLASYCFDESIKDTAMTIIGTTTFGSSRTLEEAQDGNLEACYAKTGEGSIQMEGVWHMLYNDSLSALGICVEGDLKHFHKARYMLAQGLYRRGGSSDLQRAKEELSFCFKSSRSSFTINMWEIDGMVKKGRRKTPGLAGNKKALEVNLPESSRKFITCIRKYLLFYLSLLEETGDVNTLERAFNSLRSDKRFSLCIEDLVPIAIGRYINALVSSMSRVESAGANINPDSQLEKIFSLFIEQGSIWPDICNFPEIRGPETSESSLYRYLHQYIVSLELDNKVETLETINEKIRKRFKNPKLSNTFSAKVGRHASLAWCRALIISLASITPLQQASSEESPAVTPSFGLLEKRRVLCVDLQSEFWSSSFEDPLESQMLEAKWRPVLSKIKNVLIFNKVLEENLEIANALLKSCYNFFRETASVTLPSDINLYFALPQLAPAGELPGSEGVEVIDVSIPRKLLLWAYTLVHGHCGSISQVVKYMEENTKVRNTKTVCDNCSQKLREEPQLHQWFLWFNQILLLGKSYEKVRNILYSKNVDATQFGISYSW >scaffold_700899.1 pep chromosome:v.1.0:7:3629530:3630259:-1 gene:scaffold_700899.1 transcript:scaffold_700899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSSDKEKKENSKQPVYRGVRMRSWGKWVSEIREPRKKSRIWLGTFPTAEMAMRAHDVAAMSIKGTSAILNFPELSDFLPRPVSLSPRDVRAAATKAALMDFDTAPCRSETETRETNKRSESSETTTSDKRSESSETVSFSSSSSFSVTSIEESTVSDDDLDAIVKLPSLGTSVDESNEFVIFESLEDLVYMPPWLSGTEEEEVFTYNNDSSLNYSSVFESWKHFP >scaffold_700905.1 pep chromosome:v.1.0:7:3653684:3653932:-1 gene:scaffold_700905.1 transcript:scaffold_700905.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9P7] MRIDQSALTIRILVSNCNVSSLVLKIGRLGADRKGTAAILSKSIKKIGDPIFVRLDRLGVRLARLDGA >scaffold_700906.1 pep chromosome:v.1.0:7:3654563:3656490:1 gene:scaffold_700906.1 transcript:scaffold_700906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIGDALRQAFMPKQEYESLREEDRALIKLQRPTLMYIVALLSFVIFTCTIVSLKIVFPSNVLKRPFCSDVKLQPLPIYGKARDSDLFPGAFYLTDQETVDYYWMVVFVPSTIIFLVSSVYLVAGIFVAYSAPHRHGFLKVVENNYCASRRGGVRCLSILNVVFAIIYGLLAIFLGSSLLTLGSSCSVPLFWCYEISSWGLVILYAGTAFSLRRRAALIIDEGEFGNRNDQGLEMLEANPLEFTPDVERRVNEGFKAWMGPSLLSSDEEDEPDFYNEVPNVTRTLSSRQRS >scaffold_700911.1 pep chromosome:v.1.0:7:3675127:3675343:1 gene:scaffold_700911.1 transcript:scaffold_700911.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9Q3] MSFQFRWSDPPSCAFQRLTIFVLPLGSKSISPNPVETSLKMQSPHLRCTIASIGCVRM >scaffold_700919.1 pep chromosome:v.1.0:7:3710049:3710346:1 gene:scaffold_700919.1 transcript:scaffold_700919.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9R1] MRRRLTGGTPTRAGEASRFRGVVLTRGWDGSLLAACGFDGSRRKEMTIFTSRSVFLGDTNRVGGSRRKTQRTPSKPTSASRAGP >scaffold_700927.1 pep chromosome:v.1.0:7:3745258:3746679:-1 gene:scaffold_700927.1 transcript:scaffold_700927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGFNLGFTFSSAQIQQHRKVSGGGRVRVISCNSSSSSSSSSQASSPQGISAATPPEIELEFFGPKPGSDGSYPVDKAKAVSGEKLLRSIMQDTKIELYAAYGKVMNCGGGGSCGTCIVEILDGRDLLNERTDTENRYLKKKPESWRLACQTIVGNKENSGKVVVQRIPQWKK >scaffold_700934.1 pep chromosome:v.1.0:7:3791545:3792239:1 gene:scaffold_700934.1 transcript:scaffold_700934.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MA35] MDMERGLGNFRTWVCNSSVFLIVMGVVTLSCSMISLWMMFILPVDKIPTWLNILLYVIYTGLIIYVIVYYTLINNGNRNVLVDHLVPRGYPNCACIVRGFGKALVLGFFVLADSTLEKKNKVWVILFCLSSVLHVIHMMVVFGFREEFDVAGGLIQAFFVMFCDIIKPKVGKKVHPLVLVTTAITLVLVTIVLNCYKTLLLEGVAVRREEQPVVG >scaffold_700936.1 pep chromosome:v.1.0:7:3800158:3801726:1 gene:scaffold_700936.1 transcript:scaffold_700936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVALGHASSWGAALVRISPYTFSAIGIAISIGVSVLGAAWGIYITGSSLIGAAIEAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSSKMYDAESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSTLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPTK >scaffold_700938.1 pep chromosome:v.1.0:7:3805688:3805886:-1 gene:scaffold_700938.1 transcript:scaffold_700938.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MA39] MHQNLKDETKIAPGGEEESDGRRRNRKLDQRERSDSGEIYSGGGGRRKKKSR >scaffold_700942.1 pep chromosome:v.1.0:7:3817770:3819113:-1 gene:scaffold_700942.1 transcript:scaffold_700942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQNSDDIMKFLDGMASSDDVLFGFLDEGNHSPEDYNFAAGDESEVDNETTDCNSEENRKFWQEQEQLLQATLYRTSSIETKIRQATKEALKVVKSKGLVCVCRRPVTDGCRSCLRGEVSRRLREAGYDCAISKSKWRSSHEIPAGEHEYLEVVDKSVSKKGEIRVVIELCFRAEFEMARGSDEYKRLIGMLPEVYVGKTERLKSLIKILCTAAKKCMKDKKMHMGPWRKHKYMQAKWLGTCERKSVSPVSETEEDMFPVAKQRVSMLNNGLFGTGMGRPTAVAVV >scaffold_700943.1 pep chromosome:v.1.0:7:3824359:3825963:1 gene:scaffold_700943.1 transcript:scaffold_700943.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit 7 [Source:UniProtKB/TrEMBL;Acc:D7MA43] MASLLKSFIDPKKNFLARMHMKAISTRLRRYGLRYDDLYDQYYSMDIKEAMNRLPREVVDARNQRLKRAMDLSMKHEYLPKDLQAVQTPFRGYLQDMLALVERESKEREALGALPLYQRTLP >scaffold_700944.1 pep chromosome:v.1.0:7:3828822:3829181:-1 gene:scaffold_700944.1 transcript:scaffold_700944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFASFITSSLSSPSPPADYPLYFWRLPTTFSFGNQTFSVDPAEYLIVGESGGGSSIVSAPFERYMGIIFNHASSKERHESSVKVSPEKTTLSTLNKPLNTTPKW >scaffold_700945.1 pep chromosome:v.1.0:7:3832316:3834184:1 gene:scaffold_700945.1 transcript:scaffold_700945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMGVIVLLLLHSFFYVSLCFNDGLLPNGDFELGPRHSDMKGTQVINITAIPNWELSGFVEYIPSGHKQGDMILVVPKGAFAVRLGNEASIKQKISVKKGSYYSITFSAARTCAQDERLNVSVAPHHAVMPIQTVYSSSGWDLYSWAFKAQSDYADIVIHNPGVEEDPACGPLIDGVAMRALFPPRPTNKNILKNGGFEEGPWVLPNISSGVLIPPNSIDDHSPLPGWMVESLKAVKYIDSDHFSVPQGRRAVELVAGKESAVAQVVRTIPGKTYVLSFAVGDASNACAGSMIVEAFAGKDTIKVPYESKGKGGFKRSSLRFVAVSDRTRVMFYSTFYAMRNDDFSSLCGPVIDDVKLLSARRP >scaffold_700951.1 pep chromosome:v.1.0:7:3860518:3861610:-1 gene:scaffold_700951.1 transcript:scaffold_700951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGALSDGVIKKILLSYTYVAIWIFLSFTVIVYNKYILDKKMYNWPFPITLTMIHMAFCSSLAVILIKVFKIVEPVSMSRETYIRSVVPIGALYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLLKKESFKSETMTNMLSISFGVAIAAYGEAKFDTWGVMLQLGAVAFEATRLVLIQILLTSKGINLNPITSLYYVAPCCLVFLFFPWIFVELPILKESSSFHFDFVIFGTNSVCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPLNLFGYGLAFLGVAYYNHCKLQALKAKDAQKKVQQSDEEAAGKLLEERESEAAAKRDETED >scaffold_700955.1 pep chromosome:v.1.0:7:3875582:3878956:-1 gene:scaffold_700955.1 transcript:scaffold_700955.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH:adrenodoxin oxidoreductase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D7MA56] MSRYLARYMVSRYLSSVSSRPLHVCIVGSGPAGLYTADKVLKAHEGAHVDIIDRLPTPFGLVRSGVAPDHPETKIAINQFSRVAKHERCSFYGNVKLGSDLLLSELRDLYHVVVLAYGAESDKDLGIPGESLSGIYSAREFVWWYNGHPDYSSLKPDLKSSDTAVILGQGNVALDVARILLRPTTELASTDIARHALSVLEESSIRKVYLIGRRGPVQAALTAKELREVLGIKNLRIRIKETDLSLTPADEEEMKNSRARKRIYELLSKAAAAAAGTSEADPDQRELHFVFFRQPDRFLESDERKGHVSGVNLEKTILESVGSGKQIAVGTGEFEDLNCSMVLKAIGYKSVPINGLPFDHKKGVVPNVRGRVVSQTSGDISQTEPGLYVCGWLKRGPVGIIATNLYCAEETVGSISEDIEEGVCKSSKAGSKGLMELLEKRKVKKVEFSGWEKIDAKEKQMGIEKNKPREKLVTWEDLLAAAAN >scaffold_700956.1 pep chromosome:v.1.0:7:3881549:3884591:-1 gene:scaffold_700956.1 transcript:scaffold_700956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGILGRGFAPKGKPLIKLTKNRIDVLRRKRTATIKFLKKDLADLVINGHDHNAFSRAGGLLDELRYLWSLDFVEQTCDFVYKQLSTMQKTPECPEDCREAVSSLMFAASGFSELPELRELRQMFHEKYTDSLALFVNQELVENMSSKPFSLEQKVKLMEDVASEYSIRWDSKDFEKRIVRHNSISVKETPKSTYDKYKPVNRNMALPKREEFEGSENGVSLNRKMAEASERRDPLFQSDKESYQNGLRGNHHGLTSKERSDNVRHASRSESKDNKAERKEFYLHSKQDPAREKHQPIFNEGDTIVMKVNRENLGQGNGHRPGVVDAHKKNEVVASERKEYYMQSKQEPSRERHQPIFNEGDTIVMKVKHENHVQGNGHQNGVVDLHKKTEVIASEKLKPSSTKRADKLVIGFKQESFFQGYKHEKNEDHEHQKEKDNTSRPPKPSSKSKRAESIDPGSRHRNDLESKENAVLVGKSTEEDPSGGNVKGGVYENDYANPARKVEERETERMKSPFYKSLPPPYVKKSSAKARNEKAEASDNPKARFGGEEGNHPDNDKNVYGADRGNEAGHHEVNDIDNPSLKRRTSRRKHIVESGGDDHTRSRRRENSRKGLQVLIDEDEKDSEEKLMDKLLMHYSKKPSSYEKDNVQQESKSRRTHLKKGESDEEMMIYQPARSRSLPPEHLAGPSEPAKTFARAASFQPKRSSEAKHVHPKLPNYDDLAARFAELKGR >scaffold_700957.1 pep chromosome:v.1.0:7:3887198:3888545:1 gene:scaffold_700957.1 transcript:scaffold_700957.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MA58] MDTISGSSSMSRSSGALKKCNCGLPAKIYKSKTEKNPGRRFFGCKMYKTTSINCFGCCNRERPLVVEVDEPSKGLKIQGRVVKKDGGSSDGLWSTSTCDMDHNITIRSQSSNPLFDPQCSTSNSTEFVNHGNYLNVLRPS >scaffold_700960.1 pep chromosome:v.1.0:7:3905611:3908132:-1 gene:scaffold_700960.1 transcript:scaffold_700960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTASLLKTCLFGCDSSSSFKFKCKFESPAKTRLLSPATGKHVVRSLRAWRIRCISDDPGSSHVFVSSRRKMVVLLSTVQLLSHLLPQNGNAAEIYPVMQNEIRKVVTKGKAAGVLRLVFHDAGTFELDDHSGGINGSIAYELERPENTGLKKPLKVLAKAKIKVDEIQPVSWADMISVAGSEAVSICGGPTIPVVLGRLDSAQPDPEGKLPPETLSASGLKECFKRKGFSTQELVALSGAHTIGSKGFGDPTVFDNAYYKILLQKPWTSTSKMTSMVGLPSDHALVEDDECLRWVKRYAEDQDKFFEDFNNAYIKLVNSGAKWNKL >scaffold_700971.1 pep chromosome:v.1.0:7:3959985:3961039:1 gene:scaffold_700971.1 transcript:scaffold_700971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVNRRFHHQSSITSNPNDSSYGYEVKSSMATIIPCLFFAFSQASDFKKGHGNGLCKFQRVGFVEINSIITIIIGKIGFVVKEIFGGALQSQVKCLSCGAESNTADEIMDISLEILHSISIKESMQKKFQSEILDGNNKYKCETCDKLVTARKQMSSILQMPNILVIQLKVSEYIFGRSNGFLSFQIHSPRH >scaffold_700972.1 pep chromosome:v.1.0:7:3964508:3967219:-1 gene:scaffold_700972.1 transcript:scaffold_700972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSQSQAIRLNLASYSSLSPCQYCLTRVANQKQKSLVAFPSIIRTKRHALLSVQSVLHNTRPNINDNGTAESANVLFDKLFARTQRLERQTNQHSVYPDDDDLPYSNIGVLESDLEAALVALLKREEDLQDAERKLLSDQNKLNQAKEELEKREKTISEASLKHESLQEELKRANVELASQAREIEELKHKLRERDEERAALQSSLTLKEEELEKMRQEIANRSKEVSVAISEFESKSQLLSKANEVVKRQEGEIHALQRALEEKEEELEISKATKKLEQEKLKETEANLKKQTEEWLIAQDEVNKLKEETVKRLGEANETMEDFLKVKKLLTDVRFELISSREALVFSREQMEEKELLLEKQLEELEEQRKSVLSYMQSLRDAHTEVESERVKLRVVEAKNFALEREISVQKELLEDLREELQKEKPLLEQAMHDISVIQDELYKKAKAFQVSQNLLQEKEASLVEAKLEIQHLESEQASLELLLQEKDEELTEARNKLEKVNREVTELKALMICREDQLMEATEMLKEKDVHLHRIEGELGSSKLKVTEAEMVVERIAELTSRLLMSTTEGQNQNAMRINNEISFDAMQQPLEKPHDDYGMENKRLVMELSFTRENLRMKEMEVLAVQRALTFKDEEIDVVMGRLEAKERELKKLKEETINDSEDLKVLYALAQERIGEKTMGELAIEKLQLEAAQLEVEAATSALQTLAEMSMELLTQADMSIEADPAYIVMPEQGYSEGSNGCIAEVKTEVVRLWSLTEKLLENAGMKGVTL >scaffold_700973.1 pep chromosome:v.1.0:7:3972502:3972717:-1 gene:scaffold_700973.1 transcript:scaffold_700973.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAQ7] MSELLSGEDKQSTTEVAIEREKKISEVALAFPVEICHVAERWRWIDDIIKAFLHDKA >scaffold_700974.1 pep chromosome:v.1.0:7:3973462:3975228:-1 gene:scaffold_700974.1 transcript:scaffold_700974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFLNKTQRKKTRTDDKTFQVKEVNCSSFLLIRLSQQITQSVSIPVIGDGGNGYGNAMNVKRTVKGYIKAGFAGIIINDQVCCEDTKSEIRVASREELVMHIKAAVDARRECGSDIFIVDQTDSREAISLEESLIRARAFTDAGANMLESGGKVPILNPLELEEIAYKLVAYPISLIGVSIQAMQDALLAIKGGRIPSPGSMASFEEIEEILGFETYLEEEKCSSVCGNQRVAQDDPEQREDPIVEVITPEVYDEPRNPFSRIWSRSLRIKIMGLRNLMSEFRPDSWKVSPTIQHCSRYSKTQVFNICFSFLRHSYGQTLKICSFRRREFEAIDG >scaffold_700979.1 pep chromosome:v.1.0:7:3991336:3992971:1 gene:scaffold_700979.1 transcript:scaffold_700979.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 7C [Source:UniProtKB/TrEMBL;Acc:D7MAR2] MAILYALVARGTVVLSEFTATSTNASTIAKQILEKVPGNDDSNVSYSQDRYVFHVKRTDGLTVLCMAEETAGRRIPFAFLEDIHQRFVRTYGRAVHTAQAYAMNEEFSRVLSQQIEYYSNDPNADRINRIKGEMNQVRGVMIENIDKVLDRGERLELLVDKTANMQGNTFRFRKQARRFRSNVWWRNCKLTVLLILLLLVIIYIAVAFLCHGPTLPSCI >scaffold_700981.1 pep chromosome:v.1.0:7:3996747:3999038:1 gene:scaffold_700981.1 transcript:scaffold_700981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILRSTSLFALIVCQISLIFLFASTLPISSGSESEESYTITGRVRIPASTVIGHAAKFSSIKVILNGGQHVTFLRPDGYFTFHKVPAGTHLIEVYAMGYFFSPVRVDVSARHRGKVQATLTETRRSLTELVLEPLRAEQYYEMREPFSVMSIVKSPMGLMVGFMVVVVFLMPKLMENIDPEEMKSAQEQMRSQGVPSLTSLLPASR >scaffold_700987.1 pep chromosome:v.1.0:7:4016094:4017036:1 gene:scaffold_700987.1 transcript:scaffold_700987.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MAR9] MKYYERKWIVNQGYGDCHLNDLSVKQSKTGKLIQNKPEWEVRVTNPCKCKFQYTKLSCVGFQSVTPVATSLLSKSGDLCLLNAGKFIFPHVDFVFKYVWDTSFDLKVIDGVIVCP >scaffold_700992.1 pep chromosome:v.1.0:7:4027748:4029925:-1 gene:scaffold_700992.1 transcript:scaffold_700992.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7MAS4] MPALSHYRSVSSLPSVDRSFLLIQRLRIHGSSSSLPESSPSASLLSGADPLKRTVSGGSLAKWISGITAGSALGFLYWSSGSSDSISGLFGGSNLLSFADSSSPSVCGVKVGDLKPRSFLPKLSLPGYSSGFIFGDAYRRKIFFNYEKRLRLQSPPEKVFEYFASIRTDKGEILMKPADLMRAIVPVFPPSESHLVREGYLTGERNPGELRCSPSEFFMLFDVDNDGLISFKEYIFFVTLLSIPESSFAVAFKMFDTDNNGEIDKEEFKTVMSLMRSQHRQGVGHRDGLRTGLHMTGSVEDGGLVEYFFGKDGSQKLKHDKFTKFMKDLTEEMLRLEFGHYDYKQRGSISAKDFALSMVAAADASHLSKLLDRVESLTEHPHLRDMRISLKEFKQFDELRSKLGPFSLALFAYGKANGLLTMRDFKRAASQVCGVTLSDNVIEIAFHVFDSNRDGNLSVDEFLRVLHRRERDMAQPIAKGLSRYFSDGWNGSKNCS >scaffold_700995.1 pep chromosome:v.1.0:7:4039725:4041928:-1 gene:scaffold_700995.1 transcript:scaffold_700995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVTSSKIPVKDDWVAVAMTDDELVVELLLRLKHAGTVVSDNPAVNLPPLRWGIRQRRSRSSRFGGGGGVLVSLKKDVDSVRASPKTPLSWSGGSGSGGGSASPSAVTADGFEDTSRQASCSTSTGSGSKVFPTNEITSSFSKRLKKRKSSSELKNEENLKLKERLDLQKEIASLRATFHEQNLRNQKLKRIKLDLNSGRVTNKKPVDLIRISQLERLQESKSCKTGDSQNQGSFFCLPDLNMAPSEDEILYGTS >scaffold_700997.1 pep chromosome:v.1.0:7:4049176:4052909:-1 gene:scaffold_700997.1 transcript:scaffold_700997.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein [Source:UniProtKB/TrEMBL;Acc:D7MAS9] MESIKVCMNALCGAASTSGEWKKGWPMRSGDLASLCDKCGTAYEQSIFCEVFHAEESGWRECNSCDKRLHCGCIASRFMMELLENGGVTCISCAKKSGLFSMNVSHISNGRDFPSFASAEHVGSVLERTNLKHLLHFQRIDPTQSSLQMKQEESLLPSRLDALRHKTERKELQELSAQPNLSISLGPTLMTSPFHDAAVDDRSKTNSIFQLAPRSRQLLPKPANSAPIAAGMEPSGSLVSQIHVARPPPEGRGKTQLLPRYWPRITDQELLQLSGQYPHLSNSKIIPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISLPEGLPLKIQDIKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRTEPEGKLVMGYRKATNSTATQMFKGSSEPNLNMFSNSLNPGCGDINWSKLEKSEDMAKDNLFLQSSLTSARKRVRNIGTKSKRLLIDSVDVLELKITWEEAQELLRPPQSAKPSIFTLENQDFEEYDEPPVFGKRTLFVSRQTGEQEQWVQCDACGKWRRLPVDILLPPKWSCSDNLLDPGRSSCSAPDELSPREQDTLVRQSKEFKRRRLASSNEKLNQSQEASALDTLGNGGITTTGEQEEITVAATTKHPRHRAGCSCIVCSQPPSGKGKHKPSCTCTVCEAVKRRFRTLMLRKRNRGEAGQASQQAQSQSECRDETEVESIPAIEPAAGENIDLNSDPGASRVSMMRLLQAAAFPLEAYLKQKAISNTAGEQQSSDMVSTEHGSSSAAQEHEKEKDTTNGAHDPVN >scaffold_701000.1 pep chromosome:v.1.0:7:4066597:4067047:-1 gene:scaffold_701000.1 transcript:scaffold_701000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L39 [Source:UniProtKB/TrEMBL;Acc:D7LK63] MPSHKSFMIKKKLAKKMRQNRPIPHWIRLRTDNTIRYNAKRRHWRRTKLGF >scaffold_70100001.1 pep scaffold:JGI8X:scaffold_701:16:735:-1 gene:scaffold_70100001.1 transcript:scaffold_70100001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGGKSKRGRGGGGRAPKVSPNRPVANRPTASGTSNRRPSTLPSQYTFTPANLEAPETQQSPVNPVALESQPPTHRDYPPPTQLFQSGDDSPRGSGSYPFRASGSTQVRGSGSVQGRGSIGSIHRLASRSNQPPAPVQPPAPVQPPASTQPAASNRQIPSRQQRPSPQPRASVSHHSSQAQNSHEESEDEEAEGESEEDVLRDSTLSRRCPRGFTCYTSHPRP >scaffold_701004.1 pep chromosome:v.1.0:7:4077496:4077740:-1 gene:scaffold_701004.1 transcript:scaffold_701004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTNDSLLSINNEMVQGSPDSLLREVSSEAVLEANMTQKKSLMKFLKVWLEEFSVSSLLISLFKLQ >scaffold_701005.1 pep chromosome:v.1.0:7:4077759:4078270:1 gene:scaffold_701005.1 transcript:scaffold_701005.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAT5] MHTYVALIHLLCCRDLMIHMCSFYKDLDEQSNWRRVGNTMNKPMFKHHLRKILTHLQAVLTSILHIELARSNARTTGVMKVICPGLSWVTDDQCLRDALAHFGEGAVRCD >scaffold_701006.1 pep chromosome:v.1.0:7:4079724:4081702:-1 gene:scaffold_701006.1 transcript:scaffold_701006.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP82C4 [Source:UniProtKB/TrEMBL;Acc:D7MAT6] MNTSFFSLFVPILLFVFIALFKKSKKPNHVKAPAPSGAWPIIGHLHLLGGKEHLLYRTLGKMADHYGPAMSLRLGSSEAFVVSSFEVAKDCFTVNDKALASRPMTAAAKHMGYNFAVFGFAPYSAFWREMRKIATIELLSNRRLQMLKHVRVSEISMGVKDLYSLWVKKGGTEPVMVDLKSWLEDMTLNMIVRMVAGKRYFGGGGSQSSEDTEEAMQCKKAIAKFFHLIGIFTVSDAFPRLGWFDLQGHEKEMKQTGSELDVILERWIENHRQQRQVSGTKENDSDFIDVMLSLAEQGKLSHLQYDANTSIKSTCLALILGGSDTSASTLTWAISLLLNNKEMLKKAQDEIDLHVGTDKNVEDSDIENLVYLQAIIKETLRLYPAGPLLGPREAMEDCRVAGYNVPCGTRLIVNVWKIQRDPKVYTEPNEFRPERFITGEAKEFDVRGQNFELMPFGSGRRSCPGSSLAMQVLHLGLARFLHSFEAKTVLDLPVDMSESPGLTIPKATPLEVLISPRLNEELFV >scaffold_701007.1 pep chromosome:v.1.0:7:4082922:4084110:1 gene:scaffold_701007.1 transcript:scaffold_701007.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7MAT7] MALLLRTLQKSRISPSHSSRTLISWVRCKSLLPNPQSRDITTSTAKSPFQSNILRIIRNEIEYQSDYAPPHQPATEFKSFSVEDCPGEQCIVMKGKFGEDENIKMEATMFDGFMTVPRTGLDASGHDVRLHISLLVDISKVDGSEEIEFLCSVWPNRIEIQKLYKLRRNKITGQPYMGPNFGNLKYDFQTAIREFLRVRGIDSELCFFLHEYMMNKDRIELIQWLRNLNSFIAK >scaffold_701010.1 pep chromosome:v.1.0:7:4090967:4093216:-1 gene:scaffold_701010.1 transcript:scaffold_701010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MAT9] MHMLMATGIDIIQKLNVYPRFQDHDKKKLITLSNLDRQCPLLMYSVFFYKNTTTRDFDSVFSDLKLGLEETLSVWYPAAGRLGLDGGGCKLNIRCNDGGAVMVEAVATGVKLSELGDLTQYNDFYETLVYKPSFDGDFSVMPLVVAQVTRFACGGYSIGIGTSHSLFDGISAYEFIHAWAFNSHIDNKSNGKITNKKDNLVIKPVHDRGNLLLNGDAIRVTNAAAICHLYQLIKQAMMTHQEQNRKFELPDSGFVIKTFELNGEAIESMKKKSLEGFLCSSFEFLAAHLWKARTRALGLRRDAMVCLQFAVDIRKRTERPLPEGFSGNAYVLASVASTTRELLEELTLESIVNKIREAKKSIDQDYINSYMEALGGGDQSNDGNLPPLKELTLISDWTKMPFHNVGFGNGGEPADYVAPLCPPVPQVAYFMKNPKDAKGVLVRIGLDPRDVNDFSDHFLDC >scaffold_701014.1 pep chromosome:v.1.0:7:4120632:4121031:1 gene:scaffold_701014.1 transcript:scaffold_701014.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAU1] MESPFSFMPHGVDLRLVSSLTDLSNSGSVLGGSVPLSSLLLPLCVLLVGSILSLIWMVLPETFVVTSLISIWFLDLFALAPWFLWWSLWFSSRFDLVLLSFEIGFIRLKNCLAPCFYV >scaffold_701020.1 pep chromosome:v.1.0:7:4147842:4148820:1 gene:scaffold_701020.1 transcript:scaffold_701020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEITPISQLTLATDPCKINVRIVRLWGFPKKDKPEEFTGIDLLLVDEKGSRIQASVKGKLLDKFQKDLKEGKCCVLMNFELCPNLGKFRSCDHPYKINFIFYTCVKPSEEIPNLEACFNLCPFPEILARRNDDTIFIDIIGEIVGMNEVKSITTAEGPTKLLNLQLKDLGDSLIDVALWGKLAEDVYSNVEKGPCVARAITRQQGGYVCFFYNKTAVFFFF >scaffold_701024.1 pep chromosome:v.1.0:7:4157193:4157535:1 gene:scaffold_701024.1 transcript:scaffold_701024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDDWRKTADTTKMSSEGVKAAGVESSKRPPGSNPGGVLHQRRNLPYSYTTMALAGLAISGAIMYTVMYAKKKPEATATDVAKAATGTAKPEDTHPRK >scaffold_701030.1 pep chromosome:v.1.0:7:4187034:4189849:-1 gene:scaffold_701030.1 transcript:scaffold_701030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPSTVTQESAAPVFDFFPRLRGFASRNRSPCSISDGYALSSPNALYFNGFRTLPSRRTGKTLASLSFNTKSSADSSLRRFISDFNSFIRFHCDKVVPESFASVGGVGLSSDENGIREDGTGGVLGEEGLPLNGVEADRPKKVLILMSDTGGGHRASAEAIKAAFNQEFGDEYQVFITDLWTDHTPWPFNQLPRSYNFLVKHGTLWKMTYYGTSPRIIHQSNFAATSTFIAREIAQGLMKYQPDIIISVHPLMQHVPLRVLRSKGLLKKIVFTTVITDLSTCHPTWFHKLVTRCYCPSTEVAKRAQKAGLETSQIKVYGLPVRPSFVKPVRPKVELRRELGMDENLPAVLLMGGGEGMGPIEATARALAESLYDESLGEAVGQVLVICGRNKKLQSKLSSLDWKIPVQVKGFITKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEAGNVPYVVENGCGKFSKSPKEISKIVADWFGPASKELEIMSQNALRLARPEAVFKIVHDMHELVRQKNCLPQLSCTA >scaffold_701031.1 pep chromosome:v.1.0:7:4191726:4193929:1 gene:scaffold_701031.1 transcript:scaffold_701031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAIEGCMHGDLDNVYKTIQHHEQIHNTKVDLLLCCGDFQAVRNEKDMDSLNVPRKYREMKSFWKYYSGQEVAPIPTIFIGGNHEASNYLWELYYGGWAATNIYFLGFAGVVKFGDVRIGGLSGIYKERHYRSGHFERPPYNESTIRSVYYVREYDVQKLLQLEEPLDIFLSHDWPVGITDYGDSEALMRQKPYFRQEIEEKTLGSKPAALLLEKLKPRYWFSAHLHCKFAAAVQHGDDGSVTKFLALDKCLPGKKFLQIIEIESEPGPFEVLYDEEWLAITRKFNSIFPLTRRYANFSTAGTIQESREWVRKKLEERQFKPFEFARTVPAYNPSQRIFDSIPEIPQNPQTLSLLELLGLPYLLDSSPVTGERTEIPASPAPSDLPTYDSEEILIDDIDEIEEIEEAKADDPTRDEA >scaffold_701034.1 pep chromosome:v.1.0:7:4200214:4202207:-1 gene:scaffold_701034.1 transcript:scaffold_701034.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSLY1 [Source:UniProtKB/TrEMBL;Acc:D7MAW0] MALNLRNKQMECIIRMLNLNQPLNPNEECYKALIYDDFCEKIIAPLMQVKDLRKQGVTLPLSINKKREAVRDAAAVYFLQPTESNIQRIIADASRSLYHSYHLNFSYRIPRPLLETLASATLNSGSTERLATVHDQYLEFVTLEDNMFSLAQESIYLQLNDPSAGDREIEEIIEKVANGLFCVLATLGVVPVIRCPRGGPAEMVASSLDQKLRDHLLSKINLFTEGGGGFISSFQRPLLCIFDRNFELSVGIQHDFRYRPLVHDVLGLKLNSLKLPGQKDDKKTFVDSSDPFWSANGSLEFPEVAVEIETQLNKYKKDVEEVNRRTGGGNGSAEFDGADLIGNTKHLMNAVNSLPELTERKKVIDKHTNIATALLGEIKERSLDAYTKKENEMMVRGSIDRSELLSVLKGKGTKMDKLRFAIMYLISLETINQAEVEAVEAALREAEADTRAFQYVKKIKSLNVSLAASASRSNIVDWAEKLYGQSISAVTAGVKNLLSSDQQLAVARTVEALTEGKPNPETDSYLMLDPRASKSGSSGNSYVKGPFKEAIVFMIGAGNYIEYSSLQELSQRQEMVKNIIYGATEILTGTELVEQLAVLGQKMGLK >scaffold_701035.1 pep chromosome:v.1.0:7:4202835:4204774:-1 gene:scaffold_701035.1 transcript:scaffold_701035.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor 3 [Source:UniProtKB/TrEMBL;Acc:D7MAW1] MGIVFTKLFSSVFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAVIYVVDSSDTDRIGVAKEEFHAILEEEELKGAMVLIFANKQDLPGALDDAAVTEALELHKIKSRQWAIFKTCAVKGEGLFEGLDWLSNTLKSGSG >scaffold_701036.1 pep chromosome:v.1.0:7:4211544:4212057:-1 gene:scaffold_701036.1 transcript:scaffold_701036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLSVQSKFEDVATSTSVNHHGVTPQSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWRLSSSGEGDGQNVDEEKESRSGDKAAKGAYEEKFLVIMAGEDLPRYLATPAMKKCTCGGHEGKMVISQEESVAKEEEKMREGEEKVKDTDETTTTSH >scaffold_701038.1 pep chromosome:v.1.0:7:4228934:4230551:1 gene:scaffold_701038.1 transcript:scaffold_701038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHPLQSPANPHFFQPLLPGSETHLSIPVTFFSKHIEGKNEQKTARLISDASDRIWQVKMDGRRLTEGWKEFATAHNLQIGDIIIFKHQGDMLFSVTPFGPSCCEIQYVQCLIKKEDDNVHDDEDDMENQHNSRKGLRQKNLKPKTELKLSLSYDYCFVANVTASNLKFDTMNLPKKASSSKALNKRCHKIILVNKEGNSWTLNLRFRESEGSYYIRGGWKRFCSENRQKEGDLITFNLVGDGRSTPMLCICPEEACSELMGIARGKKKTKKRRMWVASSSSRQNSFVTLSLTAYNIKYSKINLPVEFTKINGITRKHEKIILMDKHGVKRLTRLVHDGPNYGRRGLGKGWKLFCEANDVLKIGEPFKLKLTWENNTPLLRFCSKVKEEPIYV >scaffold_701039.1 pep chromosome:v.1.0:7:4230900:4231369:1 gene:scaffold_701039.1 transcript:scaffold_701039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVLKSPTNPHFLQPLLPGFHSHLHLQGRNDLKTVKLRADASDTVWEVKIEDGRRLTRGWKEFVTAQDFFIGDVIVFRHEGDLVFHVTALGPSFCEIEYT >scaffold_701042.1 pep chromosome:v.1.0:7:4239662:4242254:1 gene:scaffold_701042.1 transcript:scaffold_701042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASPLSRTNPHFFQPLLPGFDSHLNIPVKFFSDHIKGKHEGKTVNLRSDASERTWKVKMEGNRLTKGWKEFVKAHDLRVSDFVVFRHEGEMLFNVTALGPSCCEIQYAQPRRHEEDEESNETEISLRSEKEVEENVKTESDQSSPNLNCFSRSVTASNLSRDTVGFPINFAKQNGLNKERQEIFLMNGEGKTWESELKRWGDCRLSIVRGWTSFCTANKLEVGDSCTFRLLQKTAETPVFQLCSSTKSERKIQSAEGCIDDKTGGSRFVKLTPTLNSLHVGKQHLPVSFTRENRLINPGKIVLVDKNRAEWLMELKVDKSTGLMYIISGNGWKKFCAANEISAGESLILELIRGGVTPLLKFISKLDQPPFEAEAQAHKRARVQKLSQETEPKLDMREKTAEDRVPPRASNKSSGNQENLQHTQPCSVSNQLAKVKQSVVDALTSIRRFRAELDTTEQKLEISLQEINKLGMICIAIFTFNFGSTRFSKNFGTERTEDNGNKTTTSSKPGATLAKDPLASEKQSTLPLPTKDGLPIDINLSFNIYTAVRKLRTALAREGPDGVMAYQIFMNSTLQQISRQIPRTKEELLQINGLVQAKVSKYGDRLLETIETTVNEYYGTKKKDPIIIHQ >scaffold_701049.1 pep chromosome:v.1.0:7:4260835:4262610:1 gene:scaffold_701049.1 transcript:scaffold_701049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSDRELEEAGRKLRDPPSSVHEILSLLDKVEHLMSKIEQSPPCPTMCELYPLIGALVSPKLFKHSDAHVKLAVAACICQITFITAPDLTYDDDQMKEVFRLIVSSFEHLSDIYSRSYAKRLSILETVHDVKLSRVMLNLECDALLVEMFQHFLNGIRDHHPVKVFSSMEHIMTLVVEESDDIPPQLLSPILHYVRKDDKQIPQVSRKLAEQVLINCASKLKTYLADAVKSSGISLDKYSNIVASICEGALSALKQNGAVADKKENSQDPREVAVKACFFLFATSIETLKFVDFVEKR >scaffold_701050.1 pep chromosome:v.1.0:7:4262682:4263228:1 gene:scaffold_701050.1 transcript:scaffold_701050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSLSSLPHDSSVMAAISSMNENGHEAVSPRRETEAGVGVQTQEMRNRELAEKEDTAQTETWTHKRPRVLMEKTSAEGEFSCCTQKFSAEPGDMRKKLPQPCSVTQQLAKVKQSVVDTITSVRQFRSELETNEQIIIDSVRQFRSEIKKKEDNLEVLLQEMNVLGV >scaffold_701055.1 pep chromosome:v.1.0:7:4280379:4285323:-1 gene:scaffold_701055.1 transcript:scaffold_701055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELTQRSLNSTSLRELVEKIEGVLELESGVSFESPSSHVEFLVSQLVQKFIETEELANLLRKQLEAKENELMEIQESLLHHKTEIGGLRENLTQAEESLVAVRSELQDKSDELEQSEQRLLSTREKLSIAVTKGKGLIVQRDNVKQALAETSSKLQKCSEELNLKDARLVEVEEKLKTYIEAGERVEALESELSYIRNSATALRESFLLKDSLLHRIEEILEDLDLPEHFHARDILEKVEWLARSANGNSMRPSDWDQKSSDGGAGFVLSEPWREDVQTNTSSEDELRIKFEELKGKFYGLAEQNEMLEQSLMERNTLVQRWEKILENIDIPPQLQSMEVENKIEWLASTITEATHDRDNLLQKIDNLEVYCQSLTADLEVSRKQVSDVEANLQSCVSERVNLSERLESLIGDHESLSARGIHLEVENEKLQNQVKDLHEKLVEKLGNEEHLQTIEGDLLSLRYMINDVIQEDGLQDLALASNSENLDGLLRKLIDYYKNLVKSSLPLETNDNVCETRPSDADVRSGEPSGAHEATSHGHHFELSDSNIDEATSRDIAVVETPDVASLTKDLDQAVHVQKLTREERDLYMAKQQSLVAENEALDKKIIELQEFLKQEEQKSASAREKLNVAVRKGKALVQQRDSLKQTIEEMNAELGRLKSEIINRDEKLLENESKFRELESYSVRVESLESECQLLKIHSQETEYLLQERSGTLSMTLNALNSIDIGVEGDMNDPVMKLQRISQLFQTMGTAVSSAEQESRKSRRAAELLLAELNEVQERNDSLQEDLSKFTYEIQQLSREKDAAEAAKVEAISRFENLSAVSNEEKNKLYAQLLLCRTSVNSLRKILAGTNSCLADIFTMDMEFLHHLKTNMESYAKQTGTNLSGLPQLSTGFFVDKDFFARLSAAWSNINLHETSSGGNITEICGSLSQNLDQFVAGVSHLEENVSKHLATWHDQVNIVSNSIDTFFKSIGTGTDSEIAALGERIALLHGACSSVLVEIERRKAEIVGNDDFNMSLHQVDEDFSSMESVRSMVNRLSSAIKELVVANAETVERNEKEMKVIIANLQRELHEKDIQNDRMCNELVGQVKEAQAGAKIFAEDLQSVSARMRDMQDQLGIMVRERDSMKERVKELLAGQSSHSELQEKVTSLSDLLAAKDLEIEALMQALDEEESQMEDLKLRVTELEQEVQQKNLDLQRAEASRGKISKKLSITVDKFDELHHLSENLLSEIEKLQQQVQDRDTEVSFLRQEVTRCTNEALAASQMGTKRDSEEMETVLSLFDTIASLLGIEDSPSTDSHSHINHYMETFEKRIASMLSEIDELRLVGQSKDELLEAERSRVAELRQKEATLEKFLLEKESQPNMSTSSTSEIVEVEPLINKWTKTSIPSQVRSLRKGNMDQVAISIDADQTDQSGSLEEDDDKAHGFRSLSTSRIIPRFTRPLTNMVDGLWVSCDRTLMRQPALRLGIMIYWAILHALLAAFVV >scaffold_701056.1 pep chromosome:v.1.0:7:4295876:4297317:1 gene:scaffold_701056.1 transcript:scaffold_701056.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor 11 [Source:UniProtKB/TrEMBL;Acc:D7MB53] MAVDLMRFPKMDDQTAIQEAASQGLQSMEHLIRVLSNRPEQQHNVDCSEITDFTVSKFKTVISLLNRTGHARFRRGPVHSTSSPKQQSQIVKTIQPKAPVVTQPARTTTNLPQIVPPPSSFVHSNQPSVTLDFSKPSVFGTKAKSSDLEFSKENFSVSLNSSFMSSGITGDGSVSNGKIFLASAPSQPVNSSGKPPLAAGHPYRKRCLEHEHSESFSGKVSGSGYGKCHCKKSRKNRMKRTVRVPAISAKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSTFRGCPARKHVERALDDPAMLIVTYEGEHRHNQSAMQENISSSGVNDLVFASA >scaffold_701060.1 pep chromosome:v.1.0:7:4313545:4314517:1 gene:scaffold_701060.1 transcript:scaffold_701060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQVDNKFTWVIKNVSSLPSEKIYSDSFVIGGCSWRLVATYFKRNIFNDSLSLSLSLAVADAEYLPFGWKRHAEFSFTIVNQISEEFSQVQDIFRDFTETQEWFDHRTLACGCASSFPLAKLDAKYGGFILNEQVKIVAEVKVLVAIGKSDEADKPLKKIKIEYYDFLSDTPPVVEEPTIVYGFPVVSPEVELVSRIFENHPDVASGLRKDMSPYTKRAHMYSLICVIETLNKPLQEISRSYLVDARDRLVFLRSAGLLLDWVEKKLDEVTEKMDKEEQGQGDI >scaffold_701063.1 pep chromosome:v.1.0:7:4319597:4321276:1 gene:scaffold_701063.1 transcript:scaffold_701063.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP83B1 [Source:UniProtKB/TrEMBL;Acc:D7MB60] MDLFLIIAALVAAAAFFFLRSTTKKSLRLPPGPKGLPIIGNLHQMEKFNPQHFLFRLSKLYGPIFTMKIGGRRLAVISSAELAKELLKTQDLNFTARPLLKGQQTMSYQGRELGFGQYTAYYREMRKMCMVNLFSPNRVASFRPVREEECQRMMDKIYKAADQSGTVDLSELLLSFTNCVVCRQAFGKRYNEYGTEMKRFIDILYETQALLGTLFFSDLFPYFGFLDNLTGLSARLKKAFKELDTYLQELLDETLDPNRPKQETESFIDLLMQIYKDQPFSIKFTHENVKAMILDIVVPGTDTAAAVVVWAMTYLIKYPEAMKKAQDEVRNVIGDKGYVSEEDIPNLPYLKAVIKESLRLEPVIPILLHRETIADAKIGGYDIPAKTIIQVNAWAVSRDTAAWGDNPNEFIPERFMKEHKGVDFKGQDFELLPFGSGRRMCPAMHLGIAMVEIPFANLLYKFDWSLPKGIKPEDIKMDVMTGLAMHKKEHLVLAPTKHI >scaffold_701067.1 pep chromosome:v.1.0:7:4332954:4335062:1 gene:scaffold_701067.1 transcript:scaffold_701067.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MB64] MDRWSGKRAHEARPDSKKGGGVVFRDRFNNNSCKVPICSDEKKTMNFTRFVGSSDKKEKSVLSTYRSSPNGKEVIGTSSKICGSSSSSVKSEKQPFSQIAMDSSESSRGSEDEVETEILQVSLGRDKRKANNKLIYGKVITPEAECSNLPSSSRIKRGFRQRFGLSKQEFHPGPSGQSTSANRGCSPLLSGVIPSGFGLEKRLSRKADTINKRKVYGESSSSSSARGKNVTETPAEVRRRSFNPRGSVSDIRQARHCILNDDNDVASFGSQRLADRSNSRIRFSNPGSGREALSSVTATEMSQTETSNNLNSPVSLELFSGFPEFGLSGSLLSQDSFRSYNLDGISEILPELDRIEQDIELNYEELLIMETGLLLGGLSFHDQHRDMRLDIDNMSYEELLALEERIGTVSTALTEEAISKCLKTSIYQMKPVTYGSITKSPSDHKEDAKCSICQEEYTIGDEVGRLHCEHTYHVKCVQEWLRMKSWCPICKATAETSSK >scaffold_701076.1 pep chromosome:v.1.0:7:4379107:4380700:-1 gene:scaffold_701076.1 transcript:scaffold_701076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKSKGDGEEKAKPLTTTASSTVTQSMGSRTRSQTQQNGAKAAGSATKPVKLASPKKAKRKNSAIETGRAKKGKKEVEKEEEEPEVEDPTRTKIVIEHCKQCNAFKTRAIQVKEGLEGAVPGVNVTLNPEKPRRGCFEIREEGGETFISLLEMKRPFAPMKALDMEEVIEDIIKKIK >scaffold_701095.1 pep chromosome:v.1.0:7:4452214:4453597:1 gene:scaffold_701095.1 transcript:scaffold_701095.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MB90] MLENPKFNLHAVGNHNNDNNYYAFTQDFYQKLGEEGTNMSVDSMQTSNAGGSVSMSVDNSSVGSSDALIGHPGLKPMRHPYSLSVGQSVFRPGKVTHALNDDALAQALMDSKYPTEGLANYEEWTIDLRKLHMGPAFAQGAFGKLYRGTYNGEDVAIKLLERSDSNPEKAQALEQQFQQEVSMLAFLKHPNIVRFIGACIKPMVWCIVTEYAKGGSVRQFLTKRQNRAVPLKLAVMQALDVARGMAYVHERNFIHRDLKSDNLLISADRSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNRGVRPTVPADCLPVLGEIMTRCWDADPEVRPCFAEIVNLLEAAENEIMTNVRKARFRCCMTQPMTID >scaffold_701098.1 pep chromosome:v.1.0:7:4464020:4465696:-1 gene:scaffold_701098.1 transcript:scaffold_701098.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7MBI6] MLSKGVFAVFFVTTLLYGSLLIEVEGIGVNWGSQARHPLPPATVVRLLRDNGIQKVKLFEADSAILKALSRSGIQVMVGIPNDLLAPLAGSVAAAERWVSQNVSAHVSSNGVDIRYVAVGNEPFLKAFNGTFESITLPALQNIQSAIIKAGLATQVKVTVPLNADVYQSASNLPSDGDFRPEIRDLMLNIVKFLSDNQAPFTINIYPFISLYNDPNFPVEFAFFDGTGTPINDNGRIYDNVLDANYDTLVWSLQKNGFGNLTIIVGEVGWPTDGDKNANMMYARRYNQGFMNRQKANKGTPMRPGAMDAYLFGLIDEDAKSIQPGNFERHWGIFYIDGQPKYQLSLGNGNGLIPAKDVHYLAKKWCILAPNANLQDPQLGPSVSYACDHADCTSLGYGSSCGNLNLAQNVSYAFNSYYQVSNQLDSACKFPGLSIVSTRDPSVGSCKFKIMIKSEDASEASAMMPLTRSTAMLLLFSICLYIIL >scaffold_701105.1 pep chromosome:v.1.0:7:4486903:4487507:-1 gene:scaffold_701105.1 transcript:scaffold_701105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPKSPFMSSSLKEAHEDSRFKCYRGVRKRSWGKWVSEIRVPKTGRRIWLGSYDAPEKAARAYDAALFCIRGEKGVYNFPTDKKPQLPEGSVRPLSKLDIQTIATNYASSVVHVPPHATTLPVTAQVPSEVPTSPDVSASTEITEIVDEYYLPTDASAESIFSVEDLQLDNFLMMDIDWINNLV >scaffold_701107.1 pep chromosome:v.1.0:7:4490381:4492568:1 gene:scaffold_701107.1 transcript:scaffold_701107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMSSSMVLCHCLSFSSQNPVPESSSSFLRYKPCDSISLWGKRRKRLWRFVPSAENNNSHTGNNKRRRSWWQRFFFDDDGNWLGLRDEDIVDETSELAKDDEMSDEEKFETWKRRAEAIVELREGQEEIGDSGVVDDVTKKWEDWIVDSDDSLVESWSRDSAGSEGSDDKLELDELTIPDGGLVKMVRDMVLGAEEEDILYEDRVFRYASSKSAKFLAVLILIPWALDFLSHDYVLMPFLDRYVKTVPLAAQALDVRRNQKLEMVKELNREKARYRLEVEIGKSPPLSDDELWWEMRGKALELRDEWRLENRKAFANIWSDMVFGISLFVLLYANQGRVALLKFTGYKIINNISDTGKAFLIILITDIFLGYHSESGWETLLEIIMEHYGLEVEQSTITIFICLVPVIMDACVKLWLFKFLPRLSPRVSNIFQEMKRH >scaffold_701122.1 pep chromosome:v.1.0:7:4561486:4564212:1 gene:scaffold_701122.1 transcript:scaffold_701122.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosol aminopeptidase family protein [Source:UniProtKB/TrEMBL;Acc:D7MBK6] MAVTLVTSFASSSSRFHFRSFSSSPSSLSSCFVRFQLPSRLRLASAVTPLYCSSKAMAHTIAQATLGLTHANSVDHPKILFSGKEIDVTEWKGDILAVGVTEKDMAKDVNSKFENPILKKLDAHLGGLLADVSSEEDFSGKPGQSTVLRLPGLGSKRVGLIGLGKSASSPSAFQSLGEAVAAAAKASQASSVAVVLASSDSVSNESKLSSASAIASGTVLGLFEDSRYKSESKKPSLKSVDIIGFGTGPELEEKLKYAEHVSYGVIFGKELVNSPANVLTPAVLAEEASNLASMYSDVMTANILNEEQCKELKMGSYLAVAAASANPPHFIHLIYKPSSGPVKTKLALVGKGLTFDSGGYNIKTGPGCLIELMKFDMGGSAAVLGAAKAIAQIKPPGVEVHFIVAACENMISGTGMRPGDVITASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKVVDLATLTGACIIALGTSMAGIYTPSDELAKEVIAASERSGEKLWRMPMEESYWEMMKSGVADMVNTGGRAGGSITAALFLKQFVNENVEWMHIDMAGPVWNEKKKAATGFGVATLVEWVQNNSSS >scaffold_701124.1 pep chromosome:v.1.0:7:4568003:4570060:-1 gene:scaffold_701124.1 transcript:scaffold_701124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLSVMSFNLHDDQPEESPNSWLKRKDLCLTVITSYSPIVLCTQQGVKSQLDYLQQGLPAYDQFGISRKGPQDANDEHCTIFFNKEKVELLEGGTFWLSESPSVPGSTAWGSAVPCIATWFTTAYTFQLKGAEPPGFSFQIVNTNLDEISPRARRRSALLTWQHIASLPPTLPVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDAWPSARVRKNVALVRTYHDFKGDKQGTVEFLKLIFRALCLCWDRQTQDLHTDWILYRGRSIVPVMCEIVNDKIDDLYPSSHYPVFAEFMLPRSVRMLEPTPPVSAPAQAES >scaffold_701125.1 pep chromosome:v.1.0:7:4571191:4573724:1 gene:scaffold_701125.1 transcript:scaffold_701125.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 24 [Source:UniProtKB/TrEMBL;Acc:D7MBK9] MSEKKLFVFGSFTEHETRSFLEQKPTKDPQNHKDKCVGSIQFGSLNLVAENSSVNTNGELKKGQADGTVKSAGSQERLDASRPDSSNKIDDNDAKLPRKSSSRVPEHVVENGIIKEISESKSLNNGVAVKTDPIGLDKLCMSDGESDPVYKASSSKFQALDNEDFSSDSSSGSIQRKKNQKVPTESVPPVKDFTPRGLINAGNLCFLNATLQALLSCSPFVQLLQRIQLQDIPKADSPTLAAFSEFISELDVPSSSSIRNNVTVVEAGRPFRPAMFEGVLRNFTPDVLNNMSGRPRQEDAQEFLSFIMDQMHDELLKLKEQSPKVTASKSSVISSANDDDDEWETVGPKNKSAVTRTQSFVPSELSEIFGGQLKSVVKAKGTKASATVQPYLLLHLDIHPDGVKGIEDALHLFSAQEDLEGYRASVTGKTGVVSASKSIKIQKLSKIMILHLMRFSYGSQGSTKLHKGVKFPLELNLNRNHLVSLSNESLRYELVATITHHGWDPSKGHYTTDARRKNGQWLRFDDPSVTAIGTKQVLHDQAYVLFYKQV >scaffold_701126.1 pep chromosome:v.1.0:7:4574925:4575252:-1 gene:scaffold_701126.1 transcript:scaffold_701126.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MBL0] MAKASATIIILLILFCSLACEARVRLTSPDLPTDEIVHKVEKLQQEHKRFPKSDPHFYPKKKIMILTPLKHISSAWKNQKETFSSLNLYPGSG >scaffold_701129.1 pep chromosome:v.1.0:7:4585330:4585630:-1 gene:scaffold_701129.1 transcript:scaffold_701129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLLSASPTLVSNLIHPNQIYSLEPPHVYRIDEASFARLDYLQAKATGDIIAKPSLNMANTFLVNISIGSPPIMQLLNSMISKQ >scaffold_701130.1 pep chromosome:v.1.0:7:4587491:4590456:-1 gene:scaffold_701130.1 transcript:scaffold_701130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MBL4] MLDLGNMSLSASVALTCCPSFLPAASGPELSKSIDSPENIAGDCNGKHSPMIPPEEEVRDIKTANGVTAFTGKQNPSDRVKKGLILEDHVKDWVKRRVASGVSETRCCLPFLVGAKKMVDCLVCHKPVYPGEELSCSVRGCQGAYHSLCAKDSLGFSKSSKFRCPQHDCFVCKQRTQWRCVKCPMAAHDKHSPWSKEILHLKDQPGRAVCWRHPTNWRLDKKHAVAQSEMEEVFCQLPLPYVEEEFKIDLTWKDSVVKDDLPPYVHIRRNIYFVKKKRDNANDGVGCTNCGPTCCRSCVCRVQCISCSKGCRCPETCGNRPFRKEKKIRIVKTEHCGWGVEAAESINKEDFIVEYIGEVISDAQCEQRLWDMKHKGMKDFYMCEIQKDFTIDATFKGNASRFLNHSCSPNCVLEKWQVEGETRVGVFAARQIEAGEPLTYDYRFVQFGPEVKCNCGSESCQGYLGTKRKEPNCLAVSWGAKRRRVFHRPLAHKLQQD >scaffold_701142.1 pep chromosome:v.1.0:7:4626736:4627251:1 gene:scaffold_701142.1 transcript:scaffold_701142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPDPRAGQAFICLITLFLFLSIAVGGGCLIAYTILPYPPVWLSYLGIVFVCLPWFFWILTFAYRIVSRTFGFRMVVGSGGNNNNASGESMPRDLDPPEQSLESPDDDDPETIAHPQGQVLVSIEGNQSKKRMSTSSNSTIGSHESEMPLAISMGS >scaffold_701143.1 pep chromosome:v.1.0:7:4634449:4635465:1 gene:scaffold_701143.1 transcript:scaffold_701143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVPLLPASERSTHAYGAHDETLVVWDIEDYKIPESIDPCLINYKIARAIVKRPHIRMLEVSIWIFGSAENAWLTESQDKLWKSEFEVCLHKGDRRTRLNMILAEVIYHVWSLDDPINLLVLSENKEKMEQDPKFCRFRQALENKGFFVALAHPETLINQETAVEEPPDFTPIPYYQTTSDNTLKLAYWTKEDDDAFRSNHKEKCPGQPSNLFVLGAEENH >scaffold_701151.1 pep chromosome:v.1.0:7:4663853:4664332:-1 gene:scaffold_701151.1 transcript:scaffold_701151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNCEILCEIIIAILLPPLGVCLRKGCCTVEFLICLVLTILGYVPGIIYAIYVIVFQNREEYFDEYRRPLYSA >scaffold_701152.1 pep chromosome:v.1.0:7:4665031:4665402:-1 gene:scaffold_701152.1 transcript:scaffold_701152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNMEVFCEILIAILLPPLGVCLKRGCCTLEFLICLVLTILGYIPGIIYALYVIVYQNREGSHELGAPLNTA >scaffold_701154.1 pep chromosome:v.1.0:7:4667544:4668712:-1 gene:scaffold_701154.1 transcript:scaffold_701154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETNIVSSASCGDPMIRFTLMQQVLELEKELEVKRKKLEMIKQKRLTLKSEVRFLRRRYEHLKQDQTLETSPKMLRLSESGGLEIPRKPSGENKKHPGVSAPVPCFDLKQKNTICNEKEALAKNASCDLEKKRRRSRGNDVLTIPVSLPDLNGEGNTSVTDKVPGFDLNQISREEEEPEVNGEHMVVESMKNAMRDNRISDLHGERKLPICGDVEKELNRAVKRKVTWQDPVALSV >scaffold_701155.1 pep chromosome:v.1.0:7:4669718:4671051:1 gene:scaffold_701155.1 transcript:scaffold_701155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATTTDFFKPLLSPFSNGNAAQRISRQNVVWLNRKNSGNNQSRSLRVNGLFGGGKKDNKEDGQSKAGILGNMQNLYETVKKAQMVVQVEAVRVQKELAVAEFDGYCEGELVKVTLSGNQQPIRTDITDAAMELGSEKLSLLVTEAYKEAHAKSVLAMKERMSDLAQSLGMPPGLDGLK >scaffold_701158.1 pep chromosome:v.1.0:7:4684124:4684842:1 gene:scaffold_701158.1 transcript:scaffold_701158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIVPVLTLVFLLVTKVSHGASNPRVILVGGSVGSWKVPDSPNNTLNHWAENNRFKVGDFIVWKYDMKVDSVLQVTKEDYETCNTANPLKQYNDGDTKVELDKSGPYFFISGAPGNCAKGEKITLVVLAERKSGGGGAPQVSPDTAQTPSPAPAPGAAHNAAAGLKVGSGWFLTAVVIGLAMA >scaffold_701160.1 pep chromosome:v.1.0:7:4689860:4690083:-1 gene:scaffold_701160.1 transcript:scaffold_701160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHK7] MKNLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCSVSRSSRRVF >scaffold_701161.1 pep chromosome:v.1.0:7:4693791:4695496:1 gene:scaffold_701161.1 transcript:scaffold_701161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEMQIEALKAAGVSEVVLAINHQQPEVMLNFVKEYEKKLEIKITFSQETEPLGTAGPLALARDKLVDESGQPFFVLNSDTNCAEASIMVTKVDDPSKYGVVVTEEGTARVESFVEKPEHFVGNKINAGIYLLNPSVLDRIELRRTSIEKEIFPKIASEKKLYAMVLPGFWMDIGQPKDYITGQRMYLNSLREKAPQDLATGDNIFGNVLVDKSAVIGEACLIGPDVVIGPGCVIDSGVRLFGCTVMRGVWIKEHASISNSIVGWDSTVGKWARVVNITVIGKDVHVADAEVYNSGVVIEEQGL >scaffold_701166.1 pep chromosome:v.1.0:7:4711855:4712113:-1 gene:scaffold_701166.1 transcript:scaffold_701166.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MBV0] MRTPFLKRQRNSQMCVTFFFRLQALGSEWRKRIGRSGSSGSGVQNRTKADQADLAVQMRIKRFERFKICVEW >scaffold_701168.1 pep chromosome:v.1.0:7:4725284:4726926:1 gene:scaffold_701168.1 transcript:scaffold_701168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSSDLLAIVGAGEQASLSPRRLCLFKTTTGLPLRELNFLTSILAVRMNKKRLVVVLLEKTFVYDLNTLVMLDTIDTVPNPKGLSAFSPSLEGCYLAVPASATKGSVLVYNVMDLQSHSEIDAHRSPLAAIALSSNGMYIATASEQGTLIRVHLVSEATKSYSFRRGTYPSTIYSLSFGPSTQLPDILIATSSSGSIHAFSLSLAINQRSKRSTSFLGSVLPDSVSDALDPAHHHVLQNAVSSGIRSYAVVRKIDKLEGTSSPSQFTSLRATVSVITYNGYFQEYTLSINNKNESLWTMEREFNLFSITTG >scaffold_701178.1 pep chromosome:v.1.0:7:4762989:4763212:-1 gene:scaffold_701178.1 transcript:scaffold_701178.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MBW3] MFLCLKHTFYDVVKVATLLHARVHRSACAHVAGPLPTGAVILTFSLTKELFSNGGSHSSL >scaffold_701180.1 pep chromosome:v.1.0:7:4769153:4770646:-1 gene:scaffold_701180.1 transcript:scaffold_701180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MBW5] MKFSRENMKWVFPEIKTSQNFLSPSSLPRPPPQEPPLSLRSNNANFDLNSKISPSILLIIIILSIIFFISGLLHLLVRFLLTPSSRDREDYFDNVTALQGQLQQLFHLHDSGVDQSFIDTLPVFHYKSIIGLKNYPFDCAVCLCEFETEDKLRLLPKCSHAFHMDCIDTWLLSHSTCPLCRSSLLSDLSSHQDPRSSFLLVLESASDHSSREIGGDRDSAACVAANDDNDVSNAHSHSNSHLGFVGNNDLGSHRLDSGQGDQYPDGELGGSVGKVVPFAVKLGKFRNIDIGEGTSSNNNIGNSSSLDERRCFSMGSYEYIMDEETALKVHVSTKKQSSKNRGLPGHRTAMSECGFDPTGRLKFNGSGSMRIAEEATEKNVVERESFSVSKIWLRGKKEKHSKVQGKEDSSLVSSSSGRAFSFRLPNQRNPPDTKNESGCEEENQKCENSESLETKTPSFARRTMLWLAGRQNKVVHSSSSTNV >scaffold_701187.1 pep chromosome:v.1.0:7:4806002:4807119:1 gene:scaffold_701187.1 transcript:scaffold_701187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRITGFDEYMNLVLDEAEEVSIKKKTRKPLGRILLKGDNITLMMNAGK >scaffold_701191.1 pep chromosome:v.1.0:7:4819489:4819782:-1 gene:scaffold_701191.1 transcript:scaffold_701191.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MC64] MGLIIDRGLYSSWLIRPLVGCYKLKLIKYNFITRLLLQNRENNVYRHSYITCWSRVKIIINTALTFDLSANPLHRIEGSNDR >scaffold_701193.1 pep chromosome:v.1.0:7:4826846:4827856:1 gene:scaffold_701193.1 transcript:scaffold_701193.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MC66] MSPFKIFFFTALLVAAFSFSAADFNTDVNVAWGNGRGKILNNGQLLTLSLDKSSGSGFQSKTEYLFGKIDMQIKLVPGNSAGTVTTFYLKSEGSTWDEIDFEFLGNMSGDPYTLHTNVYTQGKGDKEQQFYLWFDPTANFHTYSILWNPQRIILTVDDTPIREFKNHESIGVLFPKNKPMRMYASLWNADDWATRGGLVKTDWSKAPFMASYRNIKIDSKPNSNWYTQEMDSTSQARLRWVQKNYMIYNYCTDHKRFPQGAPKECTTSS >scaffold_701198.1 pep chromosome:v.1.0:7:4844221:4845480:1 gene:scaffold_701198.1 transcript:scaffold_701198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPVNPKPFLNNLTGKTVIVKLKWGMEYKGFLASVDSYMNLQLGNTEEYIDGQLTGNLGEILIRCNNVLYVRGVPEDEELEDADQD >scaffold_701199.1 pep chromosome:v.1.0:7:4848500:4852203:-1 gene:scaffold_701199.1 transcript:scaffold_701199.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase [Source:UniProtKB/TrEMBL;Acc:D7MC71] MSSSSSSSTSMIDLMAAIIKGEPVIVSDPANASAYESVAAELSSMLIENRQFAMIVTTSIAVLIGCIVMLVWRRSGSGNSKRVEPLKPLVIKPREEEIDDGKKKVTIFFGTQTGTAEGFAKALGEEAKARYEKTRFKIVDLDDYAADDDEYEEKLKKEDVAFFFLATYGDGEPTDNAARFYKWFTEGNDRGEWLKNLKYGVFGLGNRQYEHFNKVAKVVDDILVEQGAQRLVQVGLGDDDQCIEDDFTAWREALWPELDTILREEGDTAVATPYTAAVLEYRVSIHDSEDAEFNDKNMANGNGYTVFDAQHPYRANVAAKRELHTPESDRSCIHLEFDIAGSGLTYETGDHVGVLCDNLSETVDEALRLLDISPDTYFSLHAEKEDGTPISSSLPPPFPPCNLRTALTRYACLLSSPKKSALVALAAHASDPTEAERLKHLASPAGKDEYSKWVVESQRSLLEVMAEFPSAKPPLGVFFAGVAPRLQPRFYSISSSPKIAETRIHVTCALVYEKMPTGRIHKGVCSTWMKNAVPYEKSENCSSAPIFVRQSNFKLPSDSKVPIIMIGPGTGLAPFRGFLQERLALVESGVELGPSVLFFGCRNRRMDFIYEEELQRFVESGALAELSVAFSREGPTKEYVQHKMMDKASDIWNMISQGAYVYVCGDAKGMARDVHRSLHTIAQEQGSMDSTKAEGFVKNLQTTGRYLRDVW >scaffold_701203.1 pep chromosome:v.1.0:7:4877046:4877728:-1 gene:scaffold_701203.1 transcript:scaffold_701203.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MC74] MARRNKERAIHRRFVYGRFKLTTTVGKNSTQIRNWVGVGGKSRKAAAAKGTLVVGFSIQHSRGEPHSLTFAVGRKILVVDLKSTQYIPENLKSMLKKSLVVGCGMSDNLEIIKSLRSRVYCPFVEDLGLKLNSVVPGLNRSAALGRTRRGNVSVEGARVAALEAFACSLKAKEWLGMPMPI >scaffold_701204.1 pep chromosome:v.1.0:7:4878333:4878612:-1 gene:scaffold_701204.1 transcript:scaffold_701204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTSHLGSSFANATVFCFIKIPNSRVSWCVLSLARTGFIRLICSGVIGSIFSVTKFLIYPRVLSSLSALSFHVPKC >scaffold_701207.1 pep chromosome:v.1.0:7:4924003:4924513:-1 gene:scaffold_701207.1 transcript:scaffold_701207.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor/ transcription regulator [Source:UniProtKB/TrEMBL;Acc:D7MC79] MERQIINKKKRVFSLEPNKNPSAVFTRKYTSHLVPALKKLNLNKNSSKQTVKHEVDMALALSAQEFAWSRFLLQKLSSSTNPTTTTSSSDGIRILERSDKEGRNEGEIEEKLRELQKLLPGGEEMNVEKMLSEIGNYIKCLELQTIALKSIVQDSI >scaffold_701210.1 pep chromosome:v.1.0:7:4943225:4950354:-1 gene:scaffold_701210.1 transcript:scaffold_701210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRKLTSPKKSNPSKKTKKNPKPQFDDSPVEVVETKACDQQETVTDTVVEEGPWKNLGLILSLQSKNLGVKKKVELAFSLVKGYGGENGTDEDEEGQAVKISRLIMFLSDWIQSLLITENNIKVKGDLDSEPYMDFRCWEIFRFCLKQSSILGVSLNLSRNLLKAIGYITKNVLSALDMSLSSEVDFCNGHGFEVYSTVIDCLGLLFSSKSGMSNDNVDLWFSTVESVLKLTHKVLSENIKDSLAYKYVLQFSCLVLEPFSKFLMTHPTTKNGFCDFLDKLFEPFLDVLGLLNLSEDKNKDLEITLVRLIEEILSLGLFHSAHIDGFLGLGGSKRYLPESKENKTILKSYHRHFFTKFKNMLLMKKELELSCMGSLFRLFIYRVMKQQRDPNQLQEGMTTKASNTGQAEERPWKLQDTATNDNVSSAKSHCSSSLRLETRKSLFEFFLHLMEPILLEINGYNQSGSEMAPLLADFCCAIKSANSLLFNFAHERIYVKTEDASGGACSCFFRTIFKTIVSVASELKNHYPYDDGSEMHVLLAKELVTAIGYLLHIEYEIIESDLVTLWVIILSFLEFSTLSPENSEDDCPLTSLLLSLGCQLINLYSDLRQVSVAVFSLCKAVRLVMPVMTPADGNDDEMIDTEELPLSTVFSFPLERSEKSVEKLLSSQALRLAIHGAIKVIPEGQASGCIKSLTTDVSKTMKWIKQVCCSTGATEQDGQVAAFLAGSLSDIYSLILDSLTITTGNSNLVGQSMKDLLNLISPCLTHLVSSDSDCIENFLSAVTGKGLEIMMAEKKIETHRKSVRLFIIFVLRIYMSTRSLYRQVISLMPPKKTKDMAGIKGDSVAARCGSDWIKEKSWNYEGYFSWISQPSASIVDTIKHISAIYLKDDSADCSLLIYILYGVALQRLVDLNSHIKSLDYVSQISDNQIHDTMLKHVSVLKREGEELTDFLLGNNITSGNVGTFETIEDTDQWVLSVSGINRKCLPTMRLWILSQHIDLWCPHAGKKKLKNFLSQLIGSSVPRILNGVGMSTLGWENIVDKGTQKKKIGLEQFSLGLLFDSVLYEHEFVRRYLAPSFSHVLKMTAETFFKDITEEVNFDSPSDWSEVLILLERSIANLSGKLQSKAFLEAHVSQLDNRKFTACQNLLNLLGAMPKEYMNKKSFQLYASYVLDLERFIVFSMLRCLNKLSRGDMQNLFSLFITSRKTLKSISMISCDKVLGATELPLSDSSLLASWLFKSAQAVVTCQVRFRNNFTGKSRDALFSLMDHTSYMFLTVSKYQFSKALPLSDEQLISAEISEGTGQGNLIIESLTEQAETLLNALRATFRDEKTAFKCESLILNKLTPIFSCVSGLLWGLASAVSHRDMQKNHQNAKLRWKSEQFSNLSSIIHVLSNFFEVFAQCLFLSGDVQQEIQTNINWTRLLDGAEGSNGLVCGDVVETNDVKKKIIESLIKGDSSEVVLALRHLLIASAAILRLNLQIDGIAFSPTFVSVLSNISNDLLSVFADMSEASLEFSFIWLDGAVKVVEELGSQFCLSNPTLNIDLYSKLIELHLKVIGKCISLQGKEATLESHETGFGTNAIHAKLVLSAKNQSHRLHWLDELKQRLRMSFKVFIQSSSELHLLSGVQAIERALVGVWEVCPAIYSIQTGNRDGGRISETVAAGLDCLDLILEHATGRKRLNVVKRHIQGLLSAVFGIMAHMQSPFIFFTNAVVGNQGSSSPDSGSVILMCVEVLIRIAGKHALFRMDSSHISQSIHIPGAIFLDYLQATRVGFSVLDGNLLSKDDQQQDLLGSSKGLQVDKKFSVSLYAACCRLLYTAVKHHKSETEGSIATLQESVSALLHSLETAGKKLGNCVSWEVEEGIRCACFLRRIYEELRQQKEVFGQHCFKFLSTYIWVSSGYGPLKTGLEREVDEALRPGVYALIDSCSPNDLQYLHTVFGEGPCRNSLATLQQDYKLNFKYGGKV >scaffold_701217.1 pep chromosome:v.1.0:7:4991486:4993730:1 gene:scaffold_701217.1 transcript:scaffold_701217.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:D7MC88] MINVMNPMKGGTEKGLDPQLWHACAGGMVRMPPMNSKVFYFPQGHAENAYDCVDFGNLPIHPMVLCRVLAIKYMADAESDEVYAKLRLIPLKDDEYVDHEYGDGEDSNGFESNSEKTPSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYNAEPPVQTILAKDVHGDVWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFMRAENGDLCVGIRRAKRGGIGNGPEYSAGWNPIGGSCGYSSLLREDESNSLRRSNCSLADRKGKVTAESVIEAATLAISGRPFEVVYYPRASTSEFCVKAVDARAAMRIPWCSGMRFKMAFETEDSSRISWFMGTVSAVNVSDPIRWPNSPWRLLQVAWDEPDLLQNVKRVNPWLVELVSNVHPIPLTSFSPPRKKMRLPQHPDYNNLINSIPVPSFPSNPLIRSSPLSSVLDNVPVGLQGARHNAHQYYGLSSSDLHHYYLNRPPPPPPPSSLPRSPSLGLRNIDTKNEKGFCFLTMGTTPCNDTESKKSHIVLFGKLILPEEQLSEKGSTDTANIEKTQISSGGSNQNGVAGRELSSSDEGSPCSNKVHDASGLETGHCKVFMESDDVGRTLDLSVLGSYEELSRKLSDMFGIKKSEMLSSVLYRDASGAIKYAGNEPFSEFLKTARRLTILTEQGSESVVI >scaffold_701220.1 pep chromosome:v.1.0:7:5003381:5003585:-1 gene:scaffold_701220.1 transcript:scaffold_701220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIQIIGKCIKIPDCSASCRKFLGPQASGFCDNDGAGGTCICTYPCPTKETHM >scaffold_701222.1 pep chromosome:v.1.0:7:5010620:5010997:-1 gene:scaffold_701222.1 transcript:scaffold_701222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTLLAFFVSFLIMCASGSVVDQTNANTNKLCEGGVGLCTPECAESCCDFNCSKKYNGGHGSCTSVGNIRLCQCEYSC >scaffold_701223.1 pep chromosome:v.1.0:7:5011720:5012296:-1 gene:scaffold_701223.1 transcript:scaffold_701223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASPLIVLFIFFIILAYGANEVMANICQESLGGCDQCNERCKAKRGPKSEGDCQSRIYNLCMCNYQCGPPPPPPEPKVCYGGAGLCSQKCSNNCCNQICANKYSRGNGFCEKIGSINLCKCQYPC >scaffold_701226.1 pep chromosome:v.1.0:7:5024412:5025769:-1 gene:scaffold_701226.1 transcript:scaffold_701226.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family [Source:UniProtKB/TrEMBL;Acc:D7MC97] MAIFFTSPLFFFIILCFFISVFHLSASPTLVLNLVHSNQIYSLQSPQVSHIKEASVERLEYLKAKATGDIIAHLSPNVPIIPQAFLVNISIGSPPVTQLLHMDTASDLLWLQCRPCINCYAQSLPIFDPSRSYTHRNESCRTSQYSMPSLRFNAKTRSCEYSMRYMDGTGSKGILAKEMLMFNTIYDESSSAALHDVVFGCGHDNYGEPLVGTGILGLGYGEFSLVHRFGTKFSYCFGSLDDPSYPHNVLVLGDDGANILGDTTPLEIYNGFYYVTIEAISVDGIILPIDPWVFNRNHQTGLGGTIIDTGNSLTSLVEEAYKPLKNKIEDYFEGRFTAADVNQDDMFKVECYNGNLERDLVESGFPIVTFHFSDGAELSLDVKSVFMKLSPNVFCLAVTPGNMNSIGATAQQSYNIGYDLEAKKISFERIDCGVLFDY >scaffold_701230.1 pep chromosome:v.1.0:7:5039502:5041382:1 gene:scaffold_701230.1 transcript:scaffold_701230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSQTLIHTGRFLLRRFSEPPPAVIAIHRYYSSKSLSLMSPLGLHCSSLSSPPALCNSAFSSSATSTTVEVQSTEHEVVIALGSNIGNRMNNFREALRLMKRGGICVTRHSCLYETAPAHVTDQPRFLNAAVRGVTKLGPHELLSVLKTIEKDMGRKDGIRYGPRPLDLDILFYGKMRISSDKLIIPHERLWERSFVLAPLVDLLGSAVDNDTVAHWHSLAIHPGGIFQAWERLGGESLIGQDGIQRVLPIGDKLWDFSNKTHVMGILNLTPDSFSDGGQFQSIDSAVSRVRSMISDGADIIDIGAQSTRPMASRISSQEELDRLLPVLDAVRGMPEMEEKLISVDTFNSEVASEAISNGADILNDVSAGTLDPNMHEVVAESGVPYMAMHMRGDPCTMQNKENLQYDDICKDVASELYLRVRDAELSGIPAWRVMIDPGIGFSKSVDHNLDIIMDLPKIREEMAKRSIAVSHAPILIGPSRKRFLGDICGRPEATDRDAATVASVTAGILGGANIIRVHDVRHNADAAKLCDAMLRRRRSKG >scaffold_701232.1 pep chromosome:v.1.0:7:5043687:5044560:1 gene:scaffold_701232.1 transcript:scaffold_701232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQVFSLEAKQGWRMIECKNRHLLADPEKKGICMNGVMYYIAKISDELSKSLISFNLRSEDFNVIKFPKDVKYLWSCYLVNYNGKIALTNDSCNDGTLDLWVMKDASKQEWSKASLLVPCWTNLVGDQRFRFKGTFSTGELIFAPCTFPNPYFEYYDLKGKNARKLVIEGIGDDLVSFKVFMDHVESPMFLPKVCLSDND >scaffold_701235.1 pep chromosome:v.1.0:7:5055237:5059489:1 gene:scaffold_701235.1 transcript:scaffold_701235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESETKGRINQETEKACVSVERIESTLLSSFVKKGKEVPNKRNFKPNKRKTEEDICSKSRNKKYSRGWVRCEEMEEEKVKKTRKRKSKRQQKDNKVEVDDSLRLQRRTRYLLIKMKMQQNLIDAYATEGWKGQSREKIRPDKELERARKQILNCKLGLRDAIRQLDLLSSVGSMEEKVIAPDGSIHHDHIFCAVCNSREAFPDNDIILCDGTCNRAFHQKCLDPPLETESIPPGDLGWFCKFCDCKMEIIDTMNAQIGTHFPVDSNWQDIFNEEASLPIGSEATLNNEADWPSDDSKDDDYDPEMRETGGGNSSNVSGDGSGDNDGGGDNDGESISTSLSLSSDGVALSTGSWEGHRLSNMLEQCETSNEETVCGPRQRRTVDYTKLYYEMFGKDAVLQEQGSEDEDWGPNDRRKRKRESDAGSTLVTMCESSKKDQDVVETLEQSERDSVSVENKGGRRPMFRLPKYAVEKLRQVFAETELPTKAVRDRLSKELSLDPEKVNKWFKNTRYMALRNRKTGSVKQPGDSKTVSGGESGPEAVMENNTETNEVQDTLDMTVPPGFNDSATNQKILSPCTNNQEEIQQANVSFPSPTDESQHYLEQNDSSFALVPHEELSSEMSLKTAVEEKETESKMTEEPHEELSSEMILKTAVEEKETESKMMEVPQEELRSETSLKTAVEEKETERKMTEESHEELSNEISLKTAVEEKETERKMTEEEEFEAVMEMLCRTENKLLDVTQRLERFKTPKGRKKLGKSSSSLNEEDSVVYVPIAEIKEKR >scaffold_701247.1 pep chromosome:v.1.0:7:5114017:5115533:-1 gene:scaffold_701247.1 transcript:scaffold_701247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAGLKSIENAHEDSVWAATWVPATEDRPALLLTGSLDETVKLWRPDELDLVRTNTGHSLGVAALAAHPSGIIAASSSLDSFVRVFDVDTNATIAVLEAPPSEVWGMQFEPKGTILAVAGGSSASVKLWDTSSWRLISTLSIPRPEAPKPSEKTSSKKFVLSVAWSPNGKRLACGSMDGTICVFDVDRSKLLHQLEGHNMPARSLVFSPVDPRILFSGSDDGHVNMHDAEGKTLLGSMSGHTSWVLSVDASPDGGAIATGSSDRTVRLWDLKMRAAIQTMSNHNDQVWSVAFRPPGGTGVRAGRLASVSDDKSVSLYDYS >scaffold_701259.1 pep chromosome:v.1.0:7:5154313:5156502:-1 gene:scaffold_701259.1 transcript:scaffold_701259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRTSSSLPLKVEKKSIELVKPSQRTPSETLSLSSLDNNPLDEVRHASVYVFEANEKNHKDPVSLLRKALSQLLVYYYPLSGRLVRRKSDRKFQLVCNGEGVPFTVAIAAPDLPSLNYIENFVDEVALRLVPEIDLNYESEIGDYPLAMQVTKFPCSGFTIGTALLHAVCDGFGVARFIHSLTELARGKREPSVLPVWERARLARKIDNEPARVPGGAGARASLLATSPFMPSSDLVTEIISIKAGDIKMLKDTLVRECEFPKESFTTYEILSAGMWKSRSRALKLDLDRITVLCIVVGIRHVLDPPLSEGYYGNSIIDVYIELTVRELHESSIYDILKLVKSAKKKAYDKRYIEQELINMERMIKEDVKSGEVIDGLLVMTDVRNIGLFGSMDFGWNEPVNMRFLMFQESFKNMVMILRPSKRDPAMEGGVRVVMTLPRDAMVKFKQEMDAMMHLRPRF >scaffold_701263.1 pep chromosome:v.1.0:7:5167441:5169015:1 gene:scaffold_701263.1 transcript:scaffold_701263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPIASPIPVALYPTLSVFTLAIGLVITAIFFIYEATSSRKNRSLGKELATSAVASVFLGFGSLFLLLASGVYV >scaffold_701266.1 pep chromosome:v.1.0:7:5187804:5189230:1 gene:scaffold_701266.1 transcript:scaffold_701266.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I phosphodiesterase/nucleotide pyrophosphatase family protein [Source:UniProtKB/TrEMBL;Acc:D7MCI7] MVKTKNVLSPKLSLILLNIFIIATIAVAANAGTNDLSSRSSKTRRPWPFKQLNKPVVLMISCDGFRFGYQFKTDTPNIDLLISGGTEAKSGLIPVFPTMTFPNHYSIATGLYPAYHGIIMNTFIDPVTGDVFNKGLQPKWWLGEPMWVTAVNQGLKAVTYFWPGSEVPKGSWTCPEGYCPRFNNSVPLEERVDSVLSHFDQPEDEIPDLLMLYFNEPDKAGHKYGPDDPRLTNAVSRIDKMIGRVIKGLKKREIFDEVHVILLGDHGMVTNCECNEKAIYIDDLADWIKIPAAWIQAYSPVLAINPQWGKNVENQSEKNAKVVAKMNEALSSGGVKNGDLLKVYLKEKLPERLHYSESYRIPPIIGIVGEGLMVRQNRTNSQKCYGDHGFDNKFFSMRTIFVGHGSRFRRGKKVPSFENVQIYSVVADILGLRPAPNNGSSLFPRSILLPFGATREIEYEV >scaffold_701273.1 pep chromosome:v.1.0:7:5204199:5204577:-1 gene:scaffold_701273.1 transcript:scaffold_701273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKFQFVFILLISSLLSSQTTDVRCHYKGPCKTDEDCKSICTGPGEDPTFLICIKNPPINHRCCCKFNGLKTDSSVLE >scaffold_701274.1 pep chromosome:v.1.0:7:5205576:5205812:1 gene:scaffold_701274.1 transcript:scaffold_701274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFGEMGRLENARQMLEEILQRNPLSFEALFENALLMDRSVEGAAVLQRLEDALAVPRLSIW >scaffold_701275.1 pep chromosome:v.1.0:7:5213123:5213502:-1 gene:scaffold_701275.1 transcript:scaffold_701275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQKFQFQLAFLLLTICLLSSQLLAKPIGKCHYKGPCETDNDCKTLCTGPDEDPTFLMCIKNPPINHKCCCVPPIN >scaffold_701277.1 pep chromosome:v.1.0:7:5223057:5223966:-1 gene:scaffold_701277.1 transcript:scaffold_701277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTNFILTPNEAKSAGVSDPIHLPLLIPLVRSPFSTLKVIALGLGSSGRVFVGVNVEFPGLPLHHSIHAEQFLVANLALNSEPKLNYIAVSPPSAPCGHCRQFLQEIREAPEIKILLTDQNGDNESFVSLESLLPERLGPESLLPENVPRLLEPCYNGLILAGPDVPYRYPDLKPAGLAAVNRSYAPYSKCPSGVALVDRQGSVYRGWYMESVAYNPSLGPVQAALVDYMVRCGGGDGGFKEIVGAVLVEKKDAEVRQEQTARMIMETIAPNCDFKVFHCYEMPKGN >scaffold_701278.1 pep chromosome:v.1.0:7:5226095:5226933:-1 gene:scaffold_701278.1 transcript:scaffold_701278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDDFYSGTENYSDYADSDEDDADADYEFVEDAADDSADLILCRRQAIRCKAFTHMEGEIEFVQIDPNDLYPCLLMNVGSGVSIIKVDGERKV >scaffold_701280.1 pep chromosome:v.1.0:7:5230704:5231635:-1 gene:scaffold_701280.1 transcript:scaffold_701280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQYKFVFTNEEAASEGVTDHKKLPKLIEKARNLAKVPIKVGAIGRASSGRVYLGANVEFEGLSPSLSIQAEQFLIANLALNLESKLTHLAVSNNGTVFHDPCYRCTHFLQEMTDAPQIEILIKNRNDEDGSFKSLESHMPDKFGPESILPAEPSLLLVECDNRLALFNSNLYPLLKLVALQAAKKSYAPHSKCPSGVALVCEGKVYRGWYIETVAYNISLGPVQAALVDFMARGEGKGFDKITRAVLVEKKDAKVRQEDTARTLLEKIAAPNCDFKVFHCYEQLEYNSWIVVKK >scaffold_701285.1 pep chromosome:v.1.0:7:5251973:5253256:-1 gene:scaffold_701285.1 transcript:scaffold_701285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDEPPLRKRKVEEITVSHDVVSSGFISEEEWSAAVNNDPPSGWTDEDDDDVVTDLEQELYRQIRESDVEIHIFPDLNLFMCDFDNILVRNDCGSTYVFRVVFFMCTNVLTMITIVMLTLLAFALEWDSIGTTFTRFCALMTYHITAEAVDPDNDLRFTFQTCVTQATCKNDEDLRILTEVCRIKPKIQGTGDKPKRWNGEAIDDFYKGNLPKWISDDALMPCSEQDQFYEVQESEIREHNWLNLYTEIALYSLWEGDMRLGSCVPLQIMKVIVETREAVESKEKLMAGNAIFYISFRYLNAPHGPPQDHRAIVRRTVDGIPGHVSLVFKCWLQDL >scaffold_701302.1 pep chromosome:v.1.0:7:5287072:5288363:1 gene:scaffold_701302.1 transcript:scaffold_701302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINDPSLGKPKGSSTSSSSRASSQFQVERAIIKTEEGDNISVIHYVWPFFEARELDGVVDPLLRGDFSEDSAWKFVDVAMSCVRDKGSNRPTMNQIVAELKQCLAAELAREPQSQ >scaffold_701307.1 pep chromosome:v.1.0:7:5302566:5304267:-1 gene:scaffold_701307.1 transcript:scaffold_701307.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase/ transition metal ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7MCM0] MLRFQCSLHLLQPSIAKKNHNHSNICSYNLAPFRVTGTKIQEIRTIWPRKSGKLRVNGIGREMKEGGEEFEEEDGDDEEVEDELSSRKRGIYGAKKEKIDYDKDPEFADILGDCLDNPEKAQKKMEERLRKKRNKILHTKTGSATSMQVTFNKFEYSNSYMWLEFYNAPLDKDIALISDTIRSWHILGRLGGYNSMNMQLSQAPLDKRPNYDAILGANVEPTTFYNIGDLEVQDNIARIWLDIGTSEPLILDVLINALTQISSDYVGIKKVVFGGSEFESWKENMTSEESGFSVHKI >scaffold_701310.1 pep chromosome:v.1.0:7:5313317:5314403:-1 gene:scaffold_701310.1 transcript:scaffold_701310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGGEVEPPHKKKTPPPCDESSSFSSLPYELIVECFARISKSYYRSLSRVSKTFHSLLSSPEIYAGRSETTEICLYICLRLPKRTCPRWFSLWIKPKTPTNSSGNFLVPISSCSDSLPASKSTVAIGSDIYAIGGTIAPSSVVRIFDCRRHTWRDAPNMTVARSNAMAYVLGDKIYVMGGCHESENWSEVFDTKTQTWRLIANHDAEAKEPKEGTWNVMDMRRIVWCQWWWVIDNVMYCRSGSGYFMWYDSVGERWRYVQGLDKLVRYMNYNISCCMIEIVNFGGKILFMWEMCTLFGRCPNKKIWCAMVAFEKLDGGKIGGKIEWCDIVHTLPNSYKVLRCLSISV >scaffold_701311.1 pep chromosome:v.1.0:7:5316513:5317716:-1 gene:scaffold_701311.1 transcript:scaffold_701311.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MCM4] MIPEEEVEPPQKKTKLPPLPCQSSFLSLPNEIIVNCFARISKSSYRSLSLVCKTFRSLISSPDLYAARSQLGTTEICGLYLCLRFSTVPFKEPTRRWFTLSAQPNRNLTDGRSCRGNVFVPFDNFLPYSNSAVSIGSKIYGEHMSDYFGPSSAIWIYDCRTRTWGDVPNMKMKRSACVLDDKIYVMGGCDSGGINWFEMFDIKTQTWRTLPENPDVKVRMGDNVQKIDVVQGNIYVKTGAEVKDWIYDVKEGKWSVAEEYLSLLWSNSWCVIDNVIYCYSCSRYKWYDLDGRMWRDVKGLERLNRYRSASTDFRNCMVELVNYGGKLAILWDRFERPGRSQNKNIWCAMVALNRGFGGSIWGKIEWVNVVLTVPKSYNFLRCIALSV >scaffold_701312.1 pep chromosome:v.1.0:7:5318242:5319443:-1 gene:scaffold_701312.1 transcript:scaffold_701312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVAEKRKKIHQPVSISSLPDEITENILARISRWNYPSLSLVSKSFCSLLSSTQLYKTRSQIGTNETCVYVCLQLPNHPCPSWFILRAKPNQTLTKQRGELLIFKENSSGNVLVPIPSSSSHSPPIPGHSTVAVGTEIYIIGGPLAKPSSSVRILDCRTHTWRDAPNMTVAREDACAVYLDEKIYVRGGCGKEKSANWFEVFDIKTQSWRALRIHDDELRNELQRYKILNAFQGKLYVRGDTKDYTYEPKEGTWEVVKEKASAYIEVWCVIENVIYGCTHMGYYIWYYYSKGRKWKVVKGLAGELGEPRVKVLKLRRVVGIVKYGENLLVMWNPSWENKIRYAEISLETRCNGSEVWGKVEWVDAMLTVSHESYIFKHCVSLSV >scaffold_701313.1 pep chromosome:v.1.0:7:5320655:5321881:-1 gene:scaffold_701313.1 transcript:scaffold_701313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGEVEPPEGKRIDSQSPSISSLPDEILENCLARISKWNYPNLSLVSKRFLSLLSSPHIYTTRSQIGTIEPCFYFCLELPKHQSPQWFTLWMKPDETLTDNGEILNDYTLLPLHSSSNSPPVPYASTVAVGSEIYVIGAPFESTSSSAVRILDCRSHTWRDGPSMKVAREEATAVYLDGKIYVMGGYDEDDESMAWMEVLDIKTQTWSFLASNGADELSCDDRCLSMSVLQGKIYALDHQKIHGKLYAYDPKKDTWEVVETLSRSTLIYAWCVIENVMYCYTASNSCMWYDSKSRKWRVVKGSNLKVLLERRTCCLSRGCVVDLFNCGGKLLVVWMARVHGDETKQKRIIKCTKMALEKRHGGEVWVKMEWDNTLLTVPKSVDDILSCVVVSI >scaffold_701314.1 pep chromosome:v.1.0:7:5324457:5326647:1 gene:scaffold_701314.1 transcript:scaffold_701314.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7MCM7] MGTRLNLIFCICVSIVAFLDFGKASKIGICYGRNADNLPSPNRVSELIQHLNIKFVRIYDANIDVLKAFANTGVELMIGVPNADLLAFAQFQSNVDTWLSNNILPYYPATKITSISVGLEVTEAPDNATGLVLPAMRNIHTALKKSGLDKKIKISSSHSLAILSRSFPPSSASFSKKHSAFLKPMLEFLVENESPFMIDLYPYYAYRDSTEKVPLEYALFESSSQVVDPATGLLYSNMFDAQLDAIYFALTAMNFKTVKVMVTESGWPSKGSPKETAATPDNALAYNTNLIRHVIGDPGTPAKPGEEIDVYLFSLFNENRKPGIESERNWGMFYANGTNVYALDFTGENTTPVSPTNSTMGTSPSPSSSPISGNSTVIIGGGGGGGGGSKKWCIASSQAPVTELQTALDWACGPGNVDCSAVQPDQPCFEPDTVLSHASYAFNTYYQQSGASSIDCSFNGASVEVDKDPSYGNCLYMIAPATDGFNRTMAGNITGNITAIDSPLASPSSTNEAFRQMVISLAVSVLLPCFVICLSI >scaffold_701315.1 pep chromosome:v.1.0:7:5327065:5327977:-1 gene:scaffold_701315.1 transcript:scaffold_701315.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin [Source:UniProtKB/TrEMBL;Acc:D7MCM8] MSWQSYVDDHLMCEVEGNHLKHAAILGQDGSVWAQSSHFPQLKPAEIEGINKDFEEAGHLAPTGLFLGGEKYMVVQGEAGAVIRGKKGPGGVTIKKTTQALVFGIYDEPMTGGQCNLVVERLGDYLIESGL >scaffold_701319.1 pep chromosome:v.1.0:7:5340958:5342891:1 gene:scaffold_701319.1 transcript:scaffold_701319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLTIDSLALRLPETATNKQIGGEVHPSSTPCYCKLRIKHFPSQKALLPLSSFSDASSPPESSTSAPGFHLDAEAIRRVSGKKISLRVSVYAGRTGHTCGVASGKLLGKVEVAVDLAAALSRTVAFHNGWKKLGGEGDKPSARLHLLVRAEPDPRFVFQFGGEPECSPVVYQIQDNLKQPVFSCKFSSDRNGRSRSLPSGFTYSSRGWITRTLSGDQWEKKQARERKGWMITIHDLSGSPVAAASMITPFVASPGSDRVSRSNPGAWLILRPHGTCVSSWKPWGRLEAWRERGAIDGLGYKFELVRDNSTSTGIPIAEGTMSTKQGGKFSIDRRVSGQGESPAISSPVKGFVMGSSVEGEGKVSKPVVHVGAQHVTCMADAALFVALSAAVDLSVDACQLFSRKLRKELCHDDQSSLT >scaffold_701320.1 pep chromosome:v.1.0:7:5344236:5344857:-1 gene:scaffold_701320.1 transcript:scaffold_701320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSDLSSLLFFLSQTLCRVIETIDQGRLLEHNLPLLLPWYKIYLGTLPVNSHKRIIHEGNKLKKNDIMIPSPRSGGYRCFFNPFYPFLLNIDFKQVYIINFIVCIHEIGSLRKNGIMIPSPRSGGYQSFLSFLSPNPSDFRPVTTHLLADEHLQLAVLMPPRTSVLEPSSTSPRLSTVTTLSSIDSLVENH >scaffold_701330.1 pep chromosome:v.1.0:7:5362373:5363647:-1 gene:scaffold_701330.1 transcript:scaffold_701330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MD38] MSKKKKGSNFKLLCFFLFLTGLIQIDASFGVGGGVGVGIGGGGGGGGVWIGGGYNNGGNRNAVPGSAPNRVAYNALQAWRSAITEDPSNVLKTWVGSDVCSYKGVFCSGQSITSIDLNHANLKGSLVKDLALLSDLNILHLNSNRFSGQIPDSFKSLASLQELDLSNNKLSGPFPLVTLYIPNLVYLDLRFNSFSGFIPEELFNKRLDAILLNNNQFVGEIPRNLGNSPASVINLANNKFSGEIPTSFGLTGSRVKEVLLLNNQLTGCIPESVGMFSEIEVFDVSFNSLMGHVPDTISCLSAIEILNLAHNKFSGEVPDLVCSLTNLINLTVAFNFFSGFSSECSSRISFGFDFVGNCIPGRNLQRPQPDCSGYSGGAMSCFRIPTQPLACAAISVGLREGNNQYYTSSP >scaffold_701332.1 pep chromosome:v.1.0:7:5379189:5381814:1 gene:scaffold_701332.1 transcript:scaffold_701332.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:D7MD40] MSSSVSNSDRKIVTGPAGYILEDVPHFTDDFPDHPTYPNPLQDNAAYSVVKQYFVDEDDTVPQKIVVHPDSPRGTHFRRAGPRQRVYFESDDVLACIVTCGGLCPGLNTVIREIVCGLSYMYGVKRILGIDGGYRGFYARNTIHLDLKTVNDIHRTGGTILGTSRGGHDTTKIVDSIQDRGINQVYIIGGDGSQKGAAAIFKEIRKRGLKVAVAGIPKTIDNDIPIIDKSFGFDTAVEEAQRAINAAHVEATSVENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFFLEGPGGLFEFIDKRLKESGHMVIVIAEGAGQDLLAESMEESTTLKDASGNKLLQDIGLWISQRIKDHFAKKMTLTLKYIDPTYMIRAIPSNASDNVCCTLLAQSAVHGVMAGYNGFTVGLVNGRHTYIPFYRITEKQNKVVITDRMWARLLSSTNQPSFMKQDKIHSNQLVGEPGTMKW >scaffold_701339.1 pep chromosome:v.1.0:7:5419744:5421386:-1 gene:scaffold_701339.1 transcript:scaffold_701339.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7MD46] MCNPSTTTTTGSENQESRTGIFLDLFSINSFEPTKRNLRHCENRGSPLMAEAVTEAKSLFTLAFPIAVTALVLYLRSAVSMFFLGRLGDLELAAGSLAIAFANITGYSVLSGLSLGMEPLCSQAFGAHRFKLLSLTLHRTVVFLLVCCVPISVLWLNVGKISVYLHQDPDIAKLAQTYLIFSLPDLLTNTLLHPIRIYLRAQGIIHPVTLASLSGAVFHLPANLFLVSYLRLGLTGVAVASSITNIFVVAFLVCYVWASGLHAPTWTDPTRDCFRGWAPLLRLAGPSCVSVCLEWWWYEIMIVLCGLLVNPRSTVAAMGVLIQTTSFLYVFPSSLSFAVSTRVGNELGANRPKTAKLTATVAIVFAAVTGITAAAFAYSVRNAWGRIFTGDKEILQLTAAALPILGLCEIGNCPQTVGCGVVRGTARPSTAANVNLGAFYLVGMPVAVGLGFWAGIGFSGLWVGLLAAQISCAGLMMYVVGTTDWESEAKKAQTLTCAETVENDIIKAVVANTIGDDGECDEAEPLIRITVLY >scaffold_701340.1 pep chromosome:v.1.0:7:5436824:5439466:1 gene:scaffold_701340.1 transcript:scaffold_701340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:D7MD47] MGKVAVGATVVCTAAVCAVAVLVVRRRMQSSGKWGRVLAILKAFEEDCATPISKLRQVADAMTVEMHAGLASDGGSKLKMLISYVDNLPSGDEKGLFYALDLGGTNFRVMRVLLGGKQERVVKQEFEEVSIPPHLMTGGSDELFNFIAEALAKFVATECEDFHLPEGRQRELGFTFSFPVKQTSLSSGSLIKWTKGFSIEEAVGQDVVGALNKAMERVGLDMRIAALVNDTVGTLAGGRYYNQDVVAAVILGTGTNAAYVERATAIPKWHGPLPNSGEMVINMEWGNFRSSHLPLTEFDHSLDFESLNPGEQILEKIISGMYLGEILRRVLLKMAEDAAFFGDTVPSKLRIPFIIRTPHMSAMHNDTSPDLKIVGSKIKDILEVPTTSLKMRKVVLSLCNIIATRGARLSAAGIYGILKKLGRDTTKDEEMQKSVIAMDGGLFEHYTQFSDCMESSLKELLGDEASGSIEVTHSNDGSGIGAALLAASHSLYLEDS >scaffold_701343.1 pep chromosome:v.1.0:7:5447214:5447444:1 gene:scaffold_701343.1 transcript:scaffold_701343.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MD50] MQPRILVRVAEAVVLVAFPTSVKQWLHQDLLEVNTFRGMLLRETLRSSLMIFTPKRRSESFK >scaffold_701344.1 pep chromosome:v.1.0:7:5447966:5451622:-1 gene:scaffold_701344.1 transcript:scaffold_701344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGVLESSPVQQLMAAGNPNWWNVSGGMRPPPPLMGHQQAPLPPHMTPNNNYLRPRMMPTPLPHFLPSPATSSSSSSSPSLPNNPNLSSWLESNDLPPESWSLSQLLLGGLMMGEEERLEMMNHHNHHDEPHHHSFQGKMRLENWEEQVLSHQQAAMVAADIKQESNINNNNGYVISSPNSPPNKSCVTTTTTTSLNSNDDNINNNNNMLDFSSNHNGLHLSEGRHTPPDRSSECNSLEIGGSTNKKPRLQPSPSSQSTLKVRKEKLGGRIAALHQLVSPFGKTDTASVLSEAIGYIRFLQSQIEALSHPYFGTTASGNLRHQQHLQGDRSCIFPEDPGQLVNDQCMKRRGASSSSTENQNASEEPKKDLRSRGLCLVPISCTLQVGSDNGADYWAPALGSAGFH >scaffold_701350.1 pep chromosome:v.1.0:7:5482572:5483488:-1 gene:scaffold_701350.1 transcript:scaffold_701350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHLLCLFFILLSFDSINSYLMPPLFRKFNVEIVNQLEFHKILRVHCKSKSHDFPITYLNIGESFQFKFTIFPKTLYWCNLWQGPNYKHYVVFAAFRPDKDFIDGTCTGMHPNVCRWTAKEEGVYVRNKKFEGEYFMYTWDAPSKTGKIPPVNAPSSESELDSSI >scaffold_701352.1 pep chromosome:v.1.0:7:5487941:5488382:-1 gene:scaffold_701352.1 transcript:scaffold_701352.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MD58] MAKWFFTIFLVFALAAALACGARNVPVGLSDQKNYLGYGGGYSGVGDNGLPFGGVGGGVSGPGGNLGYGGFGGLGGGLGAGLGGGVGSGLGGGLGGGSGIGAGTGGGSTGGVHFP >scaffold_701353.1 pep chromosome:v.1.0:7:5490003:5490499:-1 gene:scaffold_701353.1 transcript:scaffold_701353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCLSVFLVAFMVAQAVTATRPVPAKNVGAGLDDQKTFGAFEVLAVV >scaffold_701356.1 pep chromosome:v.1.0:7:5501802:5503663:-1 gene:scaffold_701356.1 transcript:scaffold_701356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEKNQTTQHHPPHISSLVVRPSGSNDGEDGRNVAAAAGDYEPGEVSRDRPSYTRSDRYKGDNGGHRTRASSNSPGRRGYEDHRHSSDLNHSGVKPRGREFSSRREASGRHRDYSPPYARGGAGARPYGRGLDGPEPAYGSDGMSRNNIPKVQPRDGDWYCLDPLCRNLNFARRESCNKCKRHRYAPANSPPLPRLPPPPMNLSPRRDFNGYRSPTRGWPRDYPPSRHDHPTWRDRERDRPHYSDHEYPPSRRIASDWAHTELLPKPHYDRRPPLSPPHPPPRGGRWGRLSRERSRSPPLRDVPPPPLRDGPPPSLRGGGGPMLHRDYRRDSNFDNGRRDDGRGGRGRMGNSY >scaffold_701358.1 pep chromosome:v.1.0:7:5506947:5508412:-1 gene:scaffold_701358.1 transcript:scaffold_701358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGQIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISKASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDDKGYLADHTNVITSTQGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKEVTRRKKKHRRSGCSIASIVCGGCTAA >scaffold_701361.1 pep chromosome:v.1.0:7:5517836:5519289:1 gene:scaffold_701361.1 transcript:scaffold_701361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDDIWSEDEEIDPEEAQEYLRQVQESDGFDVDYFHNSYARIKPFQLNDEYGYTHDIELYGRLGLHCYNLHKGTNLKLIDIHKYNTELFFGYYITLEAVDTYTNSPCTFQTCVREGKTWEHGYFMVQTEISRLKGPRTTSIGPQRRWEDEVVDDFYKSEMPNWLTKEELAAANDKGQYYELQESDLLGNEWLHLYAEFALSLNWRGYAMKNTILRRFLPLKIKKVIVHTRESGEESPHLKLKANNAIFYMSFKGNSDHPSDVEYQAIVRKTMDGTPGHIRLEVQSRAGLESQPT >scaffold_701362.1 pep chromosome:v.1.0:7:5520042:5521858:-1 gene:scaffold_701362.1 transcript:scaffold_701362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIENSAKDEEINIGAAAIQGAELNVDARSLFVADTSETLCFGIRENSPNDQTILIGAVGMQGYNLGYDIKAQLVYLQYKNCAFIGADKEQLPMTLFLPSSEDPSPSPTYKIAAAYHIVPKKLLLADLLSMEVNSRLPTLVPGASIIVTNNLPLELNGVRVTDPQVFVSKSIVIHRIASPLDFTTVTEDFGGGETASWFSWLFIIVIPVIKKLNLYRFLVFLVLVSWVCQS >scaffold_701365.1 pep chromosome:v.1.0:7:5530204:5530706:1 gene:scaffold_701365.1 transcript:scaffold_701365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSNFILIAVFLIVGVASHTIDETAQEVIEALAHSTFDDWSPSFISTNKPLLGQVLPSTLFIPMSTTTLYNGGDKRKFAAYHLVPGKIDFTDLLSKKDGSRLPTFLAGSFILISNSSSGLYIEGVQVIEPDVYVDSVIAIHRVASPPLDFAR >scaffold_701367.1 pep chromosome:v.1.0:7:5536842:5538054:1 gene:scaffold_701367.1 transcript:scaffold_701367.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MD73] MVRKHGWQLPAHTLQNLVNMKSQLAVISIGFCVFFSRVIAITVFCLLVVAFYAFFAPFVGGRIWEYVLIGVYSPVDHVDVVAMRAMSETLDGASVDERRSMNIGAGVAGSALAYTLGKHLRLPPVKLHCSMLAEDAIMSAVRDYKEKQA >scaffold_701373.1 pep chromosome:v.1.0:7:5564792:5565036:-1 gene:scaffold_701373.1 transcript:scaffold_701373.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MD79] MYEPVLPCAAILLDFLSAVERPFFLRYSCDFSRSPHVAANAILQSIIAAPVSFTLLLHKSSQNHCSC >scaffold_701376.1 pep chromosome:v.1.0:7:5569242:5581898:-1 gene:scaffold_701376.1 transcript:scaffold_701376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILWKSRQVVRTSQTQRPSPPPILRGSGSGSGSRGGEENALLPLPLPHPPPPLPQPPPHQEEEMVSWLHHHLRQDYVCSKIIYSIPATNPQSSFSLEPPPLPPSAPYILPASRLTCQLRGVQGTEEARGSTSRKRSRAAEMHNLAERRRREKINEKMKTLQELIPRCNKSTKVSTLDAAIEYVKWLQSQIQMILMGQGMMPSMMNEENMQEFMPHMAMKMMGMNRPPFIPSPGTPFPSPAQIAGVGPSQAYPAARYPFPNIQTFDPSRVRLPSPVVNQTHFPAYMNPYSHFVGHHQMLQPPPPLQSQTTPQLSSGQASSSKEPEDKDSQPKEFGFWFEIRGEDDIVELLWKSGRVVQSSQTQRPSSDPPPILRGSGSGGGEEEENAPLPPPPPLPPLHHQNLFIQEDEMYSWLHQSYRQDYLCSELRYSGVASNPATHPQSSISPAPCPFAPYDQRGQTIATRRVENFMNFSWLRGNIFTGGRVEAGVVRESIQLGSSTTPSSSATESCVIPATEGTESRVSQTLAVPGLGQKGKAVVIETAETPSSGVCKAETEPVQIQPATIVEIQGTEEARGSMSRKRSRTAEMHNLAERRRREKINENIKTLQELIPRCNKSTKVSTLDDAIEYVKWLQSQIQMMSTGQGMMPPMMYAGNTQQFMPHMAMDMNRPPPFIPFPDTPFPRPAQMADVDPSYPAPRYPLSGIQTFDPSRVHLRSPQPNPVSNQPQFPAYMNPYSQFAGLHQLQQPPPPPFQIRGEDDIVELLWKSGQLVRTTQTQRPSSDKPSSPPPVLRGSGSGGGDENAPLPLPLPQPPPPLHHQNLFIQEDELSSWLHNFYPGVTSTPATHPQSSVSLPPPSNAPYISSFFLHSLAFCNLMSLILEFGFWIEIRGEDDVVELLWKSSQVVQCSQTQRSIPPPIFRGSGSRSGEETALPPPPLHPSQQILFIQEDEIASWLYHPLLQDYLSSGVTSTPATHRQGSVSLAPPPPLSAPYGQITAARRTDNFMNFLRLKGNILTGGRVEAGPVVIESTQVGSSATPSSSATESCVIPVTHGTESRATVIGGVSRTFAVPGFGRRGKAVAIDTAGTSSSGVCKAETEPVRIQPETETEIAEDRKQKEREETIVEIQGTEEARGSTSRKRSRAAEMHNLAERRRREKINEKMKTLQELIPRCNKSTKVSTLEDVIEYMKSLQMQIQMMSMGHGMMPPMMNAENMQQFMPHMAMGMNRPPPFIHFPGTAFPRPGHMAGVGPSYPASRYPFPNTQASDPPRVQLPSLETDNPVPNQPLFPAYMNPYSQFVGLHQMQQPPPPLQVLGFRLKNRGEDDIVELFWKIGQVVRSSQTEIPSSDPTPILRGRGSRGGEENVPLPPPLPPPHHQNLFIQEDDMSSWIHHHPLLQDYLCSQLYASTPAPHPQSSVPLAPPQSSAPYGQIIIAPRREENVMNFLRLRGNILTGGSVEAGPWIPVGSSATTSLSATESNLTPATESLPTVTGGVFHTFSVPSRGGKEKAVAIQTVCEIAGTSSSGVETEPVRIQPATETDIADGRERKEREETIAGIQGTEEARGSTSRKRSRAAEMHNLAERRRREKINEKMKTLQELIPRCNKSTKVSTLEDVIEYVKSLEMQIQHYVMNFRIMTEVLISESMLLCKMMSTGCSTMPMMYTSNTQQFMPHMAMGMNQTPAFIPFPRQAHMAGVGPSYLPPHNPFPNIQTFDPSRVWLQSPQPNPVPNQPQMNPYSQFVGHHQMQQPTFPPLQSQTTSQLSFGQASSSKEPDDQNNQPQG >scaffold_701378.1 pep chromosome:v.1.0:7:5585835:5586294:-1 gene:scaffold_701378.1 transcript:scaffold_701378.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MD84] MERPSCSSTLKNVCEDNKTSLWKIRKIITEKSDGWLDFDNNNDIENHILRSLGEPIGRVKKDPIEIKIDDYDTGSQYEVTLGYNHKSDIYYIGALWRLKELAVGDEIGLFYDPISKNLCFSVLKQAKPCSIKKKKQAKP >scaffold_701382.1 pep chromosome:v.1.0:7:5600883:5602409:-1 gene:scaffold_701382.1 transcript:scaffold_701382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVSSQTYIYHCHISKQALYQSKESYSPRRFSRHNHRERLDFSPRLTITGKHQPLSFNTVCFAADEPSEISADARIRSEVLSPFRSVRMFFYLAFIASGSLGGLIATSRLIGALANPARSGEVLEIVKGLGIDIGAASLFAFLYFNENKTKNAQMARLSREENLAKLKMRVEENNKVISVGDLRGIARLVICAGPAEFIEEAFNRSKEFTQGLVERGVVVVAYATDGNSPVLEFDEADIVDEEISQRRKRLWRVTPVFVPEWEKWLNEQKKLANVSSDSPVYLSLRLDGRVRASGVGYPPWQAFVAQLPPVKGIWTGLLDGMDGRV >scaffold_701387.1 pep chromosome:v.1.0:7:5651743:5653302:-1 gene:scaffold_701387.1 transcript:scaffold_701387.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:D7MD95] MATSLSCSASDLIPLLSGGANATAAAAAAEFICGRFDTVAGKFTDAAYAIDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVIDAAAGGLFYYLFGFAFAFGSPSNGFIGKHFFGMYDFPKPTFDYPYFLYQWTFAIAAAGITSGSIAERTQFVAYLIYSAFLTGLVYPIVSHWFWSSDGWASPARSENLLFQSGVIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFGDGGKPVTLRGHSATLVVLGTFLLWFGWYGFNPGSFATIFKSYGETPGNSFYGQWSAVGRTAVTTTLAGCTAALTTLFGKRLIDGYWNVTDVCNGLLGGFAAITSGCSVVEPWAALICGFVAAWVLMGFNRLAEKLQFDDPLEAAQLHGGCGAWGIIFTGLFAEKRYIAEIFGGDPNRPYGLLMGGGGRLLAAHVVQILVITGWVSVTMGTLFYILHKLKLLRIPAEDEIAGVDPTSHGGLAYMYTEDEIRNGIMVRRVGGDNAQGNVRV >scaffold_701391.1 pep chromosome:v.1.0:7:5666837:5667782:-1 gene:scaffold_701391.1 transcript:scaffold_701391.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center Psb28 protein [Source:UniProtKB/TrEMBL;Acc:D7MDA0] MACVRSIGSLTSVTHSPRNVPRSGIVLSSCSVLPIKGSSFTGSPVSLLRAQPSSRTALRPRKPVSITLMVKPTLQFIQGTDEMTIPDVKLTRSRDGSNGMALFSFDQPSVFDSSGEVGEITGLYMIDEEGVIQSTDVNARFVNGKPEGIVAKHIMRSPKEWDRFMRFMERYSDQNGLQFVKKQ >scaffold_701404.1 pep chromosome:v.1.0:7:5710537:5712829:-1 gene:scaffold_701404.1 transcript:scaffold_701404.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RabGAP/TBC domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MDB2] MICCGFMWKSGGEDLQGFYPVRSECVADVPRTRFKSRAGKTLSARKWHAAFTEDGHLDMERVLRRIQRGGIHPSIKGEVWEFLLGGYDPDSTFEERNKLRNHRREQYYGWKEECRNMVPLVGSGKFVTMAVVAEDGQPLEESSVENQGWLVKTAITDKRVLQWMLVLSQIGLDVVRTDRYLCFYESESNQARLWDILSIYTWLNPDIGYVQGMNDICSPMIILLEDEADAFWCFERAMRRLRENFRTTATSMGVQTQLGMLSQVIKTVDPRLHQHLEDLDGGEYLFAIRMLMVLFRREFSFLDALYLWELMWAMEYNPNKFASYEEPQNINNSSGQDPRLLKQYGKFERKYIKNGQNEQHNTLAVFVVASVLETKNKRLLKEAKGLDDVVQILGGIAGNLDARKACKEALKIHEKFLKKANNRQ >scaffold_701413.1 pep chromosome:v.1.0:7:5756664:5762180:1 gene:scaffold_701413.1 transcript:scaffold_701413.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome subunit RPN1b [Source:UniProtKB/TrEMBL;Acc:D7MDC1] MAPVPDPNSVGGGAKRDEATTKVPSKDSKKKDDKKEEDLSEEDLQLKQNLELYVERVQDPNPELQKAALESMRKEIRDSTSSMTSVPKPLKFLRPHYGVLKEFHVKMAESDLKKMLADILSVLALTMSAEGERESLNYRLTGSEVEIGSWGHEYVRNLAGEIAEEYTMRQGEESSIEDLMDLVQQIVSFHMKHNAETEAVDLLMDVEDLDLLLEHVDSTNFRRTCNYLTSAAKYLPGPDDMLVLDIAYMIYIKFAEYPNALQIALFLDNMQYVKQVFTSCTDLLKKKQFCYMIARQGITFVLDQDMVANEQDREALQDIVNNTKLSEGYLTLARDIEVMEAKTPEDIYKAHLLDGRVSSGASVDSARQNLSATFVNAFVNAGFGQDKLMTVPSDSTSGSAGNWLFKNKEHGKTSAAASLGMIQLWDVNTGLAQLDKYFHSSDNPVIAGALLGVGIVNCGIKDDCDPAFALLADYIDNEDSSVQIGAIMGLGIACAGSQKDQIRSRLSPILNDAKAPLDVIAFAALSLGMIYVGSCNEEVAQSIIFALMDRSEAELGEALTRFLPLGLGLLYLGKQESVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQDLLAQCGEHLEKGDIHQGPAVIGLAMVAMSEELGLDMEIRSLERVLQYGEPNIRRAVPLALGLLCISNPKVTVMDTLSRLSHDTDSEVAMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKDASLLFCVRIAQGFVHMGKGLLTLNPFHSERLLLSPTALAGIVTLLHACLDMRSIILGKYHYVLYFLVLAMQPRMMLTVDENLKPISVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLSPILEGFVILKENPDYREE >scaffold_701415.1 pep chromosome:v.1.0:7:5764667:5767733:1 gene:scaffold_701415.1 transcript:scaffold_701415.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g28450/F20O9_130 [Source:UniProtKB/TrEMBL;Acc:D7MDC3] MKIKTLSRSVDEYTRERSQDLQRVFHNFDPSLRPMEKAVEYQRALTAAKLEKIFARPFVGAMDGHRDGVSCMAKNPNYLKGIFSASMDGDIRLWDISSRRTVCQFPGHQGAVRGLTASTDGNVLVSCGTDCTVRLWNVPRPTLEDSTISSENSIEPSATYVWKNAFWAVDHQFEGDLFATAGAQLDIWNHNRSQPVQSFQWGTDSVISVRFNPGEPDILATSASDRSITIYDLRMSSAARKIIMMTKTNSIAWNPMEPMNLTAANEDGSCYSFDGRKLDEAKCVHKDHVSAVMDIDFSPTGREFVTGSYDRSVRIFPYNGGHSREIYHTKRMQRVFCVKYSCDATYVISGSDDTNLRLWKAKASEQLGVILPREQKKHEYNEAVKNRYKHLPEVKRIVRHRHLPKPIYKAMAIIRTVNDSKRRKEARRKAHSAPGTVVTKPLRKRKIIKEVE >scaffold_701416.1 pep chromosome:v.1.0:7:5768291:5769419:-1 gene:scaffold_701416.1 transcript:scaffold_701416.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7MDC4] MNPTASQNSSSSTPSSELDSLLLSSLFQIKSPRIGLHVHRRTPTEFLCPITGFLMSDPVVVASGQTFERISVQVCRNLGFAPKLHDGPQPDLSTVIPNLAMKSTILSWCDRNKMEHPRPPDYAYVEGGVVRTRMNSIPPGTGHRIAKSEILPPVAENSNSNSDSDYESVMGAIRSRSRTSISSTTSLPLYQTRPVNHSTRIQDSFSTSDYSSFPPMSPEEEEIYNKLSSVDTIDHEQGLIQLRKTTRSNEGTRISLCTDRILSLLRSLIVSRYNIVQTNAAASIVNLSLEKPNKLKIVRSGFVPLLIDVLKSRSTEAQEHVIGALFSLIGIITRIGFKIGLLFWVLVWHGVWHSKSKINHEI >scaffold_701417.1 pep chromosome:v.1.0:7:5769904:5771071:-1 gene:scaffold_701417.1 transcript:scaffold_701417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGTAAVATGTSTVKRKPVFVKVEQLKPGTTGHTLTVKVIEANIVVPVTRKTRPTSSLSRPSQPSRIVECLIGDETGCILFTARNDQVDLMKPGATVILRNSRIDMFKGTMRLGVDKWGRIEATEPASFAVKEDNNLSLVEYELINVGDQ >scaffold_701426.1 pep chromosome:v.1.0:7:5794975:5799006:-1 gene:scaffold_701426.1 transcript:scaffold_701426.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7MDK3] MKKREILGLGFEWQVIFWLSIWLAISQQALGLRPIREKTRSWSDEWLFGRKQEAEVGPFSAWNITGTYRGTWKFLNSVNSSSKFQDFQKENGNSVVELVAVPTKITGVHYVQGVVVFHDVFDNEQNVGGAQINLEGVYIWPFRQLRLVANSGKESDSGQEDNNLLSNPYHLLGIFSSQVFQESPRDRLLKRKLSPVNEMEKHCNIEIAAQVSRVASSENNGDKNYYYMEGLMESPGVGADGDCFSPLFLNATSVNVEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHGNTQSGAAKVSIVMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKATRPSNSGEGWETMRRELSFLYSRFYGLLLGGILIMYQFHNYMQPILLLMYSFWIPQIVANVVRDSRKPLHPYYILGMTATRLAIPLYVFGCPHNFMRVEPNKVWCICLCTSMGLQAVILLLQHYFGSRCFVPRQMLPEKYNYHRRFNRDVSRNTDCVICMTAIDLRQHTSDFMITPCEHFFHSGCLQRWMDIKMECPTCRRSLPPA >scaffold_701427.1 pep chromosome:v.1.0:7:5800310:5801083:1 gene:scaffold_701427.1 transcript:scaffold_701427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVMRFDLYLMFVMLMGMGFKISNGYKFYVGGKDGWVPTPSEDYSHWSHRNRFQVNDTLHFKYAKGKDSVLEVSEQEYNTCNTTHPLTSLSDGDSLFLLSHSGSFFFISGNSQNCLKGQKLAVKVLSTVHHSHSPRHTSPSSSPSPSLSPVHQDLSSPVPSPGVEPSSVSNAHAPTPGPASARNSAGLVGPGMGVLVIMISSMFNLRGLYIVASH >scaffold_701428.1 pep chromosome:v.1.0:7:5801532:5801897:1 gene:scaffold_701428.1 transcript:scaffold_701428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNYIKLLITAVIIPVAAASVLVKAKKVVCDFRTCIDSKEEERTIAGFELSGRILKAARYISYGALKRNNVPCKRRGRSYYSCGPGKKANPYKRGCSVVTHCYRFTS >scaffold_701442.1 pep chromosome:v.1.0:7:5841991:5844515:-1 gene:scaffold_701442.1 transcript:scaffold_701442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSSLGRASRSAPLASKLLLLGTLSGGSIVAYSDSNAEANKNEDQQLKKKKVVVLGTGWAGISFLKDLDITSYDVQVVSPQNYFAFTPLLPSVTCGTVEARSIVESVRNITKKKKGEIELWEADCVKIDPVNHKVHCRPVFKDDPEARQEFSLGYDYLIVAVGAQVNTFGTPGVLENCHFLKEVEDAQRIRRGVIDCFEKAILPGLTEEQRRRKLHFVIVGGGPTGVEFAAELHDFIIEDITKIYPSVKELVKITLIQSGDHILNSFDERISSFAEQKFTRDGIDVQTGVRVMSVTDKDISVKVKSSGEVISIPHGLILWSTGVGTRPVISDFMEQVGQGGRRALATNEWLQVTGCENVYAVGDCASIAQRKIMGDIANIFKAADVDNSGTLTMEELQDVVDDILVRYAQVELYLRSKHMRNINDLLADSEGNARKEVDIEAFKLALSEVDSQMKTLPATAQVAAQQGAYLAKCFNRMEQCKEQPEGPKRFRTGGHHQFRPFQYKHFGQFAPLGGDQAAAELPGDWVSAGRSTQWLWYSVYASKQVSWRTRALVVSDWTRRYIFGRDSSRI >scaffold_701444.1 pep chromosome:v.1.0:7:5847213:5849916:1 gene:scaffold_701444.1 transcript:scaffold_701444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVQYRLERMVDELDDLERRGIFTRAEIAEIVKQRRKFEYRLKRPSPLKEDFIAYIDYEVKLDELRQLRRKAVAYESKKRKKKSVSDYAGVARIVEIYRLATMRYKGDINLWFRYLEFCKQKRHGRMKKALAQAIRFHPKVAGVWIYAASWEFDRNLNVTAARALMLNGLRVCSNSEDLWVEYLRMELTYLNKLKARRVALGESKGSLVRDTKSVEDEKWKDENKELFMSLDEKEGNEKEEDGEEDSNVEDAEDVTEKVDVFREKGSNVLQTIYSGAVEALPSSFDLRKRFLEILEATDLAHSDEIRNTILSDLKRDFCKEPEYWNWLARHEMSGYISKETGLEFSNLQMQKAIQVFEEGLQTVTSSSMFKIYIKFLMEAIAQSNGDDDEISPSSNPIGDYISHIINVYQKADETGCLTEELADEYVSLYLKLGKTHEAQKLAEKLCSGKFAGSAKLWLSRVSIEIRSLSGNSTPSKADLQTVFELLSNALTKVPISESESLWLMALKFFAHQRTYLDKLVEMSILLATKSHGSDHVFSLSSTVVNFVLETKGGHSARKIYKRFLALPGPSLVLYKDCIEIETNLISVGDKDGLSNARKLYDSAVASYSQDVELWKNYYLLETKMGTSETANGVYWRARKTLKESADFIV >scaffold_701447.1 pep chromosome:v.1.0:7:5855278:5856171:-1 gene:scaffold_701447.1 transcript:scaffold_701447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDHRRFGTAGPLAAGEEGEGRFESSVNAVPFGLVATGVLIAIFLLIAIFERFIFISPTQSPPLDQKFPPFASPKMDVCKREISVLMPGEDVPTFIAQPCPSSSSSSSF >scaffold_701457.1 pep chromosome:v.1.0:7:5882997:5891480:1 gene:scaffold_701457.1 transcript:scaffold_701457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKTKPHKSKGEKKKKEEKVLPTVIEISVETPDESQVTLKGISTDRILDVRKLLAVHVQTCHFTNFSLSHQVRGTRLKDSVDIVSLKPCHLTIVEEDYTEEQATAHIRRLLDIVACTTAFGPSKPPVSRTPPKDSEKKESGSTDGDSPAEKDAGDSNSVLSPKPKESERKSVGGCEAQAAEGTAKSDIDMCPPTRLGQFYEFFSFSYLTPPVQYIRRSVRPSKDDKGLDDLFQVDIKVSSGKPFTVVASRAGFYPAGKQQLLCHSLVELLQQISRPFDAAYDALMKAFIEHNKFGNLPYGFRANTWVVPPVVADSPSTFPSLPVEDETWGGDGGGVGRSGKYDQRKWAKEFAILAAMPCKTPEERQVRDRKAFLLHSLFVDVSVFKAVEIIKNVVESNQRSPKDPAALGFHEERIGDLIIRVARDDPDASAKLDRKSDGTQVLEISQEELAQRNLLKGITADESATVHDTSTLGVVVVRHCGCTAIVKVAPEFKLNDGQILQDIDIEDQSEGGANALNVNSLRSLLHKSSTPSSLAQRSPNADSEQIRVAKSLVRKVIEDSLKKLEIEPSRTTKPIRWELGACWVQHLQNQASSKSESKKNEDAKPEPAVKGLGKQGALLKEIKRKIDVKANKTEQGKEALANDTDNKSETEDQKELEKHNEEMEKMWKELVTETAYQRLKESETGFHLKSPKELIEMARKYYTDTALPKLVIPHKFRLNLLQVADFGSLELSPVDGRTLTDFMHTRGLQMHSLGRVVELAEKLPHVQSLCVHEMVVRAYKHILQAVVAAVENTADVATSIATCLNVLLGTPSDTDSVYDEKIKWTWVETFISKRFGWDWKHEGCQELRTFAILRGLSHKVGLELVPKDYEMDTSYPFKKFDIISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGMKNAHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMDAYSLSVQHEQTTLQILQAKLGPEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDSGIKARDAQRKARPKVKGKPGQSPGPVSEENQKDDEILNPAHLTGESSSDKENKSEAKSEEKKVENFDLEPQEHLTLVKPEAIVQEDDDSDEGWQEAVPKNRYSSGRRTRPSLAKLNTNFMNVTQQPSRSRGKSTNFTSPRTSSNELSISVVGSTSSPASKMFVKSPLNRKQNNSSIVGERPVNDKSAPASPACTEQINKLTPMVSPVTPVSVKAGKLFSYKEVALAPPGTIVKIVAEQLPEETKAPEILEAAKIAVDGPEQVNAQDAESENKHVATEMEAESTDSDERGRVVVGGSELTSSPKEIKNVEAEKAAEEAFPTETAVSNARQGKSESAQMAEDSNTCLLNKSLTANDSNGSESVIGVKLQKDLSDAELKPVDGETENLANGDSSPKSSIAADGEKQDACEAQKEMSKKLSASAPPYTPTTIPIFGSIAVPGFKDHGGILPSPLNMPPMLPVNHVRRSTPHQSVTARVPYGPRLSGGGYNRSGNRVPRNKPSFPNSTESNGEANQFNGPRIMNPHAAEFIPSQPWVSNGYPVSPNGYLASPNGAEITQNVYPLSPVAGGYPCDMSVTQPQDGLVSEELPGSGSSEEKSGSEEESNNEKKAGEDEEAVVQETSDTPENGHSTVGEVETTSHETSDEKNGERQGGKCWGDYSDNEIDQIEVTS >scaffold_701459.1 pep chromosome:v.1.0:7:5894833:5895851:1 gene:scaffold_701459.1 transcript:scaffold_701459.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit [Source:UniProtKB/TrEMBL;Acc:D7MDN5] MEDEIELKTAPADFRFPTTNQTRHCFTRYIEFHRCTTAKGEDSNECERFAKYYRALCPGEWVDKWNEQRETGTFPGPL >scaffold_701460.1 pep chromosome:v.1.0:7:5896202:5897358:1 gene:scaffold_701460.1 transcript:scaffold_701460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCSNNLLGILNFFTFLLSIPILSAGIWLGKNAATECERFLDKPIVVLGIFLMFVSIAGLVGACCRVSCLLWLYLFAMFLLILLGFSFTIFAFAVTNRGAGEVISDRGYKEYHVGDYSNWLQKRVTNAKNWERIRSCLMYSDVCSTYRTRYSSINVEEFYKANLNALQSGCCKPSNDCNFTYVNPTTWTKTAGPYKNEDCNVWDNKPGTLCYDCDACKAGLLDNIKNSWKKVAQVNIVFLIFLIIVYSVGCCAFRNNRKRSWY >scaffold_701466.1 pep chromosome:v.1.0:7:5928413:5930581:-1 gene:scaffold_701466.1 transcript:scaffold_701466.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MDP3] MRFVTSSAAGEIFRRDELVVRRLLSQRLCSKLVNTFSETETKLRSLCEDSNPQLKNAVSVFQQAVDSGGSLSFAGNNLMATLVRSRNHEVAFSFYRKMLETDTFINFVSLSGLLECFVQMRKTGFAHGVLALMLKRGFAFNVYNYNILLKGLCRNLEFGKAVSLLREMRQNSLMPDVVSYNTVIRGFCEGKELEKALQLANEMQGSGCSWSLVTWGILIDAFCKAGKMDEAMGLLKEMKHKGLEADLIVYTSLIRGFCDCGELDRGKALFDEVLERGDSPCAITYNTLIRGFCKLGRLKEASEIFEFMMERGVRPNVYTYTGLIDGLCGVGKTKEALQLLNLMLQKDEEPNVVTYNIIINKLCKDSLVADALEIVELMKKRRTRPDNITYNSLLGGLCAKGDLDEASKLLYLMLKDSSYTDPDVISFNALIHGLCKGNRLHQALDIYDLLVEKLGAGDIVTTNILLNSTLKSGDVNKAMELWKQISNSKIVPNSDTYTTMIDGFCKTGMLNVAKGLLCKMRLSELPPSVFDYNCLLSSLCKKGTLDQAWRLFEEMQRDDSFPDVISFNIMIDGSLKAGDIKSAESLLVGMSHAGLSPDLFTYSKLINRFLKLGYLDEAISFFDKMIDSGFEPDAHICDSVLKYCISQGETDKLTEFVKKLVDKDVVLDKELTCTVMDYMCSSSGNMDIAKRLLRVADDKEEGDKWGA >scaffold_701467.1 pep chromosome:v.1.0:7:5930674:5930887:-1 gene:scaffold_701467.1 transcript:scaffold_701467.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MDP4] MSFSTTTTKSLLNDSSPTIPVVCFTFANYGRPITRRNISAVRYASLRRNQNFAFSD >scaffold_701469.1 pep chromosome:v.1.0:7:5936358:5937030:1 gene:scaffold_701469.1 transcript:scaffold_701469.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YGGT family protein [Source:UniProtKB/TrEMBL;Acc:D7MDP6] MVTLRASILANPRLPPPILRPRLSLPRKPSFNLSLHNPRTIVSSAVTSLSPVLSTKPPSQFPFSDSTRSITTLALLAGVVTKSLIQKLSVAIVNISPQIQASIRTASPLFFASLRDRPAGYLNTPLTVVAAGLSKWLDIYSGVLMVRVLLSWFPNIPWDRQPLSAIRDLCDPYLNLFRNIIPPVFDTLDVSPLLAFAVLGTLGSILNNSR >scaffold_701472.1 pep chromosome:v.1.0:7:5946185:5947400:1 gene:scaffold_701472.1 transcript:scaffold_701472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSESPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKNKYESTARTWTQKYAMG >scaffold_701473.1 pep chromosome:v.1.0:7:5954411:5955460:1 gene:scaffold_701473.1 transcript:scaffold_701473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDEYMDLRPVKYTEHKTVIRKYTKKSSLEKKTSGRDSARLVRVSMTDRDATDSSSDEEEFLFPRRRVKRLINEIRLEPSSSSGDVSASPMKDRKRLTVDSTVQKPSVSGQNQKKYRGVRQRPWGKWAAEIRDPEQRRRIWLGTFATAEEAAVVYDNAAIKLRGPDALTNFTVPPEPEPEPEPEPEQEPESNMSVSISESMDDSQHLSSPTSVLKYQTYVSEEPIDNLIKPVKQEFLEPEQEPISWHLGEGNNNIDDSFPLDIPFLDNYFNESLPDISIFDQPMSPIQTENDLFNDLMLFDNNNTEDNDSSDIKEIGSMFNDLDDSLISDLLLV >scaffold_701474.1 pep chromosome:v.1.0:7:5966687:5969053:-1 gene:scaffold_701474.1 transcript:scaffold_701474.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7MDQ2] MVEAERAENSWIASEMSSSSSPAAIESRDFSIIDSTNGGIRDVSGFGIASRPQLEKGLSENNIGFTERVFSAAGAAVLSAVTLNPLDVVKTRLQAQAAGMSYSHPLSNSIGRMAFFGPNMMFADLRCSPSCSRAGVQGTVSICPPDCFQYKGTFDVFTKIIRQEGLARLWRGTNAGLALAVPMVGIYLPFYDMFRNRLEELSREKAPAMTFCVPTVAGSLARSLACTVCYPIDLARTRMQAFKEAKAGVKPPGVFKTLVGVFSEVRTANNLESSLHNYRVLWRGLGAQLARDVPFSAICWSTLEPIKKRLLGVAGNDTNLLGVFGATFSAGFIAGSIAAASTCPLDVARTRRQIEKDPGRALIMTTRQTLIEVWRDGGMRGLFMGMGPRVARAGPSVGIVVSFYEVVKYVLHRHASS >scaffold_701476.1 pep chromosome:v.1.0:7:5974395:5979947:-1 gene:scaffold_701476.1 transcript:scaffold_701476.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MDQ4] MIIKRKFKTQIPSLHHSGNESRKKRKSNLGGCYYPLNLLGEIAAGIVPGNGRNGFSASWCNEVSCSMKPVEVEELISKRRSDSGAVRDPPPAEVSRPPLVRTSRGRIQVLPSRFNDSVLDNWRKDSKSDCDLEEELECRDDKVVSFRVPKASNLKSKELYRNSKYSALCKEARFHEQRNEARARVDEKLPNKQGTFGPENFYSGDLVWAKSGRNEPFWPAIVIDPMTQAPELVLRSCIPDAACVVFFGHSGNENERDYAWVRRGMIFPFVDYVARFQEQSELQGCKPGNFQMALEEAFLADQGFTEKLMHDIHLAAGNPTFDDSFYRWIQETAVSNQDLNNNAPTQGLLKKHRNPLACAGCETVISSEMAKKMKALIPGDQLLCKPCSRLTKSKHICGICKKIRNHLDSQSWVRCDGCKIWIHAECDQISDKHLKDLGETDYYCPTCRAKFNFDLSDSEKQNSKSKLGKGDGQMVLPDKVIVVCAGVEGVYFPRLHLVVCKCGSCGPKKKALSEWERHTGSKSKNWKTSVKVKSSMLALEDWMMKLAELHANATAAKVPKRPSIKQRKQRLLAFLSETYEPVNAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARHVRDFTSWVCKACERPDIKRECCLCPVKGGALKPTDVETLWVHVTCAWFQPEVCFASEEKMEPAVGILSIPSTNFVKICVICKQIHGSCTQCCKCSTYYHAMCASRAGYRMELHCLEKNGQQITKMVSYCAYHRAPNPDNVLIIQTPSGAFSAKSLVQNKKKGGSRLISSIREDNEESPAEDTITRDPFSAARCRVFKRKINSKKRIEEEAIPHHTRGPRHHPSAAIQTLNTFRHVPEEPKSFSSFRERLHHLQRTEMDRVCFGRSGIHGWGLFARRNIQEGEMVLEYRGEQVRGSIADLREARYRRVGKDCYLFKISEEVVVDATDKGNIARLINHSCTPNCYARIMSVGDEESRIVLIAKANVAVGEELTYDYLFDPDEAEELKVPCLCKAPNCRKFMN >scaffold_701477.1 pep chromosome:v.1.0:7:5981739:5983698:-1 gene:scaffold_701477.1 transcript:scaffold_701477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAQTGLAFRFMQSSSPEIHQFEDLFKSYKLSDEMNNLVEACEYDFGEESDLFKAPEPIIEEPMLAVDPLSQELVELGDLGSLQSDQQLIDNAFYECEQDLLVKSAMESPLSEVLDIKNITLVAKMDTDVKSSSVVVSDVPIPKSVSSGNLSSMDMVQHEDAVIQSFPDFPPVDYGMRRAFSESDIQTLGTTNAGHVQSQLDRIIISCTSEDRREKLSRYRNKKSRRNFGRKIKYACRKALADSQPRIRGRFAKTEEMQK >scaffold_701484.1 pep chromosome:v.1.0:7:6037957:6038746:-1 gene:scaffold_701484.1 transcript:scaffold_701484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTMPKRQELGDGDGLYESSKSRSPEKAMEEIRKQETHDLYCPKCTCNITKTAQLVEKGDDFSPDNQKPFVLWIPIFTPFKFLSSSPTGSVESNPSSPNHSGMCRHTSL >scaffold_701489.1 pep chromosome:v.1.0:7:6058788:6060243:-1 gene:scaffold_701489.1 transcript:scaffold_701489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAETQITPAKISDEEANLFAMQLAGATVLPMVLTSALELNLLEIIYKNAGLAGAQLSPSEIASYLPTKNPDAPVMVDRILRLLAAYSILTCSVRKLVDGGVERLYGLGPVCKYLTKNEHGVSLAALCHLNRDRVFMESWFHLKDAVLEGGVPFDKAFGMDAFEYQGADPRFNKVFNNGMSNHSTIVMTKILETYKGFEGLSSLVDVGGGIGVTLRMIVSKHPHIKGILYDLSHVIEEAISYPGIDHIGGDMFVNVPKADAIFMKWICHDWSDQHCLKFLKNCYEALPDNGKVIVAESILPVVPDSSLMTKEVVHMDCLMLAHNPGGKERTEEEFETLAKASGFQGFQVVCRAYGTHIMEFLKKI >scaffold_701490.1 pep chromosome:v.1.0:7:6060832:6062351:-1 gene:scaffold_701490.1 transcript:scaffold_701490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7MDR7] MAKVVVYAILAATFIVLVLFAHKKQNRTQSIEGLISRRIGRRLEMPVFDPLVTRIERLSNEKEAGTKTVEAAKEEEDDMFEGYFAQERRLNTTMRIKFLFPLLDAAPRDGFVSLKELQTWMMQQTEDNMGYRTAKELELQDKDKDGVITFEEYLPQFSKQDIEKNEKGHGEAGWWMEQFKNSDFDHNGSLDIEEFNNFLHPEDSRNGDTQRWVLTERMTGMDTNGDGKLEYREFVQNTYEMYKEFAKFETEEDENVPTAQLLFAELDRNKDRFLVANELRPILHYLQPGEMSYAKYYSTFLCHEADEDKDGKLSLEEMLRHEDVFYKAVHHEELDDEDYFDHDEL >scaffold_701497.1 pep chromosome:v.1.0:7:6079974:6082345:-1 gene:scaffold_701497.1 transcript:scaffold_701497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYLVFGVLGLVVAALELSKNNKDRINTSSAFNSFKNNYLLVYSLMMAGDWLQGPYVYYLYSTYGFGKGDIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCITYILSCITKHSPQYKVLMVGRVLGGIATSLLFSSFESWLVAEHNKRGFEQQWLSVTFSKAVFFGNGLVAIIAGLFGNLLVDTFSLGPVAPFDAAACFLAIGMAVILSSWTENYGDPSENKDLLTQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEEIPHGFIFATFMLASMLGSSLASRLLSRSTPKVESYMQIVFLVSGAALLLPILMTLFIAPSKVKGGGISFSGCFQLLGFCIFEACVGLFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVNAFPITVMFGMCSIFLFVASLLQRRLMMIVDKPKTNDWTPLEERNTEEDPLNI >scaffold_701500.1 pep chromosome:v.1.0:7:6088334:6090567:-1 gene:scaffold_701500.1 transcript:scaffold_701500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLLGAFKPACNISITFSDGKNRKQVPMKKENGQTALVPLFHSQDTISGKVCIEPYQGKKVEHNGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGEIYERKTYPFEFPTVEMPYETYNGVNVRLRYVLKVTVTRGYAGSILEYQELVVRNYAPLPDINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVILGKIYFLLVRIKMKNMDLEIRRRESTGAGANTHVETETLAKFELMDGTPVRGESIPVRLFLAPYDLTPTHRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITLYRLKEDTSS >scaffold_701502.1 pep chromosome:v.1.0:7:6094588:6095775:1 gene:scaffold_701502.1 transcript:scaffold_701502.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast small heat shock protein [Source:UniProtKB/TrEMBL;Acc:D7MDS7] MASTLSFASALRSPLAPSPSVSSKSTTPFFVALPRKIPSRIRAQDQRENSIDVVHQGQQKGNQGSSVEKRPQQRLAMDVSPFGLLDPLSPMRTMRQMLDTMDRMFEDTMPVSGKNRGGSGVSEIRAPWDIKEEEHEIKMRFDMPGLSKEDVKISVEDNVLVIKGEQKKEDNDDSWSGRSVSSYGTRLQLPDNCQKDKIKAELKNGVLFITIPKTKVERKVIDVQIQ >scaffold_701504.1 pep chromosome:v.1.0:7:6101156:6101379:-1 gene:scaffold_701504.1 transcript:scaffold_701504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESIFPVVPDSSLLTKEVVHMDCLMLAHNPKGKERTEKEFEALAKKVLPSRDSKTCLH >scaffold_701506.1 pep chromosome:v.1.0:7:6103437:6103664:-1 gene:scaffold_701506.1 transcript:scaffold_701506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVVIFAEILDQYTAALAKVVSGILPRRPHDRSVIYIGGFSLPRPSSSPVPDFSSYLVDF >scaffold_701508.1 pep chromosome:v.1.0:7:6108820:6110302:1 gene:scaffold_701508.1 transcript:scaffold_701508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFPLSFSSHSRPFPKLSVSFLDLRPPTAPPTERPSPSTPPEPPDLRFRVLYGVSYAQPPLAAVSSLFIAPIPSPSLDLSSLCVSPVAAFFALLQAAIKVSASDCLGGDLQSFTALCSGVQTPSIVPTAILPSVPPGSLVVVIRFLAFAVNSWDWFGLVQPCVSLCDRYVAFPCAPTAVGISWVGFVMNCVCTWIQTGSLPNGQPRPSWALLSIYMTSEGLVFVTLCYGLHRPSNSLLLVPNYLSMRIYQFQVPHYEDVFKYDQNLVRMVVRSPKGWHFVRQPLEIIISGFGFDLKSAGSRESVGVLSVVDGLCARLGFTEENICVIKSQLIQPPPQKMEVFLSFSEAAWFQSKIFCGLGWCFKDPLNGKIHHGSFSRPFVLSVLVAEALALKAAFMAALALGVSRLACISDCRELVLLSNTGGHANELDGILADFDLFRSMFLSTFVHFVPRSENYGTEALANASLLSCIFSSIGGV >scaffold_701509.1 pep chromosome:v.1.0:7:6111161:6111395:-1 gene:scaffold_701509.1 transcript:scaffold_701509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIVIITELLVEYTTALGKLTAGILPRRQGDGNVVRIGSFSIYCPPRSSPIPDFSSHLVDF >scaffold_701512.1 pep chromosome:v.1.0:7:6121057:6126350:1 gene:scaffold_701512.1 transcript:scaffold_701512.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin beta-2 subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7MDT7] MAQSLELLLIQFLMPDNDARRQAEDQIKRLAKDPQVVPALVQHLRTAKTPNVRQLAAVLLRKRITGHWAKLSPQLKQHVKQSLIESITVENSPPVRRASANVVSVVAKYAVPAGEWPDLLTFLFQCSQSAQEDHREVALILFSSLTETIGNTFRPYFAELQALLLKCMQDESSSRVRVAALKAVGSFLEFTNDGDEVVKFRDFIPSILDVSRKCIASGEEDVAILAFEIFDELIESPAPLLGDSVKSIVQFSLEVSCNQNLESSTRHQAIQIVSWLAKYKYNSLKKYKLVIPVLQVMCPLLAESSDQDDDDDLAPDRAAAEVIDTLAMNLPKHVFLPVIEFASMHSQSTNLKFREASVTALGVISEGCFDLMKEKLDLVLNIVLGALRDPELMVRGAASFAIGQFAEHLQPEILSHYQSVLPCLLNAIEDTSEEVKEKSHYALAAFCENMGEEIVPLLDHLMGKLMAALENSPRNLQETCMSAIGSVAAAAEQAFNPYAERVLELMKFFMMLTKDEDLRARARSTELVGIVAMSVGRKGMEAILPPFIDAAISGFELDFSELREYTHGFFSNVAEILDDTFAQYLPRVMPLVFASCNLDDGSAVDIDESDDENVNDFGGVSSDDDAHDEPRVRNISVRTGVLDEKAAATQALGLFALHTKSSFAPYLEESLKIMDKHSAYFHEDVRLQAVTGLKHILAAAHAIFQNHNDGTGKANEILDTVMNNYIKTMTEDDDKEVVAQACMSVADIMKDYGYVAIQKYLSPLVDATLLLLTEKAACQQLEDESDIDDDDTGHDEVLMDAVSDLLPAFAKCMGSQFEPVFAKFFEPLMKYAKASCPPQDRTMVVASLAEVAQDMGPPISAYVDRLMPLVLKELGSPEATNRRNAAFCVGELCKNGGETALKYFGDVLRGISPLFGDSEPDLAVRDNAAGATARMIVVHPQLVPLNQVLPVFLRGLPLKEDQEESMAVYSCIYSLVSSSNPQIFSHVPELVKIFGQVLESPVEKVEVKAIVGRTFSHLISVYGNQLQPIISSLPPSQANVLAAFVSTS >scaffold_701513.1 pep chromosome:v.1.0:7:6127375:6132545:1 gene:scaffold_701513.1 transcript:scaffold_701513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGWGIFEGMLVIGSLCLLGSAGLWFLNRRLYKEYEEKRALVQIIFSVVFAFSCNLLQLVLFEIIPVLSREARMVNWKLDLFCLIVLLVFMLPYYHCYLMLRNTGVRRERAAVGALLFLTAFLYAFWRMGIHFPMPSDKGFFSMPQLVSRIGVIGVTLMAVLSGFGAVNLPYSYISLFIREIEESEIKSLERQLMQSMETCIAKKKKIILCQVEVERSLVSEEHQKGKSFFRRFVGTVVRSVQDDQKEQDIKLMEAEVEGLEELSKQLFLEIYELRQAKDAAAFSRTWKGHVQNLLGYACSIYCVYKMLKSLQSVVFKEAGTKDPVTMMISIFLQFFDIGVDAALLSQYISLLFIGMLIVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFLSSILLIRKSLRNEYRGIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQIDKHPID >scaffold_701514.1 pep chromosome:v.1.0:7:6133980:6135430:1 gene:scaffold_701514.1 transcript:scaffold_701514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRNGLSSGEGPNWILIAGGALLSTLSIRFGYKLKQSIDSKPPHSNATAGFKPNGTSDRGRSLGCCLHSNVSPCERNNDCCFHCTPGTENGEGNHATNEQTAVSSTSLPIVTVPASSYSKENAGMWASSPDHLEVPMKAYHHHSTCSDSPCVSESGSDIFSKREVIQKLRQQLKRRDDMIVEMQEQILELQNSLNAQMGHSSQVQTQLDATNRDLFESEREVQRLRKAIADHCVGHTGSNGWSGDVNSENNYESPENGIRDGERIEMLRKEVGELKEVIDGKEYLLRSYKEQKTELLQKVKELQQRLDSQLPNIL >scaffold_701516.1 pep chromosome:v.1.0:7:6142497:6142725:-1 gene:scaffold_701516.1 transcript:scaffold_701516.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHK7] MKNLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCSVSRSSRRVF >scaffold_701517.1 pep chromosome:v.1.0:7:6144050:6146369:1 gene:scaffold_701517.1 transcript:scaffold_701517.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-type carbohydrate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MED8] MAFSLLSPIPSSASLSRTLSSINNASSSLSPLYSLYHPKGILRTRTATSIFGASGNLRIDSMADNYNRQLVCRIGGCGGGVELDDMDECEIQRIGNHDEDDDEFIQVHASSAASPERWDVLGLGQAMVDFSGVVDDEFLEKLGLEKGTRKLINHEERGKLLQAMDGCSYKAAAGGSLSNTLVALARLGSQSIGDRPLNVAMAGSIGGDPLGSFYGTKLRRANVNFLSAPIKDGTTGTVIVLTTPDAQRTMLAYQGTSSVVNYDSCLASLIAKTNVFVVEGYLFELPDTIRTITKACEEAHRNGALVAVTASDVSCIERHYDDFWDIVGNYADIVFANSDEARAFCHFSAEESPISATRYMSHFVPFVSVTDGINGSYIGVKGEAIYIPPSPCVPVDTCGAGDAYASGILYGILRGVSDLKGMGDMAATIAATVVGQQGTRLRVQDAVELARSHAFRLNGSGVRTDVGS >scaffold_701519.1 pep chromosome:v.1.0:7:6154734:6155040:1 gene:scaffold_701519.1 transcript:scaffold_701519.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MED9] MRRFFRLAFSSSSSITCVPSSESFSDVATESLSDSEFTSSAIAGSATGMGIGSERPDLVLVDLPLRVLIIVICLPLPLKEELGLCPIK >scaffold_701520.1 pep chromosome:v.1.0:7:6155568:6156196:-1 gene:scaffold_701520.1 transcript:scaffold_701520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQIVPVYNKVEAQYVEMMVPLYSYGCEKKVKRALSHLKGIYSVKVDYYNQKVTVWGICNKLDVLAMVKKKRKEARFWNIEEHNNPESVDCIVIKDVDDCIVMKEDTRKTSVDTDKSSAFYTYSTSPPRFSIRPPLSLIRTSSFTWKAVKKVFSRSLSF >scaffold_701521.1 pep chromosome:v.1.0:7:6157335:6160060:1 gene:scaffold_701521.1 transcript:scaffold_701521.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 family protein [Source:UniProtKB/TrEMBL;Acc:D7MEE1] MNHLVRKSSVGYSALRSVTYLRQSAVTSPSPIFSAAASTVRHFTSAGYPSNSFQLTPPVNWGIRIVPERKAFVIERFGKYAKTLPSGIHFLIPFVDRIAYVHSLKEEAIPIPNQTAITKDNVSIHIDGVLYVKIVDPMLASYGVESPIYAVVQLAQTTMRSELGKITLDKTFEERDTLNEKIVEAINVAARDWGLQCLRYEIRDIMPPHGVRAAMEMQAEAERKKRAQILESEGERQSHINIADGKKSSVILASEAAKMDQVNRAQGEAEAILARAQATARGLVLLSQSLKETGGVEAASLRVAEQYITAFGNIAKEGTTMLLPSTASNPASMIAQALTMYKSLVINGPSRDHQETQALNETDLEELEDLDEKHISEGSNNRSGSTSFDTEKPGHTGEPRFSLQDRNKDPR >scaffold_701529.1 pep chromosome:v.1.0:7:6178572:6179708:1 gene:scaffold_701529.1 transcript:scaffold_701529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLKMKSLSFFFTILLSLSTFFTISDARKFKVGGSGAWVPNPPENYESWSGRNRFLVHDTLYFSYAKGADSVVEVNKADYDACNSKNPIKRVDDGDSEISLDRYGPFYFISGNEDNCKKGQKLAVVVISAKVPSTAQPPHAAAPGSSTPGGAHSPKSSSPVSPTTSPPGSMAPKSGSHGSPMTSPPGSMAPKSDTPVSPATSPPAPPKSTSPVSPSSAPMTSPPAPMAPKSSSTVPPSSAPMTSPPGPMAPKSSTPVSNSPAVSPSLAPGGSTSSSPSDSPSGSGMGPSGDGPSASGDITTPAGAPGQKKSSANGMAVMSITTVLSLVLTIFLSA >scaffold_701536.1 pep chromosome:v.1.0:7:6209070:6210115:1 gene:scaffold_701536.1 transcript:scaffold_701536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFHEAFAHPPEELNSPASEKCSKQPKLPEETLNDFLLRYPLNTFSMSFGQAAVLAYVRPSASFSIHQRLFCGFDDIYCLFFGSLNNLCQLNKQYGLTKTTNEAMFVIEAYRTLRDRGPYPADQVVKDLDGSFSFVVYDSKAGSVFTALGSDGGVKLYWGIAADGSVVISDDLDVIKEGCAKSFAPFPTGCMFHSEGGLMSFEHPMNKIKAMPRVDSEGVLCGANFKVDVYNRVNSIPRRGSEANWSL >scaffold_701538.1 pep chromosome:v.1.0:7:6212907:6214032:-1 gene:scaffold_701538.1 transcript:scaffold_701538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIVSAIVFVFNLIAFGLAVAAEQRRSTARVVQDTEVQYNYCVYDSDRATGYGVGAFLFSVVSQLVIMLASRCFCCGKPLKPGGSRALALVLFIVSWIFFLIAEICLLAGSVENAYHTKYRTMFMDNPPDCQTLRKGVFAAGASFVFFNAIVSQFYYFFYSSAAEASLSPY >scaffold_701544.1 pep chromosome:v.1.0:7:6236297:6237559:1 gene:scaffold_701544.1 transcript:scaffold_701544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPMILCNFSIHDGFNKVPRNISHAKGRSFGPSLLQNKLGFRACLIEHRQRRRLMGLGEIGMLASEKALNRRRRVVRVRGLKFNGGDNNGNGRILGNLALAIGLTYLSMTGQLGWILDAIVSVWLIVVIVPILGLGAFLWWAQRDIVQSNCPNCGYEFQIFKSATNDEVQLCPFCTQPFSVVDDKFVKEPVKFSNQTTAFGQDLNGFSSKPKPKKGKGSSTAVVDIEAEVTDAD >scaffold_701550.1 pep chromosome:v.1.0:7:6251601:6252579:1 gene:scaffold_701550.1 transcript:scaffold_701550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYDDDLEATRKNMWWRLYDLADVATKMYDEEQRKKKGKSRIVSDSEEEEDERFRFLEYVPRKIRSSLKYSQQNYDQNLNGASTSSSSLHHLPCFEPCSSLHYNTAETKSPPPNPNSQSYLTEISTSRKRPQRRNSSSGKFKKAKVTSLPRMARETPEWLVQVMIKMKKGEDPRLVFEKTLSPSDVNSTQSRLLLPFNMLTRNDFLTPSESQAMEREDIEEENVGVGTILVNEKSKMWGLRFKIWVMEKKNSGNGTLNYALNWGWNDVVKGNNLKDGHEISLWSFRCRGVLCFALEHRLPRSKRFAALMES >scaffold_701553.1 pep chromosome:v.1.0:7:6266643:6266833:-1 gene:scaffold_701553.1 transcript:scaffold_701553.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCU5] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_701555.1 pep chromosome:v.1.0:7:6272720:6273786:1 gene:scaffold_701555.1 transcript:scaffold_701555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKELPGKKRKKSKSSTDFQELGVGDDDDMLALKKQKAKSQWQDIKKMTKSIKELSEAMKKLQEVLLTKVDLLEVSLKKEIAKNEKFLCCFDCEEAKGNNSKTIFVKGFNNAKPRDEIKHELRNFFRSCGGVERVFVPTECKTGVPLGSDK >scaffold_701556.1 pep chromosome:v.1.0:7:6289771:6290587:-1 gene:scaffold_701556.1 transcript:scaffold_701556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKCDLCSGVARMYCESDQASLCWDCDGKVHGANFLVAKHTRCLLCSACQSLTPWKASGLRLGPTFSVCDSCVALKNAGGGGNRVSSESRGQEEVNSFESEEDRIREDDGGDGEAENQVVPWSAAAQPPPVMSSSSSDCGSGGSVTKRTRTRENSDLLCSDDEIGSSSSAQESNYSRPLKRSAFKSTVVV >scaffold_701562.1 pep chromosome:v.1.0:7:6322097:6322286:-1 gene:scaffold_701562.1 transcript:scaffold_701562.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MEH8] MRRTYSLCITGNQSRSSVTTVGSGGATGSAIMGGLMTYACRFENIGKHR >scaffold_701572.1 pep chromosome:v.1.0:7:6362647:6363193:-1 gene:scaffold_701572.1 transcript:scaffold_701572.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2S seed storage protein 3 [Source:UniProtKB/TrEMBL;Acc:D7MEI6] MANKLFLVCATLALCFLLTNASIYRTVVEFNEDDATNPMGPRQRCQKEFQQSQHLRACQRFMQKQTRQGRGGEDEFDFEDDMENPQGPQQGHQLLQQCCNELRQEEPVCVCPTLKQAARAVRLQGQHGPFQSRKIYQTAKYLPKVCRIQQVGECPFQTTIPFFPPYY >scaffold_701576.1 pep chromosome:v.1.0:7:6385156:6387481:1 gene:scaffold_701576.1 transcript:scaffold_701576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIPYVHLNLLLLLLPLINLQFPTLSLAQDYDEPKKDDTPPPGLARCNGVYMSYSSGGREKLYPRTTNATAQAWSFKSTAMIVNTGIEEVKGWQMFVGFHHREIIVSATGAVSSDGDFPVDATNGTTFIGSPNTDLKTSILTAGDYTQISTNIEITGTVFGGKGTATPMPKTIKLTNDGWQCPAATSKGDTMQVCCKRNPKFKAKEKLKTKFMPRQHGDLNIIYDVLQAYASSYMAQVTIDNTSPLGRLDHWNLTWEWMRGEFIYSMRGAYAAEKNTLECLSSKAGQFYGDLDFSQVANCQKKPIIKDLPAERKDDNVTGKLPFCCKNGTLLPTHMDPSKSKAIFQLQVYKVPPDQNRTAFFPPRNWKIDGIVNPQYKCGPPIRVDATPFPDPSGLQATTYAIASWQVICNITKPKPKAARCCVSFSAFYNDSAIPCNTCACGCKDIDTDTCNANARPLLLPPDTLLVPFDNRTLKAKVWAKQKHMAVPKKLPCPDNCGISLNWHLNSDYGNGWSARVTLFNWGNNAVEDWFGALDLGKAGLGYENIYSFNGSRVPPKNQTIFFQGLPGMNYLIGITNGTNPARDPQIPGKMQSVISFKKKNLGSLNIIGGDGFPKRVFFNGEECELPNYFPKKSSGKRLSSTRFLPSILLAITTVLAITDRFLTGV >scaffold_701581.1 pep chromosome:v.1.0:7:6401607:6405232:1 gene:scaffold_701581.1 transcript:scaffold_701581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTTSGSAAKPTRPARSSSLATRSSSNSGSLTSFQAMVELKQKILTSISKLADRDTYQIAVEDLEKTIQSLTPETLPMFLNCLYDSCSDPKPAVKKECLHLLSCVCSLHCDSTAAHLTKIIAQIVKRLKDSDSGVRDACRDTIGALSGIYLKGKEEGTNTGSASLAVGLFVKPLFEAMGEQNKVVQSGAAMCMARMVESAASPPITSFQKLCPKICKLLSNSSFLAKASLLPVVSSLSQVGAIAPQSLESLLESIHDCLGSTDWVTRKAAAETLTALASHSSGLIKEKTDSTITVLETWRFDKIKPVRESVTEALQLWKKISGKYVDGATDESKLSSGEQLGSEKNAEKRSNLADLMKNEASDGSTLSPDSAFKGKGCLPEKAVGLLKKKAPVLSDKDFNPEFFQRLERRQSVEVVVPRRCKNNYEEESGLDDLNAMGSSNHFKNTQADDKQVKGRFDGNGSQAGTSVDDKAGVVNGKEAPGNHAPVSNTDNQSEGSFTSNRGNWSAIQRQLLQLERQQTNLMNMLQEFIGGSHDSMVTLEGRVRGLERIVEDMARDLSISSGRRGNLTAGFGKYNSFANYPTGKYNGRAPGDRGSQPDGAMRGRMWNSDMADDWFIPPHAASRNGQAGPRRSPRSEQYENEHMGNGRRGWDNKASGTIRFGEGPSARSVWQASKDEATLEAIRVAGEDGAVPRPTRVAVAPEAEAMGDDENEGQERDPIWASWSNAMHSLRVGDIDAAYAEVLCAGDQHLIIKLMDRTGPSLDQMSNEIANEALNFIAQFLLDHNLYDICLSWSQQLLELVLQDGADTFGVPVELKTEILYNLQDACSTMDPPEDWEGPAPEQLVVQLASVWEIDLQQFDK >scaffold_701582.1 pep chromosome:v.1.0:7:6405736:6407162:1 gene:scaffold_701582.1 transcript:scaffold_701582.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MEJ6] MGVDKGKGLSENVSSSGDFFFRAGPSLTRDSAMTPSSSHVPFLSTRYPLRMPLRYGCALFGCSLPVPTSEKRYCCESHEIMATQMDAMSGACIFFDGLKTVDVSLCTALLHRTRGGTGVGVPTSPEVSSLFSHGSSALNSTCSLSLAKHVGAGRSSVKTLPKRYTLQWGDWGLLRYSHMGVYLFCLTLNASRSTLTRTAAAKEGSGLQVMFDSFVSSLLNIGKRKRKERIALMSGKRWGSLKITEGSKNSAESKSGVEMFKEIRDYIVKLGSEALTEDLEIEVRYKIQALSADAVAWFDQNEAADLDDWRAKANEFETALHELKRA >scaffold_701584.1 pep chromosome:v.1.0:7:6408260:6408895:1 gene:scaffold_701584.1 transcript:scaffold_701584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRIISTDKINHRASDERVRLEDREGVTEDNCLGAIRKLESLVNHGAKNSTKTNPLELRNCNKEQIKNTNTVSLISDRPIDVEIGSADDIEERISGICEALQGTKKALRESES >scaffold_701586.1 pep chromosome:v.1.0:7:6411744:6413252:1 gene:scaffold_701586.1 transcript:scaffold_701586.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein [Source:UniProtKB/TrEMBL;Acc:D7MEJ8] MRRRPGIGGLQKAAAARDQYRLLGENVAKLRTDMMKEQLATFRSQLEEFARKHKNDIRKNPAFRAQFHEMCSNIGVDPLASNKGFWAELLGIGDFYYELGVQIIEVCMLTRSHNGGLISLQELCNHLRQRRKKDREAVTEDDCLRAISKLKVLGSGFEVITIGKKKLVRSVPTELNKDHNQILELAQGQGFVIVEEVQRRLSWTSGRVIDALETLLEEGLAMIDNGHKDGKCRYWFPCVSSVYSSIGSDT >scaffold_701588.1 pep chromosome:v.1.0:7:6414931:6417755:1 gene:scaffold_701588.1 transcript:scaffold_701588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSPCVFFTTTLLLLLSISSVKLPFHPRDLLPLLPRQVSWPVLNSLNTAVDLLPTFIGSASVKNDAVEWKGACFYENKAWLELNNKSGSEFGGGTLHIKVDKAHSWTCMDIYVFVTPYRVTWDWYFVSREHTMEFKEWEGKAEYEYVKQKGVSIFLMEAGMLGTLRALWDVFPLFTNTGWGENSNIAFLKNHMGANFYARPKPWVTNITTDEIHSGDLLAISKIRGRWGGFETLEKWVSGAYAGHTAVCLRDSEGKLWVGESGNENEKGEDVIAILPWEEWWEFEQTKDDSNPHIALLPLHPDYRAKFNVTAAWEYARSMDGKPYGYHNLIFSWIDTISGNYPPPLDAHLVASVMTVWSKIQPDYAANMWNEALNKRLGTEGLDLPDVLVEVEKRGSSFDELLAVPEQDDWIYSDGKSTSCIAFILEMYKEAGLFDPISSSIQVTEFTIKDAYMLKFFENNASRFPKWCNDNDDVKLPYCQILGKYRMELPGYNTMEPYPHMNEHCPSLPPKYHRPKNC >scaffold_701590.1 pep chromosome:v.1.0:7:6428481:6431337:1 gene:scaffold_701590.1 transcript:scaffold_701590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQPPPASNGSAAGSGQIPSDQQAYHQQQQSWMMQHQQQQQGQPPAGWNQQSAPSPGQPQQQQYGGGGGSQNPGSAGEIRSLWIGDLQPWMEENYLMNIFSLTGDATSAKVIRNKQSGYSEGYGFIEFVNHATAERILQAYNGTTMPSSDQAFRLNWAQLGAGERRQAEGPEHTVFVGDLAPDVTDHMLTETFKAVYSSVKGAKVVTDRTTGRSKGYGFVRFGDESEQIRAMTEMNGQYCSSRPMRTGPAANKKPLTMQPASYQNTQGNQGESDPTNTTIFVGALDQSVIEDDLKSVFGQFGELVHVKIPAGKRCGFVQYANRACAEQALSLLNGTQLGGQSIRLSWGRSPSNKQTQPDQAQYGGGGGGGYYGYPPQGYEAYGYAPPPQDPNAYYGGYAGGGYGNYQQPGGYQQQQQ >scaffold_701604.1 pep chromosome:v.1.0:7:6480944:6482553:1 gene:scaffold_701604.1 transcript:scaffold_701604.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g26860/F10M23_200 [Source:UniProtKB/TrEMBL;Acc:D7MEL6] MAAPAVEATVATALRSVILRARKAAEQVGRDPERVRVLAVSKTKPVSLIRQIYDAGHRCFGENYVQEIIDKAPQLPEDIEWHFVGHLQSNKAKTLLTGVPNLAMVHGVDGEKVANHLDRAVSTLGRHPLKVLVQVNTSGEVSKSGIEPSSVVELARHVKQHCPNLVFSGLMTIGMPDYTSTPENFRTLSNCRADVCKALGMAEDRFELSMGMSGDFELAIEMGSTNVRVGSTIFGPREYPKKAT >scaffold_701618.1 pep chromosome:v.1.0:7:6519155:6521030:1 gene:scaffold_701618.1 transcript:scaffold_701618.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:D7MF60] MSDLDRQIEQLKRCEPLSESEVKALCLKAMEILVEESNVQRVDAPVTLCGDIHGQFYDMMELFKVGGDCPKTNYLFMGDFVDRGYYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSSNVWRYCTDIFDYMSLSAVVENKIFCVHGGLSPAIMTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVTSFNHSNNIDYIARAHQLVMEGYKWMFDSQIVTVWSAPNYCYRCGNVASILELDENLNKEFRVFDAAQQDSRGPPAKKPAPDYFL >scaffold_701619.1 pep chromosome:v.1.0:7:6521597:6522842:-1 gene:scaffold_701619.1 transcript:scaffold_701619.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit H family protein [Source:UniProtKB/TrEMBL;Acc:D7MF61] MAFLVTSLIFAVVGIIASICTRICFNKGPSTNLLHLTLVITATVCCWMMWAIVYIAQMKPLIVPILSEVE >scaffold_701622.1 pep chromosome:v.1.0:7:6528920:6532713:-1 gene:scaffold_701622.1 transcript:scaffold_701622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVVVSDPWLQSQFTQVELRTLNSKYVSMKNQSGKITIEYLPPLLAKLKALSATFKEDEIKGILGELGSDTSTDVSFEEFLKIYLNLLSKAAEKSGGHKNSSSFLKSCTTTLLHTIYQSEKGSFVQHINRYLKDDPFLKQFLPLDPHSNELYELVKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAVGCSVVNIGTQDLAEGRPHLVLGLISQLIKIQLLADLNLKKTPQLVELVEDSDDVEELLRLPPEKVLLKWMNFHLKKGGYKKTVSNFSADLKDAQAYALLLNVLAPEHCDPATLDAKDPLERAELVLSHAERMNCKRYLTAEEIVEGSPTLNLAFVAQIFHERNGLNTDGKYSFAEMMTEDVQTCREERCYRLWINSLGIGSYVNNVFEDVRNGWILLEVLDKISPGSVNWKHASKPPIKMPFRKVENCNQVVKIGKQLKFSLVNVAGNDIVQGNKKLILGLLWQLMRFHMLQLLKSLRSRTQGKEMTDADILSWANRKVRTMGRKFQIESFKDKSLSSGLFFLNLLWAVEPRVVNWNLVTKGETDDEKRLNATYIVSVARKLGCSVFLLPEDIVEVNQKMILILTASIMYWSLQRHSPEISDSSSTQSTTTTCTSTAASPAPSVTEEEEVSSLRSEVSSLAVDDNDADAVSDITTISEEASIE >scaffold_701624.1 pep chromosome:v.1.0:7:6541080:6542736:-1 gene:scaffold_701624.1 transcript:scaffold_701624.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MF65] MIRVSTCVNRRLCYQYSSFAGYGRSENPRLSKNPPAANIPIPHRSNPEPKGQDLDFVNVAHSHLIQSDWDKLNKLSDHLDSFRVKNVLLKIQKDYLLSFEFFNWAKTRNPASHSLETHAIVLHTLTKNRKFKSAESILRDVLVNGGVDLPAKVFDALLYSYRECDSTPRVFDSLFKTFAHLKKFRNATDTFMQMKDYGFLPTVESCNAYMSSLLGQGRVDIALRFYREMRRCKISPNTYTLNMVMSGYCRSGKLDKGIELLQDMERLGFRATHVSYNTLIAGHCEKGLLSSALKLKNMMGKNGLQPNVVTFNTLIHGFCRAVKLQEASKVFGEMKALNLPPNTVTYNTLINGYSQQGDHEMAFRFYEDMVCNGIQRDILTYNTLILGLCKQAKTRKAAQFVKELDKENLVPNSSTFSALIMGQCVRRNADRGFELYKSMIRSGCHPNEQTFNILISAFCKNEDFDGAAQVLREMVRRSIPLDSRTVHQVCNGLNHQGKDQLVKELLQEMEGKKFLQEPLDNCEAECINQNVFIKDTVS >scaffold_701625.1 pep chromosome:v.1.0:7:6543439:6543664:1 gene:scaffold_701625.1 transcript:scaffold_701625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCYPSSPRKLAMTVAFFASGAALFAIGIHFSYLNVAPQQARTKARNDFVKERLRQKQGK >scaffold_701626.1 pep chromosome:v.1.0:7:6544816:6545208:1 gene:scaffold_701626.1 transcript:scaffold_701626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIYFGEGLTLLGERLCQIAWLTNTGFTYDLRNLSKVCSALILLILFLFAWFGF >scaffold_701628.1 pep chromosome:v.1.0:7:6553488:6553760:1 gene:scaffold_701628.1 transcript:scaffold_701628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVSGADDYGGVFEIGNMGELSLSDKVASLKMRIGLTIQIPAHRQMLSGKAGVLEDNRSLAHYNDGAGEILTVSW >scaffold_701629.1 pep chromosome:v.1.0:7:6554448:6555652:-1 gene:scaffold_701629.1 transcript:scaffold_701629.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:UniProtKB/TrEMBL;Acc:D7MF70] MATNDSSKAIDINGNLESDSNLNTDGDEATDNDSSKALATIPAPAVCLFRFAGDAAGGAVMGSIFGYGSGLFKKKGFKGSFADAGQSAKTFAVLSGVHSLVVCLLKQIRGKDDAINVGVAGCCTGLALSFPGAPQALLQSCLTFGAFSFILEGLNKRQTALAHSVSLRHQTGLFQDHHRPLPLSLALPIPEEIKGAFSSFCKSIAKPRKF >scaffold_701632.1 pep chromosome:v.1.0:7:6569645:6570653:1 gene:scaffold_701632.1 transcript:scaffold_701632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNKKKTRSVSLFIISLNPFIALCDGSYPDSPTVSLIPDSHGSSPHTIIPISSEGAKLIDFVLNVVRKEAENCDCLQDPYSTILVMVLAAPKTFLISGVIMVLGLCLYPFLTLVKENLS >scaffold_701633.1 pep chromosome:v.1.0:7:6572662:6573045:1 gene:scaffold_701633.1 transcript:scaffold_701633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHTVLVSDLSNHSILVVDELRVIIVDDTVDIWPHDKRNLLQITKYIYFSVAVSIDKRWRSYAEVKRDESLSNGSLANVLKFLVYVHKRYEKKLDSKDLRLLIPDPYQQYCF >scaffold_701634.1 pep chromosome:v.1.0:7:6573477:6576989:1 gene:scaffold_701634.1 transcript:scaffold_701634.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 20 [Source:UniProtKB/TrEMBL;Acc:D7MF75] MNPQANDRKEFHGDCSATGDLMAKHDSAGGGGNGGGGARYKLMSPAKLPISRSTDITIPPGLSPTSFLESPVFISNIKPEPSPTTGSLFKPRPVHISASSSSYTGRAFHQNTFTEQKSSEFEFRPPASNMVYAELGNHRSEPPVQFQGQGHGSSHSPSSLSDAAGSSSELSRPTPPRQMTPTSSDIPAGSDQEESIQTSQNDPRGSTPSILADDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITDIIYKGTHDHPKPQPGRRNSGGLGMAAQEERLDKYPSSTGRDEKGSGAYNLSHPNEQTGNPEIPPVSASEDGGEAAASNRNKDEPDDDDPFSKRRRMDGAMEITPLVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTAPNCQVRKHVERASHDPKAVITTYEGKHDHDVPTSKSSSNHDIQPRFRPDETDTISLNLGVGISSDGPNHASNEHQHQNQQLVNQTHPNGVNFRFVHASPMSSYYASLNSGMNQYSQRETKNETQNGDISSLNNSSYPYPPNIGRIQSGP >scaffold_701643.1 pep chromosome:v.1.0:7:6607265:6609854:-1 gene:scaffold_701643.1 transcript:scaffold_701643.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration 8 [Source:UniProtKB/TrEMBL;Acc:D7MF83] MSDVETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDGQPELFIHIIPDKTNNTLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVVVVTKHNDDEQYVWESQAGGSFTVTRDTSGEVLGRGTKMTLYLKEDQLEYLEERRLKDLVKKHSEFISYPISLWVEKTIEKEISDDEEEEEKKDEEGKVEEVDEEKEKEEKKKKKIKEVSHEFDLMNKQKPIWMRKPEEINKEEYAAFYKSLSNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKPNNIKLYVRRVFIMDNCEEIIPEYLGFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAIGQLKEFEGKKLVSATKEGLKLEETEDEKKKKEELKEKFEGLCKVIKDVLGDKVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENSIMDELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDEPNTFGSRIHRMLKLGLSIDDDAGETEADADMPPLEDDAEAEGSKMEEVD >scaffold_701646.1 pep chromosome:v.1.0:7:6620735:6621713:-1 gene:scaffold_701646.1 transcript:scaffold_701646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHNGPYNQSPGSYVSLQNFPYHSFSLAGASEIPSFSSQPSLVPSHPEILSFSSEPAHAQTQPEESALDRRAKRLWSNPDDLVLISGCWIDMNPTSKTTSNKRKADDASPSVGSTIGEEVSRPPGIKAMKKLRKKGKEKAAPPAEDNKILEAKQKDMELKKQLQQMSLLDTLIAKKETLDEEEIALKKKLIAEVYNSL >scaffold_701647.1 pep chromosome:v.1.0:7:6623617:6627032:1 gene:scaffold_701647.1 transcript:scaffold_701647.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MF87] MPPNIYRLSFFLSLLCFFFIPCFFSLDEQGQALLAWKSQLNISGDAFSSWHVADTSPCNWVGVKCNRRGEVSEIQLKGMDLQGSLPVTSLRSLKSLTSLTLSSLNLTGVIPKEIGDFIELELLDLSDNSLSGDIPVEIFRLKKLKTLSLNTNNLEGRIPMEIGNLSGLLELMLFDNKLSGEIPRSIGELKNLQVFRAGGNKNLRGELPWEIGNCENLVMLGLAETSLSGRLPASIGNLKRVQTIAIYTSLLSGPIPDEIGYCTELQNLYLYQNSISGSIPNTIGGLKKLQSLLLWQNNLVGKMPSELGNCPELWLIDLSENLLTGNIPRSFGKLENLQELQLSVNQISGTIPEELANCTKLTHLEIDNNLISGEIPSLMSNLRSLTMFFAWQNKLTGSIPQSLSQCRELQAIDLSYNSLSGSIPKEIFGLRNLTKLLLLSNDLSGFIPPDIGNCTNLYRLRLNGNRIAGSIPPEIGNLKNLNFVDISENRLVGTIPPAIYGCKSLEFLDLHSNSLSGSLLGTLPKSLKFIDFSDNSLSGPLPPGIGLLTELTKLNLAKNRFSGEIPRQISTCRSLQLLNLGENAFSGEIPDELGQIPSLAISLNLSCNGFVGEIPSRFSDLKNLGVLDISHNQLTGNLIVLRDLQNLVSLNVSFNDFSGDLPNTPFFRRLPLSDLASNKGLYISNAISTRSDPTTRNSSVVKLTILILIVVTAVLVLLAVYTLVRARAAGKQLLGEEIDSWEVTLYQKLDFSIDDIVKNLTSANVIGTGSSGVVYRITIPSGESLAVKKMWSKEESGAFNSEIKTLGSIRHRNIVRLLGWCSNRNLKLLFYDYLPNGSLSSRLHGAGKGGGVDWEARYDVVLGVAHALAYLHHDCLPTIIHGDVKAMNVLLGPHFEPYLADFGLARTVSGYPNTGIDLSKRTNRPPLAGSYGYMAPEHASMQRITEKSDVYSYGVVLLEVLTGKHPLDPDLPGGAHLVKWVRDHLAEKKDPSMLLDSRLNGRTDSIMHEMLQTLAVAFLCVSNKANERPLMKDVVAMLTEIRHIDVGRLETDKIKTGGCGSKEPQQLMSTEKIINSHGSSNCSFAFSDDSV >scaffold_701653.1 pep chromosome:v.1.0:7:6643337:6644186:-1 gene:scaffold_701653.1 transcript:scaffold_701653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLPPLPPPPQLQPPETPSWETPSSVWYTPRTTPWRTPQSTQSTPVGQMVLTKPATVRFNGLDAESRKDRVILRQLRSSRTSLWIWCVAGLCFVFSLLLIFFAITTLIVFLAIRPRIPVFDIPNANLHTIYFDSPVFFNGDLSMLVNFTNPNKKIEVKFEKLRIELFFFNRLIAVQVVQPFSQKKRETRLEPIRLISSLVGLPVNHAVELKRQLENNKIEYEIRGTFKVRAHFGMIHYSYSLHGRCQLQMTGPPTGILISHNCTTKKR >scaffold_701665.1 pep chromosome:v.1.0:7:6681972:6684008:-1 gene:scaffold_701665.1 transcript:scaffold_701665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETEATGATDDSAPAVASETAADATVNTAIGVVESVEGAIEGAEKWVDDFQRTVKESTDSAMRSARSLRENSTSQFRSIQDFIPHALTQYKTYENAFFSKVTEELIYAKEHPAATVGIGVAAGLVLMRGPRRFLFRHTLGRFQSEEAQFLKAEKHVQELNMSVDLMKKESRKLLERTALAEKDMKRGLSELMNSGNDIHRLAKSVHKVECEAADLMDGLRQIPGREAIKLRAEVASMTSLLRQKRIALNKRIMSMSELGVPV >scaffold_701670.1 pep chromosome:v.1.0:7:6704701:6705046:1 gene:scaffold_701670.1 transcript:scaffold_701670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNGHTVMSVAHLSAEIWQRLRRIPPSDRISSREMLELVCFFPLHQLGRFALCLLTFLCLPSPGLLYPETDEDDRDHAFVYGSSSSSIATYQHHFHLHFE >scaffold_701672.1 pep chromosome:v.1.0:7:6707255:6709511:1 gene:scaffold_701672.1 transcript:scaffold_701672.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor P (EF-P) family protein [Source:UniProtKB/TrEMBL;Acc:D7MFA7] MRGLHHLKRISIVRSLFTFSNTTSPGALTTRRSLYSLSRLLSSTPNAAGGCYRETPSLQSPWSAVQRRGVKVNAIQLRAGNVIERTGRTFRVVEAEHKQQGRGGASIQVELRDVDTGNKLNLRFGSEESVEKVFVEEKSFTCLYTEGDTAFLIEPNTFEQVEVPLDIFGKAAVYLKEEMKVQLQLYDGRALSASIPKHITCTVVETQDPMKGLTSAPRYKRALLDNGSTIQVPSYLEAGEKIVINTEDDSFVKRDNK >scaffold_701674.1 pep chromosome:v.1.0:7:6714624:6715238:-1 gene:scaffold_701674.1 transcript:scaffold_701674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRDGNLISTTKEEGGVVGVSKSSEKEPSSSSSSISIYSSDLTEDASSSSPNGPFDDLSDLISQLPFTHVKKGGLSKYYKGKSQSFTSLATVTSLQDLVKRGSRTKSCCKRDYLYGPKATISMKATRTSSSHSNSRLTLKPFHDSPKSVQ >scaffold_701677.1 pep chromosome:v.1.0:7:6722977:6725506:-1 gene:scaffold_701677.1 transcript:scaffold_701677.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol oxygenase 4 [Source:UniProtKB/TrEMBL;Acc:D7MFB2] MTISVEKPIFEEGSAFKKSGDKIGEFKLDGGFSMPKMDTEDDEAFLAPEMNAFGRQFRDYDVESERQKGVEEFYRLQHINQTVDFVTKMRAEYGKLDKKVMSIWECCELLNEVVDESDPDLDEPQIQHLLQSAEAIRKDYPNEDWLHLTALIHDLGKVITLPQFGGLPQWAVVGDTFPVGCAFDESNVHHKYFIDNPDFHNKAYNTKNGIYSEGCGLNNVMMSWGHDDYMYLVAKENGSTLPSPGQFIIRYHSFYPLHTAGEYTHLMNEEDKENLKWLHVFNKYDLYSKSKVHVDVEKVKPYYMSLIKKYFPENLRW >scaffold_701678.1 pep chromosome:v.1.0:7:6728637:6730855:-1 gene:scaffold_701678.1 transcript:scaffold_701678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFRCQRWAKCAYIVVFFHCTRTAGEYTHGMNEEEKENMKLLYTFSNKYSSYTAKAKNSHLATKDDEAFLAPEMNAFSRQFRDYDAESERQKSVEAFYKAQHINQTVAFVTKMRADFGKLDKKVMSIWECCELLNEVVDESDPDLDEPQIQHLLQSAEAIRKDYPNEDWLHLTALIHVLFRRWWWSVEKKISGHAGFAKGLSGRGT >scaffold_701680.1 pep chromosome:v.1.0:7:6736323:6738343:1 gene:scaffold_701680.1 transcript:scaffold_701680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLARKWRRTRRDEDDDKFVLPTSDDVDSRPIDTQEQEEHVRSLEEAHAQQSRQWRSVFVVLLICYGAFLFYSILQQFVSPWELRYHAYFMEDLKSWMVISAEWIAIMACCLSIVGLRDKKNDHRRWFWYSCVVGSALTIFWLYYLLRLPKFRWDAIWLPFGPLCGAGICLYVDHLLEESSEEVKKLRNYMYAYKAR >scaffold_701682.1 pep chromosome:v.1.0:7:6740074:6741087:-1 gene:scaffold_701682.1 transcript:scaffold_701682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDEAKASDFSKGLLKSEELYKYILETSVYPREPEVLKELRNITHNHPQAGMATAPDAGQLMGMLLKLVNARKTIEVGVFTGYSLLLTALTLPEDGKVIAIDVNRDSYEIGLPVIKKVGVEHKIDFRESEALPALDELLNDKANEGGFDFAFVDADKVNYWNYHERLIRLIKVGGIIVYDNTLWGGSVAEPDSSTPEWRIEVKKATLELNKKLSADQRVQISQAALGDGITICRRLY >scaffold_701687.1 pep chromosome:v.1.0:7:6773841:6775436:-1 gene:scaffold_701687.1 transcript:scaffold_701687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRDDYIRTNHDAVSSKWQGFARSMLLRKPVSETAELRKIFADYSLISRDLGPKILIGASDKENFRKGKDRVGRYRVQGAFPGLFELGHDHGRKDDVLVANLGQAESESIRSRLRSYTRSFAHHDFLKQGLSQTILPTTQNKSGNRTEEKKSDSAEEREVSSDAAEKEGNSLPSLLRLSRSRPQPVSDRHDDIVDGSDSASVCGVLLEDGTTCSTTPIKGRKRCSEHKGKRLSRVSPEKHIPCEAPTVRECEETDNICGVILPDMVRCRTKPVSRRRRCEDHKGMRVNAFFFLLNPTERDKAVKEDKSKSETCTGMNQEDPSPGLFCEATTKNGLPCTRSAPEGSRRCWQHKDKTLNHGSSENVQSATVSQVICGFKLYNGSVCEKSPVKGRKRCEEHKGMRITS >scaffold_701691.1 pep chromosome:v.1.0:7:6793138:6793727:1 gene:scaffold_701691.1 transcript:scaffold_701691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLIPATMRPRGSWFFNRDPLHLFGEAIHTKLREFTTNDGRISSSSSKLLYGGGMATDRGSLSPFGTRCPGSPEVFCSGEFLQFLDGGSIVFYD >scaffold_701696.1 pep chromosome:v.1.0:7:6811069:6813832:-1 gene:scaffold_701696.1 transcript:scaffold_701696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFISSLIVGFAQVLCESMNMADRRGHNTDLRQAITDLETAIGDLKAIRDDLSLRIQQDDLEGRSCSNRAREWLSAVQATETKAASILVRFRRREQRTRMRRRCLGCFGCADYKLCNKVSATLKSIGELRERSEDIKTDGGSIQQTCREIPIKSVVGNTTMMEQVLGFLSEEEERGIIGVYGPGGVGKTTLMQSINNELITKGHQYDVLIWVQMSREFGECTIQQAVGAQLGLSWDEKDTGENRALKIYRALRQKRFLLLLDDVWEEIDLEKTGVPRPDRVNKCKMMFTTRSMALCSNMGAEYKLRVEFLEKKYAWELFCSKVGRKDLLESSSIRRLAEIIVSKCGGLPLALITLGGAMAHRETEEEWIHASEVLTRFPAEMKGMNYVFALLKFSYDNLESDLLRSCFLYCALFPEEHSIEIEQLVEYWVGEGFLTSSHGVNTIYKGYFLIGDLKAACLLETGDEKTQVKMHNVVRSFALWMASEQGTYKELILVEPNMGHTEAPKAENWRQALVISLIDNRIQTLPEKPICPKLTTLMLQRNSSLKKISTGFFMHMPILRVLDLSFTSITEIPLSIKYLVELCHLSMSGTKISILPQELGNLRKLKHLDLQRTQFLQTIPRDAICWLSKLEVLNLYYSYAGWELQSFGEDEVEELGFDDLEYLENLTTLGITVLSLETLKTLYEFGALHKHIQHLHIEECNGLLYFNLPSLTNHGRNLRRLSIRSCHDLEYLVTPIDVVENDWLPRLEVLTLHSLHKLSRVWRNPVSEEECLRNIRCINISHCNKLKNVSWVPKLPKLEVIDLFDCRELEELISEHESPSVEDPTLFPSLKTLKTRDLPELKSILPSRFSFQKVETLVITNCPKVKKLPFQETNMPRVYCEEKWWNALEKDEPNKELCYLPRFVPN >scaffold_701699.1 pep chromosome:v.1.0:7:6823310:6824301:1 gene:scaffold_701699.1 transcript:scaffold_701699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETVKERGDGSAELVKSMADKHVGLVRPAAKYYSAIKDAMVCGKGRYTLVKDVDEVENGVYDKPLPCFGCGIGWFSFLLGFVFPFLWYYATFLYFGNYYRKDPRERAGLAASAIAAMGFSLMLLVIVVFRWF >scaffold_701705.1 pep chromosome:v.1.0:7:6842708:6843785:-1 gene:scaffold_701705.1 transcript:scaffold_701705.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7MFE0] MRAITALFFLFCFVAPSALAQLRQGFYGRSCPRAESIVANVVASRFRRDRSITAAFLRMQFHDCFVRGCDASLLIDPRPGRPSEKSTGPNASVRGYEVIDEAKRQLEAACPRTVSCADIVTLATRDSVALAGGPRYSVPTGRRDGLRSNPGDVNLPGPTIPVSASIQLFAAQGMNTNDMVTLIGGGHSVGVAHCSLFRDRLADPAMDRSLNARLRNTCRAPNDPTVFLDQRTPFTVDNAIYGEIRRQRGILRIDQNLGLSGSTRGIVSSFASSNTLFRQRFAQAMVKMGTIRVLTGRSGEIRRNCRLFNNGR >scaffold_701707.1 pep chromosome:v.1.0:7:6850092:6851095:-1 gene:scaffold_701707.1 transcript:scaffold_701707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKLKSISSKSEEQPTLFTSGDRSCYRLSSPPTLVVEGVNIILSRIMYLVAPSTRSSHSRPRLSIRLTSRTRKMAKAYNGQDVNGTA >scaffold_701715.1 pep chromosome:v.1.0:7:6893446:6894754:-1 gene:scaffold_701715.1 transcript:scaffold_701715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLINRLLKPSVCKNVIRSHNKNVRMFSSSKSTYPYVLIDHLLKTTKHCSNDQVYYADKKLVIKDQGLAEEVREMMTVGFSHKDGWRVHLEKSEYSSKSLMVKNKKFLSVSPSGKYLWYLDLHFDEDNVQPNLSYLLFKEDPLQKLYKTDLEDYIWRSRTDHLVESPSGEHFLVKWFCEEDRDDEKIIQKTHGFMVFRADTICGELINTQDIGNLCIFLGHGEAFCVPASSSPGLIPNSIYYVGCNFGVHDIATNTTTNFYTKDKVPLRSTEFPYWPLPLSY >scaffold_701716.1 pep chromosome:v.1.0:7:6907086:6907864:-1 gene:scaffold_701716.1 transcript:scaffold_701716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRHKNVRLFSTPAYPYLLIDHILNTVHSSEGRFSLHYGFYCPSHLKEEVILIKDKELVDEVRHAMTCACYQSGVRFHMPKQTFLSIHYQDPSDPKSKPVIVDLPPLPKGEYLCSLDLHFKEGDHPEFNHMWNEDLPRSLLHELHELNSLLRTDHLVESPSGEKFLVKW >scaffold_701717.1 pep chromosome:v.1.0:7:6908489:6909938:-1 gene:scaffold_701717.1 transcript:scaffold_701717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLSINRLFKPSVCKNLLRHKNVRLFSSTSHTNRTDGEMSVTSKLLTPIDFSFLSTPIYPFLLIDYVLNLPEYSPDGRVIRTSKTGDPAQVPKTTSILIRDKKLAEEVRHAMTVGFSHHGLGFKLSENSLDILIDDQESTPSCVHLPSLPTGFRIQTPGGNYLCCLDLYFKEGDQAEFIPLFDIIPESVGPELTPLNSSSRTDHWVESPSGEQFLVKWYGHNLMRNHNKVETLVHMASQFMVFRAEESWEEKYVYYTEDIGDLCIFLGHSEAFCIQASSCPGLKPNCIYFVGYNFGVYDLTTKTCTIFYTEEDVPLRNLEFPYWPPPVSLYS >scaffold_701722.1 pep chromosome:v.1.0:7:6920293:6923853:-1 gene:scaffold_701722.1 transcript:scaffold_701722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENPIRMSGSNERWSNSRKVSVPNRSGSAPPSMEGSFLAVDNLLSRQGGSGFNNLKLPSYGFEEPVATHPSSKHSLNRIPSPPIYYPTDYQVIDNRVGRFRSNQGLNKVSSPIHLSQGKLPTHKEVSEDESSQQLSVTSVSDRTNGLDISPGSQSLADFRQDDNSSGPTLQHSRSNSSNGEVNTADESGNFSEMSDDVMVKDNAASTARASIGNEKNPDESTIISKMKNTNISGPGTAKYPQEPRYAQPERQLHQQQNNATWIQSGSKMGSNGVNDAVIGTGQFHYGKPYKFSGDGQPVLQSSGFTPPLLYTATQTAYMTSPAHVYNMQSPPVYSPQYGYGPYTNMIPQFMPGYPSHGSVPVIVSPDFIPQLSGPSAGSVVHGGEMQYAEKLYVPPGQPSFPDPMYMQYCQQSFGQMESLAPRNHTNAPESHKDDPKFLRQIRGPSNSNMGRTGMGVNYYGIQPNMGIMVQYLPTQLGPPLSPGPVPYVEAYPGWQPQGSLEGANGPRLCNFLEELKSGKGRRFDLSDITGHIVEFSADQHGSRFIQQKLENCKLEEKAAVFREILPHACKLMTDVFGNYVIQKFFEYGNSAQRKELADQLMGQIVPLSLQMYGCRVIQKALDVIEPDQRVRLARELDGQVMRCVRDQNGNHVIQKCIENIPADRVGFMLHAFRGQVSSLSMHPYGCRVIQRLLERCSHDHQCRFITEEILESVCVLSKDQYGNYVTQHVLEKGTSEERERIVRKLSGHIVQLSLHKFASNVIEKCLEYGGRIERDLIIKEIAGPDESYNSLLMMMKDQYGNYVVQKIFETCTADQRATLFSRVRMHASALKKYTYGKHIVTRLEQPFIEENQELR >scaffold_701726.1 pep chromosome:v.1.0:7:6946677:6947073:-1 gene:scaffold_701726.1 transcript:scaffold_701726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDICKEGSSISRNSSVGYYDERRPEGIPFKWEMQPGTPINTHPQEDVPPLSPPPAMLSLGLPKPSISIEEPKNFVFPAKLKLKLRNWKHLHCKRYFSRLTNKTVLSSICLYHNKR >scaffold_701737.1 pep chromosome:v.1.0:7:6995853:6997627:1 gene:scaffold_701737.1 transcript:scaffold_701737.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abc transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7MFX4] MESYTLSTSSISYAKPLSPLLLTAEQPSYILRNITLTSHPSQILAIVGPSGAGKSTLLDILAARTFPTSGSMHLNSVPINPSSYRKISSYVPQHDTFFPLLTVSETFTFSASLLLPKIPSKVSNVVVSLLKELNLTHLAHTRLGQGLSGGERRRISIGLSLLHDPEFLLLDEPTSGLDSKSAFDVVQILKFIATSRQRIVILSIHQPSFKILSLIDRVLLLSKGTVVYHGRLDLLETFLLSKGFTVPPQLNSLEYAMEILQNLHDPYENANIALPDHCPESKKQNNKQSIVRHKSSRINEISLLSSRFWKIIYRTRQLLLTNFLEALVVGLVLGTIYLNIGTGKEGIKKRFGLFAFTLTFLLSSTTQTLPIFIDERPILLRETSSGLYRLSSHILANTLVFLPYLLLIAIIYSVSLYFLVGLCFSWQAFAYFVLVIWIIVLMANSFVLFLSSLAPNYIAGTSLVTILLAAFFLFSGYFISKESLPKYWLFMYFFSMYKYALDALLINEYSCLHNKCLVWFEEASVNSCLVTGGDVLDKNGLHERQRWFNVYMLLGFFVLYRVLCFLILLKRVSGSKR >scaffold_701742.1 pep chromosome:v.1.0:7:7022985:7024781:-1 gene:scaffold_701742.1 transcript:scaffold_701742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGWGIYEGMLVTGSLCFLNRREYEEKQALVRIIFSFVSLCFFWRMGIHFPMPSDKDLVTCLLDYFILKHHFILKGFFSMPQLVSRIGVIGVTLMAVLSGFGAGHVQNLLGYACSILCEVLAFSNFNSMLS >scaffold_701749.1 pep chromosome:v.1.0:7:7040106:7041523:-1 gene:scaffold_701749.1 transcript:scaffold_701749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLHIYDVTNSGSEKTNNTIVQINRFFKDGIGLGGIFHSAIQVYGNDEWSYGYCEQGGTGVFSCPSGKNPMYTYREKIVLGKTDCTIFLVNQILRELSREWPGHTYDLLSKNCNHFCDVLCDRLGVPKIPGWVNRFAHAGDTALEVAGNTAMRVKQAKTELVSASKVAYRFLSNVTSNITNGSNGPNGSNGSPQRPGTLNNSDNENFRLQGSWLKGILNTAKPSTSTEIGNKEEDANHTIANQKKQSRDSDVLLFQ >scaffold_701753.1 pep chromosome:v.1.0:7:7057934:7059998:1 gene:scaffold_701753.1 transcript:scaffold_701753.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7MFY8] MDPTTAPLLTHGGEVEEDYAPARSWIDVKRVLSTESAKMWMIAAPVGFNIICQYGVSSVTNIFVGHIGEVELSAVSISLSVIGTFSFGFLLGMGSALETLCGQAYGAGQVNMLGVYMQRSWIILFVSCLFILPIYIFATPVLRLLGQAEEIAVPAGQFTLLTIPQLFSLAFNFPTSKFLQAQSKVVAIAWIGFVALFLHVIMLWLFIIVFGWGTNGAALAFNITNWGTAIAQIVYVIGWCNEGWTGLSWLAFKEIWAFVRLSIASAVMLCLEIWYMMSIIVLTGRLDNAVIAVDSLSICMNINGLEAMLFIGINAAISVRVSNELGLGRPRAAKYSVYVTVFQSLLIGLVFMVAIIIARDHFAIIFTSSKVLQRAVSKLAYLLGITMVLNSVQPVVSGVAVGGGWQGLVAYINLGCYYIFGLPFGYLLGYKANFGVMGLWSGMIAGTALQTLLLLIVLYKTNWNKEVEETMERMKKWGGSETTSKDVIA >scaffold_701779.1 pep chromosome:v.1.0:7:7180030:7182019:-1 gene:scaffold_701779.1 transcript:scaffold_701779.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MG10] MPSRSISAPVPAPAPIVSSLAPAAPLGHQNRTTRIFPPLVAAGAGAGFSLFITLSVCFCKFSRKRSSPPAENASSSPRKSPPREFSYSSLRRATGSFSPANRLGQGGFGVVFRGTISGGENVAVKVMDSGSLQGEGEFQNELFFAAKLDSPHVVPVIGFSHDRKRRRLLLVYKLMDNGNLQDALLHRRCPELMDWNRRFLVAVNVADGIEHLHSLEPPVIHGDIKPSNVLLDNLFSAKIADFGLARLKPEQVEINVAPERDGDGSMVEEVESVITTVTGYEDFNFGLVDQSPESVAKVPGSVSASPETTTVVSVSPEMGEKTDEDGGSVVVTKKGKETESKDWWWKQESNVERGKVKEYVMQWIGSEVKKERPTRADWIEATALSSSSSKKLEKKTSKRLEWWLSLEEEDEKKKRKKRRMVREWWKDEYRRELAKKKKKKKKTTLEAEFCSDDGSSSVSQWRRGSGSGSSIDWWLDGLSGERWLRARGNSHDSVSGEIAKSCGISSTPSMRGTVCYAAPEYCNLENNVSEKCDVYSYGVLLLVLISGRRPLEMTGSASEIQRANLMSWARKLARRGKLVDLVDQKLQNLDQEQAVLCIKVALLCLQRLPISRPSMKEVLGMLKGEVNLPELPTEFSPSPPLKTVRKQRR >scaffold_701780.1 pep chromosome:v.1.0:7:7182392:7183637:1 gene:scaffold_701780.1 transcript:scaffold_701780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNRVSPRGDLNARLLLRGCGLYGTRKNNNLCSLCYKHSVLQHSTALRFEPKTEQSQCCPLTSSPVAEEEPVRKRRCGICKRKVGMLAFNCRCGHMFCGSHRYPEEHSCPFDYKQSGRLALATQLPLNRADKLQRF >scaffold_701782.1 pep chromosome:v.1.0:7:7193180:7193824:-1 gene:scaffold_701782.1 transcript:scaffold_701782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMCDPYTPAGVPIPTNKRHNAAKIFRHQSLPPRSLDSYKLDYCYKKNRITGLILSKEIKPLISTVFEGKDANVIAHGARNCGKTYLIHVLKAIPLRY >scaffold_701783.1 pep chromosome:v.1.0:7:7195438:7196710:1 gene:scaffold_701783.1 transcript:scaffold_701783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDPRCHTDASFENLVAKAVDGRVHKLLWSADPTRLPKSLFTCETLVDLTLSHKILVDFPSSCCLPSLLLLCLHYVVYEDEASLVRFLSSCPGLEKLCVKRKKDDNMTKFCVKVPTLWLLAYDNSASLPDDNGGCLVIDTPALTNFYLTDYSGDSCSIENMPCFEDVSIDVDQPFPNIDKFFTSFSTVFSLELLLTDETIVCCSKINFSRLTKCKIVPFDSDWMDSLVPLLNNTPRLKSLVVDYRSTHQSPIASATWSERGYNPECLYSSLEKFELIDYGGREEEEELVEYILSTSRCLKTVTIYLKSTLEPEIKDTMMEKLEAMDRFSGACQLLFKTEYVNN >scaffold_701785.1 pep chromosome:v.1.0:7:7198930:7201133:-1 gene:scaffold_701785.1 transcript:scaffold_701785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSPRNSILAAAGFPRKVSTVAIAIGGLASFFVFGLLLRLSYPNSSSVSGIFYGIGNPEQVHVPLSLSNHTVKILQKSSDINAFDKNLTSDSSSGSTHVVSKNIQPPDSDSDRKLETPLTQEKEDSVSSDITPLTVQSGEGDVSKAEDTISASSPPHDDSETASAEPECDLYQGSWFYDPGGPLYTNNSCPVLTQMQNCQGNGRPDKGYENWRWKPSQCDLPRFDARKFLELMKGKTLAFIGDSVARNQMESMLCLLWQVETPVNRGSRKMQRWYFKSSSVMIARIWSSWLVHQFNEKFDYAPEGVTKLKLDLPDERIMEAIPKFDVVVLSSGHWFAKQSVYILKEEIVGGQLWWPDKSKPMKVNNVDAFGISVETILKSMATHPNYSGLTIVRTFSPDHYEGGAWNTGGSCTGKEEPILPGKLVKNGFTEIMHEKQATGYNLAVEKVAENLKLKLKLMDITEAFGYRHDGHPGPYRSPDPNKITKRGPDGRPPPQDCLHWCMPGPVDTWNEMVLELIRRDKEGRKSKSSST >scaffold_701790.1 pep chromosome:v.1.0:7:7216642:7218557:-1 gene:scaffold_701790.1 transcript:scaffold_701790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEREGTNINNNTSSFGLKQHEATASDGGYSKDPPPRPENPNPFLGQPTTVSAAATVAAVTENAATPFSLTMPAENTSSEQLKKKRGRPRKYNPDGTLAVTLSPMPISSSVPLTSEFPPRKRGRGRGKSNRWLKKSQMFQFDRSPVDTNLAGVGTADFVGANFTPHVLIVNAGEDVTMKIMTFSQQGSRAICILSANGPISNVTLRQSMTSGGTLTYEGRFEILSLTGSFMQNDSGGTRSRAGGMSVCLAGPDGRVFGGGLAGLFLAAGPVQVMVGTFIAGQEQSQLELARERRLRFGAQPSSISFNISAEERKARFERLNKSVAIPAPTTSYTHVNTTNAVHSYYTNSVNHVKDPFSSSIPVGGGVGKVGEEEGEEDEEDDDELEGEEEEFGGDSQSDNEIPS >scaffold_701797.1 pep chromosome:v.1.0:7:7237139:7237787:1 gene:scaffold_701797.1 transcript:scaffold_701797.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7MG23] MARSFNLSLILFVLYLSTAAIAMARNLEEESSGDTKFIKASCEMTSYPDRCFQSLSSYASEIKKQPRKLAETALAVSIARAKSAKTYVSEMTDYKGITKRQHEAVADCVEEMGDTVDRLSNSLKELKHLEEGDSGEEFWFCLSNVRTWTSAALTDETTCLDGFGGKAMNGELKSLIRTRIVSVAEETSNALALINDFASKH >scaffold_701798.1 pep chromosome:v.1.0:7:7239187:7239827:-1 gene:scaffold_701798.1 transcript:scaffold_701798.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7MG24] MSRFVILSLTLMVFINSSSFPNTVATPPGTYQNHTTYVKTACNSTTYPMMCYNSLSSYSATIKSDPIKLCTTSLNLNVKSAKNSTLVVSNLLQKAKAAKSHEVSILKDCVDEMKDTIDELKQAVAEMKYVRGRGKTTEEHLKNVMTWVSSALTYEGTCTDGFEEGKVNVETKKKVKKAVSQLSKTTSNTLALLTHYLSY >scaffold_701803.1 pep chromosome:v.1.0:7:7255473:7256608:-1 gene:scaffold_701803.1 transcript:scaffold_701803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKAEEVVDNPPTSSEEEESGSSGEESESSAEVSKKDESSKKPESDSEGEYESESESDSEPAKTMELMPLVTKPIPDKSGSAATVPESSTAKRPLKEHASEAIKKHKTSETEHVKKPTTNDEVKKISGDDAKKMFQRLFSEADEIALLQGIIDFTSTKGDPYEDIDAFCIYVKKLIDFNATKNQIVTKLQRLKKKFNNTVKKSLKKGKSEDEIEFAKDIEQKGFELSRKIWGSSGVLASKSSRKKVGGTPAPKEMKLVAHSSPKKQQEEAKKPEKIETKVVNTSLSIGREIASFFNAENKSSCGLDESTITAVWAKVADGAEKREVEEKWKKLKGKEFELCLQRSGLVNETAKMIFKAYES >scaffold_701807.1 pep chromosome:v.1.0:7:7264313:7266161:-1 gene:scaffold_701807.1 transcript:scaffold_701807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGRGPMGGGGGMLRAAGRAMTRTGVANGGIQDPFASSLSSSTSSPASVSHVHKQRSSSSSSSGSNNLTISAASGLLLNLPVAATSGWKGGAFSFVNSGGYEDFEWVSEEEDDSLFGSVPSVDEVQDAVSALQQVFDASSYTQLVRDKYECYPESGGENQSPIATGMVHQVPSFGSDSDWMEPSMQLCHSRTLQPHAYDQVYNAFDLLRTEPSVQKMVVSLSSDKAVWEAVMNNNVVREIRDLYNNGISQDEESSEDTPGENNAAVDFIKWVFDNTMVKATEVFVKITKVVTELFNCYNGDGVYNKGKDAKFNNWLEERLTTSVLLSIVVLLVVMVSRACNKS >scaffold_701818.1 pep chromosome:v.1.0:7:7308158:7309088:-1 gene:scaffold_701818.1 transcript:scaffold_701818.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:D7MGJ9] MASLSTTSLSFKAPSTRISQVLRNASSFQSVTFGRVTSSKSLRLQISCAAKAETVQKVSDIVKEQLALSADTPLTSESKFSALGADSLDTVEIVMALEEKFNISVEESDAQNITTIQEAADLIEELVQKKPAAETS >scaffold_701821.1 pep chromosome:v.1.0:7:7322837:7323131:1 gene:scaffold_701821.1 transcript:scaffold_701821.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGK2] MSEEKDWSDTSFENEKMIKKVESETPEETQKKMDEQKWVDGGFLNYGKPKHEPGLTIREPPPPPPAPSPPPSPVELPETPPQ >scaffold_701827.1 pep chromosome:v.1.0:7:7346384:7346833:-1 gene:scaffold_701827.1 transcript:scaffold_701827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSVFHIILNVTFMVFLFGGLCEALPVLKADIINDIGPNVQLGLHCKSKHEDLGAQSLAPHQHWGFGKSLEFWGTTLFFCHFQWGNQSKWFNILDGNRDKKECDVHPCVWSIRPSGPCKLTGHTQCFPWNDQY >scaffold_701828.1 pep chromosome:v.1.0:7:7348016:7349994:1 gene:scaffold_701828.1 transcript:scaffold_701828.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7MGK8] MNNNILPVVVAISVQIGLAIMSIFAKFALDDQLSPRVFVAERLIFAATILSALALVFERNTRPQMTTKIFAQIVIMSIFEPLLEQNLYYTGMQLTTATFTAAMFNLIPAITFVMACVFRLEKVSIHTHRGKAKVVGTCVAVAGAMMMTFWSGQVIPLPWTRSLHAKKIHMHADGILEGGLMIVSSCLSWSFYVILQAKVVVSYPAKLSLTALICIMGAIGSTVTALIWERNDPKAWKFYPDITLLASLYGGCFSAITVYVVGWMAQKKGPVFVSIFNPINLIVTAVISSVVLSEQMFVGREGKKSLIYSFIYHCFTSQENQKTKVDGIV >scaffold_701829.1 pep chromosome:v.1.0:7:7350055:7351529:-1 gene:scaffold_701829.1 transcript:scaffold_701829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRRLLVSATLVSYLLYGMAFVSVEASGGTKIKREKLREYLDLTKTTTLSPSSSHRKMLLLSPGPEKGKAESRAEPERIGDKCKSTDIVVNQAVTEPMPNGIPGYMVEITNQCMSGCIISRIHINCGWFSSAKWINPRVFKRIHYDDCLVNNGKPLPFGSTLSFHYANTFPYHLSVAFVTCS >scaffold_701832.1 pep chromosome:v.1.0:7:7362546:7363466:-1 gene:scaffold_701832.1 transcript:scaffold_701832.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:D7MGL2] MDNKFWTFLTALHSGAGPIVMLLYPLYASVIAMESTTKVDDEQWLAYWIIYSFLSLTELILQSLIEWIPIWYTVKLVFVAWLVLPQFQGAAFIYNRVVREQFKKHGVLRSTHSKATKPNILQSIFPNREGHEAHSH >scaffold_701835.1 pep chromosome:v.1.0:7:7369394:7370371:1 gene:scaffold_701835.1 transcript:scaffold_701835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVGSLQLIIPPRPRSTKFLYSLKNPTQGQEDLSSSSPSISLLPKLISFALALSLTSSSPALAIPSLSSSQPLTTPFTQSKFVQTGLLNGKIRPCPSTNPGCVSTNPTSSSFSFPLTIPETDTQDPIQKLKEAIMSTQKNPKFVVLEDTPYGRYLEAEVEGGGFSRDVMEFLVKQDVVAYRCMATKVTFVYPFTTAFGDSKGQEERMKKLIDQLGWYAPTFESME >scaffold_701837.1 pep chromosome:v.1.0:7:7373270:7374260:1 gene:scaffold_701837.1 transcript:scaffold_701837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQLHQSSLPILNPLLRFSPPSSPDNPKNQRVSTIKMPKFTVCKLIPLLIFVLSSLSVLRLLRISYKSSSSTSQSSSSTTFHLSPAESSQQLRANEGPYALTEKELKLLSDTVTRRSPCNVLVFGFAPQYLMLPAINTRGITVILEDVPEKIMIPKAEVNPNNTRIYSFKYHQMEVKNAYKLLRHARANSACAPKMNNLQGSSACKMQLRDLPQEVHNTKWDVIVVDGPRGDNFEAPGRMGSIYTAAVLARKGSSNSTTDVFVHDVHRTAEKWLSWEFLCQENLVSAKGNFWKFRIKGQSNASRFCSPETVLIRY >scaffold_701843.1 pep chromosome:v.1.0:7:7396443:7399337:1 gene:scaffold_701843.1 transcript:scaffold_701843.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginosuccinate synthase family [Source:UniProtKB/TrEMBL;Acc:D7MGM3] MAEISATSFPSSSSSSALAFRTSHNGSLKCQNVAVPRTTSQFQELSVRRSQLVGNAVVTGHVAGSRSCKNQAIRAVLSGDGTALAADSKEAGLRGKLRKVVLAYSGGLDTSVIVPWLKENYGCEVVCFTADVGQGIKELEGLEQKAKASGASQLVVKDLTEEFVKDYIFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAAEVGADAVAHGCTGKGNDQVRFELTFFSLNPELKVVAPWREWEIQGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDLLEDPANEPMKDMYMMSVDPEDAPDQPEYIVIGIESGIPVALNGKALSPATLLAELNIIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTILFAAVQELESLTLDRESIQVKDSLALKYAEMVYAGRWFDPLRESMDAFMEKITETTTGSVTLKLYKGSVSVTGRRSPNSLYRQDISSFEKSEIYNQADAAGFINIFGLPMRVRAMLEKGI >scaffold_701849.1 pep chromosome:v.1.0:7:7414842:7415268:-1 gene:scaffold_701849.1 transcript:scaffold_701849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPCLADFRYSTGIFEKYMTTSGQECNVIKSQIKHCEEHNRQRWLLSQLLNVVSPDGPNTLFFFFCQHSNVV >scaffold_701855.1 pep chromosome:v.1.0:7:7446187:7449235:1 gene:scaffold_701855.1 transcript:scaffold_701855.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fus3-complementing gene 1 [Source:UniProtKB/TrEMBL;Acc:D7MGN3] MEMERVHEFPHTNMDRRPRKRARLGWDVLPQATKAQVGMFCGQEIGNLSSFPSSGAPSDNSSSLCVKGVARNGSPPWREDDKDGHYMFELGDDLTPRYKIYSKMGEGTFGQVLECWDRERKEMVAVKIVRGVKKYREAAMIEIEMLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGSSLYDFLRKNNYRSFPIDLVREIGWQLLECVAFMHDLRMIHTDLKPENILLVSSDYVKIPEYKGSRLQRDVCYKRVPKSSAIKVIDFGSTTYERQDQTYIVSTRHYRAPEVILGLGWSYPCDVWSIGCIIVELCTGEALFQTHENLEHLAMMERVLGPFPQQMLKKVDRHAEKYVRRGRLDWPDGATSRDSLKAVLKLPRLQNLIMQHVDHSAGELINMLQGLLRFDPAERLTAREALRHPFFARRR >scaffold_701864.1 pep chromosome:v.1.0:7:7494776:7495777:-1 gene:scaffold_701864.1 transcript:scaffold_701864.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATIPT4 [Source:UniProtKB/TrEMBL;Acc:D7MGP2] MNCKDKMVVIMGATGSGKSSLSVDLALHFKAEIINSDKMQFYDGLKITTNQSTISDRRGVPHHLLGELNPEAGEVTAAEFRVMAAKAISEITQRKTLPILAGGSNSYIHALLAKSYDPENYPFSDHKGSICSELKYDCCFIWIDVDQPVLFEYLSLRLDMMMKSGMFEEIAEFHRAKKAPKEPLGLWKAIGVQEFDDYLKKYKWDDDMDKWDTMRKEAYEKAVRAIKENTFQLTKDQIKKIDKLRNAGWDIKKVYATASFREAIRAAKEGEGVADMQRKIWNKEVLEPCVKIVKSHLDQPINYYYYYFYLIKRLLSLN >scaffold_701866.1 pep chromosome:v.1.0:7:7498425:7500853:-1 gene:scaffold_701866.1 transcript:scaffold_701866.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MGP4] MTKRVFQVWKGSNKFILGGRLIFGPDARSLPLTLLLIIVPVVLFCVFVARHLRHEFSPYNAGYAILVVAILFTIYVLILLFFTSARDPGIVPRNLHPPEEELRYETTVSADGRQTPSVQIPRTKEVMVNGVSVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRYFFMFVSSSTLLCIYIFSMSAIYIKILMNDQQGTVWRAMKESPWSVVLMIYCFIALWFVGGLTAFHLYLISTNQTTYEKLRYRSSHSRSIVYNRGCPNNFLEVFCSKVKPSRNNFRAFIEEEPPRVVTLPSTTRESGEAEDENGPRRQKVEDDLDIGEDLINLSQRCNAEEANNNQPHHTLDIDHERAGSIRTEARHGSWGRRSGSWDVAAATDVRESRSYATAKEARG >scaffold_701872.1 pep chromosome:v.1.0:7:7535344:7536336:-1 gene:scaffold_701872.1 transcript:scaffold_701872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKSFVEGGIASVIAGCSTHPLDLIKVRLQLHGETPSTTTVTLLRPALAFPNSSPAAFLAETTSSVPKVGPISLGINIVKSEGAAALFSGVSATLLRQTLYSTTRMGLYEVLKNKWTDPESGKLNLSRKIGAGLVAGGIGAAVGNPADVAMVRMQADGRLPLAQRRNYAGVGDAIRSMVKGEGVTSLWRGSALTINRAMIVTAAQLASYDQFKEGILESGVMKDGLGTHVVASFAAGFVASVASNPVDVIKTRVMNMKVGAYDGAWDCAAKTVRAEGAMALYKGFVPTVCRQGPFTVVLFVTLEQVRKLLRDF >scaffold_701874.1 pep chromosome:v.1.0:7:7543675:7546822:-1 gene:scaffold_701874.1 transcript:scaffold_701874.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7M8T1] MMISQFFVLSQRGDNIVFRDYRAEVPKGSTETFFRKVKFWKEDGNAEAPPIFNVDGVNYFHVKVVGLYFVATTRVNVSPSLVLELLQRIARVIKDYLGVLNEDSFRKNFVLVYELLDEVIDFGYVQTTSTEVLKSYIFNEPIVVAPARLQPIDPAAIFTQGNKRMPGTAVTKSVVANDPGGRRREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLSGNPEIRLALNEDLNIGRGGRSVYDYRSSSGSGVILDDCNFHESVRLDSFDSDRTLSLVPPDGEFPVMNYRMTQEFKPPFHVNTLIEEAGRLKAEVIIKIRAEFPSDIIANTITVQMPLPNYTSRASFELEPGAAGQKTDFKESSKMLEWNLKKIVGGGEHTLRAKLTFSQEFHGNITKEAGPVSMTFTIPMYNVSKLQVKYLQIAKKSSSYNPYRWVRYVTQANSYVARI >scaffold_701886.1 pep chromosome:v.1.0:7:7596090:7597424:-1 gene:scaffold_701886.1 transcript:scaffold_701886.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIA subunit 2 [Source:UniProtKB/TrEMBL;Acc:D7M8U2] MATFELYRRSTIGMCLTETLDEMVQSGTLSPELAIQVLVQFDKSMTEALESQVKTKVSIKGHLHTYRFCDNVWTFILQDAMFKSDDRQENVSRVKIVACDSKLLTQ >scaffold_701889.1 pep chromosome:v.1.0:7:7622826:7625966:-1 gene:scaffold_701889.1 transcript:scaffold_701889.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7M8U4] MVVRKVGKYELGRTIGEGTFAKVKFAQNTETGESVAMKIVDRSTIIKRKMVDQIKREISIMKLVRHPCVVRLYEVLASRTKIYIILEYITGGELFDKIVRNGRLGESEARKYFQQLIDGVDYCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSALPEQGVTILKTTCGTPNYVAPEVLSHKGYNGAVADVWSCGVILYVLMAGYLPFDEMDLPTLYSKIDRADFACPSYFALGAKSLINRILDPNPETRITIAEIRKDEWFLKDYTPVQLIDYEHVNLDDVYAAFDDPEEHTYAQDGTGSTGPLTLNAFDLIILSQGLNLATLFDRGKDSMKHQTRFISHKPANVVLSSMEVVSQSMGFKTHIRNYKMRVEGLSANKTSHFSVILEVFKVAPSILMVDIQNAAGDAEEYLKFYKTFCSKLDDIIWKPPDASMKNRVTKAKSKRR >scaffold_701895.1 pep chromosome:v.1.0:7:7644341:7646806:1 gene:scaffold_701895.1 transcript:scaffold_701895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVSLFSIALIAFLCLHHRVLASSSDFEGFDAEDDDVLDDSTELHHSLRPPLLTQSESTVLDLDPPSDSDSTTPASKSDPPTQTVKPSSISFDYWDEDEFEGLPEDEKSMESPVISDDASPAADPQTPDLESASETADTDIPKKKQSYTVEIVCVSILIGYAINYFTGKRENENLALAWASKFGLKDTIFEKNFSLLGVGEGEDSPLLLKEATNVFKFYASGRRYCHGLLATLELKSRHDLISRLFNSVVPCKDEISFEVYMNDEAMDHIVFAMARKKAAKTMHKELRDLQRFGGMVPSPGGRKWVTEELAVVSESKEVAGDMITDVVLDQVFGDKSFEKFGKYFISMHFSDQHPGKHRKMLLFKFALPDAKHMDDMVRLIALIPYYIDLIGRYKLSSQARNKTDGARQKAAQEAYKELENVRQEALQRKKAEKKKILEEAQAKLSAEALRKKEAKERARQMKKSMPKVKMSRGH >scaffold_701905.1 pep chromosome:v.1.0:7:7681599:7682896:-1 gene:scaffold_701905.1 transcript:scaffold_701905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILIALVNKIQRACTALGDYGEGSSLPTLWDSLPSSVVRILLHLMPLRSLVKLIQKVTLAGFRNGKFSTLVATNVAARGLDINDVQLIIQEFQSSIDMESHRSSPENDLLSSVALSGPLFRSTIYHLKVPRSSITSLGPEYTLQNKSYSLYSDKRQCRSLTETTVYEKKIGFYTFYLKVDPSWPLCMYELRGRCNNDECSWQHFKDFSDDSLQKRNSMQETCLVKMIDIKACMSLVV >scaffold_701907.1 pep chromosome:v.1.0:7:7686175:7688779:1 gene:scaffold_701907.1 transcript:scaffold_701907.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:D7M8W2] MAEARSGSLEYTPTWVVAFICFIIVLLSLLAERGLHHLGKCLKRRQQDALFEALQKLKEELMLLGFISLMLTVSQAAIRHICVPPALVNNMFPCKKPLEKHDAPKSSHSVIINARHLLSTGESPDHCAAKGQVPLVSVEALHQLHIFIFVLAVFHVIFCASTMVLGGARIQQWKHWEDWFKKRPSQKGTTRRGHAHAHAHELFSANYEFFEMHAGGFWRRSVVISWLRSFFKQFYGSVTKSEYIALRQAFIMSHCRTNPSFDFHKYMLRTLEIDFKKVVSISWYLWLFVVVFLLLNVGGWNTYFWLSFLPLILLLMVGAKLEYIISSLALDVSEKRNRAEEAVITPSDELFWFHRPGIVLQLIHFILFQNSFEIAFFFWILFTYGIHSCIMEKLGFLIPRLVMGVLVQVLCSYSTLPLYALVTQMGSKFKKGIFDNVVQSTLEGWLEDTRNKGESTSEAHRIEMQSTTPESYNVQVMESENP >scaffold_701908.1 pep chromosome:v.1.0:7:7689928:7691472:-1 gene:scaffold_701908.1 transcript:scaffold_701908.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 7 [Source:UniProtKB/TrEMBL;Acc:D7M8W3] MTVELMMSSYGGGGGGDGFPAIVAAAKLEDTALREAASAGIHGVEEFLKLIGQSQPTEKNQTEITAVTDVAVNNFKKVISLLGRSRTGHARFRRAPTTTQTPFKQTTVVEEEAEEKKPETTSVLTKQKTEQYNHGGSAFRVYCPTPIHRRPPLSHNNNNNQNQTKNGSSSSSPPILTNGAPSTINFAPSPPVSATNSFMSSHRCDTNSTHMSSGFEFTNPSQVSGSRGKPPLSSASLKRRCNSSPSSRCHCSKKRKSRVKRVIRVPAVSSKMADIPSDEFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDAMMLIVTYEGDHNHALVLETTTTNHDKTL >scaffold_701910.1 pep chromosome:v.1.0:7:7696283:7697579:-1 gene:scaffold_701910.1 transcript:scaffold_701910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAAKKKLDDDEPSQSYESVALIIGVTGIVGNSLAEILPLSDTPGGPWKVYGVARRPRPTWNADHPIDYIQCDVSDAEDARSKLSPLTDVTHVFYVTWTNRESESENCEANGSMLRNVLRAIVPNAPNLRHVCLQTGTKHYVGPFSNLDGPRHDPPFTEDMPRLQIQNFYYTQEDVLFDEIKKIETVTWTIHRPNTIFGFSPYSLMNIVGTLCVYAAICKHEGSPLLFPGSKKAWEGFTTASDADLIAEQQIWAAVDPYAKNEAFNCNNADIFKWKHLWKILAEQFGIEEYGFEEGKNLGLVEMMKGKERVWEEMVKENQLQEKKLEEVGVWWFADVILGVDGLIDSMNKSKEHGFLGFRNSNNSFISWIDKYKAFKIVP >scaffold_701918.1 pep chromosome:v.1.0:7:7721395:7723812:-1 gene:scaffold_701918.1 transcript:scaffold_701918.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7M8X2] MNSSRFASRLRMAEEISKKVGSSSTASVADSSAATSAATNAAKSRWKILWPNSLRWIPTSTDYIIAAEKRLLSILKTPYVQEQVNIGSGPPGSKIRWFRSTSNESRYINTVTFDAKEGAPTLVMVHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTCRSTEETEAWFIDSFEEWRKTQNLSNFILLGHSFGGYVAAKYALKHPEHVQHLILVGSAGFSAEADAKSEWLTKFRATWKGAVLNHLWESNFTPQKLIRGLGPWGPGLVNRYTTARFGAHSEGTVLTEEEAKLLTDYVYHTLAAKASGELCLKYIFSFGAFARKPLLQSASEWKVPTTFIYGMNDWMNYQGAVEARKSMKVPCEIIRVPQGGHFVFIDNPSGFHSAVLYACRKFISQDSSHDQQLPDGLRLV >scaffold_701924.1 pep chromosome:v.1.0:7:7748863:7749661:1 gene:scaffold_701924.1 transcript:scaffold_701924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSPDFERYVEKESLKVKAFFVRFTGLPTREFLPDSLTLLYPPRINEAAFELDGSKIRPDSPAFVTLYRVVKGGDVIYGSRERVRVWEGIRFEVYMSEERVIKGIFRKEEVDKWKLECECEMEEEGAAEVVVAAEGHVATATMARKHRRRRKQRVGFECLEEIPEEREEGRESDGGVCFCTCSGGGESDDGEGEWEEVEWTAEMESETEGMGWAVDLGIWVMCLGVGYLVSKASTKTLTSGRRRRTTTFF >scaffold_701925.1 pep chromosome:v.1.0:7:7750269:7754601:-1 gene:scaffold_701925.1 transcript:scaffold_701925.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7M8X8] MVGGGSSSGGGGVFRGGGSGKQQRGFSLNPKDYKLLEEIGHGASAVVYRAIYLPTNEVVAIKCLDLDRCNSNLDDIRRESQTMSLIDHPNVIKSFCSFSVDHSLWVVMPFMAQGSCLHLMKTAYSDGFEESAICCVLKETLKALDYLHKQGHIHRDVKAGNILLDDSGEIKLGDFGVSACLFDNGDRRRARNTFVGTPCWMAPEVLQPGEGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSCFKHTKPPEFYVKKLFSDLPPLWTRVKSLQDKDAQQLALKRMATADEEAISQSEYQRGVSAWNFDVRDLKTQASLLIDDDDLEESKEDDEILCAQFNKVNDRVQVFDSLQLYETMNEKEKVSNTEVEEPTCEEKFTFITTASSLERMSPNSEHDIPEAKVKPVRRQSQSGPLTSKTVLCHSASEKGHIFERSESEQQTASTVRRAPSFSGPLNLPTRASSNSLSAPIKYSGGFRDSLDDKSKANLVQKGRFSVTSGNVDLAKDVPLSIVPRRSPQATPLRKSASVGNWILEPKMPTAQPQTIKEHSSHPTSSSSSLIVPQLQHLFQQNSIQQDLIMNLLNSIQPGEATEGSQSGKLPPLPRSDSNGNVEPVASERERLLLSSISDLRARLDDLTEELDIEKSKYSQLQQKLKAFTGRKHV >scaffold_701927.1 pep chromosome:v.1.0:7:7757324:7758278:-1 gene:scaffold_701927.1 transcript:scaffold_701927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKSLKSRLQDGEKLLGHFLLSFSPELAEIAARAGFDFIIVDMEHGAGGIREALHCIRAIEAAWAKKALDLGPDGIMFPMVETGRSASEAVSFCRYRPDGVRGCAYSVVRDSNFGFDDGYLGNYADKLFIMCQIESEEGVKNVKEIVAVDGMDCVMMGPRDLSASLGILNDPGNPKLKSVMRVAETAVLASDPANGGAYLAGMARAQDKTGDLRARGYHVVLGSTDVSLYKKAVVDEVNAFKA >scaffold_701930.1 pep chromosome:v.1.0:7:7781390:7784244:-1 gene:scaffold_701930.1 transcript:scaffold_701930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalase [Source:UniProtKB/TrEMBL;Acc:D7M8Y4] MKSHKHNNPNLLISTHTHKLFLSSSPFNFLISLPSFIYLKQQRFTPLFFFFFFFLCFSLTTSMVASDTDTDSGPVVATTALVTFLQRVQLTALRSYPKKQIPDPKSYIDLSLKRPYNLSTIESAFNDLTSESHHDQSVPVEKLEKFVKEYFDGAGEDLLHHEPVDFFSDPSGFLSNVENEQVREWAREVHGLWRNLSCRVSDSVIESHDRHTLLPLPEPVIIPGSRFREVYYWDSYWVIKGLMTSKMFTTAKGLVTNLMSLVETYGYALNGARAYYTNRSQPPLLSSMVYEIYNVTKDEELVRKAIPVLLKEYKFWNSGKHKVVIRDANGYDHVLSRYYAMWNMPRPESSVFDEESASGFSTMLEKQRFHRDIATAAESGCDFSTRWMRDPPNFTTMATTSVVPVDLNVFLLKMELDIAFMMKISGDKNGSGRFLKASKAREKAFEAVFWNGKAGQWLDYWLSSNGDEPETWKAENQNTNVFASNFAPIWINSFNSDEVLVKKVVKALKNSGLIAPAGILTSLTNSGQQWDSPNGWAPQQEMIVTGLARSSSKEAKKIAEDIARRWIKSNYLVYKKSGTIHEKLKVTELGEYGGGGEYMPQTGFGWSNGVILAFLEEFGWPSHLNIEP >scaffold_701935.1 pep chromosome:v.1.0:7:7809626:7811843:-1 gene:scaffold_701935.1 transcript:scaffold_701935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHRKHSVVGAILHTCYPCGRTIPYRIYAVFHTCGIIALMYHHVHSLLTANNTLITCLLLLSDMVLTFIWVTTTSLRLNPVHRTEYPEKYAAKPEDFPKLDVFICTADPYKEPPMMVVNTALSVMAYEYPSDKISVYVSDDGGSSLTLFALMEAAKFSEHWLPFCKKNNVQDRSPAVYFSSKSDSRSGEAENIKCEVEQMMYEDMKSRVEHVVKSGKVETAFITYDQFRGVFDLWTDKFTRHDHPTIIQVLQNSETDMDNTKKYIMPNLIYVSREKSKVSPHHFKAGALNTLLRVSGVMTNAPILVVILNCMVLFCSPYITVCNNG >scaffold_701943.1 pep chromosome:v.1.0:7:7848501:7848904:1 gene:scaffold_701943.1 transcript:scaffold_701943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKVVRTKSVEHMSFLVAFAMFANATVWTVVSLLPVDPIMFVSFVLCTLLGLVQVVVYAVYYRFTQRIIAARKAQVEIII >scaffold_701949.1 pep chromosome:v.1.0:7:7862872:7863668:1 gene:scaffold_701949.1 transcript:scaffold_701949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSITIPTMRTPIHRSKFLGQTHQFSTANQSIFPPPKQQSKVYQVKAMGKFNLWEVMGGRGLCNGEKGIEKELKRNIEDGQETSKAENNETEKESDDSNLSFKVPEDGFDKEMMGLTGGFPGGEKGLQTFIEKNPPPPPTPPPAKRGTDASAVVTDKKPKAPELPLLMPGMIAIVKNQNSPYHMYCGIVQRITDGKAGVLFEGGNWDRLITFRLEELERREKGPPGKNPKSCILEPLIEQMQKEEAAP >scaffold_701950.1 pep chromosome:v.1.0:7:7863978:7864879:-1 gene:scaffold_701950.1 transcript:scaffold_701950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVHGLKRIPRIKFPQRHVNPSVSEGKTQVANEADTLFFSNLNIQKTIGGKASSQPKRTPVSNEEMEAILLGGCL >scaffold_701965.1 pep chromosome:v.1.0:7:7922466:7924617:-1 gene:scaffold_701965.1 transcript:scaffold_701965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRIYLWILYLCLIIYGANSDPLEDKRALLEFLTIMRPTRSLNWNETSQVCNIWTGVTCNQDGSRIIAVRLPGVGLNGQIPPNTISRLSGLRVLSLRSNLISGVFPADFVELKDLAFLYLQDNRLSGPLPLDFSVWKNLTSVNLSNNGFNGTIPDSLSRLRRLQSLNLANNSLSGDIPDLSVVSSLQHIDLSNNYDLDGPIPDWLRRFPLSSYAGIDVIPPGGNYSLVEPPPPREQTHQKPKARFLGLSGTVFLLIVIAVSIVVVAALAFLLTVCYVRRNLRHNDGVISDNKLQKKGGMSPEKFVSRMEDVNNRLSFFEGCNYSFDLEDLLRASAEVLGKGTFGTTYKAVLEDATSVAVKRLKDVAAGKRDFEQQMEIIGGIKHENVVELKAYYYSKDEKLMVYDYFSRGSVATLLHGNRGENRIPLDWETRMKIAIGAAKGIARIHKENNGKLVHGNIKSSNIFLNSENNGCVSDLGLTAVMSPLAPPISRQAGYRAPEVTDTRKSSQLSDVYSFGVVLLELLTGKSPIHTTAGDEIIHLVRWVHSVVREEWTAEVFDIELLRYTNIEEEMVEMLQIAMSCVVKAADQRPKMSDLVRLIENVGNRRTSIEPEPEPELKPKSENGASETSTPSEI >scaffold_701966.1 pep chromosome:v.1.0:7:7926294:7928190:-1 gene:scaffold_701966.1 transcript:scaffold_701966.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase family protein [Source:UniProtKB/TrEMBL;Acc:D7M918] MEPSSGVGPEKRPTVDLVKDKNGTDQILLQNPKGASVKISLHGGQVLSWKTEKGDELLFTSTKANLKPPHPVRGGIPICFPQFGTRGSLEQHGFARNKIWLVENNPPALPSFDPTGKAFVDLVLKSSDEDTMRIWPYSFEFHLRVSLALDGNLTLISRVRNINSKPFSFSIAYHTYFSISDISEVRLEGLETLDYLDNLHDRERFTEQGDALTFESEIDRVYLNSKDVVAVFDHERKRTFLIRKEGLPDVVVWNPWEKKARALTDLGDDEYKHMLCVDGAAIEKPITLKPGEEWTGKLNLSLVLST >scaffold_701970.1 pep chromosome:v.1.0:7:7955974:7956578:1 gene:scaffold_701970.1 transcript:scaffold_701970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7M922] MSSLVVKQLFKSLFSFFLLVLLFSDTVLSFRKTLDPKKPCKHFSFYFHDILYDGDNVANATSAAIVSPPGLGNFKFGKFVIFDDPITTDKNYLSKPLARAQGFYFYDMKMDFNAWFCYTLVFNSSEYKGTLNIMGADLMMEPTRDLSVVGGTGDFFMARGIATFVTDIFQGAKYFRVKMDIKLYECY >scaffold_701972.1 pep chromosome:v.1.0:7:7962932:7963778:1 gene:scaffold_701972.1 transcript:scaffold_701972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGTYVTEVPLKGSAEKHYKSWKSENHVFPDTIGQHIHNVVVHEGEHDAHGSIRSWDYTYDGKKETFKERREIDDENKTLTKRGLEGHPMENLKVFDVIYQFIPKSEDTCVCKLTMLWEKRNDDFPEPSGYMKFVKQMVVDIEGHVTKA >scaffold_701975.1 pep chromosome:v.1.0:7:7972835:7976578:1 gene:scaffold_701975.1 transcript:scaffold_701975.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:D7M927] MADRRNRCNQILLLAYQSFGLVFGDLSISPLYVYKCTFYGGLKHHQTEDTIFGAFSLIFWTITLLSLIKYMVFVLSADDNGEGGIFALYALLCRHARFSLLPNQQAADEEISTYYGPGDASRNLPSSAFKSLIERNKRSKTALLVLVLVGTSMVITIGVLTPAISVSSSIDGLVAKTSLKHSTVVMIACALLVGLFVLQHRGTNKVAFLFAPIMILWLLIIATVGVYNIVTWNPSVYKALSPYYIYVFFRDTGIDGWLSLGGILLCITGTEAIFAELGQFTATSIRFAFCCVVYPCLVLQYMGQAAFLSKNFSALPSSFYSSIPDPFFWPVLMMAMLAAMVASQAVIFATFSIVKQCYALGCFPRVKIVHKPRWVLGQIYIPEINWVVMILTLTVTICFQDTRHIAFAFGLACMTLAFVTTWLMPLIINFVWNRNIVFSVLFILFFGTIELVFVASALVKIPKGGWITLLLSLFFTFITYVWHYGSRKKYLCDQHNKVPMKSILSLGPSLGIIKVPGMGLIYTELASGVPATFTHFLTNLPAFYQVVVFVCCKTVPIPYVPQKERYLIGRIGPKTYRMYRCIIRAGYKDVNKDGDDFEDELVMSIAEFIQLESEGYGGSNTDRSIDGRLAVVKASNKFGTRLSRSISEANIAGSSRSQTTVTNSKSPALLRLRAEYEQELPRLSMRRMFQFRPMDTKFRQPQVKEELFDLVNAKDAEVAYIVGHGHVKAKRNSVFVKRLVINVAYSFLRKNCRSPGVMLNIPHICLIKVGMNYYL >scaffold_701976.1 pep chromosome:v.1.0:7:7977463:7979098:-1 gene:scaffold_701976.1 transcript:scaffold_701976.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:D7M928] MAEEHKHEESVIAPEPAVEVVERELDKISEKIHHGGDSSSSSSSSDDEDEKKKTKKPSSPSSMKSKVYRLFGREQPVHKVLGGGKPADIFMWKNKKMSGGVLGGATAAWVVFELMEYHLLTLLCHVMIVVLAVLFLWSNATMFINKSPPKIPEVHIPEEPILQLASGLRIEINRGFSTLREIASGRDLKKFLIAIAGLWVLSILGGCFNFLTLAYIALVLLFTVPLAYDKYEDKVDPLGEKAVIELKKQYAVLDEKVLSKIPLGPLKNKKKD >scaffold_701979.1 pep chromosome:v.1.0:7:7986557:7988872:-1 gene:scaffold_701979.1 transcript:scaffold_701979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKCIDWQFSGSEAAKEAAGASLGTYTSALYALCDPSGKSILPPRNEILETSNTAEKAVVKAVLYGTGNAYAPSVGLATAKRAVADYLNQGLPKKLTADDVFMTLGCKQAIELAVDILAKPKANVLLPSPGFPWDLVRSIYRNLEVRHYDFLPQKNFEIDFDSVRALVDENTFAIFIINPHNPNGNTYSEAHLKQLAELAKELKIMVVSDEVFRWTLFGSNPFVPMGKFSSIVPVVTLGSISKGWKVPGWRTGWLALHDLDGVFRNTKILQAAQEYLQINNNPPTVIQAAIPDILEKTPKDFFDKRQSFLKDKVEFGYSKLKHIPSLTCYMKPEACTFLWTELDLSSFVDIEDDQDFCNKLAIEENLVVLPGIAFSQKNWLRHSIDMETPVLEDAMERLKSFCDRHSIKKAPLKDVNGVK >scaffold_701981.1 pep chromosome:v.1.0:7:7994037:7994366:-1 gene:scaffold_701981.1 transcript:scaffold_701981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTSRISFIIIMILLLFINQSALSSSARIRHLEGRDHLAHHRTLSEKEKADKERLSFIFRTVEAESNLDEDVSLRLPHFARYNSYPNSYYSHY >scaffold_701986.1 pep chromosome:v.1.0:7:8036460:8037702:-1 gene:scaffold_701986.1 transcript:scaffold_701986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTEFQGSFLSRISIRRNQIVSMDVNHEHELEELEYFQKHVAERFSELITSPSPHHSSSSSSDAAAVSHPSDPILSIPWLQNLLDVFMSCEAEFKAVLSTTQISKSPSLERVLPEMLDRILKALDLCNAVVNGIDSVRQSRRLAEIAVTALKQRPLCDGSVRRAKRALTSLLIGLNADERRDRNSGGSGCSNQRRTTSRSWSFGTRSNVTGGGSYGQVVSKNWSATKQIQAMVANLVLPRGAEASGPVMPVYIMSSVMVLVMWVLVAAVPCQTSSVLVAPLPLPKHQSWASAAVNIQERIGEEIKRKEKRCGGGGLMEEMQRMEKIGLSLVDFAERFRYPADEEEEVEIAEKVDEMEEICRGMEVGLEDLQRQVRQVFHRLVRSRIEIVSVLDQAPAI >scaffold_701998.1 pep chromosome:v.1.0:7:8089159:8089956:1 gene:scaffold_701998.1 transcript:scaffold_701998.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7M946] MGCCCCLPSIPESSRTIDEHVPLSRAPPSSLSNAYTSPLSPPIPLAFTNRNLQTSPPKLPRTQSNSSEASPGLTQVVPEKEKWHVDDITDFELKKQYREAIDECPICLEEYEIENPKLLTKCGHDFHLACILEWMERSEACPVCDKEIAITESQS >scaffold_702002.1 pep chromosome:v.1.0:7:8107196:8108455:-1 gene:scaffold_702002.1 transcript:scaffold_702002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMSNTVIGFLNILTLISSIVLLGSALWMGKSKTTCEHFLQKPLLILGLAILILSIAGLVGACCDVAWVLWVYLFFMVFIIVALMGLTLFGFIVTSHGGGVGVDGRVYKEFKLEAYHPWLKTRVIDTNNWVTIKTCLLGSVTCSKLALWTPLDYLQKDLSPLQSGCCKPPTSCVYNTDTVIQQDPDCYRWSNAATVLCYDCDSCRAGVLETVRRDWHKLSLVNVVVVLFLIAVYCVGCCAFKNAKRPQHYGFPYGRYGMSKSRPGWEQSWSRWWHGRDRY >scaffold_702015.1 pep chromosome:v.1.0:7:8155596:8157289:1 gene:scaffold_702015.1 transcript:scaffold_702015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKCLPESKRRKKTKETSHSWSGLSLLPDEIALRCLARVSRFDHAALSLVSKSHRSLVASTELFYLRWEMGCTDVSMYVCMKVFPNPTPRWFILTPNRRLNPIQSNPYQPPDSSSFVVVDGGIFVIGGLIDGIPTTDVSFLDCYSHTWHRVKSMNMPRASASACFVDGNIYVFGGSEHYAHDHIWAEVFDRETQTWAPFMFLTHNWDFEIPQNKIIHQSLAIEVKKIFALNEKDRRFYILPSDEIVNTKDWCIIDKLLYCRGTRGKILWCELNELDWKEVKGLEDLQHSLSSSRYSFNINKLCSNSAGNIVIFWIAQSLDLWCAEISMERCKGGEIWGKIEWFGVVFEVDPFLHSSYGFKVLYSASVYV >scaffold_702020.1 pep chromosome:v.1.0:7:8198827:8199975:1 gene:scaffold_702020.1 transcript:scaffold_702020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCASFIFLFLFSFLTSFTASAQNIFYIQHICPNTTTYSRNSTYFTNLRTLLSSLSSPNASYSTGFQNATAGQAPDRVTGLFLCRGDVSSEVCRKCVAISINDTLTQCPNEMEAVFYYDECMLRYSDRNILSTLAYDGAWFRLNGNISIDQNQMNRFKDFVSSTMNQAALEAASNATKFYTIKANWTALQTLYGLVQCTPDLTRHDCLSCLESFINVMPLYKTGGRFLYPSCNLRYELFAFYNESAVRTQPQQQVPPPPLPPASTPPMTSASQPGKSWFSNVLVVAIGVTIIVAVLILIAGYCFLAKRVKMSSDTAPAFDGNNSNG >scaffold_702032.1 pep chromosome:v.1.0:7:8266766:8267283:-1 gene:scaffold_702032.1 transcript:scaffold_702032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKSQPSRLSPDEASPLPQQPCKALPIRGQSSKVKSRDGEATGSQMKPSKTRKAPYKALLIRARSPHSSDEYSSESESSSDEFSYEADGEASDSSSADSDATDVKPMKNKTARKAPISQEPEAGMEIEEPNKRRRISEKDDDSEDTMSWEPEVKKTP >scaffold_702034.1 pep chromosome:v.1.0:7:8280497:8283104:1 gene:scaffold_702034.1 transcript:scaffold_702034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLSSSGIVAVINSGAYVSFSPKQSLTCKRSKVVAGNQVNMPTSSASSVVATKPLTKEDLIAYFASGCKPKEMWRIGTEHEKFGFEVKTLRPIKYEQITALLNGIAERYGWDKVMEKERIIGLKQGMRSISLEPGGQLELSGAPLETLHQTCDEIRSHLHEVKTVAEELEIGFLGIGYEPKSSLEDVTIVPKGRFHFITDHLTRASTTGPDVFFRTCTVQVNLDYSSETDMIRKFRASLALQPVATAIFANSPFSNGKPNGFLSVRSHMYIDSDKKRTGMIPFVFDDSFGFERYVEYALDLPMLFLIRNESYLDCKGMTFRDFMSGKISHLSDEQPTINDWEIHLGTIYPEVRLKRYLEMRGADGGPLGMLCALPAFWVGLLYDEDSLQAVLDMIYDWTPEEREMLRTQVPITGLKTMFRDIPLRHVAEDLLKLAKDGLERRGYNETGFLNAVTEVVKTGVTPAEKLLKLYNGEWGQNIDHVFQGLRYS >scaffold_702038.1 pep chromosome:v.1.0:7:8304810:8305628:-1 gene:scaffold_702038.1 transcript:scaffold_702038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSKTILIFFFFTFVTLCFVISADAATITVRNNCPYVVWAATYAPGKPGGGRRLNRGETWTVPADPGTIQARIWGRTNCNFDASGRGSCQTGDCNGLLECKSYGRAPNTLAEYALAQFANQDFIDISVIDGFNIPMQFSSASGQCSRVIRCTGDIIGQCPSQLRMDGACNGPCPVLKTEEHCCNSGNCGPTPLSRFFKQRCPDAYSYPKDDPTSLFTCPAGTNYNVIFCP >scaffold_702044.1 pep chromosome:v.1.0:7:8337066:8338285:1 gene:scaffold_702044.1 transcript:scaffold_702044.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:D7MDX8] MAQKPRTVICVGDIHGYISKLNNLWLNPQSAIDPSEFSSALVIFLGDYCDRGPETGKVIDFLISLPEKHPDQTHVFLAGNHDFAFSGFLGLLPRPSDGSDLKDTWKEYEGSEEREGWYRGEGFEDMHLQGRRWAGKIKATFNSVKGMAYKGSIYDLESVKLFVGLPLDTVSDYNNVNHLKAITAGLKALKLLGVEGIELPIFWGVVEKEAAGKYKWSRYLAVAETHHWTLRIIGLT >scaffold_702047.1 pep chromosome:v.1.0:7:8350674:8355527:-1 gene:scaffold_702047.1 transcript:scaffold_702047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKHSARFLHNLKMERVRTILTHTYPYPHEHSRHAMIAVILGCLFFISSENMHSLVEKLDNNFKWWSMYACLLGFFYFFSSPFIRKTIRPSYSTFSRWYIAWILVAALYHLPSFQSMGLDLRMNLSLFLTIYISSIVFLLVFHIVFLGLWYIGLVSRVAGRRPEILTILQSCAVLSISCCIFYSHCGNRAFQRQTPLERRHASRFSLWKGEDGNSTWLVKFTHIDELRDQVCSSWFAPVGSARDYPLLSKWVIYGELACNGSCPDSSDEISPIYSLWATFIGLYIANYVVERSTGWALAHPLSVENYEKLKRQQMKPNFLDMVPWYSGTSADLFKTVFDLLVSVTVFLGRFDMRMMQAAMTKDCDGNKSKELLYDHFTDKTDFWFDFMADTGDGGNSSYSVAKLLAQPFIKVPLANDSISLERGNILLIGGDLAYPNPSAFTYEKRLFCPFEYALQPPHWYKTDSISVNKPELPDGVSDLKHYDGPQCFLIPGNHDWFDGLNTFMRYVCHKSWLGGWFMPQKKSYFALQLPKGWWVFGLDLALHGDIDVYQFNFFSELVKEKVGENDAVIIITHEPNWLLDWYWKHDTGKNMRHLIYDFLKGRCKLRMAGDLHHYMRHSCTQSDGPVHVPHLLVNGCGGAFLHPTHVFRSFSKFYGASYESKSAYPSFDDSSRIALGNILKFRKKNWQFDFIGGIIYFLLVFSLFPQCKLGHILRGDSFSGHLGSFFGTVWSSFVYVIEQSYVSFTGVLMLLITAIMFVPSKISRRKRLLIGILHVSAHLTAALILMLLLELGIEICIQHKLLATSGYHTLYQWYKSVENEHFPDPTGLRDRIEQWTLGFYPACIKYLMSAFDIPEVMAVTRTNICREGMESLSRSGAAIYYASVFLYFWVFSTPVVSLVFGSYLYISINWLHIHFDEAFSSLRIANYKSFTRFHIKPDGDLEVFTLGVDKVPKEWKLDKDWDAEPRSIVKMSHHRRFPSKWCATTLQQDPVNTVKIVDHFVIRKSEKEIGGC >scaffold_702048.1 pep chromosome:v.1.0:7:8362139:8364934:1 gene:scaffold_702048.1 transcript:scaffold_702048.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MDY2] MVAFGKKLKERSIQEWQGYYINYKLMKKKVKQYSRQLEGGNLERRHVLKDFSRMLDNQIEKIALFMLEQQGLLASRLQRLRGPHDALQEQPDISHMSNLKEEYRAVGQDLLKLLFFVEMNAIGIRKILKKFDKRFGYRFTNYYVKTRANHPYSELQQVFRHVGLGAVVGAVSRNLHELQNNQGSYLSIYDQPILPLQDPVVDSIRAAVDRLTRSTNFLHFMAQHALIMQEELPSPQDEEGEEEDGRYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSMSLGAAATVCGVVIGAMAVAQLFSSVYFSAWSNRSYFKPLIFSSIVLFIGNLLYALAFDFNSIAVLLIGRLFCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQIRFRIYKLTFNQDTLPGWVMAVAWLIYLGWLAISFREPAREPEGPPKTSEESNHSAVQDVNLEKGMKQPLLITSEEIEEQGEDECDGSEESSEDSRTPANSIVAAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFGWSTSSVAIFLFCLGLTVLPVNLIVGSYISNMFEDRQILLVSEIMVCVGILLSFHVVVPYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITVAGYFGRNMLLNVTLLPSLVICVLSIVATCFTYNSLY >scaffold_702055.1 pep chromosome:v.1.0:7:8400709:8401070:1 gene:scaffold_702055.1 transcript:scaffold_702055.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MDY9] MTYTLDTSLDGLSFRLNRLARCKTDTEDKTPYHWKSEFWERPVGFCRDVVQRILERPELFTQSHNVSLDQWLKANNEYIKEMKRTYIQNHTIKHQIYKQTRTRTNE >scaffold_702057.1 pep chromosome:v.1.0:7:8406093:8409040:-1 gene:scaffold_702057.1 transcript:scaffold_702057.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MDZ1] MEEEDPSASNVITNSNSSSHRNLSPAMNTPVVSLESRINRLINANQSQSPSRSIYSDRFIPSRSGSNFALFDLSPSPSKDGKEDGAGSYATLLRAAMFGPETPEKRDITGFSSSRNIFRFKTETHRSLNSFSPFGVDDSPGVCHSPVKAPRKVQRSPYKVLDAPALQDDFYLNLVDWSAQNVLAVGLGNCVYLWNACSSKVTKLCDLGAEDSVCSVGWALRGTHLAVGTSTGKVQIWDASRCKRTRTMEGHRLRVGALAWGSSVLSSGSRDKSILQRDIRCQEDHVSKLTGHKSEVCGLKWSYDNRELASGGNDNRLFVWNQHSTQPVLKYSEHTAAVKAIAWSPHVHGLLASGGGTADRCIRFWNTTTNTHLSSIDTCSQVCNLAWSKNVNELVSTHGYSQNQIIVWKYPTMSKIATLTGHTYRVLYLAVSPDGQTIVTGAGDETLRFWNVFPSPKSQNTDSEIGSSFFGRTTIR >scaffold_702058.1 pep chromosome:v.1.0:7:8410441:8411509:-1 gene:scaffold_702058.1 transcript:scaffold_702058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSFCIIIILLLLSFHQSESQSIQSTHLLDLMIRDYTIRNFKLNLNTGITQKIHLPSNFSGIDIDTVKLRCGSLRRYGAKIGEFHIGSGVTVEPCPERVMLIRQNLGLNWSSIYSTGYNLSGYNYQLVSPVLGLLAYNANPDGVATNPYEVNVVGTDQNPILVDFLSNKATNNTSPNPTTTKKNSSVLCACFTSNANTTFSEQVSPYVCKGTRQGHYALVMKTEAQKDDHDGGGGGVVTSSTEMNGGNGGGKLSRWKVAVGSVIGSGIGAILLGMLVVAMLVKVKKKAMREEMERRAYEEEALQVSMVGHVRAPTAPGTRTLPRIADDRYKNTHLNSNYP >scaffold_702063.1 pep chromosome:v.1.0:7:8425527:8427575:1 gene:scaffold_702063.1 transcript:scaffold_702063.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bile acid:sodium symporter family protein [Source:UniProtKB/TrEMBL;Acc:D7MDZ7] MSVITTPIETLHLKSTLRLLPRAVYRSQVFPPNIFSNSSLSSPLRIDPISQGIGSRNLWRRYASDHFSEMGLDPEANSVKVIDKASIVDTMKKANSILPHVVLASTILALLYPPSFTWFTSRYFVPALGFLMFAVGINSNEKDFLEAFKRPKAILLGYVGQYLVKPILGFIFGLAAVSVFQLPTPIGAGIMLVSCVSGAQLSNYATFLTDPALAPLSIVMTSLSTATAVLVTPMLSLLLIGKKLPVDVKGMISSILQVVIAPIAAGLLLNKLFPKVSNAIRPFLPILSVLDTACCVGAPLALNINSVMSPFGATILLLVTMFHLSAFLAGYFLTGSVFRNAPDAKAMQRTLSYETGMQSSLLALALATKFFQDPLVGIPPAVSTVVMSLMGFTLVMIWSNEKEKNI >scaffold_702064.1 pep chromosome:v.1.0:7:8431570:8431760:-1 gene:scaffold_702064.1 transcript:scaffold_702064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRLADFRYSIGIFEKYTVLKPQPLILA >scaffold_702066.1 pep chromosome:v.1.0:7:8435674:8436810:1 gene:scaffold_702066.1 transcript:scaffold_702066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASSATVLFCCAKPNFRRIPSQSRSSSPLTTLNESGIRSRSLIHDPITLSGLIGTGLMAGTFITAGPDSTAMAAVDSLQLSEPANALSLPTWAIHVSSVVEWITAMALVWKYGERKGYESWKGLSWGMVPLLGGALCACTWHFFYNDESLEVLVALQAALTVFGNITLCIAAFRINKLSSKIEVSEKP >scaffold_702067.1 pep chromosome:v.1.0:7:8438791:8439370:1 gene:scaffold_702067.1 transcript:scaffold_702067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQNDSTSFTQSQASEPKLCAKGCGFFGSPSNMDLCSKCYRSICAEEAQTAVVKAAVEKSFKPSPLPLPSRSLFIAEPAVVKPEPEKAVVVVSAEPSSATVPEEREPSRPARPTNRCLCCNKKVGIMGFKCKCGSTFCGDHRYPEIHDCSFDFKETGRGEIAKANPVVKADKLQRF >scaffold_702071.1 pep chromosome:v.1.0:7:8467384:8469027:1 gene:scaffold_702071.1 transcript:scaffold_702071.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7ME06] MESTGEVVKTTTGSDGGVTVVRSNAPSDFHMAPRSETSNPPPTSVAPPPPPPPQKSFTPPAAMDGFSSGPIKKRRGRPRKYRHDGAAVTLSPNPISTAAPTTSHVIDFSTTAEKRGKMKPATPSSFIRPKYQVENLGEWAPSSAAANFTPHIITVNAGEDVTKRIISFSQQGSLAICVLCANGVVSSVTLRQPHSSGGTLTYEGRFEILSLSGTFMPSDSDGTRSRTGGMSVSLASPDGRVVGGGVAGLLVAATPIQVVVGSFLAGTNQQDQRPKQQNHNFMSSPLMPTSSNVADHRTIRPMPSSLPIRTWTPSFPSDPRHKLSHDFNITLT >scaffold_702078.1 pep chromosome:v.1.0:7:8494526:8495632:1 gene:scaffold_702078.1 transcript:scaffold_702078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQSQTEKLAKPILKKKAGTPGRSIDVFAVQCEKCLKWRQIGTQDEYEEIRSRIQEDPYVCEKKEGISCEDAGDLNYDSSRTWVIDKPGLPKTPRGFKRSLILRKDYSKMDAYYITPTGKKLKSRNEIAAFIDANQDYKYALLGDFNFTVPKVMEETVPNGIISDRTPKTSKGSN >scaffold_702079.1 pep chromosome:v.1.0:7:8497323:8498842:1 gene:scaffold_702079.1 transcript:scaffold_702079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGRDPFGGGFGGPFGGFGGGPFGGFGGGSFGGFGGPNGPPNLMDNFFGGRDPFDDPFFTQPFGGGMFQSNFFGPSMNPFAEMRRLPPGFIENNQPPGPSRSRGPVIEEIESDDEKEGEGDKEKKGRLGKHGRSSSEAENEDLFNGRKSFVSLELIVFYVNAERRNRQMQNMNVNAERRNPQMQNMNVNAMVNNGQWQPQTGSYSFQSSTVTYGGQNGNYYTSSKTRRTGSDGLTLEESREANTATREAAHRISRGLHNKGHTVARKLNSDGRVDTTQTLHNLNEDELANFEQSWSGNARRQMQLPGRSGSFGSGLVNREQPMLLPSTDPSPSHARAESSRRPKAAMNVRGPGRN >scaffold_702080.1 pep chromosome:v.1.0:7:8499609:8500761:-1 gene:scaffold_702080.1 transcript:scaffold_702080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVGIYGGIGEEFDDGVYDSVRKVCVGVDGDRVSSVEFEYGKGDQTITLSHGKKSSQERKEFVLDHDEYIKSVEGTFHQDYFISSLTFITSVERDREVFGKEVGTKFVLKAKGFDKLVGFRGRSSLDRLNALGAHFAVVLTPPVKKLEAKGGNFGDVWDDGVHDNVRKITIRRSEESVGMVKFKYVNGTEIVLGDARGHTSQLPLVKEKFVLSEDEYITSVHGHYGQKFPVGQSVNLHGRFCDGITMLKFKTNKDTYQVLGAETEGYEYVGTSFVLGETGHKIVGFHGKSSRFSLAQIGVYVSPINNA >scaffold_702083.1 pep chromosome:v.1.0:7:8510676:8511960:-1 gene:scaffold_702083.1 transcript:scaffold_702083.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase [Source:UniProtKB/TrEMBL;Acc:D7ME14] MKKKMIAIGFEGSANKIGVGIVTLDGTILANPRHTYITPPGHGFLPRETAHHHLDHVLPLVKSALETSQVTPEEIDCLCYTKGPGMGAPLQVSAIVVRVLSQLWKKPIVAVNHCVAHIEMGRVVTGADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLKLSNDPSPGYNIEQLAKKGENFIDLPYAVKGMDVSFSGILSYIETTAEEKLKNNECTPADLCYSLQETVFAMLVEITERAMAHCDKKDVLIVGGVGCNERLQDMMRTMCSERDGKLFATDDRYCIDNGAMIAYTGLLAFVNGIETPIEDSTFTQRFRTDEVHAVWREKEALVLGDKNVAAN >scaffold_702084.1 pep chromosome:v.1.0:7:8517006:8517627:-1 gene:scaffold_702084.1 transcript:scaffold_702084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRCAVCKILKETCAPGCISAPHFPSDDTRFDDVHQVFGAVNVRNILNNLESPEQRAIAANCLRYAAEARRRDPISGCHGMILHYKNILNNVEQDIKSAMNELETYVGHDQVPKFYDLPMPDDFLITPGSSDSFIEKIKSLNDVQKNQLMQLQTAADVQMIMSNIFWKREDQKMDDGHGHGADGASTSASQ >scaffold_702086.1 pep chromosome:v.1.0:7:8523229:8523469:1 gene:scaffold_702086.1 transcript:scaffold_702086.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ME17] MASSSSQRMAALLNPIRFSGNPYSDDFFLNSSSFSIESGNRYLFQFHCKFCSFESSALFSKSYTCS >scaffold_702091.1 pep chromosome:v.1.0:7:8544115:8544446:-1 gene:scaffold_702091.1 transcript:scaffold_702091.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Plant lipid transfer protein/seed storage/trypsin-alpha amylase inhibitor (InterPro:IPR003612); BEST Arabidopsis thaliana protein match is: Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily /.../in (TAIR:AT4G22640.1); Has 25 Blast hits to 25 proteins in 2 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 25; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:TAIR;Acc:AT4G22650](projected from arabidopsis_thaliana,AT4G22650) MAYTNIMAVAVTTVLLLAVVIAPQWTEAKKPPQQTDTSDTDRTSGTDRTVCPFSVPEIVQNCYATMSALPSEKCCKDLKTASKTDVTCLCNNVMHIX >scaffold_702094.1 pep chromosome:v.1.0:7:8558439:8559299:1 gene:scaffold_702094.1 transcript:scaffold_702094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSFFSRKKPSRRFNDFYDDWSKTLTENCLPLLRQSLSSAASASVLSSNVDLVLRHLVLYYETLDLAADPNTIPYLLFPSWRNSLETPFLFLGDIHPYLLTNLLRSFIDRENQDSDEDDETSLDLMNQPLKMTTAWKDPSDELVKRIDQIECTMRLMVPGLMDRMRKAQRSFVARVSENWVLSYQAGKKKKLPATAVVASTSVDEAAKEEMEELVSIFVDANRLRKSVIMDIVGATSEHQAALFLEGLCQFLAGFKDQVLLQDFEILALPN >scaffold_702101.1 pep chromosome:v.1.0:7:8586138:8586423:1 gene:scaffold_702101.1 transcript:scaffold_702101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQKPPETPNTIATVLKQPLASATQPQWRENSLQAMAHHQHLASEKNSRSTPPPPEQTNMTKEKKYNDKEPSHGTGKECR >scaffold_702106.1 pep chromosome:v.1.0:7:8616371:8616756:-1 gene:scaffold_702106.1 transcript:scaffold_702106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNMMKNTIALILAIHLVFFGFTVAQPPPPPAPVCPRDIQACVNVLRTSVILNAQTVSRCCTLVAGLDASVASVCICNAVRISLLNILTITLRLNQVLGICRITPPAGFTCA >scaffold_702107.1 pep chromosome:v.1.0:7:8620801:8621186:-1 gene:scaffold_702107.1 transcript:scaffold_702107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNMTTIMSDLGICVNVLGLINLTTSNVAQCCSILSGLNAPLVSVCACEALRLNILNLLGITINLNLRLNGLLGLCRLPIQPGYNCLA >scaffold_702108.1 pep chromosome:v.1.0:7:8623448:8623986:1 gene:scaffold_702108.1 transcript:scaffold_702108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKCSKTTIALFFAFTILLIGDAEANSRPRPRPPPPPPRTPRTPPTTPPRTPPLSPPRNPPTPPPRTPPVPPPRTPPTPPPRGRCPRNGLPLQICSTILSIFDGFLGFGRAQPCCSLIRNLSDADALACLCESVRAPSGSLPPNIINLYRTCGRSIPPGFTCP >scaffold_702110.1 pep chromosome:v.1.0:7:8632096:8632520:1 gene:scaffold_702110.1 transcript:scaffold_702110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGSTKTLALFLALISIVLLGQTQAKNRPYLRPPPPRFPPPPCPVCICPAPPPTEVLPTSPPTTPPETPPTTPPETPPNTPPETPPNTPPENPPTTPPEHLQTHHQILHQHFHTNTPPETPPNTPX >scaffold_702112.1 pep chromosome:v.1.0:7:8641762:8642205:1 gene:scaffold_702112.1 transcript:scaffold_702112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNSLALFLLFNFLFFTLTNATRSTNCPPPPGKHNKHKPSPTPTSGTCPKDALKLGVCVNALNLLNDVTLGTPPVTPCCSLIKGLVDLEAAVCLCTALKASVLGINLNLPIDLSLLLNVCSRKAPHGFQCP >scaffold_702113.1 pep chromosome:v.1.0:7:8644404:8644871:-1 gene:scaffold_702113.1 transcript:scaffold_702113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTVSSIRKFQSSNSTKQKKKNPKFIYLSLAHDDPDSVVIGAAMERIIGGKYKLGRKIGCGSFGEIFFATHIDTFEIVAVKIVSINSVSYDTNLLCFDFFCIMFIGSCGSFELI >scaffold_702116.1 pep chromosome:v.1.0:7:8656689:8658120:-1 gene:scaffold_702116.1 transcript:scaffold_702116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPSPKKACNRCAFYLAILHQCSDCKIRQTIVQIQENWFSAEHLIRRLLGPAEIVKQWIKGSFVGSMVARDRSDSSLEGGYSQCESLANEICSHESKRQPIPQRGQGKYLLRVTSQLKQMLIGQRRLSATTSIDVKSGQS >scaffold_702117.1 pep chromosome:v.1.0:7:8661686:8663771:-1 gene:scaffold_702117.1 transcript:scaffold_702117.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER membrane protein complex subunit 3 [Source:UniProtKB/TrEMBL;Acc:D7ME45] MAEDLVLDTAIRDWVLIPLSVVMVLIGILRYFVSKLMRSSPTPDAKMVKEGQVVIRARNLKAGANFIPPKSFRARRFYFSNEENGLLHVPKDQTQNPQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGDENAIDDTQRMMQMGGFGFDASKSLSAEKDGLDIIQHEWALPRFEHRAESVLKKLVR >scaffold_702133.1 pep chromosome:v.1.0:7:8725649:8726859:1 gene:scaffold_702133.1 transcript:scaffold_702133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQLFTQFPCKTRVSITRNSKSFSKPPILVPMSSIIHRPVFSTRRIGVSRADFRVRATDAAGERGSALLAAEEAIEAVEETERLKRSLADSLYGTDRGLSASSETRAEIGDLITQLESKNPTPAPTEALFLLNGKWILAYTSFVNLFPLLSRGIVPLVKVDEISQTIDSENFTVQNSVRFAGPLGTNSISTNAKFEIRSPKRVQIKFEQGVIGTPQLTDSIEIPEYVEVLGQKIDLNPIRGLLTSVQDTASSVARTISSQPPLKFSLPGDNAQSWLLTTYLDKDIRISRGDGGSVFVLIKEGSPLLNP >scaffold_702135.1 pep chromosome:v.1.0:7:8727916:8729347:-1 gene:scaffold_702135.1 transcript:scaffold_702135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKISSWTDLDSEDEVLRMDSETTLKQEVAWATHLSLHNAILEWYHLNTDFYLVSELFVLL >scaffold_702136.1 pep chromosome:v.1.0:7:8732119:8733619:1 gene:scaffold_702136.1 transcript:scaffold_702136.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster assembly protein [Source:UniProtKB/TrEMBL;Acc:D7ME63] MMLKQAAKKALGLTSRQSTPWSVGIFRSYHENVIDHYDNPRNVGSFDKNDPNVGTGLVGAPACGDVMKLQIKVDEKTGQIVDARFKTFGCGSAIASSSVATEWVKGKAMDDVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYKEKRVKTNGAAAAGETTQA >scaffold_702143.1 pep chromosome:v.1.0:7:8762066:8763125:1 gene:scaffold_702143.1 transcript:scaffold_702143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTSIRSKPPPEILSPCGSQRRRSSCDSNPPEFEFWRLTNTSFPQADSDLLSADELFHDGVLLPLHLLSVKSELPSDPNIVECDPDASPSPVTLITEQKNDLEPGLGSELTRETTVSKRWRDIFRKSETKPPGKKEKVKENKKEKKKTGSGSGSGSGSGAELNINIWPFSRSRSAGNNVTRPRMSFGAPTTRKVSSAPCSRSNSTGESKSRKWPSSPGRNGVHLGRSSPVWQVRRGGGAPVGKTIPEPMGRVVGKREIPETRKGKTVIESNKAKVLNLNVPMCIGYRSRLSCRTEESGGGNSNIGSDNNNNNANANNPNPNGLFGFRNLFIKKVY >scaffold_702145.1 pep chromosome:v.1.0:7:8769178:8770426:-1 gene:scaffold_702145.1 transcript:scaffold_702145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGHEPSSPKRLRRDTPNSWSELPLDLLTSVFERLSFANFHRAKFVCSFWHSASRQIIPKQTSWLILFPRDNDNNNSCTLFNPEEKHKLYKTKDLGEEFAKSLCKATYGSWLLMRDPRFNLYILNIFTRERINLPPVESQLGMTKMERTIDDCFRIISHDHNMISREYIGLYIGPCVFWIDEKTKDYIVIWGLGRWSVVYSKKGDTSWNQIPEISDCCDMVYKDYKLYFSGSGYQCDFRIFDFSRETPQQTFQGIVIMQGLILNHRHGQPGYSHCTVSTKLVVTVNGDVLKVDRIWERKTRICRFFDVYKVYSSESLKNYEKVESLGDEAMLLDLGITVLANDIEGLKRNCIYFSGSLENIIHDKFIFNIETQKMERVHKLDCSSAQLSSARWFLPSFTQT >scaffold_702146.1 pep chromosome:v.1.0:7:8771062:8772277:-1 gene:scaffold_702146.1 transcript:scaffold_702146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHNPNSWSDLPLDLLNLVFERLSFASFQRAKSVCSSWYSASRQCVPKKQIPWLILFPEDNNNNNNNNNNNNNNSCKLFNPDEKQKLYKTQDLGVEFAKSVCLATYGSWLLTRDPRYNLYILNLFTQERIDLPSVESQLGITKIERGLDDWFHVSKDHFQRQIKELCIRSPVIWIDEKTKDYIVLWGLGGLCVLYSKKGDTAWNQLPEISHCLHMVYKDHKLYFSSSENIFTILNFSREVPQQIFQCGMDLLIIRMSLGRRCHRLSNSWFVDETKLVVTVTGNVLRVQRMLRPRSGIQSFRVCKVFPKYEEVDSLGDEALLLDLGVTVLVNDIEGLKRNCIYLSGSHGKKKNGIFIFNFETKKMELLRKFDCSSVQLSRARWFLPSLTHT >scaffold_702147.1 pep chromosome:v.1.0:7:8772507:8773773:-1 gene:scaffold_702147.1 transcript:scaffold_702147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQNPNSHKRLRQLETSNYWSELPIYLLNLVFQRLSFANFQRAKSVCSSWHSASRQSVPKSQTHWLILFPENINKEKSCKLFNPEEKDKLYKTQDLGLEFGRSLCIATYGSWLLMQDSKYTDNSLYIVNLFTRERINLPPVESQIGMVNVEIIWNTMYLFRISNMKTESKSEVMHIQSPVFWIDENTKDYIVIWGLGFRCVVSDRKLYFLSCFGSFKIFNLSGEIAQQTFQCGVKPEIFRLGKELGQLSSSWCVIDTKLVVTVTGIVLKVKKLWRKRSRTWSFQVFKIYASGLLKEPELIKSLGDESMLLDQGITVLVNDTDGFIRNCIYFSGNDHEKNTNNIFIFNLKTQKTEPLHRFDRSLAQFSRAAQWFLPSFTHTRC >scaffold_702155.1 pep chromosome:v.1.0:7:8789352:8793011:-1 gene:scaffold_702155.1 transcript:scaffold_702155.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase [Source:UniProtKB/TrEMBL;Acc:D7ME76] MAIGDRAMFTVLLLFIALISGFSIVSCVTDPSDVQALQVLYTSLNSPSQLTNWKNGGGDPCGESWKGITCEGSAVVSIDISDLGVSGTLGYLLSDLMSLRKLDVSGNSIHDTLPYQLPPNLTSLNLARNNLSGNLPYSISAMGSLSYLNVSGNSLTMSIGDIFADHKSLSTLDLSHNNFSGDLPSSLSTVSALSVLYVQNNQLTGSIDVLSGLPLTTLNVANNHFNGSIPKELSSIQTLIYDGNSFDNVPATPQPERPGKKGEPSGSKKPKIGSEKKSSDSGKGLSGGVVTGIVFGSLFVAGIIALVLYLCLHKKKRKVGGSTRASQRSLPLSGTPEMQEQRVKSVASVADLKSSPAEKVTVDRVMKNGSISRIRSPITASQYTVSSLQVATNSFSQENIIGEGSLGRVYRAEFPNGKIMAIKKIDNAALSLQEEDNFLEAVSNMSRLRHPNIVPLAGYCTEHGQRLLVYEYVGNGNLDDMLHTNDDRSMNLTWNARVKVALGTAKALEYLHEVCLPSIVHRNFKSANILLDEELNPHLSDSGLAALTPNTERQVSTQVVGSFGYSAPEFALSGIYTVKSDVYTFGVVMLELLTGRKPLDSTRTRVEQSLVRWATPQLHDIDALSKMVDPSLNGMYPAKSLSRFADIIALCIQPEPEFRPPMSEVVQQLVRLVQRASVIKRRSSDDTGFSYRTPEHEHIDISY >scaffold_702156.1 pep chromosome:v.1.0:7:8794478:8794686:1 gene:scaffold_702156.1 transcript:scaffold_702156.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ME77] MGKNASWSVRSCKLGYGVPSLRGYNLKTHFSLFLDVHQIVCIHSGLTFNSRRLY >scaffold_702157.1 pep chromosome:v.1.0:7:8799846:8800054:1 gene:scaffold_702157.1 transcript:scaffold_702157.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ME77] MGKNASWSVRSCKLGYGVPSLRGYNLKTHFSLFLDVHQIVCIHSGLTFNSRRLY >scaffold_702159.1 pep chromosome:v.1.0:7:8811171:8811627:1 gene:scaffold_702159.1 transcript:scaffold_702159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSATLLLVSCVLMSFILGHVKEVEAALNPMDQCGRKDIFLGGCGGNGNKTCINDFVKKGGASNKPSSCECDNFGEEHLCRCYFPC >scaffold_702161.1 pep chromosome:v.1.0:7:8818652:8819123:1 gene:scaffold_702161.1 transcript:scaffold_702161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTTLVLVSCVFMSFILTHVKEVEAGLDPMAANLRVRKDIFIGGCGGDGNKTCMKDFVKKGGVMNKPISCECDDFGYEHLCRCNFS >scaffold_702165.1 pep chromosome:v.1.0:7:8834918:8835146:-1 gene:scaffold_702165.1 transcript:scaffold_702165.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ME86] MMEYGCRLKTTVGTMAISQSALQAKLSLLSLIPEVLTFGSRQKIGCPCLTLIVTSQVHKYL >scaffold_702166.1 pep chromosome:v.1.0:7:8835987:8837158:-1 gene:scaffold_702166.1 transcript:scaffold_702166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAIDFIFGWFLDPVVYGDYPKIMKDTLGERLPRFTLLESQLVKGSIDFLGLNYYFTQYGTDSLRSISTPPNIQTDPRDANFVYYPPGLRQILNYIKDNYTNPLTYITENGFSTFGNLTLAEALADQGRIENHCSHLACLKCAIEDGCNIAGNFPWSSMDNYEFSSGYTICFGLNWVNFTNPADRREKDSAKWVKHKVIGYKATMCYVVI >scaffold_702171.1 pep chromosome:v.1.0:7:8851609:8852606:-1 gene:scaffold_702171.1 transcript:scaffold_702171.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ME91] MMEFVCRMKTTVDTMARSQSALQAKLSPLSLIPEVLAFGSRQIIGSINLTTVTSQVHQEPLNRMYQTSFYMGQSEGAANQKQLSLPAEVECDN >scaffold_702172.1 pep chromosome:v.1.0:7:8855260:8855716:1 gene:scaffold_702172.1 transcript:scaffold_702172.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAY2] MGHYATVWDQKEASEIIKDWNGVDQVLLRNPHGASAKISLHGGQVISWRNEQGEELLFTSNKAIFKPPKSMRGGIQICYPQV >scaffold_702173.1 pep chromosome:v.1.0:7:8857698:8860398:-1 gene:scaffold_702173.1 transcript:scaffold_702173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQASRLMLTTSCSSCTRTRSAVSIPKLRKFNVAIPQIPIKNRNFRVEEPSFRLDGFAEDFDLSLLEKQRQKKRGCDDQVLQRSRLMAVLEEVIDRVEMHKNIGEQRNNWNSLLLDSVNMITLTAALMAGIASMNASGVDSVSAVNIASTVLLASATGLAALMNKIQPSQLVEEQRNATRLFKQLRNRIEMVLREKSEDGISEADVKEAIKRVLCLDKAYPLPLVGTMLEKFPQEFKPATWWPETKPESTHSRTEANGWNSELEMEMREVVEVIKSRDAEEYEKLGNVALKLNRVLAISGPVLTGIAAVSSGFIGHGSGLAGVVATTCASLAAVMNTLEHGGQVGMVFEMYRNSAGFFSLLEDTIKTERRENGQVFETKVALKLGRSLSELRDLARRSSLSRLQGSAIDECGYGCNIAGYFPWSSMDNYEFSSGYTIRFGLNWVNFTNPADRREKDSAKWYCTFNTN >scaffold_702174.1 pep chromosome:v.1.0:7:8865170:8865360:-1 gene:scaffold_702174.1 transcript:scaffold_702174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPLRLADFRYSTGIFEKYTVLKPQPLIIA >scaffold_702175.1 pep chromosome:v.1.0:7:8873768:8877325:-1 gene:scaffold_702175.1 transcript:scaffold_702175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSCKISIVLLLVLINGVFGDNPYRFFTWKITYGDIYPLGVKQQGILINGQFPGPHIDAITNDNIIISVFNYLKEPFLISWNGVQQRKNSWQDGVVGTTCPIPPGKNFTYVIQVKDQIGSFYYFPSLAFHKAAGAFGAIRVWSRPRIPVPFSPPDGDFWLLAGDWYKTNHYVLRRLLEAGRNLPNPDGVLINGRGWGGNTFTVQPGKTYRFRISNVGVATSLNFRIQGHTMKLVEVEGSHTVQNIYTSLDIHLGQSYSVLVTANQAPQDYYIVISSRFTRKVLTTTSILHYSNSRKGVSGPVPNGPTLDIASSLYQARTIRRNLTASGPRPNPQGSYHYGLIKPGRTIILANSAPWINGKQRFAVNGASFVAPDTPLKLADYFKIPGVFNLGSIPTSPPGGNGGYLQSSVMAANFREFIEVVFQNWESSVQSWHVSGYSFFVVGMDGGEWTPGSRSKYNLRDAVSRSTVQVYPRAWTAIYIALDNVGMWNIRSENWARQYLGQQFYLRVYTSSTSYRDEYPPPKNALMCGRARGRHTRPF >scaffold_702177.1 pep chromosome:v.1.0:7:8883297:8885036:1 gene:scaffold_702177.1 transcript:scaffold_702177.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRH26 protein [Source:UniProtKB/TrEMBL;Acc:D7ME98] MALAINVSSSSSSAISSSSFPSSDLKVPQIGSLRLLDRINVSAASLSLSGKRSSVKALNVQSITKESIVASELTEKLDVVEVEDFEELAKRLENASPLEIMDKALEKFGNDIAIAFSGAEDVALIEYAHLTGRPYRVFSLDTGRLNPETYRLFDTVEKHYGIRIEYMFPDAVEVQALVRNKGLFSFYEDGHQECCRIRKVRPLRRALKGLRAWITGQRKDQSPGTRSEIPVVQVDPVFEGLDGGVGSLVKWNPVANVEGNDVWNFLRTMDVPVNTLHAAGYVSIGCEPCTRAVLPGQHEREGRWWWEDAKAKECGLHKGNIKENTNGNATANVNGTSTVADIFNSENVVNLSRQGIENLMKLENRKEAWIVVLYAPWCPFCQAMEASFDELADKLGGNGVKVAKFRADGDQKDFAKKELQLGSFPTILVFPKNSSRPIKYPSEKRDVDSLTSFFNLVR >scaffold_702188.1 pep chromosome:v.1.0:7:8924502:8924753:1 gene:scaffold_702188.1 transcript:scaffold_702188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRESNGAIQGNDISSGNSGMEPNKSTFISLLSACSHSGFIDEGLRYYNQMEEKFGVKPVTEHRVCIC >scaffold_702189.1 pep chromosome:v.1.0:7:8928867:8929566:1 gene:scaffold_702189.1 transcript:scaffold_702189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLFPIPTTAREMCRATLVFWVIEDENMPAVTEFWSKHDKITLALLKEGYRGRVTTNGYVVNPKLPKEHFWNAYGDHGITIYLDPEGDESIRYNRMLLHLLYWGRMMKSESNLVVLSRNQNFGQGTKFDRVRETLEELSIKVAVLNPDKVDDYVLDQDGLLLS >scaffold_702190.1 pep chromosome:v.1.0:7:8930784:8931445:1 gene:scaffold_702190.1 transcript:scaffold_702190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLFPIPTTAREMCRETLVLWVIEDVNLPAVTEFWSKHDEITYALLKEGYRGKVRIQGYVVNPKLPKRYFRDAYGDHGITIYLNPEEGDESIRYNRMLLHLLYWAHMMESQSNLVVLSRNQNFGQGTKFDRVRETLEELSIKVAVLNPDKSR >scaffold_702191.1 pep chromosome:v.1.0:7:8932125:8937830:-1 gene:scaffold_702191.1 transcript:scaffold_702191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEKATYAQILSRPRPNIPPIQPPEKKKDLQSEAEVDPRFEVPFLWDFENSPIRSDQVAQLEANINSSLKTLHPKLHLAKRKYGAGNTNLDFVYDHEDELDDMGFHMEDSVITGRYCSVCNGDREVGDFGDYYMRLREVADRMILQQLQRHVLNGSPSNFVLLVSRDADFKLSMEFLKAKNYIVFLAILGKTNEAFTRTGNYVWDWGKMPDGNPNKFRQECKKSCPVVKTVLRQWKLCLYFQAPSSKAGAGARVGWNKWYWKINCSEKAAAGVIRSILNVVQICHFMGVIHRDLKPENFLLASSTDENAMLKATDFGLSVFIEEVMFEYCDPLRLLHMLKATDFGLSVFTEVGKVYRDIVGSAYYVAPEVLRRSYGKEIDIWSAGIILYILLCGVPPFWAETEKGIFDEIIKGEIDFQSQPWPSISESAKDLVRKLLTKDPKLRISAAQALEILVGFLSEHPWIRGGEAPDKPIDSAVLSRMKQFRAVNKLKKLALKVIAESLSEEEIKGLKTMFTNMDTDKSGTISYEELKTGLAKLGSKLTEAEVKQLMEAVSIVILFACFICLKLLILVCLRKVYRGIVGSAYYVAPEVLRRSYGKEIDIWSAGIVLYILLCGLQCNKKTEKGIFDEIIKGEIDFESQPWPSISESAKDLVRKLLTKDPKQRISAAQALEEDKHIDSAVLSRMKQFRAMNKLKKLALKVIAESLSEEEIKGLKTMFANMDTDKSGTITYEELKTGLAKLGSKLTEAEVKQLMEAADVDGNGTIDYIEFISATMHRYRFDRDEHVFKAFQYFDKDNSWFITMDELESAMKEYGMGDEASIKEVIAEVDTDNDGRINYEEFCAMMRSGITLPTQGNFFQSND >scaffold_702196.1 pep chromosome:v.1.0:7:8947990:8948744:-1 gene:scaffold_702196.1 transcript:scaffold_702196.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MEB2] MASSSNPTGESSRRIVDEEVSVLWDLNTCPVTDHYYLTKIVDSIENSLNDLHRNNPKLYPKLRLSSTRIVCGDKKNFGTTGTRILKNQGFDPFYAVERGNGCSQHRRAKSTEPAADCLLETYALRYAEFRPRPHPPRNILFITSDYRFHATMDNFFRGNHLIFLAYKCRTSHPNFGLHTNFGWDWEDMELGQNGLKIDNFTLPGV >scaffold_702197.1 pep chromosome:v.1.0:7:8957700:8957951:1 gene:scaffold_702197.1 transcript:scaffold_702197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGREGNGAIQGNDISSGNSGMEPNKSTFISLLSACSHSGFIDEGLRYYNQMEKKFGVKPVTEHRVCIC >scaffold_702198.1 pep chromosome:v.1.0:7:8963416:8966007:-1 gene:scaffold_702198.1 transcript:scaffold_702198.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 15 [Source:UniProtKB/TrEMBL;Acc:D7MEB5] MGCFSSKHRDTESDIINGSVQSSIPTNQPETHVSRDVLKPQKPPSPQIPTSTQSNHHQQQESKPVNQQVITTTLKKPIVFRETETILGKPFEEIRKLYTLGNELGRGQFGITYTCKENSTGNTYACKSILKRKLTRKQDRDDVKREIQIMQHLSGQENIVEIKGAYEDRQSIHLVMELCGGSELFDRIIAQGHYSEKAAAGVIRSILNVVDICHFMGVIHRDLKPENFLLASTDENAMLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRSYGKEIDIWSAGIILYILLCGVPPFWAETEKGIFDEIIKGEIDFESQPWPSISESAKDLVRKLLTKDPKQRISAAQALEHPWIKGGEAPDKPIDSAVLSRMKQFRAMNKLKKLALKVIAESLSEEEIKGLKTMFANMDTDKSGTITYEELKTGLAKLGSKLNEAEVKQLMEAADVDGNGTIDYIEFISVTMHRYRLDRDEHLFKAFQYFDKDNSGFITMDELESAMKEYGMGDEASIKEVIAEVDTDNDGRINYEEFCAMMRSGITLPTQGKLLPVQ >scaffold_702203.1 pep chromosome:v.1.0:7:8988565:8988845:-1 gene:scaffold_702203.1 transcript:scaffold_702203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIMNPKQYSKIEKEDPNEIIHKRAQFLIHKILQRADTETLRQRQKRNTMIKTLSFRVVGIRMKIGKKLRKLRKSCVI >scaffold_702216.1 pep chromosome:v.1.0:7:9039852:9040531:1 gene:scaffold_702216.1 transcript:scaffold_702216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTATAAAPPTKSFQKQDEEWRAILSPEQFRILRQKGIEQRGTGEYTKLFEEGTYCCSGCGTAVYKSTTKFDSGCGWPSFFDAIPGAINQTPEEGKNRLEITCAVCDGHLGHVLKGEGFPNPTDERHCVNSVSLKFSSTDSSK >scaffold_702223.1 pep chromosome:v.1.0:7:9066261:9066454:1 gene:scaffold_702223.1 transcript:scaffold_702223.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MEP2] MDCAPPANSEASSVGGHGTPSPMTTSSSLSNSPCIAASITDTILDTIHNC >scaffold_702229.1 pep chromosome:v.1.0:7:9099436:9099952:-1 gene:scaffold_702229.1 transcript:scaffold_702229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLNRVRSSSSSIFPFTITFLVLFTGNSLAGELRPSDHGLQYQFSSPPTESHSPPGKMKSFFGDSHSSPPPSHSQLLPKATAADGGDDDSWWRDGAGYRRDHVMRHVFLAASIICGVSGVALLVVFTLIYFFRYRKHNHSNSPGNDSKEIRF >scaffold_702231.1 pep chromosome:v.1.0:7:9108318:9109991:-1 gene:scaffold_702231.1 transcript:scaffold_702231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQQWTPPCGSKCTHKYSAFTQLPWRVFCKKGCDADSDSWEDCVGDCSEICYKDPVLKDRPWTAVIDRSPGDAKYSEECFHACVAGCGYKFDVEAEIVNKVKPKRPPPPPPKPQPPPPPPQSQKPKQPPTEDVPATSA >scaffold_702240.1 pep chromosome:v.1.0:7:9149244:9149738:-1 gene:scaffold_702240.1 transcript:scaffold_702240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSCCPNAKSFKREEVVLEADGYQPYLISTEKGFRSLIKIVIELAKDPPRLMFMRCVYLNVF >scaffold_702241.1 pep chromosome:v.1.0:7:9150325:9150667:1 gene:scaffold_702241.1 transcript:scaffold_702241.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MEQ9] MREPSMLVREAAAEQLEERQSDWAYLQPRHSRSLSATLQPRHSRSLLQTSSFFSFDSLPLLNSAADFGVDGGEVPFWCRLRFQIGLIWCRNNREKALYN >scaffold_702242.1 pep chromosome:v.1.0:7:9152325:9153414:-1 gene:scaffold_702242.1 transcript:scaffold_702242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYENIYIYELRFLILYSLKQIKPKQSLHLEAWKPVSIGYKRRWWDCIALPDDVHPFDEGALRMEIKNFACTEEAEEITRLFLDALGDEYSVCCQG >scaffold_702243.1 pep chromosome:v.1.0:7:9154369:9155264:1 gene:scaffold_702243.1 transcript:scaffold_702243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQTEYGGQAITLGGDVSKAADVDAMMKTALNKWGTIDVVVNNSGITRDTLLIRMKQSQWDKVISLNLTCVFLCTQVSIPPILLKY >scaffold_702244.1 pep chromosome:v.1.0:7:9156014:9159946:1 gene:scaffold_702244.1 transcript:scaffold_702244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADSTVAHVNSVVSNGDVSNGNTTASSKKSRESDRRRRRRKQKKNNKASQADVDTSDVSGASDSKENADPQQQVSEQIVIEYVPEQAEFEDGFNDEFKEIFEKFNFREPVTSEEDGKKDESEDKEDVKKKVNSDSGSDEDERDNQNKEKGISNKKKKLQRRMKIAELKQVSARPDVVEVWDATSADPKLLVFLKSYRNTVPVPRHWSQKRKYLQGKRGIEKQPFHLPDFIAATGIEKIRQAYIEKEDGKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLSALGDLYFEGKEFEVKLRETKPGILSHDLKEALGMPEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPLGASFGYHIGGWGKPPVDEYGRPLYGDVFGVQQQDQPNYEEEPIDKSKHWGDLEEEEEEEEEEEEEQEEEMDDEDLQDGTESVDTLSSTPTGIETPDAIELRKEQRKEPDRPLYQVLEEKGESVAPGTVLGTTHTYVIKTGTQDKTGAKRVDLLRGQKTDRVDVSLQPEELDAMENVLPAKYEEAREEEKLRNKPVDLSDMVVEHVQQNSRKRKMHDKEGKKKKDFKF >scaffold_702250.1 pep chromosome:v.1.0:7:9180649:9181085:-1 gene:scaffold_702250.1 transcript:scaffold_702250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTKSILLASLLIIIFISATESARQKSGNDGLGFGGIPGSGYIPGFGNGFPGTGVGGGYGGGFGGPSGGFGKGGVVRPTVTCREKGPCYGKKLRCPAKCFKSFSRSGKGYGGGGGGGGCTMDCKKKCIAYC >scaffold_702259.1 pep chromosome:v.1.0:7:9223719:9228934:-1 gene:scaffold_702259.1 transcript:scaffold_702259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFSARFCFNHECFEFKLDHCRPGWRLRSGDFVDLCDRCASAYEQGQFCDVFHQRASGWRCCESCGKHFVQQIHCGCIVSASAFTLLDAGGIECLACARKKVALGSNFSPSPSFLFQSPISEKFNDLSINWSSSTRSNQISYQPPSYLGPSALQFDSRNRGGNNEFSQPTSKDRATACPMGKKRGMNDMIGKLMSENSKHYKVSPFPNVNVYHPLISIKEGPCGTQLAFPVPITTPIEKTGHSRLDGSNLWHTPNSSPLSRLHNDLNGGSDSPFESKSRNVRTHLDTPGKYQVVPRYWPKVSYKNQVLQNQSKEYPSSLIDTTLEYNFMLSASDTGIVGRVVLPKKCAEAFLPQLSHTQGVPLTVQDPMGKEWTFQFRFWPSRNSRIYVLEGVTPCIQSLQLQAGDTELMPGKWELISIFLLVIFSRLDPERKLILGFRKASVTRSSDQAETADMHSPSKVKKSAYITKESPGVECSSGKKKSSMMITRSKRQKVEKGDHNLLKLTWEEAQGFLLPPPNLTPSRVVIEDYEFEEYEDAPIIGKPTDAAGSTCTEVEGLLISPTTTKHPRHRNGCTCIVCIQSPSGIGPKHDRRCSCAVCETKKRRRRSLLLRREKMQMEKEDNAHKELEQLNSDNGLHQSANNSENHERHDSPERHASPLKSQLDLNFKPEKDEESLPGSNKTTENKTFHHNDTVNSSFKSPSSSSAHSQNNKEDEGKLRKNTEVADTTTSSM >scaffold_702266.1 pep chromosome:v.1.0:7:9264247:9265403:1 gene:scaffold_702266.1 transcript:scaffold_702266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCYASEVPPDSKRRKKTKETTTSWSMLPDEIALICLAHLSRFDHAALSLVSKIHRAMVASSRLFNLRQEMGCTDVSMYVCMKVFPNPTPRWFILTPNRRLNPIPSNPYQVPDSSSFVVVDGGIFVIGGLINGIPTSDVSFLDCYCHTWHRIKSMNMPRASASACFVDGKIYVFGGSEHCAHEDTWAEVFDPETQTWAPFLCLPKDWDFETPECKNIYQSVVIEGQKIFGLDEKRPIFYYLSSDQIGNRNDWCIIDKLFYRRGTRGKILWCQPDDLDWKEVKGLEELQHSLSSSRNFFNINKLCSNSAGNIVIFWIGQSLDLCSAEISVERRNGGEIWGKIEWSGVVFEVDSLLHSSYGFKVLFSASVYV >scaffold_702267.1 pep chromosome:v.1.0:7:9268193:9268903:1 gene:scaffold_702267.1 transcript:scaffold_702267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETLQVSSFNSLFSCFISLYSLILIYFPNDLLRIFLSPVLLISGALLLSLLRLGSTRESNTRPEKSYEILKEAEEPNVFLVRDSDLMGDFVEWNLRAPLEVIHEAYEEEEEEDPNEKDPTRFRKIERYPSLSLCYPESDSASSSEFNFPEIGDWNSAEDIGFRWEEEDDDGGIGGEGLIEIKLDEYNHRSHNSKMMNKWKQTEIDFHGEDDGLIEIDLFP >scaffold_702270.1 pep chromosome:v.1.0:7:9278695:9280631:-1 gene:scaffold_702270.1 transcript:scaffold_702270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATFMN [Source:UniProtKB/TrEMBL;Acc:D7MET2] MSMSNSLKKLTSCVLIDLDGTLINTDGVVGDILRKYLCKYGKQWDGRESLKIVGQTPLEAATTIVEDYGLPCKVDEFNSEFYPLFSAQMDKIKSLPGANRLIRHLKCHGVPVALASNSSRANIESKISHHEGWKECFSVIVGSDEVSKGKPSPDIFLEAAKRLNKDPADCLVIEDSVPGVMAGKAAGTNVIAVPSLPKQTHLYTSADEVINSLLDIRPEKWGLPPFQDWIENTLPIDPWHIGGPVIKGFGRGSKVLGIPTANLSTKDYADELVEHPSGVYFGWAGLAKRGVFKMVMSIGWNPYFNNKEKTIEPWLLHDFTEDFYGEELRLIIVGYIRPEANFSSLESLIAKIHEDREVAEKALDLPSYAKFKDDPYLTKG >scaffold_702274.1 pep chromosome:v.1.0:7:9300468:9300675:1 gene:scaffold_702274.1 transcript:scaffold_702274.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MET7] MNNSKALKVKDKTFNYQENWCLRKITRNEITNVFSHTTELNIIKPLVCMKVSKNT >scaffold_702276.1 pep chromosome:v.1.0:7:9306689:9310985:1 gene:scaffold_702276.1 transcript:scaffold_702276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENEIVPDEFRCNRSDGKQWRCKRRALEGKKMCEAHHSQQSLKRSKKKVPESSKLVRSRRGGDEATSSAIEPNESSIRAKRLGKSKRKRVMGEAEAMDEAVKKMKLKRGDLQLDLIRMVLKREVEKRTRLPDTKKKKKKENNNGGFGEFVGEELTRVLPNGIMAISPPSPTTSNVSSPCDVKVGEEPISMTKRRFRSKNIEPLPVGKMQVVPFKGNLVNGRKEKRKRCHWCGTRGFGDLISCLSCEREFFCIDCIEKRNKGSKEEVEKKCPVCRGSCRCKVCSVTNSGVTECKDSQSVRSDIDRVLHLHYAVCMLLPVLKEINADHKVEVKIDAEKKEGNPAKPQLQSSDLTSDDRQLCNGRGSGIVDLQRRCTRSSSVLRLSSDQDQSQESLSRKAGSIKCSNGLKSLTVCKRKEVKGCSNNLSLSLFPLELTSKLEISAEEVVSCYELPEILDKFSGCPFCIGIETQSSSSDSHLKEASKRREDGTGNFLYYPTVMDFHQNNLEHFQTHWSKGHPVIVRSVLKRGSRLNWDPVAMFCCYLMNRNSKTGNSSDCMDWFEVEIGVKQFFLGSLRGKAETNTCQERLKLEGWLSSSLFKEQFPNHYAEILNILPISHYMDPKRGLLNIAASLPDTVQTPDFGPCLNISYRSGEEFAHPDSVKKLGFETCDMVDILLYVTETPVSTKQICRIRKLMKNIGRVRSKNPEKGRESRFDKGKKRDRSEAYAQRDWLDDYPSSDSESSQHCLGAKCRGSEFEGDERESCNDSCEEESLSNSYGAQWDVFQKQDVYKLLEYIKNHSLELEPMDSSKKEVSHPLLEQSYYLDEYHKARLKEEFDIEPWSFDQCVGEAVIVPAGCPYQNRKNKSCVNAVLKFLSPEHVSESIKRVEELNQLPQRVKTKANKIEVKKMAIHKISEAVKEIRELTSSDSTGASRLYN >scaffold_702280.1 pep chromosome:v.1.0:7:9330684:9333743:1 gene:scaffold_702280.1 transcript:scaffold_702280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFRKTSLSLPLFIFFFLYESSIAADTLRRGESLRDGLNHKPLVSPKKTFELGFFSPGSSTHRFLGIWYGSIEDKAVVWVANRAKPISDQSGVLTISNDENLVLLDGKNITVWSSNIESSTNNNNNRVVSIHDTGNFVLSETDTDRVIWESFNHPTDTFLPQMKVRVNPQTGDNHAFVSWRSETDPSPGNYSLGVDPSGAPEIVLWKGNKTRKWRSGQWNSAIFTGIPNMSLLTNYLYGFKLSSPPDETGSVYFTYVPSDSSVLLRFKVLYNGTEEELRWNETLKKWTKFQSEPDSECDQYNRCGKFGICDMKGSNGICSCIHGYEQVSVGNWSRGCRRRTPLKCERNISVGEDEFLTLKSVKLPDFEIPAHDLVDPADCRERCLRNCSCNAYSLVGGIGCMIWNQDLVDLQQFEAGGSSLHIRLADSEVGENKKTKIAVIVAVLVGVVLVGILALLLWRFKKKKDVSGAYCGKNTDTSVVVADMTKNKETTSAFSGSVDIMIEGKAVNTSELPVFCLNAIAIATNDFCKENELGRGGFGPVYKGVLEDGREIAVKRLSGKSGQGVDEFKNEIILIAKLQHRNLVRLLGCCFEGEEKMLVYEYMPNKSLDFFLFDETKQALIDWKLRFSIIEGIARGLLYLHRDSRLRIIHRDLKVSNVLLDAEMNPKISDFGMARIFGGNQNEANTVRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIVSGKRNTSLRSSEHGSLIGYAWYLYTHGRSEELVDPKIRVTCNKREALRCIHVAMLCVQDSAAERPNMAAVLLMLESDTATLAAPREPTFTSNRRNSIDVNFALDSSQQYIVSSNEITSTVVLGR >scaffold_702281.1 pep chromosome:v.1.0:7:9335860:9339460:1 gene:scaffold_702281.1 transcript:scaffold_702281.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g21380 [Source:UniProtKB/TrEMBL;Acc:D7MEU3] MRGVPNFYHSYTFLFFFFFVLILFPAFSISANTLSATESLTISSNNTIVSPGNVFELGFFKPGSNSRWYLGIWYKTISKRTYVWVANRDTPLSSSIGTLKISDNNNLVVLDQSDTPVWSTNLTGGDVRSPLVAELLDNGNFVLRDSKNNSPDGVLWQSFDFPTDTLLPEMKLGWDLKTGFNRFIRSWKSPDDPSSGDFWFKLEAEGFPEVFLWNRESRVYRSGPWNGIRFSGVPEMQPFEYMVFNFTTSREEVTYSFRVTKSDIYSRLSLSSTGLLQRFTWIQTAQNWNQFWYAPKDQCDDYKECGVYGYCDSNTSPVCNCIKGFKPKNPQVWGLRDGSDGCVRKTVLSCGGGDGFVRLKKMKLPDTTTASVDRGIGVKECEQKCLKDCNCTAFANTDIRGGGSGCVTWTGELFDIRNYAKGGQDLYIRLAATDLEDKRNRSAKIIGSSIGVSVLILLSFIIFFLWKKKQKRSILIETATVDQVRSRDLLMNEVVISSRRHISRENNTDDLELPLMEFEEVAMATDNFSTVNKLGQGGFGIVYKGRLLDGQEIAVKRLSKTSVQGTDEFKNEVKLIARLQHINLVRLLACCVDAGEKMLIYEYLENRSLDSHLFDKSRSSKLNWQMRFDIINGIARGLLYLHQDSRFRIIHRDLKASNVLLDKYMTPKISDFGMARIFGRDETEASTRKVVGTYGYMSPEYAMDGIFSMKSDVFSFGVLLLEIISGKRNKGFYNSDRDLNLLGCVWRNWKEGKGLEIIDPIITDSSSPFMQHEILRCIQIGLLCVQERAEDRPMMSSMVLMLGSETTSIPPPKPPDYCVGRSPLETDSSSSKKRDDESWTVNQITVSVLDAR >scaffold_702286.1 pep chromosome:v.1.0:7:9364515:9364857:-1 gene:scaffold_702286.1 transcript:scaffold_702286.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MEU6] MVIKFDGNQLTTSSPEFLIDNDCNPPHRATPSNLLYSVVEQTPWNCPSFNVLSFLHLKFYVYKATPWPLCFTSHPSLRQEPALLYSVNQRKPQLASPP >scaffold_702287.1 pep chromosome:v.1.0:7:9376728:9377892:1 gene:scaffold_702287.1 transcript:scaffold_702287.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7MEU7] MAFDLPNDFRCPISLEIMSDPVILQSGHTFDRVSIQQWIDSGNRTCPITKLPLSENPSLIPNHALRSLISNFAHVSPKESSRPRTQQEHSQSQSQALISTLVSRSPSNASKLESLSRLVRLTKRDSLIRRKVTESGAVRAALDCVDSGNQVLQEKSLSLLLNLSLEDDNKVGLVADGVIRRIVAVLRVGSPDCKAIAATLLTSLAVVEVNKATIGSYPDAISALVYLLRVGNDRERKESATALYALCSFPDNRKRVVDCGSVPILVEAADSGLERAVEVLGLLVKCRGGREEMSKVSGFVEVLVNVLRNGSLKGIQYSLFILNCLCCCSREIIDEVKREGVIEICFGLEDKESEKIRRNATILVHTLLGVPMNS >scaffold_702296.1 pep chromosome:v.1.0:7:9402576:9406906:1 gene:scaffold_702296.1 transcript:scaffold_702296.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:D7MEV4] MASRNQNRPPRSPNAKKEGLGGIPFDKRRKVETQGTGRRQAFSAVNKQDVTMNSDVGSIEECGKVEFTKDEVLALLSERAKAGKFDTKAKIEQMTDIIKRLKICVKWFQQADETHVQEKESLRVSLESAEQKYNHKELEARTKEEELHATISNLKENVVSLHEKLAKEESCKQDAIECHRREKESRVAAEKVQASLGEELYKVKEEKMAAKQKVTSLEDMYKRLQEYNTSLQQYNSKLQTDLETVRAALTRAEKEKSSILENLSTLRGHSKSLQDQLASSRVLQDDAIKQKDSLLLEVTNLRNELQQVRDDRDRQVVQSQKLAEEIGKYQENVGKSSQELDILIAKSGSLEETCSLQKERINMLEQQLAIASERQKMADASVSLTRTEFEEQKHLLCELQDRLADMEHQLCEAELLRKKLHNTILELKGNIRVFCRVRPLLPDDGGRHEATVIAYPTSTEARGRGVDLVQSGNKHPFTFDKVFNHEASQEEVFFEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPETPEQKGLIPRSLEQIFQASQSLGAQGWKYKMQVSMLEIYNETIRDLLSTNRTTSMELVRADSGTSGKQYTITHDVNGHTHVSDLTIFDVCSIGKISSLLQQAAQSRSVGKTQMNEQSSRSHFVFTMRISGVNESTEQQVQGVLNLIDLAGSERLSKSGATGDRLKETQAINKSLSALSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPSSAGESLCSLRFAARVNACEIGIPRRQTSTKLLDSRLSYG >scaffold_702320.1 pep chromosome:v.1.0:7:9508484:9509195:-1 gene:scaffold_702320.1 transcript:scaffold_702320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MFF8] MDNLNVFANEDNQVDGVKPPPPPPRVCPRCDSDNTKFCYYNNYSESQPRYFCKNCRRYWTHGGALRNIPIGGSCRKPKRPKVDQSLVSQMVSVENRRVDHQPFKQIYENNEFVRSFGASSSSIAAVVGNHFGYLSELHGVTNLLPVRSFRMDCLDFGDDSFQQDYHDVGSNDLIGNPLINQSIGGYVDNLKSYRINQVDQHKWNQSFNNTMNMNHKANASGSR >scaffold_702321.1 pep chromosome:v.1.0:7:9514755:9515380:-1 gene:scaffold_702321.1 transcript:scaffold_702321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLNVFANEDNQVNVVKPPPRVCPRCYSDQTKFCYFNNYKTSQPRYKCKDCRRYWTHGGALRNIPIGGSCRKSKLPKIDQSSVSQMASVEIQPSNHQPLSENQENISVNASSSSAAIGNHFGYFSELHGVANLSPTRSFLTMDHLDFGDESFQQDLYDVGSNDLIGNPWINQSIGGNIDNHNDQPKLQYEYES >scaffold_702329.1 pep chromosome:v.1.0:7:9533554:9534382:-1 gene:scaffold_702329.1 transcript:scaffold_702329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNRIRRLFLSLHQNSTSWLLWCCRRKEELIRFYGCETWDLPESIGSIIPQVRLFYSNGLVLIRGYHRHCFVGNPVLQQWVKIPPSPNDSSRVYCLVTRVDDDGDVLSFKVVWYASLLTTNNNLSCTLSVLLYSSETGLWTSKIIHCPHQITSLTDYVTVNGTNYYSNLSQPGVLVAHDFYSESDQFRVIPLPDHPNHSDDGDLKRRALTTSEGFMMCMRTLAQKEETVIKVWRLNNDDSWQLLWKVFDNGFFNTEEEA >scaffold_702337.1 pep chromosome:v.1.0:7:9565966:9567269:1 gene:scaffold_702337.1 transcript:scaffold_702337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERYTVDLNKPLVFQVGHLGEEYQEWIHQPIVCVEGPRFFESDFWEFLTRTVWWAIPTIWLPVVCYVLSISARKGLTIPQIGLIVAFGVLTWTLLEYTLHRFLFHIQTKSYWANTAHYLLHGCHHKHPQDGLRLVFPPTATAILLVPLWKLLHLLATPATAPAILGGILFGYVMYDITHYYLHHGQPKEPTFKHLKKYHLNHHFRIQDKGYGITSSLWDKVFGTLPGIKAAQKKS >scaffold_702342.1 pep chromosome:v.1.0:7:9597551:9599194:-1 gene:scaffold_702342.1 transcript:scaffold_702342.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MFI0] MKLQSLFSYVLIFSTTITLLLSSSHPVSANRTNQAGFLQCLSLRFNDSNIVSRVIHTPNDTSFSSVLASSIQNPRFSAPDTPKPVLILTPVQPSDVQSAVKCARRFDIHIRTRSGGHDYEGLSYVTRKPFVILDLRNLRSITIDVDNRSVWVQTGATIGELFCEIGKKNRTLAFPAGVCPTVGVGGHFSGGGYGTLLRKYGLAADHVIDARVVDARGRILERREMGEDFFWAIRGGGGSSFCVVLSWKIGLINVPSTVTVFNVTKFSEQSSLKIIHRWQFVADRVSDDLFIRVMLQRYKNMVRASFPGLYLGSVNNLLKMVNREFPELGLEEDDCQEMSWIESVVWFAELGEEPIDVLSRRTRASLAFKAKSDFVQEPMPETAISNLWRWLQEPEAEHAQLIFTPFGGKMSEIADYETPFPHRKGNIFEIQYLNYWRGDVKEKYMRWVERVYDDMSEFVASSPRGAYINLRDLDLGMYVGGKRSKYEEGKSWGVKYFKDNFERLVRVKTSVDPFDFFCDEQSIPPFKSVEVI >scaffold_702344.1 pep chromosome:v.1.0:7:9604703:9606043:1 gene:scaffold_702344.1 transcript:scaffold_702344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKFKHELEDKDNVQEYGRPNCKRKYSALDALRLFSMEGDSFHCENCNGELVMECNKLTSQEVLVDGSDNARRRRDHLKDLLQIMEVRLKPLMDHINRIKDLPLPSFESFPAWEARAATYARENGDRNSDDLIKKGMNLTDEQRGEIRHGAEVYDGGLGEAAELSDDKKSSLGNGVDDKDLKNEYIKGYLAAKLEQHELAEKLNQQESAGQSTTTDIELATTSSDLQVGMKCKREEEDEEDVAWGETFNVSANGNYKVDMNVEAEKAEERDF >scaffold_702350.1 pep chromosome:v.1.0:7:9624887:9627126:-1 gene:scaffold_702350.1 transcript:scaffold_702350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MFI7] MKSPKPPNLSDKSLKPNFFYGHRKPSQNRPIVYGGLFSTRQSLSRDSPQSPSNAVAHRTPFDLRKWDPETHLPLERSSSSPPSHSTVISAASERLSPIARFVLDAFRKNRNHWGPSVVSELNKLRRVTPSIVAEVLKLGNDATAAAKFFHWAGKQKGYKHDFAAYNAFAYCLNRNGHFRAADQLPELMDSQGRPPSEKQFEILIRMHADNRRGLRVYYVYEKMKKFGFKPRVFLYNRIMDALVKNGYFDLALAVYEDFKEDGLVEESTTFMILVKGLCKAGRIEEMLEILQRMRENLCKPDVFAYTAMIKTLVSEGNLDASLRVWDEMKRDEIKPDVMAYGTLVVGLCKDGRIERGYELFMEMKGKQILIDREIYRVLIEGFVADGKVRSACDLWKDLVDSGYIADLGIYNAVIKGLCSVNQVDKAYNLFQVAIEEELEPDFETLSPIMVAYVVMNRLSDFSNLLERIGELGYPVTDYLTQFFKLLCADEEKRTMALDVFDILKTKGHGSVSVYNILMEVLYKMGDIQKSLSLFYEMKEFGFEPDSSSYSIALCCFVDKGDVQEACSCHEKIIEMSRVPSKAAYLSLTKGLCQIGEIDAVMLLVRECLGNVESGPMEFKYVLRVCHVCKGSNAEKVMEVVDEMNQEGVSINEVIYCAIISGMSKHGTIKAAREVFAELKKRKVMTEADMVVYDEMLIEQTKKKTADLVLSGIKFFGLESKLRAKGCRLLDN >scaffold_702352.1 pep chromosome:v.1.0:7:9634823:9636070:1 gene:scaffold_702352.1 transcript:scaffold_702352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVPIRTSTKCDVSCSLDLTRTKSSTLPPSCNAIEVFGVLQLLQSETDKNDDFSNFGLNGTISRDIQYLNQLQKLNLSGNNLSGSIPQSLRNMANNGLTLLANGNPNLCLDPSCESEAGHGNNIKKLLVPILASAASVGIITAVLLLIILFFRKKRPQKGKLEDK >scaffold_702355.1 pep chromosome:v.1.0:7:9647919:9648387:-1 gene:scaffold_702355.1 transcript:scaffold_702355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRVCLCLIFLTIVASPLVICSRSPKLAAPSAVIGKTLGKGHVHSPAMLVSESPKVDSSSSMIKIDEPATNSAIAGFFRYRFPFQGWPFHKYAPFPMGTPTNPSSPVSATPSSGSAAAEEEESEKVPSSPSKGNRDGGNA >scaffold_702356.1 pep chromosome:v.1.0:7:9648518:9649687:-1 gene:scaffold_702356.1 transcript:scaffold_702356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDCYAPEVPPESKRRKKSKETSHSWSGLSLLPDEIALRCLARVSRFDHAALSLVSKSHRSLVASTELFYLRWEMGCTDVSMYVCMKVFPNPSPRWFILTPNRRLNPIQSNPYQPPDSSSFVVVDGGIFVIGGLIDDIPTTDVSFLDCYSHTWHRVKSMNMPRASASACFVDGKIYVFGGSEHYPHDHIWAEVFDRETQTWAPLLFLTHNWDFEIPQNQILHQSLAIEVKKIFALNEKDRRFYVLTSNEIVNTEDWCIIDKLLYCRGTRGKILWCELDELDWKEVKGLEDLQHSLSSSRHSFNINKLCSNSAGNIVIFWIAQSLDLWCAEISMERCKGGKIWGKIEWSGVVFEVDPFLHSSYGVKVLYSASVYV >scaffold_702364.1 pep chromosome:v.1.0:7:9676731:9678205:-1 gene:scaffold_702364.1 transcript:scaffold_702364.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Tu [Source:UniProtKB/TrEMBL;Acc:D7MFK2] MAISAPAACSSSSRLLCSYSSPSPSLCPAISTSGKLKTLTLSSSFLPSYSLTTTSASQSTRRSFTVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALASIGSSVAKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPDMVVFLNKEDQVDDAELLELVELEVRELLSSYEFNGDDIPIISGSALLAVETLTENPNVKRGDNKWVDKIYELMDAVDSYIPIPQRQTELPFLLAVEDVFSITGRGTVATGRVERGTVKVGETVDLVGLRETRSYTVTGVEMFQKILDEALAGDNVGLLLRGIQKADIQRGMVLAKPGSITPHTKFEAIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVTKIMNDKDEESKMVMPGDRVKIVVELIVPVACEQGMRFAIREGGKTVGAGVIQAIIE >scaffold_702369.1 pep chromosome:v.1.0:7:9689127:9693085:-1 gene:scaffold_702369.1 transcript:scaffold_702369.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:D7MFK7] MKYVVVSGGVVSGLGKGVTASSIGLILKSCGFRVTAIKIDPYLNIDAGTMSPIEHGEVYVLDDGGEVDLDLGNYERFMDIKLTSENNITTGKVYKHVLEKERRGDYLGKTVQVVPHITDAIQEWIERAARIPVDGQSGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGTDNFCLIHVSLVPVLNVVGEQKTKPTQHSVRDLRGLGLSPNILACRSTKPLEDNVKAKLSQFCHVPMENVVTLYDCPNIWHIPLLLKEQKAHEAILRVLNLKGVAKEPALEEWSLMAKMTDKLHVPVRIAVVGKYTELLDSYLSIHKALLHASVARGKKLVIDWISASDLEQGAKKENPDAYKAAWKLLKGADGILVPGGFGNRGVEGKMLAAKYARENRVPYLGICLGMQLAVIEFARTVLGLPDANSTELDPNTKNPCVIFMPEGSKTHMGGTMRLGSRRTYFQSKDSKSAKLYGNRGFVDERHRHRYEVNPDMVPRFESSGLTFTGKDETGQRMEIFELPNHPFYIGAQFHPEYKSRPGKPSPLFLGLIGAASGELDTVLQQSCQEAVVPRSLPNGKLERVYWKGAAKKPVSVVYSLCDRVYS >scaffold_702370.1 pep chromosome:v.1.0:7:9701665:9702176:1 gene:scaffold_702370.1 transcript:scaffold_702370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKAGGVGLNLTATSSVFLMDPWWNPAVEEQAIMRIHRIGQKRTVFVRRFIVKDTVEERMQQVQARKQRMIDGALTDEEVRSERLEELKMLFR >scaffold_702372.1 pep chromosome:v.1.0:7:9718580:9721666:-1 gene:scaffold_702372.1 transcript:scaffold_702372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYQRVSPDCLPLTNGGKKPYLRPSPSRATNEDTTTTTVITTTSIAGRGFNGGSCTTTTNTSSLDGVPKGFRFRSTQQQQQQDPSPSRRGGDVLLQWGQRKRSRASRAEIRSTTTTTTADDSSSSSGQGKIQSSKLQRRSMNPSMPPPPPAPPIFSGRSTNPRNGFVIGKESFFPSRNLEDRSANGSPSRNNINGRMISRSGGSKRSPPSPDQIEKRSSVRDHRQNGFDHHHHQQQHQRVNRSESTAQGHPEVEINGEREKATQEWPRIYIALSRKEKEEDFLVMKGTKLPHRPRKRAKNIDKALQFCFPGMWLSDLTKNRYEVREKKNVKKQQKRRGLKGMENLDTDSE >scaffold_702383.1 pep chromosome:v.1.0:7:9775181:9776078:1 gene:scaffold_702383.1 transcript:scaffold_702383.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MG37] MFRDELSLSLGIISVISWSVAEIPQIMTNYSNKSIEGVSIAFLTTWMLGDIFNVVGCLMEPASLPVQFYTAVLYTLATLVLYVQSIYYGHIYPRLMKNRRNHHHVVDVEQPLLHEEAKRPSTKSMLCVVSVFLFLGTFNLLSGSRSMDLREKDRVFAVGGARKLLEVSSGNLGESSDIGMWLGWAMAAIYMGGRLPQICMNIRRGHVEGLNPLMFFFAFVGNVTYVASILVNSVEWSKIEPNLPWLVDSGGCAVLDFLILLQFFYFGCRKVEKDSDKKKHEEAV >scaffold_702387.1 pep chromosome:v.1.0:7:9798170:9801323:-1 gene:scaffold_702387.1 transcript:scaffold_702387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPHPSSSSSRSHPFLSHVYHTSFHLHHHNHHHQHHHPSLVLFWCLVFSLLSPLALSSSSSSSSSSSTSSSSSSQISLGIGETEGTKHDLHQTIIRDEAVARLHELGQVSDAVAHLERTFMSPASIRAIDLIRRWMEDAGLSTWVDYMGNVHGRVEPKNGSSQALLIGSHMDTVIDAGKYDGSLGIISAISALKVLKISGRLGELKRPVEVIAFSDEEGVRFQSTFLGSAALAGIMPVSRLEVTDKSGISVQDALKENSIDITEENLMQLKYDPASVWGYVEVHIEQGPVLEWVGYPLGVVKGIAGQTRLKVTVKGSQGHAGTVPMSLRQDPMTGAAELIVLLESVCKNPKDYLSCNGQCNEDTIESLANSLVCTVGEISTWPSASNVIPGQVTFTVDLRTIDDVGRKAILHDLSTRMYKICDKRSLLCSIERKHDADAVMSDPQLSSQLKSAAQSALKKMTGEVQDEVPVLMSGAGHDAMAMAHLTKVGMLFVRCRGGISHSPAEHVLDDDIGAAGLAILEFLESQM >scaffold_702391.1 pep chromosome:v.1.0:7:9822127:9823775:1 gene:scaffold_702391.1 transcript:scaffold_702391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHCFVCLLLFILTISMEFEETLSFRRRDMTKLSEFQDKIQERLASCSHFILPPLSSPSFPFPKMLQIGVHMLPHVADLGGLVIDLQGGSYMIGKPLRFPSSGGGNLVVKGGTLRASRVFPGDRHLVELVASNSRIFYEDLTFRDILFDSSFRGGGIFVTDSARIRITDCYFLHFTTQGIKIKGGHETYISNSFLGQRSTVGGDPQEIKFSRTGIDISSNDNAITNVVIFSAGIGISLNGANMITGVHCYNKATWFGGIGILVKSHLTRIDNCYLDYTGIVIEDPVHVHVTNSLFLGDANIVLRSVHGKISGLNIVNSMFSGTAKFNFPIVKLKGEFHDIDQVVVDHNNASEMMLKSTTGMSKVYANGTRWVADFSRVLVFPNRINHYQHSFFAQSGQIPANAVTKVSNNVVVVATNR >scaffold_702396.1 pep chromosome:v.1.0:7:9838572:9839342:-1 gene:scaffold_702396.1 transcript:scaffold_702396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPRWFQVLTEEEFDGYCKRHSCGFRKNIFRFESCDVVCLEGIDSYHIQPHVQVVTLFDLNAIALDQISDFFDCFQIQNNGGKEYIALRPWRDCVTTTEGCTKHVRGINSKFCSFTCKIEHVLEHEGDLSSITRLWSEDDSREISEHVGDLSIHPCGDPERIFPLKKRSRRRRDFYDSDEDIYGSY >scaffold_702406.1 pep chromosome:v.1.0:7:9896251:9897578:-1 gene:scaffold_702406.1 transcript:scaffold_702406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNLAVIIQRGRRRMKRRSTVIKRRRRQRDICKSHEPVPEIPFDLVIEILTRLPAKSLMRFKSVSKLWSLLICSRTFTNLFQKVSSSPPRLYMWLDVDNRNVLLSTSSSPDDSDVSSFVIDQELTIPPNKGYYLSHVFGGLMCFVNESRAKIYNTTTRQLVVLPDIEESNMIAEDHKYKKIMYHIGHDPVHDQYKVVCIVSRPSDEYGEHSYLSEHWILLLGGDRSNRWRKIPSRCQPHVPVTQVLNISGRMHYLAWVGFLYSVLVSFDINSEEISILELPKENDFFPKMTDLIEYGRRVALLHHIDLKRQGVLNLWVVEDSKKNMWSSKTLVLHPSQMHLVNSIGLKVQGTTRNGEVVLVPQNYTYTHTGKVTCNPQDTSLFYVLLYNLQNNHMRKVEIKDTSNRYLTRDWDVIGLDDIHNLIYL >scaffold_702409.1 pep chromosome:v.1.0:7:9904792:9905715:1 gene:scaffold_702409.1 transcript:scaffold_702409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEARPHVFINHGGNDLSDYFVRELATALRDQGFNVFIGSDERGARRGIKYIFRTIESSDVALVIFSDMYAASEWCLHEAVRIYDRRREGKLVLVPIYYRVSKEDVNMFQGRFGECFVKTLKTRGINGHSFADIWKANVNLICTEPGFTSKDFCHIDVNFMVAMVHWVKRRLRSRNFPSDIDMFIPKQLEPQEGFGKELLYVSIATVAALLCYFIISLVFTDLDVFSIRQLLFIAVLILFVIRKTCRWTLS >scaffold_702410.1 pep chromosome:v.1.0:7:9906690:9907327:1 gene:scaffold_702410.1 transcript:scaffold_702410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLFSYLFICMFVLSGFLASSSAQEVRECRTVIDLGKQCDFQTCRMTCKRVFADEYAFGLCLGSKEKAVCTCLYNCKA >scaffold_702411.1 pep chromosome:v.1.0:7:9908996:9909636:1 gene:scaffold_702411.1 transcript:scaffold_702411.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MG66] MDFRFQQTIAIRQATSGKESSSAVSMPYLPDDILLNCLARVSRLYYPTLSLVSKVFAMQRRKNRRMAQQELNVRLSSVFFPISPQQITNHFAYPATEDEKSQQDELFKILNMSLVIISRFWFIKSEQHKVKDWGKDLMSISGKIGEAFKEER >scaffold_702413.1 pep chromosome:v.1.0:7:9917877:9919124:-1 gene:scaffold_702413.1 transcript:scaffold_702413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRFQQTIAIRQATSGKESSSAVSMPYLPDDILLNCLARVSRLYYPTLSLVSKRFCSILASTELYEIRRLLGSTESCPYLCLTSPGSSKPRWFTLSRGPAPIPNAYSRWFTSCFSPCSKSRTTRNIMVSVPGHSFPPQSRWLPTVVGFSIYMIGGRIKGEPSSRVFVMDCRSHTWHEAPSMRLARKRPLVSAAGGKIYVVEGCYCDSAEFMEFFDPKTQMWEHVPSPGAEIRGSYMLESLAKEGNLYLGGDKSVVYKPKEDEWDLLGPGMHFGGISDSSCMIDNVRYCYTVGSGSASSRRLKWYSKERSSWIALKDLEKLPKLPKGNDRVRLENCGGNILVFWEENVPSIGSTKKKKIWCAEIAIERRNKEEVYGKVEWCDVVLTVPKSCSFEQFVVATV >scaffold_702414.1 pep chromosome:v.1.0:7:9921739:9925131:1 gene:scaffold_702414.1 transcript:scaffold_702414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARDEGVFIDSIIEFVKDLLIDTISTGGNTGKEELDEPLYGMKQCLEKLEEKLDAWNGKTCIVGVVGMPGIGKTALAKSFYNRWEKQFAYSMCLADVSKMLNEHGPNWLQMRLLRELLKDTHPLHQIWKDELLKRKFFVVLDDVNGKEQIEYLLGNLDWIKEGSKIVITTSDKSLVQNLVNYTFVVPILNDEDGLKCFTYHAFGPNNPPPEENYLRLSRKILDYAKGNPLFLKELGVELLGKEEEDWEKRVGTLTQSSSPKIQDALSKRYLELSEKQKDAFLDIACFFRSKTTSYVRCMLDSCDSGVIGDLTDRFLISISGGRVEMHDVLYTFGKELASRVQCRLWNHKKIVRMLKYKSEMENVRGVYLDMSEVKEKMSFTSMRSLRYLKIYSSICPMECKADQIIVVAEGLQFTLAEVRCLDWLRFSLDKLPLDFNAKNLVNLSLPYSSIKQVWEGVKVLPEKMGNMKSLVFLNMRGCTSLRNIPKANLSSLKVLILSDCSRFQEFQVISENLETLYLDGTALETLPPAIGNLQRLVLLNLRSCKALEHLPSSLRKLKALEDLILSGCSKLKSFPTDTGNMKHLRILLYDGTALKEIQMILHFKESLQRLCLSGNSMINLPANIKQLNHLKWLDLKYCENLIELPTLPPNLEYLDAHGCHKLEHVMDPLAIALITEQTCSTFIFTNCTNLEEDARNTITSYAERKCQLHACKCYDMGFVSRASFKTCFPGCEVPLWFQHQAVGSVLEKRLQPNWCDNLVSGIALCAVVSFQDNKQLIDCFSVKCASEFKDDNGSCISSNFKVGSWTEPGKTNSDHVFIGYASFSKITKRVESKYSGKCIPAEATLKFNVTDGTHEVVKCGFRLVYVEPNNLLSKEKSSSGQFSCGEKITGCLIVGFLYVFMLYPLKFLMYGCPVIACCGFFGTLFLLLKEKWNSSNPQRPNMRAYVKTMKPRCPLDPRLDQDTI >scaffold_702419.1 pep chromosome:v.1.0:7:10006491:10007724:1 gene:scaffold_702419.1 transcript:scaffold_702419.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MG78] MNLQVEPPEKKKTKNSSPPQSPPSSSSSPSLSSLPDEIFVNCLARISRSYYPTLSLVSKSFRSILSSTELFAARSHIGSTEQCVYVCLWDRSYQFPQWLRLWVNPNRTLANSMIKKRRKKKKKTTGPMLVPITSSNFTSVSKATVVVGSEIYVIGGPVDSEPSSTVRVLDCCSHTWRDAPSMTIVRMNALACFHDGKIYVMGGCQGLEDEPWAEVFDTKTKTWQHLPEPDTEVRKCSIYSIAEIEETIQFGNVNETYAYHTKQCKWESRVKQKLKSECMIENVSYGYWNMRLLWYDNDLQKDYWKRLEGLDSLDEMYMRNGGSSGNTTKLVSCGGKLLLLWEGYMKHNPNNRKKIWCAEIAIEKRDGGGVWGIVERVEVLHNVPISCQLLHCLVVSV >scaffold_702429.1 pep chromosome:v.1.0:7:10056880:10057597:1 gene:scaffold_702429.1 transcript:scaffold_702429.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVTSKLPVAVVFRATSQLESKPQNSEVIAAPDVEVLEAAASELEPKQTKPLNSEVIAAPVLVVKEFVENTNTWTPALSGSVGIPGLSKKKKASYWFPDGVSSPTTESVAPRSSALLIVSTLFTHLFCTFADLDVQTNIVVVSSAHEQEYSNFTKIVK >scaffold_702430.1 pep chromosome:v.1.0:7:10057622:10058304:1 gene:scaffold_702430.1 transcript:scaffold_702430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWREAIEDDAERIERMPLLLTAAVYDFVSYPIPEIEENLDWVNLIAYDFYCSSTLIVLPAALFDPSNPKGPCRDSGLKEWIKAGLSAKKAVLGFLYVGGTWSLEGGNDAATTPVHTSADGSINYNQIRS >scaffold_702432.1 pep chromosome:v.1.0:7:10073701:10074471:-1 gene:scaffold_702432.1 transcript:scaffold_702432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGGKSKRGRGGGGRAPKVSPNRPVANRPTASGTSNRRPSTLPSQYTFTPANPEAPETQQSPVNPVALESQPPTHRDYPPPTQLFQSGDDSPRGSGSHPFRESGSTQVRGSGSVQGRGSVGSIHRLASRSNQPPAPVQPPAPVQSPASTQPAASNRQIPSRQQRPSPQPRASVSHHSSQAQNSHEESEDEEADGESEEDVLRDSTLPEDVLADLHASLLIPGRENFTTVISPNLEPGTTW >scaffold_702433.1 pep chromosome:v.1.0:7:10079134:10080174:1 gene:scaffold_702433.1 transcript:scaffold_702433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAHHMNIFSQLISSNRDCIKFQENMNHGEFDITGGEVPLITGESFAVDPLAAKANFNKSESGLSYNFTVPLPSTKRPREFHFRDSNAPVKRRSVAFDSPPPSLINAELVSQIQNQQQSEIDRFVAQQTEKLRIEIEARQQTQTRMLASAVQNAIAKKLKEKDDEIVRIRNLNWVLQERVKSLYVETQIWRDIAQTNEANANTLRTNLDQVLAQIETFPTASAVVEDVVESSCGSCCGDGGGEAVTAVSGGCKRCGEREASVLVLPCRHLCLCTVCGGSALLRTCPVCDSVMNASVHVNMSS >scaffold_702435.1 pep chromosome:v.1.0:7:10089864:10090179:-1 gene:scaffold_702435.1 transcript:scaffold_702435.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MG92] MPRHESLSLSLIPVSSRLLSFIFSVLMAILIHGDTADVSASLLLQLPSSTYASPPSRLCFFCSISNIKFCSRFDSFGRMAYMRRKIQRN >scaffold_702441.1 pep chromosome:v.1.0:7:10106294:10106809:1 gene:scaffold_702441.1 transcript:scaffold_702441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDFPKGLFRFYKRVYEVVDDPSLDSIISWSKSNKSFIIWNHQELRRRMIFANFHGPFFSNFFSMLKNFGFKRIKNGSGQLEFGNANFVRGQPELLKKMQIKANHKRSMKIIAKEKAEEAADGLKLLRV >scaffold_702442.1 pep chromosome:v.1.0:7:10107808:10110676:-1 gene:scaffold_702442.1 transcript:scaffold_702442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNVAMLLCREQRRVHKLLNMISNLNNCIAFSPIPRQNQVQRLKAVFVRINLSYNNTRLTYQLEHISKNSPCFMSTLLSKIDDNHKDVSRGLTKFLRYNPINEFEPFFESLGLCPYEFETFLPQKLMFLSDDGIMFENFHALCNYGIPRGKIGHMYKEAREIFRYESGLLAMKLRDYENLGLSKATVIKLVTSCPLLLVGGIDAEFASVVDKLKGLQVGCDWLGRYLSDRRTYSWRRILETIEFLDKVGCKDENLSSLLKTYPALVIEGSGKKFYVLFGRLFKVGLQVNEIYRLFIDNPEMLSDKCVKNIQKTLDFLIAIRMETQFITKILLSHMELIGSCSLPAPRTACLSLNVRQDELCQLLKKEPLRLFSFVSTTKKRKSKPLSEDSRKYLEKTAFLLRLGYVENSDEMVKALKQFRGRGDQLQERFDCLVKAGLNHNVVTEIIRHAPMILNLSKDVIEKKIHSLTELLGYPIESLVRFPAYLCYDMQRIHHRFSMYLWLRERDAAKPMLSPSTILTCGDARFVKYFVNVHPEGPAIWESINQSST >scaffold_702446.1 pep chromosome:v.1.0:7:10124985:10125500:1 gene:scaffold_702446.1 transcript:scaffold_702446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFPKGIAAFHVKVYKVVEDPSTDAIISWGKDNNSFVIWNLEELISSKILWRFHCMKFPEFHSELRYYGFQRIKNGSGELEFGNEDFVRGQPERLKNMVMRAMSKNRAKFNAREAVKDLQSLKI >scaffold_702449.1 pep chromosome:v.1.0:7:10143984:10144434:-1 gene:scaffold_702449.1 transcript:scaffold_702449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGRQSIRLSSNFQFARKRASDASSSTSKSTSDASSTTSASDRAKDENQAQERRKSWFEEYREKLAAAVAAAARGNANSTTFEAERLFKKPMKNSTFEEERVSKNPKTSGDANSTHEAERLFKNLKTSGDAKLYS >scaffold_702451.1 pep chromosome:v.1.0:7:10152292:10153039:-1 gene:scaffold_702451.1 transcript:scaffold_702451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGTFWTVCSSNRCKTYWEFTRGYLNKTLTCQNCHQDLVATEILPETNNGSPVITLSPCNQPMSKNTRDTFSTSNSNAAPRRMRRWFEPKPELDSSPSKEKTSISWTVCNRCKTLCKFVRANSLNKNLACPYCSEDFLAAEIIPSIVNGRPVIKSSLPFGQSRRKNTSSDASYSTTSASDSSAKTAYKSEEILKRLFPESREKIAADFAVAAAGGNAKEAKRDLQEDDNRKCKL >scaffold_702452.1 pep chromosome:v.1.0:7:10155940:10157041:-1 gene:scaffold_702452.1 transcript:scaffold_702452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPTRPKPKPKPDISLTTVKVGTFWTVCNRCKTYCEFMRASCLNRTIPCPNCGKYFIATVIPSELINGRLVIRLSPSNQSTWKSTSDTSSTSAMAKAAPERVKRWFNPMPELDSSTEKEIKLGTFWTVCNRCKTHCKLVRANYLNKTFPCPNCSQEFVAAEMIIEIINGGPVIKLSPSVQSNSKSSKRTSAASSTTSASDNAKTAYQKEDVLKRENIDPDVASAATGGNVQEAETLFKEPMTTGNENSTTEAGNVKEAETLVEEPVTTGNVNSTPEAERLFKKPMKTGNANPSLEAERLFKKPMSTGDENSTHEAQRLFKKPMTTGNANSNLEAQRCFLRIWQREMQSRLLK >scaffold_702456.1 pep chromosome:v.1.0:7:10174371:10174626:1 gene:scaffold_702456.1 transcript:scaffold_702456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVYFSLPLFIFMVFIAIGGCLGCYYLGQNQGIAKAGGGPTMPTAYPTAPQANQGYNAGAQPYPPTAYAV >scaffold_702466.1 pep chromosome:v.1.0:7:10232818:10233201:1 gene:scaffold_702466.1 transcript:scaffold_702466.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGS4] MYICKDLQILDLRFFFREDSAANATLIFCGDQIISNKQTNVIHDIFLELKCMIHDIFLELKCMIHDIFLRSNQWRTITNFYWSQNVFKTIYIGLPLENILRPIYLSIWPKNVL >scaffold_702470.1 pep chromosome:v.1.0:7:10253820:10259167:1 gene:scaffold_702470.1 transcript:scaffold_702470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-alcohol oxidase [Source:UniProtKB/TrEMBL;Acc:D7MGS9] MESLVAICDTFISSIDGSGVGHVDDCVAGYFSASASQTGTPDRAARLMSERLHHPKKWILRAGLWLLSTWIGTLILCGRRSFTGQFPYFRRFCRLPEKQREEILLNWSSSYFSLLRMFFRSIKLITAFVFFTQVDENGRNLAWKAIGYDGPSSDHSDHEVELNEKMQKKPEEIFGPLYNGIIDLQSPREAVAKKLTGHGFTVSTQKRNTNGSSISDLVMTIRCDAVVVGSGSGGGVVAGVLAKAGYKVLVVESGTYYARSKLSLLEGQAMDDMYLSGGLLATSDTNVVILAGSTVGGGSTINWSASIKTPEHVIKEWAEKSKLEMFGSDLYREAMDVVCERMGVQCGFVEEGFNNEILRKGCEELGLPVKNIPRNAASDHYCGFCCLGCKKGQKQGTSETWLVDLVESGNGLILPGCEAMEVLYDCGRGKKKKATGVAFAYGEEIYVVESKTTVVACGALRTPHLLKRSGLRNGNIGRNLCLHPVVMAWGWFPDEDKWPEKKKKSYEGGIMTAMSSVVNAETHSSYGEMVIQTPALHPGMFSGIIPWTSSKDFKTRMLKFSRTAHIFALLRDKGTGTINSKSYIDYNLNDEDEESLKSGLDRVLKILAAAGAEEIGTHHSEGRSLNVRTASTLEIERFVREESSKPLKDLSGQICSAHQMGSCRMGIRPEESAVRPTGETWEVEGLFVADTSVFPTALGVNPMVTVQSIAYCIGLNVVDVLKRKK >scaffold_702478.1 pep chromosome:v.1.0:7:10313548:10313785:-1 gene:scaffold_702478.1 transcript:scaffold_702478.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU96] MITKHLLRFLQCKTDHDSDNGNSGQHHVRQRILGQAKLLNPMPTNPLWLSLSPSKPKSPLLRPRS >scaffold_702480.1 pep chromosome:v.1.0:7:10336380:10337611:-1 gene:scaffold_702480.1 transcript:scaffold_702480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MGT9] MGGGKDKHHDEHDKGFHGFPGGGHHYPPAQGGYPPQGYPPQGYPPAGGYPPAGYPPGAYPAAPGGYPPAGYPAPGAHHSGHSSGGIGGMIAGAAAAYGAHHVGHASHNPYGHAVGHGGYGHGPAHGFGGHGHGKFKHGKHGGKFKHGKHGKHGKHGKHGMFGGGGKFKKWK >scaffold_702485.1 pep chromosome:v.1.0:7:10355726:10357565:-1 gene:scaffold_702485.1 transcript:scaffold_702485.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nine-cis-epoxycarotenoid dioxygenase 4 [Source:UniProtKB/TrEMBL;Acc:D7MGU3] MDSVSSSPFISSTFSLHHSLLRRRSSSPLLLRINSAVVEERTPISNPSDNNDRRNKPKKLHNRTNHTTVSYPAKPRPEMTLATALFTTVEDVINTFIDPPSRPSVDPKHVLSDNFAPVLDELPPTDCEIIHGSLPPSLNGAYIRNGPNPQFLPRGPYHLFDGDGMLHAIRINNGKATLCSRYVKTYKYNVEKQTGAPVMPNVFSGFNGVTASVARGALTAVRVLTGQYNPVNGIGLANTSLAFFCNRLFALGESDLPYVVRLTDSGDIETIGRYDFDGKLAMSMTAHPKTDPITGETFAFRYGPVPPFLTFFRFDSAGKKQRDVPIFSMTSPSFLHDFAITKRHAIFAEIQIGMRMNPMDLMFEGGSPVGTDNGKTPRLGVIPKYAGDESEMKWFEVPGFNIIHAINAWDEDDGNSVVLIAPNIMSIEHTLERMDLVHALVEKVKIDLVTGIVTRHPISARNLDFAVINPAFLGRQSRYVYAAIGDPMPKISGVVKLDVSKGDRDDCTVARRMYGKGCYGGEPFFVARDPGNPEAEEDDGYVVTYVHDEVTGESNFLVMDAKSPELEIVAAVRLPRRVPYGFHGLFVKESDLTIGNCNS >scaffold_702491.1 pep chromosome:v.1.0:7:10384394:10386801:1 gene:scaffold_702491.1 transcript:scaffold_702491.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration 3 [Source:UniProtKB/TrEMBL;Acc:D7MGU8] MKYKDEKYEKAEKGSRVLPKTILLILLCGLSFYLGGLYCGKNKIEVNDVAKAQSSSLDVDDSLQVKSVSFSECSSDYQDYTPCTDPRKWKKYGTHRLTFMERHCPPVFDRKQCLVPPPNGYKPPIRWPKSKDECWYRNVPYDWINKQKSNQNWLRKEGEKFIFPGGGTMFPHGVSAYVDLMQDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEFGGVYLLEVHRILRPGGFWVLSGPPVNYENRWKGWDTTIEEQRSNYEKLQELLSSMCFKLYAKKDDIAVWQKSSDNLCYNKLSNDPDAYPPKCDDSLEPDSAWYTPLRPCVVVPSPKLKRTDLESTPKWPERLHTTPERISDVPGGNGGVFKHDDSKWKTRAKHYKKLLPAIGSDKIRNVMDMNTAYGGLAAALVDDPLWVMNVVSSYAANTLPVVFDRGLIGTYHDWCEAFSTYPRTYDLLHVDGLFTSESQRCDMKYVMLEMDRILRPNGYAIIRESSYFVDTIASVAKELRWSCRKEQTESESANEKLLICQKKLWYSSTASSETK >scaffold_702496.1 pep chromosome:v.1.0:7:10401921:10403966:1 gene:scaffold_702496.1 transcript:scaffold_702496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNKSQPQKSRDTLEKKVDKISKSLSELKTLLCSIKQDPVGRSDKDEGINQRNNQKETLGRSRSAPAALTHVTFDGKLITRLDHRLQVLKPKLVKLEQFQTNVGEELDKHLSTVQSLIKNLDSSRVSSQTTFWINPLVVWQKAAAYGFQDDEELIHQGKSCFYIPSMYTNVEDLTKGEVFRQVIQKFKELDIEQKICLLSFSVFPENREVHRTMLMYWWIGEGVLPVEGAEEAVREVLKEFTEKNLVEPVEERRKVAPSSYKMNPFVHSSVVLLSKEIGIFYIYRKGKKPRIKKTVMGKVCLVEGSSIPKKMPSRDHIETVFNVSESSPDFTFKWFSEKPSNKKLMIKLSTTWFKSLKVFYLGRWERSKKRQIQVQNPQLMQCLKHLKNLRFLSFQGIQTIRSLNSSACKLRKLLILDLRECYGLKKLPEKIGSLENLVYLNMTGCYMLEWIPFRLALLKRLEVLKGFVVSDEVYEGVACKLNYLKGLTKLRKLSIEINRDDLGVGKMMEDLVELKALTSLKVTWRRDIFIGEDSTNIKTLPDQLKKLDLQRFPHEELPTWLHPENLLHLKKLHIGGGRRLKGVGDLPEKATKCAVEVLRLTSLPKLKLGWIELKQIYFPNLAFLENYECPRVTLTPCDGTGIWRSDQD >scaffold_702497.1 pep chromosome:v.1.0:7:10406774:10410851:1 gene:scaffold_702497.1 transcript:scaffold_702497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAIPKGVKDIWDKWNIRGLVIFSLVFQTILVLLSPNRKRTPRRLLRLLLWSAYLLANWAADYAVGQISDSAGDDPEPNESPKTNELLAFWATFLLLHLGGPDTITALALEDNELWLRNLFGLLCQFIATLYVFLLSIPNSLLVPTSLMLMAGLIKYTERIQAMRRASLRRFKDSMLGEPEPGIDYSRFMEEYKIRKLSKERSQLVRVEEREKEQGARVPVRPEVITHLQVVQYAYKYFNIYKGLVVDFIYSSQQWIESKLFFQSLSAEDALRILEVELSFIYGTLFTKVDILHTWIGAASRCIALGCLFASLYIFKTSRKDGYDCFDVGLTYALIFGGIALDFISILIYCVSDWTFARLRKLKEEVDKKDTRFERFLNWLLGFRELKWKKCKCHEEEQPCHMVLDRLSIFRRWSEYIYAYNLIESSLKIKPKRIHHTRGYRHSSFNTIIRSLYIDHVFDIIIRETVLVSRALNRIRKNIDLWIISLSIKHHRVAYYVLYPVRMLHRFWFGIPLINYLLEFFGIPDQLNEIVFTSHTRLTKELWEFIFEEVKRRSLLVVGSESDSYIYSARGDWILRDMRVGDIHEKLLQYVTEVDYDQSILVWHVATELLHQTQVDNAARNVRCNEFSKTLSDYMMYLLIVQPSMMSTVAGINKLRFREAIAEAKNFQEAKTLFQRTHVEDSRDAKKACKEIMDSYKKVERRYENTKGYQSKSVLVQANILAKELQRIDGDMWEVVSKVWVEMLCYAASHCDSKQHAAQLNKGGELINFVWLLMAHFGLGEQFMTTKEDSRARLVLDKATTSCLVRYEKWNPVHPTYGAFWGMGIGIGCGVGWGPGFGPEVIGYVGAGCGVGFSVGITLAGLGIGLPTNFLLAAPYNTVEATRKGAFNLFGKNLSTDGWSDFMPQIAGWQRQVSEMCSGFHKKPHLNNAIDIKSFPLFISHDCRKFGSHLLHVRKVARIMASSLSFLFPFMLHITNLYSNTLFSLMLMYL >scaffold_702501.1 pep chromosome:v.1.0:7:10433281:10433938:1 gene:scaffold_702501.1 transcript:scaffold_702501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLQLIVTVLCIFTILVLGEIGMAKGQPLEKRCDEILTRGECELDICAFACALKRHGKGGCIEEAHDPRPNCVCNYTC >scaffold_702502.1 pep chromosome:v.1.0:7:10435989:10436205:1 gene:scaffold_702502.1 transcript:scaffold_702502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGQPLGKMENCYEILKWGECVPKNCAFSCTLKRHGKGDCIRAYDDNRPGCICYYTC >scaffold_702503.1 pep chromosome:v.1.0:7:10447498:10447983:-1 gene:scaffold_702503.1 transcript:scaffold_702503.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGW0] MFAETIRGSLPKFKVSIPGLKFNFQGLQFFVDRHMQNLSKVLECYMRIVCFDLAYCAENLSIFWMLYIHVGRATYCF >scaffold_702507.1 pep chromosome:v.1.0:7:10461639:10461870:-1 gene:scaffold_702507.1 transcript:scaffold_702507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSAMASQALDLLKKTYEVVDHPSTNSIISWGPDNKSFIIWDPEGFEKFLLPYSGGSRINF >scaffold_702509.1 pep chromosome:v.1.0:7:10466213:10468279:1 gene:scaffold_702509.1 transcript:scaffold_702509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQYLESQKNAHPELGEWYNSLADLYQKKLWHQLTLKLEQFIALSVFQAGDALIQLYNNFITDFETRINLLKLAHFAVVVSRQYPEKEAAVSYLEGVIEKLKATKESRINEPISYIETQIALFKLEQGDQKECKKILDDGKSLLDSMTDIDPSVYANFFWVSSQYHKFRQEFSEFYKNALLYLAYTSVESLSESFKLDLAFDLSLSALLGDNIYNFGELLAHPILKSLLGTNVEWLYHILQAFNHGDLVQYQELCRVHNASLSAQPALVENEKKLLEKINILCLIEIIFSRPAEDRTIPLSVIAERTKLSIEDVEHLLMKSLSVHLIEGILDQVNGTVYVSWAQPRVLGIPQIKLLRDQLDSWVDKVHTTLLSVEAETPDLVAA >scaffold_702514.1 pep chromosome:v.1.0:7:10483663:10485256:1 gene:scaffold_702514.1 transcript:scaffold_702514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCNFNPTLGGFTFQGLSKPQEFGCFSLLEFPSCGSYSKLKTKRFGFCIRSKFSEKQAGKLDVATVNSNEIKKVGKKEHHLWKKNDSAGSGQKALNLVRMLSGLPNEKEAVYGALNKWVAWEVEFPIIAAAKALQILRKRSQWHRVIQLAKWMLSKGQGATMGTYDTLLLAFDMDQRADEAESLWNMILHTHTRSIPRRLFARMIALYAHYDLHDKVIEVFADMEELKVRPDEDTARRVARAFRELGQEENRKLILRRYLSEFKYIYFNGERVRVKRYSSEDR >scaffold_702516.1 pep chromosome:v.1.0:7:10494005:10499563:-1 gene:scaffold_702516.1 transcript:scaffold_702516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLDVSWVLFMHNLTFHFLQLLQISYFPENHFPKKNKTFPFVLLPPTAITAYQSELGGDSSPLRKSGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVKGTIERYKKAISDNSNTGSVAEINAQYYQQESAKLRQQIISIQNSNRQLMGETIGSMSPKELRNLEGRLERSITRIRSKKNELIFSEIDYMQKREVDLHNDNQLLRAKIAENERNNPSISLMPGGSNYEQIMPPPQTQSQPFDSRNYFQVAALQPNNHHYSSAGRQDQTALQLV >scaffold_702522.1 pep chromosome:v.1.0:7:10529442:10532216:-1 gene:scaffold_702522.1 transcript:scaffold_702522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISALSWVPKGAAKAIPDRAKLPSKEEIEKLEESCEFYSEDEEEETDDEEENGDVAHAKAIAKEFGKSSKSKNASSSSSSMEVDEVADGLKELDMDNYDEEDDAPGLGIFITPVMRWIHISRTIYDIYVCEETSNGSPNIYSHQEILLPKPPMCTAWLDCPLKGGEKGNFVAVGLFKTHAIEIWDLDIMRELLPCVQLGGKKKGVSSLYFGLVATRNVFVNLLSRLLSKKYREGSHTKSVIGLAWNKEFRNILASGSVDKQVKVWDVATETCQITLEHHTKGVQAVAWNHYAPEVLLSGSFDRTVVLKDVRKPSHSGFKWSVISKVESLAWDPHSEYSFLVSLKDGTVKGFDVRQASTSTSDSNPSFTLQHGRKAVTSISYNVSAPNLLATGSTDQSVKLWDLSNNEPSCIATHRPNAGRLFSIAFSTDNPFLLAMGGLMGKLKVWDILSDTNVSSRYGSRQVRP >scaffold_702529.1 pep chromosome:v.1.0:7:10560393:10561057:-1 gene:scaffold_702529.1 transcript:scaffold_702529.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9E1] MDPLGQWLDDQDIERWSPPSSRTASLDDTPPCSPRSSSGGSYASSDDYVPSSGPDTPPSSLAKGSTDTSCSEKSGSSKEGTSKEGSSQEGSSQSSPPPSSEQEMSPPAVAYADEAESSRRVRRRIGEPRPLSSPGHLGPQSLTMDTLSIQDTVKKIEPGGRDFIPGRICYPQDFLTNSDCYVRAKTQDWLAKVSIMFKVVNFLGFEN >scaffold_702530.1 pep chromosome:v.1.0:7:10564016:10564596:-1 gene:scaffold_702530.1 transcript:scaffold_702530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHHYLSDLNVHSTRWSVHVKILSMWKEPLVSGRVETRMILADEKANRIDATIPNRYYNWNFQGFLKPGLWFRLSNFEVLRPQEKKTRYCCFPVVIKCIADTTMWPISVVCPYSFYDFVYPETVEFAQEDEKEFVTGKGFSSHIFTVS >scaffold_702535.1 pep chromosome:v.1.0:7:10578671:10580319:1 gene:scaffold_702535.1 transcript:scaffold_702535.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M9E6] MSACSSTTPVPILSFTERAKSLLEIQQAHAFMLKTGLFHDTFSASKLVAFAATNPEPKTVSYAHSILNRIESPNGFTHNSVIRAYANSSTPEIALTVFREMLLGPVFPDKYSFTFVLKACAAFCGFEEGRQIHGLFMKSDLVTDVFVENTLINVYGRSGYFEIARKVLDRMPVRDAVSWNSLLSAYLDKGLVEEARALFDEMEERNVESWNFMISGYAAAGLVKEAREVFDSMPVKDVVSWNAMVTAYAHVGCYNEVLEVFNMMLDDSAERPDGFTLVNVLSACASLGSLSQGEWVHVYIDKHGIEIEGFVATALVDMYSKCGKIDKALEVFRDTSKRDVSTWNSIITGLSVHGLGKDALEIFSEMVYEGFKPNGITFIGVLSACNHVGLLDQARKLFEMMNSVYGIEPTIEHYGCMVDLLGRMGKFEEAEELVNEVPADEASILLESLLGACKRFGKLEQAERIANRLLESNPRESSGYVQMSNLYASHGRWDEAMEVRGKMRAERVKKNPGCSMIEVDGVVHEFLAGEGLRIE >scaffold_702543.1 pep chromosome:v.1.0:7:10623946:10625458:-1 gene:scaffold_702543.1 transcript:scaffold_702543.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7M9F3] MENFVDENAFVPLNQNIFTRDQEHMKEEDFPFEVVDQSKPISFLQDFHHLDHHHQFDHHHHGSSSSHPLLGHQATSSCINNAPFQHCSYQENMSEFYETKPHLMNHHFQAAENSYFTHNNHHQEINMVDEHDPMDLDQNNMMMMRMIPFDYPPTETFKPMNFVMPDEISCVSADNDCYRATSFNKTKPFLTRKLSSSSSSSSWKENKKSTLVKGQWTSEEDRVLIQLVEKYGLRKWSHIAQVLPGRIGKQCRERWHNHLRPDIKKETWSEEEDRVLIEFHKEIGNKWAEIAKRLPGRTENSIKNHWNATKRRQFSKRKCRSKYPRPSLLQDYIKSLNMGVLSASSVPARGRRRESNKKKDVVVAAVEEKKKEEEIYGQDRIVPECVFTDDFGFNEKLLEEGCSIDSLLDDIPQPDIDAFVHGI >scaffold_702544.1 pep chromosome:v.1.0:7:10628166:10629502:1 gene:scaffold_702544.1 transcript:scaffold_702544.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7M9F4] MKPPSLPLLLLLLHLSATISAAPSLSPTPSPTISPIPPHKPSSSSSPLDPKQLKALESLNIPTVKDPCNHRPTTKSTSSSVVTCDTSSPFRLVTSISFTNCSTDLSISTTALRALSPSLTSLSFLNCPSLSPPPRLPDSLHSFSAVSSFLRHRNGLSGVFLARLVNLTDLTVSSVPVSTSGLFVILGNMHKIVSLTISHANLSGNIPKSFHSNLTFIDLSDNLIKGSIPTSITLLSNLKSLNLSKNSISGDIPDSIGDLISLKNLSLSSNKLSGPIPDSISSIPELTHLDLSGNQLNGTVPRFISKMKYLTHLNLANNAFHGVLPFNASFIKNLEVFKIGGNSDLCYNHSVLSSKMKLGIAQCDKHGLPLSPPPQKEDSNSDYDYGNEDDTSEKKKEEHHGPNKVVLGVAIGLSSLVFLIIFMILLAKWCG >scaffold_702545.1 pep chromosome:v.1.0:7:10630119:10632776:-1 gene:scaffold_702545.1 transcript:scaffold_702545.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7M9F5] MAMLVTNLSSSSFCFFSSPHFQTHKELRSDVRVRKDVIFNRASLRTVSDRADSITTFDRSVTDANTQLRRFCESGNLKNAVKLLHVSGKWDIDPRTLCSVLQLCADSKSLKDGKEVDNFIRGNGFVLDSNLGSKLALMYTNCGDLKEASRVFDQVKIEKALFWNILMNELAKSGDFSGSIGLFKKMMSSGVEMDSYTFSCVSKSFSSLRSVNGGEQLHGYILKSGFGERNSVGNSLVAFYLKNHRVDSARKVFDEMTERDVISWNSIINGYVSNGLAEKGLSVFVQMLFSGIEIDLATIVSVFAGCADSRLISLGRAVHCFGVKACFSREDRFCNTLLDMYSKCGDLDSAKVVFREMSGRSVVSYTSMIAGYAREGLAGEAVKLFEEMEEEGISPDVYTVTAVLNCCARNRLLDEGKRVHEWIKENDMGFDIFVSNALMDMYAKCGSMREAELVFSEMRVKDIISWNTVIGGYSKNCYANEALSLFNLLLVEKRFSPDERTVACVLPACASLSAFDKGREIHGYIMRNGYFSDRHVANSLVDMYAKCGALLLARLLFDDITSKDLVSWTVMIAGYGMHGFGKEAIALFNQMRQAGIEPDEISFVSLLYACSHSGLVDEGWRFFNIMRHECKIEPTVEHYACIVDMLARTGNLSKAYRFIENMPIPPDATIWGALLCGCRIHHDVKLAERVAEKVFELEPENTGYYVLMANIYAEAEKWEEVKRLRKRIGQRGLRKNPGCSWIEIKGRVNIFVAGDSSNPETEKIEAFLRGVRARMIEEGYSPLTKYALIDAEEMEKEEALCGHSEKLAMALGIISSGHGKIIRVTKNLRVCGDCHEMAKFMSKLTRREIVLRDSNRFHQFKDGHCSCRGFW >scaffold_702547.1 pep chromosome:v.1.0:7:10634247:10635302:-1 gene:scaffold_702547.1 transcript:scaffold_702547.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L11 [Source:UniProtKB/TrEMBL;Acc:D7M9F7] MASEKKLSNPMRDIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVARRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQNITG >scaffold_702548.1 pep chromosome:v.1.0:7:10636114:10638622:-1 gene:scaffold_702548.1 transcript:scaffold_702548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKEMPAAVVDGHDQVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVMDHPNVVCLKHCFFSTTSKDELFLNLVMEYVPESLYRVLKHYSSANQRMPLVYVKLYMYQIFRGLAYIHNVAGVCHRDLKPQNLLVDPLTHQVKICDFGSAKQLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPHYTDFRFPQIKAHPWHKIFHKRMPPEAIDFASRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPFPPLFNFKQEVAGASPELVNKLIPDHIKRQLGLSFLNQSGT >scaffold_702549.1 pep chromosome:v.1.0:7:10646024:10647824:1 gene:scaffold_702549.1 transcript:scaffold_702549.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7MCP2] MAEKITRETSLPKERSSPQALILGRYEMGKLLGHGTFAKVYLARNVKSGESVAIKVIDKEKVLKGGLIAHIKREISILRRVRHPNIVQLFEVMATKAKIYFVMEYVRGGELFNKVAKGRLKEEVARKYFQQLISAVTFCHARGVYHRDLKPENLLLDENGNLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARKGYDAAKVDIWSCGVILFVLMAGYLPFHDRNVMAMYKKIYRGEFRCPRWFSAELTRLLSKLLETNPEKRFTFPEIMENSWFKKGFKHIKFYVEDDKLCNVVDDNELESESDRDSAVSESEIEYLEPRRRVGGLPRPASLNAFDIISFSQGFDLSGLFDDDGEGSRFVSGAPVSKIISKLEEIAKVVSFTVRKKDCRVSLEGSRQGVKGPLTIAAEIFELTPSLVVVEVKKKGGDKTEYEEFCNNELKPKLQNLTADDVVAEPVMVSAVDETETAIANSPPVCFLPSDTE >scaffold_702557.1 pep chromosome:v.1.0:7:10693475:10696586:-1 gene:scaffold_702557.1 transcript:scaffold_702557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCGFRFPWFFFLIIGLQAPLSLSLTSQGSALLKFRARVNSDPHGTLANWNVFGNDLCFWSGVTCVDGKVQILDLSGCSLEGTLAPELSQLSDLRSLILSRNHFSGGIPKEYGSFENLEVLDLRNNDLSGQIPLELSDDLSIKHLLLSGNKFLSDTRIKIVRLQSSYEVWLKNSPKLSPLAVLGCINRKLGHCVSRNRIIRVKKVEAIVFRIKATSRRFLKAFPSFLEQTDIFKRRELLEESSNLEAEPAPSAPSPSPEIITEASPRSSGSFPAVTNAKKRRPPLHPPLPSPDKNTSLDISKNQPQDNKQSKGSKHVWLYVIIAVSSFVGLLIIVAVIFFCRKRAVKSIGPWKTGLSGQLQKAFVTGVPKLNRSELETACEDFSNIIETFDGYTVYKGTLSSGVEIAVASTAIAESKEWTRAMEMAYRRKIDTLSRINHKNFVNLIGYCEEDDPFNRMMVFEYAPNGTLFEHLHDMETEHLDWSARMRIIMGTAYCLQHMHAMNPPMAHTDFNSSEIYLTDDYAAKVSEIPFNLEARLNPKKHVSGDLEQTSLLLPPEPEANVHSFGVLMLEIISGKLSFSDEYGSIEQWASKYLENDELGEMIDPSLKTFKEEELEVICDVIRECLKTEQRHRPSMKDVAEQLKQVINITPEKATPRSSPLWWAELEILSSEAT >scaffold_702559.1 pep chromosome:v.1.0:7:10709068:10709602:-1 gene:scaffold_702559.1 transcript:scaffold_702559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKQKRCHSSVVETIEAPLPLVWSILRSFDKPQAYQRFVKSCTMRSSGGGGKGGEGKGSVRDVTLVSGFPADFSTERLEELDDESHVMVISIIGGNHRLVNYKSKTTVVASPEDVTEKTVVVESYVVDVPEGNSEEDTKFFVDNIIRYNLTSLAKLTKKMMG >scaffold_702560.1 pep chromosome:v.1.0:7:10712733:10713351:-1 gene:scaffold_702560.1 transcript:scaffold_702560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRHTPTKDPPDHPSSSSNHHKQPLPPQPQQPLSRYESQKRRDWNTFIQYLKSQNPPLMMSQFDYTHVLSFLRYLDQFGKTKVHHQACVFFGQPDPPGPCTCPLKQAWGSLDALIGRLRAAYEEHGGGSPDANPFANGSIRVHLREVRESQAKARGIPYRKKKRRKTKNDVVVIKKDVANSSTPNQSST >scaffold_702571.1 pep chromosome:v.1.0:7:10757521:10759414:1 gene:scaffold_702571.1 transcript:scaffold_702571.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein [Source:UniProtKB/TrEMBL;Acc:D7MCR2] MFSLSLIQPRLRISEIPVTQTFKSPTICYSSDSRTKREEQRHGRLSGFRLVSGKRSSFDLGFSDLQGENVNRDDLSSFDSERVDYALLAEWLQSSNGMRLIKRIHAMALKCFDDQVIYFGNNLISSCVRLGDLVYARKVFDSMPDRNTVTWTAMIDGYLKFGLEDEAFSLFEDYVKHGIRFTNERMFVCLLNLCSRRSEFELGRQVHGNMVKVGVGNLIVESSLVYFYAQCGELTSALRAFDMMEEKDVISWTAVISACSRKGHGNKAIFMFIGMLNHGFLPNEFTVCSILKACSEEKAIRFGRQVHSLVVKRMIKTDVFVGTSLMDMYAKCGEISDCRKVFDGMSNRNTVTWTSIIAAHAREGFGEEAISLFRVMKRRHLIANNLTVVSILRACGSVGALLLGKELHAQIIKNSIEKNVYIGSTLVWLYCKCGESRDAFNVLQQLPSRDVVSWTAMISGCSSLGHESEALDFLKEMIQEGVEPNPFTYSSALKACANSESLLIGRSIHSIAKKNHALSNVFVGSALIHMYAKCGFVSEAFRVFDSMPEKNLVSWKAMIMGYARNGFCREALKLMYRMEAEGFEVDDYIFATILSTCGDIELDEAEPSATCYLETS >scaffold_702575.1 pep chromosome:v.1.0:7:10786154:10787774:1 gene:scaffold_702575.1 transcript:scaffold_702575.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg-protoporphyrin IX chelatase [Source:UniProtKB/TrEMBL;Acc:D7MCR6] MASLLGTSSSAIWASPSLSSSSSTPSTSPICFRPGKLFGSKLNAGIQIRPKKNRSPYHVSVVNVATEINSTEQVGKFDSKKSARPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEINVVAGDPYNSDPIDPEFMGVEVRERVEKGEKVPVIATKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDADLRVKIVEERARFDSDPKDFRETYKTEQDKLQDQISTARANLSSVQIDRELKVKISRVCSELNVDGLRGDIVTNRAAKALAALKGKDRVTPDDVATVIPNCLRHRLRKDPLESIDSGVLVSEKFAEIFS >scaffold_702576.1 pep chromosome:v.1.0:7:10787894:10792471:-1 gene:scaffold_702576.1 transcript:scaffold_702576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWKPGTEKPRFEEDGEGGIVFMSNNLASSSSSSYGYANIEKQRQRLPVYKYRTEILYLVENHATTIIVGETGSGKTTQIPQYLKEAGWAEGGRVIACTQPRRLAVQAVSARVAEEMGVNLGEEVGYTIRFEDHTTSGVTKVKFLTDGVLIREMMEDPLLTKYSVIMIDEAHERSISTDILLGLLKKVSQSNIVLVIQRRRPELRLIISSATIEAKTMFNFFNPSKKRHAPEGSAPGPKLEPAILSVEGRGFSVKIHYVEEPVSDYIRSVVSTILLINEREPPGDVLVFLTGQEDIETAIKLLEEEAHSNQKNSSGLLPLPLYSGLSRSEQDLIFTPTPRGKRKVILSTNIAETSLTLEGVVYVIDSGFSKQKFYNPISDIESLVVAPISKASARQRSGRAGRVRPGKCYRLYTEDYFLNQMPGEGIPEMQRSNLVSTVIQLKALGIDNILGFDWPAPPSPQAMIRALEVLYSLQILDDDAKLTSPTGFQVAELPLDPMISKMILASSELGCSDEIITIAAVLSIQSVWIIARGVQKEQDEAKLRFAAAEGDHVTFLNVYKGFLDSKKPSQWCYKNFLNYQSMKKVVEIRDQLKRIARRLGITLKSCDRDMEAVRKAVTAGFFANACRLEPHSNGVYKTIRGSEEVYIHPSSVLFRVNPKWVVYQSIVSTERQYMRNVVTINPSWLTEVAPHFYQNRQNAMSF >scaffold_702577.1 pep chromosome:v.1.0:7:10792801:10793782:1 gene:scaffold_702577.1 transcript:scaffold_702577.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-tyrosyl-tRNA(Tyr) deacylase [Source:UniProtKB/TrEMBL;Acc:D7MCR8] MRAVIQRVSSSSVTVDGRIVSEIGPGLLVLIGIHESDTDSDADYICRKVLNMRLFSNETTGKGWDQNVMQRNYGILLVSQFTLYGFLKGNKPDFHVAMPPDKAKPFYASLVEKFQKAYNPDAVKDGVFGAMMQVNLVNDGPVTMQLESPQSSK >scaffold_702579.1 pep chromosome:v.1.0:7:10799683:10800638:1 gene:scaffold_702579.1 transcript:scaffold_702579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGNIQEPDGEILENVWANFIRKPQTDKRSIHVPEVSRTWGALPTLDDIPEDSKEMLPSLDMSMEDLEWTEILDVIASFPSETNHDPLTNPTTDSCFLPSRVCCKTRKYRGVRRRPWGKFAAEIRDSTRNGVRVWLGTFQTAEEAAMAYDKAAVRIRGTQKAHTNFQLETVIKAMEMDCNPNYYPINSSNTSQPLRSGHKIGLRTRKEAIRAYDKVVDELVENHCALSCCSTKKYSETCGLLGSEETWLGSRKRRRSDKDYMFEEVEMQKMVTEQEETLCDVFGLFEFEDLGSDYLDTLLSSF >scaffold_702582.1 pep chromosome:v.1.0:7:10816422:10817107:1 gene:scaffold_702582.1 transcript:scaffold_702582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKVDEDHQKSTKEDITRPLLEEDKNFPDIERTTWIQKAIGQTFQTTAHLANLLPTGTVLAFQLLSPIFSNGGQCDLVSKIMTSTLVAICGFSCFILSFTDSYKDKNGTICYGLATIHGFWIIDGSTTLPQELSKRYKLRFIDFVHAFMSLFVFGAVVLFDRNAVNCFFPAPSAEALEVLTALPVGVGVFCSMLFATFPTTRNGIGFPLSSK >scaffold_702583.1 pep chromosome:v.1.0:7:10823090:10823360:1 gene:scaffold_702583.1 transcript:scaffold_702583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVFGAVLLFDRNAVNCFFPAPSAEAVEVLTALPVGVGVFCSMLFATFLTTRTGIGFQLSSKLMISICCYLFVI >scaffold_702586.1 pep chromosome:v.1.0:7:10838147:10838753:1 gene:scaffold_702586.1 transcript:scaffold_702586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMSSTDLKQESKSENNQVPTKEVGDRLQEFMKKGGCENSYIACVGCDSREDECTEAYSMLEKCMKARSDYFETYLALKNASAEVMAREIEVFLHAKPNDRDELLGKFITRGGCKEAFMAWRDSYEEAKKNKGSLYTPALNTLSKCMEAHSDYYQPFLAVVKNYEEHYSKERIAFLMRLRDDLNRM >scaffold_702587.1 pep chromosome:v.1.0:7:10845001:10845280:-1 gene:scaffold_702587.1 transcript:scaffold_702587.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCS8] MANEMRFIQTWGEVTPSLSHRIQQRRLSRSISQPKLETIFEEGCDSFAVKAPKRIVIFLPLLLSMLLYFVLYKAIKNY >scaffold_702589.1 pep chromosome:v.1.0:7:10847230:10847630:-1 gene:scaffold_702589.1 transcript:scaffold_702589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKSPYLFISDDNDHNNLQYTSLKDVISSSDGFGSLFCHPQYSVPSQDGFLLSEMDSSNIAIRNELVKRAASMYVQSSMVVIAPDTNWFQRFCLKAKHQAVAAIDCLRPVCRIFARSS >scaffold_702591.1 pep chromosome:v.1.0:7:10865810:10867014:-1 gene:scaffold_702591.1 transcript:scaffold_702591.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MCT3] MAIILRSSDPLLSRIHPQPQHIDHFDHLPDSILLLIFNNIGDVKALGRCSVVSKRFHSLIPQVENVVVRVDCVISDDDSSSLISDKPRSVAAATPFSAIFRLVFKPLQALGQFLKRSGSASSPSGSSSPSSLLISGGGGDDGEIEQGGVTHHSPTQVLKNFDEIRFLRIELPSGELGIDDGVLLKWRAEFGSTLENCVILGASSVIPATNSDTSVDLSSSNNAVAVAEDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIAEHKTLDSLVLTDVDGQGVLCMNRDQLEELRVKPLSASSASKRTLVPALNMRLWYAPTLELPDGTVLKGATLVAIRPSESKKEVCDVSWVSAAFDESYGVAAKMLVKRRTYCLEMNSF >scaffold_702593.1 pep chromosome:v.1.0:7:10874205:10874584:1 gene:scaffold_702593.1 transcript:scaffold_702593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAERSSTLVASTSQGSDLDPISRVRKLLFRQMLVGIKDGRFFLGNFHCIDKQGNIILQDTVEYRSIRKSSPSPTEQRCLGMILIPSSCRTSCHVDCSIEEQLSLIQLKE >scaffold_702595.1 pep chromosome:v.1.0:7:10877451:10877911:-1 gene:scaffold_702595.1 transcript:scaffold_702595.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCT7] MSSSENGKVIMVNDFVRLSNEFFGDGWEPTREMLASSAKEALNEIAGDDWELRHRCINRALANLRVVHEYRKQINRNKKAAEEAASGVTSSNSATTNEVKITTA >scaffold_702596.1 pep chromosome:v.1.0:7:10892867:10895184:1 gene:scaffold_702596.1 transcript:scaffold_702596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNVMEYEKIAKEKLPKMVYDYYASGAEDQWTLQENRNAFSRILFRPRILIDVSKIDVSTRVLGFNISMPIMIAPTAMQKMAHPDGELATARATSAAGTIMTLSSWATCSVEEVASTGPGIRFFQLYVYKDRNVVIQLVKRAEEAGFKAIALTVDTPRLGRRESDIKNRFALPRGLTLKNFEGLDLGKIDKTNDSGLASYVAGQVDQSLSWKDIKWLQSITSLPILVKGVITAEDARIAVEYGAAGIIVSNHGARQLDYVPATIVALEEVVKAVEGRIPVFLDGGVRRGTDVFKALALGASGVFVGRPSLFSLAADGEAGVRKMLQMLRDEFELTMALSGCRSLREISRNHIKTDWDIPHYLPAKL >scaffold_702604.1 pep chromosome:v.1.0:7:10953003:10953480:-1 gene:scaffold_702604.1 transcript:scaffold_702604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESLEHLLMQPLPQVVQPEDPAVQAVRLMDLIGDIVGDGAHPQEVVMAMVLVRVRHQMEEEKGLDSGLVRVQVQELDLGLAREEEEPQTVVLAMEVGPDTLVKAVAQAVEMVEDLQIVERGANTAKRKLSCYYYYLNTTIHA >scaffold_702611.1 pep chromosome:v.1.0:7:10981697:10983079:-1 gene:scaffold_702611.1 transcript:scaffold_702611.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease family protein [Source:UniProtKB/TrEMBL;Acc:D7MCV2] MKGDHELQVIGQSVATILGRLYYDNGGNSKWLATVVQVVGFPILLPYYLLSVKTHTTTHRDGKITSLRNRVLVYIVLGLLVVAACYLYSIGLLYLPVSTLSLICASQLAFTAFFSYLLNSQKLTPIILNSLFLLTISSTLLAFNSEESNSKKVTKEEYVKGFICTVGASAGFGLLLSLQQLAFRKVLKKQTFSEVMDLIIYMSLVASCVSLVGLFASSEWKTLSNEMENYKLGKVSYVMNLVWTAVTWQVFSIGGTGLIFELSSLFSNAISALGLPVVPILAVIIFHDKMNGLKVISMILAIWGFVSYVYQQYLNEENLKKSHGIPTTESPDPPEAEGSSEQSK >scaffold_702617.1 pep chromosome:v.1.0:7:11016528:11018432:-1 gene:scaffold_702617.1 transcript:scaffold_702617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGSDPLLQYMISPRLKKPPQLLFPLPEDNEVAIPMPMTPSEFKERLIFGPFSRSPRDSSHFIDSISQKQPSPSPSSSSTAVNPFSDSSTLEPLLPPPPQPEPWFSDQNSSHCHGHALHRSKTAPAMAVINDLHHPIPQKDPTETSRSVVRQAFALLVVYLSLGVLIYWLNRDHYVVNQTHPVVDGLYFCIVTMCTIGYGDITPNSVVTKLFSIMFVLVGFGFIDILLSGMVSYVLDLQESYMLDSAKRRDEPEKRRSYIIDVKKGRMRIRLKVALALGVVVLCIAVGIGIMHFIEEIGWLDSFYLSVMSVTTVGYGDRAFKTLPGRLFAAIWLLVSTLAVARAFLYLAEARVDKRNRERAKKVLCETMSVSQFFAADIDNNGCVSKAEYVIYKLKEMEKITDKDILPISKQFDKLDRCSNGKITLLDLLEGGSGD >scaffold_702622.1 pep chromosome:v.1.0:7:11043303:11047000:1 gene:scaffold_702622.1 transcript:scaffold_702622.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome [Source:UniProtKB/TrEMBL;Acc:D7MCW5] MGFESSSSAASNMKPLGDSKPQKSNTAQYSVDAALFADFDQSIYSGKSFNYSKSVISPPNYVPDEHITAYLSNIQRGGLVQPFGCLIAVEEPSFRILGVSENSSEFLGLLSLASTSHSGEFDKVKGLIGIDARTLFTPSSGASLAKAASFTEISLLNPVLVHSRTTQKPFYAILHRIDAGIVMDLEPAKSGDPALTLAGAVQSQKLAVRAISRLQSLPGGDIGAFCDTVVEDVQRLTGYDRVMVYQFHEDDHGEVVSEIRRSDLEPYLGLHYPATDIPQAARFLFKQNRVRMICDCNATPVKVVQSEELKRPLCLVNSTLRAPHGCHTQYMANMGSVASLALAIVIKGKDSSKLWGLVVGHHCSPRYVPFPLRYACEFLMQAFGLQLQMELQLASQLAEKKAMRTQTLLCDMLLRDTVSAIVTQSPGIMDLVKCDGAALYYKGKCWLVGVTPNESQVKDLVNWLVENHGDDSTGLTTDSLVDAGYPGAISLGDAVCGVAAAGISSKDYLLWFRSNTASAIKWGGAKHRPKDKDDAGRMHPRSSFKAFLEVAKSRSLPWEISEIDAIHSLRLIMRESFTSCRPVLSGNDVARDANELTSFVCEMVRVIETATAPIFGVDSSGCINGWNKKTAEMTGLLASEAMGKSLANEIVQEESRAVLESLLCKALQGEEEKSVMLKLRKFGQNNHPDSSSDVCVLVNSCTSRDYTEKIIGVCFVGQDITSEKAITDRFIRLQGDYKTIVQSLNPLIPPIFASDENACCSEWNAAMEKLTGWSKHEVIGKMLPGEVFGVFCKVKCQDSLTKFLISLYQGIAGDNVTESSLVEFFSKEGRYIEASLTANKSTNIEGKVIRCFFFLQIINKESGLSCPELKESAQSLNELTYIRQEIKNPLNGIRFAHKLLESSEISASQRQFLETSDACEKQITTIIEGTDLKSIEEGKLQLETEEFRLENILDTIISQVMILLREGNLQLRVEVAEEIKTLPLYGDRVKLQLILADLLRNIVNHAPFPNSWVAIYISRGQELSRDNSHYIHLQFRMIHPGKGLPSEMLSDMFETRDGWDTPDGLGLKLSRKLLEQMNGRVSYVREDERCFFQVDLQVKTMLGVETRGTEAGSSIK >scaffold_702624.1 pep chromosome:v.1.0:7:11051658:11052367:1 gene:scaffold_702624.1 transcript:scaffold_702624.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MCW7] MEGTSTWILNYESTTHIVDYKNSGSFSINIQGFERILIRSPSGRETLAQQKYLGEKNATVTIESEIPPSFLTGEEICSRYVANVLSKENINNWIQQRVVPKICEDVINISRRLEEEEEEGFLVEAEVEVVLETLYTDWLSFNDYDSQGVLIPTEEECIICLEELSSSSGERRIMNLLCSHSFHKDCLLPWLRRKRSCPTCRDDVHNPRLERRPPGMIICKRN >scaffold_702628.1 pep chromosome:v.1.0:7:11060726:11062821:1 gene:scaffold_702628.1 transcript:scaffold_702628.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g18060/F15J5_30 [Source:UniProtKB/TrEMBL;Acc:D7MCX1] MDAFRRQASKLRDQVAKQQLAVIKQFSGTGYESSDVMVIDELEMQRHHQLDKLYRSTRSAKEFQRDIVKAAEAFTSIGLRHIEAGTKLSEDCCRYGNENSQNIDENILAKAAAIYGDARKHVDKEQEDFNKLLASQVLDPLRAMVAGSPLEDARHLAQRYSRMRQEAETHATEVSRRQARVREAPIPENVAKLQLAEAKMQELKANMAVLGKEATAALAAVESQQHRLTFQRLVAMVEGEKNYHLRIAAILSDIEAEMVTEKQHKESAPPAIPTENGSEKTSYFLAEVIHAFSAASEKELELEKGDYVVVRKVSQTGWAEGECKGKAGWFPMAYIEKRQRLPTNNFAAEVY >scaffold_702635.1 pep chromosome:v.1.0:7:11108856:11111788:1 gene:scaffold_702635.1 transcript:scaffold_702635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRGKRPERFWPSIVMNKWLNIKPKVYDFSEDEIDTEPESEDDVCSVKDVPNVHCVTDEDSHNGRRGSEADHGNIISDGGVSVRGGYQRKHRRGKSETLRAQYINTKDIKVTVATWNVAGKRPSDDLEIEDWLSTDNPSDIYIIGFQEVVPLNAGNVFGAEDRGPIPKWESIIRRTLNKSNKESVDDQSPSCNNNLLHRSHSAPSSPNLAQEANSIISDVMVENLVADHSLDLATNEFIDAATALPSLEPERNPNMDWPELALDSNPQIVGSEGKLRRVFSSNATLGFKLPENPSGASRFASEARHLKRSRSFETLNLSWNDIKEDIDNSSSSSSEAEEAAKIMHDDSSDGDSSSEDVEDGDKIGNSYGLPEDLVEECRKVKDSQKYVRIVSKQMVGIYVSVWIRRRLRRHVNNLKVSPVGVGLMGYMGNKGSVSISMTLYQSRMCFVCSHLTSGHKDGAEQRRNADVYEIIRRTRFASVLDTDQPRTIPCHDQVFWFGDLNYRLNMADSEVRKLVAQKRWDELKNSDQLIRELRRGHVFDGWREGPIKFPPTYKYEFDSDRYAGENLREGEKKRAPAWCDRILWLGKGIRQECYKRSEIKMSDHRPVTSIFNVGVEVFDHRKLQRALHVNNAAASAVHPEPSF >scaffold_702636.1 pep chromosome:v.1.0:7:11115833:11118335:1 gene:scaffold_702636.1 transcript:scaffold_702636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKIMDSLSHETLELMKPEEQKIMESLSHETLYDSFSDPNPNLEQNRVVQSAAASPPITKKLVRKRSLKKWRLKKVTLKFFKDIEKKRKRFLGLPMTPKEINSSRKWMDLFDAEYEVSKKVKSKIQSSNPCCKIICRTIKKGVKFMLWKVNPLEPQPEVLTKDWKNEGGHVRDQNSHSNCWTYAGTDSYSARRLITKEDDKFRTFSSRYLTYYVDKDMRSNEAAEGGREGHHCHGFSTARALKFMKYNGVPEEDPRDAKEEFNCISDPPERNPPNLYKIGEDVEIRESNDLRDLYKMLLKQPVVANVLLFNPEFKNIGERIYDGPKSNRSKYCGLHSVLVIKLDKIEGELVAIVKNSHGKESGKDGYITVSLTRMVFGMNSDPVAPSFLLRNFTVAEIPSPLDRPLNKPESKREKRKNKKRKNDSRSRHLPSLAEIDHLPVAQPESTCSDIFNEYGEKFLEEPDLKSIDDLELSEIEEFGMLVLAQKAADLLSDKLPETREAVRSMVSSVYEKIIWNGDEKDKQEACQKFCEKNVTGPNAQALIKIVSSL >scaffold_702640.1 pep chromosome:v.1.0:7:11130347:11130637:1 gene:scaffold_702640.1 transcript:scaffold_702640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVCVFRVPFCVREKQPPPLEMRVRVADFFAQELDYCNIENRKIYHDLNAYKIFFDEEGDPSLSSLPTFGLIENLQEKFTF >scaffold_702641.1 pep chromosome:v.1.0:7:11130952:11131259:1 gene:scaffold_702641.1 transcript:scaffold_702641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRESCYELIDSATALASIDWLNETTLEFRSKEKLRRVFSSNAMLGFKLPENPTGASRFASDARNLKRLRSFETLQLRYFDKVNEC >scaffold_702642.1 pep chromosome:v.1.0:7:11131377:11132825:1 gene:scaffold_702642.1 transcript:scaffold_702642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDEKCRKFWDRVGESEGFDVEHLMDNKPKSCLLYYENSDFDSEVFLYAKLGIHKYNMLQGTNLQLCCIEKCNTRSSTVYTGYSITLVAKDPSAGGSLVTFQTKVVNEDNYKINTLTVSIARLKSEPPRANPEHHGWLYDCAQVRLPDEWPSEDSFNDKKRYYVMKKSELRKHNWIRLYMELAFIHANEDLPNVAVETEENVKPPNERLKARNAVFYIRYRYYPNKGRAPKGNNGHKPPRDRVAIVKRTMDMNKGLLKLMFDNRYAKTLL >scaffold_702643.1 pep chromosome:v.1.0:7:11136070:11136779:1 gene:scaffold_702643.1 transcript:scaffold_702643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLLQMKKSELRKHDWVRLYMELAFFNANTKLKNVAVETEENVKPPNERLKARNAVFYIRYMYYPNKGRAPTGHCHKPPRDRVAIVKRTMDKKAGLLTLEFEGRFSKSLL >scaffold_702646.1 pep chromosome:v.1.0:7:11154940:11155956:-1 gene:scaffold_702646.1 transcript:scaffold_702646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFKKLAGVFGFGQEVLKNEEDDINVSGDGDNQTRFRETGLPRKGFGVPVQVAVERSQLGPLLQPCANGDGGIQGLRWYTKRLRVDEDGDVADEFLEEGEKLTNAEDDHNCIKTIPRLQIKRKTKPVKVRGLVVSSDGKLQQCIEHHGRLFIV >scaffold_702647.1 pep chromosome:v.1.0:7:11157879:11159550:1 gene:scaffold_702647.1 transcript:scaffold_702647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSHHNSYNRNPNAAAAAVLMGHNTSTSQAMHQRLPFGSMSPHQPQQHQYHHPQPQQQIDQKTLESLGFDGSPSSVAATTQQQSMRFGIDHQQVKKKRGRPRKYAADGGGSNIALGLAPTSPLPTASNSYGGGNEGGGTGGDSGGANANSSDPPAKRNRGRPPGSGKKQLDALGGTGGVGFTPHVIEVKTGEDIATKVMAFTNQGPRAICILSATGAVTNVKLRQATNPSGIVKYEGRFEIISLSGSFLNSESNGTVTKTGNLSVSLAGQDGGIVGGSVAGMLVAGSQVQVIVGSFVPDGRKQKQSAGRAQNTPEPASAPANMLSFGGGGGGPGSPRSQGQQHSSESSEENESNSPLHRGTNNNNNNSNNNNNNHGLFGNATPQPLHQIPMQMYHLWPGSSPQ >scaffold_702649.1 pep chromosome:v.1.0:7:11176712:11177640:1 gene:scaffold_702649.1 transcript:scaffold_702649.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MDD1] MSTIIPSPLPPQPPPPQPPPQHYVTPPLTVILTVILLVFFFIGFFTLYFCKCFLDTMMQAWRLHHGGDTVSDNPLQPPEAPPVNPGLDLRIINSFPTFPYSSVKDLREEKYGLECAICLLEFDGDHVLRLLTTCYHVFHQECIDLWFESHRTCPVCRRDLDPPPQPENTKPTVDEMIIDVIQETSDDEEDDHHRHQTTTPIDTWPSSGQSSSIKKEQNLPEKFSRSHSTGHSIVRNKPEEEDKYTLRLPEHVKIKVTRGHSQTESCVTFAELVKNRGYDHRRFGEVSGQTQSEN >scaffold_702650.1 pep chromosome:v.1.0:7:11179861:11181294:1 gene:scaffold_702650.1 transcript:scaffold_702650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLVNFTGLSTRLLNICVDSLCKFRKLEKAETLIIDGIRLGVAPDVVTYNTLISGYCRFVGIEEGYAVTLRMRDAGIRPDVATYNSLIAGAARRLMLDRVLYLFDEMLEWGIYPDLWSYNTLMCCYFKLGKHEEAFRVLYKDLQLAGLSPGPDTYNVLLDALCKCGYIDNALELFKEMQSKFKPELMTYNILINGLCKSRRVGTAKWMLTELKRSGYTPNAVTYTTILKLYIKTRRIRRGLQLFLEMKREGYTYDGYAYFAVVSALIKTGRTKEAYEYMQELVRKGRRHDIVSYNTLLNLYFKDGNLDAVDDLLGEIERKGMKADEYTHTIIVNGLLRTGQTRRAEEHFVSMGEMGIGLNLVTCNCLVDGLCKAGHVDRAMRYFESMEVKDEYTYTSVVHNLCKDMRFVCASKLLLSCYNKGIKIPTSARRAVLSGLRMSGCYGEARKAKAKMKLTLVGNS >scaffold_702657.1 pep chromosome:v.1.0:7:11214169:11214786:-1 gene:scaffold_702657.1 transcript:scaffold_702657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSELTPEERSELKQSIGEFHTYQLGPGSCSSLHAQRIHAPPELVWSIVRRFDKPQTYKHFIKSCSVEQNFQMRVGCTRDVIVISGLPANTSTERLDILDDERRVTGFSIIGGEHRLTNYKSVTTVHRFEKENRIWTVVLESYVVDMPEGNSEDDTRMFADTVVKLNLQKLATVAEAMARNSGDGSGSQVT >scaffold_702660.1 pep chromosome:v.1.0:7:11236562:11238596:1 gene:scaffold_702660.1 transcript:scaffold_702660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLLSCSTTRVTLSSSSSSWCSRGSGGFRSSNCSSKLFDSPASSRSDLKKRNGKSNSRLNGLSLEKLKSVKASSSSAGQSSGEVIDDGDAAARGLAVTSGDVTSVGSFSSGEFVGAGSGGLAGPSGEVTSVGEFVGGSGGDFKAWDKIDAIVRLSYGIGIYCGMAVAGRFICEVAGIDYTGGFNASLDTIIAGLGYASPPIMALLFILDDEVVKLSPHARAIRDVEDDELRGFFHGMSAWQFILVVAASSVGEELFYRAAFQGALADIFLRGTDLISDSRGMVALTGLLPPFVPFAQVFAATITAALTGSLYYVAASPKDPTYIMAPVLKTRSARDELKKLFAAWYERRQMKKIYSPLLEGLLGLYLGFEWIQTNNLLAPIITHGIYSAVVLGNGLWKLHHHQQRLRLRVQKLETEGDDNSR >scaffold_702664.1 pep chromosome:v.1.0:7:11276776:11278081:-1 gene:scaffold_702664.1 transcript:scaffold_702664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEGETTMIGVVPAKSATPIYSLSFWEVTAASGVVLGFLLGLVCVYLTMPQSDYSFLKLPRNLEDLQILRDNLEIYTSDYTVQVLVGYCLVYVFMQTFMIPGTVFMSLLAGALFGVVKGMALVVSTATAGASSCYFLSKLIGRPLLFSLWPDKLVFFQDQVARRKDRLLNYMLFLRLTPTLPNTFINFASPIVDVPYHIFFLATFIGLIPAAFVTVRAGLALGELKSLGDLYDFSSMATLCLIGVLSVTPTLISKKKA >scaffold_702680.1 pep chromosome:v.1.0:7:11346000:11347021:-1 gene:scaffold_702680.1 transcript:scaffold_702680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7M9G8] MSLYIPVLFLVLVFVPSINSAPPPNLTKDYYQKTCPDFSKIVRETVTPKQGQQPTTAAGTLRLFFHDCFMEGCDASVLIATNSFNKAERDDDLNESLPGDAFDIVTRIKTALELSCPGVVSCADILAQATRDLVTMVGGPFYEVKLGRKDGFESKAHKVKGNLPLANQSVPDMLSIFKKNGFTLKELVALSGGHTIGFSHCKEFSNRIFPKVDPELNPKFAGVLKDLCKNFETNKTMAAFLDPVTPGKFDNMYFKNLKRGLGLLASDHILFKDSSTRPFVELYANNQTAFFEDFARAMEKLGTVGVKGEKDGEVRRRCDHFNNLNV >scaffold_702689.1 pep chromosome:v.1.0:7:11380156:11381989:-1 gene:scaffold_702689.1 transcript:scaffold_702689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHSKAAREFRGHEDPVKLASETAFSVSEVEALFELFKSISSSVVDDGLINKEEFQLALFKSRKRENIFANRIFDMFDVKRKGVIDFGDFVRSLNVFHPNASLEDKIDFTFRLYDMDCTGYIERQEVKQMLIALLCESEMKLADETIEIILDKTFEDADVNQDGKIDKLEWSDFVNKNPSLLKIMTLPYLRDITTTFPSFVFNSEVDEIAT >scaffold_702693.1 pep chromosome:v.1.0:7:11395465:11396895:1 gene:scaffold_702693.1 transcript:scaffold_702693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSSFFDSQNRRSWSYDSLKNFRQISPAVQNHLKRVYLTLCCVLVASAFGAYLHMLWNIGGLLTTLGCFGSMIWLLSTPPYQQSSKRLSLLFLSAVLQGASVGPLIKVAIDVDPSILITAFVGTAVAFVCFSLAAMLARRREYLYLGGLLSSALSILMWLQFASSIFGGSASVFKFELYFGLLIFVGYMVVDTQEIIEKAHLGDMDYVKHSLTLFTDFVAVFVRILIIMLKNSADKKEKKKKRRN >scaffold_702699.1 pep chromosome:v.1.0:7:11417084:11418541:-1 gene:scaffold_702699.1 transcript:scaffold_702699.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 family protein [Source:UniProtKB/TrEMBL;Acc:D7MBX1] MATSFHRLPQALHMTPRNPTQSSKNLGFSSFLSCAPSMNSRISVSRLSLNHSSSKFGFSLDTRVRKEFIVRVEEGNTEAESEEAVAEIADTEGNVEEVGEAKPQRKPRIKLGDVMGILNQRAIEVSEKVRPVPEIRTGDIVEIKLEVPENKRRLSIYKGIVMSRQNAGIHTTIRIRRIIAGIGVEIVFPIYSPNIKEIKVVSHRKVRRARLYYLRDKLPRLSTFK >scaffold_702702.1 pep chromosome:v.1.0:7:11423678:11425429:1 gene:scaffold_702702.1 transcript:scaffold_702702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVTYDVTDLESFNNVKQWLNEIDRYASENVNKLLVGNKCDLTSQKVVSTETAKAFADELGIPFLETSAKNATNVEEAFMAMTAAIKTRMASQPAGGSKPPTVQIRGQPVNQQSGCCSS >scaffold_702704.1 pep chromosome:v.1.0:7:11430498:11432063:1 gene:scaffold_702704.1 transcript:scaffold_702704.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MBX6] MTTVDEGYSAKKWLPLEANPDVINQYLWGLGLSPDEVECNDVFGLDDELLEMVPKPVLAVLFLYPITKKCEEERIKQDKEIKEKVHQTDKVYFMKQTVDNACGTIGLLHAIGNITSEIKLSEGSFLDRFFKSTANMTPIERARFLENDSQIEDAHSVAVIAGDTTASDEVDTHFICLACVDGELYELDGDRAGPISHGVSSPATLLQDAAKVIKTIIEKNPDSLNFNVIAISKKA >scaffold_702706.1 pep chromosome:v.1.0:7:11435561:11435789:1 gene:scaffold_702706.1 transcript:scaffold_702706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQQQWVISSSFEAQCRIVGPIYGCVGIISLLQSQIQTKKNENLLAKTNLVRTTLPNSYFH >scaffold_702713.1 pep chromosome:v.1.0:7:11487710:11488877:-1 gene:scaffold_702713.1 transcript:scaffold_702713.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein HAT1 [Source:UniProtKB/TrEMBL;Acc:D7MBY6] MMMGKEDLGLSLRLGFAQNHHPLQLNLKPTSSSMSNLQMFPWNQTFVSSSDHQKQQSLRKIDVNSLPTTVDLEEETGVSSPNSTISSTVSGKRRSEREGTSGGGAGDDLDITLDRSSSRGTSDEEEDYGGETCRKKLRLSKDQSAVLEDTFKEHNTLNPKQKLALAKKLGLTARQVEVWFQNRRARTKLKQTEVDCEYLKRCVEKLTDENRRLEKEAAELRALKLSPRLYGQMSPPTTLLMCPSCERVAGPSSSNHNQRSVSLSPWLQMAHGPSTFDVMRPRS >scaffold_702714.1 pep chromosome:v.1.0:7:11493081:11494903:-1 gene:scaffold_702714.1 transcript:scaffold_702714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARVGGHEHTCALLSWVVWSLRNVCATSVPHGRIYRTSQFDLWTDRDGPQLFESVDDLPSARFFPKGVVHSVKPYGRLSSTSVVDGDSDGEVSEVKDEEIGKKLRGRRVRNRFGVMGIERGEEGGKRRIENRVNGGRLRNGKSSQVYEMTLQNGGRYEIGS >scaffold_702716.1 pep chromosome:v.1.0:7:11501061:11501697:1 gene:scaffold_702716.1 transcript:scaffold_702716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQEEANQQICDICLLRFSTCCFLRRVRLLHYLPRDTQVPPCSRSLHFLDPSNSRSEILTRTLLGQKFLWYAPHCGYSNQLSEFKNAVLMAGILNRTLIFPPILDPKFLGFEPQRDTNLCLRRKISFFVMGFSKINHCGSRILVSRWLILISGTSLHYCVQCAAVCSCASLNSVGTAGSSIADSVEMMRKYNACSSS >scaffold_702717.1 pep chromosome:v.1.0:7:11503868:11504511:-1 gene:scaffold_702717.1 transcript:scaffold_702717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKVKGSVSLRRPFVTCLDRTYEVVDDPSTDSIISWSQSGKSFIVWNPSEFSKDLLHRCFGHHHFPLFTRTLNDYGIKKVDSELWEFADDDFVKGRPELIRNINNRGDSDSDSESRVSTRNTILKKKKNNAESRVSTRVTIQTKKKKKKTNKLVAEAIAAQFQGLEI >scaffold_702718.1 pep chromosome:v.1.0:7:11509023:11509346:1 gene:scaffold_702718.1 transcript:scaffold_702718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGARSEEPQNLLIHLTATTPPNESSGRDQYLWRTAVGSSSLAFSSKAKWEQLRVRPPLLPWTKVVPRYSFFTWLAIKKLGLVCSNILCPLWN >scaffold_702719.1 pep chromosome:v.1.0:7:11510205:11511370:1 gene:scaffold_702719.1 transcript:scaffold_702719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGRNVISDFLKKAYELVDDPSTDSIISWSPNGLSFVVLKPLECSRDLLTRRLQITNFSPFQSYGFRKIDISPGQELEFACDDFVRGKPELLDKIAQRYLARLKAYHDSKYGELDKRLKSATTREEYELAIKEHREKWERESRDRKARTRVTSTIPYQDFAFGRNDIFDFLKKAYALVDDPSTDSIVSWAPNGLSFVVWQPLEFTKDLLPRHLQITHFAKFHTYGFSKLVISSGQQLEFMCNDFVRGKPELLDKIAQRYVARMKDTELWKIDERLENATSKEEYDLAMKDKEEMFARKSKERKAIMATRRKST >scaffold_702722.1 pep chromosome:v.1.0:7:11522533:11527723:-1 gene:scaffold_702722.1 transcript:scaffold_702722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYYKFKSARDYDSISMDGPFITVGFLKEKIYETKHLGSGKDLDIVISNAQTNEEYLDEAMLIPKNTSVLIRRVPGRPRIRIITIPEPRVEDKVENVQADLNNVITADASAVEDEWDEFGNDLYSIPDAPAVHSNNLCHDPAPADDKVDEETKIKALIDTPALDWQQQGADGFGPGRGYGRGMAGRMGGRGFGMERKTPPPGYVCHRCNVSGHFIQHCSTNGNPNFDVKRVKPPTGIPKSMLMATPNGSYSLPSGAVAVLKPNEDAFEKEMEGLTSTTRSVGEFPPELKCPLCKEVMRDAALASKCCLKSYCDKCIRDHIIAKSMCACTATDVLADDLLPNKTLRDTINRILESGNSSAENAGSMCQVQDMESARCPLPKVLSPTTSAASGSEKKPAPSNNNEISTLKPSIEILEITSTPRASAEIVKVEKPVDASENIQGSSNGKEAAVSQLNAQAPKEEMPQQVASGEQGKRKKKKPRMTGTDLAGPDYMMPMGPGPGNQYFNGLQPGFNGVQHGFNGVQSGFNGFHHGFNGFPGPFPGAMPPFVGYGIGPMDMSFGGVMHPDPFAAQGFGFPNIRPPYRDLAEMGNRMNLQHPIMGREEFEAKKTEMKRKRDNERRSEGGNVVRDGEKSRIMNNSAASSSPMKPKSRQGPPPPISSDYDRRRRSERLSPERQSYRRVKSPSRLSSRKSESDRHNDHHQDLDSEHDRRRDRHRDTDRKHRKRSEKPSSEPTAEIDDNHKSNVFTRISFREEESSGKQRKTSKASPAPPESSLAAVSSGRRHSRREREMVEYDSSDDEDRHFKRKPSRYKRSPSVAPSEAGDEHSRHSKRSKGERARA >scaffold_702723.1 pep chromosome:v.1.0:7:11528458:11529895:1 gene:scaffold_702723.1 transcript:scaffold_702723.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:D7MBZ8] MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQQPSIVRLVRPTRPDKARRLGYKAKQGFVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWLNEDSTYKYYEIILVDPAHNAVRNDPRINWICNPVHKHRELRGLTSEGKKNRGLRGKGHNNHKNRPSRRATWKKNNSLSLRRYR >scaffold_702731.1 pep chromosome:v.1.0:7:11562863:11566257:-1 gene:scaffold_702731.1 transcript:scaffold_702731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFLPATSLRLTPYSTLRFLSFFSISNPSYSLFRPPRHRVLPLIDAFPTNSRRRCFCTAVSESLGSGEGNKVDNFEKRFGSKVGEFRKKLKIAEVKGGADEGLGRVGQSLNIMGWVRTLRSQSSVTFIEINDGSCLSNLQCVMNSDAEGYDQVESGSILTGASVSVQGTIVASQGTKQKVELKVEKIIVVGKCDSSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNTLAYATHKFFQESGFVWVASPIITASDCEGAGEQFCVTTLIPSSHENTDTSIDAIPKTKGGLIDWSQDFFGKPAFLTVSGQLNGETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLDDDMACATAYLQYVVKYVLDNCKEDMEFFDTWIEKGIIRRLSDVAEKEFLQLGYTDAIEVLLKANRKFDFPVKWGLDLQSEHERYITEEAFGGRPVIIRDYPKEIKAFYMRENDDGKTVAAMDMLVPRIGELIGGSQREERLEVLEARLDELKLNKESYWWYLDLRRYGSVPHAGFGLGFERLVQFVTGIDNIRDVIPFPRTPASAEF >scaffold_702736.1 pep chromosome:v.1.0:7:11579341:11579888:-1 gene:scaffold_702736.1 transcript:scaffold_702736.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MC11] MRLLLSSDPQPELTSTCTSQSCGWKPYSHSNDFAANAFLLLIILFCSFICVLSLHAAIRCCLRPVLQHVPKPDPDLEATHPDAAPTLVYSPGLNLAGNEAECIICLSEFQDGDTLRVLERCKHGFHVHCIQQWLSSSHSSCPTCRTNIFSTPPQLHSQSLPLTSTS >scaffold_702737.1 pep chromosome:v.1.0:7:11581141:11582718:1 gene:scaffold_702737.1 transcript:scaffold_702737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYNSYDSRSSVTSSIHSDLSSSAEFKSNKPVSSKAIVRSKSSYLTKTTKPVNPDNNPGNLTYMMKKLMEMKKSNSKSKRVELVIPEELQKIDTGKGGKSTLGTLQRKLFGKEKVKPLTEVKGNTRTLSMVLRSERELLSMNKDQEVEIAELKLQLEDKNREVEKLKDLCLKQREEIKSLKSAVLFPDAMNSQISQMQELNQARQIIPNLQKQVISLNGQLQCIAQDLAEVKANKYLSESCYWQAQTSSYDSLEFSSGSPDGLALEDLNPCLTPYTKKKPKEYERVDSAEESLSGRSTITTTGGKVKSSSKSVKMSRSSEGKAGQRSEESKGWYRGGRMF >scaffold_702739.1 pep chromosome:v.1.0:7:11604951:11607363:1 gene:scaffold_702739.1 transcript:scaffold_702739.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Atmap70-5 [Source:UniProtKB/TrEMBL;Acc:D7MC14] MTAEENPFVSDTSSLQNQLIEKEKELLTAKAEIEALRTNEGHKDRAFKELRENVRKLEEQLGATENIVDQKEVEMKRLEGEKEDALAAQDAAEEALRRVYTHQQDDDSLPLESIIAPLEAQIKFQKHEISALQEDKKALERLTKSKESALLEAERILRSALERALIVEEVQNHNFELRRQIEICQDENKFLEKINRQKVLEIEKLSQSIVELEEAILAGGTAANAVRDYRRQISQLNEEKRTLERELARVKVSASRVALAVANEWKDENDRVMPVKQWLEERRILHGEMQKLKDKLSVSERTAKAESQLKERLKLRLKTIEDGLKGPNTCKVSPTTKTEKSGKILGFLTSGGGSKKRSTSGSITGRIHALSQPIHIVSESDEKENSKISANDITDQHEEDGKRKAEEDGNVDSEDMVSGFLYDRLQKEVIALRKICESKEGTINAKNEEIKMLLKKVDALTKAIEVETKKAKREAAAREKENALAVLNEESKQCRKAKLPRSRVPNPRCQ >scaffold_702742.1 pep chromosome:v.1.0:7:11618477:11618682:-1 gene:scaffold_702742.1 transcript:scaffold_702742.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MC17] MADFTNLQELNIDDEISSVINFLNNRFLTRTKVMQNYNFHVIHHLLFSGDQDAR >scaffold_702744.1 pep chromosome:v.1.0:7:11622150:11622616:-1 gene:scaffold_702744.1 transcript:scaffold_702744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMMMDSRVCSMNFDLQGIHNNEEDFVDPCIKQIAKLDQIEISKVLQCDGFLLCVIKDNSRLLVWNPYLGQTRFIKPRNSFHRLDRYALGYDSNHNYKILTLLDDYYFDREHLFGYDFSSDSWRVLLFIILIRNLALA >scaffold_702749.1 pep chromosome:v.1.0:7:11634016:11637182:-1 gene:scaffold_702749.1 transcript:scaffold_702749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVLGIKMIDQFINFVIRPPRAEYDPDQYLWEKEFSLGGTECKRQDLELTNSRGHTLRCSHYVPSSSLEDTPLPCVIYCHGNSGCRADANEAVMVLLPSNITVFTLDFSGSGLSEGDYVSLGWHEKDDLKTVVSYLRNSDQVSRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKVAVQYMRRIIQKKAKFNIMDLNCVKVSPKTFIPALFGHASEDKFIQPHHSDLILKCYAGDKNIIKFDGDHNSSRPQSFYDSVLIFFYNVLRPPPISSACSSKLESYYSLGDVNSATGLDESFLYEIISGLRSACIDVASSSSAPPAPLTTKPTTELLSEAMPMTDKDDVPVEDNDHNMDDPENFEGRPVDQFEDGCSFTSSNRESWGRCSSLGGTEEDESLTACEGDQVEKTADINTEQKPRDSSREEEEDSKEKKIKNGGETDAKKPRHEKLERLEAFSKRLRHRILKRVNHRRHRSP >scaffold_702751.1 pep chromosome:v.1.0:7:11663345:11665717:1 gene:scaffold_702751.1 transcript:scaffold_702751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLIRGLVDVAIGGNQRRGGDDDEGISRDERSRSTWADVVSGEEEDQNRAGGSSHGRRQNLEENQWEKKGERIPTRNSHKSLPAKQASKIWHFDFPTQEDQEEDTYAAKVAEKQDFSESQQEDNDGWETVGKKKPARQSHKVQKEQWQDYKRPASEQHYSDEVETYGNLQPSQLELSGLSEACNKLWELDSNRLVPGNDYQIDCGDGKRVHERADMAEGLLFSWVSNEAFRKPTYARFCSLLDNYNPNEGYKEVVTEEERQEQAAFIEEISRTAVIKYLHKYLVLKDVAPGSYQEFKRMLTSLWFDLYGRGGTSGSSSAFEHVFVGEIKQSGGEQVSGFHNWLQFYLEEAKGTVDYQGYIFPRRRGEIPDSETQLLTIQFEWNGVLKSVSSTLVGVSPEFELALYTMCFFMGREENHIQLGPYNVNVKCYRLGNNRIGSAFPIAES >scaffold_702752.1 pep chromosome:v.1.0:7:11668548:11670568:1 gene:scaffold_702752.1 transcript:scaffold_702752.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:D7MC27] MELTLNSSSSLIKRKDAKSSRNQESSSNNMTFAKMKPPTYQFQAKNSVKEMKFTHEKTFTPEGETLERWEKLHVLSYPHSKNESSVPVFVMLPLDTVTMSGHLNKPRAMNASLMALKGAGVEGVMVDAWWGLVEKDGPMNYNWEGYAELIQMVQKHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEISKNPDLVYTDKSGRRNAEYISLGCDSVPVLRGRTPIQVYSDFMRSFRERFEGYIGGVIAEIQVGMGPCGELRYPSYPESNGTWRFPGIGEFQCYDKYMKSSLQAYAESIGKTNWGTSGPHDAGEYKNLPEDTEFFRRDGTWNSEYGKFFMEWYSGKLLEHGDQLLSSAKGIFQGSGAKLSGKVAGIHWHYNTRSHAAELTAGYYNTRNHDGYLPIAKMFNKHGVVLNFTCMEMKDGEQPEHANCSPEGLVKQVQNATRQAGTELAGENALERYDSSAFGQVVATNRSDSGNGLTAFTYLRMNKRLFEGQNWQQLVEFVKNMKEGGHGRRLSKEDTTGSDLYVGFVKGRIAENVEEAALV >scaffold_702760.1 pep chromosome:v.1.0:7:11705915:11707360:1 gene:scaffold_702760.1 transcript:scaffold_702760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSHVLLLLLVQVIVLLPLLCLSDDFVNSRATYYGSPDCQANPRGHCGYGEFGRDINNGEVSGVSWRLWNNGTGCGACYQVRCKIPPHCSEEGVYVVATDSGEGDGTDFILSPKAYGRMARPGTENQLYSFGVVDVEYQRIPCRYAGYNLVYKVHEKSYNPHYLAILLLYVGGVNDILAVEVWQEDCKEWRRMRRVFGAVHDLQNPPRGTLTLRFLVYGSAGINWIQSPNAIPADWTAGATYDSNILLT >scaffold_702768.1 pep chromosome:v.1.0:7:11738701:11739288:1 gene:scaffold_702768.1 transcript:scaffold_702768.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MCA6] MCWNSKILVISNICIADSTCVGSVFGLASAKDVTSVLEVKGRAFKLSARDIVWSNHEVYHPAILHMRNMSLLFQGKLTPMPLSLHQGPKIDVWSAGVTLLYLMMGTTPFTADPEQLRSALTEFTPSHC >scaffold_702774.1 pep chromosome:v.1.0:7:11754837:11756428:-1 gene:scaffold_702774.1 transcript:scaffold_702774.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3 family protein [Source:UniProtKB/TrEMBL;Acc:D7MCB0] MHTLTPNADIFQAKRRRFTCNQTSTLIPTKPLSVSPARKTNKEHLRNLENVLKTSSSSIDHLENVTSRQDKTTTNTSTSSLLGGLNLARIWPQMKAAVDEMSPKNLKRLQRLLSKSSEERSPKSKLGSKWRELHGLNNWAGLLDPLDENLRRELVRYGEFVQAAYHAFHSDPEGSPRHVALPDGSFKVTKSLYATSSVRLPKWIDDVAPDLRWMTKQTSWVGYVAVCDDPREIRRMGRREIVIALRGTATLLEWSENFRPNLVSMPEPKPDQSDPTRPKVECGFNSLYTTGGQHAPSLAESLVGEITRLVELYAGEELSISVTGHSLGAAIALLAADDIAERVPHAPPVAVFSFGGPRVGNREFADRLDSKGVKVLRVVNSQDVVTKVPGIFSDNDKHGQNRNNGRSPGGIMEMVERNNPWAYSHVGAELRVDMKMSPYLKPNADVACCHDLEAYLHLVDGFLASNCPFRANAKRSLRKLLDEQRSNVKVLYTRKSLRLNRTFHDNGDVLPSPSSS >scaffold_702779.1 pep chromosome:v.1.0:7:11776258:11777401:1 gene:scaffold_702779.1 transcript:scaffold_702779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNLLQLENDITDEISFSMTKGEELRKQIGAAAYIECSSKTQQIPWPKPPTKWDDFCVL >scaffold_702782.1 pep chromosome:v.1.0:7:11818524:11820170:1 gene:scaffold_702782.1 transcript:scaffold_702782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTQILQQHARRILAHSNRSCLFRSYGTVSAADQNLPSRIVTNSNQNAHITPMLASWQKQGHQVKPSDLRGFIKNLRGSNQFSKALEASEWMGEQKVFDIVPEDYSARLHLVENVLGLEEAEKFFKSIPKNMTDYFVYATLLSSYTKSENTLDKAEATFEKMRELGFLLKPSPFNSMISLYGQLQKLDMVEKLVREMQETKVECDSPTVNNVLRVYADTCKIKAMETFKTWVDEQGIKLEGGTIVAMAKAYLRSGSIEKAIEMYGNVAGSEKEVYRLWDEYKKETKVNDNGYRTVLSSLLKLDNVQGAEKIYEEWKPEGPKLDMSIPSLLLSRYYAEGMEINIDQMVKSIRKKRYEMHLKKIKERLIFIRRVIVVMGLKLKVEQMVKSIRQKKLKEPLILLEAREAKVKEMVTFIKKKRFGMRLHKLKESLIKLGQIGMLVGLIVAILAVIVGALVINVAWNEWLIQQHPPTSYWKVRILKDNPMLYYFLFVRK >scaffold_702789.1 pep chromosome:v.1.0:7:11874344:11875017:1 gene:scaffold_702789.1 transcript:scaffold_702789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGASPRIVDATDNSLDKIPQQLESRVIGTCFWDLLFKRVETEASTIRRHQDKNLKPRRIKSTSASMQG >scaffold_702792.1 pep chromosome:v.1.0:7:11902400:11903289:-1 gene:scaffold_702792.1 transcript:scaffold_702792.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family protein 28 [Source:UniProtKB/TrEMBL;Acc:D7MCC7] MEDRENAKRVVFVTVGTTSFDALVKAVVSEDVKDELQKRGFTHLLIQMGRGIFFPNKCDGADGSLVVDYFTFSSSIADYIRSASLVISHAGSGSIFETLKLGKPLIVVVNEDLMDNHQCELAEALEERKHLYYTHPHTLHQTLTKMELESLVQYTPGDGTPVARIIDRFLGFPDD >scaffold_702797.1 pep chromosome:v.1.0:7:11914205:11922206:-1 gene:scaffold_702797.1 transcript:scaffold_702797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDRTLNFIAYGLQEVREEEEIEEEEDDEESMTLSSVPENETSECSSPRATYPPIPPRPKTPREPMEFLCRSWSLSTSEISSALSSQKSDKQLNKNPNISRLADVTSPAPAAPPPPLQTGKLASAVNARRTGTIGKWFHHREFVGGKVSAVRKRDKARVEKAHLHSAVSIASLATAIAAVTASDNQDGFTGSKMSSALASASELLASHCLELAELAGADHDRVVSAVRSAVDVRGPGDLLTLTAAAATALRGEAALRTRLPKEAKNSAAISPCERVLPETHSCSSELERTSTTDEHISAKGVEESTGELMQCTRNGVLRWKHVKVYINKKSQVVVEIKSKHVVGAFSMKSKGIVNDVREKVSGLQNGKEMENTEEELYFGISTGKGLTKFKCKSKADKQTWVDSIQNLLHRVTAAEVIDTCLETTNIADSK >scaffold_702803.1 pep chromosome:v.1.0:7:11959894:11960317:1 gene:scaffold_702803.1 transcript:scaffold_702803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNASVPKCGVVIEEIEDEEKPAKYSKTKRTKKKSQASEDEDEDCFPIPKEETAEPECKSGVEMELDNDKQGSNKKRKAKASEQDGIHER >scaffold_702806.1 pep chromosome:v.1.0:7:11975593:11979490:-1 gene:scaffold_702806.1 transcript:scaffold_702806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLKPFLFLYDTTLSLLLLLFNGGSLEDAAAKQERLEADKNAAESEWIQLQYLWTKTRSVVLLPVFKGLVVMCLVLSIIVFFESFYMNFVILFVKLFRRKPHKVYKWEAMQEDVEVGPDNYPMVLIQIPMYNEKEVFQLSIAAICSLVWPSSRLVVQVVDDSTDPAVRGGVDIEIAKWQSQGINIRCERRDNRNGYKAGAMKEALTHSYVKQCDFVAVFDADFQPEPDYLIRTVPFLVHNPDVALVQARWIFVNANKCLMTRMQEMSLNYHFKVEQESGSTRHAFFGFNGTAGIWRISAMEAAGGWKSRTTVEDMDLAVRVGLHGWKFVYLNDLKVRNELPSKFKAYRFQQHRWSCGPANLFRKMTMEIIRNKKVSIWKKFYVIYSFFFVRKVAVHFLTFFFYCIIVPTSVFFPEIYIPSWSTIYIPSLITIFHTMATPRSFYLVIFWILFENVMAMHRTKGTCIGLLEGGRVNEWVVTEKLGDALKNKLLSRVVQRKSCYERVNSKEVMVGVYILGCALYGLIYGHTWLHFYLFLQATAFFVSGFGFVGT >scaffold_702807.1 pep chromosome:v.1.0:7:11986381:11986970:-1 gene:scaffold_702807.1 transcript:scaffold_702807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMRKALYNVGFWIRETGQALDRLGCRLQGKKHFREHRGGSSCRSEPYASLRSVKRQKQLDPKHSEIAAVVRSDCETMAAGFNMDYGDYRGDGEAVLYPRLLVIVEVMERLFLYPRLFGF >scaffold_702813.1 pep chromosome:v.1.0:7:12009151:12009386:1 gene:scaffold_702813.1 transcript:scaffold_702813.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9S3] MQRFRKRKRRSSDRFLGAQLSNQTVTKTEEEMITTGGEGERENSDDGSDNFETFHFYSFLVFDT >scaffold_702814.1 pep chromosome:v.1.0:7:12009719:12011081:1 gene:scaffold_702814.1 transcript:scaffold_702814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKEQSILLYACRNCDHQEAADNNCVYRNEVHHSTVLCTKCQHGEAVFFQVNHYLKEATAKGEEGMTLFFVCYNPNCSHRWRE >scaffold_702817.1 pep chromosome:v.1.0:7:12017782:12018785:1 gene:scaffold_702817.1 transcript:scaffold_702817.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine triad family protein [Source:UniProtKB/TrEMBL;Acc:D7M9S6] MAGANQACIFCEIVRNPTTTRLLHTDEKVIAFQDIKPAAQRHYLVIPKEHIPTVNDLEIRDEDYSLVRHMLNVGQELLQKDAPQNIHRFGFHQPPFNSVDHLHLHCFALPYVPRWKAIKYKSLGPLGGFIEAETLLEKIRPLLSKV >scaffold_702818.1 pep chromosome:v.1.0:7:12022290:12023831:1 gene:scaffold_702818.1 transcript:scaffold_702818.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein [Source:UniProtKB/TrEMBL;Acc:D7M9S7] MKTCLIFFLYTTILNYCFYFSVSSSISLLLPLSHSLSTSKHSASPLHLLKSASSRSSARFRRHHHKHQQQLSLPISSGSDYLISLSVGSSSSAVSLYLDTGSDLVWFPCRPFTCILCESKPLPPSPPPTLSSSATTVSCSSPSCSAAHSSLPSSDLCAISNCPLDYIETGDCNTSSYPCPPFYYAYGDGSLVAKLFSDSLSLPSVSVANFTFGCAHTTLAEPIGVAGFGRGRLSLPAQLSVHSPHLGNSFSYCLVSHSFDSDRVRRPSPLILGRFVDKKEKRVATTDDDDDGDETKKKKNEFVFTEMLVNPKHPYFYSVSLQGISIGKRNIPAPAMLRRIDKNGGGGVVVDSGTTFTMLPAKFYNSVVEEFDSRVGRVHERADRVEPSSGMSPCYYLNQTVKVPALVLHFAGNGSTVTLPRRNYFYEFMDGGDGKEEKRKVGCLMLMNGGDESELRGGTGAILGNYQQQGFEVVYDLLNRRVGFAKRKCASLWDTLNQG >scaffold_702824.1 pep chromosome:v.1.0:7:12041915:12043149:1 gene:scaffold_702824.1 transcript:scaffold_702824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVPLSTPPQSPEGFYAINNQFLTSGPKGFTEFKMLVNEDMFVRMDLPGVPEEGVRVFLDPFLLVITIQVESKQIEQHRSSCIDLRGTDPNDPALTGPVLQPHPMAFPLTTMAYESKQLPDGKLHVRVDMPGVPSDNFTVSVTNGRVKVTGEAPAVGHHSSGRFYSGDVAMLSTPVDIPSHRIETIAKNGVIGLLIPPFC >scaffold_702826.1 pep chromosome:v.1.0:7:12045751:12046969:-1 gene:scaffold_702826.1 transcript:scaffold_702826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMEIRISSVVNSVKKLVEKEKEQFLVRGLEDFERFFSPDMNLYHYTKDQCHFVLASMNEIEGVVGTQTKEIVRKIKMLVTEQDNPAASKPQDDDLKNGREEFDRGWYDRLKNLSSLELLKIFASSELEDRSREIAIRRLNVLLCDHTSKKVQIDISEMRQLQPLLISCLKEEGVSFNSIFKVLGEVVNHVAYEMLICQEETWSELRNYIASSKTEFQRAVYIFQCLTMALIDDDFVIPVMENLFLKIITRLDPPRELLVDNSSWVLAFMGGFCLAIHLIEMSSKAESVKEIAHKMIDSIRELVGREMEVGVVRRAFRDVESIVKKQLEWYSTSQYKFLKGLLWRLYAIKGMKWESKIVLWRINVIVGRGVKEEEKELPENEFDWLNLNAE >scaffold_702827.1 pep chromosome:v.1.0:7:12047645:12049444:-1 gene:scaffold_702827.1 transcript:scaffold_702827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLLRSKSSIGNLLRHRAYASSDVDHCTRDLMYAAAAKFVNLLKQRISMVESKTGSDVTLTAADIHGSIVKHGLKTDSLMCNLLRLYAASGYPTQAKRVFFECYFSGYAGLGVWNTYIATLGLLGDGHEAIRVYANMPKQLTKTPATYWNILVACSHSGETEFAHYILGKIFKGLWEEPYIEHLGTYIDALARKNQLKKAALEVRSMIVYAPNREIINSVLSRCVEHRDTETAKKFSEYLEMKGVEYRVQKTTYNKLAEAGVVWKKNVKIIRQRVKGQSWW >scaffold_702828.1 pep chromosome:v.1.0:7:12052128:12053927:1 gene:scaffold_702828.1 transcript:scaffold_702828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLLRSKSSIGNLLRHRAYASSDVDHCTRDLMYAAAAKFVNLLKQRISMVESKTGSDVTLTAADIHGSIVKHGLKTDSLMCNLLRLYAASGYPTQAKRVFFECYFSGYAGLGVWNTYIATLGLLGDGHEAIRVYANMPKQLTKTPATYWNILVACSHSGETEFAHYILGKIFKGLWEEPYIEHLGTYIDALARKNQLKKAALEVRSMIVYAPNREIINSVLSRCVEHRDTETAKKFSEYLEMKGVEYRVQKTTYNKLAEAGVVWKKNVKIIRQRVKGQSWW >scaffold_702829.1 pep chromosome:v.1.0:7:12054603:12055821:1 gene:scaffold_702829.1 transcript:scaffold_702829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMEIRISSVVNSVKKLVEKEKEQFLVRGLEDFERFFSPDMNLYHYTKDQCHFVLASMNEIEGVVGTQTKEIVRKIKMLVTEQDNPAASKPQDDDLKNGREEFDRGWYDRLKNLSSLELLKIFASSELEDRSREIAIRRLNVLLCDHTSKKVQIDISEMRQLQPLLISCLKEEGVSFNSIFKVLGEVVNHVAYEMLICQEETWSELRNYIASSKTEFQRAVYIFQCLTMALIDDDFVIPVMENLFLKIITRLDPPRELLVDNSSWVLAFMGGFCLAIHLIEMSSKAESVKEIAHKMIDSIRELVGREMEVGVVRRAFRDVESIVKKQLEWYSTSQYKFLKGLLWRLYAIKGMKWESKIVLWRINVIVGRGVKEEEKELPENEFDWLNLNAE >scaffold_702831.1 pep chromosome:v.1.0:7:12059615:12061126:1 gene:scaffold_702831.1 transcript:scaffold_702831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSAMSSSRRCIHQRMKSSLKPYPIGMDYSRKQRPNCTSSGPSPLFHRVKFLINDISDLDVAAKHARLAARKRMDPEEAILTCNAIIGAMCNAGRSGDALDMFDFFFNKSKMKPNIASCNFIIKSHCEQGRLDDALQLYSHLLSSDNTPSPDHNTYDHLTKAMVDAGMMNQALDLLLKGRAELFNFQRPGMYMNLIRGFMEQGNLDMASQLRDDFKTCSIREYIATLESIFVEYLFKQGKDEEAMALYKSSVSMDNNGFTTNATVGNKYLKMLLKYGKKTEAWALFQYMLDNHGSWSSFDADNLNLMVNECFEVGRFSDAVNIFNKTKAKSKYSLPVEAYKNLITRLCQNGRLSEAESVFDELLKEEFVKPDDETYKAMIRAYVESGRVEEAVQTANKMVASKVHEVWKFLEQKRQHLQTAFLMALKR >scaffold_702832.1 pep chromosome:v.1.0:7:12061439:12061694:-1 gene:scaffold_702832.1 transcript:scaffold_702832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKYSWGNSINSLPDEVLGQILSLLRTKLAASTAILSKRWRNLLPLVHNLDFDESMFLDPNVISENLAIA >scaffold_702833.1 pep chromosome:v.1.0:7:12061733:12061946:1 gene:scaffold_702833.1 transcript:scaffold_702833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISEDVLLFTMGTAYGVYVAQNYNVPNIKKLTNTGLVIAKHIEENYGKPNNKGDVN >scaffold_702834.1 pep chromosome:v.1.0:7:12069104:12070389:1 gene:scaffold_702834.1 transcript:scaffold_702834.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:D7M9T9] MAKSSFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAEKSDIPTIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGALMSSVYEEKKDDDGFLYVTYSGENTFGFGSP >scaffold_702835.1 pep chromosome:v.1.0:7:12070630:12070853:-1 gene:scaffold_702835.1 transcript:scaffold_702835.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9U0] MRTSQHGPTVAFFSPSTTMWDLSYIIAGQLSTGTDRVCHVAQSPCARTSIEFDHITTLHL >scaffold_702837.1 pep chromosome:v.1.0:7:12072298:12074398:-1 gene:scaffold_702837.1 transcript:scaffold_702837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAELERLQIEILHRISVLESSFLPQNSSAPPSSSLPVDENETVTRLSTILRSGGVNDFCFKRVAPDYYDWPLESRRNVLGASSVDHLCKSIVLVNTQASSNILDCSDRNNSKYYVVVVQYTARFNAEAVKQFLYSLNEGKIPKKRFNLRLAPEETSIKLTGFEHNAVTCIGMKTNIPVILDEAITKLKPDFFWLGGGEIDLKLGVRTSEFLAFVKPFIVPCS >scaffold_702843.1 pep chromosome:v.1.0:7:12103607:12105485:-1 gene:scaffold_702843.1 transcript:scaffold_702843.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATINT4 [Source:UniProtKB/TrEMBL;Acc:D7M9U5] MVEGGIAKADKTEFTECWRTTWKTPYIMRLALSAGIGGLLFGYDTGVISGALLFIKEDFDEVDKKTWLQSTIVSMAVAGAIVGAAIGGWINDRFGRRMSILIADVLFLIGAIVMAFAPAPWVIIVGRIFVGFGVGMASMTSPLYISEASPARIRGALVSTNGLLITGGQFFSYLINLAFVHTPGTWRWMLGVAGIPAIVQFVLMLSLPESPRWLYRKDRVAESRAILERIYPADEVEAEMEALKQSVEAEKADEAIIGDSFTAKMKGAFGNPVVRRGLAAGITVQVAQQFVGINTVMYYSPSIVQFAGYASNKTAMALSLITSGLNALGSIVSMMFVDRYGRRKLMIISMFGIITCLIILAIVFSQAAIHAPKIDALESRMFAPNATCSAYAPLAAENAPPSRWNCMKCLRSECGFCASGVQPYAPGACVVLSDEMKATCSSRGRTFFKDGCPSKFGFLAIVFLGLYIVVYAPGMGTVPWIVNSEIYPLRYRGLGGGIAAVSNWVSNLIVSESFLSLTHALGSSGTFLLFAGFSTIGLFFIWLLVPETKGLQFEEVEKLLEVGYKPSLLRRRNKKGKEVDTA >scaffold_702846.1 pep chromosome:v.1.0:7:12115400:12115849:-1 gene:scaffold_702846.1 transcript:scaffold_702846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITALEKPQYPVVDRNPAFTKVVGNFSTLDYLRFSTITGISVTVGYLSGIKPGIKGPSMVTGGLIGLMGGFMYAYQNSAGRLMGFFPNDGEVASYQKRGGFSK >scaffold_702849.1 pep chromosome:v.1.0:7:12128986:12129980:1 gene:scaffold_702849.1 transcript:scaffold_702849.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 2B1 [Source:UniProtKB/Swiss-Prot;Acc:D7M9V1] MKLIDRRMRLTELLLRCSISVFALLALILVVTDTEVKLIFTIKKTAKYTDMKAVVFLVVANGIAAVYSLLQSVRCVVGTMKGRVLFSKPLAWAFFSGDQAMAYLNVAAIAATAESGVIAREGEEDLQWMRVCNMYGKFCNQMAIGVSSALLASIAMVFVSCISAFSLFRLYGATRDRRTTPW >scaffold_702851.1 pep chromosome:v.1.0:7:12133052:12134498:-1 gene:scaffold_702851.1 transcript:scaffold_702851.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix family protein [Source:UniProtKB/TrEMBL;Acc:D7M9V3] MGPKFWENQEDRAMVESTIGSEACDFFISTASASNTALTKLVSPPSDSNLQQGLRHVVEGSDWDYAIFWLASNVNSSDGCVLIWGDGHCRVKKGVSGEDYSQQDETKRRVLRKLHLSFVGSDEDHRLVKSGALNDLDMFFLASLYFSFRCDSNKYGPAGTYVSGKPLWAADLPSCLSYYRVRSFLGRSAGFQTVLSVPVNSGVVELGSLRHIPEDKSVIEMVKSVFGGSDFVQAKEAPKIFGRQLSLGGSKPRSMSINFSPKTEDGTGFSLESYEVQAIGGSNQVYGYEQGKDETLYLTDEQKPRKRGRKPANGREEALNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLADAITYITDMQKKIRVYETEKQIMKRRESNQITPAEVDYQQRHDDAVVRLSCPLETHPVSKVIQTLRENEVMPHDSNVAVTEEGVVHTFTLRPQGGCTAEQLKDKLLASLSQ >scaffold_702856.1 pep chromosome:v.1.0:7:12141813:12143961:-1 gene:scaffold_702856.1 transcript:scaffold_702856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHHLCSSPSSLLHDPLPLCNLLSVYPKSTPRSFLCSYNPNSSPFHSRNLLQVTHVSVQEAIPQSEKSKLDDADLPLPDPPASKSYVWVNPKSPRASQLRRKSYDSRYSSLIKLAESLDSCKPNEADVCDVITGFGGKLFEQDAVVTLNNMTNPETAPIVLNNLLETMKPSREVILYNVTLKVFRKSKNLEKSEKLFDEMLERGVKPDNATFTTIISCARQSGVPKRAVEWFEKMSSFGCEPDNVTLAAMIDAYGRAGNVEMALSLYDRARTEKWRIDAVTFSTLIRIYGVSGNYDGCLNIYEEMKALGVKPNLVIYNRLLDSMGRAKRPWQAKIIHKDLISNGFTPNWSTYAALIRAYGRARYGDDALVIYREMKEKGLSLTVILYNTLLSMCADIGYVDEAFEIFQDMKNCETCDPDSWTFSSLITVYSCSGRVSEAEAALLQMREAGFEPTLFVLTSVIQCYGKAKQVDDVVRTFDQVLELGITPDDRFCGCLLNVMTQTPSEEIGKLIGCVEKAKPQNIGQVKMLVEEQNGEEGVFKKETSELIDSIGSDVKKAYLNCLIDLCVNLNKLERACEILQLGLEYDIYTGLQSKSATQWSLHLKSLSLGAALTALHVWINDLSEAALESGEEFPPLLGINTGHGKHKYSDKGLAAVFESHLKELNAPFHEAPDKVGWFLTTSVAAKAWLESRRSSGGVSA >scaffold_702857.1 pep chromosome:v.1.0:7:12144226:12144879:1 gene:scaffold_702857.1 transcript:scaffold_702857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMVLVSQLLSQSVWSMALGRKRGMNKAMAIGELILGDLVLAKVKGFPAWPAKVCVMRSLAFTTLWFMIMIFRFGLSNIIVWISLALHIESSSI >scaffold_702860.1 pep chromosome:v.1.0:7:12152149:12152694:1 gene:scaffold_702860.1 transcript:scaffold_702860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVIPYELLYVAQEFKVKAMPTFVSMKEGEILDHIVGAEREEIHETLLKHGGFVLSTEYVFSYCLMMNVIQSRD >scaffold_702863.1 pep chromosome:v.1.0:7:12167530:12168749:-1 gene:scaffold_702863.1 transcript:scaffold_702863.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:D7M9W3] MSAASDGNHVAPTELMGQSPPHSPRATQSPLMFAPQVPVLPLQRPDEIHIPNPSWMQSPSSYEEASNEQGIPTMITWCHGGKEIAVEGSWDNWKTRSRLQRSGKDFTIMKVLPSGVYEYRFIVDGQWRHAPELPLARDDAGNTFNILDLQDYVPEDIQSISGFEPPQSPENSYSNLLLGAEDYSKEPPVVPPHLQMTLLNLPAANPDIPSPLPRPQHVILNHLYMQKGKSGPSVVALGSTHRFLAKYVTVVLYKSLQR >scaffold_702868.1 pep chromosome:v.1.0:7:12214302:12214802:1 gene:scaffold_702868.1 transcript:scaffold_702868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCIKQFFLAICFSLAITCQDHVLVGGTTTRDIIVPKISEWQVTVVNGLTTGETLFIHCKSKEDDLGEISLNFRDRFSWNFGENMLHSTLFWCYMSKDDGYMNVKVFWDDVILFHRCGWKNCIWTAKSDGLYLWNLANGEDVLSEKWEVGW >scaffold_702870.1 pep chromosome:v.1.0:7:12227667:12229149:-1 gene:scaffold_702870.1 transcript:scaffold_702870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7M9X0] MLKLRRKWSDHITTMKNLFNLFLMLFAAMPILSFSDNPTNFSESCEDGSGETGSSFGIGFGLVLDFGLYRNSCPEAESIVYSWVETTVLQDPRMAASLLRLHFHDCFVNGCDASVLLDDTEGLVGEKTAPPNLNSLRGFEVIDSIKSDLESVCPETVSCADILAMAARDSVVVSGGPSWEVEVGRKDSRTASKQAATNGLPSPNSTVPTLISTFQNLGLSQTDMVALSGGHTLGKARCSSFTARLQPLQTGQPANHGDNLEFLESLQQLCSTVGPTVGITQLDLVTPSTFDNQYYVNLLSGEGLLPSDQALAVQDPGTRAIVETYAADQSVFFEDFKNAMVKMGGITGGSNSEIRRNCRMIN >scaffold_702873.1 pep chromosome:v.1.0:7:12236118:12236619:-1 gene:scaffold_702873.1 transcript:scaffold_702873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSSIARKALDLLKKTYEVVDHPSTNSIISWGHDNKSFIIWDLEGFEKFLLPNILSPGNLGVYASYLKLYGFLKVESEQKWEFADDDFVRGHPELLEKITDRYKIYCQAFCAREGLII >scaffold_702874.1 pep chromosome:v.1.0:7:12250782:12252799:1 gene:scaffold_702874.1 transcript:scaffold_702874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADERFNRKNPAVKRILQEVKEMQANPCDDFMSLPLEENIFEWQFAIRGPSDTEFEGGIYHGRIQLPADYPFKPPSFMLLTPSGRFETNTKICLSISDYHPEHWQPSWSVRTALVALIAFMPSNPNGALGSVDYPKEERHALATKSRETPPKYGSPERQKLIDEIHQYMLSKTPSAPKPNPQECNKTPLDDSDSQSQTKLQDAATVISEPDTVAEENVVDQIAVEAAQTVLPGENAAVEIADGGSRNSLVRRQEQQTSVVRTAPKPGDDRLFTWAAVGLTIAIVVLLLKKFVRSSGHGAVFMDES >scaffold_702875.1 pep chromosome:v.1.0:7:12253857:12257902:1 gene:scaffold_702875.1 transcript:scaffold_702875.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome [Source:UniProtKB/TrEMBL;Acc:D7M9X6] MVSGSGSKTSGAEAASSGHRVNRSRHTSAEQAQSSASKAQRSQNQQPQNHGAGTESTSKAIQQYTVDARLHAVFEQSGESGKSFDYSQSLKTAPYDPSVPEQQITAYLSRIQRGGYTQPFGCLIAVEESTFTIIGYSENAREMLGLMSQSVPSIKEISEVLTIGTDLRSLFKSSSIVLLERAFVAREITLLNPIWIHSKNTGKPFYAILHRVDVGILIDLEPARTEDPALSIAGAVQSQKLAVRAISHLQSLPGGDIKLLCDTVVDSVRDLTGYDRVMVYKFHEDEHGEVVAESKRDDLEPYIGLHYPATDIPQASRFLFKQNRVRMIVDCYASPVRVVQDDRLTQSICLVGSTLRAPHGCHAQYMTNMGSIASLAMAVIINGNEEDGNGGVNTGGRNSMRLWGLVVCHHTSARCIPFPLRYACEFLMQAFGLQLNMELQLALQVSEKRVLRMQTLLCDMLLRDSPTGIVTQRPSIMDLVKCNGAAFLYQGKYYSLGVAPTEAQINDIVEWLLANHSDSTGLSTDSLGDAGYPRAAALGDAVCGMAVACITKRDFLFWFRSHTEKEIKWGGAKHHPEDKDDGQRMHPRSSFQAFLEVVKSQCQPWETAEMDAIHSLQLILRDSFKESEAMDSKASAPGGVQPHGDDMAEQGMQEIGAVAREMIRLIETATVPIFAVDIDGCINGWNAKIAELTGLSVEEAMGKSLVRDLIYKEYKETADRLLSCALKGDEGKNVEVKLKTFGPELQGKAVFVVVNACSSKDYLNNIVGVCFVGQDVTGHKFVMDKFINIQGDYKAIIHSPNPLIPPIFAADENTCCIEWNTAMEKLTGWPRSEVIGKLLVREVFGSCCRLKGPDALTKFMIVLHNAIGGQETDKFPFPFFDREGKFIQALLTLNKRVSVDGKIIGAFCFLQIPSPELQQALEVQRRQESEYFSRRKELAYIFQFIKNPLSGLRFTNSLLEATNLNEDQKQLLETSVSCEKQISKIVGEMDVKSIEDGSFLLERTEFFIGSVINAVVSQVMFVVRERNIQLIRNIPAEIKSMAVYGDQIRLQQVLAEFLLSIVRYAPLEGSVELHLCPVLKQMADGFSAIRLEFRMACAGEGVPPEKVQDMFHSSRWTSPEGLGLSVCRKILKLMNGRVQYIREFERSYFLIVIELPVPLMMMMPS >scaffold_702876.1 pep chromosome:v.1.0:7:12269155:12272505:-1 gene:scaffold_702876.1 transcript:scaffold_702876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGGNYRAQVFVKQHGWTDDGNIESKYTSRAVEESDEARKRFSNAKSISSAQFFGDEMKSAGRDESETTLEGKNDFWSLVNKAACEVKEKLLSKSNHHQDKVNDLRREVTILMEILHAQDADAIPLVTRQNWAHLLCTEAYKPDTVGLVRRISDQALGSDELTPPYLAPTTSGSLILDGVNYASGGSGILNSTGKLFGERINVDAQLDNFATTRQDIISWIGDSQAAKLFRSAIFSVTTGSNDLINNYFTPVVSTLERKVSPEVFVDTMISKFRLQLTRLYQLGARKIVVINIGPIGCIPFERESDPTAGDECSVEPNEVAQMYNIKLKTLLEDLNKNLQGSRFVYADVFRIVYDILQNYSILREKIPCCSLVGKVGGLIPCGPSSKVCMDRSKYV >scaffold_702877.1 pep chromosome:v.1.0:7:12273669:12273867:-1 gene:scaffold_702877.1 transcript:scaffold_702877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVSTPIETLLVNLDLLSVRGDPTRPLSPKNLHISSATIIDAPPLKPND >scaffold_702880.1 pep chromosome:v.1.0:7:12282066:12282482:1 gene:scaffold_702880.1 transcript:scaffold_702880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWKKILIMDRNSQWSPPPDGWLKCNFDSGFQQGRSFTNTCWLIRDSNWKVLLTGCAKLCSAMSPLHAEALGFLHVLQIVWAHGMRQVWFEGDNKELISIINSCEDHS >scaffold_702881.1 pep chromosome:v.1.0:7:12284378:12284833:-1 gene:scaffold_702881.1 transcript:scaffold_702881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDDAGVPQVRIRMRLLTLFQVIQEIVAEVEDVFVNRDVDHDGRLDVEDTSKAIYGLQYLFSGEQTSQLIAEMDEDRDGYVTLKEFKRSFSPPYGSMNIWSAFLRADSNNDGELFLSEFHKALVVLPIKKVKNKKE >scaffold_702883.1 pep chromosome:v.1.0:7:12292277:12292796:1 gene:scaffold_702883.1 transcript:scaffold_702883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPKQYLPIFILIIFITTKLSQADQNNNSPVPNDPSSTNSLFPGGKITVEIINDLGNQLTLLYHCKSKDDDLGNRTLQSGESWSFRFGRQFFGRTLYFCNFDWPNESHSFDIYKDHRDSSGDNWCEKCVWKIRKTGPCRFNDGTKQFDICYPWNKSLY >scaffold_702884.1 pep chromosome:v.1.0:7:12293038:12294474:-1 gene:scaffold_702884.1 transcript:scaffold_702884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVVFFFLIAATLLAVSLGSAVISGEVNYGFVNPIRQVVPEENDEHLLNAEHHFSLFKSKYEKTYATQEEHDHRFRVFKANLRRARRNQLLDPSAVHGVTQFSDLTPKEFRRKFLGLKRRGFRLPTDTQTAPILPTSDLPTEFDWREQGAVTPVKNQGMCGSCWSFSAIGALEGAHFLATKELVSLSEQQLVDCDHECDPAQANSCDSGCSGGLMNNAFEYALKAGGLMKEEDYPYTGRDNTACKFDKSKIAASVSNFSVVSSDEDQIAANLVKHGPLAIAINAMWMQTYIGGVSCPYVCSKSQDHGVLLVGFGSSGYAPIRLKEKPYWIIKNSWGAMWGEHGYYKICRGPHNMCGMDTMVSTVAAVHTSPK >scaffold_702887.1 pep chromosome:v.1.0:7:12332308:12332757:1 gene:scaffold_702887.1 transcript:scaffold_702887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGTAIAVKQLSEKSKPWMKEFLNEIGVTTALRHPSLIELHGYCVEGSQLLHVEYNSLYQALFGLLQTQTSLDWSTRHKICVGVEKGLAYLYEESRFNILHRDIKAT >scaffold_702888.1 pep chromosome:v.1.0:7:12341063:12341255:-1 gene:scaffold_702888.1 transcript:scaffold_702888.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7M9Z0] MVRVSSLFRFLSSKRPVKNKVDLARGGSQSVSRGSQLKSVSDKTTAKPTL >scaffold_702890.1 pep chromosome:v.1.0:7:12343118:12344589:-1 gene:scaffold_702890.1 transcript:scaffold_702890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESISPVTNQSSGYDQSRRKRKKKQPQQPPSQSSVEKWRSEKQQQIYSTNIIQSLRKLRISSAVKPPSPRGGGIAVRDAAYRSLAVTARGRTLWSRALLSKAVKLKFRKQNRMRNSNCAITGNNNNRLKKKRATVLRLKGKGLPAVQRKVKLLSRLIPGCRKQPLPVVLEETTDYIVAMEMQIRALNALISAVAPPTPPSETGHDGEETHMLDTTKLTCVKCAVPHTRTSSFKLTPVLGNAGTTSTVPRHWIPLGISVFSKLGLSTQL >scaffold_702892.1 pep chromosome:v.1.0:7:12347776:12351442:1 gene:scaffold_702892.1 transcript:scaffold_702892.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic dihydrolipoamide dehydrogenase 2 [Source:UniProtKB/TrEMBL;Acc:D7MA71] MQSALSLSFSQTSLPLANRPLCSSNAAPSTTPRNLRFCGLRREAFGFSPSKQLTSRRFQIQSRRIEVSAAASSSAGNGALSKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQNEHHMKAFGLQVSAAGYDRQGVADHASNLATKIRNNLTNSMKALGVDILTGFGAVLGPQKVKYGDNIITGKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEISKLAQRVLINPRKIDYHTGVFASKITPAKGGKPVMIELIDAKTKEPKDTLEVDAALIATGRAPFTNGLGLENINVVTQRGFIPVDERMRVIDGNGKLIPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHHSIPAACFTHPEISMVGLTEPQAREKAEKEGFKVSIAKTSFKANTKALAENEGEGLAKMIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKLAVHAHPTLSEVVDELFKAAKVDSPASVTAQSVKVAV >scaffold_702894.1 pep chromosome:v.1.0:7:12368587:12369013:-1 gene:scaffold_702894.1 transcript:scaffold_702894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTTTSGQECNVIRSQIKHCEEHNRQRWLLSQLLNVVSPDGPNTLFFFFCQHSNVV >scaffold_702897.1 pep chromosome:v.1.0:7:12382265:12385619:1 gene:scaffold_702897.1 transcript:scaffold_702897.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:D7MA76] MSLRPNAKTEVRRNRYKVAVDAEEGRRRREDNMVEIRKSKREESLQKKRREGLQANQLPQFAPSSVPASSTVEKKLESLPSMVGGVWSDDRSLQLEATTQFRKLLSIERSPPIEEVIDAGVVPRFVEFLTREDYPQLQFEAAWALTNIASGTSENTKVVIEHGAVPIFVQLLASQSDDVREQAVWALGNVAGDSPRCRDLVLGQGALIPLLSQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVRPALPALERLIHSTDEEVLTDACWALSYLSDGTNDKIQSVIEAGVVPRLVELLQHQSPSVLIPALRSIGNIVTGDDLQTQCVISHGALLSLLSLLTHNHKKSIKKEACWTISNITAGNRDQIQAVCEAGLICPLVNLLQNAEFDIKKEAAWAISNATSGGSPDQIKYMVEQGVVKPLCDLLVCPDPRIITVCLEGLENILKVGEAEKVTGNTGDVNFYAQLIDDAEGLEKIENLQSHDNSEIYEKAVKILETYWLEEEDETLPPGDPSAQGFQFGGGNDAAVPPGAEHDECEESKQVYMFFMCDENVSLWVFVTFVLYNTAKSNIKKLVKLYLVLLVT >scaffold_702902.1 pep chromosome:v.1.0:7:12409545:12412480:-1 gene:scaffold_702902.1 transcript:scaffold_702902.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:D7MA81] MINPGHGRGPDSGTTAGGSNSDPFPANLRVLVVDDDPTCLMILERMLMTCLYRVTKCNRAEIALSLLRKNKNGFDIVISDVHMPDMDGFKLLEHVGLEMDLPVIMMSADDSKSVVLKGVTHGAVDYLIKPVRIEALKNIWQHVVRKKRNDWNVSEHSGGSIEDTGGDRDRQQQQREDADNNSSSINEGNWRSSRKRKEEEVDEQGDDKEDTSSLKKPRVVWSVELHQQFVAAVNQLGVDKAVPKKILEMMNVPGLTRENVASHLQKYRIYLRRLGGVSQHQGNMNHSFMTGQDQSFGHLSSLNGFDLAVAGQLPAQSLAQFQAAGLGRPTLTKPGLSVSPLVDQRTIFNFENPKIRFGDGHGPMMNNGNKQMNLLHGVPTGMEPKQFAGSHMRVQQQMTGVRPGQNVQSSGMMLPVADQLPRGGPSMLPSLGQQPILSSSASRRSDLTGAVVVRNSIPETNSRVLPTTHSVFNNFPSDLPRNSFPLKSAPGISVPVSASYQEEVNSSDAKGGSSAATAATAGFGNPSYDIFNYFPQHQQHNNNISNKLNDWDLRNMGLAFSSNQDAAAATAVFSSSSSTQRKRWETDATVVAEHGQNIQPPSRNLNHLNHVYMDGGSVRVKSERVAETMTCPPANTLFEEQYNQEDLMSAFLKQEGIPPVDNEFDFDGYSIDNIPV >scaffold_702903.1 pep chromosome:v.1.0:7:12426808:12429018:-1 gene:scaffold_702903.1 transcript:scaffold_702903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRRIRGENRFYNPPPMRKLQQEREKKRLESEEEKMRAKEILDRKIKAEEKEMNQPEEECSTSDCSLPSRVSTTTTGTSSNLGRFLDCTTPVVSTQYLPLTSIKGWRTREPEYCPYFLLNDFWDSFEEWSAYGVGVPLLLNGNDSVVQYYVPYLSGIQLYEDPSRACTSRRRAGEESDGDSPRDMSSDGSNDCRDISRSLYRASLQEKPCIGSSSDESEASSNSPGDLVFEYLEAAMPFAREPLTDKISNLSSQFPALRTYRSCDLSPSSWVSVAWYPIYRIPLGQSLQNLDACFLTFHSLSTPCRGTSNEEGQSSSRSVAASLKLPLPTFGLASYKFKLSVWSPESDVDENQRVGTLLRTAEEWLRRLKVTLPDFRHFISHSGSAWR >scaffold_702909.1 pep chromosome:v.1.0:7:12465245:12465955:-1 gene:scaffold_702909.1 transcript:scaffold_702909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLFYGLKSLEEKWRKLERLASVVARELMISNQIESAMKTLEEETKKERTNDDKEFALKIKEDETKNERTIDAKEFQALIASVTNDMFVLVKNPMLLLERFVLAPLPEMGSPKLVQVGNVQTQYNMEHDEKQLADYGRWALEVFVFDYIFCNKIEFTYEETIALERQEELIQEEEKEKNTKLKKKLVGKKVK >scaffold_702910.1 pep chromosome:v.1.0:7:12466129:12466395:1 gene:scaffold_702910.1 transcript:scaffold_702910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MA90] MAKSDEFRMNFLFLAIVPCSLVSISLAGPIIVGIMVDARDQVIIISFSAFVLSFSIFLNKCFATKGVFLVNVN >scaffold_702911.1 pep chromosome:v.1.0:7:12471692:12472967:-1 gene:scaffold_702911.1 transcript:scaffold_702911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFECKSVWQFLDKSLKIHKAPVLESISVHLGRQCPVDEDVGKWITNVIDRKVCELFFTLNWSPKPIKLPDSLYTCHTLVHLRLSRKILVELVSHSYLPSLTRLDLKCVFFKDEDSLVRLLSGCHNLKDLYVKRHIEDNVKNFKVKVSSLKFLVYVYEKNHSIEYTRGSLVIDSYALTKVIVYDNSGDSCTIENTPHLDKASIFVMCYPGGKFMFSSLIFFDVHLNIATVKCCTTINFSQLVECRIQPRNFDWLEPLMVLVQNSPKLKLLLIDQVRTLSIILIKRMQILVD >scaffold_702912.1 pep chromosome:v.1.0:7:12476496:12478038:1 gene:scaffold_702912.1 transcript:scaffold_702912.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MA92] MSVSRAMYYLKPKSSSGIYRHDEVSAVVSIERKALSNLYIRPNSEAIGNYCSRDVLSDMKMDKNQIKLSTLPITMRIGSFVQHLLVTYISICMPSRFMEFNELPEIIRTPLQKLCLHIKSLQVGSICSCLAKALQPLDALAVENAIDVIAYKIGDSLNHHVTEQHLWSCFAGRNVIYACCVIRICGLSLVKIKAWIFVSRNGN >scaffold_702913.1 pep chromosome:v.1.0:7:12488169:12488617:1 gene:scaffold_702913.1 transcript:scaffold_702913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNDRNTKIAQKAFEIVDNVYGKSHKITPNPYVPRNELPSYFNQNSYEYGGPKSYIVKEATSTITARRVIYQSSYESTIEKPIFYHPTARTQYFSGARPFIGHVDRFERPKERAISCDEAVQRYGGVLIKEFRK >scaffold_702914.1 pep chromosome:v.1.0:7:12494304:12494583:-1 gene:scaffold_702914.1 transcript:scaffold_702914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSRKDRHVMIAEDESKKLNRNTSMSNPNLPYPYNLNLSSFYTHNSFYIYHGPQVRTVKESIRSSTNATFLVYQSD >scaffold_702915.1 pep chromosome:v.1.0:7:12501662:12501901:1 gene:scaffold_702915.1 transcript:scaffold_702915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKLEIVGPLEKPLMGNIVPEEINGLDSLSLSPLALIALSLSLISFHRRGLAVLPANLFGRI >scaffold_702916.1 pep chromosome:v.1.0:7:12503377:12504615:-1 gene:scaffold_702916.1 transcript:scaffold_702916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVEMEQNCRDGAEREMESRVPIETIEEDEKVKFKIVFLDDFCWAFFVFLRLGVKPKRSLEIILFTSEEPTRFGISCLGSCLLAGSKELTEVLKTTIFDGQNVSFIEAARSTGYAEDKDDDLSSVFLKKGSYCFVCLF >scaffold_702923.1 pep chromosome:v.1.0:7:12538472:12538848:1 gene:scaffold_702923.1 transcript:scaffold_702923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNKRRLLHCVSKRVSIARVRRSYGGVPQSNFKSFMIFGSIGLICIWTYHSCIELMT >scaffold_702924.1 pep chromosome:v.1.0:7:12540818:12542018:1 gene:scaffold_702924.1 transcript:scaffold_702924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMSDLPPDLVVEILTRIPITSLRAVRSTCKSWNALTKKCNLGKAAATRQQQFVGFMTMSSKVCSVKLDFQGTRKDESEFVDLSINQVALFNQVEISKVIHCDGLLLCVTKDNSRLLLWNPYLGQTRWIEPRKYFYRPDHYALGYDTNRNHKILRFFDDFRGGNEFEIYHVRSSSWRVLDHITPGKLHHRSVTLKGNTYFFAREKMIEVLVNVSELKHFLLCFDFTRESFGQRLHLPFHIHGKETVTLSGVREEQLAVLYQKESFDMYKLEIWVTDKIDPNAVSWSKFLMVEMRPLTGFQFNQEAVSFAIDEEKKVVLVFDQEVYNPTKTILIQTVYIIGEDGYFKSMKIGENPNVWMPGEFPNRPKFSPPLVSSSYLPSLVQIN >scaffold_702926.1 pep chromosome:v.1.0:7:12547497:12547971:-1 gene:scaffold_702926.1 transcript:scaffold_702926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLRFAILCIVFVSLFGVHECEHVEASEDNKINLSDACFHTICGNGIIRNCYCCIGKTEYCTPDKEKCLHNCTIMNPTPKTNGSSKGLRQPISLFLLITQFMYFVLG >scaffold_702927.1 pep chromosome:v.1.0:7:12549026:12549496:-1 gene:scaffold_702927.1 transcript:scaffold_702927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTRFAILCIIFVSLFGVHACENVEAFEENNINLSNITCSRGICTDLHYCFCCIKNDRDCYGTKDDCVRECTKVYGPINTVPKKNGASKALGLPILPAFLMAIYLFCF >scaffold_702928.1 pep chromosome:v.1.0:7:12550783:12552274:-1 gene:scaffold_702928.1 transcript:scaffold_702928.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g15950 [Source:UniProtKB/TrEMBL;Acc:D7MAA8] MSEKGGKGLKSSLKSKDGFLVAGGKDGNSTKLKKGRKIHFDQGTPPPNYKILNGSSDPLPFQSSAAKVGKGDKPTKSSKNSLQSFELKDLPENAECMMDCEAFQILDGIKGHLVGLSEDPSIKIPVSYDRALAYVDSCVHYSNPQSVRKVLEPLKTHGVSDGEMCVIANASPDTVDEVLAFIPSLKAKKEVINQPLQDALVELAKLKKSG >scaffold_702939.1 pep chromosome:v.1.0:7:12608690:12609548:1 gene:scaffold_702939.1 transcript:scaffold_702939.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MAB8] MTKVKDEPRASVEDGCGVADGDGGAAEIGRTGSADKLIFFSSFHSISTMVSGEVFFVLSFRRPASAPARNSLVVKRSLSHQPVVNGSENTSEAPAIVSCISTGGTGYRLRRNCIVPPSRREVCWASDVESTSWASAAESFWWAYVVKFVSWDSENPANIMSSKPIFMCLVGLVSSFKDSSFNQSSLMECLPIQSFDVLCIALLALCCTPISSYYERSLTLKCQNINLNLMKLRILRNSVSLPYDKEYLFSTLKIDLFNISTVGLHSPSGNNP >scaffold_702949.1 pep chromosome:v.1.0:7:12644727:12646780:1 gene:scaffold_702949.1 transcript:scaffold_702949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQESFIYSFVARGTMILAEYTEFTGNFPSIAAQCLQKLPSSSNSKFTYNCDHHTFNFLVEDGYAYCVVAKDSLSKQISIAFLERVRADFKKRYGGGKASTAIAKSLNKEFGPVMKEHMNYIVDHAEEIEKLIKVKAQVSEVKSIMLENIDKAIDRGENLTVLTDKTENLRSQAQEYKKQGTQVRRKLWYQNMKIKLVVLGILLLFVLIIWISVCHGFNCTD >scaffold_702953.1 pep chromosome:v.1.0:7:12669927:12671745:1 gene:scaffold_702953.1 transcript:scaffold_702953.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monooxygenase [Source:UniProtKB/TrEMBL;Acc:D7MAD1] MEEIGIVIVGGGIAGLATSLALHRKGIKSVVLERAEKVRSEGAGIGTLTNGWRALDQLGVGDRLRLTSRLIHKARTMLIENGKKQEFVSTLVDEARCIKRNDLVEALADALPEGTIRFGSQIVSIEEDKSTSFPVVHLTNGNTIEAKVLIGCDGANSIVSEYLQLNPKKAFACRAVRGFTNYPNGHGFPQEVLRIKQGNILIGRLPLTDNLVFWFLVHMQDNNHNGKDQESIANLCLKWAEDLSEDWKEMVKICDVESLTLTHLRYRAPSEIMLGKFRRGTVTVAGDAMHVMGPFLAQGGSAALEDAVVLARCLARKVGPDHGDLLKDCSMKNIEEAIDEYVEERRMRLLGLSVQTYLTGRSLQTSSKVLRLMFIALLLLLFGRDQIRHSRYDCGRL >scaffold_702957.1 pep chromosome:v.1.0:7:12686252:12687101:1 gene:scaffold_702957.1 transcript:scaffold_702957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPMIKVMLLLFLVLSIRADEELMKKECSQTGNQNLCLFCLESDPTSHQTDLAGFVNITINCLEAQLDILINDVTSLSTKGVGEAIENVLEDCNGDFSIAKIELYLAKGNLVIRNYENASGLVNTSVSNLQTCRGNLQKIKFNESSHVYDDIDIYVDLSPVAKTLIDRLH >scaffold_702959.1 pep chromosome:v.1.0:7:12693144:12694650:1 gene:scaffold_702959.1 transcript:scaffold_702959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPREKNSTLELKIISANDVGHINVVDKTEIYAVVSNTGDNIQKRQGAKTPIDFYGGSNPTWNHTIKFSIKEEAALLTLKVKLFSYWLDGEDDLYLGEVNVSVQELLASNPLLPITNGSDSKLELVTYPVKIMERTNGMLSFSYRLKTAVPVDDMYPTAPDSSLSYGQPLYPNPASSGQPVLHSPQIQTTMTKLTLVLMIKSAKDINKVNMIGNEMSVYASVMIGGTLSATFSDKTKTPIAYCAYRNPRWDHLVTFSLDEKLVRQGLLTLIVRLFGVRTFLEDKDIGEVKVPIQELFESNPPSLNSTTGGGDDDNSMSLVTRGVSVPGSYREKGTLSFTYRFLAEQVPQPFIRSPIHGTSGYAIVQPGANAGPSNGQLPIYMQQQNHQSHGYKNYTPPQLKLQSQKSQSQLQPLQQPLMHTQSQSQSHESQQYQQYSPPAPQQLPPTQSESHTQSSRPTMKSQEGSIAALGLGAAIMGRVIGGALNG >scaffold_702963.1 pep chromosome:v.1.0:7:12712479:12714348:-1 gene:scaffold_702963.1 transcript:scaffold_702963.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MAE1] MNKRFLPNVRFVPHNQNEFFYLKTKAFLVHKLSEPTDLFLTNLLHALTLKLGFASNTFTVNHLVNSYVKLKEINTARKVFDEMCEPNVVSWTSVISGYNDMGQPQTALSMFQEMHEDRSVPPNEYTFASVFKACSALAESRIGKNIHARLEVSGLRRNIVVSSSLVDMYGKCNDVEMARRVFDSMIGYGRNVVSWTSMITAYAQNARGHEAIELFRSFNADSTSDRPNQFMLASVINASSSLGRLQWGQISHGLVTRGGYESNNVVATSLLDMYAKCGSLTCAEKIFFRIRCHSVISYTSMIMAKAKHGLGEAALQLFDEMVAGRIKPNYVTLLGVLHACSHSGLVNEGLEFLNSMAEKYGVIPDSRHYTCVVDMLGRFGRVDEAYDLAKTIDVGAEQGALLWGALLSAGRLHGRVEIVSEASKRLIQSNQQVTSAYIALSNAYAVAGGWEDSESLRLEMKRSGNVKERACSWIENKDSVYVFHAGDLSCDESGEIKRFLKNLENRMKERGHRGSSSMITSSSVFVDVDEEAKEEMVSLHCERLALAYGLLHLPAGSTIRIMNNLRMCRDCHEAFKLISEIVEREIVVRDVNRFHCFKNGSCTCRDFW >scaffold_702964.1 pep chromosome:v.1.0:7:12717437:12717970:-1 gene:scaffold_702964.1 transcript:scaffold_702964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDADAFHSLDSTELDSQLPMETKEDTETEAETMTEAPPGFFFAGERLLPLGSSKDPEYIRQCDIFYDQFQKSEGFDVDWDNLGYKFVKLGLREL >scaffold_702966.1 pep chromosome:v.1.0:7:12721538:12722264:-1 gene:scaffold_702966.1 transcript:scaffold_702966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSNEAGDSSEFVYPPLKKPKENPVPEAEAKAKVDAKVDVRMRILRKGDWDDPEYKRQRDIFEEQFESSEGYDVDWDNLDFNFPAVRFEWASGLSARHTNIELLNLLIETAIDEENEETGTKLEFVKYVSANVLGVQGFLFYITFWAKDLSSPVPEPKLYQAKVRKFADEIDVSEFRLRPTQE >scaffold_702968.1 pep chromosome:v.1.0:7:12734214:12734563:-1 gene:scaffold_702968.1 transcript:scaffold_702968.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7MAE7] MEKLQTMVYEKPVVIFSKNSCCMSHTIKTLFLDFGVNPTIYELDEINRGKEIEQALAQLGCSPTVPVVFIGGQLVGGANQVMSLHLNRSLVPMLKRVGALWV >scaffold_702970.1 pep chromosome:v.1.0:7:12741176:12741528:-1 gene:scaffold_702970.1 transcript:scaffold_702970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7MAE9] MENLQKMITEKSVVIFSKNSCCMSHTIKTLFLDFGVNPTIYELDEINRGREIEQALAQLGCSPTVPVVFIGGQLVGGANQVMSLHLNRSLVPMLKRAGALWL >scaffold_702973.1 pep chromosome:v.1.0:7:12753356:12753705:-1 gene:scaffold_702973.1 transcript:scaffold_702973.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7MAF2] MEKLQKMISEKSVVIFSKNSCCMSHTIKTLFLDLGVNPTIYELDEINRGKEVEHALAQLGCSPTVPVVFIGGQLVGGANQVMSLHLNRSLIPMLKRVGALWL >scaffold_702978.1 pep chromosome:v.1.0:7:12772361:12773891:-1 gene:scaffold_702978.1 transcript:scaffold_702978.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 1D1 [Source:UniProtKB/Swiss-Prot;Acc:D7MAF7] MGSDETKSTLDTERSTVPRTGTTTKSCSITQVVLRFVLFAATLTSIVVMVTSKQTKNIFIPGTPIRIPAAKFTNSPALIYFVVALSVACFYSIVSTFVTVSAFKKHSCSAILLLNLAIMDAVMVGIVASATGAGGGVAYLGLKGNKEVRWGKICNIYDKFCRHVGGAIAVSLFASVILLLLSIISVLSLYKKIR >scaffold_702981.1 pep chromosome:v.1.0:7:12810588:12811949:1 gene:scaffold_702981.1 transcript:scaffold_702981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENNDDTYQGHDLKTIIERTAEFIAKNGAEYEKEFLESHPKLTFFVSSDPNHAFYQDKLIEYRNASHDHNATDDDSDDNVESSKIIPPPPGGVTNRIQGTALYVAKKGFKAGKMLMQSEANNPKYNFMRRSDPYHAFYKQKLAEYRSQVDDDSTNSDDITDEEVVAAARLSVAQAEYIFLPNRLLICLPHGMRIEEFNTMKLTAQFVAWYGGAFWLCLKNRKILPKFEFLEQSAKWYSCFSKFVLEFSKVLMPPADVKQELINSADYVTTIVDAFLQRLQWSALQHQLWLEGGKRAMLDWHVSVTKDLKNKDLEEPDPKRQKLQESGSSTIKVSVPNAGGGGKVIEIIVQSLSENVASLKEKIAEEIQVPANTHKLSGKAGVLDDDNKSLAHYNVGVGDTLTLSL >scaffold_702982.1 pep chromosome:v.1.0:7:12812827:12814463:1 gene:scaffold_702982.1 transcript:scaffold_702982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTQNELQSEQNNSEATSIETIQPPLDIITRTIIESTARSVSKNGLELEKKIIASSTDPRFNFLRNTEDPCHGYYKRKLAEYSAQNQDDSTNEPNIKIFHAPKDVPTTSIVDTTARLVSKFGLEFEMMVKESNTDDERFNFLKSSEDPYHALYKQKLDEYASDPRDEYYQRKIAECYVQNRNGATDVSYPMDIKIFHAPPDAQTPAIVDRVAFLVSKYGWQFKMMVMASNTNDARFDFLMSSPDVDPAQAYYQRRVQSQRLDLPNFLDCRVLEGMTLEELDTVKVTGQFVAWYGDVFRGKLMERVMMNHQFEFMKQTDYRFSFFNEFVVGYSQVLNPPKYLKDKLNNNAAYMTAILEAFLERILWDHVQELKWLDGGENSMIEWHNSASKDFVEDHELLPMLEMSPPAPLPPQKRPELDESALVPLEPEDQFLAQHEGLSIIRVICVPDGQVIKITVQSLSENVASLKEKIAEVVQIPANKHMLSGNRAVLKDNDRSLAYYNVKSGAILILDVV >scaffold_702985.1 pep chromosome:v.1.0:7:12830704:12831248:1 gene:scaffold_702985.1 transcript:scaffold_702985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCSICERAMTDAISKFRGVTICVVDKENQKIKATGSFNQEMLLKKLMKVIQELEIHEGENDKNDESEINKKNEEKLKLIPKKREESKMVEEELAKAKKKIDPNSDEHKQIEKIMMFNEENTNARCTIS >scaffold_702988.1 pep chromosome:v.1.0:7:12849730:12851476:-1 gene:scaffold_702988.1 transcript:scaffold_702988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVEEEEEGSTNAITGSRSFDLPDELLQVLPSDPFEQLDVARKITSIALSTRVSALESESSDLRELLAERDKEIAELQSHVESLDASLSDAFHKLSLADGEKENLIRENASLSNTVKRLQRDVSKLEGFRKTLMMSLQDDDQNAGTTQIIAKPTPNDDDTPFQPSRHSSIQSQASEAIEPATTDNENDAPKPSLSASFPLVSQTTTPRLTPPGSPPILSASGTPKTTSRPLSPRRHSVSFATTRGMFDDTRSSISISEPGSQTTRTRVDGKEFFRQVRSRLSYEQFGAFLGNVKDLNAHKQTREETLRKAEEIFGGDNRDLYVIFEGLITRNAH >scaffold_702990.1 pep chromosome:v.1.0:7:12861128:12867740:1 gene:scaffold_702990.1 transcript:scaffold_702990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate, phosphate dikinase [Source:UniProtKB/TrEMBL;Acc:D7MAG8] MTSMIVKTTPELFNGNGVYRTDHLSENRMVSRSNRLGDGSNSFPRTGTIHCQGLSIARTGLHRDTKTRAILSPVSDPAASIAQKRVFTFGKGRSEGNKGMKSLLGGKGANLAEMASIGLSVPPGLTISTEACQQYQIAGKKLPEGLWEEILEGLSFIERDIGASLADPSKPLLLSVRSGAAISMPGMMDTVLNLGLNDQVVVGLAAKSGERFAYDSFRRFLDMFGDVVMGIPHAKFEEKLERMKERKGVKNDTELSATDLKDLVEQYKSVYLEAKGEEFPSDPKKQLELAIEAVFDSWDSPRANKYRSINQITGLKGTAVNIQCMVFGNMGDTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDTMRRLMPEAYAELVENCNILERHYKDMMDIEFTVQEERLWMLQCRAGKRTGKGAVKIAVDMVSEGLVDKSSAIKMVEPQHLDQLLHPQFHDPSGYREKVVAKGLPASPGAAVGQVVFTAEEAEAWHSQGKTVILVRTETSPDDVGGMHAAEGILTARGGMTSHAAVVARGWGKCCIAGCSEIRVDENHKVLLIGDLTIHEGEWISMNGSTGEVILGKQALAPPALSLDLETFMSWADAIRRLKVMANADTPEDAIAARKNGAQGIGLCRTEHMFFGADRIKAVRKMIMAVTTEQRKASLDILLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLDNIVHELAAETGVKEDEVLSRIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAASMQDQGVTVLPEIMVPLVGTPQELGHQVNVIRKVAKKVFAEKGHTVSYKVGTMIEIPRAALIADEIAKEAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLAQGILQHDPFEVLDQQGVGQLIKMATEKGRAARPSLKVGICGEHGGDPSSVGFFAEAGLDYVSCSPFRVPIARLAAAQVVA >scaffold_702995.1 pep chromosome:v.1.0:7:12898357:12899594:1 gene:scaffold_702995.1 transcript:scaffold_702995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPLPHVMLVSYPGQGHISPLLRLGTLIASKGLIVTFVTTEQPWGKKMRQANKIQEGVLKPVGLGFLRFEFFSDGLTDDDEKRTDFNAFRPNIEAVGKQEIKNLVKRYNKESVTCLINNAYVPWVCDVAEELQIPSAVLWVQSCACLSAYYYYHHGLVKFPTKTEPDIDVEIPCLPLLKHDEIPSFLHTSSPYTPYGEVILDQFKRLENDKPFYLFIDTFRELEKDIIDHMSNLCPQAIISPVGPLFKMAETISSDVKGDISEPASDSNLKQEQMEEIAHGVLSSGLSFLWVVRPPMEGSLVEPHVLPRELEEMGKIVEWCPQERVLAHPAIAYFLSHCGWNSTMEALTSGVPVVCFPQWGDQVTDDVYLVDVFKP >scaffold_703001.1 pep chromosome:v.1.0:7:12921125:12921560:1 gene:scaffold_703001.1 transcript:scaffold_703001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITKTRAHFVTNYAVKLAVLLIITLLLFKCTTVVAGAGAHGGGGGHASGGGGHASAGGAHAVEGGGHAVEGGGHAAGGAGGRGEEEGGHMIGRGGGMVHRPATRNGESTLITPFADGSAIVFMIIFFLFN >scaffold_703006.1 pep chromosome:v.1.0:7:12990874:12991840:1 gene:scaffold_703006.1 transcript:scaffold_703006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMILIYLCLATFIALLFLTPLLKRITTTKRGTTVTSFWSIIDQTSTEDVQLKIYDIAAGTQVIINAWALQRNPSIWGHDAEEYRPERHFGLNLDFNGTDSKFVPFGAGRSLCPGIGFSMVLSKLTLANLAKRFNWRLEVGPGGDDKPDLVEASGIDVCRKFPLIVFPSLVHA >scaffold_703016.1 pep chromosome:v.1.0:7:13062517:13063887:1 gene:scaffold_703016.1 transcript:scaffold_703016.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMKVETIGKEIIKPSSPTPNDLRTLQLSIYDHILPPIYTVAFLFYTKNDLISQEHTSHKLKTSLSETLTKFYPLAGRINGVTVDCNDEGTIFVDARVNNCSLSDFFKCPGFDSLQQLLPLDVVDNPYMAAATWPLLLVKATYFQCGGMAIGICISHKIADATSISSFIKSWAGMARGEAEDGVAGTEFAAANFYPPANEAFKFPVDEQANKRSSITKRFVFDASKLEELSTKVASAEAVDRPTRVESVTALFWKGFVSAASSTTTTCDLKVLIQPVNLRSKIPSLLSQNLIGNVMFSSVVLSVGQEGEVKIEEAVRDLQKKKNDLQIVIQDEEGSSSMIGSKLANLMLTNYLKMSYETHEPYTVSSWCKLPLYEASFGWGSPVWVTGNVAPAFGNLAMLVDSKDKKGIEAFVTLPEENMLSFEQNPELLAFASLNPSVLV >scaffold_703018.1 pep chromosome:v.1.0:7:13074271:13074547:-1 gene:scaffold_703018.1 transcript:scaffold_703018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPDVNMEMAKASSHLGATESLRRIFGKNNVLLQSKDIHKYVRNVTTRFVGPDGLKTRLIHDIDIRGLKKPTNYAS >scaffold_703020.1 pep chromosome:v.1.0:7:13092807:13093040:1 gene:scaffold_703020.1 transcript:scaffold_703020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGFNFPIFLTLIHSTVAWILLAFSSLFSLGAVMAFASGLANTSLKHNRTFELVLPLASAD >scaffold_703021.1 pep chromosome:v.1.0:7:13098275:13099675:-1 gene:scaffold_703021.1 transcript:scaffold_703021.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP705A3 [Source:UniProtKB/TrEMBL;Acc:D7MAJ4] MAYEIFKAHDVNVSTRGIVALDESLMFGASGILNAPYGDYWKFMKKLMATKLLRPQVLERSRGIRVEELQRFYRSILDKATKNESVEIGKEAMKLMNNTLCKMIMGRSFSEDNGESNRVRGLVDETYALSEKIFLAAILRRPLAKLRISLFKKQIMGVSNKFDELLERILQEHKEKLEEKNHEGMDMMDVLLEAYGDENAEYKITWKHIKAFFVEFFIGGTDTSVQTTQWAMAEMINNSSVLDRLREEIVSVVGETRLIQETDLPNLPYLQAVVKEVLRLHPPSPVLIRKFQEKCEVKGFCIPEKTTLIVNAYAVMRDYDSWEDPEKFKPERFLSFSRSGQEDEKEQELRYLPFGSGRRGCPGANLGSIFVGTAVGVMVQCFDWKIKEDKVNMEETFEGMTLKMVHPLMCTPVLRTQPFSFISNI >scaffold_703024.1 pep chromosome:v.1.0:7:13123394:13124103:1 gene:scaffold_703024.1 transcript:scaffold_703024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFHIQRLMRPFIHEGLMTNAPYMLNVDCDMYVNEPDVVRQAMCVFLQNSNHCAFVQFPQNFYDSCTNELAVIQSYLGRGVAGIQGPFYIGSGCFHTRRVMYGLSSDDIEENGNLSSVATSKY >scaffold_703025.1 pep chromosome:v.1.0:7:13137241:13139250:1 gene:scaffold_703025.1 transcript:scaffold_703025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTRSSEFEIVSTPVICGHGVKESGAMVISDSDITVIVKLIKIRSNVPD >scaffold_703029.1 pep chromosome:v.1.0:7:13154658:13156099:-1 gene:scaffold_703029.1 transcript:scaffold_703029.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MAK0] MKIELVFIPLPGISHLRPAVKLAKQLIESDDRLSITVIIIPSRFDAGDASASIAPLTTDRLRYEAISVSKEPPTSDPTDPAQVYIEKQKSKVRDAVARIVDPTRKLVGFVVDIFCSSMIDVANEFGVPCYMIYTSNATVLGIMLHLQQMYDQKKYDVSELEESVNELEFPSLSRPYPVKCLPHFLTSKEWLLLFLAQARYLRKMKGILVNTVAELEPYALKMFNNVDLPQAYPVGPVLHFDDDEKQSEILQWLDEQPPKSVVFLCFGSLGGFTEEQAREMAIALDRSGYRFLWSLRRASPNIMTDRPRDFTDLDEVLPVGFLDRTLDRGKVVGWAPQVAVLAKPAIGGFVTHCGWNSMLESLWFGVPMVTWPLYAEQKINAFEMVEELGLAVEIRKYLKGDLFAGEMETVTAEDIERAIRRVMEQDSDVRNRVKEMAEMCHVALMDGGSSKTALQKFIQDVIENVA >scaffold_703033.1 pep chromosome:v.1.0:7:13174220:13175151:-1 gene:scaffold_703033.1 transcript:scaffold_703033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRLEGAKTVMTSEEASDGRCIKDCRQSKKLLVRIKQTQVIDSSKIYLLIIDGVENDDESNGQKEFYHDQNVAYAHVCVELALALGGYVSTKAAGHALVF >scaffold_703035.1 pep chromosome:v.1.0:7:13178209:13178406:1 gene:scaffold_703035.1 transcript:scaffold_703035.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MAK6] MAWLRVSILRSLWPFVWLESLLGVVDVVVSTTIVSVLDPTKVMSDGTCRG >scaffold_703036.1 pep chromosome:v.1.0:7:13179847:13180848:-1 gene:scaffold_703036.1 transcript:scaffold_703036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVYPNQKGQTYDRTNIDSWIGKGNPPCPFTRVALSDLTLIPNHTLGRLIQEWCVDNRSNGVERIPTPKQPADPISVRSLLSQASAISGTHASVRSRAAAICRLRELARDEKNRVLIAGHNAREILVRILVLLHMTETECEALASVYDPVVV >scaffold_703046.1 pep chromosome:v.1.0:7:13255807:13256353:1 gene:scaffold_703046.1 transcript:scaffold_703046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQRISEGKKESKVLEDEISYLVEKLNELKSPKVKDMEARNFRHNIDNQSQKHCQQAIGFSSTNPNIRCDTLSQQLFYPRSHFSRHWRRSVLKKK >scaffold_703049.1 pep chromosome:v.1.0:7:13274464:13274856:-1 gene:scaffold_703049.1 transcript:scaffold_703049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEKKQNLSFVLIFLLVGFFAVSYASVPRKLNPHQRFNVLKPFGKFLSKLSPKVSTFKPSPEGWNPPFDTPKPVQVKRPRPPTREFDLPPNVTPSPPGFYEPWPPLGAPEPSQF >scaffold_703051.1 pep chromosome:v.1.0:7:13279437:13281175:-1 gene:scaffold_703051.1 transcript:scaffold_703051.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP:phosphorylcholine cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MAX4] MSVNGENKVSGGESSSSDRPVRVYADGIYDLFHFGHARAIEQAKKSFPNTYLLVGCCNDEITNKFKGKTVMTESERYESLRHCKWVDEVIPDAPWVLTTEFLDKHKIDYVAHDALPYADATGAGNDVYEFVKSIGKFKETKRTEGISTSDIIMRIVKDYNQYVLRNLDRGYSREELGVSFVKEKRLRVNMRLKKLQEKVKEQQEKIQTVAKTAGMHHDEWLENADRWVAGFLEMFEEGCHKMGTAIRDGIQQRLMRQESEENRRLLQNGLTISENNDDEQISEGEETQHDHEDCLNVSNKGIESVKK >scaffold_703054.1 pep chromosome:v.1.0:7:13297648:13298449:1 gene:scaffold_703054.1 transcript:scaffold_703054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIDSPPPSHLICPTRRRISANWCGRKTTEISGSSFLIIDNSYHISDYDDAKDKTHQLRLFLGCNNEEDKQYCNACHYNHESGTTYYFCNKCKDYYHKECVESPPIFFSPCHPKNPLQLLYYIGGTTIYYDKECHSCGLMIKGLGYYSFTCDLWLDPVCARKREFSAINNPKRHEHMLHYFPRKASLTCDVCALDDSKYCFYSCLQY >scaffold_703057.1 pep chromosome:v.1.0:7:13310976:13314190:1 gene:scaffold_703057.1 transcript:scaffold_703057.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MAX9] MVRKHGWQLPAHKFQVVAITVFCLLSVAYYAFFAPFVGGRIWEYILLGVYSPVAVIVFVLYVRCTAINPADPGIMSKFDRGANRGDDLPAAKDISRKFDETGSQLQSSPSVASRTSTLPANSSVKGSVGDAQRVEDAKKNSFFNPLAICCGVFVYEDCRSKDETDEQQGDREEALFCTLCNAEVRKFSKHCRSCDKCVDCFDHHCRWLNNCVGRKNYMTFISLMAVSLLWLLIEAGVGIAVIVRVFVNKKDMETEIVNRLGNGFSRAPFATVVGLCTAVSMLALFPLGELFFFHMLLIKKGITTYEYVVAMRAMSEAPAGASIDEELPNVLYSPSGSATTGFSGGSSLGLPYKGAWCTPPRVFVDYQDEVIPHLDPRMIPSTVDPDAAETAERGNKIPKRPVKISAWKLAKLNSNEATRAAARARASSSVLRPIENRHLHDDELSSRSGTISVVSSVSTEANGAVLSKEIRTNDPRLSHSRNSFAPSQGSRDEYDTGTHSVSSFSSPSHVHETVTLSPLPQYHTAGHRFTAVAASNSSRPPLNPATNHMIHSTFDEKIMQKGNHADPLLLPAPAASLLRDVRRTSVVWDQEAGRYISVPATTSEPRTRLSSQNQPIPSSHMGNTQNPRPVVHPPQDSSSGRAPPPQQQGERLMYTGDSIFFGGPLVNIPNRDSLRHDGDSGREGQDRMTLTLPREARFKRDTTSNQLPVFAPVGTRK >scaffold_703058.1 pep chromosome:v.1.0:7:13346841:13347908:1 gene:scaffold_703058.1 transcript:scaffold_703058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFMANVYDSMESRSMAVIASNLDSLVDLLSGFIVWFTSNEMRKPNRFLRQNRPKPVTLSIRKTPDATFDMRTFLSPRPWQLSAKLLPMEFWVVKRQWASTRIHAACFSLYS >scaffold_703061.1 pep chromosome:v.1.0:7:13378905:13380182:1 gene:scaffold_703061.1 transcript:scaffold_703061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAWIRSLIHVKHVKDLTLVNCFRPFLVTSILTLDLPPKSFSHPNLKSLHLGRYTLKDPHGFDNCWNIKQLKLIAVFAEIEVFNVVLMSCPSLEVLVVDLHCHKQSGPLKIENRNLKFLFLSCSQIDGIEVATPSLEILTVKSLSCEIEKFVIANPNFQFNRNYWATGQLYPHTSYYIACPPDQDKTSIGHEVMMSEPSEYMKFYASMSVSIDLTNTKEVDMLKEVLAAWPDEMQELEILFKNSNVPRKEGETPMGRTKRKFWEETKPFPNGHFRVHTVWLFNFSGSKEEFALASRLITQGTVVMTMKIQPASISLSNKLEIEAAVTKLKELPKGHTELSIVMF >scaffold_703062.1 pep chromosome:v.1.0:7:13382981:13384427:1 gene:scaffold_703062.1 transcript:scaffold_703062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRHLSGEETVPASQDGSSLQVSGEPFFLSQNSDDFLAKEKDASLSDEDTLFSDFDEEEKLGNNEDSVQTEDVDVVEANNENDKKYELYLGMEFSSDESAYKAYRKYGANHGFDVRKQRGKDKKLKRVAYICSKEGFKQSGGKSCYNNNCDDGIIVIRHDFPLGMALPPSIRGDTKYGWWYQYGVKPVEIGLWPERRFQQSFGDFVEWGGEVYTASLPSPQMGYGYFPIQNIRYDAYIEQIAIFDDNYNIDRNLDYLEVFSDSNRDIE >scaffold_703063.1 pep chromosome:v.1.0:7:13392166:13393445:1 gene:scaffold_703063.1 transcript:scaffold_703063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAWIRSLIHVKHVKHLTLINCFGPFVPNSILTLDLPPKSFSHPNLKSLHLGRYTLKDPHGFDNCWNLKKLKLIAVFAEIEVFNVVLMSCPSLEVLVVDLHCHKQSGPLKIENRNLKFLCLSCCSQIDGIEVATPSLEILTVKSLSCEIEKFVIANPNLQFNRNYWATGQLYPHTSYYISCPPDQDKTSIGHEVMMSEPSEYMKFYASMSVSIDLTNTKEVDMLKEVLAAWPDEMQELEILFKNSNVPRKEGETPMGRTKRKFWEETKPFPNGHFRVHTVWLFNFSGSKEEFALASRLITQGTVVMTMKIQPASISLSNKLEIEAAVTKLKELPKGHTELSIVMF >scaffold_703073.1 pep chromosome:v.1.0:7:13437702:13440984:1 gene:scaffold_703073.1 transcript:scaffold_703073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSDSRDFYTFARTSSDKNSALFDASQYEFFGQSLEEVELGGLDDDVTVRGHVDDEEYHLFDKREGAGLGSLSEMDDLATTFAKLNRNVTGPKHLGVIGDRGSGSFSRESSTATDWTQDNEFTSWLDQNTLEEQVQEASWSSQPQSSANSSLYRTSSYPQQQTQLQHYSSEPIIVPESTFTSFPSPGKRSQQSSPSHIHRAPSLPGGSQSNFSAPNASPLSNSTFHLSGLSHGPPHYGNNLARYASCGPTLGNMVQQPPHWVTDPGLLHGDHSGLLHSLMQQQHLQQLPPRNGFTSQQLISLQQRQSLAHLAALQSQLYSSYPSPSHKVPFGAAEVREHKHKSSHRSRKNRGISQQTSDLASQKSESGLQFRSKYMTSEEIESILKMQHSSSHSSDPYVNDYYHQARLAKKSSGSRVKPQLYPSHLKDHQSRSRNSSDQQPQVHVDALGKITLPSICRPRALLEVDSPPSSGDGRSGHKHLEDEPLVAARVTIEDAFGVLIDIVDIDRTLQFNRPQDGGAQLRRKRQILLEGLATSLQLVDPFSKSGQKTALTAKDDLVFLRIATLPKGRKLLTKYLQLLVPGTEIARVVCMAVFRHLRFLFGGLPSDSIAAETIANLAKAVTVCVHAMDLRALSACLAAVVCSSEQPPLRPIGSPSGDGASVVLVSLLERAAEVVAVAVVPPRVSNSNDGLWRASFDEFFSLLTKYCRSKYETIHGQNQDNAADVLELAIKREMPAELLRASLRHTNENQRNFLLNVGRSASPVSESTTTTTRASGGQVNSEFVRG >scaffold_703074.1 pep chromosome:v.1.0:7:13446654:13454369:-1 gene:scaffold_703074.1 transcript:scaffold_703074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSRKKPPPPQPPSSSSAAPSLKIPQPQKESVEPDAVENMTAILAEVGCTLMNPYGPPCLPSDLHAFRRNLTTRLSSCSANPGERDHVGALRSVFVAGFSSYIQSPSNLRRVLSSSSSTTNRDESLARNLLLVSPIQLDIQEMLLEKLPEYFDVVTGCSLEEDVARLIINHFRWLDFIVNPNLFTDKLMQVLSICPLHLKKEIIGSLPEIIGDHNCQAVVDSLEKLLQEDSAVVVPVLDSFSNLNLDDQLQEQAITVAISCIRTIDAEHMPYLLRFLLLAATPANVRRIISQIREQLKFTGMSQPCASQNKLKGKVPACNAEGSILHALRSSLRFKNILCQEIIKELNSLEKPRDFKVIDVWLLILMYMNGDPIRKSIEKIFKKKVVDECIQEALLDQCIGGNKEFVQILGALVTHVGSDNKFEVSSVLEMMTALVKKYALQLLPFSSHINGISGTCILDYLEGFTIENLHKVYEVFSLLALSARASGDSFRSSISNELMMIVRKQVSHPDLKYKKMGLVGSLTIVSSLGDANSVPDFSSSQVSDCGEILELLKTSVDSCRQSNLALIMFYDEFATILSQKLLQPEIMEWIGKHLGEFESLFLADLENGKMADKGSYSGLEGDLWMNLDGSISPICLNILALASSSSESCCLQILPSNFLLLSTVERLTNDGSLAGIDALLGCPLHLPSSKYFAAASWQSLAKKQKEILSLSLYYAANWIRELLNAFSSQIDEKIGCISQATEKDVTTKLLKRLRNLVFLESLLSNLITLSPQSLPELHPYSESHVENPGKKNEKRKLDDDASQRKGSMKNNLKKSKHSNVNEKLRQPTIMDAFKKAGAAMSQSQTQLHGTPSLPSLNGSTAAGSVDDNCSDNESLIVKIPQVSSALEAQRFKFRPLLPQCLSILNFPKVLSQDIGNPEYKAELPVYLYLLHDLHNKLDCLVPHGKQQPFKRGSATGYFGRFKLVELLSQIKGLFPSLRIHLNIAISLLIKGDETSQTSWRDEFAMAGNPNMSNIVVSESLVYTMVCKEVLYCFSKMLTLPEFETDKSLLLNLLQAFQPTEIPVASFPDFQHFPSPGTKEYLYIGVYYFFEDILNKGNYFAWFTDDSPYACSFSFDLAFECLLILQLVVTSVQKYIGKVSEEANKKRNPGHFQGLVPSLHAKLGTSAEKLLRHKWVDESTDNKGLKNKVCPFVFGFPQGEMVQTILRIYLEASGSTSDLLDELACNILPQASLSKSTGEDDDACDHEFPTLCAATFRGWYKTLHEENLAILNKMVKTVSSEKRENCQSEATEAHLKNIQKTVNVVVSLVNLCRSHEKVTIHGMAIKYGGKYVDSFLKGSLKHKDLRGQIVSSQAYVDNEADEVEETMSGEEEPMQEDEIPLTP >scaffold_703081.1 pep chromosome:v.1.0:7:13513394:13515107:1 gene:scaffold_703081.1 transcript:scaffold_703081.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazoleglycerol-phosphate dehydratase [Source:UniProtKB/TrEMBL;Acc:D7MBA2] MELLSSSPAQLLRPNLSSRVLLPPRTSIASYPFPPRFLRMDSQSQHRQSISCASSPPGGNGFPAITTASPIESARIGEVKRETKETNVSVKLNLDGNGVSDSSTGIPFLDHMLDQLASHGLFDVHVRATGDIHIDDHHTNEDVALAIGTALLKALGERKGINRFGDFTAPLDEALIHVSLDLSGRPYLGYNLEIPTQRVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATESDPRRGETIPSSKGVLSRS >scaffold_703082.1 pep chromosome:v.1.0:7:13530625:13534731:-1 gene:scaffold_703082.1 transcript:scaffold_703082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRNKSSQLFSLLCILLQHISNLVGNPKIVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGDFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYSSDKKYDLNFKEENNDGSQKISGDALKDLYKSFVSEYPNVSIEDPFDQDDWENYVEMTVECGEKVQIVGDDLLVTNPKRVEKAINEMSCNAVLLKSIEAVKMSKRAGWGLMASHHSGDTEDTFIADLSVGLSTDKSRPELLADQSVLPNTISSNPDHDPTPGVGKSAWSELQSLCTSIEILNSLNLNNHWMKEFFSWQCVSRTQNAHWVFGEVRVFAREFDHVEIMFREHLRNDPYRMEDMDLYSYVLYAKEACAALSYLAHKVVLTDKYRPESCCIIGNYYNLKGQHEKAVIYFRRALKLNKYLSAWTLMGHEYVEMKNTHAAIDAYRRAVDINPCDYRTWYGLGQAYEMMGMPFYALYYFRKSIFFLPNDSRLWIAMAKCYQTEQLYMLEEEYHLIKLHQKLGRNEEAAFYFEKDLERMDAEGLEGPNKFEALIFLATHFKTHKKFAEAEVYCTRLLDYSGHCSFAFAYLSLDFFFVFLLNIYIDKRTKTWKKGQKDREV >scaffold_703084.1 pep chromosome:v.1.0:7:13540332:13542328:1 gene:scaffold_703084.1 transcript:scaffold_703084.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:D7MBA5] MESSPDPGELIESAQPSFVEFQKQTSLMTSCNLLWKELSEHFTSMEQNLMKKSEALKQMIETLDNQTQNSIELLKHREVTIDHSVEIAAGKVEERARAVLDSLEKARAADEDDTGEVDDGDGILSALKLLCLKMDARGFWEFVIARKKEVENLRSQIPVALVDCVDPPKLVLEAVSEVFPVDKRGGGEKVSNDFGWACVVILESLIPVMVDPVMGNSRLLVTPSVKERAKEIAETWKASLEERGGIENVKIPDVHTFLQHLVTFGIVKKDDLALYRKLVVGSAWRKQMPKLAVSVGLGDQMPDMIEELIFRGQQLDAVHFTFEVGLVHKFPPVPLLKAYLRDAKKATALITEDSNNPGRSAHLVGRKEQSALRAVLKCIEEYKLEEEFPPDNLKKRLDQLEKTKTEKRKPAAIPANKRTRASYNGPMPPAKAGRITNAYVSSFPPPPPTFIRSPSHSPQYAVPSYTTSPPTIYSNRSPPYQYSPEAVHGSYQASPVSYPAAYGTYCSPVAAPPPPVYHPHPHHHHHIQHAYY >scaffold_703087.1 pep chromosome:v.1.0:7:13546111:13546689:-1 gene:scaffold_703087.1 transcript:scaffold_703087.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase [Source:UniProtKB/TrEMBL;Acc:D7MBA8] MSLTAQFSPPVTGKTRSVRDLKSPPSSLRVFPVYTDIRTPEMRSLKKSSYQVAKAIEQRRDTAGSESESEATPSPAEESGNGGDKEVEINAIGAEIKAAMEQRKAAEEEKGKNEFLSGVAEEVKEIEWPAFQKVLGTTGVVLGVIAGSSVVLLTVNFLLAELSDRVFIGKGVQDFFS >scaffold_703088.1 pep chromosome:v.1.0:7:13546827:13547412:-1 gene:scaffold_703088.1 transcript:scaffold_703088.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOFP11 [Source:UniProtKB/TrEMBL;Acc:D7MBA9] MSNFLRKKLHLCFSSSGVLSPAIPSSPIIVSNHNRPSHHHTPSLFINNFNSLYDHLSVSSPLHRRHSSDNPAGIFSTNRRDEEEDETSAAVSKLLSSGTAIMKHIESPDPYRDFGRSMREMVEARDLTRDVVADREYLHELLFCYLSLNPKHTHKFIVSAFADTLLWLLSPSSSPEHFLS >scaffold_703091.1 pep chromosome:v.1.0:7:13552603:13553102:-1 gene:scaffold_703091.1 transcript:scaffold_703091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHPLPRNSNNNILIHHARDPTREPGKKLRRLPHIFSRVLELPLKSDADVAVEESHDCFRFVAETDGGGGGGVRAYMVEIHPGVVKILVRTNGSSSLGLSLDELELDVWRFRLPEATRPDLVTVDCDGDGELIVTVPKIEDNGRDLIVLVQ >scaffold_703092.1 pep chromosome:v.1.0:7:13553270:13554871:1 gene:scaffold_703092.1 transcript:scaffold_703092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKKWISIFWLTKTEAAILEGAKRQERKNRHFLQQSYVCATKYRCVPLVLHRDVKPSNILLDDDCNAYLSDFGLARLLGTSETHATTGVAGTFGYVALEYAMTCRISDKADVYSYGVVLLELLSVKKALDPSFVSYGNGFNIVQWACMLLRQ >scaffold_703099.1 pep chromosome:v.1.0:7:13574564:13575044:1 gene:scaffold_703099.1 transcript:scaffold_703099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRSSDRATSDNQMSSLCSWKFKRIKENATDSDSDSANDNKEEVRKLPRSHKFHFNCVDQWLHIISCCPLCKQDLLRR >scaffold_703101.1 pep chromosome:v.1.0:7:13581614:13583158:-1 gene:scaffold_703101.1 transcript:scaffold_703101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSEEEGNNIKKEKIFRADKIDLKSLDRQLEKHLSRVWSRNLEVNLKAKEEWDIDLAKLATSNVIARGTYGTVYKGTYDGQDVAVKVLDWEDDGNETTAKTATNRALFRQEVTVWHKLNHPDVTKFVGASMGTTNLNIRSADSRGSLPQQACCVVVEYLPGGTLKQHLIRHKSKKLAFKAVIKLALDLARGLCYLHSEKIVHRDVKTENMLLDANKNLKIADFGVARVDALNPKDMTGETGTLGYMAPEVIDGKPYNRRCDVYSFGICLWEIYCCDMPYHDLSFVDVSSAVVLHNLRPDIPRCCPTALATIMKTCWDGNPQKRPEMKEVVKMLEGIDTSKGGGMIPEDQSPGCFCFTPARGP >scaffold_703106.1 pep chromosome:v.1.0:7:13643417:13643663:-1 gene:scaffold_703106.1 transcript:scaffold_703106.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MBC6] MFLRRRRCCFSGDVVASPCRCFSGDVVASPCRCSSHSRFPATLLLTQSSSVVLLLRLWVFPRLSLLF >scaffold_703111.1 pep chromosome:v.1.0:7:13696329:13696807:-1 gene:scaffold_703111.1 transcript:scaffold_703111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEISCSLFYGRRGNALFSRSWLPIPANLDSQFVKQLNSSNLGVYAMDWIGMKTKYCSFHYSYWIDC >scaffold_703114.1 pep chromosome:v.1.0:7:13706637:13706905:-1 gene:scaffold_703114.1 transcript:scaffold_703114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFDIKSGGIDLYPEMKESSELRWTFIRKVYVILMLLSAFYLGVTAVVSFVDLITNPDHPLDFFFVFVVLHILR >scaffold_703119.1 pep chromosome:v.1.0:7:13738915:13740763:1 gene:scaffold_703119.1 transcript:scaffold_703119.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase [Source:UniProtKB/TrEMBL;Acc:D7MGX4] MGEVVKDGREDVIQAWYMDDSEEDQRLPHHKDPKEFLSLDKLAELGVLSWRLDADNYETDEELKKIRESRGYSYMDFCEVCPEKLPNYEEKVKSFFEEHLHTDEEIRYCVAGSGYFDVRDRNEAWIRVWVKKGGMIVLPAGIYHRFTVDSDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYVDNFVKVNGGGAIDASA >scaffold_703124.1 pep chromosome:v.1.0:7:13757952:13758142:-1 gene:scaffold_703124.1 transcript:scaffold_703124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILP >scaffold_703125.1 pep chromosome:v.1.0:7:13762160:13762622:1 gene:scaffold_703125.1 transcript:scaffold_703125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSKFDIRFPALPCSILSVDAMDISGELLCDVKHDIIKRRLDSNGNTLRGKT >scaffold_703127.1 pep chromosome:v.1.0:7:13767958:13771162:-1 gene:scaffold_703127.1 transcript:scaffold_703127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTRFDPKVQLILAKARTLAIVSSHVQVTPLHLGVGLISDKTGVFYRAITTAGGSELLAQSAVKVIKQALKKLPKQVPPPTGAIPRYPSRKNLPKQTPPISNGAIPQYPGLNIPQNPIPFLTRTIPQNNASLVMVLNRAQTKPGETSVGVEALVISLLDDSQIRDLLKEAGSVPEKVKSEVEKLGGEVNLQALKTYGIDLVEQVGKLDPVIGRDKEIRRVVGILSRRTKNNPVLIGEPGVGKTAVVEGLAQRILKGDVPINLTDVKLFSLDLGAMVAGTTLRGQFEERLKSVLKEVENAQGKVVLFIDEIHMALGAGKASGSTDAANLLKPMLARGQLRCIGATTLEEYRTHIEKDAAFERRFQQVYVAEPSVPDTISILRGLKEKYEGHHGVRIQDSALVVSAQLSARYITARRLPDKAIDLVDESCAHVRVQLDSQPEEIDYLERKTMQLKIEIHALEKEKDDKASEARLVEVRKELDDLRDKLEPLTIKYKNEKRIINETRSLKQKRDELMIALQEAERQYDLPKAADLRYGAIQEVESAIAKLEKSVKDNVMLTETVGPENIAEVVSRWTGIPVTALDQNEKKRLISLADRLHERVVGQDEAVKAVAAAILRSRVGLGRPQQPSGSFLFLGPTGVGKTELAKALAEQLFYDENLLVRLDMSEYMDRSTVNKLIGAPPGYIGHEEGGQLTEPVRRRPYCVVLFDEVEKANVTVFNTLLQVLEDGRLTDSHGRTVDFKNTVIIMTSNLGADHLISGLTGEVTMQVARDNTMKEVKKHFRPELLNRLDEIVMFHPLSHEHLTKIVQLQVNNVANRLAEKGVSMTVCIGLHFSSELRLERNVVTDISMMIVREEIDDDSIVCIDVNVDKTDLVYQIDENVVAKKTEQTSDVVIHSRNKRGRSNEETLTKKIKSEVIVID >scaffold_703128.1 pep chromosome:v.1.0:7:13779304:13779658:1 gene:scaffold_703128.1 transcript:scaffold_703128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISYPQISSCASVVIDTWRPGETVWTTHWFKNQLPKRIWGKCVFSNGMFYCLSTCGYLGVFDPSKSTWNILPVKPCPAFRGRIPVLMTEHEGDIFVIVYKLYM >scaffold_703130.1 pep chromosome:v.1.0:7:13791849:13792275:1 gene:scaffold_703130.1 transcript:scaffold_703130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTTTSGQKCNVIKSQIKHCEEHNRQRWLLSQLLNVVSPDGPNTLFFFFCQHSNVV >scaffold_703131.1 pep chromosome:v.1.0:7:13802169:13802703:-1 gene:scaffold_703131.1 transcript:scaffold_703131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPPPEAYAHALSYVLLDIENCMIPHDCDAYTVPAAITTTMREWGYRGPVQIVAVAANKNRVNSTIVDVLRANHAKVIILKSDKKQASDNHIRYLVSIWTSKHHPPANILLISGDGGFAKTIRHLIRRRYNCMLAYITDSASHKLDGLGSRHTEWRTLLRL >scaffold_703132.1 pep chromosome:v.1.0:7:13823049:13823238:1 gene:scaffold_703132.1 transcript:scaffold_703132.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGY6] MACTRPPTELRDGWILRSDSDDAYQPKRENPIIGRRTQFETPLACKTTI >scaffold_703134.1 pep chromosome:v.1.0:7:13842431:13842629:-1 gene:scaffold_703134.1 transcript:scaffold_703134.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGY9] MNIPVTSSSDTISSNAVSDNRRHVKKTPIIFSDFFLCFSSFCFVFVKMMMIH >scaffold_703135.1 pep chromosome:v.1.0:7:13848420:13848954:-1 gene:scaffold_703135.1 transcript:scaffold_703135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPPPEAYAHALSYVLLDIENCMIPHDCDAYTVPAAITTTMREWGYRGPVQIVAVAANKNRVNSTIVDVLRANHAKVIILKSDKKQASDNHIRYLVSIWTSKHHPPANILLISGDGGFAKTIRHLIRRRYNCMLAYITDSASHKLDGLGSRHTEWRTLLRL >scaffold_703136.1 pep chromosome:v.1.0:7:13850392:13850604:1 gene:scaffold_703136.1 transcript:scaffold_703136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHALFPISVSISLQD >scaffold_703137.1 pep chromosome:v.1.0:7:13854524:13855105:1 gene:scaffold_703137.1 transcript:scaffold_703137.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase Rpb7 N-terminal domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MGZ2] MFLKVQLPWNVMIPAENMDAKGLILKRAILVELLDAFASKKATKELGYYVAVTTLDKIGEGKIREHTGEVLFPVMFSGMTFKIFKGEIIHGVVHKVLKHGVFMRCGPIENVYLSYTKMPDYKYVPGENPIFMNEKTSRIQVETTVRVVVIGIKWMEAEREFQALASLEGDYLGPLSEE >scaffold_703138.1 pep chromosome:v.1.0:7:13859578:13859784:-1 gene:scaffold_703138.1 transcript:scaffold_703138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVSFHLSSPKANSLAKDIACSVSREGRFTSYLARGGPAWLHNRIEDERRGRR >scaffold_703143.1 pep chromosome:v.1.0:7:13937014:13937328:1 gene:scaffold_703143.1 transcript:scaffold_703143.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MGZ8] MKQREMRRISEYWVGETEKNRELAKQRGNREELAKQRGTVKDSGRGVIDSGGGDEIPAEATRSLVEDENFGCDRRRRLGLVSQIFYFLF >scaffold_703146.1 pep chromosome:v.1.0:7:13946435:13948155:-1 gene:scaffold_703146.1 transcript:scaffold_703146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSQIGPSEIFLVARREKPSTRLVITRAQLWFTGRLSFRQETNGVRLKNRVEFSPRPVPPNLIAAEKEEAKAVLTLFFKKQGLSNSVSSRLINKSDQFIDHLVSRLHSVHKARYLVGRELTTLEIRDSLIPYLEELHEEHGDLLSELVVSYPDPPAETRLVASSSVPVSPPRGDTDSAAETRKLRAVSRVSELDTEGALRPQTLYLLDLGLNLEQIKTITRKFAAFPYYSLDGKIKPVVEFLLDLGIPKSDIPTILCKRPQICGISLTDNLKPTMAFLETLGIDKNQWAKIIYRFPAILTYSRQKLTSTVEFLSQTGLTEEQIGRILTRCPNIMSYSVEDKLRPTMEYFRSLNVDVAVLLHRCPQTFGLSIESNLKPVTEFFLEKGFGLDEIGIMISRYGALYTFSLKENLMPKWDYFQTMDYPKSELVKFPQFFGYSLQERIKPRYELVKRSGVRLLLNQVLSLSGIEFEKVVKKKMMKLLSNNVIAEQSNGGLL >scaffold_703149.1 pep chromosome:v.1.0:7:13954474:13954681:1 gene:scaffold_703149.1 transcript:scaffold_703149.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MH02] MEMVKIGLLSLISLKSSRERKASFFALRLNFGFCPTSFGYYSCGWSALVWRRWLL >scaffold_703150.1 pep chromosome:v.1.0:7:13966414:13967732:1 gene:scaffold_703150.1 transcript:scaffold_703150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7MH03] MESPNPKSPEKPSGTVLLGKYELGRRLGSGSFAKVHVARSISTGELVAIKIIDKKKTIDSGMEPRIIREIEAMRRLHHHPNVLKIHEVMATKSKIYLVVEYAAGGELFSKLNRFGRLNESAARRYFQQLASALSFCHRDGIAHRDVKPQNLLLDKQGNLKVSDFGLSALPEHRNSDGLLHTACGTPAYTAPEVIAQRGYDGAKADAWSCGVFLFVLLAGYVPFDDSNIVSMYRKIHRRDYRFPSWISKPARSIIYKLLDPNPETRMSIEAVTGTVWFQKSLEISEFQTSVFDLDRFLEKEAKSSNAITAFDLISLSSGLDLSGLFERRKRKEKRFTARVSAERVVEKAETIGEKLGFRVEKKEEARVVGLGKGRTAVVVEVVELAEGLVVAEVKVAEGEEEEEETHWSELIVELEEIVLSWHN >scaffold_703151.1 pep chromosome:v.1.0:7:13968898:13972842:-1 gene:scaffold_703151.1 transcript:scaffold_703151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCGTDSAKEVHVGLDSTTEEEYATQSKLLKEFINIPSIDKAWIFNSGSGSQAMFAMSQANLLANKRKKFMLSGHISKESNQSVNFHWAPFPVEMTGASAFVPSPSGLKLLVIRNPENESPTKFEIWNSSHLEKEFHIPQKVHGSVYVDGWFEGISWNSDETRVAYIAEEPSRPKPTFDHLGYYKKGNSLDKDIGSWKGEGDWEEEWGEAYAGKRQPALFVIDVDSGKVEPIKGIPRSISVGQVVWSPNSNESAQYLVFAGWLGDKRKFGIKYCYNRPCAIYAIKFISDEPKDDANEFPIHNLTKSISSGFCPRFSKDGKFLVFVSAKSAVDSGAHWATESLHRIDWPSDGKLPESTNIVDVIQVVNCPDDGCFPGLYVTGLLCDPWLSDGHSLILSTYWRSCRVILSVNLLSGEVSRASPSDSDYSWTAFALDGDNIVAVSSSPVSVPEIKYGKKVLDSAGKPSWKWSNIQNPIRCSEKVMSGLSSLQFKILKVPISDVSEGLAEGAKKPIEVIYVSSSKFKENGKCDPLIAVLHGGPHSISPCSFSRNMAYLSSIGYSQLIVNYRGSLGYGEDALQSLPGKVGSQDVKDVLAAVDYAIEMGLADPSKITVLGGSHGGFLTTHLIGQAPNKFVAAAARNPVCNIASMVGITDIPDWCFFEAYGDQNHYTEAPSSEDLSRFHQISPIAHISKAKTPTLFLLGSQDLRVPISNGFQYVRALKEKGVEVKVLAFPNDNHPLDRPQTDYESFLNIAVWFNKYCKL >scaffold_703152.1 pep chromosome:v.1.0:7:13973637:13974279:-1 gene:scaffold_703152.1 transcript:scaffold_703152.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7MH05] MEVINGLNLKDTELRLGLPGAQEEQQQEVSCVRSNNKRKNNDSKEESALPPAKTQIVGWPPVRSNRKNNNNKNVSYVKVSMDGAPYLRKIDLKMYKNYPELLKALENMFKFTVGEYSEREGYKGSGFVPTYEDKDGDWMLVGDVPWDMFSSSCQKLRIMKGSEVPPAL >scaffold_703161.1 pep chromosome:v.1.0:7:14024691:14026050:-1 gene:scaffold_703161.1 transcript:scaffold_703161.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATBS14B [Source:UniProtKB/TrEMBL;Acc:D7MH14] MVNYRRENRASRTSLFDGLDGLEEGRLRASSSYSHDERDNDEALENLQDRVSFLKRVTGDIHEEVENHNRLLDKVGNKMDSARGIMSGTINRFKLVFEQKSNRKSCKLIAYFVLLFLIMYYLIRLLNYIKG >scaffold_703162.1 pep chromosome:v.1.0:7:14026843:14027200:-1 gene:scaffold_703162.1 transcript:scaffold_703162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMQRTSSSAANNEMQHSQLQRRAPSLIIKPTSTNWNMAIPLLSPLAPSPRSSFNQSHVPPPHNKTEKPVEEVKKTPIFKKWQHPASPFCYEQTTFVPPFMKL >scaffold_703163.1 pep chromosome:v.1.0:7:14029082:14029839:1 gene:scaffold_703163.1 transcript:scaffold_703163.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase/isomerase family protein [Source:UniProtKB/TrEMBL;Acc:D7MH16] MCTLEKRGDLFHLTLTGDDEHRFHPDTIASVLSLLEQAKSQSTKGSVLITTGHGNFFSNGFDLAWAQSARHGAIERMHQMVKSFKPVLAALLDLPMPTIAALNGHAAASGLMFALSHDYVFMRKDRGVLYMSEVDIGLPVPDYFSALVVAKVGSGIARRELLLSGKKLKGEDAVALGIVDSAAHDSAEGVVEATVSLGESLAAKKWNGEVYASIRKSLYPELCRMLELTANNLATHNH >scaffold_703164.1 pep chromosome:v.1.0:7:14033885:14034649:1 gene:scaffold_703164.1 transcript:scaffold_703164.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase/isomerase family protein [Source:UniProtKB/TrEMBL;Acc:D7MH17] MCTLEKRGDLFLLTLTGDGEHRFHPDTIATILSLLEQAKSQSTRGSILITTANGKFFSNGFDLAWAQTAGSKTGAANRLHQMVESFKPVVAALLDLPMPTIAALNGHAAAAGLMLAISHDYVFMRKDRGVLYMSEVDIGLSMPDYFSALVRAKIGTSAARRELLLSGKKIRGEEAVGLGIVDSAAYDSEEGVVEASVRLGEKLAAKKWSGEVYASIRKSLYPELCGILGLEARVFATPKL >scaffold_703165.1 pep chromosome:v.1.0:7:14051274:14051736:-1 gene:scaffold_703165.1 transcript:scaffold_703165.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MH18] MSLAGSSGTGYSGSAFAPSSVGRIFPAVPSRDSNLPSQNYLDARSNQAGKAFQQNSSIYSGGSSGTGHSPASYNGFTGRTSARSRVSGSHSGISGSHSRRSPSVEETFPSNSSVAPSSRDSPNQVNDPWPFNNDQYPFGW >scaffold_703166.1 pep chromosome:v.1.0:7:14056453:14056651:1 gene:scaffold_703166.1 transcript:scaffold_703166.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MH19] MVPAVRFPLAIEVFIWPPRASRKHTERWAWTARQEPASSLGASRVSVRIRGL >scaffold_703167.1 pep chromosome:v.1.0:7:14056723:14057864:-1 gene:scaffold_703167.1 transcript:scaffold_703167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAHKLVEDIWNLIIQKSDEEVSDLLRKPTRLLFDAASCGNVEFLVILIRSYPDLIWKVDRRNRSLFHIAAINRHESIFNIIYELGAIKDLIASYREEATNNTLLHLVASLPPHDRLHIVSGAALQMQREILWFKAVKKIVPRSYIKSKNKEGELAQDIFTNGHKELRKEGEKWMKDTATSCMLVATLIATVVFAAAFTVPGGNNEESGLPILQKKKWFNIFILSDAVALCSSSTSIVIFLSILTSRYAEDDFLVSLPSRLMLGLLALFVSIIAMVIAFCATLFLIYDRRLAWNLAFIISLASITAFSFALLHVQLWFDTLRSAYWSKFLFQHRKHRLH >scaffold_703168.1 pep chromosome:v.1.0:7:14058771:14059098:-1 gene:scaffold_703168.1 transcript:scaffold_703168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDWKVARPIIDQHEGIARAAITRNWETTLHIAVAAKHTRFVKNLLTRMERDDLALKNQSNNTALCFAAASGIKEIAKMMVDMNPDLPQVGSGP >scaffold_703169.1 pep chromosome:v.1.0:7:14062501:14064203:1 gene:scaffold_703169.1 transcript:scaffold_703169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELASFLGRALFVSVFLLSAWQEFNDFGEDGGRSAKSLKPKFNAFVNHVTTHTGQQLPPVDMKILVAAAIALKGIGGLLFVFGSSLGAYLLLLHQAVATPILYDFYNYDVDRKEFGQLFSKFTQSLALLGALLFFIGMKNSRKHGRQLRKKAPKAKAN >scaffold_703176.1 pep chromosome:v.1.0:7:14116686:14117627:-1 gene:scaffold_703176.1 transcript:scaffold_703176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEAELDRIEAQRSTDASHDQRKGTYLPMNIHGSQDFSQSGGDGATSPTGDTESMPEFLTNLRLSDLFAIRGKDFPMPPWIFSKISDGNKQCLEKLKSHRNLMARLKSNTGDSILHLAVTWGHLELVKEIACECPCLLLEPNSSGQTPLHVAAHGGHTAIVEAFVALVTFASSRLCNEESERVNPYVLKDEDGNTALHLAIKGLYLEIARCLVNANQDAPFLGNNKGISSLYMAVEARMVTLVEAILKTKDDDDEDLEGKKSNLESKIQGYKFLVHVALQAKSIGLFFALTSNSCI >scaffold_703177.1 pep chromosome:v.1.0:7:14124265:14125635:1 gene:scaffold_703177.1 transcript:scaffold_703177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGQKSSTDPGAMLTSLLNKREKLRQELRSIEKQVYELETSYLQESSHIGNALKGFEGFLSSSKSTASAKRLRKFQPEDRVFSLSSVTSPAAEELGVGREDGRAELGPGRSKGGLSTQGKPKKGRGQSIAREAKRSRPSTEPDYEDEDDPDMNMYGHGSLI >scaffold_703184.1 pep chromosome:v.1.0:7:14165263:14168776:1 gene:scaffold_703184.1 transcript:scaffold_703184.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MH31] METAKAWLNKLKSKDKVKSSKKKETTSNVKEGPRTAGGEEALSNITKEKAAAAKLYIENHYKMQMQSLQERKERRKMLEKKLAAAEVSEEEQNNLLKDLEMKETEYMRRQRHKMGADDFEPLTMIGKGAFGEVRICREKGTGNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIGETVLAIESIHKHNYIHRDIKPDNLLLDKDGHMKLSDFGLCKPLDCSNLQEKDFTVARNVSGALQSDGRPVATRRTQQEQLLNWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGFPPFYSDDPMTTCRKIVNWRNYLKFPDEVRLSPEAKDLICRLLCNVEQRLGTKGADEIKGHPWFRGTEWGKLYQMKAAFIPQVNDELDTQNFEKFEETDKQVPKSTKSGPWRKMLSSKDINFVGYTYKNVEIVNDDQIPGIAELKKKSNKPKRPSIKSLFEDETSGGPTTHQGSFLNLLPTQIEDPEKEGSKSSSSG >scaffold_703186.1 pep chromosome:v.1.0:7:14174189:14177417:1 gene:scaffold_703186.1 transcript:scaffold_703186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIQKMNHVIGGKFKLGRKLGSGSFGELYLGINIQTGEEVAVKLEPVKTRHPQLQYESKIYMFLQGGTGVPHLKWFGVEGEYSCMVIDLLGPSLEDLFNYCKRIFSLKSVLMLADQLICRVEYMHSRGFLHRDIKPDNFLMGLGRRANQVYIIDYGLAKKYKDLQTQKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTSVETLCKSYPSEFTSYFHYCRSLRFEDKPDYSYLRRLFRDLFIREGYQLDYVFDWTISKYPQIGSSSRPRPTPRPALDPPGPPAEKAEKPTVGQDLRGRFTGAIEAFTRRNVSSQGAHGDRSRHRSSDDIPSSAKEVHESRNGSTSKRGVISSSRPGSSAEPSENRSSRLFSSGSRHATTQRVPQSYESAAARPGHEDAIRSFELLTIGSGKKRK >scaffold_703188.1 pep chromosome:v.1.0:7:14181492:14182658:1 gene:scaffold_703188.1 transcript:scaffold_703188.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L36a/L44 [Source:UniProtKB/TrEMBL;Acc:D7L3B4] MVNIPKTKNTYCKNKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQSCKHFSQRPIKRCKHFEIGGDKKGKGTSLF >scaffold_703192.1 pep chromosome:v.1.0:7:14194889:14196066:-1 gene:scaffold_703192.1 transcript:scaffold_703192.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MH39] MAPKKKRRKPLRGVIPGSSKFARLTSRVASSSGKQPPSDGISDGLELPPCSSELAPDSTPPIAVAPGLVLAADLASGPPSGDTISSPLRKFSAMAHDPSCLEEIGCPTQYVSGAPLVFFPDVNIQAAKEEFKDFVFAHQSLFCRSEVILFRYGDHQIYPHRGRDITDLLQLLFSCHRDKSLRISDTLRHPIAATPTLSLFDPTWLTLITSSFKERVSSGSATKFLLTVITTIDGPFSAFMAEDWCLWKLSLSPPFHRLTRILVRIVLASCLLHLGETLSTVQPPSLTSEFCRRSVSAMTKLGQQSIELGNLRANRVWAWPIYVFIRVCLGLENCMGFKPVWSAKIKMIFVWFIIFWTWIFFFLKSKCIIRKITFSSFF >scaffold_703196.1 pep chromosome:v.1.0:7:14206136:14208503:-1 gene:scaffold_703196.1 transcript:scaffold_703196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERTTDLVVEVEQHPSSSGRTISRSGETSTPEPRRLIQLVAPAPEKKLNLFALRLAVLEKIATRLGSLGFVWATVVLLGGFVGSLETSDFWFVTVILVVEGSRTFSRSHELELPHSSKYTVSRINLSSVFYWLQIASAFASIVTSMFKLIKQDYRSNDLKPTNFYSALTLFYSISLAAPLIFLVEKNAVSTDLVKRFFYDAYSRCLHGNIFDGLKIGMAIFAMEILVSNSPDEQLTGANILSRFSTNQDYSVDTLQKIGTNLEIVERLLEMLNWRNQNQQVVRRSAAEILSRLASKKQNSLRVAGIPGAIESIYSLLQTTGDSGQAMDDQSNLWTLISLGLLILKRLARDHDNCGKIGKTKGLLSKIIDFTYADKMLLEESVARPIQIMLVKRSLKLLKNLVTTTGATGKNLRRDISANVFTVSNIRETLHHGKSQPDLQKLGAEILTSLAFDEGAAEKIGGTGGVLKALLCIFLNNELPVGKSGVRVSAGESIAMLAQGSKSNCQRILRSNVLQRLVEALDNPLIRLNAARILRNLCAYTAPDQFNEKLKEVIKSAGATVLMAIKYEEGKPQEVMLGLAPHILKLMSTPEELRGIFEEAGVTEEELAKALTNILKKYEQPVPKVPRIRRFAIELTIAMMKANPETVKTFQNLEMKNELETVFETAAELENFDIFSGTVGLASHGSTINELIEEAMLLLS >scaffold_703199.1 pep chromosome:v.1.0:7:14218560:14219001:-1 gene:scaffold_703199.1 transcript:scaffold_703199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTKVVSLVLFAAIVLCIGSNQIDGQKHIAPWIYENKSICCREHPSLGRCLPNIDDKAENDGKCWKFCVEECERGGYCKLFGNKHICHCYC >scaffold_703201.1 pep chromosome:v.1.0:7:14231885:14232992:1 gene:scaffold_703201.1 transcript:scaffold_703201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLIISRVFKPSISKNFLRHTNIVRMFSSTTSRTSGLDSDETETKVTRPFSSEPAYPFLLIDYITNIPNSTPDGRVLIYDDSSKGKAKQVAIQDEKLEEEVIDAMTVGFSRDGLGFDISPYNDKPVISYEPSNPKLEDMTVHLPSLPTGNFLCYLGLNSKENDEPKSIDLEFDKLPKSVFQELADVSSCSRTDHLVESPTGQLFLVKWTWRTMKTIHSCT >scaffold_703202.1 pep chromosome:v.1.0:7:14234984:14235978:1 gene:scaffold_703202.1 transcript:scaffold_703202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIISRVFKPSVCKNLLRHTNVRMFSSTTPHTSGLDSNDETKVTRPFSSKPAYPFLLIDYILKGPDSCSDGRVTTYNGKEVFINDKKLMEEVCDAMTVGFSRDGLRFYLSYRSCDHAPVINYETSNPKVEDMTVHLPSLPAGSKIQNMEDDEPKYIDLEFDNLPKSVIHELADVSSCSRTDHLVESPTGQLFLVKWYSILLTRHLLFL >scaffold_703210.1 pep chromosome:v.1.0:7:14278321:14278783:-1 gene:scaffold_703210.1 transcript:scaffold_703210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEPSLCIRGCGFFSTSQTKNLCSKCYNDFLKDESARYLDTFNINPETAAEAAEEVTAEEETAVEAVVVKKKDKKSSSRCNACKKKVGLLGFHCRCGHMFCGSHRYPEEHSCPSDYKSAAIDVLAKQNPIVKADKLFRL >scaffold_703213.1 pep chromosome:v.1.0:7:14290458:14290888:-1 gene:scaffold_703213.1 transcript:scaffold_703213.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MH56] MTENARQKAFLKRTESLRNKLKHNSHTTNSTNAILCFSDDTNEYITVAGYPWGPGRVVRRYIREVATIDDMLEIAPASQLVDGIANTVNWVAGAIY >scaffold_703214.1 pep chromosome:v.1.0:7:14292719:14296006:1 gene:scaffold_703214.1 transcript:scaffold_703214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQDHCNSPGGGKSCHVCTKCGWSYPNPHPSAKNRRAHKKICGTIKGFEIFDSDKTKQNLDLQEENCLVDEQKPPGPIVVEKADERVGDVSEEDVFTDAVCEFSRSDSFKEETATNFAAKGTENPGETQQCNNSSTAGVMKSPKVVQESCEVPPVEILENYDGPPSVEAASDDRQGEEFSTEGHSIVSETVIESSSQEAQVIDGGDTISNDRLDTECKGKLTEESESKLASALGKREDTSDSSWNDEVIYSDVEGPYGFSSEATSMTHPGEASCIGSEDVPVHTSPVKADATQVISASQSVPDDMPFVENADVSLHGIKGVEEFEASHSVNPYLPETSKGEECENTLTDAENLGIHPEGLSIGSEVPSSDKLFVGDKTEPQGQTDLVAIKEFPSAENIMISMIDSEDIEMKAEEGESSLGNAHTVESETLRVSLPAVDSIVVDSNADVSSAANKTGLVDLVGHESELIQANVVAEEGINPKDTLSSESSCFVSPVSVVFEGDDASDKIKSSSETSKDSALQIGAEFCESKDEVCREINNGRLVKERSFINETNTTEYPIGHSGSTGTAPDTVNTANQKSLESGRTEFNRVVGGLGVIQANEIDGNVQAQNYYAEVPVTIESNDHRDFGRLQNLSEAHIRSLVSSPLVTRNNTSNAFESNLGSVSGVSGGVNKPENASQNQEITLEKTTSWSTEKEQHVPLKNLLSEARSPRLQQQQAKDHESNNVPRVSSILGQETSPEDGRWPEKREVSEEWNSPAKYPVDFKREEKKVKGRPFWVPFVCCSNVK >scaffold_703215.1 pep chromosome:v.1.0:7:14298865:14300156:-1 gene:scaffold_703215.1 transcript:scaffold_703215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISSLSFRLFILRSPPPTSSSVSAVATSEDCSTFYCNDCVSKYIAAKLQDNILSIECLVSGCKSSVRLEPDKCRQILPREVFDQWDDALSEAVLMRSKRLYCPYKDCSALLFIDKSEVKMKDSECPHFHRMVCVECGTKWHPEITCEEFQKLAGNERGRDNILLATMAKKKNWKRCYSCKLYIEKSQGCLYMKCRWMLCCRCWVVLLSLLLVRICFEKKVRYGVRQEMALRDPTVPRFVLWNGKLLCCHLFCFVNGCINCLKRFLEGKESLDDRTRCNTFCLILGRCKKIKSYDYVSD >scaffold_703218.1 pep chromosome:v.1.0:7:14308964:14310380:1 gene:scaffold_703218.1 transcript:scaffold_703218.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MH61] MFLKARAFSVTTQNPPDLFSLLHHSQNAKHLRHLHAHLLRTSLYSNVVLSSKLVLAYSKMNHLFPTSLSVFWHMPCRNIFSWNIIIGEFSRSGFASISIGMFLRMWRESNVRPDDFTLPLVLRACSASREAKFGDLIHVLCLKLGFNASLFVRSALVIMYVDLGEILHARKLFDDMPVRDSVLYTAMFGGYVQQGEALLGLAVFREMRYSGFLLDSVVMVSLLMACGQLGALKHGKSVHGWCIRRCSCFGLNLGNAITDMYVKCSILDYAHTVFVNMPRRDVISWSSLILGYGLDGDVVVSIKLFDEMLQEGIEPNAVTFLGVLSACAHGGLVEKSWLYLRLMQEYKIVPELKHYASVADCMSRAGLLEEAEKFLEDMPVEPDEAVLGAVLSGCKVYGNVEVGERVARKLIQLEPRKGSYYVTLAGLYSAAGRFDEAESLRQLMKEKQISKVPGCSSI >scaffold_703220.1 pep chromosome:v.1.0:7:14323478:14329721:1 gene:scaffold_703220.1 transcript:scaffold_703220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHFTLPRNAILRDGGEPHSSNPNTSKSKPPRKLRSAKENAPPTDHNLLTPDHRSMKLKSPRPPRPPPSNPLKRKLSADAATESGFSDSGVKVIVRMKPLNKGEEGDMIVEKMSKDSLTIGGQTFTFDSIAYPESTQEQMFQVVGAPLVENCLSGFNSSVFAYGQTGSGKTYTMWGPAYGLLEEHLRGDQRGLTPRVFERLFARIKEEQVKHAERKLNYQCRCSLLEIYNEQITDLLDPSQKNLMIREDVKSGVYVENLTEEYVKSLTDVSQLLIKGLGNRRTGATSVNAESSRSHCVFTCVVESRCKNVADGLSSFKTSRINLVDLAGSERQKSTGAAGERLKEAGNINRSLSQLGNLINILAEISQTGKPRHIPYRDSRLTFLLQESLGGNAKLAMVCAISPSQSCRSETFSTLRFAQRAKAIQNKAVVNEVMHDDVNFLRGVIRQLRDELQRMKDDGNNPTNPNVAYSTAWNARRSLNLLRSFGLGYPRSLPHEDNDGDIEMEIDEAAVERLCVQVGLQSSLASEGINHDMNRVKSIHLCDGQSIEKRLPEDSDVAMEDACCHSENHEPETVDNVRTETETGITENQIKTHSTLDHDSSFQPLSVKDAPCSSLNKSEDVPSCPDIVTSENVLIADGLDDPEHLVNSASPSLCIDPVGATPVLKSPTLSVSPTIRNSRKSLKTSEMSTASQKGTEGDNLVTEDAEPSLATSKKMNNCSSALSTQKSKVFPVRTERLASSLHKGIKLLESYCQSTAQRRSTYRFSFKAPDCKPSTSISKADAGVQTIPGADAISEENTKEFLCSKCKCREEFDAQQMGDMPNLQVIVPVDNSEVTVKAKSQVPKAVEKVLAGSIRREMALEEFCTKQASEITQLNRLVQQYKHERECNAIIGQTREDKIIRLESLMDGVLSKEDFLDEEFASLLHEHKLLKDMYQNHPEVLQTKIELERAQEEVENFKNFYGDMGEREVLLEEIQDLKMQLQCYIDPSLISARKTCSLLKLSYQAPPVDAIPESQDKSLVKTLEQERLCWTEAETKWISLADELRTELEASKVLLNKQKHELEIEKRCGEELKEAMQMAMEGHARMLEQYADLEEKHMQLLARHRRIQDGIDDVKKAAARAGVRGAESKFINALAAEISALKVEKEKERQYLRDENKSLQTQLRDTAEAIQAAGELLVRLKEAEEGLTVAQKRAMDAEYEAAEAYRQIDKLKRKHENEINTLNQLVPQSHIHNECSTKCDQAVESSENASEQQWRDEFEPLYKKETEFSNLAEPSWFSGYDRCNI >scaffold_703223.1 pep chromosome:v.1.0:7:14351098:14351689:1 gene:scaffold_703223.1 transcript:scaffold_703223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLQISYTMQVKQADELENILTKKFLRFLSTSPEAFQVPRRKPVQMQKQKLIDFIIQFMEASLSLNPIFVIL >scaffold_703225.1 pep chromosome:v.1.0:7:14356392:14357214:-1 gene:scaffold_703225.1 transcript:scaffold_703225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYAWFICTQGVSDTKWAIEYEEPAGQAFKQNHPDATVFVDNCNVILRAIMEKCGDVDDCISTTEAAELAAKLDENQKSTLPLPCQVDFINGGPPCHLPFVVLNLVPFHSITVRDTIGDLPLVENGESKLNKDYGATPVSWFQKKIRGNMIVLTDHICKGLNDSIILFLKLKVIGCR >scaffold_703228.1 pep chromosome:v.1.0:7:14370663:14372386:1 gene:scaffold_703228.1 transcript:scaffold_703228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPVKEALAVKSFDKIAEICDNLMLQVASEGIDYHDDWPYAIHLLGYFYVDDCDSARFLWKTIPTAIKERKPEVVAAWKIGQKLWTHDYAGVYGAIRGYDWSQEAKDMVAAFSDLYTKRMFQLLLSAYSTITIHDLALFLGMTEDDATTYVVENGWTVDATSQMVTVKKEAVKREQKVDSSKLQRLTEYVFHLEH >scaffold_703230.1 pep chromosome:v.1.0:7:14376931:14378332:1 gene:scaffold_703230.1 transcript:scaffold_703230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MH73] MATSINGSRRPHYLLVTFPAQGHINPALQLANRLIHHGATVTYSTAISAHRRMGEPPSTKGLSFAWFTDGFDDGLKSLEDQKIYMSELKRCGSNALRDIIRANLDATEPITGVIYSVLVPWVSTVAREFHLPTTLLWIEPATVLDIYYYYFNASYKHLFHVEPIKLPKLPLITTEDLPSFLQPSKALPSALVTLKEHIEALESESNPKILVNTFSALEHDALTSVEILKMIPIGPLVSSSSDGKTDLFKSSDEDYTKWLDSKLEKSVIYISLGTHADDLPEKHMEALTQGVLATNRPFLWIVREKNPEEKKKNRFLELIRGSDRGLVVGWCSQTAVLAHCAVGCFVTHCGWNSTLESLESGVPVVAFPQFADQCTTAKLVEDTWRIGVKVKVGEEGGVDGDEIRRCLEKVMSGGEEAEEMRENAAKWKAMAVDAAAEGGPSDLNLKGFVEEDE >scaffold_703233.1 pep chromosome:v.1.0:7:14386453:14392765:1 gene:scaffold_703233.1 transcript:scaffold_703233.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-activating enzyme 15 [Source:UniProtKB/TrEMBL;Acc:D7MH76] MQLRLKPDYSFFIVSSSTMASTSSLGPSTLLSYASSSRQFPDFGFRLILGHESVRIPSFQRFRIHCESKEKEVRSSSPVLESSSLSGGSALRSNEWKAVPDIWRSSAEKFGDRVALVDPYHDPPLKLTYKELEQEILDFAEGLRVLGVKADEKIALFADNSCRWLVSDQGIMATGAVNVVRGSRSSVEELLQIYRHSESVALVVDNSEFFNRIAESFTSKASLRFLILLWGEKSSLVTQGMQIPVYTYAEIKNQGQESRAKLSGSNDTRSYRNQFINSDDTAAIMYTSGTTGNPKGVMLTHRNLLHQIKHLSKYVPAQAGDKFLSMLPSWHAYERASEYFIFTCGVEQMYTSIRYLKDDLKRYQPNYIVSVPLVYETLYSGMQKQISASSAGRKFLALTLIRVSMAYMEMKRIYEGMCLTKEQKPPMYIVAFVDWLWARVVAALLWPLHMLAKRLIYKKIYSSIGISKAGISGGGSLPIHVDKFFEAIGVILQNGYGLTETSPVVCARTLSCNVLGSAGNPMHGTEFKIVDPETNNVLPPGSKGIIKVRGPQVMKGYYKNPSTTKKVLNESGWFNTGDTGWIAPHHSRGRSRRCGGVIVLEGRAKDTIVLSTGENVEPLEIEEAAMRSRLIEQIVVIGQDRRRLGAIIIPNKEEAQRVDPETSKETQKSLVYQELKKWTSECSFQVGPVLIVDEPFTIDNGLMTPTMKIRRDKVVAKYKDEIDQLYN >scaffold_703238.1 pep chromosome:v.1.0:7:14442216:14444572:1 gene:scaffold_703238.1 transcript:scaffold_703238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETEVVVAPVSNGGSKGCCKYGGPGYATPLAAMSGPSEKLIYVTAVYTGTGIDKPDYLATVDVDPSSPSYSRVIHRLPMPFVGDELHHSGWNSCSSCHGDASADRRYLVLPSLISGRIYAIDTKENPRAPSLYKYVDPKEIADKTGLAFPHTAHCLATGEILVSCLGDEEGNAKGNGFLLLDSDFNIKNRWEKPGHSPLFGYDFWYQPRHKTMISTSWGAPKAFSKGFNLQHVADGLYGSHLHVYSWPGGEMKQLIDLGPTGLLPLEIRFLHEPSKDTGFVGSALSSNMIRFFKNSDETWNHEVVVSVKPLKVENWILPEMPGLITDFLISLDDRFIYFVNWLHGDVRQYNIEDPKNPILTGQIWVGGLLQKGSPVKAVGEDGNTFQFDVPQIKGNSLRGGPQMIQLSLDGKRLYATNSLFSAWDLQFYPEIMEKGSHIIQIDVDTDKGGLTINPDFFVDFGDEPDGPALAHEMRYPGGDCTSDIWI >scaffold_703240.1 pep chromosome:v.1.0:7:14449946:14450355:-1 gene:scaffold_703240.1 transcript:scaffold_703240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MH82] MLSLLAAVKFEEEINAISSGFMPSITDENSLASESEPYSNTQEIDDEIFGNYNTNLPTNAVTYIFKMKTKTHLNKHCYKYFEYKSCVTNLCRQSFVFIVTIHDNKHNECYEDQITLVETNFC >scaffold_703242.1 pep chromosome:v.1.0:7:14458608:14458999:1 gene:scaffold_703242.1 transcript:scaffold_703242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKPSTIFFTITIFFVCLLAHVTSKASSSSMCNGSVAECSNVVETEEMTVIMESWSSQRLTEEQAHKLSYGALRRNQPACDGGKRGESYSTQCLPPPSNPYSRGCSKHYRCGRDS >scaffold_703243.1 pep chromosome:v.1.0:7:14465507:14465975:-1 gene:scaffold_703243.1 transcript:scaffold_703243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYTILLDKHYLLFASRGLQCDGCNHGKDYYSEGYRCIQTRLYFHNECARSGLEIRNLYHPQHSLHLKVLAANEDVNGECKLCRGYKDPRAFFIPCFKDDYVYLSFMWTA >scaffold_703247.1 pep chromosome:v.1.0:7:14479513:14480360:1 gene:scaffold_703247.1 transcript:scaffold_703247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHIKAVGALLLDFDSKDGVGEIGIWGVRGVGKTTLVKCVYQQISRQFQDHCYVMNDTSNYSLGCDSMCLLEEITRAALKTTSHSVTRNCDVVKARFGHRKVLLIVDDVNHIGQLNDISLIASWFGPRSRLIFVTQDKSLLLDSGIRHLYEVESLKYDEALQLFSQFAFKQIHVPRGFDRFSARAVFITGHIPLALKVFGSFLYGKNNKEWEYELLRLEASQENWISVVSSYIGGDFYRRQPTNLDPYIGGEDDDGEEFPSYYFALG >scaffold_703248.1 pep chromosome:v.1.0:7:14480588:14482201:-1 gene:scaffold_703248.1 transcript:scaffold_703248.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7MH89] MRPKNYSQMEKPISIATGKFRTNNNNNNNHNNVWFIVPLFFILCFVLLCFDYSALFTDTDETAFSIPDVTQKSTSSEFTKDDNFSRFLDDPSPDSSCSGRYIYVHELPYRFNGELLDNCFKITRGTEKDICPYIENYGFGPVIKNYENVLLKHSWFTTNQFMLEVIFHNKMMNYRCLTNDSSLASAVFVPFYAGLDMSRYLWGYNISVRDSSSHELMNWLVVQKEWGRMSGRDHFLVSGRIAWDFRRQTDNESDWGSKLRFLPESRNMSMLSIESSSWKNDYAIPYPTCFHPRSVDEVVEWQELMRSQKREYLFTFAGAPRPEYKDSVRGKIIDECLESKKQCYLLDCNYGNVNCDNPVNVMKVFRNSVFCLQPPGDSYTRRSMFDSILAGCIPVFFHPGTAYAQYKWHLPKNHSSYSVYLPVKDVKEWNIIIRERLIEIPEERVVRLREEVIRLIPKVVYADPKYGSDGNEDAFELAVKGMLGKIQEVREMMRQGKDGSDGFDDRDDYKYTFSPYEEPQVLA >scaffold_703252.1 pep chromosome:v.1.0:7:14502595:14502858:-1 gene:scaffold_703252.1 transcript:scaffold_703252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFTNQTGHGMQCYSGVPCKNTATCNNFCRPRNNNLGGVCLIRANSCCCYVSVFESHKSSISKDTNNVVITN >scaffold_703254.1 pep chromosome:v.1.0:7:14517732:14518876:1 gene:scaffold_703254.1 transcript:scaffold_703254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKVCGLWSLPDAVVVNCLAQLSRLDFAALAIASKNHRSLVVSPELRDLRWQQRCMEPSLYVCLRIFPEPSPRWFILHPMQRWLKPIHMDLYQAPKSASSFVVMGCGICIIGGLVDGKPTSEVSFFDCFEHRWYRMPPMKMARASASASLMTDSKIYVFGGCGDDVANSSNWAEVFDVATLTWDFLYVFTPKMPLNIQQSVVMIKEKEVYVVDEDGQNFSFSPSKCMFVARGKTDSIPGNIYRNDWCVIGTFLFCRGTRGRILWCLPYELHWKEVKGLEELQQWGFDITKLCTNPAGNIVIFWKPHPLSLELWSIEISISLVKRRRNGREILGKIEWSGAVFKLDPLIDSSYSLKVLSADYVLA >scaffold_703255.1 pep chromosome:v.1.0:7:14519187:14519845:-1 gene:scaffold_703255.1 transcript:scaffold_703255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKFSHVLLLSLILFALILPSSFSVKGTPCIQGSVCSNDMTCNELCRFKGYKLGGFCQKYVGKTTGHCCCFPGFESHDSFVSDDTNLLITK >scaffold_703257.1 pep chromosome:v.1.0:7:14546146:14547406:-1 gene:scaffold_703257.1 transcript:scaffold_703257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKRGRVGTHHEASPTKLPYLPQEVITEILLRSHARSLGRFRCVSKPFHSLLSDPKFAKKHLDHNAVRLGHRRLILPFNNLFAVDLDSIRDGCEGIKDLTAVELDYPLKEDVDFLSELYKKAELKSNSDGIHSSGKYKKRWVRFFGSSNGLLCMANILLLNDVFLYNPTTGESKKLPDLPESLRSKSTKTLFSYGFGFDSLNNDFKVVKFIDGNDNYVYSLKTDSWRRICNMPYKDVCFFTSVELNGAIHWISIPRRGETSQKVVTAFDLTTEKFRVMSLPDLAEECEHIYPKSKVGILKGRLCVVYFCMKIHDVIWVMNEYGLESSWSKIRISRSYKHMKPLCSTENNEEVLLVLDGHLVLYNFERNTRKNLKIRGVEFDKSLLGNTYVESLISPNSYVIER >scaffold_703258.1 pep chromosome:v.1.0:7:14548431:14550176:-1 gene:scaffold_703258.1 transcript:scaffold_703258.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosylhomocysteinase [Source:UniProtKB/TrEMBL;Acc:D7MH99] MALLVEKTSSGREYKVKDMSQADFGRLELELAEVEMPGLMACRTEFGPSQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEIFEKTGQVPDPTSTDNPEFQIVLSIIKEGLQVDPKKYHKMKERLVGVSEETTTGVKRLYQMQENGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVICGYGDVGKGCAAAMKTAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPETKTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNEKSSGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLSKDQSDYISIPIEGPYKPPHYRY >scaffold_703259.1 pep chromosome:v.1.0:7:14556664:14558674:1 gene:scaffold_703259.1 transcript:scaffold_703259.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MHA0] MEPVSSWGNTSLITVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDEIENLCRSRALEAFHCDPAAWGVNVQPYSGSPANFAAYTALLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNFTTGYIDYEKLEEKALDFRPKLLICGGSAYPRDWDYARLRAIADKVGALLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDFEDKINFAVFPALQGGPHNHQIGALAVALKQANTPGFKVYAKQVKANAVALGNYLMSKGYQIVTNGTENHLVLWDLRPLGLTGNKVEKLCDLCSITLNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIGEFLSRAVTLTLDIQKTYGKLLKDFNKGLVNNKDLDQLKADVEKFSASYEMPGFLMSEMKYKD >scaffold_703260.1 pep chromosome:v.1.0:7:14563521:14563794:1 gene:scaffold_703260.1 transcript:scaffold_703260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTNWDDHVRATSSGHGHEDPFEIPSFRRQLCHSNHVSCIAYNPFPLSRCRGNDGDSSLSLEIFRYYFQILLRLIA >scaffold_703264.1 pep chromosome:v.1.0:7:14586803:14587143:-1 gene:scaffold_703264.1 transcript:scaffold_703264.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHA5] MKRESKSQDVQPISLINPHKFDLNKPYYDEEELHPKEELLQKENKSENLQSINKTISSTSRNFDLNKPYYDEEDLYPPDPLKKMMQLLLPNYSKVWVIF >scaffold_703275.1 pep chromosome:v.1.0:7:14688970:14692721:-1 gene:scaffold_703275.1 transcript:scaffold_703275.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RabGAP/TBC domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MHB8] MAKKGIPEWLNSSLWSSSPPPPLIDDRHLRNPPATSVTPPSPPIVERPPFSSSPSAISTAPASPVPVRPPSKSEINDLQNGSGNDGAGSETPAASSVEDVSRKAQVVAELSKKVIDLKELRKIASQGLPDDAGIRSIVWKLLLGYLSPDRSLWSSELAKKRSQYKQFKEELLMNPSEVTRKMDKSKGGDSNDPKIESPGALSRSEITHEDHPLSLGTTSLWNNFFKDTEVLEQIDRDVMRTHPDMHFFSGDSAVAQSNQDALKNILTIFAKLNPGIRYVQGMNEILAPIFYIFKNDPDKGNAAYAESDAFFCFVELMSGFRDNFCQQLDNSVVGIRYTITRLSLLLKHHDEELWRHLEVTTKINPQFYAFRWITLLLTQEFNFVESLHIWDTLLSDPEGPQETLLRICCAMLILVRRRLLAGDFTSNLKLLQNYPPTNISHMLYVADKLRTK >scaffold_703282.1 pep chromosome:v.1.0:7:14737760:14739044:-1 gene:scaffold_703282.1 transcript:scaffold_703282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDIETDKDEARGKNTPNASLGVREDGIMTAELLRRLFMDEDVETWRGRAVLLGCLRMKRIKQEEAGNGAVFTSVTPVPEKKQSIIKDQSDREVDVTQNSVFLLGENRWEDPSRLIG >scaffold_703283.1 pep chromosome:v.1.0:7:14739675:14739960:-1 gene:scaffold_703283.1 transcript:scaffold_703283.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHC7] MASLTLPFFSSIPSLENTTDKKSFCKFECKLPMKMKTLKISLKCSSSKKDIEVKMKREIEDSNAISIVAAAASSTLSLKGL >scaffold_703285.1 pep chromosome:v.1.0:7:14754595:14754832:-1 gene:scaffold_703285.1 transcript:scaffold_703285.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KU96] MITKHLLRFLQCKTDHDSDNGNSGQHHVRQRILGQAKLLNPMPTNPLWLSLSPSKPKSPLLRPRS >scaffold_703288.1 pep chromosome:v.1.0:7:14780257:14780449:1 gene:scaffold_703288.1 transcript:scaffold_703288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRNRGSSRPVCDHCARRCVDSLLYKCSDRTFCTLDCMHASWIEEADD >scaffold_703289.1 pep chromosome:v.1.0:7:14782622:14784128:1 gene:scaffold_703289.1 transcript:scaffold_703289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSCDESVQRLLSICPVLEDLVVRRSTYTSVEIFTINVSTLSSLSIDYSRAVSQPARVHGFVINVPSLRYLNIRDHFSNLLMFTNMRELVKANVEVVCNQSESLIGSLTAVRHLSLCSKISNIPYHRDTFGFFLEHLELCTCCTEWWNLLTRILKDAPRLRVLKLKSVESRDWRVTTVANRFELLAIYDDGMLKLHACMYICVPNKYM >scaffold_703292.1 pep chromosome:v.1.0:7:14797768:14799046:-1 gene:scaffold_703292.1 transcript:scaffold_703292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLTRVDDITPYDRNRNFCVQVLVFWFENFGRPNQNLKMILADLEETKIDDATITGGPFDHVNITGLRKDTWYFLSDFLVLYLPDLMSNMSNMFRIWFHRPTKMTSTYERSPCKCIEPEKFSRIRGWRIMTEIPIEKPCLMNRVGCSRFYLDPEFDELEEIKERTLSTAYAWAATNSRCSGSDD >scaffold_703295.1 pep chromosome:v.1.0:7:14819153:14820584:-1 gene:scaffold_703295.1 transcript:scaffold_703295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPKSGGDPLQHPTKEQLTDISYCITSPHPWPSYLVNARLRVIFQLRLFCLEQPITQMRWICGLLAAFLVLLSNCASLSHDGSLVVGGFFDSSIEENE >scaffold_703299.1 pep chromosome:v.1.0:7:14861101:14863610:1 gene:scaffold_703299.1 transcript:scaffold_703299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTSLSKNANSTATSVTVSSIQKLPFLSLSESLPCPKISKKPNFLPLRCRRRPKLDLLWGKFRVRASDAGVGSGSYSGGEEDGSQRSSLDQSPATSSESLKPRGPFPYSLSIALVLLSCGLVFSLITFVKGGPSSVLAAVAKSGFTAAFSLIFVSEIGDKTFFIAALLAMQYEKTLVLLGSMGALSLMTILSVVIGKIFQSVPAQFQTTLPIGEYAAISLLMFFGLKSIKDAWDLPPVEAKNGEETGIELGEYSEAEELVKEKASKKLTNPLEILWKSFSLVFFAEWGDRSMLATVALGAAQSPLGVASGAIAGHLVATVLAIMGGAFLANYISEKLVGYVGGALFLVFAAATFFGVF >scaffold_703300.1 pep chromosome:v.1.0:7:14863766:14864543:-1 gene:scaffold_703300.1 transcript:scaffold_703300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7MHE5] MMKQSPSSLSTSIFLIVALFTATTALDPAPEDPIFELYMHDILGGSSPTARPITGLLGNIYNGQVPFAKQIGFVPPQNGVAIPNANGALPTVNGINGIPLGTGLSGTAFSGQNLNGIQTQLGPDGLSLGFGTITVIDDIITSGPDLGSQPLGKAQGVYVASSADGSTQMMAFTAMLEGGEYNDNLNFYGIYRIGSAMSHLSVTGGTGRFKNACGFAEVRPLIPAGQHFVDGAEMLLRIIVHLKY >scaffold_703301.1 pep chromosome:v.1.0:7:14904755:14905058:-1 gene:scaffold_703301.1 transcript:scaffold_703301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLQVSEDGLTISRCNISNIFDLPNDLVNNILSRLPVKSIAKLCCISKLWSSIFRRPHISELLSIKSSGSPRIYRSIVILGYDGD >scaffold_703303.1 pep chromosome:v.1.0:7:14934363:14934646:-1 gene:scaffold_703303.1 transcript:scaffold_703303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESDVNEINQVVEPVGDDDCVVCLEPLANEADARTLVTLRCSHQFHLGEFLFLFVKEHQIYIFKWNFVMRKIDPYLLI >scaffold_703305.1 pep chromosome:v.1.0:7:14948251:14948516:1 gene:scaffold_703305.1 transcript:scaffold_703305.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHF3] MAGNFKTVAAKSCRSAAVEPVEGVSDDGSEVRRRRCARSWMWLVTIVANLLLSFGHVSTRGTFTLILIIKIGLG >scaffold_703308.1 pep chromosome:v.1.0:7:14954971:14955614:1 gene:scaffold_703308.1 transcript:scaffold_703308.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHF6] MSLRLVECRSGSISLQIFGSTVRRAPPPTKRSSSYFAVSSFLVFFPSRVNRLSRVKPMVVVSSTSLSGALRPLLISVVGDPLASVNRRRRMSSSSLGLGQSPTLQFQWKGFNWAWPIFGLSGGCCGPLLFGLQACFVMQTSFLACPNVVHFSPSAPTTDFVFPRSPLATPSPSPTSSPTGSPDTSSGSVYLHWILLR >scaffold_703321.1 pep chromosome:v.1.0:7:15100323:15102478:1 gene:scaffold_703321.1 transcript:scaffold_703321.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:D7MHH0] MRIAFKGERGTMIDMRIAFKGERGTRIDLAGNVSKDLKVKRITPRHLQLAIRGDEELVTLIKGTIAGGGVLPHIHMSHQQTHQGFPVGRIHRQLKTIVSAHGRVGANADVYTASILEYLKVLELAGNASKDLKVKRITPWHLQLAIRGDEELDTLIKGTIAGGGVIPHTH >scaffold_703324.1 pep chromosome:v.1.0:7:15140807:15141408:-1 gene:scaffold_703324.1 transcript:scaffold_703324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDQIIHVTCSKGLTLEPKDKNSLKNLLMGICNIKILYLSCDTLKELNDYRETIPVFNNLMQLTIVPCVEYESLRGLLKNFPNLETLVFEGLHHEYTKRCDDEDKCLCEYPDDCGGADRAGQALPKDHVES >scaffold_703325.1 pep chromosome:v.1.0:7:15142282:15142997:1 gene:scaffold_703325.1 transcript:scaffold_703325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNQIIHATCSEELTLEPKDKNSLKNHLMGICNIKILYMSCDTLKDGCLCKCLDDCGGFFVRNCLLSSPVKVLKIFKFGEICDDDENIEEQIEQVKHFLKTTPNLEQMILC >scaffold_703326.1 pep chromosome:v.1.0:7:15151497:15152682:1 gene:scaffold_703326.1 transcript:scaffold_703326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLANAVRNSFNTKVAYTDVSSLNPFVHEWRLRVKILRKFVRCFGNHNTVDLILVDEKGQKIHAVIDGDYIDRVCRRITVGDWISLRGFKLTLAFYPFRPVPHRFMLRWQDTTVMKKISPVSSNNFFSFASFDDVNSGILDPAVCVDLIGEIIKVGNPKEDGGPNNDWNEIYFQLQDKTDNILQCRLPKDYANDFFNKWRHCTDDILICIMRFAKLEVNSGSWLATSAYTCTEIMINFPCQEVTDMKHAVLTRECMQR >scaffold_703327.1 pep chromosome:v.1.0:7:15155608:15158594:1 gene:scaffold_703327.1 transcript:scaffold_703327.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHH7] MHRLLFNINTLLMVSFHALMRFRVCQWKLASLFTPSTKGAGLAPLPARLTSSPPRLADFRYSTGLFSIKTRLDLWKHVIVSSARKQMSYHCCCGSLVLSFLFMALLRLYEGTRNKETICMILYAKRSSEFEIVSTPVICGHGVNESGAMVISDSDITVIVKLIKIRSNVPD >scaffold_703329.1 pep chromosome:v.1.0:7:15167038:15167283:-1 gene:scaffold_703329.1 transcript:scaffold_703329.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHH8] MSSPYPYDYFRSWMDKPRYNPETGKRTEEFKEGLRQFMLFASNQDITLETENSR >scaffold_703332.1 pep chromosome:v.1.0:7:15198517:15200726:1 gene:scaffold_703332.1 transcript:scaffold_703332.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:D7MHI1] MVRRRKAIAIKKPDSSSGGFQFFELTAEVLELAGNVSKDLKVKRITPRHLQLAIRGDEELVTLIKGTIAGGGVLPHIHMSHQQTHQGFPVGRIHRQLKTIVSAHGRVGATADVYTASILEYLTTKVLELAGNASKDLKVKRITPWHLQLAIRGDEELDTLIKETIAGGGVIPHTH >scaffold_703334.1 pep chromosome:v.1.0:7:15210690:15211072:-1 gene:scaffold_703334.1 transcript:scaffold_703334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTVDMVSEINAESRVLFDTNPLKPLGDLLEREGLLTQSIRIQQEFKSGEEYWALERKLCLFVMLFQ >scaffold_703335.1 pep chromosome:v.1.0:7:15213308:15214121:1 gene:scaffold_703335.1 transcript:scaffold_703335.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHI4] MVSEQFYRSFDSDHFVGWYQSMGSNMEITDDFGASLREVQDELFHEVLDEFKKMKVNMMDEIKKVKFDMMAIFKGLEERMNRLVEIIRSFKDDCGRARSQNLRVDTVTNLQVPLESPQRVTSSLKPSTVSPPKYRTVPIRRRQHHTTRARKPGKHSRCSSSKHKSRVGFTQSHKHVLSQMGRVGINRKRPFDRGKAWLLREYGKVTAEQVEYGDKETQVLAHEKEEGDTKR >scaffold_703336.1 pep chromosome:v.1.0:7:15214213:15214607:1 gene:scaffold_703336.1 transcript:scaffold_703336.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHI5] MRLYEAIMSTQFKLASKSLMLPSSSNDFVHVVWNYFSSYMEYQFPLNSSFMECILPSLIFILWEGSFFRGFSMEEPAALIAIVDARKGQEAQMHHLATLTLPSMNCKEVFKLSLSGA >scaffold_703337.1 pep chromosome:v.1.0:7:15236413:15237277:1 gene:scaffold_703337.1 transcript:scaffold_703337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNPSHVDLDGPVFHNLFDYCRAYAGGTISAAAKLNRQEADIAINWAGGMQNAKKDKASDFGYANDVVLGILELLKTFKRVLYVDIGFRHGDGVEEAFKDTDRVMTVYFHKIGDSGDISDFGEGRGQYYSLNAPLKDGLDQGSKNP >scaffold_703338.1 pep chromosome:v.1.0:7:15246278:15246936:-1 gene:scaffold_703338.1 transcript:scaffold_703338.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MHI9] MTKRKTNSKRKPKDSSSRVAFSEGRVTFEHPSTKKPRNNKAGDSTQENETSQGFTTPIPDMPKGFKEGKSSVSAHSRPRGGSGRSNRNLAKSFP >scaffold_703339.1 pep chromosome:v.1.0:7:15265171:15266557:1 gene:scaffold_703339.1 transcript:scaffold_703339.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHJ2] MLSVLHFRRCSNLRNEVCHHCSSRSDASTQLTISLCRSHHRHITVLPLYLFQTWKRIGSSFLLSMLGESELGGAHVCFPWLSFSSSGGFSLLKSRSETFSFFLHSFGFLRMSPTSTKQSHHRWPCCFRRVVQLSILTYVKKSRRLKSPMISITLSEVPGRSEQLKRLHPLSLLPDLNSTMNYSVLEIFCSSIFTTIYVYVRTSITFDLEINRFSSTSTRLLVTRLNCSFPAAGISFAGAETFLDVLTAVVPICPYCAPICVTLIHSWALLIFSNVFASLCTKPNIRRLQAPSPPLRLEACWASDAESASWASVAESSWWAYVARYVSWDYGNHFLFSKPNFMSLIGLPSSFKESLFQQSSFRECLPIQLFCVLCIILLELWCTSISSYYERSLTHKCQNNNWISPNMKIFRNSVFLSCNEEYLFSTLKIARFNISTVGFQFPSGTNI >scaffold_703341.1 pep chromosome:v.1.0:7:15289268:15290295:1 gene:scaffold_703341.1 transcript:scaffold_703341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESAVNEINQVVEPVGDDDCVVYCIGSAFNCKKKMICPSCRKVEKGDWLYAAHPGQYDQPTFNLEHVLNDHLPISWENGPTILALPASSAWRGTHVLPNDVTHNIQNISNHPAMPNPLEPRNDFWVHDPSSEAGLDSEFVEQFSQRRLNDLLHGNGRPGPGQI >scaffold_703342.1 pep chromosome:v.1.0:7:15291138:15291327:1 gene:scaffold_703342.1 transcript:scaffold_703342.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHJ6] MVVELAMMVELAMVLELAMMVELAVGEVDEEGMALEEVGAGEAATTREP >scaffold_703346.1 pep chromosome:v.1.0:7:15327136:15328372:1 gene:scaffold_703346.1 transcript:scaffold_703346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHDHPTHVPCLFSMMMSEGSRLSLSNQSLFSFPDPFLIWVVDQFHQRHSPDLTESSPLQYLYSFVMLIQWNCVFLPRRRFVFGAFPWRRWFFRLSTGDCPLTQGYGGFTWVFDPGINRVLAEDEDIIKLVETKKNSQTQWINSGDPVPLFGHSIPEFPAHRYPRSMLKDLRLATKRELTAHPMIPEKYLVQRKASSSQCFVHDYMRRRPHMMILHQPFMNVILEYDASEDEFGFLLKIDEASTSPRWPLVFWDWVLVYLGSQVDSMNGMSDFKKIKHLPWQQIWVIRQRRLPTDQSESFPESSNVLISTKRQDTVLSPTTSSHFKSKVMLKAFWSLSSKLIANNKYVKEGHNRFHLLARKAFDSRVTLHSFIEIVSISYICVQWLFCIYYVPCKLL >scaffold_703349.1 pep chromosome:v.1.0:7:17651741:17652176:1 gene:scaffold_703349.1 transcript:scaffold_703349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIQPLISCKETSCILWKNSTCSTELESPKLSQGVHAAAANLALKTIFLSCLKCTHPTARKIKTLCNFLYVVNFLQWKDRLISAPKEIEGGGRAAKAKDLFYKTNGSTQDKDSVEDTKVKEEHDHERLFL >scaffold_703350.1 pep chromosome:v.1.0:7:17674546:17674921:1 gene:scaffold_703350.1 transcript:scaffold_703350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHK4] MPPKGKSSRGRGGGATTRRVAAGGGQTSRQEAAGGGATTKRVAAGGGQALPQEAAGGGETSRPVASRPGVRTFVGHRSPLTVSGVGTSSHFSSASQS >scaffold_703356.1 pep chromosome:v.1.0:7:17718679:17722309:-1 gene:scaffold_703356.1 transcript:scaffold_703356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLFPTRLINNSSLGLLRSPRTAAPIRSLWFRSKSPVFRSATSPIMAAAAFSSSLSIPPNSEDALPGKLWIKFNRECLFSIYSPFAVCLAAGNLKIDTFRQYIAQDVHFLKAFAHAYELAAECADDDDDKLAISDLRKSVMEELKMHNSFVQDWDLDINKEVSVNSATLRYTEFLLATASGKVEGCKAPGMLDTPFEKTKVAAYTLGAVTPCMRLYAFLGKEFGALLDLSDVTHPYKKWIDNYSSDAFQASAKQTEDLLEKLSVSMTGEELDIIEKLYQQAMKLEVEFFHAQPLVQPTIVPLVKNRSKDDLVIFSDFDLTCTVVDSSAILAEIAIVTAPKDEQSRSGQQIQRMLSSDLKNTWNLLSKQYTEHYEECIENILNKEKADKFDYEGLCKALEQLSDFEKEANNRVIESGVLKGLNLEDIKRAGERLILQDGCINVFQKILKTENLNAELHVLSYCWCGDLIRAAFCAGGVDAVEVHANEFTFEESISTGEIERKVESPINKAQQFKSILQNRKDENKKKSFLSVYIGDSVGDLLCLLEADIGIVVSSSSSLRRVGSHFGVSFVPLFSGIVQKQKQHTEEESSSTWKGLSGTLYTVSSWAEIHSFALGWE >scaffold_703357.1 pep chromosome:v.1.0:7:17762055:17763851:1 gene:scaffold_703357.1 transcript:scaffold_703357.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHL6] MVFACLKFADTNRIVIAKSSFKLSNPLELAQAYEVLSDPEKREIYDEYGDDALKEGLIDDEQGRSSQFSEDFLLSNPCDGGDSSLLTLSGDASSDLDLGCPNDNFSLTEQLELQFLSDELELGITDREIYQVNHGQNCVPASMSVTAEPSLGSAVNHIPGMRWSPELHELFLEAVNKLEGPEKREMLEKSSQLAMERNKRKELYNAYKKLTSKYKFVCKRFGLTADSFLEDDGHWTKSEECCQVEQSICLLNSEPPIDDMIEDHDTTFVLYISVTCILLSFVNKIFLTIFFCSPFSLRLIIYMRLIILRHVL >scaffold_703358.1 pep chromosome:v.1.0:7:17764968:17766038:1 gene:scaffold_703358.1 transcript:scaffold_703358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRIPPILRSSAEDLSHGASFTMADATVLGSPLKTQMLLNRVGYSPKISHRELVEMAEHRKELEKMAKPVLDTLRNYQDLPPFHVNDQAAIEMVKGRRSNIQKKL >scaffold_703361.1 pep chromosome:v.1.0:7:17794914:17797093:1 gene:scaffold_703361.1 transcript:scaffold_703361.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7MHM0] MPTSVTNLALLGFCILQVMSLLVPQANARAFLVFGDSLVDNGNNDFLATTARADNYPYGIDFPTHRPTGRFSNGLNIPDLISEHLGQESPMPYLSPMLKKDKLLRGANFASAGIGILNDTGIQFLNIIRITKQLEYFEQYKVRVSGLVGEEEMNRLVNGALVLITLGGNDFVNNYYLVPFSARSRQFSLPDYVVFIISEYRKVLRKMYDLGARRVLVTGTGPMGCVPAELAQRSRNGECATELQRAASLFNPQLVQMITDLNNEVGSSAFIAANTQQMHMDFISDPQAYGFVTSKVACCGQGPYNGIGLCTPLSNLCPNRDLFAFWDPFHPSEKASRIIAQQILNGSPEYMHPMNLSTILTVDSMT >scaffold_703364.1 pep chromosome:v.1.0:7:17838781:17841888:1 gene:scaffold_703364.1 transcript:scaffold_703364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKMNLRSKDSSPRHDSEILYEKKIRDLESVNEALKCDVEELRSKLADVSISSSVSTLQSSREFSHKSIANKEEGMSSRNKSNLRSICSTKKYKTESSVKQFDGEVQKLKAQKVKLHCKIKLDSMHFRLLKASLEKEVLQLKKELRKSEFEKHVLSALNNRQKLILQLKNTQALTAMKRLKMLLQSKKISSNKNKGPSKGTSSGIQESSNESGLLMKLNKIHSDYERQMKEMAEEIKRFSLEAGVLKAEFEGDQSSCSASCDNQINHTPIDSELKELKEEFNKLSTLVSQMEMTKSQFSETDKVQGEPAERSITSKNIDDQSNSEPSQLETSEETLCKKEQSKAEVCCSCTKKSLCKTKSCKCKANGSGCGDSCGCLASKCSNREETVKPDKPMEPLDGKKPAGISHDDKGANKQPLRDIGNIQEAVKVGKLRKVQKRVAKK >scaffold_703366.1 pep chromosome:v.1.0:7:17857893:17858137:-1 gene:scaffold_703366.1 transcript:scaffold_703366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIVAAKDIITLHGSVAIVSELFCVYFQSLTDIITFRFCDFFSETTNLRRNHKTNLRRNHHHLRR >scaffold_703375.1 pep chromosome:v.1.0:7:17934266:17936207:-1 gene:scaffold_703375.1 transcript:scaffold_703375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVAKAKTSGLSSLSELHKVEEHDNFAYQREPKPSTKFVSRIKNSKSNEEEGNNFEVQMPEVINLTSVLGFDVPFEEVGNLFQLLEFCSVFGKDLGLREGQPTSIVHELFSSERTKGQQYCSIISMIIQLLELISHDRNMSLSLSEINNNWFNAIGDLVLKSGVLSNEFPPETFKGGDAKYNEMDASRRLKLLNFLCDESLTTLAMRNIIKNKILEFEAKKKEAKQKATVANKKEKQLRKTMRSDFAKIYKENTGVPLSSEEHNKLLSQLRAQAKEAHDEMMEAKNIISKKMQTCDVVRTDQNIIEDNGLVLWKLKCFEEEPKFLLQDLGTFDDLSPYEKWLAFKAEQKEEIEKFISSKR >scaffold_703379.1 pep chromosome:v.1.0:7:17967106:17967649:-1 gene:scaffold_703379.1 transcript:scaffold_703379.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHN9] MAAAMGDWKHWEIVEDRRGVEGGGAEQELLRMRARSGDPVDPVALAELVKTEAPVVVREEVSDHGRGPNKSGNSERDLTSGEKREPSQVVNSPENCHVTGKRGPPERSNLRSKLQSQEEAAGGGTPVKGAHSVKLWVFLVFVPGRKAIELSFSFLSLNFVKKRES >scaffold_703380.1 pep chromosome:v.1.0:7:17968035:17968719:1 gene:scaffold_703380.1 transcript:scaffold_703380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHP0] MVVESVALALWNLITLDSFGSKLVLSRGTIIALVRTFTAVCRFYFNLAMLEASLWQIGKRSSLSFSVPVILVHRDFYSPHLSFMELIILPNTSLVLSGIVIGSIVVKTVLLGAEARIIIHDGSRSTFVGCLTLEALFPPPCGFGKDYCFEDVCFIGGPCLDSALVELLSSPLSLSLCLRFVVVLSLSSYSITLLVVVLDVWAPCSLVVFSVFGG >scaffold_703381.1 pep chromosome:v.1.0:7:17975627:17977724:-1 gene:scaffold_703381.1 transcript:scaffold_703381.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHP1] MRLLFQGTADLVSLVEIEGPWWWFNGSFVGGLTGDLLVSLVEIEGPCWCINGILILRVCGFDPVSIGKSFAWVSIGNGEISRWNWVCFGLSGERGFGRIWVIQVRIGVGELEMGFDGRFWWFRWDLMVGFDGKFCWFLWDELYFRANKRWVSIYGGECLRSEVMVFENRSEEAWDRRGVDERSNSQGFVGVYRGYQMGVIGEELTVVELYIFYLISMGTAQRSRRILRYQFLWTGVESRVLRPEMFFHLIWEIDISRRSQVSHLVLNELNLSYLERFISVAIVVQKGKGLRLEKLFPVVISLGEFWSIDEGNSEEVQRVSERREILEALSRFLYYLIKARRAKISRWGLMVIIVIDFCGSMIYFEGDIDLKMGVYKSVSQPGGIAHLISFSAIQSINDLFLALDFIILGFYWLYLFLGFILFWFLIVLVEVMPQSSMVSQGSHGNIMSNMVNNSLSVFILAFYVGFNSQILYVSYIICVIEILIKGMYRLDMMVIDGGRNLLRLWFFFRLYLGFEFLGLSFGGIYIVDWEVLLLEFEIVSVAGWSWTTDQRGFRLKTIEERRSGEGVFNRWFSGDRRRRALSTLPWAIVGGRNISKSYDVGRLILDFECMEWSFIGCNKRFYNSLISGFSWLDVDTLRVRISMVNCNLVSLRNNQGNEGHNPTHHGTVKRLTGGRNPLNRKVDNK >scaffold_703382.1 pep chromosome:v.1.0:7:17978823:17979227:1 gene:scaffold_703382.1 transcript:scaffold_703382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSDQSPSHNVFVYGSFQEPAVVSLILECSPVIVSAQLHGYHLYRLKGRLHPCISPSENGVINGKVLIPSPSFTRKIRGLNQQIGVFLF >scaffold_703386.1 pep chromosome:v.1.0:7:18063293:18063671:-1 gene:scaffold_703386.1 transcript:scaffold_703386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSMDGIFRNIFEGCISSCDSSIQRRPYHKNCGCALHERSRGGGSATPCRHGRSEVIMLPIQRSWSEGNSLALHLASSSSSSNLQSISSSSSISTLASLSSTVSDIDSPI >scaffold_703395.1 pep chromosome:v.1.0:7:18117756:18121021:-1 gene:scaffold_703395.1 transcript:scaffold_703395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGSQSSLRRYLGAIKDTTTVSLAKVNSDYKELDIAIVKATNHVERPSKERYIRAIFMAISATRPRADVAYCIHALARRLSRTHNWAVALKTLIVIHRALREVDQTFHEEVINYSRSRSHMLNMSHFKDDSGPNAWAYSAWVRFYALFLEERLECFRVLKYDVEVDPPRTKDLDTPDLLEQLPALQELLFRVLDCQPEGAAVQNHIIQLALSMVISESTKIYQALTDGIDNLVDKFFEMQRNDALKALDMYRRAVKQAGRLSEFFEVCKSVNVGRGDRFIKIEQPPTSFLQAMEEYVKEAPLAAGVKKEQVVEKLTAPKEILAIEYEKPPQVVEEKPASHEPVNAEAEKPEEKQPDLLSMDDPAPVISELEEKNALALAIVPVSVEPPASTTDFTNGNSTGWELALVTAPSSNESAAANSKLAGGLDKLTLDSLYEDAIRVSQQQNRSYNPWEQNQVHNGHMMHQPFFASNGVAAPQPLQMANQNHQTFGYQHQNAGMMMGPVQPYQQQQPNMSNPFGNPFVSNGNPHQPHGSVQGYNPYPRYM >scaffold_703398.1 pep chromosome:v.1.0:7:18132925:18133432:-1 gene:scaffold_703398.1 transcript:scaffold_703398.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7 [Source:UniProtKB/TrEMBL;Acc:D7MHQ9] MSRRGTAEEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQIIYRALKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPIEIGSTQGKALAIRWLLGASRKRPGQNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >scaffold_703399.1 pep chromosome:v.1.0:7:18133434:18135824:1 gene:scaffold_703399.1 transcript:scaffold_703399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRMNHLFRGVKSLPARQDDRIASYKFCFGAWKHIRTLEWKWKRDVTPVPLEIGRSLAQE >scaffold_703403.1 pep chromosome:v.1.0:7:18149088:18150862:-1 gene:scaffold_703403.1 transcript:scaffold_703403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKVAVQSSRGSRSSSPNEVAEDRGENQLVVYDLKGNDTEEEVLPIQSQLPSSRTQCPSIGAFTVQCASCFKWRLMPSMQKYEEIREQLLENPFFCETAREWKPDISCDVPADIYQDGTRVWAIDKPNISRPPAGWQRLLRIRGEGGTRFADVYYVAPSGKKLRSTVEVQKYLNDNPEYMSEGVKLSQFSFQIPKPLQDDYVRKRPARLVDSIDNTNTHVAKEANPLAWISPDDHIALQLGTPTESGLYNSHDQPSKKRKTSKLSIFGANDELADR >scaffold_703409.1 pep chromosome:v.1.0:7:18196687:18199651:-1 gene:scaffold_703409.1 transcript:scaffold_703409.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:D7MHR9] MASRVYVHYHHADACSKARWNARETFRFMYDRPWKHVLDFYSNAVEGNLSVLNLFQPKKSLVHDDGINEEMSLETESETSTRKDGRSGRWERVNFKIVLSYNGTSFDGWQKQPDLHTVQGVVEKSLGGFVDDRKAQLLKKKCKPLEGRVLVAGRTDKGVSALNQVCSFYTWRKDIEPIAIEDAINKDASGKLRVVSISKVSRSFHPNFSAKWRRYLYIFPLDDAESLHESGENHENFIFDENHEKQRNGLLSEENTEEVILSEDDELEIEETSNGLEVVEKPSDFSVIKVDQLLQQLQGKVLSYKMFARDTKAARNEGPPTECFMYHARAAEIRLSCSDCVEGRRVMCVELVANRFLRKMVRVLVATSIREAAAGAENDALLKLLEASCRRATAPPAPSEGLCLFDVGYADFDPQTSLIS >scaffold_703410.1 pep chromosome:v.1.0:7:18201448:18201652:1 gene:scaffold_703410.1 transcript:scaffold_703410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANKRRLLHCVSKTVSIAGVRRSYGGVPQSNSKSPTSSSQRLMELESEFSPHK >scaffold_703411.1 pep chromosome:v.1.0:7:18234932:18238450:1 gene:scaffold_703411.1 transcript:scaffold_703411.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7MHS2] MTKKMRRVGKYEVGRTVGEGTFAKVKFARNTDTGENVAIKIMAKSTILKNRMVDQIKREISIMKIVRHPNIVRLYEVLASPSKIYIVLEFVTGGELFDRIVHNGRLEESESRKYFQQLVDAIAHCHCKGVYHRDLKPENLLLDTNGNLKVSDFGLSALPQQGVELLRTTCGTPNYVAPEVLSGHGYDGSAADIWSCGVILFVILAGYLPFSETDLPGLYRKINAAEFSCPPWFSAEVKFLIHRILDPNPKTRIQIQGIRKDPWFRINYVPIRAREEEEVNLDDVHAVFDGIEGSYVAENVETKDEGPLMMNAFEMITLSQGLNLSALFDRRQDFVKRQTRFVSRKAPSEIIANIETVANSMGFKSHTRNFKTRLEGLSSIKDGQLAVVIEIYEVAPSLFMVDVRKAAGETLEYHKFYKKLCAKLESIIWKATEGMPKSELLKTITF >scaffold_703415.1 pep chromosome:v.1.0:7:18315467:18317815:1 gene:scaffold_703415.1 transcript:scaffold_703415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDEELSEDSNSNGLDSFDAVKQRFKDRSKKVVQTRELLSKQAVQTREILSKQAVKIAKQAEEHERFINKVTHLVGVLGFGGFCFLLGARPQDIPLVYCFFYVIFVPLRWIYYRFKKWHYYLLDFCYYANTIFLVDLLLYPKNEKLFMVCFSFAEGPLAWAIIVWRCSLVFSSLDKIVSVLIHLLPGLVFFTIRWWNPATFAAMHPVGTDRRVSWPYVEDKAYLFTWLFLIPLVVYTLWQVLYFLIVNVLRRQRLLRDPEVMTSYRELSKKAEKANNKLWQLSGLLGDQNRIWMYILFQAIFTVATMALTVPIFVSYRLHVIFQILKISAAVWNGGSFLLEVMPRQVIQKEKKKAEMQPIEEQIPHHEPVSPPKSTET >scaffold_703424.1 pep chromosome:v.1.0:7:18434765:18435232:-1 gene:scaffold_703424.1 transcript:scaffold_703424.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHT6] MARVRMKGGDRVYESTEGCREGASTPDPIEASTDAAVPRKALTDAVSPTEAPMDAAVVPTGEPTDAAVIVQTVESVEATIELEVSSVPELSDKEEKEEASESKEDEVGEHDKEGL >scaffold_703432.1 pep chromosome:v.1.0:7:18501500:18501705:1 gene:scaffold_703432.1 transcript:scaffold_703432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVRFKISSPKANTLAKAIACSVTRDGRFNSYLASGGPAWLHTRIEEERRQRI >scaffold_703434.1 pep chromosome:v.1.0:7:18507261:18507741:-1 gene:scaffold_703434.1 transcript:scaffold_703434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELIFKEDGQEYAQVLRMLGNGRCDVMCIDGVKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMSDEARLLKAYGELPENTRLNEGIVGDLDEDEENAGDDYIEFEDEDIDRI >scaffold_703435.1 pep chromosome:v.1.0:7:18514909:18515145:1 gene:scaffold_703435.1 transcript:scaffold_703435.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHU4] MDCSLSSNLHMAGMEVLRKGLGWIVGDKERIKMYGETPSYQPPLPLLPSDKTSYGRYSCWRLTG >scaffold_703437.1 pep chromosome:v.1.0:7:18521932:18522221:1 gene:scaffold_703437.1 transcript:scaffold_703437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKMGVFLVMMLMIGTLMVESKAIENTPVVLKQDPICYLKCFELCLLNPIFLIKNKCPKKCDKKCVEHFSEIIPKTNRPN >scaffold_703442.1 pep chromosome:v.1.0:7:18594997:18595339:1 gene:scaffold_703442.1 transcript:scaffold_703442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQMLSVLRRNLQNLRKSPRVADETELPSSNAGAGPGVVANGRRDGFNSVIMRFPFSIISCFAVPRVSGTDGLWMSGDYGSVSEINHLMVSDSMRYAILM >scaffold_703445.1 pep chromosome:v.1.0:7:18635300:18635871:-1 gene:scaffold_703445.1 transcript:scaffold_703445.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein 17 [Source:UniProtKB/TrEMBL;Acc:D7MHV2] MSTFLIRILLPLLIIAITIPQWSEAESEQWCIADEQTPDDELQAALDWACGKGGADCSKMQQENQPCFLPNTMRDHASFAFNSYYQTYKHKGGSCYFKGAAMITELDPSHGSCHYEYNP >scaffold_703449.1 pep chromosome:v.1.0:7:18726038:18726335:1 gene:scaffold_703449.1 transcript:scaffold_703449.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHV7] MKQREMRRISEYWVDETEKNRELAKQRGNREELAKQRGTVKDSGRGVIESSRGDEIPAEATRFRRRRRDRWSKMRILGATDGGV >scaffold_703451.1 pep chromosome:v.1.0:7:18747416:18748085:1 gene:scaffold_703451.1 transcript:scaffold_703451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLTLIQAADSKGAGSVFDVAIVSSRSNSCKKHCFIGVEKMLLYYTRKMKKIDRCSQLFLKILYTVLISNLRHNSWSDASFFSFPYQCCLCIAFFEGTKPRESVSGS >scaffold_703454.1 pep chromosome:v.1.0:7:18765376:18767431:-1 gene:scaffold_703454.1 transcript:scaffold_703454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVYNKADSTTIKGCSSNSRSRYSSVAVNCEIAGTRGLRMHWKGKASVILDKCTMILENDAYRKMTTSKDPCLPAEGDEIRGLRDSVWDDGEICIAPESDSEVSDVPEDIKPHQWLGLYCYNFQKGTDYEFKCVRNCYTEFGSLITLEAMNPANSSPLTFEMLVKHDDLTEGVQSWWKIKGSKEADHEWDNEAIDDRYKGEMPKWLSDDDLQHCYVVKDSELMETKNWWLLLFSEFAFYTKWSGTLRPRDAVECLPLETQKVVVETQGKAETEPRELRSSRRQMQSST >scaffold_703455.1 pep chromosome:v.1.0:7:18774812:18775400:1 gene:scaffold_703455.1 transcript:scaffold_703455.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRPRSDLYANLSALKKLDVMLIDILVAFSDTEFWYTNRGIVLGECEKDSYNSRAPPNGLSEEARKKLQQCRDFANQILKAALAINSGVLAETEIPDPYLETLPKVCLDLSSKHQTLEIANQIEATVHVWRQKNGRRHKKKAKLKLSVGDTIQVSHRKFDGTINNI >scaffold_703458.1 pep chromosome:v.1.0:7:18804573:18804980:1 gene:scaffold_703458.1 transcript:scaffold_703458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNEFEDYFNAPGDAKLYGEICNTFLVIYCKTMSIFRSLEAALLRSRHGIQALCSLHLVLENMKSILCHCTESSKLYLVCL >scaffold_703464.1 pep chromosome:v.1.0:7:18864649:18865146:1 gene:scaffold_703464.1 transcript:scaffold_703464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMFRVLEQITEPFYPSNKPLQPSSSIERTIARPSTSLRRNHVGFLIFFLEATCIESISPKVKEFLSTSLALYGNVTIQQCPEILVELSSTQHSIVCGKLLYCSCLRCLDLSSNYSIYVIYQSLLRVLVAIFVVSNDEVDVYLTFVFAMV >scaffold_703467.1 pep chromosome:v.1.0:7:18874759:18875793:1 gene:scaffold_703467.1 transcript:scaffold_703467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPIQIVSAQRLEAQATSIADIIGLPLPPVNFYSSNYLKLLYNPNDKVLELLCLIQNWSIPSGLYYRRTSLVTRKRRNLNGLGLHPRGGRDWEDDLSLIKLSLNDDKLRNGNNPCVSHSRKSETESMDCDEPSLECVSSPDDHKEKSKECAIKRLITDMGYNLFCYIPPRVKVKRQDYLQCEEKKWWSIVICGSRRLLHSFTGLR >scaffold_703471.1 pep chromosome:v.1.0:7:18962994:18963190:-1 gene:scaffold_703471.1 transcript:scaffold_703471.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHY0] MSSSNNIEILRKWMYNRINPNTGLVSDEYEAGLTQFMDFANYRLYEGSRI >scaffold_703472.1 pep chromosome:v.1.0:7:18993412:18995067:-1 gene:scaffold_703472.1 transcript:scaffold_703472.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyubiquitin [Source:UniProtKB/TrEMBL;Acc:D7MHY1] MVLMQIFARTLTEKTITLDAESSYTINNVKAQIQDKEGIPLDQQRLIFAGKQLEDGRTLADYNIQKESILHLALRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGILPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIEDKEGIPTDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNLKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGRTITLEVESSDTIDNVKAKIQDKEEIPADQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLCGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIVPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSF >scaffold_703474.1 pep chromosome:v.1.0:7:19013073:19013286:1 gene:scaffold_703474.1 transcript:scaffold_703474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEVATPAPTPIPSESIIVLAPTTTTTASVEIGNQNSSPDLRFFFRSDESSFLNFD >scaffold_703475.1 pep chromosome:v.1.0:7:19015545:19016976:-1 gene:scaffold_703475.1 transcript:scaffold_703475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVDERIGSESPPDDFGSFIHDPEYLELRDMVRKHSAEAYDRHGWTVSDDMSVCRAHLESGGSDFCQGMRDGEFLVRYDIGLCGRLGLYCYNFQKGKNLEFEGVRRIYTQYASKVFFTMEAVDPADNYSLYSLRTWVKHDEHADTVLTTWETCFCDVKDGEEADREWDNEAIDDRYKGEMPKWFSDDDLQHCYVLNGSEMMDPNLHLFAEFAFYKKWCQRFWPEDIAGCLPLVIQKVVVKTRGEAETEPPERLKAANAIFYICFKCVYDPVTGEEANYRAVVRKTMDGKPGHMRLEVICLST >scaffold_703482.1 pep chromosome:v.1.0:7:19148297:19148624:-1 gene:scaffold_703482.1 transcript:scaffold_703482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVGEEKMRNKVLVNDVERGKLGKHLKGLVKMLITRGKSGILVDVLMEFERICTELVTTKLCMGFLMIQKIVKEIISNPKFASLHKKCYTTCL >scaffold_703483.1 pep chromosome:v.1.0:7:19150808:19150998:1 gene:scaffold_703483.1 transcript:scaffold_703483.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MHZ2] MSRTGQTASRPVRSFLTSPVVEPVPELLFTPHLGHHSSCPVQKLEPHLT >scaffold_703485.1 pep chromosome:v.1.0:7:19196988:19197834:-1 gene:scaffold_703485.1 transcript:scaffold_703485.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MHZ4] MRANCVRRLALCFLVMAMLSLGGASSEYAYSNGHKNPEEVPDQWAPHVSQKINLAVRGASGHDYKWLSSDTRILVVSFYGVIQAKRPGIATLKAVSTFDSHNFDEVMIVSVCQHVCFHMDDMEPKLDNDQLAPNHTTIACMNMIFLINPKLKACLDMSVLVNSIAGGILGVLCYYCFCRIGNVMPNNHRSKKQFKRPSMRRIRNRRRL >scaffold_703488.1 pep chromosome:v.1.0:7:19225104:19225558:-1 gene:scaffold_703488.1 transcript:scaffold_703488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIKVYDSICSCYNDVKIYETCRQFTRMISALIQVMAHVEERKKVGASAFSIYKCLAIGITFEGLCDSAMPDIRLKLVAEIFDEVPDSGCFIQMSDPRGVDTVGVEFISQNDPS >scaffold_703490.1 pep chromosome:v.1.0:7:19256821:19257816:1 gene:scaffold_703490.1 transcript:scaffold_703490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MHZ8] MACCTFSNSALWFHPSTAISQENLLKPLLNLRLWIMPEPPNPLHPPDPPDPPDSSQSPSTSLFPCISLLSPLSSASFLSPPEISCSPSSSTIVCPAGQPCVSGDVLLHRSAKRDSKTMEMGPSLSSSLVGLVSSVLFVGLFLSPIDSNLVVCLTKASFGTLPGVSTGVPSSAMERPSSVMERPCSAMERSFSHISSFLEKSCPSSFSFEKRPISSILSDMENVSKSSALMAFRAQVVHSPLLAKEPASSSDILQDRVLTIDFKPIILEAPAMEFPVIFHGSNLLSFRFQALFFNLSHFQALDKASLWQRVSNFVCLL >scaffold_703495.1 pep chromosome:v.1.0:7:19308567:19308844:-1 gene:scaffold_703495.1 transcript:scaffold_703495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDYKVYFMSVNLHGIHKKDDDNNVKSSIIHKAKLISLNDDADHDLVNNIDTIFHCNGILLLLCIMWKSKLTQSRLV >scaffold_703498.1 pep chromosome:v.1.0:7:19332169:19335377:1 gene:scaffold_703498.1 transcript:scaffold_703498.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eIF4-gamma/eIF5/eIF2-epsilon domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MI06] MSSKEKPTLGGTRIKTRKRNIAAPLDPAAFSDAVVQIYLDNAGDLELVAKSIESSDLNFTRYGDIFFEVVFIGGRTQAGTVKSDEGERHTYSVIDCEPKREAILPSVVYIQKILRRKPFLIKNLENVTRRFLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGIVLSFVTDFFKEYLVENSLEDLISILRRGKMEDNLMDFLPPVRRSAESFAEHFTNEGLTDLVEYHSKKMFEVKLREIKTVLTSKVTEESNVDEVIESVKQQIKEAKLPDIEVVRVVWDGLMDAVQWSGKNQQQNANSVLRQVKTWAPLLNTFCTSGKLELELMYKVQMQCYEDAKLMKVFPEVVRSLYELDVLAEDTILHWFRKGTNSKGRQTFVKSLEPFVNWLEEAEEEE >scaffold_703502.1 pep chromosome:v.1.0:7:19380352:19381464:1 gene:scaffold_703502.1 transcript:scaffold_703502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSFLLATVKWLRKKKKVSPKAEDFERRTIAMVKPYSKPTGFDSIPEDLLMKIFARLSAKYVARLMYVSKLWYSLIASRYFTKLFLESPSSAKRQRLLVSLVDMAAPRHYKFLSSSSTHDDHSDTSVCVMDQVLNMPGLEGYFVNALWGLICVRLGRSLRIFNLTTRQRVILPVLRSKLLTQENIWNAFGHDPVQDEYKVLSTFWEVMSEDEGRVVRSDHQVLVLGRGASWRNTNGQIYPHFPYTQGCTMAINGVMYYGGWVAKNTSVVMSFDLATEDFTMIELPIEAGIIWQASRANLMNYGDKVAVSEYSRLPSDGTIDLWVLEDARKRKWSNKDSGITPIPNEFCPWQ >scaffold_703503.1 pep chromosome:v.1.0:7:19383055:19383753:1 gene:scaffold_703503.1 transcript:scaffold_703503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEVCVKAASGAPDVLGDCPFSQKILLTLEEKKLPYKTHLIDVSLKPDWFLAISPKGKLPLMKFDDNDEWVADSDLIVGIIEEKYPDPSLVTFPPEYASVGSKIIGAFVMFLTSKDHANDGSDMALLDELEALDHHLRTHVGPFVAGDKVTAVDLSLAPKLYHLETTLGHFKDWYVPESLTNVRNYMKVLFSLESFEKTKAAKEYVIAGWAPKLDV >scaffold_703504.1 pep chromosome:v.1.0:7:19383996:19384928:-1 gene:scaffold_703504.1 transcript:scaffold_703504.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:D7MI14] MAARGALLKYLRVNVTPSLQISSSITSPPLYVLLRRFSEEVRGSFLDKSEVTDRVLSVVKNFQKVEPSRVTPKAHFNNDLGLDSLDTVEVVMALEEEFGFEIPDNEADKIQSVDLAVDFIASHPQAK >scaffold_703506.1 pep chromosome:v.1.0:7:19391925:19393793:1 gene:scaffold_703506.1 transcript:scaffold_703506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGQENDRRQRPSDSGPAVFPFPKLTYDSISAYNLKDSILLEMQPATRHAVQEHLITAAATTGTLVRKGITETKEKVSVGKIKMEEATKKTAQKSKTILTDIERWQKGVASSDVFGVPIEIIVQRQESNRPIPLILIKCAYYLILTGLNSSNLFKAEGDKKLIQQLVSAYNQDPSASIPEGVNPVDVAALMKYYLATLPTPFQAP >scaffold_703509.1 pep chromosome:v.1.0:7:19446680:19447340:-1 gene:scaffold_703509.1 transcript:scaffold_703509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGYEGMVIPLKRMIHLSHELDLTQLGAFDSSRHERCYSLLSTELSTSLLKETLIDFSQRRILLFVMFLDLIPSLNLLPKDLFLLLLFCFHHRLYYTTLQIRKPPREFNVVIDTGSNVLWVSCISCVGCPLQNVTFFDPGASSAAVKLACSDKRFFSDLLKKLVITS >scaffold_703511.1 pep chromosome:v.1.0:7:19472950:19473802:1 gene:scaffold_703511.1 transcript:scaffold_703511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKFSPFLLPSLMIFSLILMPMISALMQFKPYIEPCLKGCRSQLECMQKCMSMGHPKGGDCLGDQYGRYCCCIAGLVSQNKFPISSLPNY >scaffold_703512.1 pep chromosome:v.1.0:7:19474899:19475432:1 gene:scaffold_703512.1 transcript:scaffold_703512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENEGIEPNLIMLNVLINAFGTVGKHMEALSIYHHIKESGFTPDVVTYSTLMKAFTRAKKYEKGNGSLWVYCRSESKTTIAKCFYGS >scaffold_703515.1 pep chromosome:v.1.0:7:19484537:19490586:1 gene:scaffold_703515.1 transcript:scaffold_703515.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MI23] MFETKKKKGFDLNECASIEDFSESERETDSLVDDVMERTPKDGNVENVSEIKDKKKVKVKPIEREILITRRVLRSGSVAKDLVKSDKHCKAVKKKGKCADKGDKMGKVSGRSSVDEVREEVEHEHLKSPVPEEICGDDFGSQVKVESTDDRSDDGNGSFEPHGKEVKVQRKRGRPRKFGISSQSDDNGSISNCKLGTSLDKKEELVRDDNDAKLIGGSCFNKEKVEVKLEHGEYAEAKEIPGPDFRSQVKIETKDDECGGSVKPHDEELQVKRKRGRPRKLQISSQSDESRPNTNCKLARTPELSSPSSVDRISLSRRRGRPSKTKETAVGLYIEKDNVNCMHGSPLIMPDQSMSDSIDESRRSKRNCRAKGPESDGKKMVRKRGRPPTPQKKRKSGMTDESVCKEKKRLKLCESPLESQNNNSSIDGERMIGEQHNKQTEAGTQSRSKSKKMLSDRILQLLLAAGWTVEYRPRNGRAYEDAVYLNPEGKTHWSVTKAYQVYKKHLESSMNDQMNSTTGSGCGLLPEEDLHLLGRRIQKKRSDTGKHRPKLKDRDTNENVVSTKGRGKRSLHGNRLLKGIMQNKRKGDHGYHNVERISVSVRKIKREEKHNRKRCTPSARSSLEDADAKDGGYILFEGKRTMLGWMIDSTIVPLNGKVQCMDCKKTDMLLEGIITKEGIRCNCCDEVFSVLDFEVHAGGKRNQPFKSLYLEGGNSLLQCLHDFMNKQSESQHKGYHFVDFCSGDPNDDTCGICGDGGDLICCDGCPSTFHQSCLDIKKFPSGAWYCCNCSCKFCEKVEAAIHDTSALHSLSSCRLCEEKCSNHYPHTLADHQACINQDGTVPGERSTDSFCGKYCQELFEELQLLIGVKHPLPEGFSWSFLRRFELPSEVADCDISEKIAYNAKMAVAFSVMDECFSPLVDHRSGVNLLQNIVYNFWSNFHRLNFSSFLTAVLERGDEIIAVASIRIHGNQLAEMPFIGTRYMYRRQGMCRRLMDGIESALGSLKVAKLVIPAVPELIDTWTSGFGFTPVNESEKKTIKNLNLLVFPGVDMLGKSLVKEQITDSIVSSSNVDSCLKLRNVEEGDNGTENLKLFNESVEEKEEIRKLTDIDINSLPDEVDDSHADQTDIKRHESGDLEDKTPLSDDGCGGKAEGTEESDQQPDYNMIDHSQPLGNGGTGEEIVNKNLTLKREVTTTLRTSPRLIQRSWRTSRVNQKYTGTTNAVLLDSPHRCV >scaffold_703516.1 pep chromosome:v.1.0:7:19492073:19493927:-1 gene:scaffold_703516.1 transcript:scaffold_703516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFVSFVVFIVSMLSVESTIHMKSIKLSENVIYDCVDIYKQPSLSHPLLKHHNIQMKPTGWDSQSENKFADRKHKNKIECPNGTVPILRTKKKHVIQSQGYPINNFTVLTAKYPGTHIAGMKIVGKHNYRGVEAGLRTYNLIIDKNQSTSAQAYVATASNDDANSIQVGWMINEQLFAFAATTCVCRSVTPQLLASTKRTFIYAATKRTA >scaffold_703519.1 pep chromosome:v.1.0:7:19514819:19515197:1 gene:scaffold_703519.1 transcript:scaffold_703519.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI27] MASPTVLRKKKLLDTNTETLIPTLHSGAGGALTRQRRDLSSACFAFCHFKSLGSFLLLVNFVLPFESILNPLEPFASDEKLNNQQYMEEVVSGDFHPSSSTCFSLAKAYIR >scaffold_703520.1 pep chromosome:v.1.0:7:19515219:19516363:1 gene:scaffold_703520.1 transcript:scaffold_703520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLTSGPSDAPCLMESSTVHELIDQGHQLEHNSPILLWLYKKSMRSLPIDSHRTIFHETKSLKENGSMFPSLRSRWCDDCHRSFLNNLSTTPLDTEFKQLLTNNKYKAPIIRDSQEAFKNLTKDLDMLPHVLLRIQSDYRTVVLFFLADERLHLAILVPARISAMESYSTSPSLTTVTIVSSNASFVDEPSINRVIICANCLQVLMEPLSNYFIYPSLALENASLSCVLTFYLFLSLVSLNLVFI >scaffold_703523.1 pep chromosome:v.1.0:7:19539330:19539544:1 gene:scaffold_703523.1 transcript:scaffold_703523.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI31] MECSLFSSLSPPSSDSLKSSDFASSFDPSDPLTIKGIKGTNHTFTTKLYPLQCNYCI >scaffold_703524.1 pep chromosome:v.1.0:7:19541841:19542188:-1 gene:scaffold_703524.1 transcript:scaffold_703524.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI33] MALLLGCSFSFQGFNKLPMLSQGISLSHKRVAFPPLSILMLPRLSLSYKIINSKKDDEDTKEDEMSEEATKEVDEEEKKEVTEKYEKFFENLKRFISLQY >scaffold_703526.1 pep chromosome:v.1.0:7:19551092:19551487:-1 gene:scaffold_703526.1 transcript:scaffold_703526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFASIIFLFFAALVVFASLEAPMVEAKLCERSSGTWSGVCGNNNACKNQCINLEGARHGSCNYRFPYHRCICYFDC >scaffold_703531.1 pep chromosome:v.1.0:7:19588320:19588677:-1 gene:scaffold_703531.1 transcript:scaffold_703531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMRKALYNVGFWIRETGQALDRLGCRLQGKKHFREHRTNYNGYYERRGI >scaffold_703532.1 pep chromosome:v.1.0:7:19593339:19593529:-1 gene:scaffold_703532.1 transcript:scaffold_703532.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCU5] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_703534.1 pep chromosome:v.1.0:7:19606978:19607181:-1 gene:scaffold_703534.1 transcript:scaffold_703534.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI41] MAWIIWKIRKQRNELVYENVQDDLNVLIHKAGEDSALWDSQSQLTKSLMVK >scaffold_703542.1 pep chromosome:v.1.0:7:19656895:19657334:-1 gene:scaffold_703542.1 transcript:scaffold_703542.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI51] MTPSQEERRHHDEAKGRTLDQRKSPGRRRFRADLLTKACSAEKKRDTWTGDESLYHCPAPSTGSGGRGQEKPVTGKPAVAHGEPSTHTTRTKEETTLQSLQEHMRRREQVTKSPLTVSVGTKHRRGKGGGD >scaffold_703543.1 pep chromosome:v.1.0:7:19680316:19684158:1 gene:scaffold_703543.1 transcript:scaffold_703543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTQNEDGSGNHSWGCLRNLVRRKQVDSSNGKTETHHHQQLAKALTFPHLIAIGVGSTIGAGVYILVGTVAREHSGPALAFSFLIAGISAALSAFCYAELSSRFPSAGSAYHYSYICIGEGVAWLIGWALILEYTIGGSTVARGISPNLAMIFGGEDCLPTILARHQIPGLDIVVDPCAAVLVFIVTGLLCLGVKESTFAQGIVTTANVFVMLFVIVVGSYLCFKTGWVGYELPTGYFPYGVDGMLTGSATVFFAYIGFDSVSSMAEEVKNPQRDLPLGIGLSLMLCCLLYMMVSVVIVGLVPYYAMDPDTPISSAFASHGIQWAAYLITLGAVMALCSVLMGSILPQPRILMAMARDGLLPSFFSNVNQRTQVPINGTITTGVCVAVLAFFMDVSQLAGMVSVGTLVAFTMVAISVLIVRYVPPDEKTHPVTSSSSSKQPLLCKADASIVDKENAQGGWVLNKENRRKLAGWSIMFTCTGNFLLSYAASSFLLPGLLRYSLCGVGGLLLLVGLIVLSCIDQDDARHSFGHSGGFICPFVPLLPIVCILINMYLLVNLGAATWARVSVWLFIGVLVYIFYGRRNSSLVNAVYVSSAHVQEIRRTSGHSLA >scaffold_703546.1 pep chromosome:v.1.0:7:19698946:19699353:1 gene:scaffold_703546.1 transcript:scaffold_703546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRLNLDSFEDIFLKCPIKAFIYSYLKNSGEQFIRVDDEVSVYDPNSSTVSSHALPEEFRTRYETNGVCQIFHCNGLILCQIYRSASNRRDRKLSIWNLVLKEF >scaffold_703547.1 pep chromosome:v.1.0:7:19703221:19703492:1 gene:scaffold_703547.1 transcript:scaffold_703547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIHKNNNKMGQRVVTKRINRAEMVFKMAQLCLQKIRDVKAFFVDLFLQLFLLSVFLLLKCIRKIIVTVREIYCK >scaffold_703549.1 pep chromosome:v.1.0:7:19712159:19712471:1 gene:scaffold_703549.1 transcript:scaffold_703549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHIDYFLAFPWGRKSFIDTFSRFGPPLISSATETLTSRLLQNKCACYKGPLPLQLLAFQTTFLYFCTRPPHLMTQLPFLQIQKYANLC >scaffold_703551.1 pep chromosome:v.1.0:7:19716115:19716415:-1 gene:scaffold_703551.1 transcript:scaffold_703551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPTRNKRKERCSPEPQTQPPSENLSSSSLAADNMPPPSTLAYILPPGYQFVPSDQQLIFCYFETLLGRLQERVAQCSYSSREYL >scaffold_703552.1 pep chromosome:v.1.0:7:19719131:19719582:1 gene:scaffold_703552.1 transcript:scaffold_703552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSILLMLVTITCFRLNQACQKNRVEILNQLEPGRILEYHCRSKDDDLGVRRLNFNATPFTIRFHDEIPNLTKWECIFRQGPAMEYSFDIEAYKAGPRLIPRCGQLRVWAARIDGIYFARKYNTPLVRVLSWNKN >scaffold_703554.1 pep chromosome:v.1.0:7:19729768:19730194:1 gene:scaffold_703554.1 transcript:scaffold_703554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTITCSGINQACVENHIVILNLLAPGRILEYHCRSNDDDLGVRRLDFNATPFIIKFHDEIPHLTKWNCIFRQGPNMEYSFDVEVYKAGPRLIPRCGQLRVWAAKINGIYFARKYNTPLVRVLFWNE >scaffold_703555.1 pep chromosome:v.1.0:7:19802217:19803722:-1 gene:scaffold_703555.1 transcript:scaffold_703555.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MI66] MFTNNQRQEERTGKHGTPRLQYLQELVSQFQNATDEETKERIVANLANFAYDPYNYTILRQLNVLELFVDCITEPNEKLVEFGIGGLCNACAEPKNVATIVEVDGIPFIIKCLSSPVRNTVNYALGALYYMCDYNRATREEILKAEVVDLIERYAAAESVSVSFSNLAKAFLDKHVHTNT >scaffold_703556.1 pep chromosome:v.1.0:7:19819317:19819563:-1 gene:scaffold_703556.1 transcript:scaffold_703556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENLCGKIRKDCAGVMGKRKILRKENDYGDISRFVEVASIWVHVFITELAPLPRPEHTSTIKVQITS >scaffold_703558.1 pep chromosome:v.1.0:7:19826690:19827354:-1 gene:scaffold_703558.1 transcript:scaffold_703558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDTFVKVTTKALLLPQHLGFENKVFVCLHNVVNELAEDQNGIVTYLGTFSDPLMSRIELKPSCFCSHYISQHLDVFITNPQFCRMLSERIALDCAPLPQPFSVTFYVKVIRDVRIMLPSIAVRSKDMFQRLLEEQTVELTGLGDEEETTCSICMEDFSESRDDNIILLPDCYHLFHQSCIFEWFKRQRSCPLCRRVPYEEDHETE >scaffold_703559.1 pep chromosome:v.1.0:7:19829469:19830171:-1 gene:scaffold_703559.1 transcript:scaffold_703559.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETLRIQVATRMSNLPPNLGFTSAVIIKLHEVIEELLEDVTGTTHVASYVASLSPISSVLLKPRSFLPEKLSRLLRRQLNRETPFCEFLAEKISFCRFSRANYTLYQRPFLMSVNVRVVKEVRFVLSSVSAPLSCAPVDVFQRLLEEQTVEPARDLDESCSICFEKLSESSSGSHHNSIIQMPKCLHSFHQNCIFEWLARHNSCPLCRRVPFEEDQD >scaffold_703562.1 pep chromosome:v.1.0:7:19892746:19893965:1 gene:scaffold_703562.1 transcript:scaffold_703562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDKIRFESLTDKSKLDGQPELFIHIIPDKTNNTLNIIDSGIGMTKAVATPKQIRDLMKVKVDGLTNDEVKSHLQIAHKKTSYSGSNKRWRKSTTTAIHGGGRNCAVARYNE >scaffold_703569.1 pep chromosome:v.1.0:7:19942178:19942497:-1 gene:scaffold_703569.1 transcript:scaffold_703569.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MI80] MFYFVLLPLILLLLAYKFLSSKTHHFNLPRQPLSRPVVNHCPPKSTDQSSPSVLARVVVYLLLPSPKNILHRPKTTLFSQPDPPTHRQPHRL >scaffold_703574.1 pep chromosome:v.1.0:7:19963779:19965553:1 gene:scaffold_703574.1 transcript:scaffold_703574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLHAVSAVLHNFSKQEFLEQRRVVLEKYSRRLYAHPVIRNSEEWKVFLQVQGKLPLPMGTNVASRMLDGVVKLPKQLFGDGRASAVPVHEVVQPLTKLENEEAVSNAQRTRANEIKNLATAAVKASRFYRVEFPDCQTFEKLGNVWEKVAEETSQYDR >scaffold_703575.1 pep chromosome:v.1.0:7:19965933:19968894:1 gene:scaffold_703575.1 transcript:scaffold_703575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKEKTGLLDRIFSWSIKDILNKDLYKQKIKTIPDRFSSTDEYFKCFVPHLLEEMRTALCSSFKSISKAPLFKISSLEKSTHESSGSCNNFLHTLKLMDGENDAKYQPHCGDLIALTKTKPRSFRDLNPLLLAYVSVDNHPKIWDEKRERHPNISVILSRLMSHDEKVSLGFGVFLMNSTTNNRIWNALHHEAPNFDFIQSILQPNTAGIKQTVSSRNLGQNVLDIIRSTNLNSSQKSAILSCLETRNSKHKNSVKLIWGPPGTGKTKMVSTLLSALLKLRCKTVVCAPTNTAVVEVTSRLLALSKTSSEHASYGLGNIVLAGNHNRMGIKNDDDLRNVFLDHRISSFQKLFLSPYGWKQRFESVIHFLENTEAEFKEYVQFVKKKFNGLSEGLEKDMVDLCTHLPKSLISSNDVKKMIEARQALHRVRYFLQENSSTFDSKKGSFKRIISVDCIQALSLIPKRFKVPELFENEEDIRKFCLQNADIIFCTASGAAEMNGERTGNVEFLVVDEAAQLKECESVAALQLQGLRHAVLLGDEFQLPAMVHNDECEKAKFGRSLFERLVTLGHSKHLLDVQYRMHPSIISFPNKEFYGGRIKDAANVQESIYEKRYLQGNMFGSFSFINVGHGKEEFGDGHSPKNMVEVAVISEILSNLFKVSSERKINMSVGVITPYKGQVRAIQDRIIDKYSSLSGELFTLNVRSVDGFQGGEEDVIIISTVRSNCNRKVGFLSNRQRANVALTRARHCLWVIGNETTLARSGSIWAKLVRDSKRRKCFYDAKDDKRLRDAMNDALLKVDKSDVCARLSNLWIKKKGRK >scaffold_703580.1 pep chromosome:v.1.0:7:20009304:20009814:1 gene:scaffold_703580.1 transcript:scaffold_703580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNAQAPSQGSWCVVKPGTPIQQLLKNINYVCSKINCDILSNASACYSSLNLYNLASVSMNLYYQSQGRQFSTCDFGGSGLISVTDPSCGCCKYEFHK >scaffold_703581.1 pep chromosome:v.1.0:7:20019084:20021421:-1 gene:scaffold_703581.1 transcript:scaffold_703581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIITRLERFHHSDIRRLLSGIIVTVGFVVLLVQCFGIPCPKTFCLSPVTVSVIESTNSNVTQVSVMNYINLSDIDNVDEEFEEGNKKKDLDGENDVVISKEKVEINVSVIARANISLRKPIDSSESDPNLVMVRVKDSRNGNVLSMRKNERGAAISISQMNSLLIQSLSSFKSPKPRWSSARDSEMLSARFEIEKASVVHEFLGLNASVYRNISKFLRSYDLMERKLKVYVYKEGGKPIFHKPLPRGIYASEGWFMKLMESNKKFVVRDPRKAHLFYIPINHLKEYVDLIAGKYKFWNRTGGADHFIVACHDWGNKLTKKTMKNSVRALCNSNVAQGFRIGTDTALPVTYIRSAESPLEYLGGKTPSKRKILAFFAGSMHGYLRPILVKLWENKEPDMKIVGPMPRDPESKTQYREYMKSSKYCICARGYEVHTPRVVEAIINECVPVIIADNYVPPFFEILNWEEFAVFVEEKEIANLRNILLSISEERYIVMQARVKAVQQHFLWHKKPVKFDLFHMILHSIWHSRVYKVKTR >scaffold_703583.1 pep chromosome:v.1.0:7:20036493:20039789:-1 gene:scaffold_703583.1 transcript:scaffold_703583.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:D7MI94] MVLLHSMTSLLLVFFFLSGVSPVISDGSDHRYKVGDDVPLYANKVGPFHNPSETYRYFDLPFCSSAPVKEKKEALGEVLNGDRLVSAPYKLEFLGEKNSEVACRKRLSREDVAKFRDVIAKDYYFQMYYDDLPIWGFLGKVFKEGKTDPSEYKYYLFNHLQFEIFYNKDRVIEIIVRTDQNFLVDLTEDKEVQVDFTYTVRWKETEIPFEKRMEKYSLASSMPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAVDDQEETGWKLIHGDVFRFPKHKSLLAAALGSGTQLFTLAVFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTAASFYCQLEGTNWVRNVILTGSLFCGPLLLTFSFLNTVAIAYQATAALPFGTIVVIFLIWALVTSPLLILGGIAGKNRKSEFQAPCRTTKYPREIPPMRWYRRTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILSIVFLILVIVTAFITVALTYFQLAAEDHEWWWRSLLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGAIGFRASLLFVRHIYRSIKCE >scaffold_703587.1 pep chromosome:v.1.0:7:20059164:20059418:-1 gene:scaffold_703587.1 transcript:scaffold_703587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIAEVNRLKVAAGEGMTNPSQFEGSLMQPLDPNMFQQQLNINEFNQQQPLDPNMFQQQCNINEFNQQ >scaffold_703590.1 pep chromosome:v.1.0:7:20073397:20073659:1 gene:scaffold_703590.1 transcript:scaffold_703590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIFENRMINVLLAHHLDKKKVVWIQSGNDFVDLLYSFLTMPLGTIVRLLENHGILREKVSVGCLNNHF >scaffold_703591.1 pep chromosome:v.1.0:7:20075018:20075738:1 gene:scaffold_703591.1 transcript:scaffold_703591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIFENRMINVLLAHHLDKKKVVWIQSGNDFVDLLYSFLTMPLGTIVRLLENHGILREKVREEFASRLGGCNDYDGVFVHGDGNFAFVMSDDLIIENFSWDLFLKPVKDLGCVNVLDELVEGEAEIGFRFAK >scaffold_703594.1 pep chromosome:v.1.0:7:20091849:20094971:-1 gene:scaffold_703594.1 transcript:scaffold_703594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIQTNGRAYESLLEKVLSMNILSSDYFKELYGLKTYHEVIDEIYNQVNHVEPWMGGNCRGPSTAYCLLYKFFTMKLTVKQMHGLLKHTDSPYIRAVGFLYLRYVADAKTLWTWYEPYIKDDEEFSPGSNGRMTTMGVYVRDLLLGLYYFDTLFPRIPVPVMRQIVSNLEKMNLPTKPSGSTGDMTRGSEDTARRPPSVKASLSVSFGQRAPHRASTRGSSPVRRPPPSGYDRNGGDEVKQRSPRRSQSRDYYSDRDSDRQREREREKDRERDRDRDRERERDRYRERERDYGNDRRSRRDYDSRSRRNDYEDDRSRHDRRSRSRSRSRSRSVQIEREPTPSNKEKSAVTVNSNLAKLKDLYGDASSQKRDEGFGTRRDSSSEEVIKLGGSSWR >scaffold_703596.1 pep chromosome:v.1.0:7:20103873:20105250:1 gene:scaffold_703596.1 transcript:scaffold_703596.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MIA8] MFRVFIVSSAENIPLLAEGLYVVPMHPFTYYNDWDLLPGPLGGMRICASYVEISDAGKAFACRRRTVPSILASSAANFDNVVLLESSFPAAPFSSFTLRRKVSKPVTGDSYPPQRVQLFVADVFSLLPANPDIFSVLNRRLYSIHSVIFHRSPLSVATLQIRHVFLANLCFRHLPMDYLSRSISGFTSDASFCFILPELILQLSFKVPESFPRKSSFSTVASRICLITLKICPCNLLISLVMRGQESFSAVSEDPFR >scaffold_703600.1 pep chromosome:v.1.0:7:20114749:20118150:1 gene:scaffold_703600.1 transcript:scaffold_703600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSNLPSKAREILVNPSYEEIAMIVNQLFMRQDSVQYETSRILYNFWVSHNPSCLTLKLLKLYQSSSNGVLRFRSIYQLSETLTEFKFKPSLDSLYEIKPLLISFLTNQETKESDIKILRRIVSCVAYNVVDLHKDKWDELSDCILTLANSEPLKAFHVFIDLPRVYKEFIDKFLETILQRAENVLLSPVRVQDWSLAFQTFVKMWIQLVDTGMNFKKIRALMAARLLSVVKSVIELVNEEEEEFLVQGLEDFERFFSRDMNLYHYTKDQYHFVLASMIEIERVVRGTETKEIVRKIKMLVTEPENPAIKPQDGLKYRRDEFESSWYDYLKSLSSLEVLKIFASTDLEDRSREIAIRRLNVLLSNHFSKKAPIVISEFRKLQPLLISCLKEEGISDSVFKVLGQVVNHVANEMFVYQYESWDDLRDYFALQSETEFRRAVFVFQCLTIPLIDDDFLNPVIKNLCPVITTMLDPPTELLVDNSCWVLAFTSAFCAVIHMIEDPGYAESPKEIAYKMIESVRKLVEREMEVGLVRRAFRDVEAIVKKQLEWYSTNEYKFVKGLLWRLYDLPGALDDAAVTEALELHKIKNRQLAIFKTCAVKGQGLFDWLSNTLKSGSECVIRMLNMNQPMNPNEECYKALTYDDFYEKIIAPLIQVKDLRKQGVTNLLVCKYNNHYTCHRQRW >scaffold_703601.1 pep chromosome:v.1.0:7:20120807:20123719:1 gene:scaffold_703601.1 transcript:scaffold_703601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNSLYESDDDEEEDDFGDDLEELRRACTVSVSHAGSDKFTAKTDSIEPDGGGGRGIASDFEMLRTNVSLPLIGLSDDEEDETLLAIQRRFSAYKSFDPEGNFMNDSPRKKRQVQDGHSVEVHEKTSIEPPDHLKTCQLPLKPAAIASFPEAAQAFVDAIRKNRAYQQFLRRKLVEIEATIEQNVIHQKNVKIVKDFQGSCKRITKQALSQRKDPRVRLISTRKFEPCDGSEDNDEKISPSMLGPRENPCVANYRMALEKYPVLEERRKWSTEENKNLAKGLKQQVQKILLSEAIEQSSDWEGSTYDIDTINESIGNLEITPEMIRQFLRKINWDSLDIKDRSSAECEARWMSSEDPLINHAPWTAAEDKNLLRVIEKKSLTDWLGIAVSLGTNRTPFQCLARYQRSLNPSILRKEWTAEEDDQLRAAVELFGDKDWQSVANVLKGRFGKQCSNRWKNSLCPTRKGRWSSEEDKRLKVAVTLFGAKNWPKISSFVPGRTASQCRERWLDSLESKKNCGKWTEEEDQKLREAIAVHGYSWVKVASHVSLRTDSQCSRRWKTLNPHLVQLLLEARKLRKEATAGNFVDRESERPAYIAGPILALPEISLAPESDIAALEKKRKAK >scaffold_703602.1 pep chromosome:v.1.0:7:20145855:20146645:1 gene:scaffold_703602.1 transcript:scaffold_703602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPHPRSGDYRSFFSPIYPLPLFSETIYVQINYFREDFHPFKSLILSTFILARNLVKALPRVVLLVPARISTLVHPPTPLRLLIVANFFSVDSLLEDSSIIFDLTCTKMLHNFWLKTLKKLLSINFIYLFIYFMLALGKGPLCCY >scaffold_703603.1 pep chromosome:v.1.0:7:20150438:20150657:-1 gene:scaffold_703603.1 transcript:scaffold_703603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAIISLDFLLDTNSNNFQHPCVSNKEHYSVVQESVNNSALNQLMQIKELYGYDQNNM >scaffold_703606.1 pep chromosome:v.1.0:7:20212370:20212560:-1 gene:scaffold_703606.1 transcript:scaffold_703606.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIB6] MEVARSRRTAVTNHDGARWHYDDVVMSGCDISCRLCRQESISDEIKVDE >scaffold_703609.1 pep chromosome:v.1.0:7:20226933:20227152:-1 gene:scaffold_703609.1 transcript:scaffold_703609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAIISLDFLLDTNSNNFQHPCVSNKEHYSVVQESVNNSALNQLMQIKELYGYDQNNM >scaffold_703611.1 pep chromosome:v.1.0:7:20234523:20236156:-1 gene:scaffold_703611.1 transcript:scaffold_703611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYTVHLLGCSLNLLGKRKPEDDLETKPILKKHKETSEEKETMEGFADSLQHTNIISVMSCANTLACYIQEAATTKTLYVANLYRNIKISDIINFFKDVGEVVHVRLIVNSKGCYACWCFVEFASANEAEKNGEYLDDRKIFLEAVKTVPFSPPKYEDYLRQESLLIEEDEAVEGLEFFTTHFYSIKFFEDVGEVVHVRIIVDHMGEHVDCGFVEFTSSNEAEKVE >scaffold_703612.1 pep chromosome:v.1.0:7:20236852:20238614:-1 gene:scaffold_703612.1 transcript:scaffold_703612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDCILTLANSKEPVKAFHVFIDLPPVYKSFIDKFLHKILEEASNVFLDPYRVEDWSLALQTFVKMWIQLLSTGMRFELLRALMEIRVSSVVNSVIDLVNKEKEQFLVQGLEDFERFFSRDMNLYHYAKDQCYFVSALVIKIDGVGSHLTKEIVRKIKMLVTEQDNPAIKPQDDLKNSREEEFDRGWYDHLKNLSSLEVLKIFASTELEDRSREIAIRRVNALLSDHTLKKVKIDIAEIRKLQPLLMSCLKEEGISDSMFKVLGQVVNYVAYEMLVYQEETCYELRDYIASSETEFQRAVYIFQCLTMALVDDDFVIPVMENLFPEIITRLNPPTELLVDNSCWLLVFRAAFCAAIHLIEDPGYAKSVKEIAHKMIDSIRELVEREMEVGLVRRAFRDVESIVKKQLEWYSTSQYKLVKGLLWRLYAIKGMKWESKIVLWRINVIVERGVKEVEKELPETEFDWLNLTDDEFE >scaffold_703614.1 pep chromosome:v.1.0:7:20258502:20258894:-1 gene:scaffold_703614.1 transcript:scaffold_703614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDFFDGKEPNKGTNPDEVLAYTTAVQGGVLSGEGGEETQNILLLDVAPLHLGIDTVGGVMTNIIPRIPTKKSQVFTT >scaffold_703615.1 pep chromosome:v.1.0:7:20273338:20276974:1 gene:scaffold_703615.1 transcript:scaffold_703615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEHYLQRLVEETTSYNNSLVNNFFPTALWPRLPHVVQTFVRNYIVSLATYFLLCSLWCFYFYHWKHDVHLPKEDIPSRRSIIQQIKLAMQSMPCVTIVPTISEYFIEKGYTKCYFSITEVGLIAYITHIIVHLILVELWIYWIHRAYHDVKPFYKHFHFVHHRFNTKTSLSPFAGVAGHPVEAVLNALSYTIFLFFVPMHFTTEIVLISLNGIWTFYLHGCLETKMWPFLTSDYHTMHHIMHRYNYGNYTILMDWCFGTLRHPVEEAKLK >scaffold_703617.1 pep chromosome:v.1.0:7:20294491:20296360:-1 gene:scaffold_703617.1 transcript:scaffold_703617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLNLKARELLATPSHEGAEILVTQLSMPQETNEYQTAFALFNFCADTFPNCLTLMFLKLYRYSSNGVIRFHTIYLLSETLAEFRLSRVALHEIKPLVIECLAMQETKKSDMKYLRRIVSLITYNVVTCNNGGWFELSDCILWLADNEPVKAFHVFIDLPPVYKIFIDKFMHKLLEKAEKVLLDPVKINVEDWSLALETVVKMGIQISYKDMRYVKMVENLLSILGKSVKELVEKGKEQFLVQGLEHLEKFLEVDKNLYNYNKEQCHFVMAFMFRIKRFGTQSKEVLKKINRLVQTPGNRAGKHSRLKINPLVNTPGNQDHGEKFDHLNTLSSLEVLKIFAATDLEDRTREMAIRRLEVVLSDHTSKRVEIDFSEMRELQPLLNSCLRQEGITDSMFKVLGEVVSHVAYEIFKHQDVTWYALRNYIASSKTEFKRAVYIFQCLTMPLENEEFLIPVIEVLLPEISTRLTPPTELLVDNSCWVLAFTGAFFAAIHLIEISSHAESLKEIAHKMIDSVRKLVERGMEVGLVRRAFRDVESIVKKQLRWYDTSEYKLVKGLLWRLYAIKGMKWESKIVLWRINVIVERGVDEMVKELPENEFDWLNLPEP >scaffold_703618.1 pep chromosome:v.1.0:7:20297305:20299243:-1 gene:scaffold_703618.1 transcript:scaffold_703618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSNLMLKSREVLATPSHKGLEKIVETLSMFQDFNEYESAFALLNFCATNFPNCYTLMLLKVYRSSSNGDIRSHSICFLSESLFDFRTRNLELSRIALHEIKPLVIECLLMQETKESDMKYLRRIVSLITYYVVICDNGGWFELSDSILWLAENEPVKAFHIFIDLPSVYENFIDKFMKIIVEKAEKVLLNPEKDRVQDWSLALETVVKMGIQILDTEMRVDLIKNLINTLVKSVKELVEKGMEQFLVQGLEHLERFVSRDKNLYNYNKEQRHFVMTFMFKIKDFVTQSKEIVKKITQLLKTQDIAASKPVVKVKPRENQDDGEEYERGLFDHLKTLSSVDILKIFVSNVVDDRSREIAIRRLEVVLSDHISKKVDIDIAEMRQLQPLVISCLKQERISDSMFKVLGQVVNHVVYEMFKHQDVAWDGLRDYIASHSKTKFQRAVYIFQCLTTPLEDDDFVIHVMENLLPEIRIRLNPPRDLLVDNSCWDLAFTGAFCATIHLIEIPSQAESVKEIANKMIDSVRELVERGMEVGLVRRAFRDLESIVKKQMEWYGTNEYKFVKGLLWKLYAIKGMKWESKIVLWRINVIVDRRVNVEVKKLPKGKLDWLNLPEGEGCVQSEILLADYGF >scaffold_703625.1 pep chromosome:v.1.0:7:20374802:20375772:-1 gene:scaffold_703625.1 transcript:scaffold_703625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNAKRKVNLNGVILLFSTFFCAATIQLNPITTRENNNPVPCNTHGSRAAVINGGDTKLSVEQRFMRPRPPNAYCVRIEACSELLTTSPSVEKYETRPFSVGGFNWTFILQPSGNKTNLGTWISAYVAIDPSGLVGENREVYADLKFLVYSKAYDQYLTSIDTEMRRFHQFRTTWGTPNFTRHFDFNAKDKEYIFDNDQCVFGVDISVYPYFNKWEVLSIDKTVYGPKSWKLKKFSTLIKDFYMSDEFSIGGKKW >scaffold_703629.1 pep chromosome:v.1.0:7:20425231:20428539:1 gene:scaffold_703629.1 transcript:scaffold_703629.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1467 [Source:UniProtKB/TrEMBL;Acc:D7MID5] MGLGILASRTIRPASRLLQSHNSNFFLRTIVSKPELQSPESAAVSEPEPPTQILPPRNPVGGARVHFSNPEDAIEVFVDGYAVKVPKGFTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPIAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFASEVAGVQDLGILGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKATETIDVSDAVGSNIRVDSRGPEVMRIIPRLNEDINEEWISDKTRFCYDGLKRQRLSDPMIRDSDGRFKAVSWRDALAVVGDIIHQVKQDEIVGVAGQLSDAESMMVLKDFVNRMGSDNVWCEGTAAGVDADLRYSYLMNTSISGLENADLFLLIGTQPRVEAAMVNARICKTVRASNAKVGYVGPPAEFNYDCKHLGTGPDTLKEIAEGRHPFCAALKNAKNPAIIVGAGLFNRTDKNAILSSVESIAQANNVVRPDWNGLNFLLQYAAQAAALDLGLIQQSAKALESAKFVYLMGADDVDVDKIPKDAFVVYQGHHGDKAVYRANVILPASAFTEKEGTYENTEGFTQQTVPAVPTVGDARDDWKIVRALSEVSGVKLPYNSIEGVRSRIKSVAPNLVHTDEREPAAFGPSLKPECKETMSTTPFQAVVENFYMTNSITRASKIMAQCSAVLLKK >scaffold_703631.1 pep chromosome:v.1.0:7:20434845:20437476:1 gene:scaffold_703631.1 transcript:scaffold_703631.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ThiF family protein [Source:UniProtKB/TrEMBL;Acc:D7MID7] MEEKLKYLSLVGAGALIGSVSTVALLKLLSRTSLKQLKENPETNFLGNGIESETRPVTVAVGQDLLKDEIVSEHLTRNIQFFGLESQRKVTGSYVVVIGLGGVGSHASSMLLRSGVAKLLLVDFDQVSLSSLNRHAVATRADVGIPKAICLKKHFSSIFPECRIEAKVMLYDSSSEEEILSGNPDFVLDCIDNIDTKVGLLAACVKRGLKVLSATGAGARADPTRIRVADIRESTIDPLSRSVRHRLRREHGIEGGIPVVFSLEKPKAKLLPFKGPNGEDENPSDYQVVPGFRVRIIPVLGTIPAIFGQIMASYVITQLAGVQVQMEPIVNLDLDHYRLLHQRLIEHEETVYGTSAEVEVDVEEVMYIVKELWHGRSARDETAKDVGRGMWRAMNELMLIRWDAKKPATVSNLILLKFKEADEHEAKTLEEVKESETEFFERVSCVLKKAELDFYG >scaffold_703635.1 pep chromosome:v.1.0:7:20483940:20485623:-1 gene:scaffold_703635.1 transcript:scaffold_703635.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MIE0] MIQRLSHPSLLSLETLLKLCKSEIHLNQIHARIIRKGLEQDQNLISIFISSSSSSLSYSSSVFERVPYPGTYLWNHLIKGYSNKFLFFETVSLLMRMMRTGFARPDEYTFPLVMKVCSNNAEFRVGSTVHGLVLRIGFDKDVVLGTSFVDFYGKCKDLCSARKVFGEMPERNVVSWTALIVAYVKSGELEEAKRMFDLMPERNLGTWNALVDGLVKSGDLVNARKLFDEMPKRDIISYTSMIDGYAKGGDMVSARDLFENARGVDVRAWSALILGYAQNGQPNEAFKVFSEMCAKNVKPDEFIMVGLMSACSQMGCFELCEKVDSYLHQSMNKFSSHYVIPALIDMNAKCGHMDRAAKLFEEMPQRDLVSYCSMMEGMAIHGCGSEAVRLFEKMVDEGIVPDEVAFTVILKVCSQSRLVEEGLRYFELMRKEYSILASPDHYSCIVNLLSRTGKLKEAYELIKSMPFEAHASAWGSLLGGCSLHGNTEIAEVVARQLFELEPQSAGSYVLLSNIYAALDRWADLAHLRDKMNENGIKKICGRSWIIR >scaffold_703639.1 pep chromosome:v.1.0:7:20506710:20509053:-1 gene:scaffold_703639.1 transcript:scaffold_703639.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:D7MIE5] MSLVSDLINLNLSDITDKIIAEYIWVGGSGMDMRSKARTLPGPVTDPSQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRHAAAKVFSHPDVAAEVPWYGIEQEYTLLQKDVKWPVGWPIGGYPGPQGPYYCGIGADKSFGRDVVDSHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAADEIWVARYILERITEIAGVVVSFDPKPIPGDWNGAGAHCNYSTKSMREEGGYEIIKKAIDKLGLRHKEHIAAYGEGNERRLTGHHETADINTFLWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYIVTSMIAETTILWNP >scaffold_703641.1 pep chromosome:v.1.0:7:20533639:20534039:-1 gene:scaffold_703641.1 transcript:scaffold_703641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKLPIHKHPLLPITRFFSRRGCNGCGSYGYIYGGYRCNELGCETPAFHRECAESLQEIKHFSHPDHPLNLRLNDKASTCNRCGIHLFKESYIYICSMCDFKLDLHCAKGSCSTSYS >scaffold_703648.1 pep chromosome:v.1.0:7:20565360:20566858:-1 gene:scaffold_703648.1 transcript:scaffold_703648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTAHDGCVEWVGRSREDDSWTKGLVAGQVTDLSSERLNPHDAGLERLELIHLHKTAALLEEAAILGVIMGDGTEEEIEKLRKYARCIRLLFQVVDDILDVTESTEDLGKTVGKDLRATTMVALMAGKLTYPRLIGLERSRAVAEKLNREAEEQLLRFHRNKAAPLMALASYIALIFCINRSYPQRI >scaffold_703652.1 pep chromosome:v.1.0:7:20599108:20600552:-1 gene:scaffold_703652.1 transcript:scaffold_703652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNAIATGGYSEDMIPTVGFNMRKVTKGNVTIKIWDLGGQRRFRTMWERYCRGVSAIVYVIDAADRDSVPISRSELNDLLTKPSLNGIPLLILGNKIDKSEALSKQALVDQLGLDSVADREVCCYMISCKDSINIDAVIDWLIKHSRTAT >scaffold_703663.1 pep chromosome:v.1.0:7:20685830:20687752:-1 gene:scaffold_703663.1 transcript:scaffold_703663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVDLIDFYGWKEVISVYSDDELGRNGVSALDDELYKKRSRISYKVPLSVIFDIAQKLQMMTHEYVWLATDWLSVTLDSSLSDKGALKRLEGVVGLRQHIPESAKVQNFTQKLQSKRSMNAYAFHAYDTVWMIVYDAAVGDIAIVPSRSKLVDFSQPYASTGLVVVIPDNDDNATWIFLRPFTIRLWCVVLVSFLVIAVVIWILEHRINEDFRGSPGRQLTTMILFSFSTLFKRNQEDTISNLARLVMIVWLFLWMVLTASYTANLTSILTVQQLPSAITGIDSLRASELPIGYQAGTFTLEYLTYSLGMARSRLVPLDSTVEYEKALKLGPTNWGGVAAIVDELPYIELFLAERTGFKIVGEPFMHRGWGFAFKRDSPLAIDMSTAILKLSEARKLQEIRKKWLCKKNCAEKSNWNPEPNQLHLKSFKGLYLVCIAITVSAFIVFVLRMIRQFVCQETLDSVI >scaffold_703666.1 pep chromosome:v.1.0:7:20704489:20704840:1 gene:scaffold_703666.1 transcript:scaffold_703666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFSTKRIHPWVSHLQEEVKYEKVVGHGLNAQELARNPRLDYFFVKDLNEDQKFEFEDKSFDAVYVLSVYSIYNNPIKCMTVNQNQFRKTAGDRRLRGDYNK >scaffold_703667.1 pep chromosome:v.1.0:7:20706942:20707588:1 gene:scaffold_703667.1 transcript:scaffold_703667.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIH4] MQVMCDPYTPAGVPISTNKRHNAAKIFRHQSLPPRSLGLILSKEIKPLISTVFEGKDANVVAHGARNCGKTYLIHVLKAIPLRY >scaffold_703669.1 pep chromosome:v.1.0:7:20714427:20714988:-1 gene:scaffold_703669.1 transcript:scaffold_703669.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIH5] MAIPLKLVAVVLFLHVIFSSVFVSAEMISSNSADCPSSSSCSVSIGTLKVGCLEISVTFNVLLLVCCFFRWVALRQDITHLGGMGSEIDPTSRWYGKVELIVI >scaffold_703671.1 pep chromosome:v.1.0:7:20725835:20727264:-1 gene:scaffold_703671.1 transcript:scaffold_703671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMAKKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVEEMIREADVDGDGQINYEEFVKIMMAK >scaffold_703672.1 pep chromosome:v.1.0:7:20736024:20736568:1 gene:scaffold_703672.1 transcript:scaffold_703672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLSFLLFVFAFGLTMLSNTTLSYTRSTWIRNLLHDKNDLIVHCKSTRQDMGYHRVHPTGSYNLLIDVDLDVFFWCHLWQGPNFKHYQVFKVDDGNVWEAREDGIYLSEIIDFQHLGQPVFMLIIDFQHCQINLLFYCFSLSTRALTPLAHEICFAKFTCVHVLHV >scaffold_703677.1 pep chromosome:v.1.0:7:20797566:20797923:-1 gene:scaffold_703677.1 transcript:scaffold_703677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNMSSMERETIVALKQMEKNSNLVSRLETVEGSICLTAKFAVKKRSWVIVAMVEMEEERKRLKSTLVDLDRETGMCHCCALFGRTVALEKITEFLSRGDKNSK >scaffold_703681.1 pep chromosome:v.1.0:7:20833128:20833322:-1 gene:scaffold_703681.1 transcript:scaffold_703681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEIRRLLKKKKSEEGGDSAVTAGTLIEVFSGLPNIEELVLDVGKDVM >scaffold_703683.1 pep chromosome:v.1.0:7:20842347:20842995:-1 gene:scaffold_703683.1 transcript:scaffold_703683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFNTKKRKKPTEANDYGEWDYENASLPNGFNVALIKPAITQFLLAKGYYGDLSLTMVVSDASDSRKIHRDTYRALYYIISLIYSWRNSLLVYQADDISVFHIGLNCFLYVSGGGQVSDVDIYREIHTWNLVI >scaffold_703688.1 pep chromosome:v.1.0:7:20858157:20858364:-1 gene:scaffold_703688.1 transcript:scaffold_703688.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIJ3] MARVSTLGPSVAPRSGESSTVHGAAFFWVESFYIVKSETHVKAILYTCGIKI >scaffold_703692.1 pep chromosome:v.1.0:7:20871976:20872330:1 gene:scaffold_703692.1 transcript:scaffold_703692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYDERTIWTSDRLPLMTSYNKGKMQHSVWTAEFIDSNLEASASCSSGVVPDAVFPKRVAEYGKPKVLRKLHLR >scaffold_703693.1 pep chromosome:v.1.0:7:20892487:20894772:1 gene:scaffold_703693.1 transcript:scaffold_703693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDSVHVLDGGELHLSLDVFKRPSLTTTSSSPQWLHKHGNGKIDELERHIESLIWETVKERERECVGLDEYEKFKPFLHHRPRKPSEVMFGFIAAAGTNTEEHRLLSWELSSALDIEKADSKIGLVVGISVSGFVLLIVLVHTIVVVWSRKQRKKKDRDIANMISINEDLEREAAKERVLNEVKIISKLRHRNMVQLIGWCNEKNEFLLIYELVLNGSLNSHLFGKRPHLLSWDIRYKIALGLASALLYLHEEWDQCVLHRDIKASNIMLDSDFNVKLGHFGLARVMNHEHGSHTTGLAGTFGYMAHEYVTKGSASKESDIYSFGIVLLEIVTGRKSLERTQEDNSDSESNEKSLVEKVWKLYGKQEVMTSSVDEK >scaffold_703695.1 pep chromosome:v.1.0:7:20919274:20919703:-1 gene:scaffold_703695.1 transcript:scaffold_703695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCISITSKEIDLKKLEYILWERINSWKRNASSPNAHALFAVQCFREPCGVYFTVSCIAPSAPEVRQFSYHLSYTVDGHTMIYKSPEVKRVLKVSSQRPEESFMLIPNSLLHGEMLEIKLFIKKLKQE >scaffold_703697.1 pep chromosome:v.1.0:7:20923138:20924297:-1 gene:scaffold_703697.1 transcript:scaffold_703697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASRLEDLWNEENRSQKRHRSSLSSGDGRKIVDKRRSAMLTDLQILDCPICYEALTIPNFQLFCFSFFLIESFLINFVAPRPMRRYCYFSSCSCPIQVCNYTGSYKDLYEHYDRTHQISSANDRFRCGVSYMAVMMFIMQCFEEPFGVYVTVSCIAPSAPEVGEFSYHLSYTTEDEHTMTYQSPKVKKVLKVSSQRPKESSILIPHNLIRYQLLIMKLCINELKQE >scaffold_703698.1 pep chromosome:v.1.0:7:20926447:20927647:-1 gene:scaffold_703698.1 transcript:scaffold_703698.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:D7MIK0] MLMDLEILDCPICYEAFTIPIFQCDNGHLACSSCCPTLSNKCPTCALPIGHNRCRGMESVLESILIPCPNAKLGCTKKVSYGKESTHEKECIFSQCSCPVEDCNYTSSYKDLYTHYRITHMKVYQLHKFICDIPSIVKMNISSDKKILIRMEYMKRILFAVQCFRDPCGVYVTVSCIAPSAPEVGQFSYRLSYTVDGHTMIYKSPDVKRVLKLNFQTPQENFMLIPNSLLRGEMLKMRICVKKLNQ >scaffold_703699.1 pep chromosome:v.1.0:7:20939072:20940589:-1 gene:scaffold_703699.1 transcript:scaffold_703699.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:D7MIK1] MVGAAISESSGEGIGSNSILSQKRQLSSSDGAKRDAKKRSIMLMELEILDCPICYEAFTIPIFQCDNGHLACSSCCPKLNNKCPACASPVGHNRCRAMESVLESILVPCPNAKLGCTKKFSYGKESTHEKECIFSQCSCPALDCNYTCSYKDLYRHYHTTHLEVYHLNKFCCGSFFSIRINISEKMLIRLEYTKALLFAVQCFQEPYGVYVTVSCIAPSAPEVGNFSYDLSYTVDGQTMTYKSPKMKMILEVSFQTPQENFMLIPNNLLRGDMLDMKLLIKELKQE >scaffold_703700.1 pep chromosome:v.1.0:7:20943117:20944126:-1 gene:scaffold_703700.1 transcript:scaffold_703700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:D7MIK2] MEGSSSGVKGSSNINLQKKQRTEDKTRPAMLDFDVLDCPVCFEPLTIPIFQCDNGHLACSSCCPKLSNKCPTCTLHVGNKRCRAMESVLESIFIPCPNANFGCTKSISYGKESTHEKECIFSQCYCPALNCNYTSSYKDLYTHYRTTHMEVDQLNKYICDIPFSVRMNIGSDKNIIIRKEYTKRLLFAVQCFREPCGVYVTVSCIAPSAPEVGQFSYHLSYTVDGHTITYESPEVKRILKVSSQRPQESTLVKLLFITNEGRVIEFGVA >scaffold_703701.1 pep chromosome:v.1.0:7:20944224:20944458:-1 gene:scaffold_703701.1 transcript:scaffold_703701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRFSCGIYFSAKMNISDKILIKYEYNKGLLFAVQCFKERDGVYVTVSCMQLLLPKSLEPEK >scaffold_703702.1 pep chromosome:v.1.0:7:20947521:20947888:-1 gene:scaffold_703702.1 transcript:scaffold_703702.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:D7MIK4] MESVLESVFVPCQNAELGCTKNVSYGKQSSHDPECIFSRCTCPAQGCNLKPHAPEIVFLSNFFLALAATSSVFSGDWWLVASLASHRSPLFSFIVAPLRCLRFSAKSC >scaffold_703703.1 pep chromosome:v.1.0:7:20948230:20948454:-1 gene:scaffold_703703.1 transcript:scaffold_703703.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIK5] MECASSDVNGLSNIHLKRLENKTSWAMLDLEVLHCHICFEPLTIPIFEVAFQILHNYRFI >scaffold_703705.1 pep chromosome:v.1.0:7:20958191:20958424:-1 gene:scaffold_703705.1 transcript:scaffold_703705.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIK7] MECASSDVNGLTSWAMLDLEVLHCPICFEPLTIPIFEVAFQILHNYRFIIFIEKYFCRVPLNF >scaffold_703707.1 pep chromosome:v.1.0:7:20972773:20974543:1 gene:scaffold_703707.1 transcript:scaffold_703707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFCVDITDCGGVEVSSRVERNSCITDEKWLCRAVTSELKKTTGDFAEGAWAGAAHYLLWYMLASHLLKSNCSAKGDDSKFNFGIYGQAISSKKFSKFCYCIWWSFQNLRSRAANKYISRRGFVFQRVRSRVSSFALLLGNMQTYLHLLTVRLEEMRIKRCDSEQYHRSLPQNLRERVRRYDQYKWLETRGVKEENLVQSLATDLRRDIKRHLCLSLVRRNHVFIYEVSHLVIGVCIDEFKEFFMQFGELKEHQIMRDHSTGRSRGFGFVTYEK >scaffold_703710.1 pep chromosome:v.1.0:7:21032310:21032592:1 gene:scaffold_703710.1 transcript:scaffold_703710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MIL4] MTKRRVRESHSRLSPLSSSSSSDNNRVHRVKGFFGDSGIDEEPMVHGASSVVLCILPVRSPNQNQGSLWRGEASAGRSG >scaffold_703712.1 pep chromosome:v.1.0:7:21037300:21043018:1 gene:scaffold_703712.1 transcript:scaffold_703712.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT.I.24-9 protein [Source:UniProtKB/TrEMBL;Acc:D7MIL6] MENVLRDHPPPPCDGANLDLGLSLREICAAHRSNEKQQMRALLRSVGPSFCPSDVDWFGDESHPKNADKSVITKFLQSQPTDYSTSKLQEMVRLMKEKRLPAAFKCYHNFHRADDISPDKLFYKLVVHVHSDSGFRRYQKEMRHMPSLWPLYRGFFVDINLFKSNKGRDLMALKSIDNAVKDASENDGQREKDGLADGDANLMIKLKFLTYKLRTFLIRNGLSILFKEGPAAYKTYYLRQMKIWGTSDGKQKELTKMLDEWAAYIRRKCGNDQLSSSTYLSEAEPFLEQYAKRSPMNQILIGAAGNLVRTEDFLAIVDGDLDEEGDLVKKEGVTPATPEPAVKEAVQKDEGLIVFFPGIPGCAKSALCKELLNAPGGFGDDRPVHTLMGDLVKGKYWPKVADERRKKPQSIMLADKNAPNEDVWRQIEDMCRRTRASAVPIVADSEGTDTNPYSLDALAVFMFRVLQRVNHPGKLDKESSNAGYVLLMFYHLYEGKNHNEFESELIERFGSLIKMPLLKSDRTPLPDPVKSVLEEGINLFNLHSRRHGRLESTKGTYAAEWTKWEKQLRDTLVVNSEYLNSIQVPFESVVHLVREELKNIAKGEYKPPSSEKTKHGSIVFAAINLPATQVHSLLEKLAVANPTMRFFLEGKKKTIQEKLERSHVTLAHKRSHGVAAVASYGQHLNREVPVELTELIYNDKMATLTANVGCVDGETVVSKNEWPHVTLWTGEGVTAKEANTLPQLYLEGKASRLVIDPPVSISGPLEFF >scaffold_703713.1 pep chromosome:v.1.0:7:21044689:21044971:-1 gene:scaffold_703713.1 transcript:scaffold_703713.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MIL8] MTKRRVRESHSRLSPLSSSSSSDNNRVHRVKGFSGDSGVDEEPMVHGASSVVLCILPVRSPNRNQGSLWRGEASAGRSG >scaffold_703714.1 pep chromosome:v.1.0:7:21046511:21048188:-1 gene:scaffold_703714.1 transcript:scaffold_703714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPRTISAFKEKGVLNYWTYKFSWGTISYQARVSIAARCSSQLKDRYLLFMFLSLTPWLFLLTRESGDPYLPSDKQFLITRNVPCLRRAASVAEEYEAAGGEVLVDDEDNDGWLATHGKPKDKGNEDENLPSMDVLDINERNAIQSIPTYFGGEEDDDIPDMEEFDEADNVVENDPCYALQRFNILVVLLIHPDGIAFYISDNKVILTEGIDGVVPVDYFQKIESWPDRKPIPF >scaffold_703716.1 pep chromosome:v.1.0:7:21073359:21073672:1 gene:scaffold_703716.1 transcript:scaffold_703716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSGVVASVIAVSTAALSSSSTLPPISPKVWESRKTNGSENFEPRFDGLRFIETLVTAHR >scaffold_703717.1 pep chromosome:v.1.0:7:21074387:21076150:1 gene:scaffold_703717.1 transcript:scaffold_703717.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7MIM3] MASPSSQFLFSLLCLALLSLPHIVSSIGINYGQVANNLPPPKNVIPLLKSVGATKVKLYDADPQALRAFSGSGFELTVALGNEYLAQMTDPNKAQAWVKENVQAYLPNTKIVAIVVGNEVLTSNQSALTAALFPAMQGIHGALVDCGLNKQIFVTTAHSLAILDVSYPPSATSFRRDLLSSLTPILDFHVKTGSPILINAYPFFAYEENPKHVSLDFVLFQPNQGFTDPGSNFHYDNMLFAQVDAVYHALDAVGISYKKVPIVVSETGWPSNGDPQEVGATCDNARKYNGNLIKMMMSKKMRTPIRPECDLTIFVFALFNENMKPGPTSERNYGLFKPDGTPVYSLGIKTSSTHTSGSSNSTGGSGSSSGGNTGGSSGGGGIYPPVTDNPSPDYMSISSAGGKGRFVECVFFFFLLCIIKLRL >scaffold_703718.1 pep chromosome:v.1.0:7:21077565:21078921:-1 gene:scaffold_703718.1 transcript:scaffold_703718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSRFSSIVLLLFISISIASGEIRKSEIRSDDRPIIPLDEFGFTHTGRLELDASKISLSNTNPDLDLSKVGFFLCTRDAWVHVIQQLEEEEITCALQSDLVKHVFTFNNLKGDKSRFSTVFTENDADQYSLVFANCLQQVEISMDVRSAMYNLEGKKGGRDYLSAGRTVLPKVYFFFSVIYFSLAATWIYVLYKKRLTVFAIHFFMLGVVVLKALNLLCEAEDKSYIKKTGTAHGWDVLFYIFNFLKGITLFTLIVLIGTGWSFLKPYLQDKEKKVLMIVIPLQVVANFAQVVIDETGPYGQDWVTWKQIFLLVDVVCCCAVLFPIVWSIKNLREAAKTDGKAAVNLVKLTLFRQYYIVVICYIYFTRVVVYALETITSYKYMWTSVVASELATLAFYLFTGYKFRPEVHNPYFVVDDEEEEAAAEALKLEDEFEL >scaffold_703724.1 pep chromosome:v.1.0:7:21101598:21101922:1 gene:scaffold_703724.1 transcript:scaffold_703724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMEEVAREEVEIGKDLQRKIEKTVKKILESSNLYKITEIKAREEASLELDLDLSQDPYKVIVREVVESFLEEAVKVIGNRIAMLPERIESSI >scaffold_703725.1 pep chromosome:v.1.0:7:21102059:21102877:-1 gene:scaffold_703725.1 transcript:scaffold_703725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGVKPMNPSDSACMARTLVVHAASHVSPASVQRVSATFLLVHIRLCSLTFVRYIVARLSPLWSSFLFPKFPLVRSGLDVQSSPILQGSSSRLMVSSAFVAYIQGTSRIVLVFPFFRFSSYDLFLQFISCLTIMWWSHRHMLPYFHVMTKEKKTRATQNCSPIKINLSNSYINKLIWSKSALICVFRRTDLQ >scaffold_703730.1 pep chromosome:v.1.0:7:21129710:21130537:-1 gene:scaffold_703730.1 transcript:scaffold_703730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRKDSVSFFFREKMGDRAAACPVSVSVMFRPSQIVSAQSLEAQAASIADIIGLLLPPVNFCGIASNYLKRLSIPRIRFLSRCVSYRTGQCLASYICRRTSSGFPPVLIQSYQIDTKATTEELLKNLEAKYYEVAVETHECEKDLLPYLLHGKDEIFAGLDEASADATYITVDNLWNSYPKEEVKPVYSSLFQILLFNM >scaffold_703732.1 pep chromosome:v.1.0:7:21135288:21135598:-1 gene:scaffold_703732.1 transcript:scaffold_703732.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MIN7] MWNPTTNAIVIQHVCTIQTSNPNRRKKCVIWSHPIIAYSNLSTLCLGLLINSYLISYMVVLVSYLYNPKTGYNRKLFSEQSRV >scaffold_703733.1 pep chromosome:v.1.0:7:21135615:21137047:-1 gene:scaffold_703733.1 transcript:scaffold_703733.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORMDL family protein [Source:UniProtKB/TrEMBL;Acc:D7MIN8] MYVRALPTTDVNRNTEWFTYPGVWTTYILILFFSWLLVLSVFHCSPGIAWTIVHLAHFTVTYHSFHWKKGTPFGDDQGIYNRLTWWEQIDNGKQLTRNRKFLTVVPVVLYLIASHTTDYQHPMLFLNTLAVFVMVVAKFPHMHKVRIFGINGDQ >scaffold_703737.1 pep chromosome:v.1.0:7:21145835:21146791:-1 gene:scaffold_703737.1 transcript:scaffold_703737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLEGDGQTTMPIALPIQSKEALDNNFRQRSKCMKRVKKYEGFDTTDARYGPELQKSICDERWSPCCTLVRLYARMGLHRYNLLQGKNLQLNRVKKYNMSTHSAACNYYITLVAMDPARSKLLNFQTKVGEERFGKFILTSDIARPRGENTEDGSLITEHYFYVKGSLPTCPPEDLFQNSNRFYVVEESELQVNDWIRLYLELAVATTHRSTGRNHDLSGLKIMKVAIDTCEDVPNEASQQCH >scaffold_703742.1 pep chromosome:v.1.0:7:21168411:21169553:-1 gene:scaffold_703742.1 transcript:scaffold_703742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEELERKRRKMGDLQPFASRMGDFNKNWVRFENNRKLEMLEGKRRKMGDMQRLACQRLMCDQYSRLGHCKRGDRCPFLHGKRMKPLYRNISDNPELVAFMMKNGNMPACPPEDPTVKMLYVKRLSRTTLVEEDLRDCLSAYGDIESIRMVQESGNKKIRSDSRLFERQQRNAWKISKLGLRSRVTS >scaffold_703760.1 pep chromosome:v.1.0:7:21246465:21247742:-1 gene:scaffold_703760.1 transcript:scaffold_703760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKVKPKAVESTMMATKEEDEIKKMKECVVIGQKADEEVSRGQETMKILEGSRQNQNETKQDDFKNIDSPSSSSSLEMKKKVEIDKKFTSQNEENTKKEEEKKKETNYNNNLSNMKHKKTSSHVWDCGSTLYDSFELNSFKRQLDSAISASSARTMSMSHLPDRRVPSLISLSPEYSPPLPPPPTTSSSSSAGGKKHSNKISRSLQRFLKSVFKPKQHQSLSTPSSPVYKAVGHGGGDKDRYYVVYDKTGSLTTIPESTEKEVSPEINSLVRKTVSERFPASRVVGISCA >scaffold_703761.1 pep chromosome:v.1.0:7:21260831:21261293:-1 gene:scaffold_703761.1 transcript:scaffold_703761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSKFDIRFPALACSILSVDAMDISGELLCDVKHDIIKRRLDSNGNTLRGKT >scaffold_703762.1 pep chromosome:v.1.0:7:21263959:21264653:1 gene:scaffold_703762.1 transcript:scaffold_703762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSFVNGSSSHIHLQRTDDRKCSGRLDLYVLDCPVCCEPLTIHTFQCDNGHLACSSCCPKLSNKCPACSLPIGNNRCVAMERVHEKECTFTQCSCPALDCDYTGSYTDLYKHFTQPIHKIIYWSGGFTCGKPFDVPTLSMGTL >scaffold_703766.1 pep chromosome:v.1.0:7:21280089:21283010:-1 gene:scaffold_703766.1 transcript:scaffold_703766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGKDSDRTLGYMTRKDTEVKLPRPTRVKNKTPAPVQITAEQILREARERQEAEIRPPKQKITDSTELSDYRLRRRKEFEDQIRRARWNIHVWVKYAQWEESQKDYARARSVWERAIEGDYRNHTLWLKYAEFEMKNKFVNSARNVWDRAVTLLPRVDQLWYKYIHMEEILGNIAGARQIFERWMDWSPDQQGWLSFIKFELRYNEIERARTIYERFVLCHPKVSAYIRYAKFEMKGGEVARCRSVYERATEKLADDEEAEQLFVAFAEFEERCKEVERARFIYKFALDHIPKGRAEDLYRKFVAFEKQYGDKEGIEDAIVGKRRFQYEEEVRKNPSNYDSWFDYVRLEESVGNKDRIREIYERAIANVPPAEEKRYWQRYIYLWINYALYEEIETEDVERTRDVYRECLKLIPHSKFSFAKIWLLAAQFEIRQLNLTGARQILGNAIGKAPKDKIFKKYIEIELQLGNMDRCRKLYERYLEWSPENCYAWSKYAELERSLAETERARAIFELAISQPALDMPELLWKAYIDFEISEGELERTRALYERLLDRTKHYKVWVSFAKFEASAAEIEEDENEDEDQEDVIEHKKDCIKRARAIFDRANTYYKDSTPELKEERATLLEDWLNMESSFGNLGDVSIVQSKLPKKLKKRKAITREDGSTEYEEYIDYLYPEESQTTNLKILEAAYKWKKQKVATSEDD >scaffold_703767.1 pep chromosome:v.1.0:7:21289569:21290358:-1 gene:scaffold_703767.1 transcript:scaffold_703767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVNTNRSKKMKEVCGGSDEAKPAGKRRKNEVTSMDKDVSAKRSKKDAKKASSLKLEWSEEEEIRVLQGMTDFKAITRKNPFDDMNGAYEFLQEYISVDVNDFVEKMKSLKKKLMGQVKINAKEPSSSEPHGHTSSELLRLIWGCDVESAVEKPRKSKRIIKLKEEKQVMVHEGGKWFENGLIVRKIASFGVGEDSLKRKWGSVPMEEKDMIDQKWRVLRTKELEYMLQRDKFLNDVFTMMDEAASSS >scaffold_703768.1 pep chromosome:v.1.0:7:21293794:21295180:-1 gene:scaffold_703768.1 transcript:scaffold_703768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEDREARIEQKKKISEIFKDFMTGITQLEELGNAANNFLLRFQQGLSFLQRPPMVTSSKLMENIIKKNETRRLKSYMEAGCINIHDAAQSTRALHTSLSGLSDHLIKAKSLLIELERLTDEAALAIETATQFSVQLDKYSSDELQQVTCDEENETAQLPQGPEVLEYAALIAVIYSMMKQNYAMQEKIVRSLSLKSSSGELESYSLMWSLRPFVEDEIVNSAWKWIY >scaffold_703770.1 pep chromosome:v.1.0:7:21301783:21304026:-1 gene:scaffold_703770.1 transcript:scaffold_703770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein [Source:UniProtKB/TrEMBL;Acc:D7MIS1] MAATPWYFVAVLLTILTSSQGILTTLSQSNGGYKYDYATVPFLAEVFKLIVSALFLWREMRTSSSTTSRITTDWKSVRLFVIPSLIYLIHNNVQFATLTFVDTSTYQIMGNLKIVTTGILFRLFLKRKLSKLQWMAIGLLAVGTTTSQVKGCGEASCDSLFTAPIQGYLLGILSAGLSALAGIYTEFLMKRNNDTLYWQNLQLYTFGSLFNVARLIADDFRHGFEKGPWWQRIFDGYSITTWMVVLNLGSTGLLVSWLMKYADNIVKVYSTSMAMLLTMVASIYLFSFKPTLQLFLGIVICIMSLHMYFAPPHTLVDLPVTNEAHPKILKQVVVEEKTDS >scaffold_703782.1 pep chromosome:v.1.0:7:21375056:21375857:1 gene:scaffold_703782.1 transcript:scaffold_703782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPYIYTGDPRKTQHLVSLEGAKERLHLFKADLLEQGSFDSAIDGCHGVFHTASPFFHDVKDPQAELIDHAVKGTLNVLNSCTKASSVKRVVVTSSMAAVGYNRKPCTPDVTVDETWFSDPELCESSKMWYVLSKTLAEDAAWKLAKEKGLDIVTINPTMVIGPLLQPTLNTSAAAIL >scaffold_703787.1 pep chromosome:v.1.0:7:21418409:21421051:1 gene:scaffold_703787.1 transcript:scaffold_703787.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCHX18 [Source:UniProtKB/TrEMBL;Acc:D7MIT4] MATNSTKACPAPMKATSNGVFQGDNPIDFALPLAILQIVIVIVLTRVLAYILRPLRQPRVIAEVIGGIMLGPSLLGRSKVFLDAVFPKKSLTVLETLANLGLLFFLFLAGLEIDTKALRHTGKKALGIALAGITLPFALGIGTSFVLKATISKGVDSTAFLVFMGVALSITAFPVLARILAELKLLTTEIGRLAMSAAAVNDVAAWILLALAIALSGSNTSPLVSLWVFLSGCAFVIGAAFIIPPIFRWIARRCHEGEPIEETYICATLAVVLVCGFITDAIGIHSMFGAFVVGVLIPKEGPFAGALVEKVEDLVSGLFLPLYFVASGLKTNVATIQGAQSWGLLVLVTATACFGKILGTLGVSLAFKIPMREAITLGFLMNTKGLVELIVLNIGKDRKVLNDQTFAIMVLMALFTTFITTPVVMAVYKPARRAKKEGEYKHRTVERENTNTQLRILTCFHGAGSIPSMINLLEASRGIEKGEGLCVYALHLRELSERSSAILMVHKVRKNGMPFWNRRGVNAHADQVVVAFQAFQQLSRVNVRPMTAISSMSDIHEDICTTAARKKAAIVILPFHKHQQLDGSLETTRGDYRWVNRRVLLEAPCSVGIFVDRGLGGSSQVSAQDVSYSVVVLFFGGRDDREALAYGLRMAEHPGIALTVFRFVVSPERVGEIVNVEVSNTNNESQSVKNLKSDEEIISEIRKISSVEESVKFVEKRIENAAVDVRSAIEEVRRSNLFLVGRMPGGEIALAIRENSECPELGPVGSLLISPESSTKASVLVIQQYNGTGTAPDLASAGTEVLTSTDKDSD >scaffold_703788.1 pep chromosome:v.1.0:7:21421423:21421627:-1 gene:scaffold_703788.1 transcript:scaffold_703788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWSKAPDSSSGPRKRAWVQTPLLTYAGGNLKAQKPKRRKRKPKKMNSKLQKN >scaffold_703791.1 pep chromosome:v.1.0:7:21430790:21434944:1 gene:scaffold_703791.1 transcript:scaffold_703791.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding protein [Source:UniProtKB/TrEMBL;Acc:D7MIT8] MSTAAVAGTGLREKTAASLVNSFRLASVTQRLRYHIQTGAKGDAKEFQICCISFAKGIDFAIANNDIPKKVEEFPWLLKQVCRHGTDVYTKTAVMVLMISIKHACHLGWFSDRESQELIALADEIRTCFGSSGSTSPGINCPGSTFSQIMERFYPFVKLGHVLVSFEVKAGYTMLAHDFHISKTMPHSLQEKIRLFVAQTDYIDTSACISNPPEVSFLLNGKGVEKRVNIAMDTGPQLPTNVTAQLKYGTNLLQVMGNFKGNYIIIIAFTGLVVPPEKPVLKDYLQSGVIESSPDSDIIEGPSRVSLNCPISRKRIKLPVKGQLCKHLQCFDFSNYVHINMRNPSWRCPHCNQPVCYPDIRLDQNMAKILKDVEYNAAAVIIDADGTWKVTKKTGETPEPVREIIHDLEDPMSLLNSGPVVLDLTGDDDAEMEVFGNNKIEDRKPCMSDAQGQSNNNNTNKDASNDDYCSIFDISDVIAFDPVILSALGNTEPQPHQALNTGTGQQYSNLSQIPMSIDPMQVPVPFSQTPSPRDRPATTSTVFTIPNPSPQFSQVHASPVTPTGTYLGRTSSPRWNQTYSSQAPSMTTPYKSRRVPVPVTSQSAVNVSSYVQSQHVPRVLSQPNSYGIRGLTSSHASTQRQHPTGPTVQSVSRTSDLMDVDLTVPDTSNWRPRMRGSLGSGSHSTALDHMIIRPTQQSQTSTRLNSSQPVQTPSVQTSQAQSPFSTAAYRTETVLGNQNNPVPAPPGSVRPTGPTSSWRT >scaffold_703799.1 pep chromosome:v.1.0:7:21461454:21462626:-1 gene:scaffold_703799.1 transcript:scaffold_703799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMISSLPRELIEEIISRVPLRSMKSVRLTCKSWNNLSKSEIFTKMHIDKAATREENTMMISMMPHDLYLMSVTVDDVDPSVELKGQLSFLDNQVSIYRVFHYEGLLLCIFEDPTRVVVLNPYLRQARWIQIRFSHLSIGWDSFRCEYEIYDFDSSLWTTLDVTPHWWISCSSYGVSLKGNTYWPAKRSSRGLFDHIICFDFTRESFGPLLPLPFGATDRGYPYVTLSCVKEEKLAALFQQYYSYCKCEYEIDIWITTKIEAEMVSWSKFLRMNTKPNIYPIIFLIDEEKKIFMSLDREFPKTFLNTAREAKYLDLRVSDGQYCRPPVCSYVPSLVQIKKPTLRQKDETK >scaffold_703802.1 pep chromosome:v.1.0:7:21480844:21483351:1 gene:scaffold_703802.1 transcript:scaffold_703802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIKDPSEKLVWERTLSGSGSPTRPVSKLMVTLLLLVSATYVVYTLKLISNSRACHVEPFSDVVRRLNDIVNSSQPLILFHSNQTAVIKSFTSPPPSPLPPPPPPPQTGYQHVVFGIAASARLWKQRKEYIKIWYKPNQMRGYVWLEKPVKEEEEDEISLPPVKISGDTSKFPYKNKQGHRSAIRISRIVTETLKLGLKDVRWFVMGDDDTVFVAENLIRVLRKYDHNQMYYIGSLSESHLQNIYFSYGMAYGGGGFAISYPLAVALSKMQDRCIKRYPALYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLFGLLAAHPVAPLVTLHHLDVVEPIFPNMTRVDALKHLQVPAKLDSAGLMQQSICYDKRRKWTVSVSWGFAVQIFRGIFSAREIEMPSRTFLNWYRRADYTAYAFNTRPVSRHPCQKPFVFYMTSTSVHPVTNMTVSRYEIHRVGHPQCRWKMANPGDIKTVIVYKKPDPHLWDRSPRRNCCRVKSKKNNTLEISVAVCKEGEVVEVV >scaffold_703803.1 pep chromosome:v.1.0:7:21483946:21485149:1 gene:scaffold_703803.1 transcript:scaffold_703803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPSQDMDSSPTGLFLFMLPLMAIVGIVIYFDRICIEPIQQPPTEIALETHQNSHPLPQSQEDIETGHVMLPQPQQDITIGCMTWINETTVLEFKDIEEGSNKICCPICLEEFEDGHEIIRINMCRHVFHRFCIDYWLNQNRSCPNCRCSLNARKSLEGDLILPVIMIIGTGYIVYYTIIGLLIVVTILSCCCTHSPPPPRQVIETGHIPAINETAVETIIKLENVEEGDGGCCSICLEEFKIGHELMCIKKCRHVFHRFCIHSWFDTNRNCPICRCSVD >scaffold_703804.1 pep chromosome:v.1.0:7:21486253:21486733:1 gene:scaffold_703804.1 transcript:scaffold_703804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESMPLLDLLSIMFFPWAIVLSFFILYICIIFYNKYIQPPTELALETHQNSHPSLPPLPLPQPLPQQDIETGHLAPLQSQLEYKTGYRARIEEMEFKDIEKEGFDEICCSICLEELKDGHEIIRIKKCKHVFHRYQVTQITSHVC >scaffold_703805.1 pep chromosome:v.1.0:7:21496735:21497143:1 gene:scaffold_703805.1 transcript:scaffold_703805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGFPLNGTYFQTNEVFADYDSSINPIDVPRKLIWDLDRRIAYFGSFYLSFVKVNFQNKSVDKSKVLSEYTFLIFRFISGRHLGKKFKKVCL >scaffold_703807.1 pep chromosome:v.1.0:7:21545664:21546235:1 gene:scaffold_703807.1 transcript:scaffold_703807.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MIV7] MGYPVGYTELLLPRIFLHLLSLLGLIRTLIDTGFRILGLPDFLESDPVSSSSSWPEPPSMSTAAHHHQESSFLFPVAARLAGEILPVIRFSELNRPGFGSGSDCCAVCLHEFENEDEIRRLTNCQHIFHRSCLDRWMMGYNQMTCPLCRMPFIPDELQVAFNQRVWSESDILAEST >scaffold_703808.1 pep chromosome:v.1.0:7:21547235:21547495:1 gene:scaffold_703808.1 transcript:scaffold_703808.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MIV8] MESSRSTTERAQRGPNVKQRRLLLKWNMLSCCHVAFVGLSAFVPIFLTPQLGKAPHASNHIKLSVTITPSK >scaffold_703812.1 pep chromosome:v.1.0:7:21568707:21569198:1 gene:scaffold_703812.1 transcript:scaffold_703812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSDQNLSYADILLPHEARARIEVSVLNLLRILNSPDPAISDLSLINRKRSNSCINQGILTDVSYIFLSTSFTKSF >scaffold_703813.1 pep chromosome:v.1.0:7:21570974:21575499:-1 gene:scaffold_703813.1 transcript:scaffold_703813.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair and transcription factor XPB1 [Source:UniProtKB/TrEMBL;Acc:D7MIW4] MGNGERGRATKKMKYGGKDHQKMKNIQNVEDYYDEADEDSRDGEGEEKKRDFTELELKPDHGNRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYSLTPHSLYAAVSVGLETETIISVLNKLSKTKLPNEIIDFIHASTANYGKVKLVLKKNRYFIESPFTEVLKRLLSDDTINRARLSSEPYYGGDGFTIGKTSGELEAGPGELLNEAELAAASEEKETHSFEVDPAQVENVKQRCLPNALNYPMLEEYDFRNDNVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAAARIKKSCLCLATNAVSVDQWAYQFKLWSTIRDDQICRFTSDSKERFRGNAGVVVTTYNMIAFGGKRSEEAEKIIEEMRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDEKITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTEYAMKLRKPMIYGATSHIERTKILEAFKTSKDVNTVFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKLEDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDAGSSLGYHSQEEQLSLLGKVLNAGDDLVGLEQLEEDTDGMALQKARRSMGSMSAMSGAKGRVYMEYNSGRHKSGQQVKKPKDPTQRHQMFRKRYG >scaffold_703816.1 pep chromosome:v.1.0:7:21604568:21605054:-1 gene:scaffold_703816.1 transcript:scaffold_703816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPSWPEEYVGAFPLVIFVLAFILSFIIQICNDLFKCIQPPTESALDTHQNPLPSLPQQDIESGHLTPPQSQQDIKTGYMSRIEEMEFKDIIKEEGFGDEICCSICLEEFEDGHAIVRINKCRHVFHRFCIVSWLKQKRTCPNCRCF >scaffold_703824.1 pep chromosome:v.1.0:7:21643332:21644228:-1 gene:scaffold_703824.1 transcript:scaffold_703824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGPKKLTNTQGGPNLKSVLRHEHLKNLALWSSTGDTPIPSLASLFGRRLAADTETTGIATDPDHVSCQRCETILKPGFNCNVRIEKVSANKKKRNRCKNSNICLPQNNVVYHCNFCSHRNLKRGTAKGQMKEIYPFKPKTARSLRPKIKKEMTVPQEIQSSMLSSPERSVKDQVEENSVGDTPKPMMLTLERHRRIRKPKSKKPIDPGSSVPEKTVGGSNKRKRKSWTSMKIP >scaffold_703828.1 pep chromosome:v.1.0:7:21682575:21684154:1 gene:scaffold_703828.1 transcript:scaffold_703828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKVYADRMSQPSRAVIIFCKVNGIQFDEVLISLAKRQQLSPEFKDINPMGKVPAIVDGRLKLFESHAILIYLSSAFPSVADHWYPNDLSKRAKIHSVMDWHHTNLRPGAAGYVLNSVLAPALGLPLNPKAAVEAEKLLTKSLSTLETFWLKGNAKFLLGSNQPSIADLSLVCELMQLQVLDDKDRLRLLSPHKKVEQWIENTRKATMPHFDETHEILFKAKQGFQKQREMGTVSKPGLQSKM >scaffold_703830.1 pep chromosome:v.1.0:7:21687357:21690790:-1 gene:scaffold_703830.1 transcript:scaffold_703830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYLSSAMQLTLVSLVLGFLFVSCDAFASKEVEALSRFKEAIYKDPLLVMSNWNVPNLSPCDWNGIKCSPSKDHVIKINISATSMRGFLVAEIGQITYLQELILRGNLLMGTIPKEIGKLEKLKILDLGNNHLTGPIPAEIGKLSSIRTINLQSNGLIGKLPPEIGNLKHLKELLIDRNRLRGSIPIAAKTSKKYASNLSANISGLCKSSLLKVADFSYNFFEGRVPHCLDYLPRTSFQGNCMKTEDVKQRPLSECAHLDATVAKKKHRASPIWLRNFEIVTGSSVGLLFLVIIFSACSLCNIKRSLIVPWKKSANEKEKFTVYVDSEMLKDVSRYTRQELEVACEDFSNIIDSCADSQIYKGTIKGGTEIAVISLCVKEEDWTGYLELNFQREVADLARLNHENAGKLLGYCKESTPFTRMLVFEYASNGTLYDHLHYGDGSLASWAKRMKIVLGIGRGLKYLHTELNPPFTVSELSSTAVYLTEDFTPKLVDFECWKTIQVRSEKNLKNICNEGAICVLPNAMEHRDLDLQGNIYSFGILLLEIVSGRSSYCQDRGCLVEWVREKNLGAPDVMASLVDPELKHFNQKELEAVCEVASQCLDLDQNEKDKNKLSCSIQALCETLESRITVSISAELNSSSLAWAELALASPSNEDEDERTK >scaffold_703831.1 pep chromosome:v.1.0:7:21692892:21694512:1 gene:scaffold_703831.1 transcript:scaffold_703831.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MIY0] MAMRFLHLHRDLVKGNSGKALSFSRLLGLSFWVRAFCNYREILRNGLHSLQFNEALDLFSHMVESRPLPSIIDFTRLLNVIAKMKKFDVVINLCKHLQIMGVSNDLYTCNLLMNCFCQSSQPCLASSFLGKLMKLGFEPDIFTFTSLINGFCLGNRIEEAMSMVNQMVEMGIKPDVVIYTTIIDSLCKNGHVDNALSLFNQMENYGIRPDVVMYTSLVNGLCNSGRWRDADLLLRGMMKRKIKPDVITFNALIDAFVKEGKLLDAKELYNEMIQMSIAPNIFTYTSLINGLCMEGRLDEARQMFYLMETKGCFPDVVAYTSLINGFCKCKKVEDAMKIFYEMSQKGLTGNTITYTTLIQGFGLVGKPNVAQEVFGHMVSRGVPPNIRTYNVLLHCLCYNGKVNKALMIFEDMQKREIDGVPPNIRTYNVLLHGLCYNGKLEKALMVFGDMQKRDMDIGIITYTIIIQGMCKAGKVKDALNLFCSLPSKGVKPNVVTYTTMISGLFREGLMLEAHVLFRKMKEDGVS >scaffold_703841.1 pep chromosome:v.1.0:7:21750506:21751233:-1 gene:scaffold_703841.1 transcript:scaffold_703841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVRGGRGRGRGKRGPKSPVKRPTVVPTRPTSSGVSSRRPRSLPSQYEFTPANPEDPNHGIEHPPNRQPSPQLSLRDYPPPLQLFQLGEGSQHAAGGSPRGSGTTPFRASVSSVHRLASGSPCASQSPAPVQPPAPVPSPVVNQQRPLRASLSGHSSQAQNVEEEEAASDEEADDETASEDEGLRDSTLPEDVLATLHDTLLIPGRELYTTLISPTLEPGTTWYGF >scaffold_703846.1 pep chromosome:v.1.0:7:21773068:21774068:1 gene:scaffold_703846.1 transcript:scaffold_703846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQLQRSDSSDYSNSDCFEIRKHEDDDISVSEMMLRKRKKLKTATTLLEPSSSSGAWKRNWTKTEELLILEGIENYEKENKSSYTSDWNAIYDRIRDSMGSDFSKKQLVDKVYKLKLRFGENQARSNAGKRLSFTSAHDKQVFKLSTVIWGNNKTKYEIMDQTKERVTNAIDDGEKDKCEDLNVLQDALEVAAAFPSLGIYQQKSLLRNLKNLGATQRKKLTDEWKALLGEDMQLGIKKQSFYAKLVKEGFSA >scaffold_703850.1 pep chromosome:v.1.0:7:21787857:21790989:-1 gene:scaffold_703850.1 transcript:scaffold_703850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7MIZ2] MMKASFGRLRRFALPKSDAIDIGELFPTAQIEGLARAAKDMQDMREGYDRLLEVAAAMANSAYEFSESLGEMGSCLEQIAPHNDQESGGILLMLGKVQFELKKLVDTYRSQIFKTITRPSESLLSDLRTVEDMKQQCEEKRDVVKHMIMEHVKDKVQVKGSKGERLIRRQLETARDELQDEATLCIFRLKSLKEGQARSLLTQAARHHTAQMHMFFAGLKSLEAVEQHVKIAAGRQHIDCELSDHGNEMDCSEDNDDDDRLVNRDGELSFDYITSEQRVEVISTPHGLMKMDDTDLSFQRPSPAGLATVNADPREEHPVSNRDRRTSSHSAPLFPDKKSDLADRLMRQMTPSANAYILPTPVDSKSSPIFTKPVTQTNHSANLWHSSPLEPIKTAHKDVESNQYSRLPRPSEHAFSGPLKPSSTRLPVPVAVQAQSSSPRISPTASPPLASSPRINELHELPRPPGQSAPPRRSKSPGLVGHSAPLTAWNQERSNVVVSTNIVASPLPVPPLVVPRSYSIPSRNQRAMAQQPLPERNQNRVASPPPLPLTPASLMNLRSLSRSQVGEVAQSGVIRGNGNKTNLLFL >scaffold_703854.1 pep chromosome:v.1.0:7:21804549:21806734:-1 gene:scaffold_703854.1 transcript:scaffold_703854.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MIZ6] MYVVTPPQRSGFGSDCDLRVYQTWKGSNIFCLQGRFIFGPDVRSLGLTISLIVVPVTIFCIFVGSKLMEDFSDSWGVSIVFVAVVFTIYDLILLMLTSGRDPGIIPRNSHPPEPEVLDGITGSGTSQTPRLHRVKEVEVNGKIFKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIAQRNYRFFFMFVFSTTLLCIYVFAFCCVYIRKIKESEDITILKAMLKTPASIALILYTFISTFFVGGLTCFHLYLISTNQTTYENFRYSYDRLSNPHNKGVVDNFKEIFFSPIPPSKNNFRAMVPRENPMPPRSVVGGFMSPNMGKANDDIEMGRKGVWAMAEHGDGKNGNNNERFHVNDNELNELSPDMRTIVDGDEQIDRPSNHPRNANWEMSPEVMALSARRA >scaffold_703858.1 pep chromosome:v.1.0:7:21815246:21817000:1 gene:scaffold_703858.1 transcript:scaffold_703858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKKKKSDTRVDSGEIVEEIVSKHTSMKKKEKKKKNRESKDGFAGEDEEIAGRGSEKLGEDVVKKKSKDQMVVSEADDALKKKIKETKVDSEAEDGVKKKKKKKKSKKESGSDVIGNSESSKVCETPFVHIDDADKRKGKRKRDDCDLGAEENTDKEVKRKKSKKKKQSVDSEFEDNNLNSTEDGVQVEDSEVEENNKDSVKEAENKQSVDSEAEEIDLSSTKDAKKKRKKKQESVQSDKDVTTPSSKSTKRVKFSAEVEFFPSEDEETEDDEEEVTVVRGKRYTKEEDELVKNAVLEYIDNHALGEEGIKMVMDCKSHPQLKGCWKEIASALPWRANNSVYNRAHTIFEAGSKGTWAKEDIELVMEFQKKHGNDWRTLADAMGKHRKHVKDAWRRGRLAEKKKGHWMREEYQKLFDLVNKDLRMKAFQEKHSKHGMLKDNIPWMAISDVLGTRDHVTCCSKWYEQLMSPMVAKGMWANVDDYRLLEELLKLDAACIDDVDWDNLLENRDGEACRKRWNQMIIHIGVPKSKTFAEQVEILSERYCPDIAEDREDFDNRPYDPED >scaffold_703863.1 pep chromosome:v.1.0:7:21832401:21832650:1 gene:scaffold_703863.1 transcript:scaffold_703863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADWGPVIVAVALFILLSPGLLFQLPARTRVMEFGNMSTSGISILVHAIIYFCILTILIIAIQIHIHF >scaffold_703865.1 pep chromosome:v.1.0:7:21837361:21837609:1 gene:scaffold_703865.1 transcript:scaffold_703865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDWAAPLIASALFAFLSPGLILQFPGKESPVGFMNMKTTVASIFVHTVLYGLFLILFLVVLNIHVYV >scaffold_703867.1 pep chromosome:v.1.0:7:21839962:21841003:-1 gene:scaffold_703867.1 transcript:scaffold_703867.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoside-diphosphate reductase [Source:UniProtKB/TrEMBL;Acc:D7MJ09] MPSMPEEPILTPTPDRFCMFPIQYPQIWEMYKKAEASFWTAEEVDLSQDNRDWENSLSNDERHFIKHVLAFFAASDGIVLENLSTRFMSDVQISEARAFYGFQIAIENIHSEMYSLLLDTYIKDNKERDHLFRAIETIPCVTKKAEWAMKWINGSQSFAERIVAFACVEGIFFSGSFCSIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLIYSLLRTKLDEERLKSIVCDAVEIEREFVCDALPCALVGMNRELMSQYIEFVADRLLTALGCGKVYGVSNPFDWMELISLQGKTNFFEKRVGEYQKASVMSSVHGNAAFNDDHVFKLDEDF >scaffold_703882.1 pep chromosome:v.1.0:7:21940348:21941012:1 gene:scaffold_703882.1 transcript:scaffold_703882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSLREDKLDDDRTLRMRLRALCASSSVFCTEPQIQCAKQLGPLLNVFMRSSWRHSLDCGWYRGKSNPLFHCFYDGTWSTVQGSFTAVCDFKDVLVFSYSNI >scaffold_703883.1 pep chromosome:v.1.0:7:21942115:21942653:-1 gene:scaffold_703883.1 transcript:scaffold_703883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKREAIRQLLNGLLKFGFKLSSSDHKNASSLVTPMETLGSFKSDISPSQELDLESGTSSYSSLKHQVVSLGRTTSSDMLQSLMKPNGEVEKNLSAILLADMFCAELETARRDLEARNIDIETEKSHVLDLESKLSQTMIAEFPIATTIRHISGQKTMRKQTRKK >scaffold_703886.1 pep chromosome:v.1.0:7:21975656:21976940:1 gene:scaffold_703886.1 transcript:scaffold_703886.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATRAD3 [Source:UniProtKB/TrEMBL;Acc:D7MJ31] MGSVSLKIGDGSARFKRSTLFSSAINLLMLFSIVTTNLFALYAFSSRSQSHTPHPLHSNNVSLVSQHLSLILREIDSSHRTLSLMEKQMIGFESLDLSHQEVPQELKLFLQQHQLPLGKDSRTGITHMVASVGHSCEMSLDLLSQYMSYNVFEKCPDDWSLAQKLILRACEPLPRRRCLAKTVQKTGLAWFPDSLWRPVSNSSVNWSGLGCKSFECLKAKKLSRNCVGCFDLATSHEKDRFVKVKGKTDFLIDDVLGLSDGKIRIGFDISSGSGTFAARMAEKNVNIISNTLNIDAPFSEFIAARGVFPLFISLDQRLPFYDNVFDLIHGSNGLDLAASNKPEKLEFLMFDLDRILKPGGLFWLDNFHCGNDEKKRVLTRLIERFGYKKLKWVVGEKTDVEVYLSAVLQKPARI >scaffold_703887.1 pep chromosome:v.1.0:7:21981697:21991685:1 gene:scaffold_703887.1 transcript:scaffold_703887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDNNLLSLVHELRERVAASASTPANNLRHSSGDEEDALEIRFRDVIPNLLNTFVVPSLGNGREVTAVLKLVGHTARNIPGVFYHGNPSAILPVIARIIPFFAQPEFVPGHGVLLETVASLLMLLRSNSRKAYRMFFHDALQAIQDLQRIASLHSNEPEIAESHTLFRCFCMSFSGIWGDSCHLCDLPDANKPRDGDGLVLNLLGANRWQPFATCILKLICNCLTDGTLYVQGLIHTSFVQAACSLVCCGGADVQMACFEFATLVGSILTFNILPHVGLIQSIILLLSADEEGLPVYRNTIYDSTIGRFLTAVYSSCSDVAVKLTAESLVLVFSRALQRTKSEELKASLCSAYVRIVKSCPPCMWKLHCLLELLHLSEPCFQLIECFKAVLMVLGPGYVRGETTKCGSYTSAASDRPVQGINAGKKRHIKDESTYKRKRQKVGDDIPRGVYFAPEFADETDEKDAASLREMLISTVESLKPPPAGPSLRTEISIVALSMLTNAFCFCPWTDMTHRLFHQMYAWIPWIAKQVEEKNHIMFDMSIYLEGIHNLLLVDLDPQYEYTSKGNDLVAIQFLLKLPWTHFMLFKKPSSLVKSKCLSVGIWTKLGLQDGSDFDIFSWSLSDDFEQVQAVAAIYMPLKVLFSGLRALLHMFPKLEHLLEEKQLMVKKTIPQSLGFLSCLYGSSTTGSEKTACHLFLHEDLKKDETLNSLLQGFRCSKCDKFIESEDEKHFRIIETPEMVRLEMDHHRDYFNLQSLYFNLLYDESSEETQLACVEVIRRILGHTAPDILVRTRSQWIRCLEYLLVHVNTGVREAFCAQVGIFVQHPIVSCLFLGEDATEKSCERNFFNLIEHSLATAKDLLVIQTLLETIAEVMVAVDVTSELFLFCLFLLIDQLDHPNLIVRINASRLINRSCYIHVKGGFATLLSRAGHIKNELFDNLSVRLTNRPNVVREFAEAVLGVETEELVRKMVPVVLPKLLVYWQENAQAANTLNELAKLLDTDVVPLIVNWLPRVLAFALNQKEDKNMLSVLQLYHSQIGSDNKEIFAAALPALLDELICFVDIADTPETDRRLQRLPDAIKKISKVLTNAEDLPGFLQNHFVGLLNSIDRKMLHADDIFLQKQALKRIKLLIEMMGHYLSTYVPKLMVLLMHAIDKDALQSEGLLVLHFFTRKLADVSPSSIKYVISQVFAALIPFLEREKDGPHVYLDEVVKILEELVLKNRDIVKQHICEFPLLPSIPSLGKLNNAIQEARGLMSLKDQLRDIVNGMKHENLNVRYMVACELSKLLYHRNEDVAALIAGELVSDMEILSSLITYLLQGCAEESRTTVGQRLKLVCADCLGAIGAIDPAKVRVASCSRFKIQCSDDDLIFELIHKHLARAFRAAQDTIIQDSAALAIQELLKIAGCEPSLAGNVVVLTPQEHVQVNVSGSRRCGGNNEVKDRGQKLWDRFSNYVKELIAPCLTSRFQLPNVSDPGSAGPIYRPCMSFRRWLSYWIRKLTALATGSRVSIFAACRGIVRHDMQTATYLLPYLVLDVVCHGTEAARLSISEEILSVLDAAASENSGVTINSFGVGQSEVCVQAVFTLLDNLGQWVDDVKQGVALSSSMQSSGGRQVAPKSKDQVSTATTEQDHLLVQCKYVLELLLAVPKVTLARASFRCQAYARSLMYLESHVRGKSGSLNPAAEKTGIFESADVSSLMGIYSCLDEPDGLSGFASLSKSLNLQDQLLIDKKSGNWAEVFTACEQALQMEPTSVQRHSDVLNCLLNMCHHQTMVTHVDGLISRVPEYKKTWCTQGVQAAWRLGKWDLMDEYLDGADEEGLLFSSSDSNASFDRDVAKILQAMMKKDQYSVAERIAISKQALIAPLAAAGMDSYTRAYPFVVKLHLLRELEDFQAVLNGDSYLEKSFSTSDQVFSKAVDNWENRLRFTQSSLWTREPLLAFRRLVFGASGLGAQVGNCWLQYAKLCRLAGHYETAHRAILEAQASGAPNVHMEKAKLLWITKRSDSAIIELQQSLLNMPEGVVDSTVISSINSLLMAPPNPEPTVRNTQSFKEKKDVAKTLLLYSKWIHHSGQKQKKDVLNLYTQVKELLPWEKGYFHLAKYYDELYVDARKCEQESSVLSSAGSKKGSVSSNLSTEKAGWDYLFKGMYFYAKALHSGHKNLFQALPRLLTLWFDFGTIYKTSGSVGNKELKSTHMKIMSLMRGCLKDLPTYQWLTVLPQLVSRICHQNGDTVLMVKNIITSVLHQFPQQGLWIMAAVSKSTVPARREAAAEIIQGARKGFNQSDRGHNLFIQFASVTDHFIKLCFHGGQPRSKVINIATEFSALKRMMPLDIIMPIQQSLTISLPAFDMNNNEGHSASVFSGSDLPTISGIADEAEILSSLQRPKKIILLGNDGIEYPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYIRTFAVAPLTEDCGLVEWVPHTRGLRHILQDIYISCGRFDRQKTNPQIKRIYDQCAVKKEYEMLKTKILPMFPPVFHKWFLTTFSEPAAWFRSRVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTSGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFMRVCEITLTVLRTHRETLMSILETFIHDPLVEWTKSHKSSGVEVQNPHAQRAISSIEARLQGVVVGVPLPVEGQARRLIADAVSLENLGKMYIWWMPWF >scaffold_703888.1 pep chromosome:v.1.0:7:21992003:21992373:1 gene:scaffold_703888.1 transcript:scaffold_703888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIGLLRLKVKTDFLIGHGKIRIRFDISSGSGIFAARMAEKNVNIISNTLNKDASFSEFVAARGIFPLFLSLDQRLPFYDNVFDLIHANASNGLDIGARINPRSWSS >scaffold_703889.1 pep chromosome:v.1.0:7:21993169:21995303:-1 gene:scaffold_703889.1 transcript:scaffold_703889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGVIRQLLRRKLHSQSVATPVLSWLSSKKANEDAGSAGLRAFALMGAGITGLLSFSTVASADEAEHGLECPNYPWPHEGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEEAKAMAAEIEVVDGPNDEGEMFTRPGKLSDRLPEPYSNESAARFANGGAYPPDLSLVTKARHNGQNYVFALLTGYRDPPAGISIREGLHYNPYFPGGAIAMPKMLNDEAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFLLSLALLQAAYYRRLKWSVLKSRKLVLDVVN >scaffold_703890.1 pep chromosome:v.1.0:7:22001657:22002510:1 gene:scaffold_703890.1 transcript:scaffold_703890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDHELWRTLRCAGKAQDKTSVDTLMLKYRPIAPKPTTTSQPLVGDTSSTRRTKRKYVRVSKNNRATCRSKTNSFRSSSTDPENGREDIVTLQLLPERSTPLSLDHNNLDPTVETITRDETDMWLKFNGVDDVLQQVPVETWVTVESVNGGSVSHAVGFTDEEITDALDKDTCPGFISDGRNRVLMVNEAYRRIVTGDGGFGREVIVWLVVDQTATFYDYRSFTCKVRLEYTWRETKYTKAVPCDVWKMEFGGFAWRLDTTAALTLWL >scaffold_703891.1 pep chromosome:v.1.0:7:22008894:22009848:1 gene:scaffold_703891.1 transcript:scaffold_703891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDNGKQRQASVCDGATDQDKTVISKIMQRFRPIAPKPAVGESSDDAKSSRFLGRNRRSKRKYVRVRDKKTSSVSNNKNKITGKKNGCDRGNLKNPNLDKEIDGDDRSDIVTLELLPEKDRDLGNNGDQAGEFCSDLSDMNPKNSLYGSIIGLSSSLDQTVVESWLTVECVSDTCADLGGYHILEQLGRMDQGEERVMRMLEVDTCPWLVSDGSNRVCWVNRAYRRMMGAPDVEVIRVWLVVAMDLMEEIACMVELYGAVTCRVRVRYEPSTWRKMKVPCDVWRIRSGGFAWRLDVESALRLGM >scaffold_703892.1 pep chromosome:v.1.0:7:22013607:22016994:-1 gene:scaffold_703892.1 transcript:scaffold_703892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQAPHDDHQDDEKLAARQKEIDEWLPITSSRNAKWWYSAFHNVTAMVGAGVLGLPYAMSQLGWGPGIAVLVLSWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYIVVPQQLIVEIGVCIVYMVTGGKSLKKFHELVCEDCKPIKLTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWASSASKGVQEDVQYGYKAKTTAGTVFNFFSGLGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVIVAYIVVALCYFPVALVGYYIFGNGVEDNILMSLKKPAWLIATANIFVVIHVIGSYQIYAMPVFDMMETLLVKKLNFRPTTTLRFFVRNFYVAATMFVGMTFPFFGGLLAFFGGFAFAPTTYFLPCIIWLAIYKPKKFGLSWWANWVCIVFGLFLMVLSPIGGLRTIVIQAKGYKFYS >scaffold_703894.1 pep chromosome:v.1.0:7:22027877:22031499:-1 gene:scaffold_703894.1 transcript:scaffold_703894.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7MJ39] MGSGQWHVEKRSTFRNDSFVREYGTVPETGCLSIIVLGASGDLAKKKTFPALFNLYRQGFLNPDEVHIFGYARTKISDEELRDRIRGYLVDEKNAEQAEALSKFLQLIKYVSGPYDSEEGFQRLDNAISEHEISKNSTEGSSRRLFYLALPPSVYPSVCKMIKTCCMNKSDLGGWTRIVVEKPFGKDLESAEQLSSQIGELFDESQIYRIDHYLGKELVQNMLVLRFANRFFLPLWNRDNIENVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPISLKPEHIRDEKVKVLQSVVPISDDEVVLGQYEGYRDDSTVPNDSNTPTFATTILRIHNERWEGVPFILKAGKALNSRKAEIRIQFKDVPGDIFKCQKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMNTVQSELDLSYGQRYQGVAIPEAYERLILDTIKGDQQHFVRRDELKVAWEIFTPLLHRIDKGEVKSIPYKPGSRGPKEADQLLEKAGYLQTHGYIWIPPTL >scaffold_703895.1 pep chromosome:v.1.0:7:22040986:22045219:1 gene:scaffold_703895.1 transcript:scaffold_703895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDREKERELELESAMYTNCLLLGLDPNVIGLGASNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPAQSSKDFDKVWPIFDSAQSRDFRKVVQAIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFPADVASNPLPSSLTDVSFSHAATLLPVTKARIVLERRRFLKNAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLNDLRNKVKQEGEVWDDLVSSSSQNSHLVSKATRLWDSIMARKGQHEVLASGPIEDLIAHREHRYRISGSALLAAMDQSSQVPCAELLSAHSDDSASLADDKELSDGSYTNMHDHSLGDSFETASSQASDETLSRVDDRGGKINQTVDVAEVIRRWTHALQRIHKQSLLLAKANDGDGPDILRTASDGGTSGHVESLAATLTEHQQHLASFQVLINQLKEVSPALQKSISECTEMVNSLPPTLPPVTRSNGQASSLLQSQGSGRIMDGVSNDVAELTSTMSNVQLEKVSASPTLKLPQLFSSTPTSSGKGGNGQKRQTMASQVNKMESLSEKNSTDQALSNARPDNLPTDTSSSFVQNLKKSVREAALLIPSSAGSSRDSQSDEGSEHYFVPLSATGFSRFPSETKALPPRGSRALTSMSEPSFLEPNVPDSFAPSKYSDIPDTFDDLDSFKDYDNGNGFLSVAGSNSVASDAQQSFYDVDDQVFSPPLLMDSSLLSDAYEDLLAPLSETEAALMEH >scaffold_703912.1 pep chromosome:v.1.0:7:22114393:22115356:1 gene:scaffold_703912.1 transcript:scaffold_703912.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein [Source:UniProtKB/TrEMBL;Acc:D7MJ55] MGKLMAKRFCIGFGCGRIGTGNNKRSSSSSSSSSSSSLSSSSSSSSCNNNNIKWEMRPGGMLVQKRSEDSNTEDLISLRVSTVSQLSYEISIDANSTFGELKMMIAIVSGIEAKEQRLLFRGKEREDREYLHMIGVGDGDKVFLLQDPAFKELKHIHLPITV >scaffold_703920.1 pep chromosome:v.1.0:7:22146386:22147772:-1 gene:scaffold_703920.1 transcript:scaffold_703920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRLEYKDIIKAGTHNRFLYRFLDYLFGESESIWQFLNESLQLHEAPILERLIIELGPHCPVDVDVVKWISNAVDRGVSELEFDLMWSAKPTSLPKNLYICNTLVYLRLSNKVFVDVASPLYVERHDQDNLIKFRVQVPSLETLVYTNEKSKAGSLIINSAILKNLFIVDISRNSCSIESKPCLDNAFVYVTFYPDDKFMRSLSSVMHLELMLINETVACCQTINFSRLIECKILVVEFDWFEPFVALLQHSPKLKAVFINLQRAGISLKSTWKWNKKKIMKELKSMYRISTTCQLLFSTQLEFEP >scaffold_703923.1 pep chromosome:v.1.0:7:22156121:22157137:-1 gene:scaffold_703923.1 transcript:scaffold_703923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSADDLPKDLAMIVTSCWKEDPNDRPNFTEIIQMLLRCLSTISATELVPPSIKRVFSSENTVLPPESPGTCSLMAVRDKDQIPTDANSPQKEVRGSFFFFFCC >scaffold_703928.1 pep chromosome:v.1.0:7:22174153:22174352:-1 gene:scaffold_703928.1 transcript:scaffold_703928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCENVQCVVPATCCAIDHFVRRHYSTLLPQGSENTLTDDVLRVQAIDILIG >scaffold_703932.1 pep chromosome:v.1.0:7:22194403:22196072:-1 gene:scaffold_703932.1 transcript:scaffold_703932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFDLIFPFPLIILQNVCGFDFHEKEVESEESLLTLYNRCTSHHFVHVNNLDLKENRFEIFRNNARYILNSNKKGRPYTLGLNQFVNMKHNGFLPMYKCATVRIDQTLPGSNMFMHEDMDQVPNSFDWKERSVVTDVKNQESECAFGRRFFRSSRQTTSLLKSLSLPLWFSGALGRSRERVCLSVYLLIAFPLFWVFFGFSYGGLKTRSRWLCFDWVGSVLVMGLLGSQWMVGVFVGARVVLHYYGCCFWRWRIGGWLAAEVSWPPFSRFLLLLPRFLWISWLSFVDFGVVVALSSYSSLKR >scaffold_703934.1 pep chromosome:v.1.0:7:22217008:22217386:-1 gene:scaffold_703934.1 transcript:scaffold_703934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSKKSQFDGGLDSDGKKWVIAGISIQASLKPVKTKHKAPPEKEAEVEEDCYNEEEECSTTPTAKETKIPEILECPPAPRKRRPALKCRCNAVIEFFTPPSDLETVFIRRR >scaffold_703939.1 pep chromosome:v.1.0:7:22258287:22260628:1 gene:scaffold_703939.1 transcript:scaffold_703939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTQSKRAEAAAAFFRSQSAVSPKKKKAKAVHNSLAEESRCRNTIPDSSNSDTTATIGEGSSPRSPTSTSGSNIDKTITSSSDSLSRKDVPDSADGETSVAGVLSSSTVGEKVRNHNILINKLQQKTLVIHGFPIAIQLLLFQSIPLLLRYLPSSEDAQTFYDMSLSVLPTLKTYHTNNILLVENDKDLIVSQPVVSTEEDCVSVGDPKVSHLLSLIRGGYRFSKGDWRGGDASLAKLCICDKKNIVVAAVDLIILRQIHVHQLLCQDFYLQMLIPKLLLN >scaffold_703940.1 pep chromosome:v.1.0:7:22263157:22263568:1 gene:scaffold_703940.1 transcript:scaffold_703940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLVDDAAIEIYRRVAETSFTMLAPLLLVAKKQSKLALSDAVLSSLSLHEFFNNPELANEGFAFRSFFLKCVAAKNPVANYLESLRIVAQHGDVSHAIAMLYSAVPESDYISFARGMFLIVA >scaffold_703943.1 pep chromosome:v.1.0:7:22270460:22271618:-1 gene:scaffold_703943.1 transcript:scaffold_703943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAEGVDDLLQRIGMSCLKDMYYPTFEEETRDFLSTVKVEYEKPRDKVASKGLMTFKIRNKGYGKDAGAVTLKELCLLYQGLKHLLIDMRGVLLEYEFGDEINYGSIFANNLLQFKTWAKTTPNPDLYIGGMLTPLFKKAGVDLSSSRELPDMAYLSRDYLILSRFLKATRDLNTLHYKIQLRDKTKKIFVLPQPDKTNILTLTNVKFEVGEEDLLQEDQGPMELSDGDGEDAAEPRDYLEELNMIQMPDIEFTARTKREKLIQAAYKAQKKINELQKKLIGKLFRKVKKLQPPDHVSSEDEDE >scaffold_703948.1 pep chromosome:v.1.0:7:22301673:22302280:1 gene:scaffold_703948.1 transcript:scaffold_703948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSEDGNTQSPKRQRTRSETGTLLDLDVLDCPICYEPLTIPLFQCDNGHVACRFCWPKLGKKCPACVLPIGNKRCIAMESVLKSSAGVWGV >scaffold_703950.1 pep chromosome:v.1.0:7:22314046:22315216:1 gene:scaffold_703950.1 transcript:scaffold_703950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKQSQALVTRSTAKKPSEVASTSKKPSEMPSPVKKPSGVTSPAKKPLDVASPAKKPLEESSTTAKKKQPEPVKNLMPESSSEEEEEDSSADEEEEPSKDSGKKNPETAVVTNQSSVSESEPETKSESDTETEPTAKTPTPATAPASLNKKRQSEGEPSTEEVNVSKRAKTESERETAKKQLFQRLWSEEDEIVFLQGMIDFRRDIDHDKKCFELAKMIWGSDVDATLVKSKRKIKVDDSLKVDGVKVECDWFVSSFLIGSFKNLGAWIDEETLKVKWSLVPVKTRKRIEEKIKSVQANEFKLMLQKLEVLHEVSSLMAKSD >scaffold_703951.1 pep chromosome:v.1.0:7:22316478:22317266:-1 gene:scaffold_703951.1 transcript:scaffold_703951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKWRALINCNGKLGVLRQDHNGCFSGTTRSLELWVLDDVKKLKWLRQIYVLPPLWKNLVANTRLNIVGMTGNGEFVFSPAVLRDPFYILYYNVEKSTIVRVIIQGIGPVSGQEIYTFIDHVEDLKRM >scaffold_703952.1 pep chromosome:v.1.0:7:22326831:22327239:-1 gene:scaffold_703952.1 transcript:scaffold_703952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYTNKLGVMRGDDNGLFSGTTTSLELWDLDDVEKHKWLKKIYVLPPMWKNLVADTRLCIVGMTSNGEFVFSTYVLSDPFYIFYYNAEKNTIVRVTIQGIGPVSGQDIYTFIDHIEDVKRM >scaffold_703955.1 pep chromosome:v.1.0:7:22375797:22377290:1 gene:scaffold_703955.1 transcript:scaffold_703955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKAKDIVNSDSVVVFSKTYCPYCVRVKELLQQLGAKFKAVELDNESDGSQIQSALAEWTGQRTVPNVFIGGNHIGGCDATTNLHKDGKLVPLLTEAGAIAGKTATTSA >scaffold_703956.1 pep chromosome:v.1.0:7:22383964:22384390:-1 gene:scaffold_703956.1 transcript:scaffold_703956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTTTSGQECNVIRSQIKHCEEHNRQRWLLSQLLNVVSPDGPNTLFFFFCQHSNVV >scaffold_703960.1 pep chromosome:v.1.0:7:22400679:22400877:1 gene:scaffold_703960.1 transcript:scaffold_703960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJ99] MPAKKNSLFCESFLFESLRRREKVIKLCLHRCFFHHILRLSLISPLRRRQQE >scaffold_703977.1 pep chromosome:v.1.0:7:22486127:22486383:-1 gene:scaffold_703977.1 transcript:scaffold_703977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETEITAEKESSLFKKFIVNHAYNFLKKNCREGDKALAIPRSKLLKVLLDFGEKTVVEEVVATNEIGAMS >scaffold_703979.1 pep chromosome:v.1.0:7:22492418:22492688:1 gene:scaffold_703979.1 transcript:scaffold_703979.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJA3] MASLKSTHEKTHRWTKTATNLVVAQLFGFLAQLLMPKIIDFGSAQVSSMFESFQRKDKEKDHPFLSQSLEEFKESY >scaffold_703983.1 pep chromosome:v.1.0:7:22503761:22504146:1 gene:scaffold_703983.1 transcript:scaffold_703983.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJA7] MGSPPEGSSLRIFVQAVVYSNCSRHGCYIHWRCTSPWECDWINDIELWVLYCDLGQSKRRCNQNSKSF >scaffold_703987.1 pep chromosome:v.1.0:7:22522393:22522986:-1 gene:scaffold_703987.1 transcript:scaffold_703987.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein [Source:UniProtKB/TrEMBL;Acc:D7MJB3] MSEGYAIELYFDPALENQVLKAWNVFARRQISTKLINTESRPHITLFSTSFFDSTRLESVIKNFVSKQEPISISFSTIGTFSSDNNVLFLSPTPSLSLLQLQSQLCDTMKKESVEIGEDYRVDSWVPFCPVALDVPKSRMAEAFSVLRDLKLPVNGYGMEIGLVEFSPVREVFSFPLGNTLES >scaffold_703989.1 pep chromosome:v.1.0:7:22532501:22532708:-1 gene:scaffold_703989.1 transcript:scaffold_703989.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJB5] MLLSGAFSGNQMLSPSFGLFFQHPSILLRCLVGFCPISFGFHSYDDRFAVG >scaffold_703992.1 pep chromosome:v.1.0:7:22536470:22537254:-1 gene:scaffold_703992.1 transcript:scaffold_703992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFREDQNQSMQQIANIWLDRTRYTDSCFTDCVSGKYGYNYESAFCRRDETGTCKICCCEMINE >scaffold_703993.1 pep chromosome:v.1.0:7:22563731:22564757:1 gene:scaffold_703993.1 transcript:scaffold_703993.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7MJB8] MAEQSQFNNLTLTIIILCFSFQSLSSAAESHLTVDFYSKSCPNFLDIIRETITNKQISTPTTAAAALRLFFHDCFPNGCDASVLVSSTAFNTAERDSSINLSLPGDGFDVVIRAKTALELACPNTVSCSDIIAAAVRDLLVTVGGPYYEISLGRRDSRVSKSSLVSDLLPLPSMQISKLIDQFSSRGFSVQEMVALSGAHTIGFSHCKEFTNRVNPNNSTGYNPRFAVALKKACLNYRNDPTISVFNDVMTPNKFDNMYFQNIPKGLGLLESDHGLFSDPRTRPFVELYARDQARFFKDFAGAMQKLSLHGVLTGRRGEIRRRCDAIN >scaffold_703995.1 pep chromosome:v.1.0:7:22570321:22572007:-1 gene:scaffold_703995.1 transcript:scaffold_703995.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7MJC0] MEIQRPVINLMVLHSQHDKSDNLSRRESLAGKSKWRISLSRSSSSSSSNNSPTKTEIPAEFLCPISGSLMSDPIIVSSGHSYERACVIASKTLGFTPNPPPDFSTVIPNLALKSAILSWCERRCFPPPKPLDSAAAEKLILTLMEKTPQRKVSVSEKELIKAIRDKPSVRLNHAATELDRRPNYFNSSSDESIASSSRTLQLTTKPSCFSSPSSGEIESLEPNLTPEEEALLTKLKSNRISEIEEALISIRRVTRIDESSRISLCTTRLISALKSLIVSRYVTVQVNVTAVLVNLSLEKSNKVKIVRSGIVPPLIDVLKCGSVEAQEHSAGVIFSLALEDENKTAIGVLGGLEPLLHLIRVGTELTRHDSALALYHLSLVQSNRGKLVKLGAVQMLLNMVKLGQMIGRVLLILCNMASCPVSRPALLDSGGVECMVGVLRGDREVNESTRESCVAVLYELSHDGGLRFKGLAMAANAVEELVKVERSGRERAKQKARRVLEVLRAKMEDDDSPENEEIDWEELLNSGDVSRSRFRIGGEKSCVNSAEF >scaffold_703996.1 pep chromosome:v.1.0:7:22575056:22578524:-1 gene:scaffold_703996.1 transcript:scaffold_703996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASRASNYDVFLSFRGEDTRHSIVSHLYEALTSRGIATFKDDKRLELGDHISEELQRAIEGSDFAVVVLSENYPTSRWCLMELQSIMKLQVEGRLGVFPVFYSVEPSAVRYHLGSFDLEGYQRDPKLADVVPKWRQALKLIADLSGVASGQCIDEATMVRKIVEDISRRKTLKHKIDFRNIVGVDTHMQGLKSLLDMDSNNDEVRMIGIWGMGGIGKTTIAKCLYDQLSSQFTTSYFTQDIKGIHKDLDLLHLQNKLLYNTLGDDIRPWSVEAGREVIASRLGNHKVLLVLDGVDKLAQIHALAKETGWFGRQSRIIITTRDKGLLNSCGVKTIYDVKCLDDKDSLQMFKQIAFKGGSPPSVDFEQLSIRAARLAHGLPSALQAYALFLRRRANSPEEWEEAVCGLESTPDDNIMEILKISYEGLAKAHQNAFLHVACLFTLSAEKSLINKTTNGYVILHKLVEQMGREIMLDSGKFIGDPEKIHEALDYRVTGVFGKMYKLRFVKVYKHVDDRGSRLQVIRDDQYPSMNGTLLHWDAFPLSTLPFTFNTYCLVELILRHSNLETLWSGVLQYAKSNYSLLYIPQTFFQLKKLDVTGSKNLKQLPDLSCNQKLDELILEQCKRLKGIPESIGERSTLGRLNLSYYGGPKSPMGVVIRKVSQTQRITLEFPTASVEMQLMNMSIMGDIKFRIFADFEGYAEYFSFSTEQKIHATRTVSVHQAPRLVSELNKSTSLNIRRFSYKENSRPVTFHSFPYIPALEKLKLVNLNIQKLSDGIGHLELLEKLDFSGNDFENLPEDMNRLSRLKTLCLRNWSKLKELLELTQVQSLTLSNCRGLRSLVKPSDASQDPGIYCLLELCLDNYLSSHDFKTLPSSIRDLTSLVTLCINYCKKLKSLEELPLSLQFLDAQGCDSLEADALEHFKERLNKEVPAHPRNARFQETQMTSYERDHQATKKSPTQVPMLLTFLVISTCHAYDCFQY >scaffold_703999.1 pep chromosome:v.1.0:7:22587867:22588644:1 gene:scaffold_703999.1 transcript:scaffold_703999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGCPELEELYIHHIYTDDREFEFEDIPPTPYYLYHDNIKKLTIRYIDDESYRNLKIYTPNLVYLDYSDSITCEFESENCLNVLLEARLNLVFGWRWRLSNEHINCSKIMDSICNVRILYLSSSTVESMCGWGVCISRINIGGNVVKVLEIQGYKGRLGELNQVKFFLWGMENLEEMKVNISDEIENKLQLTNALLALPKRSSKCNIHVL >scaffold_70400001.1 pep scaffold:JGI8X:scaffold_704:1377:1593:-1 gene:scaffold_70400001.1 transcript:scaffold_70400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MY04] MDKFTGEQDLPHTTNNQTPATPMSSMLQLLEIPEHTFARDAAPVIDDTDLEGNVYYFK >scaffold_704007.1 pep chromosome:v.1.0:7:22654750:22657067:-1 gene:scaffold_704007.1 transcript:scaffold_704007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFVGFLSFIVFFFVICNQNLETACVDEEDTELPATSSSIAEPTQRSDETPNQTQPSSATSRPLPPMQTHPSSSTSRPRTQPSLFASRLRPPMQTQPPSFSSRPHPPMQTQPSSSFSRPRAPMQTQPSLFASRLRPPMQTQPPSFSSRPHPPMQTQPSSPFSRSRPPMQLSSSSSRPHTPMQTQPPSFSSRPHHPMQTQPSSSSSRPRPPIETQPSSSSSRPHTPIMRQPSSSSSIPHPPNGTQPSSSSLPPPERQPSSSQHRRHEASSSYCGNDNRFLNIAPRHHHERDHPELVPEPHHMDALSWMALGYCLTAGLEFNSIYAQAHNDPFKLTPRLIYMSVINMSALMNLIGANAILARTMPRIAFMLDRLGSVLVLVNSILAANPALPLPYAVAYVLGLILAYIYCSICP >scaffold_704010.1 pep chromosome:v.1.0:7:22688202:22689559:-1 gene:scaffold_704010.1 transcript:scaffold_704010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLEYKESKDSDHESVWWFLEKSLQQHKAPILESLSIKLDQRCPIDADVKKWIANAVDRRVRMLNLILMWSAAPIDLPASLYTCETLVELNLCREILLDVPSSVCLTSLKKLYLSGLMYKDEVSAVRLLSSCPVLEQLSVSRSRNDNVTNFIVEVPSLKNLLYSKERSDIGDTGGSLVIDCPALKDLFILDSSGGSCSSRNMPCLDRVLISTVWYPDEKFLIPFSSITCLKLCMTHAMVDVSAPRTFVFYFVITLAHLLMLMYTSQVDCCSAVYFPRLTVLNIIWPLDTDWMEPLLLLLKNSPILKNILITNLAYRGRTEEKEFATYILGNSKCLKYAEFLISCNNLEKKTKIREDLNSMSRVSTSKLKVLRD >scaffold_704013.1 pep chromosome:v.1.0:7:22699205:22705391:1 gene:scaffold_704013.1 transcript:scaffold_704013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGEGKEFSFPREEEKVLSFWNQIDAFKTQLKRTEHLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQTMTGHHVTRRFGWDCHGLPVEQLIEKKLGIERRAQVLQMGIDKYNEECRSVVTRYVEEWEKVITRSGRWIDFKNDYKTMDLPFMESVWWVFAQLFDKNLVYKGFKVMPYSTGLKTQLSNSEAGLNYKDVSDPEIMVTFPVIGDQDNAAFVAWTTTPWTLPSNLALCVNPNFVYLKVRNKHNGRVYVVAESRLSALPADTKKSNPKPKGGDSAADSYQVLEKFDGASLVGKKYEPLFDYFSDFSCVAFRVVADDYVTDDSGTGIVHCAPAFGEDDYRVCLENKIVEQGENLVVAVDEDGLFTERITHFFGRYVKDADKDIIEAVKTKGRLVKTGSFTHSYPFCYRSDTPLIYRALPCWFVRVEDQLKEQLLENNEQTYWVPDHVKDKRFHNWLENARDWCISRSRFWGTPLPIWISDDGEEVVVMDSVEKLEKLSGVKVFDLHRHHIDQITIPSSRGPEFGVLRRVEDVFDCWFESGSMPYAYIHYPFENKELFESNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKSLDNYPPPLEVIDEYGADAVRLYLINSPIVRAEPLRFRKEGVLGVVKDVFLPWYNAYRFLVQNAKRLEIEVSGPFVPTDLATLRSSNVLDQWIYSATQSLVCFVRQEMDGYRLYTVVPYLLKFLDNLTNIYVRFNRKRLKGRTGEDDCHIALSTLYNVLLTSCKVMTPFTPFFTETLYQNLRKACEGSEESIHYCSFPEEAEGTRVERIEQSVTRMMTIIDLARNIRERHKLPLKTPLKEITVVHPDAEFLDDITGKLKEYVLEELNVRSLVPCNDTLKYASLKAEPDFSVLGKRLGKSMRLVAKEVKEMSQQDILRFEETRKVTIAGHTLELTDIKIVRVFKRPDGLKDTEIDANGDGDVLVILNLQPDDSLYEAGVAREIVNRIQKLRKKSGLEPTDVVEVYIESLDKDESALQQVLCSQEQYIKDTIGSSLLPSTLMPSHAVILSDESFQNVSKLSFKISLARPALKFNEDAILALFSGDEKFARGLQAYLLSRDQSNLKSEFQQGNGKIITLSCIEKLPVVSVVLGDHLHLTVGDYLLSTSNS >scaffold_704015.1 pep chromosome:v.1.0:7:22731018:22731686:-1 gene:scaffold_704015.1 transcript:scaffold_704015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHERYKKKYWTPSEDLKLTRLVATYGPRRWKHIAEKMQVKTGKSCRFRWINQLDPRINKTAFNDEEEAKILSAQRELGNKWSKIAKLLHRRTANAVKNHWHQLMRKTLMKQAKDETNNLKAKYKEAMEYSARHHQLTHHVSISQPSSSSLPSEAKDTTEASYFKTNVPLKYIDFLGVGDA >scaffold_704016.1 pep chromosome:v.1.0:7:22733776:22734266:-1 gene:scaffold_704016.1 transcript:scaffold_704016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHERQEKKFWTPSEDLKLTELVATYGARRWNRLAEKMQGRTGKRMCFHFCYSLFVFFRKLKSYSSYYVLEGKGCRIRWLNKLDPRINKTAFTDEEDAKILSAQRELGNQWTKIAKLLHRRTDIAVRNQWRHLNTKKVEEANKRRNH >scaffold_704019.1 pep chromosome:v.1.0:7:22749444:22751526:-1 gene:scaffold_704019.1 transcript:scaffold_704019.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MJE2] MYIDIASSSSLSLPFLPLIRPHIYTCIPFSTIPEARQRNLIFKVSASSSSSSPSTQTKKVWRKQPEKNTTSSFQALRKHRRYQRSAFLDHNVDMDELLASIHQTQNEKELFSLLSTYKDRQLSIRFMVSLLSRENDWQRSLALLDWVHEEAKYTPSVFAYNVVLRNVLRAKQFGIAHGLFDEMRQRALAPDRYTYSTLITSFGKEGMFDSALSWLQKMEQDRVSGDLVLYSNLIELSRRLCDYSKAISIFSRLKRSGITPDLVAYNSMINVYGKAKLFKEARVLIKEMNEAGVSPNTVSYSTLLSVYVENHKFLEALSVFAEMKEVNCPLDLTTCNIMIDVYGQLDMVKEADRLFWSLRKMDIEPNVVSYNTILRVYGEAELFGEAIHLFRLMQRKDIEQNVVTYNTMIKIYGKTMEHEKATNLVQEMQSRGIEPNAITYSTIISIWGKAGKLDRAATLFQKLRSSGVEIDQVLYQTMIVAYERVGLMGHAKRLLHELKLPDNIPRETAITILAKAGSTEEATWVFRQAFESGEVKDISVFGCMINLYSRNQRYVNVIEVFEKMRTAGYFPDSNAIAMVLNAYGKQREFEKADTVYREMQEEGCVFPDEVHFQMLSLYSSKKDFEMVESLFERLESDPNVNSKELHLVVAALYERADKLNDASRVMNRMRERGILKPFSG >scaffold_704020.1 pep chromosome:v.1.0:7:22763000:22764900:-1 gene:scaffold_704020.1 transcript:scaffold_704020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEDENEEPLSPMARVFQSPGNNCCIITMIGCKTKINADVILRALKLNVSKHPRFSSKLSDDGACWIKTQVNVENHVFVPDIDQNKIGEDGEGYVEDYVSRLTMLPLDKSRPLWDMHILNIKTIDAEAVCVIRSHHSLGDGTSLMSLLIACTQKTSHRDIFPTSHVLKQRKREDKDKVPWFLRWVLAVFSLVRLICNTFVDSLLLLGTTLFLKDTKTPLKGDVGVENNQKRFCHRIVSLDDIKLIKEVMNMTINDVLLGVTQAALSRYLSSFPGKIRLTAGVFVNLRSDTGIQATTCMKPLAEMMATNSKCRWGNYFSFINFPIAIGLETDPLLYLSKAKSAMDRKKHSLQAPLAYSTTEFIFNTFSAKVGAILPKRHISNTTTFISNMIGPMEEINFLGHPIAYIAPSVYGHAHALTIHFLSYAEKMVISIGIDPTVIQNPYKVCDEMEDSLEAMKATLSERGLI >scaffold_704021.1 pep chromosome:v.1.0:7:22767008:22767437:-1 gene:scaffold_704021.1 transcript:scaffold_704021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFMCAKFVNLLSYSYDSKFVNLLSLGLFSVSGGGRYLSTDSNKIDEPFNVEEVDSVHVPPTPTEKLLVRGGNGFVGSHICKEALDRGLSVSSLSR >scaffold_704025.1 pep chromosome:v.1.0:7:22778517:22778821:1 gene:scaffold_704025.1 transcript:scaffold_704025.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MJE7] MNSQKDNKDESSTYYDTAKKVAGVAGAVVAFAGLAFLSFASSSEETKQEEKMMKAPGGNGYIPRKPFEDNPKDFFEQQRINRRNSRN >scaffold_704027.1 pep chromosome:v.1.0:7:22786984:22787252:-1 gene:scaffold_704027.1 transcript:scaffold_704027.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MJE9] MNNDEEKDNKDENWKLFYDIATKVLAMIGAIGTLANLVSLMSLGSQGKMLKISWMDARIPIKAYEDYFKDLRDKK >scaffold_704029.1 pep chromosome:v.1.0:7:22793679:22796641:-1 gene:scaffold_704029.1 transcript:scaffold_704029.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7MJF1] MNLNLASPLWVPVKALLCRTITRRRNFTSTAITRLADKGENDESELLVVVGGGAAGVYGAITAKTLAPDLRVLVIEKGRFLSKVKISGGGRCNVTNGHCNDTINLAGHYPRGHKELKGSFFYTHGPADTMSWFSDHGVPLKIEDDGRVFPVSDCSSSVIDCLLNEANIRGVRLERGKSVLAASTKPDGKFLVKVGKQSADTSESVEATYLLIATGSSQQGHSLATKFGHSIVDPVPSLFTFKINDPLLTALAGISFSKVQAKLKLDNPSQDFSNLVQIGPMLVTHWGLSGPVILRLSAWGARHLFSSKYKGHLIVDFIPDINIETAKSVLKEHKLQFSKHKVSNSFPPQFGLVNRFWRYILDREGSSKDTLWASLSNNSLSSISDLLKHCTFQVTGKGQYKDEFVTAGGVPLSEVSLKTMESKLVPNLFFAGEVLNVDGVTGGFNFQNAWSGGYIAGTNIGESASSSSRRSSKERKVVIGL >scaffold_704036.1 pep chromosome:v.1.0:7:22836465:22837759:1 gene:scaffold_704036.1 transcript:scaffold_704036.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:UniProtKB/TrEMBL;Acc:D7MJF8] MGCASSKNRNRCRNCKGGLSPVIVPRSYSMHVHHPAQHTGDSYHTVALTSSTIGSLSLCDSSLRHFHKHLEDSIYKQRVSDQMGEEKLISGNGFLHGDEENDIIKVREFREKMNLDLQAKVIEARVWSSMINEKIPKIVPKTPIVTPPGEPETINTWELMEGLEDVSPLRSPNHLRSFSFDVVRIQPNHDDDVDVDVSFDRAKSRFHENVKSNSRVDDLEPPEIVSTFTKSLQEKGNCKRKSLGKEKVRLYFTSLRGIRKTYEDCCNIRIILKSLGIRIDERDVSLHSGFKDELKKLLEDKFNNGVGITLPRVFLGNKYLGGVEEIKKLNENGTLEKLIKDCEMVEDGLTGFGNECEACGDVRFVPCETCSGSCKIYHEGEEEEEEEEEVTDYGFQRCPYCNENGLIRCPVCCE >scaffold_704037.1 pep chromosome:v.1.0:7:22842474:22842736:-1 gene:scaffold_704037.1 transcript:scaffold_704037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGNAKRYDPYCRKIPSVLAAPGNCFKKNGNALCKESCVNENFLHGACLHLPKPQSKLKCHCWLKDAKFFP >scaffold_704038.1 pep chromosome:v.1.0:7:22844678:22845118:-1 gene:scaffold_704038.1 transcript:scaffold_704038.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PsbN [Source:UniProtKB/TrEMBL;Acc:D7MJG0] METTTLVAIFISGLLVSFTGYALYTAFGQPSQQLRDPFEEQGDYGIQALIFATLVAAYICESMEGHH >scaffold_704044.1 pep chromosome:v.1.0:7:22899077:22899787:1 gene:scaffold_704044.1 transcript:scaffold_704044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTYQYSWIIPFIPLPVPILLGVGLLLFPTATKNLRRMWTFLSIFLLSIVMIFSLYLSIQQIFLSCIHQNVWSWTINNEFSFEFGYFIDPLTSIMSILITTVGILVLIYSDNYMSHDQGYLRFFAYMGFFNTSMLGLVTSSNLIQVYFFWELVGMCSYLLIGFWFTRPIAANACQKAFVTNRVGDFGLLLGILGLYWITGSFEFQDLFEIFNNLI >scaffold_704045.1 pep chromosome:v.1.0:7:22899789:22900536:1 gene:scaffold_704045.1 transcript:scaffold_704045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPTPISALIHAATMVAAGIFLVARLLPLFIVIPSIMYIISLIGIITVLLGATLALAQKDIKRGLAYSTMSQLGYMILALGMGSYRSALFHLITHAYSKALLFLGSGSIIHSMEAIVGYSPDKSQNMILMGGLTKHVPITKMAFLIGTLSLCGIPPLACFWSKDEILNDSLLFSPIFAIIACSTAGLTAFYMFRIYLLTFEGHLNTYFLNYSGKKK >scaffold_704049.1 pep chromosome:v.1.0:7:22905568:22906233:-1 gene:scaffold_704049.1 transcript:scaffold_704049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRRSRQSSSAPRISDDQMIDLVTKLRQILPEIGQRRRSDKVSASKVLQETCNYIRNLNREVDNLSERLSQLLESVDEDSPEAAVIRSLLM >scaffold_704054.1 pep chromosome:v.1.0:7:22930998:22931245:-1 gene:scaffold_704054.1 transcript:scaffold_704054.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MJH3] MTPPKSPVPRSNRRRSSFLAVKSLSSTPHRYIAGRALTVDVSLEASPLHSTVILVRLICRDDVVDYG >scaffold_704057.1 pep chromosome:v.1.0:7:22940029:22940740:-1 gene:scaffold_704057.1 transcript:scaffold_704057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIFSSRKQNKERFDPFNLAALQFLVSLQVSSIHLVSFSTDERWCFIVFSVSLDNSSPKIGWDCLKNRLLSACPSCLEYLYLCRQSSVSKPPSLYLFKFFCCGRKGMIHVKCIIVVFCLVFLVFSLDMVVKTLIFGDF >scaffold_704058.1 pep chromosome:v.1.0:7:22940750:22941150:-1 gene:scaffold_704058.1 transcript:scaffold_704058.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MJH6] MATSLYVELMGLDLAHEMMFRVLKMEKSWRRRRSLSYFKLNHVTKLIDEKESGNADDVEILEPCLKFFKPEGDESEVSKEADRVSAEYYDPKPSDFVVSIILRFSIGFSHCYYRVSIL >scaffold_704060.1 pep chromosome:v.1.0:7:22952671:22953722:1 gene:scaffold_704060.1 transcript:scaffold_704060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRSLPSSSCCSSSSSSSCSSYSLASTSLSNRLETIFKKASELCTLCDIEACVIYYGPDGELKTWPKEREKVRDIALRYSQLNEALRRKKRVNLYDFLNKKKEKGLKNPNKRRKTSLKKVNVLKYPISDHYSPDQISKLIQSLELNVSKVQERLRFVESQKHKETKPDHQSLASSSLNHQTQSLNPSQFSLFIYNHGDNTLSQIPLSASNFNPDLLQESGLMKQELCGYDQNMFMSDITNNKFQHPCVSNTEHYSVVPEPVNNYGLNQLMQDFYGCDQNLSNINSNNFQHSFVSNTQHYSAVQESVNDYGLMPHELYGYDHNMFTSEIYQQQPSF >scaffold_704064.1 pep chromosome:v.1.0:7:22974327:22978819:1 gene:scaffold_704064.1 transcript:scaffold_704064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQRRVLCSQNQGLADYVLQKKQEHEDKPKGLSENLERTFVKAYRNVCDAKDSIDTLKDLSKIKGFGKWMVKLMQEYFVTGPEAVPGNRAGKKAKGTEPYRPRRNSAAYALLITLHRETANGKAFMRKQELMDAADASGLSHVPIAPEKGKGKVGVGNSKREWYSGWSCMQILIEKGLVVKSSNPAKYMLTVEGRNVADDCIVRSGLLNSVDILSDDEMDPVQQANIPPNQNSTSSFTMREEQPYVDARSRGQSSIPAGPSYRAQAFLATNTGQVDLEGSFSKKFRSCNVGSTLNPCSSGSSHAMKACSSSLASDGTEGVTTITRLPPLRFGEAFEEAYDVILLLDDREQFATKGSRSIVDNIRSVFKIKIEVRRLPVGDCIWIARHKYQQDEYVLDFIVERKNVDDMRSSIMDNRYKDQKLRLQRSGIKKLIYILEGDPNQSSTAESIKTACFTTEILEGFDVLRTNGLGETLRKYGYLTKSIYQYYKSRVNDDQSKVACPSFDDFVKRCQDLGKRTISDVFAIQLMQIPQVTEEIAIAVLDKYKTVRSLASAYSKLDGNVSAQEEMLRNQSNNVICASASKNIYKTEYENMRRQCREIHERNENGCDSKQSSDTEDIQVLDSHNIEEVDSSTRSVTVEELKSEEGDGTPHSSVSPFRFLLYGMDPFHLLPASM >scaffold_704065.1 pep chromosome:v.1.0:7:22979415:22980250:-1 gene:scaffold_704065.1 transcript:scaffold_704065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQAGIISVRSDLPLGSVLEPVCVRGSSPSISVTIRLFKDKANGNWWLDYGQNIIRFWPASRFKQSYATNVEWGGEVYSANMPSPQMGNGYFPSKKPLDDAIIFNITTIDEKYKIDEWVNNTETFSDNSRGYKVIEDLHSEFPVGHIIYFGGPGNI >scaffold_704069.1 pep chromosome:v.1.0:7:23012144:23012798:-1 gene:scaffold_704069.1 transcript:scaffold_704069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHMKVVYDLLALEVNKEVRTIGIWGSSIRVSQRKTTFARYIYSEMFVNFQTYVFLDNVENMKDKLLKFEGEEDPTVIISSYHDGHEITEARRKHRKVLLIADDVNNIEQGKWIIEYANWFAPGSRIILISQNKNMLVDAGVKHVYEVTSFRLLGSFLYGRGRDEWVATLLKLKAKQGGNIMEV >scaffold_704070.1 pep chromosome:v.1.0:7:23013304:23015401:-1 gene:scaffold_704070.1 transcript:scaffold_704070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKSSKSNAYFKRYQVKFRRRRDGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIVAQIVSASIAGDIVKASAYAHELPQYGLTVGLTNYAAAYCTGLLLARRVLKMLEMDDEYEGNVEATGEDFSVEPTDSRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFNKENKQLDAEIHRNYIYGGHVSNYMKLLGEDEPEKLQTHFSAYIKKGVEAESIEEMYKKVHAAIRADPNPKKTEKPAPKEHKRYNLKKLTYEERKNKLIERVKALNGAGGDDDDDEDDEE >scaffold_704072.1 pep chromosome:v.1.0:7:23024435:23025473:-1 gene:scaffold_704072.1 transcript:scaffold_704072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSGSQLHNVFVYGSFQEPDVINVMFDRIPEIVSATLPGFQRFRIKGRLYPCIVPSEKGEVHGKVLMGLTGDELENIDAVEGNEYERVTVGIFREDTSEKMPVKTYIWINRADPDMFGEWDFEEWKRLHKEKFIETFKKIMECKKKPQGQESDDFSHALREDQSDETPLS >scaffold_704073.1 pep chromosome:v.1.0:7:23033399:23035582:1 gene:scaffold_704073.1 transcript:scaffold_704073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENSTMEIDQQNEDLNNWLPVTASRKAKWWYSAFHNVTAMVGAGVLGLPFAISQLGWVSGIVAVLGSWVITFYTLWQLVELHEAVPGKRFDRYPELGQHAFGPKLGYWIVMPQQMLVQVGTDIVYNVTGGKSLKKAIELLIPSFAMRNTCYILIFTAIQLSLSQIPNFNSLKGLSLLAAVMSVCYSMIAFVASTVEGAQHHPASYGIRSQYSVDIAFDVMNALGTVAFAFAGHSVVLEIQATIPSTPEVPSKKPTWKGVVVAYAIVLLCYLTVAISGFWAFGNLVEDDILISLQKPNWLIAVANFMVFLHVVGSYQVFAMPVFDGIESCLVKNLKFTPSICLRIVGRTSYVALVGFIAVCIPFFGGLLGFFGGLVFSSTSYFLPCIIWLVMKQPKRWSFHWIASWISIIVGVLIAVLAPIGGARQIVLQAKTYKMFS >scaffold_704074.1 pep chromosome:v.1.0:7:23035705:23037993:-1 gene:scaffold_704074.1 transcript:scaffold_704074.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2745 [Source:UniProtKB/TrEMBL;Acc:D7MJJ2] MFLSKTLIRRSLSTFTSSPSDSLLADKALTFLKRHPYQLHHLSANFTPEAASNLLLKSQNNQELILKFLTWANPHQFFTLRCKCITLHILTRFKLYKTAQTLAEDVAAKTLDDEDASLVFRSLQETYDLCNSTSSVFDLVVKSYSRLCLIDKALSIVHLSQAHGFMPGVLSYNAVLDATIRSKRNISFAENVFKEMLQSQVSPNVFTYNILIRGFCLAGNLDVALRFFDRMEKKGCLPNVVTYNTLIDGYCKLRKIDDGFELLRSMALKGLEPNLISYNVVINGLCREGRMKEISFVLTEMNKRGYSLDEVTYNTLIKGYCKEGNFHQALVMHAEMLRHGLSPSVITYTSLIHSMCKAGNMNRATEFLDQMRVRGLCPNERTYTTLVDGFSQKGYMNEAYRVLKEMIDNGFSPSVVTYNALINGHCIAGKMVDAIAVLEDMKEKGLTPDVVSYSTVLSGFCRSYDVDEALRVKRKMVAKGIKPDTITYSSLIQGFCEQRRTKEACDLFDEMLRVGLPPDEFTYTALINAYCMEGDLQKAIQLHNEMVEKGVLPDVVTYSVLINGLNKQARTREAKRLLLKLFYEESVPSDVTYHTLIENCSNIEFKSVVSLIKGFCMKGMMTEADQVFESMLEKNHKPDGTAYNVMIHGHCRGGDIRKAYSLYKEMVKSGFLLHTVTVIALVKTLHKEGKVNELNSVIANVLRSCELSEAEQAKVLVEINHREGNMDVVLDVLAEMAKDGFLPNGKS >scaffold_704075.1 pep chromosome:v.1.0:7:23040180:23040477:-1 gene:scaffold_704075.1 transcript:scaffold_704075.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MJJ3] MVLDFGNGGGRSLLQDLLVVVSLGCGSSAADDRLWLCRVLDAGGCEVTETFRFCSLSGLWPYRSSFRMLVVVYLCLCLAFASCCW >scaffold_704076.1 pep chromosome:v.1.0:7:23040683:23041002:1 gene:scaffold_704076.1 transcript:scaffold_704076.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MJJ4] MSPCHSKANHREEQSLTEATLHDPRTKTPPSPKTTDLKTRLRSKTKTKDPPTALTRSPAAGEGKSKIAKVFFTGGWGWDIFSPNPVYLSQSS >scaffold_704078.1 pep chromosome:v.1.0:7:23055241:23055892:-1 gene:scaffold_704078.1 transcript:scaffold_704078.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:UniProtKB/TrEMBL;Acc:D7MJJ6] MTENQLYSFITMEKSSLSKCKQSSSFSFPLFGLINFFLIGFFRWVSFAQSFFSRFWPLVQHQQCVSEKKSKDLEFQTSIKHEEYRDDDGLCREDVGMVMKSLGLSTDKECEGLQKQYSSEELSNLFEEKEPSLEEVKQAFDVFDENRDGFIDPIDLQRVLTILGLKQGSNLENCRRMIRSFDGNKDGRIDFYGFVKFMENNFG >scaffold_704079.1 pep chromosome:v.1.0:7:23058069:23059994:-1 gene:scaffold_704079.1 transcript:scaffold_704079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAIKLFGKTIPLPELAVVVDSCSSYTGVLTETQDQNLVRLSDSCTRDDDDEMGGSGLGGGDDDVGGDGSRGGGESESDKKEDKDNECQEESLRHESNDVTTNTTSGITEKTETTKAAKTNEESSQNETGGTACSQEAKLKKPDKILPCPRCSSMETKFCYYNNYNVNQPRHFCKKCQRYWTAGGTMRNVPVGAGRRKNKSPASHYNRHVSITSAEAMQKAARTDLQHPNGANLLTFGSDSVLCESMASGLNLAEKSMLKTQTVLQEPNEGLKITVPLNPSNEEAGTVSPLPKVPCFPGPPPPAWPYAWNGVSWTVLPFYPPPAYWSCPGVSPGTWNSFTWMPQPNSPSGSGPNSPTLGKHSRDENAAEPGATFEETESLGREKSKPERCLWVPKTLRVDDPEEAAKSSIWETLGIKKDENADTFGAFRSSTKEKSSLSEGKLPGRRAELQANPAALSRSANFHESS >scaffold_704084.1 pep chromosome:v.1.0:7:23095126:23095752:1 gene:scaffold_704084.1 transcript:scaffold_704084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVRVEYNTLDPRLASCVEFLAQCNARKAKESNPNCQVLVKRRTDEQPPQITVTFVNGVEEAFDAAETSAQSIRKMILDKGQYLETEQMFREAGEQWPVIIPDEELLQEALGVKPRKAEDKK >scaffold_704088.1 pep chromosome:v.1.0:7:23110104:23111305:1 gene:scaffold_704088.1 transcript:scaffold_704088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEVELPQKEKRNLSSEPPSFSSLPDEIIENILARISKWTYPNLSLVSKTFLSLLSSPQLYKTRSHIGTTEPCLYLCLKLRYDSSHPQWFTLWMKPDENLTSNGETMHDYSLIPLHSSSYSSPVLYKNSTVSVGSEIYVIGGLLQAPSSSVRILDCRIHMWRDGPNMTVARSEPTTVYLDEKIYVIGGCKNDESTNWLEIFDIKTQSWRALPGPVTDQELRCKYINVPVAFKGKLYVAAETKDYTYEPKDGTWNVVREKSIFKDIRHWCVIEDVMYSCTYVGSLMWYDFEGREWREIKGLNEFNVGLTWYLKKRSVHGIVNYGGKLVVIWRQWYNDDGKGWNTKVEFSKIALEKRHKDEIWGKTEWVNTVPEIPKSYYSLTCVGV >scaffold_704089.1 pep chromosome:v.1.0:7:23135129:23135381:1 gene:scaffold_704089.1 transcript:scaffold_704089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVARVDPIVVLIDQKIYVMRGCEDDESANLFEVFDIKTQIWRSLPSPGADHELCTYIMVYPVLLKKSFT >scaffold_704090.1 pep chromosome:v.1.0:7:23136584:23137768:1 gene:scaffold_704090.1 transcript:scaffold_704090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEVQLLKKEKTMSFLSLPDEIIENILARISKWTYPNLSLVSKRFLYFLSSPTLYKTRSHIGTTEPCLYFFLKLRYNSSDPQWFTLWMKPDENLTSNGETMHDYSSIPLHSSSYSSPVPYKSTVAVGSEIYLIGGIPEAPSSSVRILDCRIHTWRDGPNMTVARSDPVAVYLDEKIYVIGGCGNDESANWLEVFDIKTQTWRALPSPGTDHELRCKYIKVNPNAFKGKLYVAAETKDYTYEPKDGTWNVVREKSIFKDIRYWCVIEDVMYSCTYVGSLMWYDSEGREWREIKGLDEFTVCLTSYLKRMYVHGIVNYGGKLVVMWRQWYNEDGKGRKIKVGFSKIALEKRHKDEIWGKTEWVNTVLEIPNSYYSLTCVGV >scaffold_704091.1 pep chromosome:v.1.0:7:23138939:23140293:1 gene:scaffold_704091.1 transcript:scaffold_704091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMTARSAVLSSGMFRSYHRPVTTEVRSIIRSRVKCQVSSVKPATYSSKLSTDIPLHESPQALFDEYLEDKSRLFEAMFPDKPRSYRLNEEEWRIQMLPINFLFLTVCPVVDMRLRCKSNGQDYPPDVPLDITKVLELNMMRWKLKGLDRVMEPSDFSLEVKGALYPDRRGKQTRLRGQLEMNISFVLPPVLELVPEDVRRNLANAVLTGLVENMKHKVNGSLLADYSRFKNERKLQKVIE >scaffold_704092.1 pep chromosome:v.1.0:7:23140886:23142227:1 gene:scaffold_704092.1 transcript:scaffold_704092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSAVYSWPAMLGSFRPVRSKRWRVQCQLSPVKPAKYSSKISTDIALHESPQALFDEYLEDKSRVFEAMFPDKPKNHRLNEEEWRIQMLPIKFFFITAWPVVVMRIRCKSNGQDYPSDVPLHTTKVLELNMTKWELQGLDRVMEPSDFTLGVKGALYPDRRGRQTRLKGRLETSISFVLPSVLALVPEDVRRNMANAILASLVENMKHKVIESLVADYSKFKYERKTHN >scaffold_704095.1 pep chromosome:v.1.0:7:23152334:23154102:1 gene:scaffold_704095.1 transcript:scaffold_704095.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MJL3] MMNTESFGACLLLTLPEDVFTVISRFLSPSDICNLILCGKSLCALVDSEKTWLVQCEEVKVLPLFEIVQWRSGISSYKALCRFLVEVVKPLVGIWVQQNPELGNVVYVMPGFLSVVGCRIIPQKVGPLWIQEGRVKWSPVFEIICGFDGSTGFFLHGRDKEGSCLYPGFVMGIEKSCNLLQLEIEPRREKSSCNEIERGASRKGEKEGEVPFWMLAFSDRKNLLNIVTSHVGIHVVEPLNGRLFPTSKDDEAMLVERRTMLFKMHKFGGKWKNMNLEEDDQLCYNPMQLDINEMLENLGDDWFFDMEEELIEVTPTESTHVLGESSSSKNTAPSSSEIRHSNRQSFLSSGDTFGLSLKASYSELNSYEGWPYMYAHHFSLYKLPVKKFVDHEVEVYAGLWGGTFGWPAGKCPKSKPEKSLYLLMLTYEESEEYNERVLIGTKILEGKRYVRRPNGTAMFIVNIDTPTLEPFPVDADEIDFENSYSGNGIAEGYGFRYPGSKPGSLFVITNDLLAFVWQETKDVITLQRLNLAEILKKGLGSCVPPLPPTKNFTYMRRSYINEFTKSSTDPSYSDE >scaffold_704096.1 pep chromosome:v.1.0:7:23155425:23156904:1 gene:scaffold_704096.1 transcript:scaffold_704096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKESFGACLLLTLPQYVFAVISRFLSPSDICNLILCGKSICALVHSDKTWLVQCEVVKVIPLFEIVQWRIGISSYKALCRFLVEVVKPLVGIWVQKNPERGNVVYVMPGFLSVVGCRIIPQEVGPLWIQEGQIVWSPVFEIICGVDGSNGFFLHGRDEEGSCLYPGFVMGIEKSCNELLLEVEPRQEKSSSNEIERKDSRKELSGKINEGGVPFGNLGFSDRRSLLDIVANHVSLRVGEPLRGKLFPTRSKDDDEAMMLERRTMLLKMLKFGGNWKHMNLEEDDQLYYNPMQVDINEIWENLGDNTDIMDDREYQREATPRKKSFSMFFISGIMHILGKSSPEENTPRPSSSEIRRSNRQTFLSSGDTFGLSLKASYTEMSSYQGWPIMSQNRFSLHKLPMKNPINNQEYAGLWGGTFGWPPEQCREDKSRKALFLLMLTYKESEENSERVLIGTKILEGGLVRRPNGSVLRILRRP >scaffold_704097.1 pep chromosome:v.1.0:7:23167507:23167893:1 gene:scaffold_704097.1 transcript:scaffold_704097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVKIDRPSFEPFPFDAVGRDIKDSYTGDGIADGYGFRYPGSKPGSLFVISSDLLAFVWQETKNVITLQRLNLAEILKMGLGSCVPPLSPTNNFTYMKRSFGNVFTESSTDI >scaffold_704098.1 pep chromosome:v.1.0:7:23170471:23172116:1 gene:scaffold_704098.1 transcript:scaffold_704098.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MJL6] MMNKESFGACLLLTLPQDVFAVISRFLSPSDICNLILCGKSICALVDSEKTWLVQCEVVKVIPLFEIVQWRIGISSYKALCRFLVEVVKPLVGIWVQQNPELGNVVYVMPGFLSVVGCRIIPQEVGPLWIQEGQLVWSPVFEIICGVDGSNGFFLHGRDKEGSCLYPGFVIGIEKSCNELLLEVEPRQEKSLCNEIEKEDSRKELSGKISEGGVPFGNLDFSDRRNLLDIVTNHVSLRVGEPLRGMLFPTRSKDDEAMMFERRTMLLKMLKFGGNSKHLKLEENEQLCYNHIQIDINKLWENLGDDIDDMEDIEEQREVTPKKKSFSRFFRSGIKHILGKSSSSKIKPPSSSEIRRSNRQSFLSSGDTFGLSLKASCTWVSFYEGWPIMCANSFSLYKLPMKNPIDNQEYAGLWGGTFGWPPGKYIEGKALFLLMLTYGESEVGSERVLFGTKILEGYNSAGRPNGSSMFVVNIDTPSLEPFPFDTDGRYFEQSYMGEGIADGYGFRYPGSKPGSLFVISNGLLAFVGKRPKM >scaffold_704100.1 pep chromosome:v.1.0:7:23178013:23181642:-1 gene:scaffold_704100.1 transcript:scaffold_704100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7MJL8] MEGSSRKTTSRKKSVLPNYMIGKTLGHGSFAKVKLAVHVATGHNVAIKIFDRLKIKKMDVDIKVQREIKILRLLMHPHIIRQYEGIETPDNIYVVMEYVKSRELFDYIVRNGRLQEDKARHLFQQLISGVEYCHHNRIVHRDLKPENVLLDSKCNIKIVDFGLGNVMQDGHFFKTSCGSPNYAAPEVVSGKHYSGPEVDIWSCGVILYALLCGTLPFSDENIPSLFDKIKRGIYILPDHLSPLARDLIPRILMVDPLMRISIAEIRQHPWFNNDLPPYLTIHPLDTIEQAKKATVAYHLLLNDQNRKCVPNDHSHSKFKEISDGVHMRSHSPPKDGIFNSILPIDQEIASNVGHSFPALTGLRSQFQDNRSWTLGLQSQGSPREIMSEVFKALQSLKICWKKIGLYNIKCKWVRSFANYKNQNQKDNVLKDESNMIEDECSMVLPTVIKFELQGKYLLDIQRVDGHQFIFLDLCADFLTELGVL >scaffold_704101.1 pep chromosome:v.1.0:7:23184624:23185016:1 gene:scaffold_704101.1 transcript:scaffold_704101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFLFPTFPPIWSGLDVQALSVLQGSSSRRMLFSAFDAVVVTLRVALDAIFRDVFEIVVLQFLMVSRYDLYRLSISCLTIGWWSFLAFDALLSNFMLFVFSLSFGCNPSSCDRV >scaffold_704103.1 pep chromosome:v.1.0:7:23193520:23193828:-1 gene:scaffold_704103.1 transcript:scaffold_704103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMPLSVVVFFLLFPTAFSTVVPPSMTDQIFIFDIQVNPKLCVIDSHYVTNLFTFRDLSPPPNSKFNQSYPLTSPKQVLSLIREPCP >scaffold_704111.1 pep chromosome:v.1.0:7:23232163:23232883:1 gene:scaffold_704111.1 transcript:scaffold_704111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIANGVGIVGLLIIFMLIWGGMPKGNAKRSHLCRKIPSVLAAPGNCFKSNGNALCKESCVNEKFLHGACLHLPKPQSKLTCHCWMRDDISCSYG >scaffold_704112.1 pep chromosome:v.1.0:7:23234048:23234721:1 gene:scaffold_704112.1 transcript:scaffold_704112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGASPRIVDATDNSLDKIKQQLESRVIGTCFWDLLFKRAETEASTIRRHQDKNLKPRRIKSTSASMQG >scaffold_704114.1 pep chromosome:v.1.0:7:23258322:23259181:1 gene:scaffold_704114.1 transcript:scaffold_704114.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:D7MJN0] MSSGAGSGTTKGGRGKPKATKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAELLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGSVTIANGGVLPNIHQTLLPSKVGKNKGDIGSASQEF >scaffold_704115.1 pep chromosome:v.1.0:7:23259279:23259534:-1 gene:scaffold_704115.1 transcript:scaffold_704115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLIDVLRWLGFTETEKEAHIRWAVSNTVSLLHSHSEARVSLAEAIAKAKPIGACIEAIESAISRHQI >scaffold_704121.1 pep chromosome:v.1.0:7:23282635:23283308:1 gene:scaffold_704121.1 transcript:scaffold_704121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGASPRIVDATDNSLDKIKQQLESRVIGTCFWDLLFKRAETEASTIRRHQDKNLKPRRIKSTSASMQG >scaffold_704125.1 pep chromosome:v.1.0:7:23301149:23302230:-1 gene:scaffold_704125.1 transcript:scaffold_704125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRIPPILRSSAEDLSHGASFAMADATVLGSPLKTQMLLNCVGYSPKISHRELVEMAEHRKELEKMAKPVLDTLRSYQDLPPFHVNDQAAIEMVKGRRSNIQKKL >scaffold_704126.1 pep chromosome:v.1.0:7:23303373:23304634:-1 gene:scaffold_704126.1 transcript:scaffold_704126.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJP1] MMFNSGDVVILAAYLEAMDSTHLVFQTQKEIYQVNHGQNCVPASMSVTVEPSPGSAVNHIPGMRWSPELHELFLEAVNKLEGPEKREMLEKSSQLAIERNKRKELYNAYKKLTSKYKFVCKRFGPTADSFLEDDGHWTKSEECCQVEQSICLLNSEPPIDDMIEDHDTTFVLYISVTCILLSFVNKIFPTIFFCSPFSLRLIIYMRLIILRHLL >scaffold_704127.1 pep chromosome:v.1.0:7:23320742:23320983:1 gene:scaffold_704127.1 transcript:scaffold_704127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHLQQPPPNLSHHLQHPPPNLSHHLQHPPPNLSHHLQHPPQNMSHHLQHPPQNLSYHLLVNTISX >scaffold_704128.1 pep chromosome:v.1.0:7:23322359:23322689:-1 gene:scaffold_704128.1 transcript:scaffold_704128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEPEDSLDPITDAWRLDVQQKKICWEDLHKVDVYYRGCGEGHIPAASHREEPQQVVPAASQKKEELLLPYLVKRMVKTEVAKAMKDVYKRWRSX >scaffold_704133.1 pep chromosome:v.1.0:7:23347146:23348632:-1 gene:scaffold_704133.1 transcript:scaffold_704133.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D7MJP7] MVKICCIGAGYVGGPTMAVIALKCPDIEVAVVDISVPRIDAWNSDQLPIYEPGLDDIVNQCRGKNLFFSTDVEKHVREADIVFVSVNTPTKTTGLGAGKAADLTYWESAARMIADVSVSDKIVVEKSTVPVKTAEAIEKILMHNSKGIKFQILSNPEFLAEGTAIADLFNPDRVLIGGRETPEGFKAVQTLKEVYANWVPEDQIITTNLWSAELSKLAANAFLAQRISSVNAMSALCESTGADVTQVSYAVGTDSRIGSKFLNASVGFGGSCFQKDILNLVYICQCNGLPEVAEYWKQVIKINDYQKNRFVNRIVSSMFNTVSNKKVAILGFAFKKDTGDTRETPAIDVCKGLLGDKALISIYDPQVTEKQIMRDLAMKKFDWDHPLHLQPMSPTTVTQVSVTWDAYAATKDAHAVCILTEWDEFKSLDYQKIFDNMQKPAFIFDGRNIMNVNKLREIGFIVYSIGKPLDPWLKDMPAFV >scaffold_704137.1 pep chromosome:v.1.0:7:23359903:23360387:1 gene:scaffold_704137.1 transcript:scaffold_704137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWVIPMSYGYGAEPPMIDDVADSPGTNGVDPAWYDARPTAALSTALFNNGYTCGACYEIMCARDPH >scaffold_704138.1 pep chromosome:v.1.0:7:23360462:23360863:1 gene:scaffold_704138.1 transcript:scaffold_704138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKIAKYKAGVVPIRYRRGAGDNKAMQIKGSRTGWITMIKNWGQNWTTGILLTGQGLSFRVMTSDGITKDFTYVIPPNWGFGQTFDGKINF >scaffold_704141.1 pep chromosome:v.1.0:7:23375695:23376079:1 gene:scaffold_704141.1 transcript:scaffold_704141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILKTQRSRGGRRSKKIGNKRTNVSSCGGEKFSEKLQALKSLLPPATTTEKSRQDAYVEEDSDSGETEQLFQETADYIVRLRTQVMVLQKLIEIYRSSDQTEDSLCHNVHIKF >scaffold_704142.1 pep chromosome:v.1.0:7:23379907:23380286:-1 gene:scaffold_704142.1 transcript:scaffold_704142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVITCLDCKKRTDTVIDYRSGDTICTECGLILDSHYIVDSFDRNISHMTPPSIRTKHKLDTNVLKNPNPKDKASYTSSRVSSPSCSSHKALLEGHHARLIEELSFKKNRPI >scaffold_704143.1 pep chromosome:v.1.0:7:23381209:23383486:-1 gene:scaffold_704143.1 transcript:scaffold_704143.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, alpha/beta fold family protein [Source:UniProtKB/TrEMBL;Acc:D7MJQ7] MMGSLKMVFPPPPVTRFRQRVTANGDGFPAFLPKEIQNIRDPFARALAQRIVRIPVPLQVGNFRGSVMSSCIKPLVQLHDKSPVVLLHCFDSSCLEWRRTYPLLEQACLETWAIDVLGWGFSDLEKLPPCDAASKRHHLFELWKTYIKRPMILVGPSLGATVAVDFTATYPEAVDKLVLINANAYSEGTGRLKELPKSIAYAGVKLLKSFPLRLLANVLAFCSSPLSENIDWTNIGRLHCQMPWWEDAMVDFMISGGYNVASHIKLIDHKTLVVCSENDQIVSNQLSVKLLCELANAVLREVPDSGHLPHVENPKHIVKLISDFASGKLY >scaffold_704144.1 pep chromosome:v.1.0:7:23390794:23391638:-1 gene:scaffold_704144.1 transcript:scaffold_704144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSLQQQLLNNGDKLFSSRHNQQVSIEQFHVTDSLLANSLNLFHKPISSPILSIITSRKSKSHFRVCATRRRRVHYSNSDTYVLLEAGQDEQFVSEDELKAKLRGWLENWPVNSLPPDLARFNDLDEAVDFLVKAVCELEIHGEVGSVQWYQVRLE >scaffold_704147.1 pep chromosome:v.1.0:7:23399102:23399546:1 gene:scaffold_704147.1 transcript:scaffold_704147.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MJR2] MPSPSSTPSDPTSLKCAACGCHRNFHRREPDDSSSVPPPSLLPSSSTTATIEYQPHHRHHPPPLLAPPLPRSPSSSSPTVAEGDRKRTCEFVVGQGDGPQGPALLEEPVWDLTRETIAAEIDDLEAAEVRELGV >scaffold_704154.1 pep chromosome:v.1.0:7:23453131:23454541:-1 gene:scaffold_704154.1 transcript:scaffold_704154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLNIIDVARVTPSNSDSTESLTLSLTFFDLLWFKIHAVERVIFYRLNDATRSFFDSVIVPNLKTSLSLSLSHYLPLAGKLIWKPFEPKPIIVYTPNDAVSFTVAESNADFSRLTGKEPFSATELHPLVPELQNSDDSASVMSFQVTLFPNQGFSIGVTAHHAVSDGKTTTTFLKSWAHFCKHQDSSLPEDLIPFYDRTVIKNPPNSDIKLLNSWHSLAKMFSGGKEPQNPKSLKFPPSPVIDPDVLRYTLELTREDIQMLRERLKRDSPKELRLSTFVITFSYALTCLIRARGGDPNRLTGFGFVADSRKLVNPPIPSSYFGNCATGTFKVPLRAETFMAKEGFLASTRLVSDSVEELNESVVWKIPDFVAFWTQLPLGTQIVSVAGSTKFGVYGLDFEWGRPEKVVIVSIDQGEAISLAESRYGNGGVEIGFSLKKQEMDVLIDLLHNGLKM >scaffold_704162.1 pep chromosome:v.1.0:7:23507428:23507977:-1 gene:scaffold_704162.1 transcript:scaffold_704162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLEQEAKRKQIRDGKRKIDHHVNTTLLVKIFEAFAIDDRDLLKPYYGSNYYFIKAWLDDTKQYGTYVVKGFKDYSFAVNQEMKIPLDFPAQYLYIELLKGFSYRDPGTSNGTVVMGRAKIRLPPLTSYKVVSGDIDLVGLNSDQCVVKKGNLKYAMKIHRYVALDD >scaffold_704163.1 pep chromosome:v.1.0:7:23508980:23511679:-1 gene:scaffold_704163.1 transcript:scaffold_704163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICHALLLFFILVSVTVVGEGATTAFEPTDVFLINCGDNSATNDQSGRTWTPEEVKALPSNSDFASFTSDALYQDSGIAQVPYMKARIFRSNFTYSFLVSPGWKFLRLYFYPTRYGSGFDAASSFFLVNVNGITLLRNFSANLTAKASKPESKSLIKEFIVPVHQTLNLTFTPSRDSFAFVNGIEIVSMPDRFYSKGGFDNMITNVGSNADFEIDNSTAFETVYRVNVGGGTVNDVDDSGMFRRWLSDDDLDNSGIIVNIPGVKINYTEKTPGYVAPEDVYATSRQMGNLQDPKLNLYFNLTFFLTVDAGFNYLVRLHFCETLPQVNNTNQRVFSIFVGYQMATRSVDVILMSGGPRNPMYLDFHVNVGFENGPRPDLRLDFHPMMDDGASYYETLLNGVEILKLNDSQGILAGPNPNPQLPTDSTPNRVSPLKKKGNKSHLLTISLAVVGSVVALAIFVVVVVLVVMKRKKMSNEFSVHTTSKPSTNSSWGPLPHGTGSTNTKSATSLPSDLCRRFSISEIKSATNDFEEKLIVGVGGFGSVYKGRIDGGATIVAVKRLEITSNQGAKEFETELEMLSKLRHVHLVSLIGYCDDDNEMVLVYEYMPHGTLKDHLFKRDKASDPPLSWKQRLEICIGAARGLQYLHTGAKHTIIHRDIKTTNILLDENFVAKVSDFGLSRLGPTSASQTHVSTVVKGTFGYLDPEYYRRQILTEKSDVYSFGVVLLEVLCCRPIKMQSVPPEQADLIRWVKTNYKRGTVDQIIDSDLTDDITSTSMEKFCEIAVRCVLDRGIERPSMNDVVWALAFALQLHETAKKKSDNVESLDLMPRGEVGTTTDGEDDLFSRTTGDVAKSTTTDDSVPLVGDERSGSSWGVFSEINEPKAR >scaffold_704170.1 pep chromosome:v.1.0:7:23568584:23569906:-1 gene:scaffold_704170.1 transcript:scaffold_704170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MJT5] MGAFCCCFQVDLFESYVNPNTSMTGNCPCVNCFLQSFVDLYASLFNRGGMHPIPSTVETATVMNSTTALDDSLSSVYHSPPTPLPYDADPRYFRFVKGSGHSGEESEPLRGDTEMSSESLGGGGTKWSKSDSDDGSKEVYSKGSSTIAKSKTMPGIEIHYAESDDEDICPTCLDDYTPENPKIITKCSHHFHLSCIYEWMERSETCPVCGKVMAFDENETS >scaffold_704172.1 pep chromosome:v.1.0:7:23573629:23578780:1 gene:scaffold_704172.1 transcript:scaffold_704172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSNSAPTPEAILEWLQKEMGYRQLGPYNGSSKSHVPSIDAIRKICRGNMIPIWNFLINRVKSEKTVERIRRNITVHGGSSNASIGSSVNPGKEESKGKGRRKEKTVSGESSSYAEDREAALQERELAAKEVERLRNIVRRQRKDLKARMLEVSREEAERKRMLDERANYRHKQALLEAYDQQCDEATRIFAEYHKRLQVYVNQANDAQRSVNSSNEVLSSLSANSEREAVYSTVKGTKSADDVILMETTRERNIRIVCDLLASRMIERIRNSFPAYEGNGICSHPELETAKLGFEYDGEITDEMKTVIVNSLRGPPLLLQAIAAYTLRIKTLISREMEKIDVRADAEMLRYKFENNRVTDNSSSDVSSPLSYQFNGNGKIGTDTHFQGSNNQLLERQKAHVQQFLATEDALNKAAEARDLCQKFINRLHGSADAATHSFAGGTTQNGSNLRQFELDVWGKEREAAGLRASLNTLLSEIQRLNKLCAERKEAEDSLKKKWKKIEEFDARRSELETIYSTLLKANMDAVAFWNQQPLAAREYASATVIPASEVVVDISNSAKDFIEKEVSAFFQSPDNSLYMLPATPQGLLESMGANGSTGPEAVAYAEKNAALLTARAGARDPSAIPSICRISAALQYPAGLERSDASLASVLESLEFCLRVRGSEACVLEDLAKAIDLVHIRQDLVESGHSLLDHAFRAQQKYERTTNYCLDLASEQENTISDQWLPELRTAVQNAQASSEHCKYVRGLLDEWWEQPAATVVDWVTVDGQSVAAWQNHVKQLLAFYDKESLRT >scaffold_704174.1 pep chromosome:v.1.0:7:23581421:23583185:-1 gene:scaffold_704174.1 transcript:scaffold_704174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPDVDEQLEADVYSNLPSRNDSSTGRRNRSSCRSKHSETEQRRRSKINERFQSLMDIIPQNQNDQKRDKASFLLEVIEYIHFLQEKVHMYEGSDHQMWDQGPTKLIPWRNNYGSVVEVNDHPKIGKSFSSNDNVAATSGLLSDMQNYADPDIDSAVNTKILEGCPVSAVPSYLPTEPSLQFVQHDFWQPKPVNCNTDDLFNSNEAISPSLSTVCSQRVLHTLTEALKSSGVNMPETMISVQLSLRKRADREYSSAAFASEDNCNGIADEEGDSPTETRSFCNDIDHSQKRTRR >scaffold_704175.1 pep chromosome:v.1.0:7:23585574:23587363:-1 gene:scaffold_704175.1 transcript:scaffold_704175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLGEASIAIFSSIIFILCLYLFKNPHNKVFLRNWPVLGMLPGLLMEFHRIYDFSVENLEASNLTFAFKGPWYFGMDMLFTVDQENIRQIMNSYSSNYTKGPDFKEVFDVFGDGILTADSKLWKSLRKASKAMVDHQRFQRLSMSTTRRKLNDGLVPFFNKIAEEETVVDLQDLFGRFMFDTTLMTVSGCDDPRSLSIEMSEVDEFAKALNDVGEAIMYRHVKPKFLWKLQRRIGFGQEKKLSKADATLNRMCAKFILDKREEIRSQDFTHNFNDEGDDLLTSHMKLDATKYELLNPNDDKFLRDTMLAFILVGRDTGPDRPWRDTTASALTWFFWLLSENPQVVANIRQEININLSRVTTGGDGGLERPSYDVSIDFLNKLVYLHGSLYEAMRLYPPVPFERLSPVKQDKLPSGHEVDPSMKILIFVYALGRMKAIWGDDALEFKPERWVSMTGGLIEVPSTKIFSFNAGPRACLGKKLAMTQMKTVVMEILQNYDIQVVEGQKIEPAPGPILRMKHGLRVTLSKRYSS >scaffold_704179.1 pep chromosome:v.1.0:7:23612564:23613134:1 gene:scaffold_704179.1 transcript:scaffold_704179.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:D7MJU5] MQPATNIFSLHGCPPSYLSHFPTSTPFCGQNPNPFFSFESGVYTPQFMSLSSNNSTSEEGEENLTEIFNERKQKRKISNRESARRSRMRKQRQADELWSQVMWLRNENHQLLRKLNCVLESQEKVIEENAQLKEETSELKHLISDMQLQNQSPFSGIRDDIV >scaffold_704180.1 pep chromosome:v.1.0:7:23624523:23624955:-1 gene:scaffold_704180.1 transcript:scaffold_704180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEECSSSESGWTTYISSPIKVDEDEVVDEDYYEGYNIYNYSSKVEHEEERNKDSDDSMASDASSGPNYQRYHQKNKALDLKKGKNEGNTKSKNVDDHHNHYHDGKNTSNSYRKKEKKKRENKSTYRMK >scaffold_704181.1 pep chromosome:v.1.0:7:23627977:23628239:-1 gene:scaffold_704181.1 transcript:scaffold_704181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVSHPLEAMQLSNNFVTSMYRAILSTVIEEHFGHSVVDELFRRFAKKLSKHPIDFEKCKKQMVYHIILKRK >scaffold_704182.1 pep chromosome:v.1.0:7:23629544:23629793:-1 gene:scaffold_704182.1 transcript:scaffold_704182.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJU8] MQASYFGLPFLIESNFVFDILIHHHVVLATTIPSCPRTGHSNERFHRKIQRKCGHNHFVKFQQHLCILE >scaffold_704183.1 pep chromosome:v.1.0:7:23630742:23630995:-1 gene:scaffold_704183.1 transcript:scaffold_704183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDIDSMVLVNSGLENLNSPILSKICAWGVMLGLFALSLIATAYACYIISKTLRIHALKSKRNKERGIG >scaffold_704185.1 pep chromosome:v.1.0:7:23635457:23635800:-1 gene:scaffold_704185.1 transcript:scaffold_704185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSDSMVPVTSGLENLNSPILSKICAWGVMLGLFAISLIAMAYACYHKQNASNSCIEEQEKSGKKQGLKPLDMEPKIVVIMAGNENPTFFAKPTKINA >scaffold_704189.1 pep chromosome:v.1.0:7:23651465:23651656:1 gene:scaffold_704189.1 transcript:scaffold_704189.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MJV5] MERKLETTRRGHRRNRGFDEADEACLRIASLQGIRDLEDYLYESLASSS >scaffold_704191.1 pep chromosome:v.1.0:7:23661235:23661428:1 gene:scaffold_704191.1 transcript:scaffold_704191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAVDLLFFYALAIGQTTGPACLYMDGAFAAVVTVLRTCLDVVHLWHL >scaffold_704192.1 pep chromosome:v.1.0:7:23663033:23664877:1 gene:scaffold_704192.1 transcript:scaffold_704192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKFEEGWSSLQKGITEVIRIIEEEPEPAFKPQLGVNLYTTIYDMCTQKPDYSHQLYEKYLQVIEDYTIQTVLPSLREKHDEHMLIELVKRWNNHKILVTFLTNIFHYIDRYLVPRTNIPSLDEVGLTCFLDLVYSEMQSMATKAVVALIHKEREGEEIDRALVKNVLDVYVDNGMGTTEKYEEDFESFMLQDTASYYSRKASRWIEEDSCPDYMIKVEECLKRERERVTHYLHSSTEPKLVEKVQNQLLVMVAKNRLENEHSGCCALLRDDKKNDLSRMYSLYHPIPHRLERIADLFKKHITEEGNALIKQADDATTNQLLIELHNKYMVYVTECFQNHRFFHKVRVCSWVSIFSHNILLNDHSFL >scaffold_704204.1 pep chromosome:v.1.0:7:23738397:23739838:1 gene:scaffold_704204.1 transcript:scaffold_704204.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome maturation factor UMP1 family protein [Source:UniProtKB/TrEMBL;Acc:D7MJW5] MDSEKKIAHEIGGMKNDALRFGLHGVKSDIIGSHPLESAYESGKKSQEVMKRKVITHTYGAALPLKMDLDRQILSRFQRPPGPIASSMLGLEVYTGAIDDFGFEDYLNDPRESETLKPVDFHHGMEVRLGLSKGPVPPSFM >scaffold_704206.1 pep chromosome:v.1.0:7:23760898:23762357:-1 gene:scaffold_704206.1 transcript:scaffold_704206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVLGGFPIFMVVRVLGLIIAALVLTWTVHYRGGLALSSDNKDHIFNVHPVMMVIGLILFNGEAMLAYKSVQGTKNLKKLVHLTLQLTAFILSLIGVWAALKFHIDKGIENFYSLHSWLGLACLFLFAFQWAAGFVTYWYPGGSRNSRASLMPWHVFLGIYIYALALVTATTGILEKATFLQVNQVITRYSTEAMLVNTMGVLILILGGFVILGLVTPVNGKDHVLTQ >scaffold_704207.1 pep chromosome:v.1.0:7:23765497:23766129:1 gene:scaffold_704207.1 transcript:scaffold_704207.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7MJW8] MKLSQVFYIIFLFFLVSQVNSTSDMIEQTCKSCAAKSTIFNYNFCVSSLNNSPIDLPSPTNLSSLALVPMLQALDNATATATTIQQLLISEDDGGFRGACLRDCLELYEDAADRLEEAVRVFIARKELGTVNVMVTTAMESAVTCEDGFRDRDDGGGGAMTWTSPIGGDNYKLFKLGQIALCIINMLSSSITSLSF >scaffold_704210.1 pep chromosome:v.1.0:7:23817886:23818693:1 gene:scaffold_704210.1 transcript:scaffold_704210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSLLHSPIKPHFFKPLLKGFRTHLNIPVAFFSKHVEGKNDQNKTVNLRSDASEKTWLVKMDGLNLTDGWEDFAFSHDLRIGDIVVFRHEGEMVFHVTALGPSCCEIQYYTSSHNINDDDRNDQTNIGKLLLSEMYNAKAKPKQRSQSDSSSDHSCFEGSVSPSSLRNNQLFLPRNFVSSNSXX >scaffold_704211.1 pep chromosome:v.1.0:7:23871872:23872490:-1 gene:scaffold_704211.1 transcript:scaffold_704211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSLLHSPIKPHFFKPLLEGFRTHLNIPVAFFSKHVEGKNDQNKTVNLRSDASEKTWLVKMDGLNLTDGWEDFAFSHDLRIGDIVVFRHEGEMVFHVTALGPSCCEIQYYTSSHNINDDDRNDQTNIGKLLLSEMYSAKAKPKQRSQSDSSSDHSCFEAX >scaffold_704219.1 pep chromosome:v.1.0:7:23922826:23924039:1 gene:scaffold_704219.1 transcript:scaffold_704219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYHDDHLTDSGKDMWSDLCLLVDTAVMVEEEEQRHRLLAESKCHKTVSEEEDSQKRFFYLFPRKKRSSLVKISYTQQNPNGVSTSSSSSLLDLNLTLTDYETKNAQNPSFDKLLVYDEEKRAKKGKSKIICEEEEEEDEESEKRLFEKNLKKFVRHQQQNFHNLNGASASSSFLNLRCYEPKSEKTETRDLPNPNYHSSSPSSCLTENKSRKRRVVQQRKSGKFKKAKVAPLLRWSTETPEWVFEVMRYMKADAENPSLIFVRALTNSDVKVGQSRLLLPFQQLIRNDFLTPAECRAIQKDEDEDEDEEDANIGVGTILVNQRSEKWGLRFKIWVMEKDYGHGTLNYALNWGWNEVVKGNGLKAGDKISLWTFRCRGVLCFALETW >scaffold_704223.1 pep chromosome:v.1.0:7:23940014:23941348:1 gene:scaffold_704223.1 transcript:scaffold_704223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSEDIPSILDGDSLILGELYYLTKDKTDYAWYTTIIKIEDDDIPDQKGQKTILRVAGLGHALIVYVNGEYVYTEEGSKKVKWEKYGEHKPLTWYKTYFETPEGENAVAIRMKGMGKGLIWVNGIGVGRYWMSFVSPLGEYHIPRSFMKEGKKKSMLVILEEEPVAKMVPTSSPTKMINDLLN >scaffold_704226.1 pep chromosome:v.1.0:7:23984306:23984750:-1 gene:scaffold_704226.1 transcript:scaffold_704226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLSCFLLVIGLCVGLSNADFKWNEKNSVYFKSSLGRNNVLKIHCTSNDDNLGFHFLRPGQTYDFSFHDSVVRSEFFCDLWQGPNFKFHASFMAYEGSGLIVHYGKKNFWDAREDGIYFTHGKEMPKLEYKWK >scaffold_704243.1 pep chromosome:v.1.0:7:24053484:24053835:1 gene:scaffold_704243.1 transcript:scaffold_704243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRGLVFIDDCNFSGTYSQLDKHLKNEHRGLIPPKVDPQRQCRWEQMERHVKYVDLMSAAEIPHIPDVVHHQLPYTHHLPVFRLNIVNGTVRNIIRPVHVRN >scaffold_704245.1 pep chromosome:v.1.0:7:24069018:24069229:1 gene:scaffold_704245.1 transcript:scaffold_704245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHVEYVDLMSAAEIPHIHEVVHHQLPYTHHLPVFRLNIVNGTVRNIIRPVHVRN >scaffold_704246.1 pep chromosome:v.1.0:7:24071167:24071377:1 gene:scaffold_704246.1 transcript:scaffold_704246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHVKYVDLMSAAEIPHIPDVVHHQLPYTHHLPVFRLNIVNGTVQNIIRPVHVRN >scaffold_704247.1 pep chromosome:v.1.0:7:24072133:24073491:1 gene:scaffold_704247.1 transcript:scaffold_704247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLTSFPCLSSLTIKPMAALTNPPRTKTPYELKKGQNRLFHKLPSGLKMEVIEQRRSKSERENPPLVFVHGSYHAAWCWAEHWLPFFSSSGFDSYAVSLLGQGESDEPLGTVAGTLQTHASDIADFIESNLGSSPPVLIGHSFGGLIVQYYLANIVNKQTLGTENAFPELSGVVLVCSVPPSGNSGLVLRYLFSKPVAAFKVTLSLAAKGFQKSIPLCRETFFSQAMDDQLLPGSYDREFTDAAV >scaffold_704255.1 pep chromosome:v.1.0:7:24124446:24124691:-1 gene:scaffold_704255.1 transcript:scaffold_704255.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MK03] MSSHQESAGPPPGMEKSKSKLKIWLENQYRNGRYSMDMAKIMRQCESWCDRHFLAFLFIFSEIMRFS >scaffold_704263.1 pep chromosome:v.1.0:7:24166828:24167678:-1 gene:scaffold_704263.1 transcript:scaffold_704263.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 58 [Source:UniProtKB/TrEMBL;Acc:D7MK12] MKRIPSLVFFASLLIIFVTECVSKYSYFYMKFNKVYNALVVNQTRAISCDESLGLCKKCDERCKAKHGPSCISKCDGEVGMLSCTCTYECGPTLPPKRNVCSGGTGMCSGNCPDKCCDTNCAQKYNGGRGFCNSLGNYNLCQCEYPC >scaffold_704264.1 pep chromosome:v.1.0:7:24170178:24170553:1 gene:scaffold_704264.1 transcript:scaffold_704264.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7MK13] MGENIQRVCASILTVMVVMLSLSEDTKGNNDFAMTPMSEKGLLPNPMSCVSDARKIPDCVEALKQGKLKDIKKECCIILLGLPEDCFGILFPMRFYYRVVLKITCKLIGIF >scaffold_704271.1 pep chromosome:v.1.0:7:24220910:24222783:1 gene:scaffold_704271.1 transcript:scaffold_704271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGLSLMFLLVSLLFVSRVTSRNFTIENKCDYTVWPGVSTASTVPLSTTGFELKKGEARVINAPTSWNGRFWGRSLCSTSSTGNFSCATGDCGSGKIECSGGAAPPTTLAEFSLNGPNGQDIYDVSLVDGYNLPLVVVPQRPQSGQTCITIGCVVNLTETCPSELQVMGNSSEEEPIACMNACQKFKTAEFCCTGEYSTRQKCPPSLYAKNFKNECPLAYSYVYDDATNTFTCSSSPNYVITFCPPITSNTTNNNRSSAADPPFPAQPSKETNGGTKRQRVIGMTKTLKQL >scaffold_704274.1 pep chromosome:v.1.0:7:24249114:24249934:1 gene:scaffold_704274.1 transcript:scaffold_704274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLSPSSLPLSSVCQREHICDTLFRCGNLTAGFPFWGESRPEPCGHPSLVLQCHQNKTALIISGQMYRVLQIDKTSNRLRLARDDFLSESFCSATFTSTTVTPELFELLPDYTNLYVYYGCNACFQDPVNFTCPKLGVASVHRDNNYHENCGASFKIIVPTSYVPEGESLNVTNLQNVIKEGFEVKLRIAERPCQECKSSGGICAYRVATPVCCKAANSSSEPICTPMIPSGKFLNSQQVS >scaffold_704275.1 pep chromosome:v.1.0:7:24253644:24254539:1 gene:scaffold_704275.1 transcript:scaffold_704275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPALLGFGLVIYVIFLFHNLPCTLSNPALLSCDSVFDCGNITASFPFWGENRGEPCGHPSLKLSCDRVSNETYLNISQILFNVLHLDPTFNTLKLVREDYSRSLCSVRSFTQTEFSPKLFEQSPDYKNLIVFSNCNPHFLYLQNFTCSGGGIGSVYQNETYYGTCRTIFNVTVPVNFVPDKEAWNLESVLREGFEVKLKINERSCKKCLKSGGFCSFDKSATQFCCKEDFSFYPNRIKEFSGALLGIKCTETSSSDHVEVPSLAGTGTASGSFGFVLTGSRG >scaffold_704276.1 pep chromosome:v.1.0:7:24259528:24260748:1 gene:scaffold_704276.1 transcript:scaffold_704276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPLLFRFSKPNFCLVFLLFLSFFNCLPCALSQREPCDTLFRCGNLTAGFPFWGVARPQPCGHPSLGLQCLMYRVLEVNITTSTLKLVRQDFSGPFCSISFSGAMLTPELFELLPDYKTLSAYYLCNPRLHYPANFTCSNKGVGSMNQDDFNHNNCGGSFNITVPIGYAPEEEGLNVTNLESVLKKGFEVKLNIDERPCRECKSTGGICSYDVATPVCCKRNSSSELECIPMIPSGSIIGIGFACGFLG >scaffold_704277.1 pep chromosome:v.1.0:7:24263430:24263676:1 gene:scaffold_704277.1 transcript:scaffold_704277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTETNQSLEAIKKVLDKGFELSFKIDCSQCVASGGSCGFKQSSKAFVCYCKDQPHEHTCGKMGNGL >scaffold_704278.1 pep chromosome:v.1.0:7:24269896:24270303:-1 gene:scaffold_704278.1 transcript:scaffold_704278.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK28] MISIEGMSILDAIFHIKNEINILQKEIDSSGHLEAKIPLIMALVSDEKSKLQQELDDQENKARQICKDLGLSTTEDFPMLCEVLGISPTKEYLAAVFEPYMSASVSTDVPQSPCDSKFPII >scaffold_704289.1 pep chromosome:v.1.0:7:24337153:24337480:-1 gene:scaffold_704289.1 transcript:scaffold_704289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIASCSTRYQLDFQAKTNPIVAKRWLKPEGQADVHRCKMDIDVHEDDHPMYPATLNDPKLHEYAENVLKLLLGPEKVKPCGKVMAGEDFAFYQQ >scaffold_704290.1 pep chromosome:v.1.0:7:24346879:24347207:-1 gene:scaffold_704290.1 transcript:scaffold_704290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7MK37] MKFMTLMIITFVIVIMSSSILIKAEEAQSCVPTDLMPCLPAMTTGGQPAKDCCDKLIEQKSCLCGYIKNPLYSMFVSSPLARKVLEACNIPYPSC >scaffold_704291.1 pep chromosome:v.1.0:7:24351063:24351417:-1 gene:scaffold_704291.1 transcript:scaffold_704291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTTFVFIVFVVGAMLSPVPVRARVVESFKEEVNVTCDANELSSCLLPFTTGVSPSTTCCTKLKEQQPCFCTYIKDPKYSQYVGTPNAKKTLATCGVPYPTTC >scaffold_704294.1 pep chromosome:v.1.0:7:24367146:24367391:1 gene:scaffold_704294.1 transcript:scaffold_704294.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK41] MADLDLPARLFADREEYVGERVNQYFKLHMIKVVQKALQPTKLELIRPCFGKFLDSHRHCLFIGKR >scaffold_704296.1 pep chromosome:v.1.0:7:24380164:24381519:-1 gene:scaffold_704296.1 transcript:scaffold_704296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVIVLSSSIVRPKKINKSDRTKIHLTPYDLKLLNFAYPQRGLLFPKPDDVTHIIHRLKASLSIALEIYFPFAGRLVKVNNHEDNTVSFYIDCDSSGARFVHAKVESVSANDFLQHYDSVPEFKRCFFPANDLKSFDGVSEPLLALQVTEIKDGFFISFGYNHMVADGSSFWNFFHTWSKICMNGSSSDTHPLVLKDWFLDKVEYPIHMPVSETGRPPRCEIPTKERVFHFTKTNISDLKAEANADITSTDMKISSLQAVLAHLWISIIKHSGLNREEDTHCIVAADMRRRLNPPLEKECFGNVTHQAIATAEVGEILDHGLGWAALQINKQVRTLTNENYKAFAENWVRNVKFPKTSGGISRKADTYLIATSSPWFEVYDNDFGWGKPIAVRAEPGNGIGISLVVFRGLEEGSIDVNATIPLSMWSDVLVNLLTV >scaffold_704300.1 pep chromosome:v.1.0:7:24413205:24413434:-1 gene:scaffold_704300.1 transcript:scaffold_704300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK51] MEKTISSPPPISCLRSLRFFSGSISSILFHLFVTSSASSPRFYFTFPRAIFDFSSPPWSNKL >scaffold_704310.1 pep chromosome:v.1.0:7:24465314:24466006:1 gene:scaffold_704310.1 transcript:scaffold_704310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:D7MK57] MLMMDFIDLGSLYLFIIAIGSLLFIALAYVFLINYILNLEKDMLRNRKLARASVMLLDQDVLECPICCEPLKIPIYQCINGHLACTPCWKKVKSICPFCLKPAKYDFRCRAMEKVIEAAMVSCPNASYGCKKYVSYTNLSSHEKQCRFAQCSCPMRNWNYTGSSKDLSKHVRANHRNGRQGKFRK >scaffold_704311.1 pep chromosome:v.1.0:7:24466630:24466958:-1 gene:scaffold_704311.1 transcript:scaffold_704311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNNEEPRIAPEPNRWYNITLGPSLLNNNHSYSPKFCTLRYEFKPASIDDTKPGLLHXX >scaffold_704313.1 pep chromosome:v.1.0:7:24478501:24479191:1 gene:scaffold_704313.1 transcript:scaffold_704313.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK59] MAVLSRISSSGQPLASTEGRTTNDKTLITQMGLSNPSPLRFLSRPMYDLIGLAQLAPWPRSVSSNSLAIPNLLTSSTFDEIGQGLQSHFVVTLIVRLAKYPLVTLVEDILSDANPFNLSKKSMIKSSSLIERTLFWNHCILTIFLKAACIKPVIPKVKNLFSTSLCLYRTVTNYQSPEVLFVELFSTRHSLACGKLLSSSCLRCLDLPSNYRK >scaffold_704314.1 pep chromosome:v.1.0:7:24489787:24491088:-1 gene:scaffold_704314.1 transcript:scaffold_704314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIFWGSCKAVPTKSKEISLLNGMYYRPTVQQRKQAVFHLLGIPLASKPEMNSYVKIHPKVSHGWTVRYSIDDPEAVKAAEEAHKEMLDWFVT >scaffold_704317.1 pep chromosome:v.1.0:7:24527230:24528933:-1 gene:scaffold_704317.1 transcript:scaffold_704317.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyl transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MK63] MEEKQERRRRIVLIPAPAQGHISPMMQLARALHLKGFSITVAQTKFNYLKPSKDLADFQFITIPESLPASDLKDLGPVWFLIKLNKECEVSFKKCLGQFLAQQQEEIACVIYDEFMYFAEAAAKEFNLPKIIFSTENATAFACRYAMCKLYAKDGLAPLKEGCGREEELVPELHPLRYKDLPTSAFAPVEASVEVFKSSCDIGTASSMIINTVSCLEISSLDWLQQELKIPIYPIGPLHMMASAPPTSLIEEDESCIDWLNKQKPSSVIYISLGSFTLMETKEVLEMASGLVSSNQHFLWVIRPGSILGSEFSNEELFSKMEISDRGYIVKWAPQKQVLAHSAVGAFWSHCGWNSTLESMGEGVPMICRPFTTDQKVNARYVECVWRVGVQVEGELKKGVVERAAKRLMVDEEGEEMKMRALSLKEKLKCSVLPEGSSHDSLDDLIKTL >scaffold_704318.1 pep chromosome:v.1.0:7:24539476:24540881:1 gene:scaffold_704318.1 transcript:scaffold_704318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSMKGGDGEHSYANNSEGQKSVASNAKPVVVENVKEMIVKMDFPSCIKVADLGCSSGENTLVVMSEIVNTIIATYQQKSKNPPEIVCCLNDLPDNDFNTTFKLIPAFHKKLKMNVKEKCFISGVPGSFYSRLFPMQGVVKESEMESFNLPFYNPDESEVRKVIENEGSFEINNFKTIFGLLFSYKTGLTEVKDDDLDRFEVIKKRTNIIRSITEPMLAAHFGDTVMDRLFDKYTYNLAQRYDTLRNIPTVQFFVSLTRK >scaffold_704319.1 pep chromosome:v.1.0:7:24541230:24541421:-1 gene:scaffold_704319.1 transcript:scaffold_704319.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK66] MYHVWKERNRRQISLPSRHLIHTIYKFPLISRFAETWTYPPSFVVMVLI >scaffold_704323.1 pep chromosome:v.1.0:7:24585856:24587002:1 gene:scaffold_704323.1 transcript:scaffold_704323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPRLVLVIRLFGMTLRTKVNDHILFLSTRLSTTLCSKEPHPEPEPEQEPQPEPHPLPDYETEHESQPEPHHLPKYETEHESQPEPHPLPEYETEHESQAEQEPHPLPEYETEHESQLEPHPLPEYESEHDPAH >scaffold_704324.1 pep chromosome:v.1.0:7:24587809:24589150:1 gene:scaffold_704324.1 transcript:scaffold_704324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSLSLNHILFPSMRQSTSHRLNHILFSSTRQSPSHRLSKSHSLNHILFPSTRQSTSHSLNHILFPSTRQSTTLRTKVNDHILFLSTRLSTTLCSKEPHPEPEPEQEPQPEPHPLPDYETEHKSQAEQEPQPEPHHLPKYETEHESQPEPHPLPEYETEHESQAEQEPHPLPEYETEHESQPEPHPLPEYESEHDPAH >scaffold_704325.1 pep chromosome:v.1.0:7:24589751:24590367:-1 gene:scaffold_704325.1 transcript:scaffold_704325.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK73] MNVAVALLTIDPFNTLENFNPLFIYLSVQGRAQSRTQVEDVVIHLSAKGRALSRTREEDVVQAVTLALSRTREEDVVQAVALAQPVTRALSRTREEDVVQAVTHALSRTREEDVVQAVAPAQPVTRALSRTWEDDVVQAVAPAQAQAQDEDEAPTPMLLLIPKMIKNSSAKMKHEDSDTLLTRYYLTSQHI >scaffold_704326.1 pep chromosome:v.1.0:7:24590819:24591414:-1 gene:scaffold_704326.1 transcript:scaffold_704326.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK74] MNVAVALFTLDPFNTLENFNPLFIYLSAQGRAPSRTQEKDVVIHLSAQGRAWTRTREEDVVQAVTRALSRTREEDVAPVTRALSRTREADVVLVVTRALSRTREEDVVQAVAPAQHVTRALSRTREEDVVQVVALAQAHAQDEDEAHTPMLLLIPKMIKSSSAKMKHEDSDTLLARYYLTSQRI >scaffold_704327.1 pep chromosome:v.1.0:7:24591867:24592434:-1 gene:scaffold_704327.1 transcript:scaffold_704327.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK75] MLQILNVAVALFTLDPFNTLENFNPFFIYLSAQGRALSRTQEENVVIHLSAQGRALSRTVVQAVAPAQPVTRALSRTREEDVVQAVTRALSRTREEDVVQAVAPAQPVTRALSRTREEDVVQIVAPAQAQAQDEDEAPTPMLLLIPKMIKSSSAKMKHEYSDTLLARYYLTSQCI >scaffold_704330.1 pep chromosome:v.1.0:7:24602800:24603004:1 gene:scaffold_704330.1 transcript:scaffold_704330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK78] MVRASTSEALIIYGNGENRGTKRRSVVVLDLDILDCPVCCEALTIPIFQVLFLI >scaffold_704331.1 pep chromosome:v.1.0:7:24604454:24605084:1 gene:scaffold_704331.1 transcript:scaffold_704331.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:D7MK79] MQSVLESILVPCPNVTFGCTKNSSYGKELTHEKECNVAPCSCPVEDCDYIGSYKDLYDHYDLTQLKRFTLDYFSCGNSFNLPMKISDKKIVIRMEDTKRLLFAVQCFKEPCGVYVTVSCIAPFTREVGAFSYYFSYAVDGNVMSYVSTEMKRVLEVSSQVPKKNFMWIPHCLLRDDGWLNIVLCIKISENDQQNM >scaffold_704333.1 pep chromosome:v.1.0:7:24613994:24614755:1 gene:scaffold_704333.1 transcript:scaffold_704333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGRGRGGRGRAPKVSPNRPVANRPTASGTSNRRPSTLPSQYTFTPANPEAPETQQSPVNPVALESQPPTHRDYPPPTQLFQSGDDSPRGSGSHPFRESGSTQVRGSGSVQGRGSVGSIHRLASRSNQPPAPVQPPAPVQPPASTQPAASNRQIPSRQQRPSPQPRASVSHHSSQAQNSHEESEDEEAEGESEEDVLRDSTLPEDVLADLHATLLIPGREKFTTVISPNLEPETTW >scaffold_704334.1 pep chromosome:v.1.0:7:24620239:24621168:1 gene:scaffold_704334.1 transcript:scaffold_704334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAKRHEGKRRPAMLLDLEILDCPICYEALTIPIFQSHLACSSCCPKLNNKCPTCDLPIGHSRCRAMETVLESLFVPCRCAELVCSRQVSYGKESTHEKECNFSPCSQENLEFFDAPENLCECHDASSQTAMPQPHLEDMQEEPLHTSLPQAAPYFLPRDSSRFPLPPWYTSIFDIEHIFQAFGLD >scaffold_704336.1 pep chromosome:v.1.0:7:24629396:24630357:1 gene:scaffold_704336.1 transcript:scaffold_704336.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:D7MK84] MECAASDANDLSSIHLHKRQQTKDETRSAMLDLDFLDCPICIEPFTVPIFQCDNGHLACASCCPKLSNKCPTCTLPVGHIRSRAMESVLESIFIPCPNAKLGCTTNVSYGKQSIHEKECSFSLLCSCPLQDCNYTSSYSNMYRHFISDHQNKYMLFCCDTFANVRMNISDKILIRVEYEVSLVFAVQCFKEPCGVYVTVSCIAPSFQEVGKFSYHLSYTVDGHTMTYESPKVKKVLKVSYQIPEESFMLIPHSLLRGEILDMKLCIKKLRQE >scaffold_704337.1 pep chromosome:v.1.0:7:24631007:24631299:1 gene:scaffold_704337.1 transcript:scaffold_704337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQPNIASLTIMSDSQVLISLITSKESTMELKWILHDITLLSLTFTSISFVFIPRTENVLADSLAKSALVAMSNSSSNGV >scaffold_704338.1 pep chromosome:v.1.0:7:24632361:24634911:1 gene:scaffold_704338.1 transcript:scaffold_704338.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:D7MK86] MGWTSSVVNGLSNIHLQRRQRTEDETRSAMLDLDFLDCPICVEPFTIPIFQCDNGHLACASCCPKLSNKCPACTLPVGHNRCRAMESILESISVPCQNAKFGCTKKVSYGKQSTHEKECIFSPCFCPIQNCNYSGLYKDVYYHFGIHRDIGRVDCWFVLGEFVELDFDFKERAIMAMNAYKEDLLFTVQCLSDPNGITYVTASCIAPSSPEVGEFSCHISTTFHERAIVYKSMKSLTEKQAKELREMKGGINILQNLNNRERQIMDIEASFEACKSQPIHSTNKNVQPVEVLPLLAYFDRLVAPYFLFETILNLNFRIGQFFLIIALHVDTTMKPLKPDT >scaffold_704339.1 pep chromosome:v.1.0:7:24637249:24637472:1 gene:scaffold_704339.1 transcript:scaffold_704339.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KHK7] MKNLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCSVSRSSRRVF >scaffold_704342.1 pep chromosome:v.1.0:7:24646409:24649068:-1 gene:scaffold_704342.1 transcript:scaffold_704342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPKRSRSSDDDSDDDFKRQRIADPGRPSKSFTLENGLKVYVFSCGEDVDDSSASMTVRVGSFADPPEIPGLAHVIEHMLFCGSQKFRGENELQDYLAKYDGNTNAHTEFDHTTFSFEVDTEHFHDALDRFSHLFINPLMETERLEHEIDIMDSEFLLIKYSDADQLDQILAHTSYEDHPFKCFSWGNRFTLTKVPLASLRESALDFFNTHYRASSMILVIVLGSGSESDDYLENSSGIPSTKQGRKICYATILRRNMYSSIMYTSISVFLACNTPPPYLMKEQKDLQDMRFRFLHSDGRLIDSLLGFADRLSANMLWCDADHALSQCFSDPTCDHSEIDFFLKEHFTPANMRIYWLVKTLPEKEVCQDEPWFGTSYMEKEIPESCIKDWVGLRNFFSKGSRFSFPSENLFMLSNENLLGSDDEELRPSNENLLGSDDEELHPSNENLLGSDDEGMRALRWRCMMRSCIHQMRICWLVMMRSCMRALRWSCMTLAKMEIVMALTTRLRLRRISSMSLVIALFLLHTPDIPYLIILDL >scaffold_7100005.1 pep scaffold:JGI8X:scaffold_71:12714:13062:-1 gene:scaffold_7100005.1 transcript:scaffold_7100005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFNNNETGDEVKTERQVFVSSEEDEFRVEDTPGDDANNLIQISQQQQQPLAPVVKWERYLPVRSLKVLLVENDDSTRHIVTALLKNCSYEGFFFIELIILV >scaffold_7100006.1 pep scaffold:JGI8X:scaffold_71:17045:18071:1 gene:scaffold_7100006.1 transcript:scaffold_7100006.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APUM18 [Source:UniProtKB/TrEMBL;Acc:D7MW69] MAVADNSFSLSTMFNALPYSKGISGFIPPPGFAPRASATPLHAALFNLMTDGEGVSYFKGMISKSDKTVLQRMASLLTSDSDYFMAIVTNKYGSRRVQKLLGKSDDADALFCAAILRRFLHITTDKYATYVTIRAMVVFDKEMKKAMYEHILYHALDLACDQHGCIALNDIITDSDDPYYRDQLLDLVASNALCLSNDASGNFVVQHVLTLYDLRCTHNIAVNLYGHCIDLSFKKYGSYIVEKLLEAEESMVVVVVELLDCDGDTLMRLARNEFGNFVVVKALRFTKAMSKIDLFWGLVQKLMPFLHLLRRSHGSNIASILDSFRLRC >scaffold_7100007.1 pep scaffold:JGI8X:scaffold_71:21265:21881:1 gene:scaffold_7100007.1 transcript:scaffold_7100007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCAWDPEVSIREKKNLGRIAQIIGPVLDVAFPPGYNSAVECHLDVVEVISSSNVLATALHGSIRTAKDTINQHLSNLKKSQKKWATLPPTATNSPPPQVKLVIA >scaffold_71100001.1 pep scaffold:JGI8X:scaffold_711:165:379:1 gene:scaffold_71100001.1 transcript:scaffold_71100001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRILRPEGAVIIRDRLDVLIKVKAITSQMRWNGTVYPDDNSGFDHGTILIVDNSVK >scaffold_72100002.1 pep scaffold:JGI8X:scaffold_721:3442:3677:-1 gene:scaffold_72100002.1 transcript:scaffold_72100002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MY14] MVSGSDAHEKGQSDGERIKNGLNENLCLKRARLVVFKLHLQVVCTILAVKQASTVLSTPENIVL >scaffold_72100003.1 pep scaffold:JGI8X:scaffold_721:3798:4484:1 gene:scaffold_72100003.1 transcript:scaffold_72100003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPFRDNFSWRKYGQKRSKLLPIKETMVDDTSHIMNQECDDNELLMEDDQFWENEFPPFSPGHIMFLGDIDAFDSKPCQLIEWELDLV >scaffold_72600001.1 pep scaffold:JGI8X:scaffold_726:152:1911:-1 gene:scaffold_72600001.1 transcript:scaffold_72600001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKNKARETVEEEPLSPCSRLFNSPDFNCAIIVTMGSRVKGDTPAIIHGLEHTLVNHPRFSSILEMNNGKKGKPRWVRTKVKVEEHVIVPDIDPDIENPDQYLEDYISKLTTIPMDLSKPLWEMHLLGLKTSNAESFAILKIHHSLGDGMSLMSLLLACTRKTSDPEALPTVAVQKKRFGPNCNSGFFNKIWWLFVGLWFIIRLLFNTFVDILMFALTIFFLRDTETPLLAKPGSELNPKRFIHRIISFDDVKLVKNAMKMTVNDVLLGVTQAGLSRYLSRRYDQEATPKSKESMRKIRLRSAIMINLRPNTGIEMGNLFGYILLPFSVGLEADPLEYVRQAKATIDRKKTLLKPYSPWHSLN >scaffold_7300001.1 pep scaffold:JGI8X:scaffold_73:26087:26399:1 gene:scaffold_7300001.1 transcript:scaffold_7300001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLRRIIRNATVSKAVPVAKAFKPYPLGRDPSSLPKLKWVSISETDNRSISLRYRVTAMIEMSLLDKAANLSRLAVMDDFRVDRDTVFI >scaffold_73300001.1 pep scaffold:JGI8X:scaffold_733:3730:4018:-1 gene:scaffold_73300001.1 transcript:scaffold_73300001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MY21] MNSGRRSSVSPSSPSTPFVVGVFGCCIRRGWVAKSARSSRDRQLRCLRRCSHRPYVYIRQQYQYQEIHGQCTKSSLSLSS >scaffold_73500001.1 pep scaffold:JGI8X:scaffold_735:627:1475:-1 gene:scaffold_73500001.1 transcript:scaffold_73500001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:D7MY23] MSTEEELQSNVSVASSSPTSNCISRNTLGGLKEHNYLGLSDCSSVGSSTLSLLAEDDKATISLKATELTLGLPGSQSPARDTELNLLSPAKLDEKPFFPLLPSKDEICSSSQKNNASGNKRGFSDTMDQFAEAKSSVYTEKNWMFPEAAATQSVTKKDVPQNIPKGQSSTTNNSSSPPAAKAQIVGWPPVRSYRKNTLATTCMLFIFYSFVNLFSKISDTLVFLSFNFSSTICFSLLR >scaffold_73500002.1 pep scaffold:JGI8X:scaffold_735:4333:4587:1 gene:scaffold_73500002.1 transcript:scaffold_73500002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MY24] MSMTSGRRSTVISASPPTAVVHGVCGSQNRRGGLAKSGTTFTRAPRRWRFNQFRYRNLTLSLQITSIFER >scaffold_7400001.1 pep scaffold:JGI8X:scaffold_74:3715:5275:1 gene:scaffold_7400001.1 transcript:scaffold_7400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPFVDEGKTEDSLKEDEIETLLEQHEEVFMTLFLGTYVECIKEEVVLSTGHALYFTAAGKEHRHVGSNSINLLGSTSHTIFTQGAHGHQYDGVIFSELSLIDLAGSESSTSSETKITGLRRKEGAYINNSLLTLGTVIGKLSDGRTTPVPFRDSTLTCLLQSSLCGH >scaffold_7400002.1 pep scaffold:JGI8X:scaffold_74:6020:6514:1 gene:scaffold_7400002.1 transcript:scaffold_7400002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIEKLTKLIHVSTKNYIHGYIGDTPAYLRNIVDTICIMAWTCFSFMRLQLMRQTPSAYENYRKSGRYFDIVYHENARSVPHYQNIYQIE >scaffold_74600001.1 pep scaffold:JGI8X:scaffold_746:1113:1653:-1 gene:scaffold_74600001.1 transcript:scaffold_74600001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMFNSLQTLVLLALALFLAFVVPLKAQDQPKDYLAVHNRARDHVGVPHIKWHAGAARYAWNYAQIRKRDCRLKHSNSRGRYGENLAWSSGDMSGAAAVRLWVKEKSDYFHKSNTCRAGKQCGHYTQVVWKNSKWVGCAKVKCDNGGTFVTCNYFPPGNIRGRWPY >scaffold_74600002.1 pep scaffold:JGI8X:scaffold_746:2945:3368:-1 gene:scaffold_74600002.1 transcript:scaffold_74600002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIFLMHHTGPIISHMSNEIANEALNFICTLLPDHDFYGICVPWIQQLVEVLLKGGSEMFEVPMELRYNFLYNFQMACPSPTMDTPDQLVVQLASAWDIEVI >scaffold_7600001.1 pep scaffold:JGI8X:scaffold_76:2712:2962:1 gene:scaffold_7600001.1 transcript:scaffold_7600001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML20] MSPLLLLAVFYNGDEDVSHNHPHEYNMPNNGDDSLDDDSDSEDDGHINDTLINCNREFHFTRLPGYLKF >scaffold_7600002.1 pep scaffold:JGI8X:scaffold_76:10013:10750:1 gene:scaffold_7600002.1 transcript:scaffold_7600002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVVIQIFEYNESAVDAMEGKNCFAIASDQRHGVQLQTILREERDIKPETFASLVSAILYEKRFGPYLCQLVIAELRDDNKPFTCTMDSIAAKYAHFLYLPSLLLFIIFIYNDAI >scaffold_76200001.1 pep scaffold:JGI8X:scaffold_762:649:2105:1 gene:scaffold_76200001.1 transcript:scaffold_76200001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:D7MY31] MADESPKSIYDFTVKDIGGNDVSLNQYKGKTLLIVNVASKCGLTDANYKELNVLYEKYKEQGLEILAFPCNQFLGQEPGNNEEIQQTVCTRFKAEFPIFDKVDVNGKNTAPLYKYLKAEKGGLLIDAIKWNFTKFLVSPDGKVLQRYSPRTSPLQFEKDIQTLLGQASS >scaffold_77200001.1 pep scaffold:JGI8X:scaffold_772:84:2036:1 gene:scaffold_77200001.1 transcript:scaffold_77200001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYSGNGLLLKGGKEARRSNAILHKVITDAIPETVGGKLIGLVTSREEIPDLLKVNTDLQTGSCNKSLYLFLNWNPPFAYVLQLDDVIDLVIPRGSNKLVTQIKNTTKIPVLGHADGICHVYVDKSCDTDMAKRIVSDAKLDYPAACNAMETLLVHKDLEQNAVLNELIFALQSNGVTLYGGPRASAILNIPEARSFNHEYCAKACTVEVVEDVYGAIDHIHRHGSAHTDCIVTEDHEVAELFLRQVDSAAVFHNASTRFSDGFRFGLGAEVGVSTGRIHARGPVGVEGLLTTRWIMRGKGQVVDGDNGIVYTHQDIPIQA >scaffold_77600001.1 pep scaffold:JGI8X:scaffold_776:973:1163:-1 gene:scaffold_77600001.1 transcript:scaffold_77600001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KCU5] MTINKTKGAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_78200001.1 pep scaffold:JGI8X:scaffold_782:3472:3817:1 gene:scaffold_78200001.1 transcript:scaffold_78200001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LDR7] MADLELFSRLFANREEPAGDRVNMCFKLNTIKVVLKALTPEEIETIRPCFGKLLDVYSKHVFSGKLAHFLLTRQLNVVSGQNSKKFPCTCFIPLLSRLYSI >scaffold_78400001.1 pep scaffold:JGI8X:scaffold_784:2848:3091:-1 gene:scaffold_78400001.1 transcript:scaffold_78400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MY45] MATNIQNNVGSRWRSPWSSRSIKEPVARSSGIFEYLPTSRCSSISFIKSSGSTWSWTTLSRRSYFLL >scaffold_78400002.1 pep scaffold:JGI8X:scaffold_784:3662:3866:-1 gene:scaffold_78400002.1 transcript:scaffold_78400002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLLKPAFYSSPSLNLANSTKPISQLSIFNGKSRFGLSGSLSFRLSTASPIR >scaffold_78800001.1 pep scaffold:JGI8X:scaffold_788:47:371:-1 gene:scaffold_78800001.1 transcript:scaffold_78800001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGTTLEYTPTWVVALVCSVIVSISFGVERLLHRAGKHFRKNDQKQLFRGITKDQRR >scaffold_8000005.1 pep scaffold:JGI8X:scaffold_80:9950:10404:1 gene:scaffold_8000005.1 transcript:scaffold_8000005.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 76 [Source:UniProtKB/TrEMBL;Acc:D7LEB5] MKSSMQLISTLFFLVILVVAPGMKMVVEGQPQLCETKSLNYRGLCMKWRNCKRVCISEGFPDGRCKGFFNNKCVCRKPCALST >scaffold_8000008.1 pep scaffold:JGI8X:scaffold_80:37038:38245:1 gene:scaffold_8000008.1 transcript:scaffold_8000008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDMDYIRIHSDSEGAGTRSYSYKVLMQTGDTELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNSESLAGALLRIMEDRKGQENFQLIVITHDERFAQMIGQRQHAEKYYRVAKDDMQHSIIEAQEIFD >scaffold_8000010.1 pep scaffold:JGI8X:scaffold_80:41719:42593:-1 gene:scaffold_8000010.1 transcript:scaffold_8000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRDHFLVTGRISRDFRRNSDNKSAWGTNVMLYPESLNLTFLTMERSLTSHNEFILRWQDKIRLTNRTILFSFAGAQRPIRNQNGLVRTQVIKQCKSSSNTCRFLDCDVKANISCDDPISLMKLFESSVFCLQPPGDSLTRRSVFDSILAGCIPVFFNQGSAYKQYRWHIPKNNSEYSVYIPVKELRTGGKNKIEEILRGIPNERVVGMRENVIRLIPKIVYSKPNRNKPDGEILEDAFDVAVKGVVKGIEGIRRKEFKTE >scaffold_8000011.1 pep scaffold:JGI8X:scaffold_80:42924:43495:1 gene:scaffold_8000011.1 transcript:scaffold_8000011.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MW92] MSIIKIRGKAFPVIYSLPAVVSFVSGISRLLSDIVGFLSHDGQSISGIGGSLAPFGRLWLRHASAFYETMSGFWLRYASAFYATISGFVFGDFAAVSLEVSANFTPVLDPAFSLVFWLGIDGLWLVSTGVDPPYSFIFPVLLKSLVVDEPLLWK >scaffold_800002.1 pep chromosome:v.1.0:8:7505:8917:1 gene:scaffold_800002.1 transcript:scaffold_800002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNAFSSFVNKCLDFSRENELCLHKLKLSIHQDENENHNQFCVTRWIDFVAKGKLKHLDVERFLKRKCLEVIMPVSLFVCQTLVYLRLSRVLFSTSFEESSSVSLPRLKTLRLELNVYSNETSLESLISYIPVLEDLTIVRRVGDNVKYLRVRSQTLTSLRIKFQLRRQSEYYGEVDVLVVRGYGQGGFLIDAPRLKYLNIEDERTTIKTITNLGSLNKVNLLGSFFIRGDDQDRKFFTSLSGVRDMNLSRASFLYFMNVVPLPQFCNLYQLEVGLCYFSFFDRLLMFLPSCPKLRSLILVMTCSNPYIKKCVI >scaffold_800003.1 pep chromosome:v.1.0:8:17931:18299:1 gene:scaffold_800003.1 transcript:scaffold_800003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDKPFAIEKLKAMEQTLAKGKQIQDDCSTVVKKLRAMLHSAEEQLRVHKKQTMFLTIS >scaffold_800004.1 pep chromosome:v.1.0:8:18844:19530:-1 gene:scaffold_800004.1 transcript:scaffold_800004.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNI7] MVVSSNAQQKAAISLFQGLDFFADEVSFMENTVQNQLTADNVSLLIVADDADKTEEPLRTLLATAGQKNIPIVTFATMVEIGEIIELGNASTKIVSLNKGKSYIGTVKNTLNQAEDAPKEERER >scaffold_800007.1 pep chromosome:v.1.0:8:29505:31051:1 gene:scaffold_800007.1 transcript:scaffold_800007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNRLNGSKTLSYPSDDRTIITSGADGQVRLGQILENGKVETKRLGRHHGRVYKLAVLPGDPNVFYSCGEDGFVQHFDVRSNSATMVLYSSPFTQGCRRHHSSSRIRLNSIAIDPRNSYYLAVGGSDEYTNSVHITGLAYSKAGELLVSYNDELIYLFEKNMGYGSSPVSISPENLQEMEEPQTAVTYLYGRRKGVKLVRAMVGDRRVVNQLEFHPHIPFFASCGIEKSVKLWTPLSNDVLSLPENIEKVVESNRVGREDQSRVTLTPDVVMHVLRLQRRQTSAFTERRYVSTDIDSDEGNEAHFIASLEAKDDESSERECTVS >scaffold_800008.1 pep chromosome:v.1.0:8:36173:37281:-1 gene:scaffold_800008.1 transcript:scaffold_800008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLPPPGFSSHERADLSSDIAPGTRLLDSASLLRNTYHVPPPSGNLTAAADIEFIDPAILAVGRGRLHSGMETADFDMRSGFSSQLKSFENDARLQLLAQRSLAAQQVNGFHVLMNVNNFSSSLSDPYGISSRLMDQTQGTGLSPFTQLPRQASPNPLLSNGHWDKWNETQSGNNLGTNQLLRNDRMGFNDNVYSRFEEPKFRRPGPGDQYNRTYGI >scaffold_800014.1 pep chromosome:v.1.0:8:69313:71360:1 gene:scaffold_800014.1 transcript:scaffold_800014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSEEAIDEFQELMDQVEEPLKETYERVHQGFLRENLGRFLKARDWNVCKAHTMLVECLRWRVDNEIDSILSKPIVPTELYRDVRDSQLIGMSGYTKEGLPVFAIGVGLSTFDKASVHYYVQSHIQINEYRDRVLLPSISKKNGRPITTCVKVLDMTGLKLSALSQIKLVTIISTIDDLNYPEKTNTYYVVNAPYIFSACWKVVKPLLQERTRKKVHVLSGCGKDELLKIMDFTSLPHFCRSGSSGSSHHTQSANCFSIDHPFHQQLYNYVKHHYETRGQAEPAKQGSFHVGFPEPEAERCVIAKTIESELHKFENRNGLAMSIDDRKASHETLADDDL >scaffold_800023.1 pep chromosome:v.1.0:8:99912:100527:-1 gene:scaffold_800023.1 transcript:scaffold_800023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSDRDSGGGQNGNNPNGQSSLSPREQDRFLPIANVSRIMKKALPANAKISKDAKETMQECVSEFISFVTGEASDKCQKEKRKTINGDDLLWAMTTLGFEDYVEPLKVYLQRFREIEGERTGLGRPQTGAEVGEHQRDAVGDGAGFYGGGGMQYHQHHQFLHQQNHMYGATGGGSDSGGGAASGRTRT >scaffold_800028.1 pep chromosome:v.1.0:8:109062:110421:1 gene:scaffold_800028.1 transcript:scaffold_800028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPKGFYATNNQFQTTGPKGFIEVKVLENDNLYVRVDLPGVPDDAVRYRVDAVRQKVVFFSGETLNDDKEGLREYSGTAGLGCDCCEITGVVDAKMKDGVLRMILTRVKVNKDQPNNKCTLTVPPFTGQSGRYLEDHPYVVKGRKGALFGVPTAGIGLYFAVDLPGVCGDDVQVFAHENEIRFYGEVKNVCEHDESRRIYSGGIMSPPSRILPSVSSHNITWDVEFGVLKIVATPPGYTNNN >scaffold_800035.1 pep chromosome:v.1.0:8:128109:130112:1 gene:scaffold_800035.1 transcript:scaffold_800035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPADLVRQTRDLLLYADRSTSLPDLRESKREEKMAELSRNIRDMKSILYGNSEAEPVAEACAQLTQEFFKEDTLRLLITCLPKLNLEARKDATQVVANLQRQQVNSRLIASDYLEANIDLMDVLIEGFENTDMALHYGAMFRECIRHQIVAKYVLESDHVKKFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLTKNEDWFFADYNSKLLESSNYITRRQAIKLLGDILLDRSNSAVMTKYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANQNKPADIVNILVANRSKLLRLLADLKPDKEDERFEADKSQVLREIAALEPRDLA >scaffold_800043.1 pep chromosome:v.1.0:8:155524:155757:1 gene:scaffold_800043.1 transcript:scaffold_800043.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MP43] MKETKPGASPAIMATFLCDDSFMASYVRYGLIPISLLGFYKRIGSLKDAPKVFDEMPDPDLI >scaffold_800046.1 pep chromosome:v.1.0:8:167715:169485:1 gene:scaffold_800046.1 transcript:scaffold_800046.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MP46] MLRTVSNAFPTRSHVGSTAYSNSWTTIVPALARFGSIGVLRAAVELINDGEKPGASPLVHLLRVSGNYGYVSLCRQLHGYVVKHGFVSNTRLSNSLMRFYKTSDSLEDAHKLFDEMPDPDVISWNSLVSGYVQSGRFQEGLCLFLKLHRSDVLPNEFSFTAALAACARLHLWPLGACIHSKIVKLGLEKGNVVVGNCLIDMYGKCASMDDAVLVFQHMEEKDTVSWNAIVASCSRNGKLELGLWFFHQMPNPDTVTYNELIYAFVKSGDFNNAFQVLSDMPNRNSSSWNTILTGYVNSEQSGEATEFFTKMHSSGVGLDEYSLSIVLAAIAALAVVPWGSLIHACALKLGLDSRVVVASALIDMYSKCGMLKHAELMFWTMPRKNLIMWNAMISGYARNGDSIEAIKLFNQLKQERFMKPDRFTFLNLLAVCSHCEVPMEVTLGYFEMMINEYGIKPSVEHCCSIIRAMGQRGEVWQAKKVIQEFGFGYDGVAWRALLGACSARKDLKAAKTVAAKMIELGEADKDEYVYIVMSNLYAYHERWREVSQIRKIMREKGVTKEVGSSWIQEQNVAVQF >scaffold_800048.1 pep chromosome:v.1.0:8:171657:177420:1 gene:scaffold_800048.1 transcript:scaffold_800048.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein sec16 [Source:UniProtKB/TrEMBL;Acc:D7MPT5] MASTADFLLEDQTDEDFFDKLVDDSYTPTASSSAKELKFDDGSDSDDAKAFANLSVVDDVVGDGDVALNEAGLGTSGSVGKEEPSSSIAPEALQFVNSDANKLRDDDDVVRSGVDDMPLTETAKESNIVDGSGSPGVKEVGWGSFYADSSVNDGRGFGSYSDFFTELDGTAGDLQGQAEVAVATGGNLVANATSVGLDNSAGFEQHHGQVQHDSGSGRYVDNSQSWEYLYPGWKYDESYINSTSNWESVAADNSDVAYLKQSTPSAAAGTVESVSTWNQVSQVSNGYPEHMIFDAQYPGWYYDTIAQECRSLDSYNQASQTTLTGQAHDKQVQNGHARNNQSSMYDVNDKNQTFKAQEYAIQSQHGSWDQSYYANNQQAANTWQSVNVGQAEPAINSDSLSSFGGNQQVNNLYSTEPVTEQFKPNAIGAQSFIPQHMNLASVTQNGPLSFSNDVYNRQQSVDDAHQSFQNNQLFSPSAGRSSDGRPPHALVSFGFGGKLIVMKDNNGSLQGEDSLSYFRCLHQQSLPGPLVGGNVGSKELHKWIDERILNCESSYMDFSRGKLLKMLLSLLRISYQYYGKLRSPFGTDASQKETDSAEAAVAKLFAFAKKDGVQNGYAPISQCLQYLPPESQMQVTASEVQNLLASGRKMEALQCAQDGHLWGPALVIAAQLGDQFYVDTVKQMALRQLIPGSPLRTLCLLVAGQPAEVCPAGSSSMLDNWEENLGIITANRTTDDELVITHLGDRMWKDRGEIIAAHICYLIADKNFDPYSECARLCLVRADHWKCPRTYASPEAIQLSLHGQRTELYEYSKTLGNSQYILLPFQPYKIIYAHMLAEVGNISTAQKYCQAVLKCLKTGRSPEVGMWKQFVSSLEERIRIHQQGGYTANLTPAKLVGKFLNFIDSTASRVVGGMPPPAPHSTTGNLQLLKLFLLAKAHRCATLDGSRLEQGTKEKERDEALKANQYISH >scaffold_800053.1 pep chromosome:v.1.0:8:222114:222651:-1 gene:scaffold_800053.1 transcript:scaffold_800053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSGSTSSFGSNSGVRKLCECGLPAKVFKSKTDKNPNRRFFGCQLYKEGGNAHCKFFRWLDEEVIGWPKRALAEAQSVIKEKTEKIEELNATILELRGDLERQKLEISSINTEDEKISIELGLQKRIDEMETIVYRQRIVIRGLTGLLVCVLSAIVFCIVSDV >scaffold_800056.1 pep chromosome:v.1.0:8:252001:253576:-1 gene:scaffold_800056.1 transcript:scaffold_800056.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor [Source:UniProtKB/TrEMBL;Acc:D7MPU2] MTRRCSHCNHNGHNSRTCPNRGVKLFGVRLTEGSIRKSASMGNLSHYTGSGSGGHGTGSNTPGSPGDVPDHVAGDGYASEDFVAGSSSSRERKKGTPWTEEEHRMFLLGLQKLGKGDWRGISRNYVTTRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDMVPDEVGDIPMDLQEPEADNIPVETEMQGADSVHQTLAPGTLQAPSILEIEECESMDSTNSTNGEPTATAAAASSSSSRLEETTQLQSQLQPQPQLPGSFPILYPTYFSPYYPFPFPIWPAGYVPEPPKKEETHEILRPTAVHSKAPINVDELLGMSKLSLAESNKNGESDQSLSLKLGGGSSSRQSAFHPNPSSDSSDIKSVIHAL >scaffold_800059.1 pep chromosome:v.1.0:8:276871:278349:1 gene:scaffold_800059.1 transcript:scaffold_800059.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MPU5] MLNHLISRLLPPSLLSHPSKISALRFSTTVSAADRLYGHLQGGTSNPEKDLASANVNLDSSSINEVIRRCDPNQFQLGLRFFIWAGTQSSHRHSPYMYTKACDFLKIRANPDLIKDVVEAYKKEECFVSVKTMWIVLTLCNQAKLADEALWVLRKFPEFDLCADTVAYNLVIRLFADKGDLSMADMLMKEMDCVDLYPDVITYTAMINGYCNAGKIDEAWKLAKEMSKHDCVLNTVTYSRILEGVCKSGDMETALELLAEMEKEDGGGLISPNAVTYTLVIQSFCEKKRIREALLVLDRMGDRGCTPNRVTASVLIQGVLENDEDVKDLSKLIDKLVKLGGVSLSECFSSATVSLIRMKRWEEAEKIFRLMLVRGIRPDGLACTHVFRELCLSERYLDCFVLYQEIEKEDVKSTMDSDIYAVLLLGLCQQGNSWEAAKLAKSMLDKKMRLKVSHVEKIIEALKKTGDEDLMSRFSTD >scaffold_800067.1 pep chromosome:v.1.0:8:303613:305110:1 gene:scaffold_800067.1 transcript:scaffold_800067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSTVYQWRRALDTLESYPSEMKGTEKGIFQVLKLSYDYLETKNAKCFLYCALFPKAYYIKQDELVEYWIGEGFIDEKDGRGRAKDRCYEIIDNLVGAGLLLESNKKVYMHDMIREMALWIVSEFRDGERFVVKTDAGLSQLPDVTDWTNVTKMSLINNEIKNIPDDPEFPDQTNLVTLFLQNNKLVDIVGRFFQVLSTLVVLDLSWNLQITELPKGISELVSLRLLNLSGTSIKNLPEGLRVLSKLIHLNLESTSNLRNVGLISELQKLQVLRFYGSAAALDSCLLKILEQLKGLQLLTVTVNNDSVLEEFLGSTRLAGMTQGLYLEGLKVPFAAIGELSSLHKLELVNCDITESGTEWEGKRRDQYAPSTSSSQITPSNPWFKDLSAVVINSCIHLKDLTWLIYAANLESLSVESSPKMTELINKEKAACVGVDPFQELQVLRLHYLKELGSIYGSQVSFPKLKLNKVDIENCPNLHQRPL >scaffold_800068.1 pep chromosome:v.1.0:8:307515:308115:-1 gene:scaffold_800068.1 transcript:scaffold_800068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLHNLEKNLEALEMKVEALKAMINELLKRLSKEEDRMLPKVINWISIAQEIVSKASGLLDKSISERYKLSKYDDLSKISESTHHYSEDVRLTLEAVETHKSMGVFRVLVDSTHQLHVCET >scaffold_800077.1 pep chromosome:v.1.0:8:348835:349312:-1 gene:scaffold_800077.1 transcript:scaffold_800077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSELQLPFLDSNPAVISAFAYTNVSLLLSSSYPCFKSFARDALGPYLCASLPISQQFPSPEVSPFFLLRAMQNVHISLSDLRIYGISVSTTFSFFDLTTGVKLFDMMVDVVIGSMAVRGHENLPVIVAETGLPRSGCEMDLQ >scaffold_800078.1 pep chromosome:v.1.0:8:349425:349833:1 gene:scaffold_800078.1 transcript:scaffold_800078.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPW5] MRSEWVSPSPVTMVVNWLAGKRSNPIHGFERAIDRLNKGLELIEQKEKSCLEKASMEFEMAKQHYGSNNKICTYDDQSFSFTVDWV >scaffold_800081.1 pep chromosome:v.1.0:8:371518:371708:-1 gene:scaffold_800081.1 transcript:scaffold_800081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPARLTSSPPRLADFRYSTSIFEKYTVLKPQPLILA >scaffold_800082.1 pep chromosome:v.1.0:8:376887:377600:1 gene:scaffold_800082.1 transcript:scaffold_800082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRNYQTHALCLFASVVEIQGFSPSISNQTPVFIHTTYIVAPSLSWNRDVLLYRRFVSGAFAQCRWNYRLSIGDCPLTQWYGSFTWVFDPGINGGINLLDRIRIRNRIGYVSRTQLGLSFIFGILVICARFGAEYSCIERAHGYHVMLTLSDDVDVFPLPWMEFFNSSIDGMGCEVYGSTIKVIILSDDDDGDYFPWSEVLAEEKR >scaffold_800083.1 pep chromosome:v.1.0:8:382425:382891:1 gene:scaffold_800083.1 transcript:scaffold_800083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKMVMGDCDGDVLYFPWSKNIAFIKREITATNRQRCVRRLEHIVLSFKRQELESFQATIKFLLLIRVMRKAFWSFIYKMIANNEYVKGGRNRFYLLACKASDWKAGSLPLFHRNCVQFPLFVSTVVCEWFMYCVKFFMI >scaffold_800091.1 pep chromosome:v.1.0:8:411416:412521:1 gene:scaffold_800091.1 transcript:scaffold_800091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISMAFFSPPILQNPNLIPKISTSLLSTKRFSLISVPRASSDNGTTSPASASVSATTVVEIPKPVAVAVEEVPVKSPESSSASGNGAVGGEETDLGTAATVIKFEDAKWVNGTWDLKQFEKDGKTDWDSVIVSEAKRRKWLEDNPETTSNDEPVLFDTSIIPWWAWMKRYHLPEAELLNGRAAMIGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLFIRKNEDLDKLKDLFDETTLYDKQWQAAWKEPDPSTVSSKK >scaffold_800092.1 pep chromosome:v.1.0:8:415429:417261:1 gene:scaffold_800092.1 transcript:scaffold_800092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHSTAAREFPGHENPVKLASETAFSVSEVEALYELFKSISSSVVDDGLINKEEFQLALFKNRKKENLFANRIFDLFDVKRKGVIDFGDFVRSLNVFHPNASLEEKTDFTFRLYDMDCTGFIERQEVKQMLIALLCESEMKLADDTIEMILDQTFEDADVDRDGKIDKTEWSNFVIKNPSLLKIMTLPYLRDITTTFPSFVFNSEVDEIAT >scaffold_800093.1 pep chromosome:v.1.0:8:420504:420736:1 gene:scaffold_800093.1 transcript:scaffold_800093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGLIKQFWVAVVAVALLIHVSVSVPFVVLHGITAACSDPTNANFTQLLMNLSGSQGSCL >scaffold_800094.1 pep chromosome:v.1.0:8:422005:422487:1 gene:scaffold_800094.1 transcript:scaffold_800094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYLENSKYLPKLSNEIPNQRNSTYKDRFTSLHNLVLVKFQNETIIIPNDSTWFGFYPDGQVEPVLPANKTALYTEDWIGLKTLDAAGKVKFVSVPGGHLEMADHDVLKYIVPYLQNQS >scaffold_800098.1 pep chromosome:v.1.0:8:471003:471377:-1 gene:scaffold_800098.1 transcript:scaffold_800098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFTFFALFSILILGLMVNKIQGQEGLCRMLFPHKDCGTGAHSCAALCLQKWKGRGQCFPSDDSGIIYCLCSFQCRS >scaffold_800100.1 pep chromosome:v.1.0:8:495958:497588:1 gene:scaffold_800100.1 transcript:scaffold_800100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGLIKQFWVAVVAVALLIHVSVSVPFVVLHGITAACSDPTNANFTQLLMNLSGSQGSCLEIGDNGQLTSIFMPLTQQAEIACEKVKQMKELSQGYNIVGRSQGNLVARGLIEFCDGGPPVYNYISLGGPHAGISSVLTVSLSLILT >scaffold_800101.1 pep chromosome:v.1.0:8:507993:510077:1 gene:scaffold_800101.1 transcript:scaffold_800101.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesyl diphosphate synthase short form [Source:UniProtKB/TrEMBL;Acc:D7MPY7] MEADLKSTFLNVYSVLKSDLLHDPSFEFTDESRLWVERMLDYNVRGGKLNRGLSVVDSFKLLKEGKDLTEQEVFLSCALGWCIEWLQAYFLVLDDIMDNSVTRRGQPCWFRVPQVGMVAINDGILLRNHIHRILKKHFRGMPYYVDLVDLFNEVELQTACGQMIDLITTFEGEKDLSKYSLSIHRRIVQYKTAYYSFYLPVACALLMAGENLENHIDVKNVLVDMGIYFQVQDDYLDCFADPETLGKIGTDIEDFKCSWLVVKALERCSEEQTKILYENYGKADPSNVAKVKDLYKELDLEGVFMEYESKSYEKLMGVIEGHKSTAIQAVLKSFLAKIYKRQK >scaffold_800105.1 pep chromosome:v.1.0:8:517673:518909:-1 gene:scaffold_800105.1 transcript:scaffold_800105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKTMEGTPMKATAAEEITARLSSLDNLYFPRAVQLTAASSDQRKSILLDLLRRDPAVFLERYGSELLVDELLEFDAMKHDYEVDWHLKNLRKKISPTSEELKSRCVAVRNRRLAYLNKLVSEGQYFSEDAMRDREPYLHHEYVGKFQDVMGRNMARPGERWSETLMRRAEEAVLVTRIREEQQRLGVAESDWIGNEKMEESEEEEEEEEEEEEESEEDEEAKNPTEASSSLEQVTDINGTLHDREHNNKATTVLPPEEMQDMMEQFTSIMQQKFLSGEDHQHLDYTKIDNDETLDDHWLREIGLDAEDKYFGED >scaffold_800118.1 pep chromosome:v.1.0:8:574540:575708:1 gene:scaffold_800118.1 transcript:scaffold_800118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDTHLDRSITRISYHDFINFELIYFFQANLERCIPSVADGLKLSSRKILFTLFKCNKKVTVEQLASDVSKTCSYHHSQQSLAKTIVRMAQDYVGSPNNVNLLDPDGQFGSRISGGKDASNPKYIFTELSVMARVLFPNDDDVHLQYLKEDGKTIEPLCYMPVIPTVLVNGARGVGSGWSTFIPKYDPREIAENIRRLLKGEVMIAMDPWYRKFKGTIEKVKSKAGVTTASKEGPCTYKTSGLFEVINETTLVITELPVYKWTKKYISFLQDTKEKGFIQVEFLL >scaffold_800125.1 pep chromosome:v.1.0:8:619222:619805:1 gene:scaffold_800125.1 transcript:scaffold_800125.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7MQM4] MTFLLYLVMFFLLLNAFAMAQSLIRDSCKKAADQNPKIHYNFCVKSLEENPHSKTARSLDRLVMSSTKNAVSKTTSMKGIVDKILKENRYEKYSEKPLRDCLELYSDATNSLTEALTIIKSRDYKTANVVISAAVGAPPSCEIGFKEGKEPLKSPFTKDNDVLFQMILIPLAFTNMLKT >scaffold_800126.1 pep chromosome:v.1.0:8:621369:621933:1 gene:scaffold_800126.1 transcript:scaffold_800126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVSLVIVSIFLNGFATAQTLIEDSCKKAFAKDPQVTYEFCVKSLTEDPQSKAATTLEGLLLASTKNAAAKFTNMKGVVQQDIKDKRYADIVGLLRLCLGFYDDANDDLNTALKNVQSHDYEGANINLSAALDVSGNCEDAFKEDKKKSPITTENDILYKKVLIPLAFTNML >scaffold_800128.1 pep chromosome:v.1.0:8:623969:624550:1 gene:scaffold_800128.1 transcript:scaffold_800128.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7MQM7] MKFLLYLVMFFLLLNCCATAQSLIRDSCKKAAATDPKLKYDFCVKSLEENPQSKTAKSLEGLVFASTKNAVSKTTSLKGMVDKIFKEDKYEVERPLRDCLELYTEAIDSLNQSLDTVKSRDYKTATMLMSAAMDAPGSCESRFTKRKKAVKSPFTKENDVLFHMVLIPIAFTNMLDMK >scaffold_800132.1 pep chromosome:v.1.0:8:634917:638090:-1 gene:scaffold_800132.1 transcript:scaffold_800132.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji family protein [Source:UniProtKB/TrEMBL;Acc:D7MQM9] MKDKVEKFETDDLKWTERLPECPVYRPTKEEFEDPLTYLQKIFPEASKYGICKIVSPLTATVPAGAVLMKEKSNFKFTTRVQPLRLAEWDSDDKVTFFMSGRTYTFRDYEKMANKVFARRYCSGGSLPDSFLEKEFWKEIACGKTETVEYACDVDGSAFSSAPGDPLGSSKWNLNKVSRLPKSILRLLETSIPGVTEPMLYIGMLFSMFAWHVEDHYLYSINYQHCGASKTWYGIPGSAALKFEKVVKECVYNDDILSTNGEDGAFDVLLGKTTIFPPKILLDHNVPVYKAVQKPGEFVVTFPRAYHAGFSHGFNCGEAVNFAMGDWFPFGAIASCRYAHLNRVPLLPHEELICKEAMLLNSSSKSENLDFTPTELLGQRSIKTAFVHLIRFLHLARWSLMKSGLCTGLVSNTYGTIVCCLCKRDCYLAFINCECYSHPVCLRHDIKKLDLPCGTTRTLYLRDNIEDLEAAAMKFEKEDVVSDLITTDEDLYKYPSSITLPAAKEDGYSPYSTIYFDFYTEVEMTSHDQLQSGNSVMSYEANASCISSVADDYECSDYVNRRANCSSSSDSKFSEEVACSSNKKTRFFPAVQDEQQLVADQESDGSDSECFRVKRRSLLKFENRTVVLDTRDYDHHQELKRLKKSLHHEGRYSSSSSVSRQEEEEEEEVLVISNRKETQQQSDVKMQKKRIENQFGGFKRLKVKGLIKP >scaffold_800133.1 pep chromosome:v.1.0:8:648004:649223:1 gene:scaffold_800133.1 transcript:scaffold_800133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQGIKISVGHFQKFKEFVCFSLLEFASCGSYSTLKAKMFGFCIRAKFLEKQAGKLDDRVYGEVAKWMLSKEQGATRIHDGIIVRIGDYELLRVNNVF >scaffold_800142.1 pep chromosome:v.1.0:8:683701:684065:-1 gene:scaffold_800142.1 transcript:scaffold_800142.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQN8] MESGRSSYAFVSVLILVVLLFSGEANAICKFGIGKCTYPGKCQQDCIDKGFYFGGDCETTKKGLTCCCIRGLLSSSTNN >scaffold_800147.1 pep chromosome:v.1.0:8:713547:714219:-1 gene:scaffold_800147.1 transcript:scaffold_800147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGASPRIVDAADNSLDKIKQQLESRVIGTCFWDLLFKRAETEASTIRRHQDKNLKPRRIKSTSASMQG >scaffold_800150.1 pep chromosome:v.1.0:8:724547:725295:-1 gene:scaffold_800150.1 transcript:scaffold_800150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQRNRVNDYHNNDHHHYLGVNKMGKNIRKDPSNQQNQQQNPQALVYNINKTDFRSIVQQLTGLGSTSSVNPPQANPPKPPNSRLVKVRPAPLTQLNHPPPPPPPPVQSVPIASEPVQPVNQVSVNPAESPISAYMRYLIESSPVGNRAQPQNQNPVQPSTGLFPSHQTGPNPMSFQSPASQFVLSPQPRSPFPLFSPNFAFSPRFLGGTESLPPPSPGFFFPLLSPLWKNQ >scaffold_800151.1 pep chromosome:v.1.0:8:727177:727618:-1 gene:scaffold_800151.1 transcript:scaffold_800151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNCLTCQALPRTDSNKNVDLSGPGPPKVEINHVLGKTCCVDPIGGRNWSGNLSPRIYEKIGRSGSSLAHKMKKVKKIHHIRLSGPVGASPSNGPTRPEQPKLVRSTGVRRNWSFENLRDQGLIEEKRKINI >scaffold_800152.1 pep chromosome:v.1.0:8:729629:731614:-1 gene:scaffold_800152.1 transcript:scaffold_800152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTTSSINFLTSDDDASAAMEAFIGTNHHSSLFPPPPQPPPPPSFPQTQFNEDTLQQRLQALIESAGENWTYAIFWQISHDFDSSTGDNTVILGWGDGYYKGEEDKEKKKNNTNTAEQEHRKRVIRELNSLISGGIGVSDESNDEEVTDTEWFFLVSMTQSFVNGVGLPGESFLNSRVIWLSGSGSLTGSGCERAGQGQIYGLKTMVCIATQNGVVELGSSEVISQSSDLMDKVNNLFNFNNGGSGNNGGEASSWGFNLNPDQGENDPALWISEPTNTGIESPARVNNGNNSNSNSKSDSHQISKLDKNDISSVENQNRQSSCLVERDLNFSSSGLNQNGNFQGGLLKSNETLSFCGNESSKKRSPVSKGSNNDEGMLSFSTVVRSAAKSVDSDHSDLEASVVKEAIVVEPPEKKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYSLRAVVPNVSKMDKASLLGDAISYINELKSKLQQAESDKEEIQKKLDGMSKEGNNGKGGGSRAKERKSSNQDSTASSIEMEIDVKIIGWDVMIRVQCSKKDHPGARFMEALKELDLEVNHASLSVVNDLMIQQATVKMGSQFFNHDQLKVALMTKVGENY >scaffold_800154.1 pep chromosome:v.1.0:8:745148:748263:1 gene:scaffold_800154.1 transcript:scaffold_800154.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 21 [Source:UniProtKB/TrEMBL;Acc:D7MQQ1] MAEFSDPPPSNLSSSPKLAKPNQTLDESTPTAPIRDLVTHSLSLSSPIRQIQPSSPANADESSSSPSDKTLTTPPAQISPVSNNNFLQITSTSDSYLYRPPRRYIENSDGQSDDDDLNKIEPTKPLQLSWWYPRIEPTGVGAGLYNSGNTCFIASVLQCFTHTVPLIESLRSYMYQNPCNCGNEKFCVMQALRDHIELALRSSGHGINIDRFRDNLTHFSSDFMINHQEDAHEFLQSFLDKLERCCLDPKNEPGSILSQDMNIVDNVFGGGLMSTLCCCNCNSVSNTFEPSLGWSLEIEDVDNLWSALESFTRVEKLEDQLTCDNCKEKVTKEKQLKFDQLPPVATFHLKRFKNDGVTMEKIFEHVEFPLELDLLPFMSSNQNPEVSTKYHLYAFVEHIGIGATFGHYSTYVRSAPETWHNFDDSKVTRISEDRVLSRPAYILFYAREGTRWFSSTFEQLKAVFEATPLHFSPNSVLENSYDSVGNSNKDCNDSGGVSIPDGKWSDFCCHEPKEEVFHSAESNNSEDSSAMIDALESPEADESEKPFVETSQQREPESCPAGNKANIDESEKPFAETSQQKEPEPSPAADRASIDAPHVPLLKVQNQDISPKRKAGERATLGGPKRKVQKTNSHPKRQGSFQIQRAHLKTKNQEETRRTKRAAYRSNVADSAPDPKYIIHAYSYLNRVQTPRARMLANALAGSPTKKRKISTGPRHMKRSTIKL >scaffold_800156.1 pep chromosome:v.1.0:8:753683:754248:-1 gene:scaffold_800156.1 transcript:scaffold_800156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHKNLIFVYGTLKRNHRNHFLLEDLISTNDAVYIGQRTTRLQYPLVTGLYGIPYLINKSGSGQKIRGELYSVSKHGLVRLDELEGIRVNHYERLPIEVIDEDEEEEPNGVVLAEAYFAHCGFGERLWEKKGKCGMCEFGENDGVLYVRVKDRPRFSSVLDEIEAFVSSSND >scaffold_800157.1 pep chromosome:v.1.0:8:760265:761998:1 gene:scaffold_800157.1 transcript:scaffold_800157.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7MQQ4] MAIEDYENPNREIKPKNRRLMEEENQWPLWLKPLLNEHFFVQCKSHGHSPKSECNMYCLDCTNDSLCSLCLSDHKNHRTIQIRISSYHNVIRVNEIQKYLDISSIQTYVINSAKVLFLNERPQSRPGKGFTNTCKVCYRGLAENCVRFCSIGCKVAGTSGSFAKRVKHTTIESDNSSNSSGVENNSSGAENDNSNLLSLSPPTPQFPPGSLRKRRRKGIPHRAPLG >scaffold_800161.1 pep chromosome:v.1.0:8:778116:779029:-1 gene:scaffold_800161.1 transcript:scaffold_800161.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MRB8] MPIAKPINQNTTVPYPPQHYSKPPLVIILTVILLVVFFIGFFALYFCKCFYHTLTEAWNHHYRNGLPENQVQAQQDPVQPPVNPGLEPHIIQSFPLFPFSSVKDLREDKYGLECAICLLEFEEEHIFLRLLTTCYHVFHQECIDQWLESNKTCPVCRRNLDPNAPENIKELIIEVIQENAHENRDQNQTSTSNEVLLSRQSSSNNERKIETLPDKFSRSKTTGHSIVRNKPEEEDRYTLRLPDHVKIKVTRRHNNNQTESCISFGELMRNRGGRFGEVSGQSLVPESSGS >scaffold_800163.1 pep chromosome:v.1.0:8:788149:790987:-1 gene:scaffold_800163.1 transcript:scaffold_800163.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:UniProtKB/TrEMBL;Acc:D7MRC0] MPVAASAIYFLNLRGDVLINRTYRDDVGGNMVDAFRTHIMQTKELGNCPVRQIGGCSFVYMRISNVYIVIVVSSNANVACGFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPKDKPVPNATLQVTGAVGWRREGLAYKKNEVFLDIVESVNLLMSSKGNVLRCDVTGKVLMKCFLSGMPDLKLGLNDKIGLEKESEMKSRPAKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVVKIPVPKQTAKTNFQVTTGRAKYNPSIDCLVWKIRKFPGQTESTLSAEIELISTMGEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >scaffold_800175.1 pep chromosome:v.1.0:8:869114:870075:1 gene:scaffold_800175.1 transcript:scaffold_800175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLTLVIHKAEQLHMAAANGHMTIVEYLISEGWCERTPMDETIGAEKIETIDAINTTVAQIELENIRVT >scaffold_800178.1 pep chromosome:v.1.0:8:921132:922053:-1 gene:scaffold_800178.1 transcript:scaffold_800178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYVTSSSNVEDSGEEKKCLALGWQFDGLNDGNIQALRDRLGAEMFEEVPPTGDVALTNPLPRRAEFEIPYLNDHSE >scaffold_800196.1 pep chromosome:v.1.0:8:1038994:1040491:1 gene:scaffold_800196.1 transcript:scaffold_800196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENHPSLKISQLSSFPAKTQMAHDGNGDNNNDPLHQYLLPLPENNDVMPMTPSEFKNRLLFGPFSPSSSNSTDLLIPPTGPNKLTRKPKSSHNLHRSKTAPAMAAINDVSHPNDQRTEQSNSKSIVRQALALFVVYLSVGVLIYWLNRDNDNVNQTHLVVVALYFCIVAMCGVLIVHFVEKIGCLDSFYFSVMMVTTVGYGDQAFNTWPGTLLALAKARVDKRNRERAKKVLGETMTISEFFAVDIDNNGCLRVYNIQTRADGENNSKDTIQICNQFNKLDQTDSGTITLLDLMQTSTGDLSTAISV >scaffold_800200.1 pep chromosome:v.1.0:8:1060418:1060666:1 gene:scaffold_800200.1 transcript:scaffold_800200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRQRDTNPSAHFTEILTPSTKTIVQVQDDYDEFKKAEAIFIALNLSKHTRFYWTCISTLKEQVL >scaffold_800202.1 pep chromosome:v.1.0:8:1063934:1064195:-1 gene:scaffold_800202.1 transcript:scaffold_800202.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRY3] MSLPTVDPVMVKEFVCFPANSIGFLFQLVMGFSGSLGSVIFSQVITLLTCIQIFLFWLSFDRAFRLFLFLCLN >scaffold_800214.1 pep chromosome:v.1.0:8:1126524:1131716:1 gene:scaffold_800214.1 transcript:scaffold_800214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTKRSTFSAASASDDSSYSSPPMKKAKNDLHHSPQHPNTADKVGFHMEEDPTPAAANLSRKKATLPQPTKKLVIKLNKAKPTLPTNFEETTWEKLQSAIRAIFLKKPFSFDLESLYQAVDNLCLHKLEGKLYDQIEKECEEHISAALQSLVGQNTDLTVFLSLVEKCWQDFCDQMLMIRSIALTLDRKYVIQNPNVRSLWEMGLQLFRKHLSLAPEVEQRTVKGLLSMIEKERLAEAVNRTLLSHLLKMFTALGIYMDSFEKPFLEGTSEFYAAEGMKYMQQSDVPEYLKHVEGRLHEENERCILYIDAVTRKPLIATVERQLLERHILVVLDKGFTTLMDGRRTEDLQRMQTLFSRVNALESLRQALSSYVRKTGQKIVMDEEKDKDMVQSLLDFKASLDVIWEESFNKNESFGNTIKDSFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELESTLEKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFSRGKKELAVSLFQAVVLMLFNDAMKLSFEDIKDSTSIEDKELRRTLQSLACGKVRVLQKNPKGRDVEDGDEFEFNDDFAAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQIDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLEREKSNPQIYNYLA >scaffold_800219.1 pep chromosome:v.1.0:8:1150610:1150803:1 gene:scaffold_800219.1 transcript:scaffold_800219.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MQB9] MVSLRGEASGLAGGQLLLREVFCFGFRDLSIDGWLCLCKPLQRLESSSDS >scaffold_800221.1 pep chromosome:v.1.0:8:1157955:1159706:1 gene:scaffold_800221.1 transcript:scaffold_800221.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEM3 (Ligand-effect modulator 3) family protein [Source:UniProtKB/TrEMBL;Acc:D7MS03] MMEVEGSMNRAPDQSSFLRSRRSKALYQFKQQKLPACKPVLTPISVITVFMLMGFVFIPIGLITLRASRDAIEIIDRYDVECIPEEYRTNKLSYITDSSIPKNCTRYLKVQKYMKAPIFIYYQLDNYYQNHRRYVKSRSDQQLLHGLEYSHTSSCEPEESSNGLPIVPCGLIAWSMFNDTFTFSRERTKLKVSRNNIAWKSDREQKFGKNVYPVNFQNGTLIGGAKLDPKIPLSDQEDFIVWMRAAALLSFRKLYGRIEEDLEPGSVVEVNLMNNYNTYSFSGQKKLILSTSNWLGGRNDFLGITYLVVGSSSIVISIIFMLLHLKNPRPYGDNSWNKKSLAS >scaffold_800222.1 pep chromosome:v.1.0:8:1160327:1160606:1 gene:scaffold_800222.1 transcript:scaffold_800222.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MS04] MAKEMRFVQTWGEVAPRLIASHQKQQQQFSDLPKLETIFEEGCCVDSLTVRAPKRIVIFLPLALSMILYMVLHKSIIGY >scaffold_800224.1 pep chromosome:v.1.0:8:1166213:1167585:1 gene:scaffold_800224.1 transcript:scaffold_800224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPLSRILKPLVHTNVLRNNNLVRWCSSTPIYPFMLIDHLLKVGGSSNGINSISRRSGSEGENEIIIKNKFLAGEVCQAMTKGLNQSGVNIHMKEKTFLRDMDNVRLNIYYKPSDPKSKSVSVHLPPLPKGSQIQNLVMSCLPDLENDDWVVAIKFSVSRLMLYRHKDLRWIDIETTHGHESICPYSSLMYSKKDQRFYTPTPGGVYLCSFGLNFKEKDEPKYDLICKKDLPQYMLYELEEMNSFTRTDHLVESPSGEQFLISWYYGDDFESDAVVHKTKRFLVFKEQEKVTRDKDMYYTENIGDLCIFLGHGEPMCVPASSSPGLKPNCIYFAGHNFGVFDITSQTITLFYTEEGPLRSTAFPFWPHPFSLTPS >scaffold_800228.1 pep chromosome:v.1.0:8:1192659:1194117:1 gene:scaffold_800228.1 transcript:scaffold_800228.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MSM5] MGSKMMMFKWSKNITPSQVIKLMRAEKDVEKSIAVFDSATAEYANGYLHDQSSFGYMVSRLVSANKFKAAEDIVARMKIENCVVSEDILLSICRGYGRVHRPFDSLRVFHKMKDFDCDPSQKAYVTVLAILVEENQLNLAFKFYKNMREIGLPPTVASLNVLIKALCRNDGTVDAGLKIFHEMPKRGCDPDSYTYGTLISGLCRFGRIDEAKKLFTEMVEKDCAPTVVTYTSLINGLCGSKNVDEAMRYVEEMKGKGIEPNVFTYSSLMDGLCKDGRSLQAMELFEMMMARGCRPNMVTYTTLITGLCKEQKIQEAVELLDRMNLQGLKPDAGLYGKVISGFCAVSKFREAANFLDEMILGGITPNRLTWNIHVKTSNEVVRGLCTNYPSRAFTLYLSMRSRGISVEVETLDSLVKCLCKKGEFQKAVQLVDEIVTDGCIPNKGTWKLLIGHTLDNTIVGEASDSLLRDLDI >scaffold_800229.1 pep chromosome:v.1.0:8:1194262:1194961:-1 gene:scaffold_800229.1 transcript:scaffold_800229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKVDEEAGTIGARRIKEETVPLLDDRNRNRDFPDIERNTMMQKAIGKTFQTTANLANLLPTGTVLAFQILSPICTNAGQCDLASRVMTALLVSICGFSCFILSFTDSYKDLNGNVCYGFATIHGFWIIDGSATLPQERSKSYKVRFIDFVHAIMSFLVFGAVVLFDQNAVKCFFPEPSAEVADLLTTLPVAVGVFCSMVFATFPTTRHGIGFPLSAK >scaffold_800238.1 pep chromosome:v.1.0:8:1246817:1247040:-1 gene:scaffold_800238.1 transcript:scaffold_800238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIPKFMHWLKYLSFMHTASQAGLANGGLQEQGVLLVMAFGYRLCAYFCLRKKISIRHL >scaffold_800242.1 pep chromosome:v.1.0:8:1284417:1284714:-1 gene:scaffold_800242.1 transcript:scaffold_800242.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSN7] MVYLRREGFIFIDVPHRLRICAVEDCGVVVQPSWNRRLPYERPFHVADRIILGVILDEALENRLPPNVLLASSDSDFTELLRTLL >scaffold_800245.1 pep chromosome:v.1.0:8:1297954:1299721:-1 gene:scaffold_800245.1 transcript:scaffold_800245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKNWPSMFKSKPCNNNHHHQHEIDTPSYMHYSNCNLSSSFSSDRVPDPKPRWNPKPEQIRILESIFNSGTVNPPREEIQRIRIRLQEYGQIGDANVFYWFQNRKSRAKHKLRVHHKNPKMSKKDKMVIPNNDADHCFGFVNQETGLFPVQNNELVVTEPASFLFPVHNDPSAAQSGFGFSDFVVPVVTEEGMAFSTVNNGVNLETDDSFDKIPAINLYGGDGNGGGNCFSPLTAPLTVPLAINQSQEERDIILSGDEDVENSVSPVRMTVFINDMPFEVVPGLFNVKAAFGNDAVLINSFGQPIHTDEFGVTYQPLQNGAIYYLI >scaffold_800246.1 pep chromosome:v.1.0:8:1306342:1306605:1 gene:scaffold_800246.1 transcript:scaffold_800246.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSP1] MAYLVIFPPALVFLPVLVFPPALSLSLALSLPPACSHFRLLFPCRHFVHVLFKKINRKKEIFDEVFLSFDLVS >scaffold_800256.1 pep chromosome:v.1.0:8:1345962:1347811:1 gene:scaffold_800256.1 transcript:scaffold_800256.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase family protein [Source:UniProtKB/TrEMBL;Acc:D7MSQ0] MRINMLFIVAFSFLVSVRSLPMKPTLNYDSIFNFGDSLSDTGNFLISGDVDSPNIGRPPYGQTFFNRSTGRCSDGRLIIDFIAEASGLPYIPPYLQSVRTNNSVDFKRGANFAVAGATANEFSFFKERGLSVTLLTNKTLDIQLGWFKKLKPSLCKTKPECEQYFRKSLFLVGEIGGNDYNYPLLAFRSFKHAMDLVPFVINKIMNVTSALIEEGAVTLMVPGNLPIGCSAVLLERFNDNSGWLYDSRNQCYKPLNNLAKLHNDKLKKGLAALREKYPHAKIMYADYYSSAMQFFNSPSKYGFTGSVLKACCGGGDGRYNAKPSVRCGEKGSTTCENPSTYANWDGIHLTEAAYRHIATGLISGRFTMPSYNNN >scaffold_800257.1 pep chromosome:v.1.0:8:1350265:1350734:-1 gene:scaffold_800257.1 transcript:scaffold_800257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKHIQLSFTVLAIFSIFVLGVVGNEYKCSEVLFRKRKCDYQECGLMCVLKRQGLSSCTKQGRFFICSCQYQCP >scaffold_800258.1 pep chromosome:v.1.0:8:1351150:1354394:-1 gene:scaffold_800258.1 transcript:scaffold_800258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKETPPIILQFAPLNSSVDEGFWHSFSSLKLDKLGIDDSPISITGFSGPCSHPQVSNHLTLLSESLPLDEESLIGSTSHGNRNKCPVPGILYNTNTVESFNKLDKQSLLKAEAKKIWEDIQSGKALEDSSVLSRFLVISFADLKKWSFRYWFAFPALVLDPPVSLIELKPASEYFSSEEAESVSAACNDWRDSDLTTDVPFFLVSVSSDSKASIRHLKDWEACQGDHQKLLFGFYDPCHLPSNPGWPLRNYLALIRSRWNLETVWFFCYRESRGFADLNLSLVGQASVTLSSGESAETVPNSVGWELNKGKRVPRSISLANSMDPTRLAVSAVDLNLKLMRWRALPSLNLNVLSSVKCLLLGAGTLGCQVARTLMGWGIRNITFVDYGKVAMSNPVRQSLYTFEDCVGRGEFKAVAAVKSLKQIFPAMETSGVVMAIPMPGHPISSQEEDSVLGDCKRLSDLIESHDAVFLLTDTRESRWLPSLLCANANKIAINAALGFDSYMVMRHGAGPTSLSDDMQNLDINKTNTQRLGCYFCNDVVAPQDSMTDRTLDQQCTVTRPGLAPIAGALAVELLVGVLQHPLGINAKGDNSSLSNTGNNDDSPLGILPHQIRGSVSQFSQITLLGQASNSCTACSETVISEYRKRGNSFILEAINHPTYLEDLTGLTELKKAANSFNLDWEDDDTDDDDVAVDL >scaffold_800260.1 pep chromosome:v.1.0:8:1366122:1366409:1 gene:scaffold_800260.1 transcript:scaffold_800260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFFGSPSQFSFLILICRYITVAPVIFLKHNVVDEYDEQSEVAERLRIKVIPL >scaffold_800261.1 pep chromosome:v.1.0:8:1367396:1368526:1 gene:scaffold_800261.1 transcript:scaffold_800261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSLSRNALCSKCNDMGTWDDYMAYRRRMDQNLLGSSSQQMSDSPTDAGEPSRVPETPTNGQSSTDPADLLTLDQLLRSAGRASLKKLDPRRMNGDGW >scaffold_800264.1 pep chromosome:v.1.0:8:1378459:1379047:1 gene:scaffold_800264.1 transcript:scaffold_800264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHNPPDQPSSSNSGRHKLQPLLPQVSRYESQKSRDWNTFLQYLKTLNPPVTTSRFEHTHVLSFLNYLDQFGKTKVHNQACVFFGQPDPPSPCTCPLKQAWGSLDALIGRLRAAYEEHGGGSSHSNPFGNGWIRIHLREVRESQAKARGIPYRKKRKRKKNRNDVVVKRDISKSSSHNHNR >scaffold_800265.1 pep chromosome:v.1.0:8:1381600:1382127:1 gene:scaffold_800265.1 transcript:scaffold_800265.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I allergen family protein [Source:UniProtKB/TrEMBL;Acc:D7MSQ8] MKTSQKQHVCGSTLVQTINAPLPLVWSILRRFDNPKTYKQFVKKCELRSGDGGEGSVREVTVVSDFPASFSLERLDELDDESHVMVISIIGGDHRLVNYRSKTMVFVATEEEKTVVEESYVVDVPEGNTDEETTLFANTIVKCNLRSLAKLSEKMVELT >scaffold_800266.1 pep chromosome:v.1.0:8:1391567:1392097:1 gene:scaffold_800266.1 transcript:scaffold_800266.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I allergen family protein [Source:UniProtKB/TrEMBL;Acc:D7MSQ9] MDTSQEYHKCGSTLAQTIDAPLSIVWSILRRFDNPQAYKQFVKTCNLSFGDGGTGSVREVTVVSGLPAEFSQERLDELDDESHMMVISIIGGDHRLVNYRSKTMAFVAADEEEKTVVVESYVVDVPEGNSEEETTSFADNIVGFNLKSLAKLSEKMVAHLKL >scaffold_800274.1 pep chromosome:v.1.0:8:1440768:1441232:-1 gene:scaffold_800274.1 transcript:scaffold_800274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSVGERQAEANKIGSFERSFKSTRQRKLYLLRLLMKQFKAVQELLNDIAILPHSNTELSAKETSSSSSRTTSWMKNEIGMHKQLSAVSRTRNSSAMSLTANERLLE >scaffold_800275.1 pep chromosome:v.1.0:8:1441601:1442918:-1 gene:scaffold_800275.1 transcript:scaffold_800275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLKSLRYSSIREVLTHQNPTKSPFLCPLYFYNQKRWLKPVDSAQTRWKNLVGLNVNVGAFIGKYPHAFEIFTHPFRKNLCCKITEKFKVLIDEEESVVRECEVDAVKRVKKLLLMSKTGVLRVHALRLIRKELGLPEDFRDSILAKYSSDFRLVDLETLELVDGGGENESLCVAKVEEWREVEYREKWLSEFETNYAFPIHLPTGFKIEKGFREELKNWQRVPYVKPYERKEISRGLERFEKRVVAVIHELLSLTVEKMVEVERLAHFRKDLGIEVNVREVILKHPGIFYVSTKGSTQTLFLREAYSKGCLIEPNPIYNVRRKMLDLVLLKTRHTRKLLQTEDETHEEEKSRDVLTSSHEDWEGERDGDWVLPILGK >scaffold_800277.1 pep chromosome:v.1.0:8:1447184:1448628:1 gene:scaffold_800277.1 transcript:scaffold_800277.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L11 [Source:UniProtKB/TrEMBL;Acc:D7M9F7] MASEKKLSNPMRDIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVARRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQNITG >scaffold_800279.1 pep chromosome:v.1.0:8:1452348:1452650:1 gene:scaffold_800279.1 transcript:scaffold_800279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVGDVSNFPLCDGSHVNIRTVMLGHNHDLTLAAQETLAKLVRVRSKI >scaffold_800284.1 pep chromosome:v.1.0:8:1472554:1472806:1 gene:scaffold_800284.1 transcript:scaffold_800284.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTC1] MFTNTEIHQPPSKEINLPASNGEDLTTTNQSEQHTRCEIGHRGEPSLIHNHRPQAIMENRKMTEKMESSL >scaffold_800285.1 pep chromosome:v.1.0:8:1473278:1474425:1 gene:scaffold_800285.1 transcript:scaffold_800285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEKIRKPKPWAHTEPITRAQLTNMREEFWDTSPHYGGQREIWEALRAAAEADLKLAQTIVDSAGVIVQNRDLTLCWDERGARYELPRYVLSDPTNLIREEGQ >scaffold_800288.1 pep chromosome:v.1.0:8:1489900:1490759:-1 gene:scaffold_800288.1 transcript:scaffold_800288.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor family protein [Source:UniProtKB/TrEMBL;Acc:D7MTC5] MATKLILKKTTKSINRHQRNYLRRHRKTQIGCSPSPVTTTVIATINKSIYRCHRSFLCLFSLPRTKGFKILKPHEDFQNSPYSLFHERSDKSFDETKKTIVLDLDETLVHSSMEKPEVPYDFVVNPKIDGQILTFFVIKRPGVDEFLKKIGEKYQIVVFTAGLREYASLVLDKLDPERRVISRSFYRDACSEIDGRLVKDLGFVMRDLRRVVIVDDNPNSYALQPENAFPIKPFSDDLEDVELKKLGEFFDGDCVKFEDMRVALKEFVGRDE >scaffold_800290.1 pep chromosome:v.1.0:8:1496583:1497956:1 gene:scaffold_800290.1 transcript:scaffold_800290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLQRQCNLGFIQFISKLGELCGHTGYGVAKSKIITILHASVLSNMAYSKDEKRYKRLLCTVDLTRNIFFSYSFHIMHTLQRNMSNNKEGHTNYESMFVWNEYLTRRIHNKRLYVVSCLGIWIFQTCITCSNFSKGLYNFFSNI >scaffold_800314.1 pep chromosome:v.1.0:8:1656763:1658202:1 gene:scaffold_800314.1 transcript:scaffold_800314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTNLHSGNGGVKKPHRYRPGTIAVREIHKSSEDEIRAEKNPRPFDEDDIALVKNYVTKSDEPKPKRLRIAKDTKKSSSTLNMPKRPLTGFFIFV >scaffold_800316.1 pep chromosome:v.1.0:8:1694033:1695137:-1 gene:scaffold_800316.1 transcript:scaffold_800316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKTTQQAVLTNEFTTTFNKNCEDWLKINGLAVGTLEKKRDENEEEERLKTDSKLPDHDIHGFDNEIKSLQHFLLDQKVKREFKSLVIVGEYGVGKTALCQKIFNDEAVKSVYAPRVWVSMASNESTEELNGKICVLKKILTGLGVKDSILETISADAKQEFKDNAEVASNQEAGEIDRETEKEKELSALLYALHLNLRWKKYLIVFDDVREIDNWDEKLDVKLNDDEKWGKFLSDGFPKGSGGRVIYTTRDENLAKKLVSRKHEIHRLWPLSDHQSVWKIYDAVVQKREKESPRNDKKCIDELMNKSRGLPLAARLLAELDPVFVDDEVVTGGSIHGQPDASNSQTANQTSS >scaffold_800317.1 pep chromosome:v.1.0:8:1715295:1716180:1 gene:scaffold_800317.1 transcript:scaffold_800317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDFMEDHNTGTFTSKKYYDIDGYYRLKLEKEMKKGLKKAGISERTVFNDEEQRRLEMQELRERQKGRRSVSSKAINGKAQAMKEQARLKEEMVYLYKIGDMEGAAAIQRRLDPDVPM >scaffold_800318.1 pep chromosome:v.1.0:8:1716781:1717532:-1 gene:scaffold_800318.1 transcript:scaffold_800318.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:D7MU16] MASGKTPGLTQEANGVAINRQNDNDVFDDMKQRFLAFKKDNLEHYKNLADVQAPKCKQQFLVIACADSRVCPSTVLGFQPGDAFTVRNIANLVPSYESGPTETKAALEFSVNTLNVSICFFF >scaffold_800319.1 pep chromosome:v.1.0:8:1722884:1723401:-1 gene:scaffold_800319.1 transcript:scaffold_800319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSNLLLEEPIMMASILKPFKSIVGTLGPKYRSVEALSGCLKTGMSVAPVDFSWGDADYITRRQWIISRFL >scaffold_800327.1 pep chromosome:v.1.0:8:1795061:1797532:1 gene:scaffold_800327.1 transcript:scaffold_800327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAIPKEVKDLWDKWNIRGLVILSLALQTILVLLSPNRKRTHRRFFRLLIWSAYLLANWAAEYAVGQISDNQGSEPQPKNTDLLAFWATFLLLHLGGPDTITALAIEDNDLWLRSLFGLVCQAIITLYVFFLSIPNRLLVPTSLMLVAGVIKYVERIKALRGASLETFKDSMLGEPDPGPDYARLMEEYSIRKVHKEPTRIIRYEEAEKGQRPKVFVRPNTELTDLEAVQYAYKYFNIFKGLVVDLMLSSQSEELNNSKEFFILSTPEEALRVLEVELSFIYGTFYTKVNILHTWIGISFRLIALASLVSSLCIFATMKKSEYDQFDIGLTYVLLIGGIALDLVAIFILCVSDWTFARFWKPKEDEEDTGTSSSVSGFFNWILSFRKLKWKPYDCSHKVKGNCQVSDRKFIFRRWSEYIYSYNLIGYSLGLKSTRIHNTNGYIHRFFDAFIHSLCIDRAINCFFRGIGFCFRNMIYGTHRVRNRLSKLISRSSTNNRNLYYALYPLKLFLSFWFGIPIIYYALEFFGISDQLNGMIYTSSDRITKDMWEFIFEEVKRRSQDADRAESANDIYSTRGEWVLRDTSIGKATNGTLRRKLLSYVTQADYDQSIIMWHIATELLYQTEEAPQKNQCYREFSKILSDYMMYLLFVQPTLMSTVAGIDKKRFMEAIAEVKNSREAKKYLEKKYRVDSDQELLCKEILSSVEEFSEKKGKRYQRKNVLADASKLANALNQLSKEDEEVKWEIVSKVWVEMLCYGATYCDPKQHVAQLSNGGEFISFVWLLMAHFGLGDQFQTTEENARGRIIVSR >scaffold_800331.1 pep chromosome:v.1.0:8:1836937:1838590:-1 gene:scaffold_800331.1 transcript:scaffold_800331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLLILAWYRAPEVLLQSYVYTSKVDMWAMGAIIAELLSLRPLFPGASEADEIYKICSVIGSPTEETWLEGLNLASVINYQFPQLPGVHLSSVMPYASPDAVNLIERLCSWDPCNRPTTAEALQHPFFQSCYYVPPSLRPKLSVGPRGSLEQQLSVKRLPAAPPYNANKPLNTYVTPKTNAPFSNGVNCYVAPKTKAPFSNGVNNYVAPKTNAPFGNGVNSYVTPKTNAPFDNGATQRKVDMANINNQNTAWNNKPVRSYNVKDSKYRPPGRKSPPSMDKNWVFTRGASETANGLANATIGGRWNQSQRQQQPAMKAGWVGESGDMFLRPTQPSNPYSRKIAG >scaffold_800332.1 pep chromosome:v.1.0:8:1942843:1943594:-1 gene:scaffold_800332.1 transcript:scaffold_800332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARILQSSSCCFSSLDTVNRYRFSPASSSLKPLSISFTQTTRTRGRVSRVLSMSKKDDGTDDDDGTDSLNYKDSGVDIDAGAELVKRIAKMAPGIGVFGGLFPLGDNYLVAGTDGVGPNLKLALETGIHDTIGIDLVAMSVNDIITSGAKPLFFLDYYATSRLDVDLAEKVIKGIVEGCRQSDCALLGGETAEMPDFYAEGDYDLSGFAVGIVKKASVINGKKHSGWTCSYWAPF >scaffold_800333.1 pep chromosome:v.1.0:8:1944004:1945045:1 gene:scaffold_800333.1 transcript:scaffold_800333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDSVIVCIISVGFVGGQWIVGIIDEVRKASADESSDILLCEKKEFPTGRFLDYFSLNCHHVLSRAVIDNIADAGIPEQISHDPEWVMSRYKEVIEFGRIEREAEYTPEERWKCRYCQFAKSCCGNPSLESPSPSSPPTWGRQSIVL >scaffold_800345.1 pep chromosome:v.1.0:8:2041882:2042219:-1 gene:scaffold_800345.1 transcript:scaffold_800345.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MU37] MASKSALHHYTKQFMDTKTSLTKGFADVKKLGVQTSPPAISQFLYEDLIKDIEYVKNNMVQSGDLSNLSKQIKGEDYIIVSRIWRGGSKCCGRSSKHS >scaffold_800347.1 pep chromosome:v.1.0:8:2059323:2062187:1 gene:scaffold_800347.1 transcript:scaffold_800347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESSLSSSPSSSQPPSSLPSPSPGNDVGDTFIGSFISLISKYEIRYEGILYHLNVQDSTLGLQNVRSCGTEGRKKDGPQIPPCDRVYDYILFRGSDIKDLQVNPSPSAQSKQEIQSEQDINQSSHSRPAMTMSSPISGYDSGYGLGRGSQWINTPALSSKPVPVTQHSSVPLSFQPPSSANAGSLTDSPVSLIGSTQSNVGSSMPMPSFVQGNKLASTGVPLGMKQQPVSSSSTVPNDSQIIDYFASPIMGVVNDSTQVVTRSPDVASNRSYSSNPSPLGQAQLHTPPGLASAPSNLSPPSEPQLSAPNIQNSYPSAPRAVGKVVYDSQSDHPNRSIPYELPAVASNSSPVIPGPLSKSPESFFGMNPLPQSRQQMVYRGQEMFAPNPISANVPSQSFAIRNHAPLLPLPVSAHQSRIPSSSIEYTEEFDFEAMNEKFNKSELWGYLGKSNQRNQNDYREETAIEPNAEGNVQPAYNKDDFFDTISCNPLDRVGRSGQQHTQFPEHMRQVPEAFASNFQRPPPLQPGQGAYLAAQTNYRGGYHNNNYYANSGYGYYSGGRGRGRNTHF >scaffold_800348.1 pep chromosome:v.1.0:8:2062789:2063508:1 gene:scaffold_800348.1 transcript:scaffold_800348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTSRHGTTSPLIWCAAIICAIISIVVIIGGILVFVGYMVIHPRVPIISVTDAHLDFLKYDIVGVLQTQLTIVIRVDNHNAKAHALFDETEFKLSYDGKLIAILKAPEFEVVKEKSMFLPYLVQSYPIPLNPTLMQAVDYAVKQDVITFELKGGSKTRWRVGPLGSVKFECNLSCELRFRPSDHSYIPSPCTSSHKH >scaffold_800355.1 pep chromosome:v.1.0:8:2118074:2118822:1 gene:scaffold_800355.1 transcript:scaffold_800355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQCPTLGPKCDICDCSGSGCQSQFPGMKVEWPELKGVSGLQAKATIESDNPRVTAFIYPQGVYLPSINCCNRVILFVPAEDCPNGPVQNRPIVG >scaffold_800359.1 pep chromosome:v.1.0:8:2132658:2135788:1 gene:scaffold_800359.1 transcript:scaffold_800359.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSISTVDDQPPQHQVFINFRGADLRLRFVSHLVTALKLNNINVFIDDYEDRGQPLDVLLKRIEESKIVLAIFSGNYTESIWCVRELEKIKDCTDEGTLVAIPIFYKLEPSTVRDLKGKFGDRFRSMAKGDERKKKWKEAFNLIPNIMGITIDKKSVESEKVNEIVKAVKTALTGIPSKGSQNAVVEALGNGNAGTSSRSWTFINTRDSYHWSFGMPGIGKTTLLKELYKTWQGKFTRHALIDQIRVKSKHLELDRLPQMLLDPYSQLHERKVLVVLDDVSKREQIDALREILDWIKEGKEGSRVVIATSDVSLTNGLVDDTYMVQNLNHRDSLQLFHYHAFIDDQANPQKKDFMKLSEGFVHYARGHPLSLKILGGELNKKNMDHWNSKMKKLAQSPCPNIVSVFQVSYDELTSEQKDAFLDIACFRSQDKNYVESLLASSDLGSAEAMSAVKSLTDKFLINTCDGRVEMHDLLYKFSRELDLKASNQDGSRQRRLWLHQDIIKGGIINVLQNKMKAANVRGIFLDLSEVKDETSLDQVRCLHWLKFPLETLPNDFNPINLVDLRLPYSEIEQLWDGDKDTPCLRWVDLNHSSKLCSLSGLSKAEKLQRLNLEGCTTLKALPHDMKKMKMLAFLNLKGCTSLESLPEMNLISLKTLTLSGCSTFKEFPLISDNIETLYLDGTAISQLPTNMEKLQRLVVLNMKDCKMLEEIPGRVGELKALQELILSDCLNLKIFPEINMSSLNILLLDGTAIEVMPQLPSLQYLCLSRNAKISYLPDGISQLSQLKWLDLKYCTSLTSVPEFPPNLQCLDAHGCSSLKTVSKPPGPYHANRTESFHIHFHQL >scaffold_800361.1 pep chromosome:v.1.0:8:2140989:2141348:1 gene:scaffold_800361.1 transcript:scaffold_800361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSRKWMILVATIWIQAFTGTNFDFSTYSSNLKSVLGISQVQLNYLAVASDLGKVFGWSSGLALLYFPLWTVLFAAAIMGFVGYGVQWLVITNVISLPYILVK >scaffold_800363.1 pep chromosome:v.1.0:8:2147383:2148602:1 gene:scaffold_800363.1 transcript:scaffold_800363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLNILAVLNGVYLLLFRSKTSDVTSARLLFGGSLLLLILPLCLPGLVYARNWYLHNIHSSFRLEGSGFILVDVDELEMHKGMVTREASLEGYQLLNDDVVRAVNTPDQKSFIEDDDGCCCTKLITRNQLGMLGEEHPLSLLLCRSDFWLYYIAYFCGGTIGLVYSNNLGQIAQSLGQSSETTTLVTLYSSFSFFGRLLSATPDYIRAKVYFARTGWLAVALLPTTIALFLLASSGSLAALQAGTALIGLSSGFIFAAAVSITSELFGPNSVGVNHNILITNIPIGSLVYGFLAALVYESHSVAGSKTESVICMGRDCYLLTFVWWGCLSVIGLASSVVLFLRTRRAYQRFEQDRITSSMLYS >scaffold_800366.1 pep chromosome:v.1.0:8:2162935:2167458:1 gene:scaffold_800366.1 transcript:scaffold_800366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESMEGSDPQLQVSERRKIWTAELHDRFVDVVNALGGSERGSEPSLLLRYDLVTDTKTRIRDLNTLNGVFILLFSKTYASAESMDKLVTLMEYQKENGVLIIPIFFKVTPSEVQDPKGFTKETFSQLDNSVQAGRVQKWREVIDELAHNDECKWIAGNRQVLVQCKVNGLYEMQKLSEYESSETFSLSLPGRYDSMLNSELVRYASGIPLVLGVLGSFATNQCKFSEKEQLQMLRQNPPTEILEAFRRSFDGLNDNEKNMFLDLACFFRGENRNHVIQILDGCGYFTDLGIYGLIDESLIDPLENKIEMSNVFQDMGRFVVCEESKEPGKRSRLWDANEIANVLTSNSGTEAVEGIFLDMSDLTCELSPTIFDRTYRLRLLKLHCAISENRGTICLPRGLYSLPDELRLLHWESYPLRSLPRENLEKLKKIILSHSRQLIKIPRLSKALNLEHIDLEGCTSLVKVSSSIHHLDKLVFLNLKDCSRLRTLPVMIHLESLEVLNLSGCSDLKEIQDFSPNLKELYLAGTAIRELPSSIEKLTRLVTLDLDNCNQLQKLPQGMSNLKAMVTLKLSGCSNLKSLPNLDAIYLRGTQHLNTEITMEVPKSLVHHSSIHQSRLDHCETLDKLIPDLCLKNAAIQKSLAASVYRQIAGIRQENWQWSTIKLQPLSIFHFLASRLYALVSLCLSNACLVDLPKEICGLPSVNILDLGGNGFSKIPESIKLLPKLHSLRLRHCKNLKSLPELPQSLVLLNVHGCVSMKSVPWSFERLQCTFSNCFNLSPEVIRRFLAKALGIVKNMNREKHQKLITVTAFSICAPASVGLKSSTDVLASEGLKSSMQNGSFVVIHLTSSLRKTFLGFAMSVVVSFRDNYYNAAGFSIRCTCIRKMKNGLSHRLERVFQFWAPKEASKIKKDHIFVFYDTIIPSYAREGNNVYNIFDELVGFEFYPVNNQNEVLADSCEVKNCGVYVVTDASVDTSLVKKRFSPTNRERIGKRPLASAMDPRGFSSYREPLPRFKRGRYRRSVETAILKIRKRKREEGFYVSNQRCESETFLISNLTLFLLKK >scaffold_800367.1 pep chromosome:v.1.0:8:2168790:2169117:-1 gene:scaffold_800367.1 transcript:scaffold_800367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYTFSMMNHLIIFGFEFSLDGVNGPPAELNYGDVQFEFLCLDHRKDIIQIKECGIQLMEVSPYLDDSRKRSGTEDGNKPGENDEELRRSKFVYA >scaffold_800373.1 pep chromosome:v.1.0:8:2223282:2227268:-1 gene:scaffold_800373.1 transcript:scaffold_800373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDCERASPIVYISCVAEVRYSFVSHLLDALSRKGINDVFVDSDDVLSEEAQGKVERSKICVIVLPGNRTVSLEKLVKVLECQRNNDQVVVPVLHGDGPLQGEWLRELELRGLSPVHRKECSDSKLVEEIVRDVNEKLFYMGRIGIYSKVVEVENMINKQPLGIRCVGIWGMPGIGKATLAKAVVDQMSGGFDAHCFIEDYDTSIHENRVYRILVEQLMKDDPGNGGTITKMSFEENLHELSMKVIKYSNGNPLAISIYGKELKGKRPSEMETAFLQIKGYPPSKIVDAIKSSYGTLSDSEKNIFLDIACFFQGDNVDYVMQLLEGCGFFPHVGIDVLVEKCLVTISENRVEMHNLIQDVGRGIINAETVEIKGHSRLWEPWSVKYLSEDNYYKANGEPETTFKRAQGVEEIECMFLDASNLSFDVKPAAFDNMLNLRLLKIYCSNTEVHHEINFSEGVLHSLPNELRLLHWENYPLQYLPQKFDPRNLVEINMPYSQLRKLWGGTINLEMLRTIKLCHSQQLVNIDDLLKAQNLEVIDLQGCTSLKSFPATGQLLHLRVVNLSGCSKIKIFPEIPPNIETLHLQGTGIRKLPISPNGEQLGSLSEFKGLSHALILKHLTSLDKCSSSSQDLGRLICLELKDCSRLRSLPNMAHLEFLNVFDLSGCSKLKTIRGFPPNLKELYLVGTAVREVPQLPQSLELLNAHGSRLQSLPDMANLKFLKVLDLSCCSKLKIIQGFPRNLKELYLAGTGLREVPQLPLCLELLNAHGCVSQKSIHLDSEKPPMHYTFSNFFDLSPHIVNDFFVKDLNKAPTFSFSAPSHTNQNATLDLQPGSSVMTRLNPSWRNTLVGFAMLVEVSFSDDYSDVTGLGIRCVCRWKNEEGHSQRIERYLHCWATGEAVPNVQKDHTFVFCDVNMRPSTGEGNDPDIWADLVVFEFFPVNQQTNCLNDSCRVTRCGVYVITSVLKSGLGGRLGPD >scaffold_800379.1 pep chromosome:v.1.0:8:2255872:2256092:1 gene:scaffold_800379.1 transcript:scaffold_800379.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MK95] MGQELVFLLSLIMMNKLHGPKSYLLNSFKASSWFYRCWSYGMNLKRKLKAQLVVRPISC >scaffold_800380.1 pep chromosome:v.1.0:8:2256516:2259035:-1 gene:scaffold_800380.1 transcript:scaffold_800380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRGLDGNIYGGGIKCVCSESEFLEEIARDVFEQLYPTEEIGIQSLLKEIVENYLCNQPWGIRTIGIFGEPGIGKTTLATAFFRRISHGYDDSCFIKDFHKEYTEKRLEYLPPKYLGKTSMEKFDLKSFDSQPSHRKKWVLVALDDVQNAQDAKSFLGGYDKFGPGSLIIITSRKRKILEQCHMNKIYELKGLNDEDALKLFTRCAFGNRVIEQNLLDLSKRVVDSSDGNPNTLRSFAKKLKGKAVEKISWTFPDDCDVFVADDVSCDTEKNTYLRIVPCEEDDEVHENKELQSDHNAVLATGDSNTIILTPSDLSAECEFRSYSQKNPGFGLYNRISKSLPSNLKVYRLDYNSLMRSLYEHLHQKHHVLLQGFAGGLQKRWKSFSNSHDHDQLEHHLQLLLNLSGGCVVTKICLEVVRKNRESNGKNINGIKQIRYGNPELLKIKLDRDQPNGLGQYISNQN >scaffold_800381.1 pep chromosome:v.1.0:8:2263115:2265042:-1 gene:scaffold_800381.1 transcript:scaffold_800381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESEQIVYISCLEEVRYSFVSHIFEALCLKRINDVFVDSDDLLSKEAQAKVERARVSVMILPGNHTVRLDKLVKVLECQRTNDQVVVPVLYGNRTVEAEWLSALDSKGFSSVHQSRKECSDAKLVEEIVKDVYEKLFYTGRIGIYSKLLEIENMVNKQPIGIRCVGIWGMPGIGKTTLAKAVFDQMSSVFDASCFIEDYDKSIHEKGLYCLLEEQFLKEKPGADGTTTKLSLLRKKLHNKRVLVVLDDVRNPLAAEPFLGGFDWFGPKSLIIITSRDKQVFRLCRVTQIYDVQGLNEKEALRLFSLCASIDDMAEQNLHEVSMKVIKHANGHPLALSLYGRELKGKKRPPEMETAFLELKERPPAVFVDAIKSCYDTLNDREKNIFLDIACFFQGENVDYVMQLLEGCGFFPHVGIDVLVEKCLVTISENRVRMHNLIQDVGRQIINRETGETKRRSRLWEPCCIKYLLEDNAEKENGEHQTTLERAQFCYLLIVLYX >scaffold_800382.1 pep chromosome:v.1.0:8:2281493:2286844:1 gene:scaffold_800382.1 transcript:scaffold_800382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSPEELPPQHQVFIHFRGRDLRYGFVSHLEKILKDHKIEVFVDSGEDRGEHLENLLTRIEESRIALAIFSENYTESEWCLRELAKIKDCVDQKRLVAIPIFYKVEPSTVKYLMGEFGDAFRKLAKNDKRKKEWKAALRAIPEFMGIPVHEKSPESEILKTIVEAVKKQLKAVKSPLEGSQNASEEPSVYSDTGTSLGGAKDKTFGIFGNEQRLKELEEKLDIKDTRTLITGIVGMPGIGKTTMLKELIEKWKGKFSRHAFVDRIREKSYNSDLECLTISLFEKLLPELNNPQVDSITKGQLRKRKVLVVLDDVSEREQIYALLGIYDLQNQHEWISDGSRIFIATNDMSLLEGLVHDTYVVRQLNHKDGMDLFHHHAFGTNQAIPEDRIKLSDEFVHYARGHPLALKILGTELCEKDMKHWETKLKILAQKPKTYIRQVVQVSYNELSSEQKDAFLDIACFRSQDVDYVESLLVSSDPGSAEAIQVLKNKFLIDTCDGRVEMHDLVHTFSRKLDLKGGSKQRRLWRHEDIVKERTVNLLQNRIGAANVRGVFLDLSEVQDEISLDREHLKKMRNLRYLKFYNSHCHQECKTNAKINIPDELELPLKEVRCFHWLKFPLKEVPNDFNPINLVDLKLPFSKIERLWDGVKDTPVLKWVDLNHSSLLSSLSGLSKAPNLQGLNLEGCTSLESLGDVDSKSLKTLTLSGCTSFKEFPLIPENLEALHLDRTAISQLPDNIVNLKKLVLLTMKDCKMLENIPTEVDELTALQKLVLSGCLKLKEFPAINKSPLKILFLDGTSIKTVPQLPSVQYLYLSRNDEISYLPAGINQLFQLTWLDLKYCKSLTSIPELPPNLHYLDAHGCSSLKTVAKPLARILPTVQNHCSFNFTNCCKLEQAAKDEITLYSQRKCQLLSYARKHYNGGLSSEALFSTCFPGCEVPSWFCHEAVGSLLGRKLPPHWHEKKLSGISLCAVVSFPAGQNQISSFSVTCTFNIKAEDKSWIPFTCPVGSWTRDGDKKDKIESDHVFIAYITCPHTIRCLEDENSNKCNFTEASLEFTVTGDTGVIGKFKVLRCGLSLVYEKDKNKNSSHEVKFDLPVEEHQYGMIEDERKKEKGTLVHERRRRTLSKQKTA >scaffold_800385.1 pep chromosome:v.1.0:8:2296608:2296900:-1 gene:scaffold_800385.1 transcript:scaffold_800385.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKA1] MNSSRRSSVSPSSPSTPFVVGVFGCYIRRGWVAKSARSSRDRQVRCLRRCSHRPYVYIRQQYQYQEIHGQCTKSSLSLSS >scaffold_800386.1 pep chromosome:v.1.0:8:2299544:2302249:-1 gene:scaffold_800386.1 transcript:scaffold_800386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSVRNLEEVALIIIICLLKIAFIYIHIRHEFGGSCLNSDRTLAKIAELKGHEYDEEFSEESEVVEEISEAIFEILNPTEEIGIHSRQLDIENLLCKQPWGLRTIGIFGKPGIGKTILARAVFRRMGGGYDATYFVKDFHTKHSEKRLEPLPSDFFCLTPMEEFDLNNSGSEPCHRRKRVLVVLDDVRNAQDAMSFLGAVDQFAPGSLIIITSRDKSVLEQCQMNEIYELKGLSDEDALKLLTRCAFGNGVIEQNLLDLSMRVIESSDGNPSTLISYAEELKGKNMTEMESALLKICHDAQDRQTRFEGPMHIIDVCINTSKNTTFCLISCGNDYEHPVFDKRDTNQKESIMRASHIYLSDAQNLPEFDHKSCVHESVPSDLRDSCMDHDHAVQSLPQHFHRKPPVIYQGFACQFHKLKLWGFGGYYKSFSMLKRIKLGHLVTLVEVEEISEACHLEKIDLQDCTSLESIPSTDKLERLQVLNLSGCNGIKRFPDVVRTIRELNLEGTGIREIRSETTQYSKLVKQQSTTTTLELTQSF >scaffold_800388.1 pep chromosome:v.1.0:8:2313092:2316297:-1 gene:scaffold_800388.1 transcript:scaffold_800388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNSNDEAKHTVYISFNKNDSSVSSFISYLIAAFNRQGIISAFVDGKSSHDEAVEREMGPEEFSKLRVVVVVFSKNYALHVSFLEKQILEYSYRNNNDFVVVPVFYGVSISSVNQHMERFGEEFDAIQRSRIKWRPGHEYDCKRRYSESEFLEEIANDVFEQLYPTEEIGIQSLLKEIVENYLCNQPWGIRTIGIFGEPGIGKTTLATAFFRRISHGYDDSCFIKDFHKEYTEKRLEYLPPKYLGKTSMEKFDLKSFDSQPSHRKKWVLVALDDVQNAQDAKSFLGGCDKFGPGSLIIITSRKRKILEQCHMNKIYELKGLNDEDALKLFTRCAFGNGVIEQNLLDLSKRVVECSDGNPRTLRSFAEKLKGKAVEKISWTFPDDCDVLVADDGSCDTEKNTYLRIVPCEEDDEVHENKELQSDHNAVLATRDSNTIFLTPSDLSAECEFRSYSQKNPGFGIYNRISKSLPSNLKVYRLDYNSLMRSLYGHLHQKHHVLLQGFAGGLQKRWKSFSNSHDHDQLEHHLQLLLNLSGGCVVTKICLEVVRKTRESNGKNINGIKLIRYGNPELLKIKLDRDQPNGLGQYISN >scaffold_800389.1 pep chromosome:v.1.0:8:2330902:2332441:1 gene:scaffold_800389.1 transcript:scaffold_800389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLILLNLRGCTGLVSLPKISLCSLKILILSGCSKFQKFQVISENLETLYLNGTAIDRLPPSVGNLQRLILLDLKDCTNLETLSDCTNLWNMRSLQELKLSGCSKLKSFPKNIENLRNLLLEGTAITEMPQNINGMSLLRRLCLSRSDEICTLQFNINELYHLKWLELMYCKNLTSLLGLPPNLQFLYAHGCTSLKTVSSPLALLISTEQIHSTFIFTNCHELEQVSKNDIMSSIQNTRHPTSYDQYNRGFVVKSLISTCFPGSDVPQWFKHQAFGSVLKQELPRHWYEGRVNGLALCVVVSFNNYKDQNNGLQVKCTFEFTDHANVSLSQISFFVGGWTKIPEDELSKIDSDHVFIGYNNWFYIKCEEDRHKNGCVPTNVSLRFEVTDGASEVKECKVMKCGFSLIYESEGTEKVSRDATFDANSKIEESKLSETKSYKTAEDDVDFYGEVAQGLETWSLIS >scaffold_800390.1 pep chromosome:v.1.0:8:2335572:2339307:-1 gene:scaffold_800390.1 transcript:scaffold_800390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTLRIITLLLHQLPHHEASKREWEKERVSKLKVLVVVFSKTFASHLPCLEKQIYSCRNYDDFVVVPVFYRVSKSSVKQLLENFGGAFEAVKQSMHKLRRRHEYDSKRSETEFLDEIARDVFERLYPTEEIGIQSPVEEIENLLCEQPWGVRTIGIFGEPGVGKTTLARAVFRQMSGGYDDSCFIKDFHTEYNEKSLKNLSPEYLSETPMEKFDRNSSDSEPCHRKKRVIVSLDNVRNAEDANSFLGGFERFGPGSLIIITSGETQILKDCHMNEIYELKGLNDQDALKLFTRCAFGRDVTEEILLDRSMKVIECCNGNPSNLRSYAKEFKGMDAEEMEPELPEGCEVYITGHNVSRDIVKDTYMHTIPYEENDELQEIEAFQSDDHAVLASTDARTAEFQTYSKKHPGNRLYRRFNQIYFSSGKAGIEYLRRKQNYLQQGLTTQLHKISQSISNTCAQIDQPVLLDLEQPVVLNFFYLPPGVFKKVEPEEREFTRKGNNDIREIDQIDQVN >scaffold_800396.1 pep chromosome:v.1.0:8:2372043:2372239:1 gene:scaffold_800396.1 transcript:scaffold_800396.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKA9] MSLGCQKEFSYGSCKVLDESGNIDYELLGKGVSLKGNTYWCAPDIARTHKR >scaffold_800397.1 pep chromosome:v.1.0:8:2375814:2378129:1 gene:scaffold_800397.1 transcript:scaffold_800397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSPEEMPPQHQVFIHFRGRDLRYGFVSHLEKILKDHKIEVFVDSGEDRGEHLENLLTRIEESRIALAIFSENYTESEWCLRELAKIKDCVDQKRLVAIPIYYKVDRYSVKYLTEKFGDAFRELAKDDLRKKEWKQALQSIVNRIGFMVDEKSNENEILNEIVKAVKFVLNRIPSEGSQKAYADPSENNDTQTSSGGEKDKTFGIKQRLSELKEKLELNKLRSQLGIIIGCLLLLFFVLQQIAYMSTRLIGEGVAIDRIAVNLQKMPEYIPADGTENPRDTYTEIRTDKYEAKPERSSSAARLNADFLDRTFTNSRMDGKFKPPNIQVFINFRGDQLRNNFVGYLRHALRISKINVFIDNEEQRGEDLNTLFKRIEESQIAIVVFSSRYTESKWCLDELVKIKERVDQGLLKGEFGDHFRDIEYMYKSDEPMIKQWKEAIVFVSHKFALTLDEKSSLLEIDFVETIVKEVLKMLQAICKVESGQSSFCVEKA >scaffold_800400.1 pep chromosome:v.1.0:8:2388152:2390593:-1 gene:scaffold_800400.1 transcript:scaffold_800400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQTMVRISFDSSEERLRWSFVPHLSAAFGRKGISVSTSMNDEFVASLLVFSEKYVSSKESLDEVVKTIQQRHDKGHVVATVFYGVSRSDVQELKGNFGKVLLENGASDQVTQWHNALAEIASLPGYEASNTQSDYEFVEKITRDVYEKIFPKERIGIYSRMLPAIENLLCKQPWGVKSIGICGMPGIGKTALAKAVFDQMSGGYEVTCFVENFHEAFHKKGLYGLLQEHFKNLPNKTLQQRVLVVLDDVRNHLDAESFLAELFLFSRGSLIIVTTRDEQVLSQCRVNQTYKVEGLNKQESLQLFSLCAFERNVTDKNPLPELSMKLIEHANGNPLALRLYAEDMSSHKKLNQKETLFLMQAPPHQITEVVKSSYNALSDNEKNILVYIAYFFIGANVDDVSKLLEDLGFFPDFGIGRLVENSLVTISENRFEMHSMIEAVVREIGRCHRFKINKDPKTSFKCVLGTKDIEAMSLDASNLNPDVKLSSLAYMYNLRFLKIYYSDPKNSRKALESLPCGLRLLHWEYYPLQSLPQDFNTSNLVELNMPYSQLQRLWGGTKNLKMLKRINLRHSEKLYEAEELSEALNLEQIDLSGCKNLQSFPAIHQLQKLQVVDLSGCTQIKSYPEFPSNVTLKFQGTTIKKFFPPVTFTIKSLLELFDNPSGQQIFDDFRKLGSFLSSQERITNPDMMESPTILAMILQKAIKRTSSLQL >scaffold_800401.1 pep chromosome:v.1.0:8:2395747:2400426:1 gene:scaffold_800401.1 transcript:scaffold_800401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFGIEHRIKQVEEKLDFAHCDETRIVGIVGIPGIGKTTLAMELYKKSRQRFVRCLAFMKIRDKWTDYGAERVRKMFLEDLLQITNISDDEATHSCLESKLLSNKVFVVLDDVSSARQIEVLLGDRNWIKKGSRIVITTRDRAFIAELDPNPYVVPRLNLGDGLMYFSFYAFEDHVCNPGMGDYLRMSREFVDYARGNPLALRVLGRDLRGKDEAQWRKRRDTLAKSPNKSIQDLLKISYGELSEQEKDMFLDIACFFRSEDVYYARSLLDSGDTESFRAPREITDLSHKFFISISGGRVEMHDLLHTFAMELCSLTACGVNQEKLRLWNEKSIIAALHGEMETKTVRGISLDMSEVPNMPLDRLVFTKMCNLRYLKLYSSACPLECEGDCKLNFPDGLSFPLKEVRYLDWLKFPLEELPSDFTPENLIDLKLPYSKIKQVWKVSKDTPKLKWVDLNNSRMLQTLSGFSKAPNLLRLNLEGCSSLVCLSEEMRTMESLVFLNLRGCTGLRHLPDINLSSLRTLILSGCSNLQEFRLISENLDYLYLDGTAIEDLPSEIVKLQKLILLNLKECRRLGSLPECIGKLKSLKELILSGCSNLKSFPNVEENMENFRVLLLDGTSIEEVPKILHGNNSISFLRRLSLSRNDVISSLGSDISQLYHLKWLDLKYCKKLRCLSTLPPNLQCLDAHGCISLETVTSPLAFLMPMEDIHSMFIFTNCCKLNDAAKNDIASHIRRKCQLISDDHHNGSFVFRALIGTCYPGYEVPPWFSHQAFDSVVERKLPPHWCDNKFLGLALCAIVSFHDYRDQNNRLLVKCTCEFENLDASCSRFSVPVGGWFEPGNEPRTVESDHVFIGYISWLNIKKLQEEEYKKGCVPTKAKLRFIVTEGTGEEIKQCEVVKCGFGLVYEPDDEVNNVVLSTRTSMNGEASTLISAEDVSDYPGETPTTARALCAIVSFHDYRDQNNRLLVKCTCEFENLDASCSQFSVPVGGWFEPGNEPRTVESDHVFIGYISWLNIKKRQEEHYKRGCVPTKASLTFSVTDGTGQVIAQCKVVKCGFGLVYEPEDEVSTVVSLAAARMRMNGESRQGEESTITSSEGEYQFETPTTANSTNGNELFGQDSV >scaffold_800414.1 pep chromosome:v.1.0:8:2470512:2473738:-1 gene:scaffold_800414.1 transcript:scaffold_800414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMETVEKILNYSFKNKTLLKEAITLSPRFKRLEFLGDSVLEVALANYIHHAYPNLKLQELCDLRTANVNNEKFARATVKHNLYQFIIGEKSSSPSEKIKEFSEAVSKEDVPVPYGGLVEAPKVLGDIVESIAGAVYIDVDFDVQRFWEVCCVCLFFITFVFLISMLLIKREEFLQIFRGLLEPIYTLDDLQMQPKPPFLTLFRLADKHGKRIDFRYSKDDHSKENIAEVYLDGIFIASGCTKSLSVAKVLAAEEAVQKLSEYDVEIENVKRKLIEICSTEKLRLPIESFSLPTASENPVTDEMTQEQMVIDEDCPHVELEDAKRKLIDICSIEKLRLPTESFSLPAAYENPLTDEIKQVPGYPKGKLHKICVKNKWPFPIYRIEEERGPKNEQKFVCSVKIEIPNIEGSFHMKGDAKPKKKEAENSSAYHMIRALESSLMSLVINPQMPKVLDEKKNPSLVSSEMDSDSVKAVEKILNYVFTNKNLLKEALRQTSSLFQKLRFVGRAALVLAITNHIYLRYPNFEPRELELLQRGNTCNKTLARVAVKHNIHQFFIGQFKSEKKIEAFSKVVGKEDDPVPSDGRSERTTNVLSDLVESVAGAVYIDMNFDIKRLWESFRGLFEPLYTLDDLRLRPQPIHTLSCLGDKDGKHFDFRYEEKGSNRYKAHVYLDDKLIASGKKKNSRDDAKMSAAMEAVRKLSESMPVEIVMDRQDIVNEDAKDKLIEICNKRKWPNPVYSVESNGKSKGYVCSAKIETPTEEGTLYVKGDRRKLRKTAETPQPPTC >scaffold_800415.1 pep chromosome:v.1.0:8:2474924:2485383:1 gene:scaffold_800415.1 transcript:scaffold_800415.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:D7MKV2] MGPDQEDLDLTNDDNFIDKEKLSAPIKSTADKFQLVPEFLKVRGLVKQHLDSFNYFINVGIKKIVHANCRIASVTDPSIYLRFKNVRVGEPSIMNINISEEINPHMCRLADMTYAAPIYVNIEYVHGSHGKKATSVKNDFIIGRMPIMLRSCRCVLHGKDEEELARLGECPLDPGGYFVIKGTEKVLLIQEQLSKNRIIIDSDKKGNINASVTSSTEMTKSKTVIQMEKEKIYLFLHQFVKKIPIVIVLKAMGMESDQEIVQMVGRDPRFSASLLPSIEECVSEGVNTQKQALDYLEAKVKKTSYGPPPEKDGRALYILRDLFLAHVPVRDNNFRQKCFYVGVMLRRMIEAMLNKDSMDDKDYVGNKRLELSGQLMSLLFEDLFKTMLSEAIKKVDAILSKPSRASRFDFSQYLTTGDSQNTISVGLERTLSTGNFDIKRFRMHRKGMTQVLTRLSFIGSLGFITKISPQFEKSRKVSGPRSLQPSQWGMLCPCDTPEGESCGLVKNLALMTHVTTDEEEGPLVAMCYKLGVTDLEVLSAEELHTPDSFLVILNGLILGKHRRPQYFANSLRRLRRAGKIGEFVSVFTNEKQHCVYVASDGGRVCRPLVIADKGISRVKQHHMKELQDGVRTFDDFIRDGLIEYLDVNEENNALIALYESEATTELDEGAEAAKIKADTTHIEIEPFTILGVVAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLNRMDTLLYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSNSGYDIEDAIVMNKSSLDRGFGRCIVMKKIVATCQKYENGTVDRILMPQRTGPDAEKMQILDDDGIASPGEIIRPNDVYIYKQIPVCTTRDKITSPLSDSQYRPAREFFKGPEGETQVVDRVALCSDKSGSLCIKYIIRHTRRPELGDKFSSRHGQKGVCGTIIQQEDFPFSELGICPDLIMNPHGFPSRMTVGKMIELLGSKAGVSCGRFHYGSAFGERSGHADKVETISKTLVDKGFSYSGKDLLYSGLSGEPLEAYIFMGPIYYQKLKHMVLDKMHARGSGPRVMMTRQPTEGKSKNGGLRVGEMERDCLIAYGASMLIYERLMISSDPFEVQVCRACGLLGYYNYKLKKAVCSTCKNGDNIATMKLPYACKLLFQELQSMNVVPRLKLVEA >scaffold_800417.1 pep chromosome:v.1.0:8:2487919:2488595:1 gene:scaffold_800417.1 transcript:scaffold_800417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAAKEWQAAQLILKTPQRCRTTNVPPTEASLIPVPLIEDATLALPRIEDSSQALPPGEVLLCFTDTAWNASSGSCGMGWIFKNQVHRVIHRGRLSAAIHPQLLQLKL >scaffold_800419.1 pep chromosome:v.1.0:8:2503728:2505846:1 gene:scaffold_800419.1 transcript:scaffold_800419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILVRRGRIQGPSSNLQPGVAGSLGLRPSEIPRFLQRDLVLLSDDGIMFENFHVLCYYGIPRGKIGRMFKEAREIFGYENGVLASKLEAYESLVLSKPIVIKLVTCCPLLLVGDIDSEFVSVINKLKGVNIGCDWLARYLSDRKTYNWLRILETMELLDKVGFKDERLSSLLKAYPDLVGEASGNKAYIMLEKFHKVGLQMNEIDNLVTDNPEMLLEKSVKRILETLKFLKRIRMEKQFVVRFLLCHMKLICSSSLLGPRAVWNRLKIGRDQLCQIIKEEPLRLFSLASKTNNSRIKLDSLDLRNAEKTVFLLKLGYVENSDEMVRALKKFQGRGDELQERFDCFVKAGLDYNVVSQLVKRAPHMLNRPKGIIEKKINLLTDYLGYPIESVIESPTYLCYSMERIHHRFSMYIWLKERDAAMPRLTLGTIVGISNTLFVSYFVNTHPEGRATWENIKKAST >scaffold_800425.1 pep chromosome:v.1.0:8:2556352:2556784:-1 gene:scaffold_800425.1 transcript:scaffold_800425.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKW1] MEAHTPSPKPLREPILSPPRSQAMVQIPATPSSRRPALERIAINQAPVPLPGDAISAGSSRMQDIEIHYLQGEDPENPFGSYPRRSLFSPEQTSNPRQSNLLANAPTGNSPQDPETQETRIPAALRLGPQ >scaffold_800426.1 pep chromosome:v.1.0:8:2560569:2560923:-1 gene:scaffold_800426.1 transcript:scaffold_800426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVDRVLSLLGNSAIRKFSLKCEADASPVRVNRWLCQVLQRGVSDLDLTIDFEDDYYLPQEMFIRNYCTRVKCFRCSIHLKTMLIWKFISMSKDKMRLRETNM >scaffold_800430.1 pep chromosome:v.1.0:8:2607451:2609681:1 gene:scaffold_800430.1 transcript:scaffold_800430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRLDLRFHHSVSSSQSVESAALDLDKNGYNHIKLASSSPLQPFPSGGQHPETSAAAAYFSWPTSSRLNDSAEDRANYFANLQKGVLPETFDGLPTILVLTNIAAILVFVARKVHKQWLNPPQCLPTALEGPGGVWCDVDVVEFQYYGAPAQTPKEQVYTELVDDLRGSGSSIGSGSQVASQETYGTLGAIVKSKTGIRQVGFLTNRHVAVDLDYPSQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFAEDFNMNNVTTTVKGIGEIGNIHATDLQSPINSLIGRKVVKVGRSSGLTTGTIMAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLILLAAGDEKNEKPRPVGIIWGGTANRGRLKLKVGEQPENWTSGVDLGRVLNLLELDLITSNEGLQAAVLEQRNSIMCAGIDSTVVESSPGVCNISRCKTGENFEPINLNVQQVLREEDSSNIHPEFQIEDVLESAAMIEEHQFIPSSSNNGYSLHQKINGPENLESKNLSSLKTNSSGDEIGFSLQLGESDTKKRKRTDSPDGSQEHEESRLCS >scaffold_800432.1 pep chromosome:v.1.0:8:2613604:2616512:1 gene:scaffold_800432.1 transcript:scaffold_800432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILNKIEDALPSEYKKDDFSYDLESWKNDGGFWSRLLERDDKICIKTLLNKHLVAVHTWEKVDRIRFIYVCVIAGLVMARDEKKDIPTLYIKLVMDLEKLRAYPWGLHSYDYLVKSITKVKTDLKKEVSYLLNGFSLALQIWAMEAIPSIQFLFGHKLNKELGAVPRCSNWKGLARVSYYQIILIENSMTAKDIVYPYISITGNYDVHESADFMRLGEKKDESIKNLNNLIRSGFDFAEFEWEDIEIDAVEEKKIEEENIEEEKIDQPLDEDKYVEGQTDTHSDDKEAADVIDGGNIVTSLQTRHKEQPTCSKKRKKEADRGAESQKMRLLCQRAATMTGFVEGMKSFIKEMFETSFMQFGQEINKRLEKVHDDVSSLKDDVSSLKETVTAMAETSTKEKARSFKSKAVDENCMFDEYITQTGDIDLNCNLTTQDFLQREMGHLSQKTQVPDFDQSQGLFREDVVPRQRHNYSSEFLDWRCKGDSGKNDVDAVLVYLSEAKWDAFTEWQMNLSREDPKLGPSLLTNALFDRVINPKNWLGNHEIDAMLFLHREKTSIGRLQLDRVGFMNCMFSTRIKTEYERYLKNKKSHKWDSRLLSYVYGENPSHGMTCKRWAMDVDRIYAPVNINNSHWISICINFALRTIEVFDCNAGHNRRVTAEYMR >scaffold_800438.1 pep chromosome:v.1.0:8:2656620:2657560:-1 gene:scaffold_800438.1 transcript:scaffold_800438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGISLSHGRITLNIVLAPSPKRENKVVVEAALLNKVCWLDVSGKFDTKDLSLGIKYEVVFVVKLEDTAYGWDWAPVKLKLVMPSNSETPQEHSVSFEEHIGKQWIDIPAGEFIMSKENAGEISFSLYEHEANMWRSGLIVKGVLIRPKYQV >scaffold_800439.1 pep chromosome:v.1.0:8:2659531:2660114:-1 gene:scaffold_800439.1 transcript:scaffold_800439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSVRQTPTGPQVFINFRGKDVRNGFISFLEPAMREASINVFIDKDEVVGTDLVNLFVRIQESRVHHELAQVKDCIYQGGLNVIPIFYKLAPSSVEELKGGFGDTFRVLKRKYKHDHERTQKWEEALQFIPKKRGMTFSEQRLFSHLLLRYSRLQIYIKL >scaffold_800440.1 pep chromosome:v.1.0:8:2672145:2673427:-1 gene:scaffold_800440.1 transcript:scaffold_800440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTYFDSDFSLVSPTSILEANPSIFSSKYPKPVSYFEPSISNPQRFHTPDVFGLADLVIYGDSNRDHSRNLSTYKMVLFGSKLRVQIPSDDFGTKTGMRYSILGVFNLIVGEVGELLEIFQWKGEVARGCPDWKEEEKVHLGKK >scaffold_800441.1 pep chromosome:v.1.0:8:2681975:2683065:-1 gene:scaffold_800441.1 transcript:scaffold_800441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGEEDEKRFNPMNLLHGLKKFVSRLVLALGAESKLDVVPGAMELAFPFYTLEDAIVRVRSAQSGVQFGFFGL >scaffold_800447.1 pep chromosome:v.1.0:8:2719837:2720315:-1 gene:scaffold_800447.1 transcript:scaffold_800447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKILLRVAMTDDKTRAKAMKTAVQFKGVNAVEIKGDHRNQIEVTGVEVDMIALINTLRKKVAFAELVSVTKVEPPKHEYEEIRT >scaffold_800453.1 pep chromosome:v.1.0:8:2762445:2766432:-1 gene:scaffold_800453.1 transcript:scaffold_800453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSDLPPQQHQVFINFRGEDLRLGFVSHLVEALENDNIKVFIDNYADKGEPLETLLTKIQESRIALAIFSGKYTESTWCLRELAMIKDCVEKGNLVAIPIFYKLDPSTVRGVRGKFGDAFRDLEERDVLKKKEWKKALKWIPDLIGITVHDKSPESEILNEIVREVKKVLKKVPLKGSRNFFVEPSEGSRKVAVDRSEIIDTRTSAEGEKDKTFGIKQQLKELEDKLDLIKYKGTRVIGVVGMPGIGKTTLLKELYKTWKGKFSRYALIDQIRGKSNNFRLECLPTLLLEKLLPELNNPQIDSVEEPYKTHKGLLRERKVLVVLDDVSEREQIYALLGKYDLQNKHEWIKDGSRIVIATNDKSLLKGLVHDTYVVRQLNHRDGLQLFRYHAFHDDQAITPKVDFMKLSDEFVHYARGHPLALKILGRELYEKNMKHWETKLKILAQSPTTYIGEVVQVSFDELSMAQKDAFLDIACFRSQDVDYVESLLVSSDPGSAEAIKALKNKFLIDTCDGRVEMHDLLYTFSRELDLRASTQGGSKQRRLWLQQDIINVQQKTMGAADVRGIFLDLSEVKGETSLDREHFKNICNLRYLKFYNSHCPQECKTNNKINMPDGLELPLKEVRCLHWLKFPLEELPNDFDPINLVDLKLPYSEIERLWEGVKDTPVLKWVDLNHSSKLCSLSGLSKAQNLQRLNLEGCTSLESLRDVNLMSLKTLTLSNCSNFKEFPLIPENLEALYLDGTVISQLPDNVVNLKRLVLLNMKDCKMLENIPTCVGELKALQKLILSGCLKLKEFPEINKSSLKILLLDGTSIKTMPQLPSVQYLCLSRNDQISYLPVGINQLTYVPELPPTLQYLDAHGCSSLKNVATPLARIVSTVQNHCTFNFTNCGNLEQAAKEEITSYAQRKCQLLPDARKHYNEGLNSEALFSTCFPGCEVPSWFGHEVVGSLLQRKLLPHWHDKRLSGIALCAVVSFLDNQDQISCFSVTCTFKIKAEDKSWVPFTCPVGIWTREGDQKDKIESDHVFIAYISCPHSIRCLEDENSDKCNFTEASLEFTVTSGTSGVGVFKVLKCGLSLVYENDKNKNSSLEAKYEVPIEVSFQKPEHGIMEEEKNKNKRRSDDRRPKKKRKTRRDDIMIISTVTQTCGASVASRMEDKLSG >scaffold_800455.1 pep chromosome:v.1.0:8:2773337:2774608:1 gene:scaffold_800455.1 transcript:scaffold_800455.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c6 [Source:UniProtKB/TrEMBL;Acc:D7MKY9] MSLLLSCASARTSNLFCSSQKVNGRERELQYPILPNHNKDLNFLIKKLAPPLTAVLLAVSPIICFPPESLGQTLDIQRGATLFNRACIGCHDTGGNIIQPVATLFTKDLERNGVDTEEEIYRVTYFGKGRMPGFGEKCTPRGQCTFGPRLQDEEIKLLAEFVKFQADKGWPNVSTD >scaffold_800456.1 pep chromosome:v.1.0:8:2774883:2777220:-1 gene:scaffold_800456.1 transcript:scaffold_800456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRLDLRFHHSVSSSSQSVESAALDLDKNGYNHIKLASSSPFQPFPSGGQHPETSAAAAYFSWPTSCRLNDSAEDRANYFANLQKGVLPETFDGLPTGKKATTLLELMMIRAFHSKNLRRFSLGTAIGFRIRRGVLTNIAAILVFVARKVHKQWLNPLQCLPTALEGPGGVWCDVDVVEFQYYGAPAQTPKEQVYTELVDDLRGSGSSIGSGSQVASQETYGTLGAIVKSKTGIRQVGFLTNRHVAVDLDYPSQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFAEDFNMNNVTTTVKGIGEIGNIHATDLQSPINSLIGRKVVKVGRSSGLTTGTIMAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLILLAAGDEKNEKPRPVGIIWGGTANRGRLKLKVGEQPENWTSGVDLGRVLNLLELDLITSNEGLQAAVLEQRNGIMCAGIDSTVVESSPGVCNISRCKTGENFEPINLNVQQVLREEDSSNIHPEFQIEDVLESAAMIEEHQFIPSSSNNGYSLHQKINGPENLESKNLSSLKTNSSGDEIGFSLQLGESDTKKRKRTDSPDGSQEHEESRLCS >scaffold_800459.1 pep chromosome:v.1.0:8:2782762:2783337:-1 gene:scaffold_800459.1 transcript:scaffold_800459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKCDPQGIGSTWLGRPWFQVVTGDLAKVQRAVCMISNNTAVAEVFLRIDHKFDLMYSTRAFVHWYVGEGMEEGEFSGPVRILPSGSWCRRSRGRRRRRR >scaffold_800463.1 pep chromosome:v.1.0:8:2820407:2821126:-1 gene:scaffold_800463.1 transcript:scaffold_800463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDECNGYRDRFMDLTGTVVDRGIQHLDVFMNSCKRYNFMRENIYKSKTLVSLSLYNVELKNPEFVVSLPCLKIMHLCNVCYGEDGPLDVEKLISGCPVLEDLKLVRLFNILSQDVLLFLRVSSQTMESLRLYFAIDNTGGTDFSVEINAPRLKYMTCYESLFDRIMVKNLSSLFTIDINTKYNVVYGSLLAPEYLRNRDIISDFLTGISSVRHMTICYSTLKV >scaffold_800464.1 pep chromosome:v.1.0:8:2831837:2832760:-1 gene:scaffold_800464.1 transcript:scaffold_800464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYDYINVPGLKLRTSEFPNPCEEGFARFMDRFMEFNCQSHLEKFEITYFECNGYRDRFMDLIGTVVDRGIQHLDVLMYTCNRNDFIRQNIYKSKTLVSLNLVNIELKKPEFVVSLPCLKIMRLCNVCYGEDGPIVVEKLISGCPVLEDLQLLRPFGILNQKVLLFLRIRSQTLKSLQVSFTPFINTRGTDFSVEIDTPQLKYMTVEQCHSDSIMVKKLSSLFRIDIGSKLHPLRHFDFNIFRDFLTGISSVRHMIIWERTLKV >scaffold_800465.1 pep chromosome:v.1.0:8:2841309:2841548:-1 gene:scaffold_800465.1 transcript:scaffold_800465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLENIFCGNDGPLAVENLISSCHVLEDLTVVRSIDRYCDIYNSKTLLSFKLEIVPACCAKILR >scaffold_800478.1 pep chromosome:v.1.0:8:2883604:2884613:1 gene:scaffold_800478.1 transcript:scaffold_800478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAEELQFLNIQGILRESTTIPKFSPKTFYLITLTLIFPLSFAILAHSLFTQPILAQLDSTPPSDQSKTNHEWTLLLVYQFIYVIFLFAFSLLSTAAVVFTVASLYTGKPVSFSSTMSAIPLVLKRLFITFLWVSLMMLVYNSVFLLFLVVLIVAIDLQSVILAVFSMVVIFVLFLGVHVYMTAWWHLASVVSVLEPIYGIAAMKKSYELLKGRTNMACSMVFMYLALCGITAGVFGGVVVHGGDDFGLFTKIVVGGFLVGILVIVNLVGLLVQSVFYYVCKSFHHQPIDKSALHDHLGGYLGDYVPLKSSIQMENFDI >scaffold_800479.1 pep chromosome:v.1.0:8:2886527:2887431:-1 gene:scaffold_800479.1 transcript:scaffold_800479.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toll-Interleukin-Resistance domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MLF8] MAEPRPYQRPPQVFISFHGDELRDNFIRYLVWGLRDERVNVFIDRAEANRRDIRNISTKIEESNIAVIIFSKRYTESEMCLNEHQKMHEHVKQSNLKVIPVFYDVSISDVKNLEGEFGNHFEEMKMKYANDPLKILNWENSLSSIVERTGLTSEEHGTGLGLVMAIVRAVKSELTDSLERRKIMKGQVFVLASAAVFIFSLFVARLLCTDVKVYKAVKCLLGFLVLVGVLHQLYCLRKHPNR >scaffold_800480.1 pep chromosome:v.1.0:8:2888383:2889313:-1 gene:scaffold_800480.1 transcript:scaffold_800480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor [Source:UniProtKB/TrEMBL;Acc:D7MLF9] MGSSFSLFTDVGPIPPQYQVFINFRGEKLRDGFLGFLVDALLKENVNVFIDDHELRGRDLDHLFSRIEESRVALTIFSKNFTESRWCLDELAKIRECVDQGSLTVIPIFFKMKTDDVKKLKGKFGDNFRDLKSTHRGEPENFRRWKEALIFVSEKAGLSSSRYSRQNDLVSTIVEEVKKVLNDIAEMERQIVDVKRKNLAARTDELIRFVVRFLSLFLLFTIVFGPYVLGLLTFFSFLVGRLIINRAVESEW >scaffold_800482.1 pep chromosome:v.1.0:8:2909994:2911003:1 gene:scaffold_800482.1 transcript:scaffold_800482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAEELQFLNIQGILRESTTIPKFSPKTFYLITLTLIFPLSFAILAHSLFTQPILAQLDSTPPSDQSKTNHEWTLLLVYQFIYVIFLFAFSLLSTAAVVFTVASLYTGKPVSFSSTMSAIPLVLKRLFITFLWVSLMMLVYNSVFLLFLVVLIVAIDLQSVILAVFSMVVIFVLFLGVHVYMTAWWHLASVVSVLEPIYGIAAMKKSYELLKGRTNMACSMVFMYLALCGITAGVFGGVVVHGGDDFGLFTKIVVGGFLVGILVIVNLVGLLVQSVFYYVCKSFHHQPIDKSALHDHLGGYLGDYVPLKSSIQMENFDI >scaffold_800484.1 pep chromosome:v.1.0:8:2915732:2917986:1 gene:scaffold_800484.1 transcript:scaffold_800484.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 28 protein [Source:UniProtKB/TrEMBL;Acc:D7MLG3] MEIILRGVFFLTLLHSVLVNGQIYDVLKFGAKGNGITDDSKAFVTAWKAMCGSGGNSKTFLIPSNKTFLLQPLMFQGPCKSPSVQVKFDGKIVAPINKAAWSENKLFRWVSFKEIIGLTVTGSGTIHGRGSSFWKQLHFKSCNDLKISGITSFNSPRNHISISECKRVKITKIKLVAPHDSPNTDGIDISRSSDVDIYDTIIGTGDDCVAINNGSMNINITRMNCGPGHGISVGSLGRDGEESIVENVQVTNCTFFRTDNGARIKTWPNGKGYAKNILFQGLTFRETKNPIIIDQNYVDKGRLDVEESAVAISNVTFTDIRGTSQVDEIIKIDCSKVTYCKDIVLDKIDIATVDGNKPVVECSNVYGKSINANEANGCFKT >scaffold_800485.1 pep chromosome:v.1.0:8:2918417:2918609:-1 gene:scaffold_800485.1 transcript:scaffold_800485.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLG4] MKKCAKQREIKTEQLNKKKQSKRKSINVDLAARPQSGCGAKPDHHVLSKM >scaffold_800488.1 pep chromosome:v.1.0:8:2928234:2928445:-1 gene:scaffold_800488.1 transcript:scaffold_800488.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MLG6] MDSNELEPPSSDVVVHHQPSESDPQAEEGEGNPEPDIEPDDEDPLERDQFEIEKTR >scaffold_800490.1 pep chromosome:v.1.0:8:2933218:2933519:-1 gene:scaffold_800490.1 transcript:scaffold_800490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLG7] MTISRSTITNQHEATLREDLAGNRKRNQPKATPKTSKLDLHGKAENGPKTKENGRISTRTTLSRLRREAPVAREVRTGEKLDGGG >scaffold_800491.1 pep chromosome:v.1.0:8:2936609:2937033:1 gene:scaffold_800491.1 transcript:scaffold_800491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLPKEERRALRATNKSSSYLDDKSSLPAGNLQVDRVLVCPIQGLTKTSLCSALSDVLCSDNLQANDQRDTNAESVVAEGRT >scaffold_800493.1 pep chromosome:v.1.0:8:2941973:2950521:1 gene:scaffold_800493.1 transcript:scaffold_800493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSGSEMINRDWVMKQKRRKLPSILDLLDQKVDSSVAFDSSEYTSSAKPTKHRLKTDLTPERNSSKRKGNDGNYFECVICDLGGDLLCCDSCPRTYHTACLNPPLKRIPNGKWICPKCSPNSEALKPVNRLDAVAKRARTKTTKRNSQAGPKCERASQIYCSSIISGEQSSEKGKSISAEESKSIGKEVYSSPMDGCSTAELGHASADDRPDSSSHGEDDLGKPVIPTADLPSDAGLTLPSCEDLSESKLSDTEKNHEAPVEKLEHASSEIVENKTIAEVETGKGKRKKRKRELNDGESLERCKTDKKRAKKSLSKVGSQSTKSPESSKKKKKKNRVTLKSLSKPQSKTETPEKVKKLPKEERRALRATNKSSSYLDEKSSLPAVNLQVDRVLGCRIQGLTKTSLCSALSDDLCSDNLQANDQRDSSVRDTNAELVVAEDKTESSSETGKSSKGSRLKDKDMDESALGTEGMVEVKEEMHSEDNSDAALSRHVDDEDMKVSETPVSVERELLEEAHQETGEQCAVAGEEVEEPVAAKTSDLIGETVSYEFLVKWVGKSNIHNTWISEAELKGLAKRKLENYKSKYGTAVINICEDKWKQPQRIVAIRVSKEGNQEAYVKWTGLAYDECTWESLEEPILKNSSHLIDLFHQYEQKTLERSSKGNPTRERGEGQQSEIVTLTEQPQELRGGALFPHQLEALNWLRRCWHKSKNVILADEMGLGKTVSASAFLSSLYFEFGVARPCLVLVPLSTMPNWLSEFSLWAPLLNVVEYHGSAKGRAIIRDYEWRAKNPTGTTKKPTSYKFNVLLTTYEMVLADSSHLRGVPWEVLVVDEGHRLKNSESKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPLSFPSLSSFEERFHDLTSAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQILRNIGKGVAQQSMLNIVMQLRKVCNHPYLIPGTEPESGSLEFLHDMRIKASAKLTLLHSMLKVLQKEGHRVLIFSQMTKLLDILEDYLNIEFGPKTFERVDGSVAVADRQAAIARFNQDKNRFVFLLSTRACGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSKRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEFEDILRWGTEELFNDSAGENKKDTAESNGNLDVIMDLESKSRKKGGGLGDVYQDKCTEGNGKIVWDEIAIMKLLDRSNIQSASTDAADTELENDMLGTVKPVEWNEETAEEQVEAESPALVTDDTDEQSSERKDDDLVNFTEENEWDRLLRMRLEFPLSLSSATWLCYFFGLGIICSCFHCLLLKYQSEEEAALGRGKRLRKAVSYREAYAPHTSGPVTESGGEDEKEPEPELKKEYTPAGRALKEKFAKLRERQKILIAKRNSVEDSLPNCNVDQVANQDKESPTSMDLDDSKASQQYDAQRKASSLRLESSSDPKPDLPSHHHGAECLPSLPPNNLPVLGLCAPNFTQSESSRRNYSRPGSRQNRTITGPHFPFNLPQTSNLVERDANDQEPSLGKLNPQNVKEEPFQQPLSNLDGWLPHRQLPPSGDFERPRSSGAAFADFQEKFPLLNLPFDDKLLPRFPFQPRTMGTSHQDIMANLLMRKRFEGIGHSMQDLFGVPSMPFLPNMKVPPMDPPVFSQQDKDLPPLGLDQFPSALSSIPENHRKVLENIMLRTGSGIGHSQKKKTRVDAWSEDELDSLWIGIRRHGYGNWETILRDPRLKFSKFKTPEYLAARWEEEQRKFLDSLSSLPSKSSRTDKSNKSSLFPGLPQGIMNRALHGTKYATPPRFQSHLTDIKLGFSDLASPLPLYEPSDHLGFRSEPFPPMANLCTDNLPGDPSAGPSERPGASTNIPNEKPFPLNSLGMGNLGSLGLDSLSSLNTLRADEKRDAIKRGKLPLFLDMPLPPMLDSSNNVFLGRSANPSFLNPNRGLNLSNPMGRDILGISSSENKLPHWLRDVVTVPTVKSPEPPTLPPTVSAIAQSVRVLYGEDSTTIPPFVIPDPPPPAPRDPRHSLRKKKKRKLHSSSQKTTDIGSSSHNAFESSSQGHPQTSSTPHLPPSSLAGETSGSSQPNLPPHNLNSTEPLSSEAIRFPPPEEDSVIAAVPSEAPGPSLEGITGTTKSISLESKSSEPKSTNQDGDLDPETDEKIESDRIPLHSDKPLDDIQEAENALNKQAESQNTNAEEVEAQQEEESMKMVSDNSPGDD >scaffold_800507.1 pep chromosome:v.1.0:8:3002136:3002988:-1 gene:scaffold_800507.1 transcript:scaffold_800507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSIQLISTLFFLVILVLAPGMMKMVEGQQMCETQSINFRGMCTKWRNCQRVCMSEGFPDGRCKGFIRKCICTKPCPVST >scaffold_800511.1 pep chromosome:v.1.0:8:3025550:3027048:1 gene:scaffold_800511.1 transcript:scaffold_800511.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyladenine glycosylase family protein [Source:UniProtKB/TrEMBL;Acc:D7MLI2] MCSSKLKNLTQENISQINGRPVLQPKSNQVPTLDRRNSLKKSPPKPLNPIASSKIPSPRLISLNSPPLSPNSKSLRQPPGSCKELLRSSSVKSKPVKSPENSDGGYKEVMSMVIVQKQPGSIAAARREEVAMKQEERKKKISHYGRIKSVKSNEKNLNVEHEKKKRCSFITISSDPIYVAYHDKEWGVPVHDDKLLFELLVLTGAQVGSDWTSVLKRRNTFREAFSGFEAELVADFNEKKIQSIVNDYGINLSQVLAIVDNSKQILKVKRDFGSFNKYIWGFLKHKPVTTKYTSCQKIPVKTSKSETISKDMVRRGFRFVGPTVIHSLMQAAGLTNDHLITCPRHLECTAMAAL >scaffold_800512.1 pep chromosome:v.1.0:8:3029529:3030009:1 gene:scaffold_800512.1 transcript:scaffold_800512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEISCSLFYGRRGNALFSRSWLPISDELRGILIIIHGLNEHSGRYSQFVKQLNSSNLGVYAMDWIGMKTKYCSFHYSYWIDC >scaffold_800516.1 pep chromosome:v.1.0:8:3059464:3059896:-1 gene:scaffold_800516.1 transcript:scaffold_800516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMAVAALFHARFPLLLRHSVPFTFSLSSVIYYRRTVPSNGLSTRLCSILRYSSSDGGGGGGSSSGDSGSVNDSVSVVADVQSPNYLKFTDDELMKQCRLETFRVSGPGGKHRNKRDSAVHSTRTVLLL >scaffold_800517.1 pep chromosome:v.1.0:8:3060230:3060534:-1 gene:scaffold_800517.1 transcript:scaffold_800517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSKAWLVAASIGAVEASKDQLGMCRWNYLIRSVNQRIRNNVRSASQANRFSSSTVVASVKDDNKAKQAEESLRTVMYLSCWVPN >scaffold_800518.1 pep chromosome:v.1.0:8:3061268:3061460:-1 gene:scaffold_800518.1 transcript:scaffold_800518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEASTAAKFKDQHLQFWQLMIAWSVAGFVKHLRMFPEKDQTDETADQY >scaffold_800519.1 pep chromosome:v.1.0:8:3061719:3066198:-1 gene:scaffold_800519.1 transcript:scaffold_800519.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MLJ1] MASLMGDDCNLDIMPPRKKNKGSNKDKLNSNKKTVSRRSRKRKLNSNKDTVVSKSQKRKLKKLEEDKEKEIIFAKTAELLDKYKISEDVSSLLQSSKVIGRSATKLEKRRRAMQLSKAGVETEHSDESVEQNDDDDSCMDEPTAPDMDEPTTPEHVEIEIPTFVTDSEQQIHAHELGSDLVISAEETSSKLVVDDTVDMILQTTCRYDEEGSQRMDGTIENEDVTVQGPRVPAFVVHVSRPAEVEETRKDLPIVMMEQEIMEAINRHPAVIISGQTGCGKTTQVPQFLYEAGFGSKQFSSRSGIIGITQPRRVAVLATAKRVAFELGVRLGKEVGFQVRYDKKIGENSSIKFLTDGILLREIQNDFLLRRYSVIILDEAHERSLNTDILIGMLTRVIKIRQEYYEEQQKSLQSGGTVTSEYQITPLKLILMSATLRVKDFVSGKRLFPKIPPLIEVPTRQYPVTIHFSRKTEIVDYIGQAYKKVMSIHKKLPQGGILVFVTGQREVDYLCEKLRKSSKELVVQAAKRDAYVKKKCDDGSFGGVDMKEIAEAFDDGSDNQNYRFSSHGEDPSEIGDGNYDDDFEEEDMYESDEDRDWETVDDGFTSSFVEEGKLDALRAAFNGLADKNGSVSAEPTKTIAAENQEAEQVKNTFSPGKLRVLPLYAMLSPAAQLRVFEEFEKEERLVVVATNVAETSLTIPGIKYVVDTGRVKVKNYDSKTGMESYEVDWISQASASQRAGRAGRTGPGHCYRLYSSAVFSNIFEESSPPEITKVPVDGVVLIMKSMNIPKVENFPFPTPPEPSAIKEAEQCLKALEALDSNGRLTPLGKAMSRYPMSPRHSRMLLTVIQMLKETRNYSRVNLVLGYAVAAVAALSLPNPLIMEFEGEKKNESKDADKTVKQEDKQRRKDRKEKIKAARDRFSNPSSDALTVAYALHSFEVSENGMGFCEANGLHLKTMDEMSKLKDQLLRLVFSCCKPSETDDGFSWTHGTIQDIEKSWRITTLSSSKYPLLQNEEELLGEAICAGWADRVARKTRATEYQACAVQEPVFLHRWSSLINTAPELLVYSELLLTNRPYMHGATRVKPEWLVKHAKSLCVFSAPLKDPKPYYSSEEDRVLCWVVPSFGPHNWELPAHSVAITEDRDRAAAFGCALLQGEVLPCLKSIRALLAGKPETLLEREAWGLERVGSLVMVLTEKKIDSLESLRKSWEQNPNVLYSEIEVWFQKKFRHRVKDLWQTMLKEAHVQRSQRRR >scaffold_800523.1 pep chromosome:v.1.0:8:3073402:3077552:1 gene:scaffold_800523.1 transcript:scaffold_800523.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase [Source:UniProtKB/TrEMBL;Acc:D7MLJ5] MEAFGGFVMDEQAIQVENVFLEFLKSFRLDANKPELYYEAEIEAIRGGESTMMYIDFSHVMGFNDALQKAIADEYLRFEPYLRNACKRFVIEMNPSFISDDTPNKDINVSFYNLPFTKRLRELTTAEIGKLVSVTGVVTRTSEVRPELLYGTFKCLDCGSVIKNVEQQFKYTQPTICVSPTCLNRARWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEQARAGDTVIFTGTVVVIPDISALVAPGERAECRRDSSQQKSSTAGHEGVQGLKALGVRDLSYRLAFIANSVQIADGSRNTDMRNRQNDSNEDDQQQFTAEELDEIQQMRNTPDYFNKLVGSMAPTVFGHQDIKRAVLLMLLGGVHKTTHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPVGGRYDKSKPLKYNVNLPPAILSRFDLVYVMIDDPDEVTDYHIAHHIVRVHQKHEAALSPEFTTVQLKRYIAYAKTLKPKLSPEARKLLVESYVALRRGDTTPGTRVAYRMTVRQLEALIRLSEAIARSHLEILVKPSHVLLAVRLLKTSVISVESGDIDLSEYQDANGDNMDDTDDIENPDNGDEDQQNGAAEPASATIDNGAAAQKLVISEEEYDRITQALVIRLRQHEETVNKDSSELPGIRQKELIRWYIDQQNEKKKYSSQEQVKLDIKKLRAIIESLVCKEGHLIVLANEQEATEAEETRKKSSQRDERILAVAPNYVIE >scaffold_800527.1 pep chromosome:v.1.0:8:3107970:3108496:1 gene:scaffold_800527.1 transcript:scaffold_800527.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MLJ9] MGYWKSKVVPRMKRLFEKSPAKKEVVEEEKPREVEVVEEVVVKTEEPAKVEETKPEEIATGEKEIEIVEEKKEEAKPVEVPVPEEKKTAPVEEKKPAVEEEKKPAVEEKKPVVEEKKEVVAAVPVAETPSTKAPETPVVETPAKAAETPEFSSWYIFLQKY >scaffold_800528.1 pep chromosome:v.1.0:8:3113077:3114790:-1 gene:scaffold_800528.1 transcript:scaffold_800528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSSMTISSLHSLSRYESCFLSSQFSKPLFINPSQNKPRFSISFCLKQSDRDERQIQQEPSKDDDEEEEEYWVVTAVRSRYNEIVIVDTVSSRYLLLDSTKNVHSVINKGGQNWTGSYWDEFASLPPIIPNGPVAIYGLGGGTAARLILELWPSLQLEGWEIDEILIEKARDYLGLSELEKPTSKGGRLCVHVDDALSPSQDVSGRYAGIIVDLFADGKVLDQLQQVPIWLDLASRLMPNGRIMVNCAGIETELQNGKPQLLLDDSAVMLNSTVKILAEAFPGQVCWKRTPDTQGLNFLALTGGLPDLSDWSNKVPIRLSEVVKQWKLCE >scaffold_800530.1 pep chromosome:v.1.0:8:3119668:3121146:1 gene:scaffold_800530.1 transcript:scaffold_800530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Tu [Source:UniProtKB/TrEMBL;Acc:D7MLK3] MAISSPAATSSSSKLLNSFTSPSISTIKPKSLTLSSYFLPPITSLSITTTTTTTTSSSPHRLRRAFTVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALASVGNSVAKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPDMVVFLNKEDQVDDAELLELVELEVRELLSSYEFNGDDIPIISGSALLAVETLTEKPNVKRGENKWVDKIYELMDSVDSYIPIPTRQTELPFLLAVEDVFSITGRGTVATGRVERGCVKVGETVDLVGLRETRNYTVTGVEMFQKILDEAMAGDNVGLLLRGIQKADIQRGMVLAKPGSITPHTKFEAIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVTNIMNDKDEESKMVMPGDRVKIVVELIVPVACEQGMRFAIREGGKTVGAGVIQSIIE >scaffold_800531.1 pep chromosome:v.1.0:8:3123432:3124274:-1 gene:scaffold_800531.1 transcript:scaffold_800531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVLMVFLLLCTVICLTESALPSDHQSALLTGRKMMGYKQSEGPVRSSQSHQAGGRDNDDDPIANIDYGKY >scaffold_800532.1 pep chromosome:v.1.0:8:3127020:3128267:-1 gene:scaffold_800532.1 transcript:scaffold_800532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKTFSSNSVHLLIVFLLLCTFLSRTESARPSHHALLSITGRTMMSNYKTNSAIGTPSSTSSHAPGGNGRKLMSIYRPNGDIFTGPSGSGHGGGRTPAP >scaffold_800535.1 pep chromosome:v.1.0:8:3173512:3175426:1 gene:scaffold_800535.1 transcript:scaffold_800535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSEENSGGLEEEGGCDQSQNLKRVLCFVENMVHYLSELGMVHLDTTLFYLSLWAAATIYTVRCCLDKSPPWTDALELVAAEIFFVKLNHQVIRQSRIRRRNLAKTTNTSVDDDDDETQE >scaffold_800537.1 pep chromosome:v.1.0:8:3200767:3201583:-1 gene:scaffold_800537.1 transcript:scaffold_800537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKCSSQLRYVVVLVQLLFVFPCLTQSAPFSVTGRRLMGIYMPNGAIIAGSSKCGQAPNININCLPRRLMRIEARPSKSKKDGGGRGPESPDQNQC >scaffold_800550.1 pep chromosome:v.1.0:8:3270484:3275217:1 gene:scaffold_800550.1 transcript:scaffold_800550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSCFLVYRIFRFRKRNKNIPSSLPPSSPPSSLSQNWLHPVFLSFRGEDVRKGFLSHIQKEFQRMGITPFIDNEMKRGGSIGPELLQAIRGSKIAIILLSRNYGSSKWCLDELVEIMKCREELGQTVMTVFYDVDPSDVRKQKGDFGKVFRKTCVGRPEEVKQKWKQALTSAANILGEDSRNWENEADMIIKIAKDVSDVLSFTPSKDFDEFVGIEAHTTEITSLLQLDLEEVRMIGIWGPAGIGKTTISRVLYNKLFHQFQLGAIIDNIKVRYPRPCHDEYSAKLQLQKELLSQMINQKDMVVPHLGVAQERLKDRKVLLVLDDVDALVQLDAMAKDVRWFGLGSRIIVVTQDLKLLKAHGIKYIYKVDFPTSDEALEIFCMYAFGQKSPKVGFEQIARTVTTLAGKLPLGLRVMGSYLRRMSKQEWARSIPRLRTSLDDDIESVLKFSYNSLAEEEKDLFLHIACFFRRERIETLEVFLANKFGDVKQGLQILADKSLLSLNFGNIEMHNLLVQLGLDIIRKQSIHKPGKRQFLVDAEDICEVLTEDTGTRTLVGIDLELSGVIEGVINISERAFERMCNLQFLRFHHPYGDRCHDILYLPQGLSNISRKLRLLHWERYPLTCLPSKFNPEFLVKINMRDSMLEKLWEGNEPIRNLKWMDLSFCVNLKELPDFSTATNLQELRLVDCLSLVELPSSIGNVTNLLELDLIGCSSLVKLPSSIGNLTNLKKLYLNRCSSLVQLPSSIGNVTSLKELNLSGCSSLLEIPSSIGNTTNLKKLYADGCSSLVELPSSVGNIANLRELQLMNCSSLIEFPSSILKLTRLKDLNLSGCSSLVKLPSIGNVINLQTLFLSGCSSLVELPFSIENATNLQTLYLNGCSDLLELPSSIWNITNLQSLYLNGCSSLKELPSLVGNAINLQSLSLMNCSSMVELPSSIWNATNLSYLDVSSCSSLVGLNIKLELNQCRKLVSHPVVPDSLILDAGDCESLVERLDCSFQNPKIVLNFANCFKLNQEARDLIIQTSTCRNAILPGGKVPAYFTYRATGDSLTVKLNERYLLKSLRFKACLLLVEGQNKWPHWGMNIVTSREPNGYIVLYTPSSHLQGPLLMENLYTFEFELVVTSSEFVVEFRVDRYKCAARQRSSLVIAGETEVRSVNLPKSVVDGGCMNQCETLL >scaffold_800553.1 pep chromosome:v.1.0:8:3307417:3307873:-1 gene:scaffold_800553.1 transcript:scaffold_800553.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM11] MPPKGKSSRGRGGGAITRRVATGGGQTSRQEAAGGGQTSRQEAAGGGVTTRRVAAGGGQALPQEAVGGGETSRPVRPGGRVRTFVGHRPPVTASGVGTSSNASNPSSASQSATQSQADSSSQ >scaffold_800557.1 pep chromosome:v.1.0:8:3340181:3340769:-1 gene:scaffold_800557.1 transcript:scaffold_800557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNNEKKKLSRQSSSFRLRSPSLNALRLHRVFDLFDKNNDGFITVEELSQALSRLGLEADFSDLKSTVDSFIKPDKTGLRFDDFAALHKTLDESFFGGEGSCCDGSPESDLEEAFNVFDEDGDGFISAVELQKVLKKLGLPEAGEIEQVKKMIVSVDRNHDGRVDFFEFKNMMQTVVVPSS >scaffold_800559.1 pep chromosome:v.1.0:8:3344715:3346248:1 gene:scaffold_800559.1 transcript:scaffold_800559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNTVESKVIHTSKDSSFFSILDSSIQNPRFSVSETPKPVSIITPVKASDVQTVIRCARLHGIHVRTRSAGHCYEGLSYIAYNKPFVVIDIRNLQSISLDVDNRTGWVQTGATAGELYYEIGKTPKTLAFPAGIHPTVAADNIIDALVVDASGRILDRQAMGEEYYFWAICGGGGSSFGIILSWKIKLVDVPSTITVFKVKRTSKKEAVRIINKWQYVADKVPDDLFIRTTLERSNKNAVHALFTGLYLGPANNLLALMEEKFPELGLEKDGCTEMSWVESVLWFADFHKGESLDDVLTNRERTSLSYKGKDDFVQEPIPEAAIQELWRRLDAPEARLAKIILTPFGGKMSEIAEHETLFPHREGNLYEIQYVAYWREEEDKNMTGTNKYLKWVDSVYELMTPYVSKSPRGAYVNFVDMDLGMYLGKKKTKYEEGKSWGVKYFKNNFERLVRVKTSVDPTDFFCDEQSIPLLNKVT >scaffold_800562.1 pep chromosome:v.1.0:8:3393013:3394665:1 gene:scaffold_800562.1 transcript:scaffold_800562.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MMH3] MEFSNSLLSLLLFSLCVSPLSALVSAHNHEDFLKCLSHRINDNTVVSKVIHTSKGSSFCSILDSSIQNPRFSVPETPKPVSIITPVKASDVQTVIRCARLHGIHVRTRSAGHGWEGQSYIAYNKPFVVIDLRNLRSISLNVDDRTGWVQTGATTGELYFEIGKTTKSLAFPASIHPTVGVGGQFSGGGYGTLLRKYGLAADNIIDALVVDARGRILDRQAMGEDYFWAIRGGGGSSFGVVLSWKIKLVDVPSTVTVFKVQKTSEKEAVRIINKWQYVAAKVPNDLFISATLERSDKNLVHALFTGLYLGPVNDLLALMEEKFPELNLEMEDCTEMSWVESVLWFADFPKGESLGVLANRKRTSLSFKGKDDFVQEPIPEAAIQELWRRLEAPEARLAKVILTPFGGKMSEIAEHETPFPHREGNLYEIQYLAFWREEEDKNKMETEKYLKWVESVYNLMTPYVSKSPRGAYVNFMDLDLGMYLGKEETKYEEGKSWGVKYFKNNFERLVRVKTSVDPTDFFCDEQSIPILKSVDDI >scaffold_800568.1 pep chromosome:v.1.0:8:3419899:3422685:1 gene:scaffold_800568.1 transcript:scaffold_800568.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MMH8] MGISKPHPTISCILFLVLYFSFYCITPTSSSASLQDQFINCVKRNTHVSFPLETTLFTPAKNVSMFSQVLESTAQNLQFLAKSLPKPGFIFRPIHESQVQASIICSKKLGIHFRVRSGGHDFEALSYVSRIEKPFILIDLSKLRQVDVDIETNSAWVQPGATLGELYYRIAEKSKVHGFPAGLCTSVGIGGYMTGGGYGSLMRKFGLAGDNVLDVKMVDANGKLLDRTAMGEDLFWALRGGGGASFGIVLAWKIKLVPVPETVTIFTVTKTLKQDARLKIISKWQQIASKLVEELHIRLVLRAVGNNGNKTITMSYLGQFLGEKGTLMKVMEKDFPELGLTQKDCTEMSWIESTLFHGGFPTGSPIEILLQRKSPLGKDYFKATSDFVKEPIPVLGLKGIFKRLIEGKIEFLNWTPYGGMMSKISESAIPFPHRNGTLFKILYYANWLENDKTSMSRKINSIKEIYSYMAPYVSSNPREAYVNYRDLDFGQNENNSKFNFIEAKIWGPKYFKDNFNRLVKIKTKVDPNNFFRHEQSIPPMP >scaffold_800569.1 pep chromosome:v.1.0:8:3431696:3432416:1 gene:scaffold_800569.1 transcript:scaffold_800569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEQESNPRQAYVNYRDLDLGMNTKNAKSSFKQAQVWGAKYFKDNFNRLVGIKTKVDPDNFFRHEQSIQLMI >scaffold_800570.1 pep chromosome:v.1.0:8:3445148:3446487:1 gene:scaffold_800570.1 transcript:scaffold_800570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSNIPQRYVIVFLTFLSTCVCYIERVGFSIAYTVAADAAGINQSSKGTILSTFFVGYACSQVPGGWAAQKIGGRKVLLLSFVLWSSTCFLVPLDPNRVGLLVFARLLVGVAQGFIFPSIHTVLAQWVPPHERSRLVSITTSGMYLGAALGMWLLPALVELRGPESVFLAEALAGVIWSLLWIRYATDPPRSEHPKAAAAGFGGALLPTNVNHHKVTHIPWKKIMLSLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQISLQGMDSSKMVPYLNMFVFSIVGGFIADYLITKRILSVTRTRKFLNTVGFLIASAALMVLPMFRTENGVILCSSVALGFLALGRAGFAVNHMDIAPRYAGIVMGVSNTAGTLAGIIGVDLTGKLLEASKLVYSDLSHPESWRVVFFIPGLLCIFSSVVFLLFSTGERIFD >scaffold_800573.1 pep chromosome:v.1.0:8:3458015:3459001:-1 gene:scaffold_800573.1 transcript:scaffold_800573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLARPTGQCLSLPKVETSSVWVMSYFGYDPVEKQYKVLSVTRSDGFDEYQVLTLGIGEPSWRMIKSCRPHIHYSKHVCINGVLYYIASITGFLEDLMVVSLVKSSFIYGTSDSIELWVQEDVDKQEWSKHTCVFPALAQNLRRGAYLRFVGVTHTNEIVLASSFIVPFDLFYYNMEKKTIIRLQIQGMEDFPHKLVDISF >scaffold_800574.1 pep chromosome:v.1.0:8:3471144:3472749:1 gene:scaffold_800574.1 transcript:scaffold_800574.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:D7MMI4] MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGQYVGDSPLQLERIDVYFNEASGGKYVPRAVLMDLEPGTMDSLRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENSDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLANPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYSALSVPELTQQMWDAKNMMCAADPRHGRYLTASAVFRGKLSTKEVDEQMMNIQNKNSSYFVEWIPNNVKSSVCDIAPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAGEEEYEEEEEEYEA >scaffold_800576.1 pep chromosome:v.1.0:8:3475474:3477288:1 gene:scaffold_800576.1 transcript:scaffold_800576.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit D [Source:UniProtKB/TrEMBL;Acc:D7MMI6] MVFEAFEVGTVPFNSDGWGPPDASDTSSTSAAAANLLPNVPFASFSRSEKLGRVADWTRALSNPSARPHTGSKADPSAIFDFSAFAVDEGFGLTNSGGNADEDAVFRLVDGKPPPRPKFGPKWRFNQYHNRNQLPQRRDEEVEAKKREAEKDRARRDRLYNNNRNNIHQQRREAAAFKSSVDIQPEWNMLEQIPFSTFSKLSFTVQEPEDLLLCGGLESYDRSYDRITPKADRRLERFKNRSFKVTTSDDLVIRRLAKEDKATVFATDAILAALMCAPRSVYSWDLVIQRVGNKLFFDKRDGSPLDLLSVHETSQEPLPEAKDDINSAHSLGVEAAYINQNFAQQVLVRNGKRVTFDEPIPNVNEGEENASIAYRYRRWKLDDSMYLVARCELQSTVDLNNQRSFLTLNALNEFDPKYSGVDWRLKLETQRGAVLANELKNNGNKLAKWTAQALLANADMMKIGFVSRVHPRDHYNHVILSVLGYKPKDFAGQINLNTNNMWGIVKSIVDLCMKLSEGKYVLVKDPSKPQVRIYEVPADAFDNDYVEEPLPEDEQVQPSEENADAGAETNGASSTNVAAEDKKSEAEA >scaffold_800582.1 pep chromosome:v.1.0:8:3509580:3509800:1 gene:scaffold_800582.1 transcript:scaffold_800582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMGADEYGFGYLAMIATGCVMARICHTNNCPVGVASQVDSSCKISLPSCSYSLEFC >scaffold_800584.1 pep chromosome:v.1.0:8:3520046:3520568:1 gene:scaffold_800584.1 transcript:scaffold_800584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNLSSHNDVEDTGRCVVIDTPALTEFDFTDHSGDSCSIDNTLCLEQVHIDVYLPSPDLDKFLRSFSAVLFLELGLNDEMILCCRTIKFSRLTMCKILPWDSDWMDSLVPFLKNIPKLKCLIVDYVRIRLTKNFNPC >scaffold_800593.1 pep chromosome:v.1.0:8:3583248:3585051:1 gene:scaffold_800593.1 transcript:scaffold_800593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGIGGNYYWRKKNNINEGSQSEAIVVVFAWMSSEERNLKNHVDLYSSLLWDSLVCHSQFLNMFLPDKAADLASNVVSELVKELKAKPVPLVFASFSGGPNACMYKVLQILEGTCETGLNPDDCRLVRNCISGFIYDSCPVDFTSDLGARLAVHPTTLKMSNPPKPFVWAANGIASSLDYVFLNRFESQRAEFWQTLYSTITMRVPYLILCSENDDLAPYQTIHNFATRLQELGGNVKLVKWNDSPHCGHYRYNQVDYKAAVSEFLSKAVSVYLQKTRSLGREAMKETQGDDEITGPIQSLGQSTSGVNRSFNGTPLVTTDHFFVPTTVGYYVGRDGGYVQDEHKQDLIRLSNTQTDESVKPNGVLGQILFDVYIPKNVEDWDIKLSETGRARRRPGKRFIRRSRL >scaffold_800595.1 pep chromosome:v.1.0:8:3592860:3594879:-1 gene:scaffold_800595.1 transcript:scaffold_800595.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MN15] MTVAHSHRFSTAVNPINISLLSKQLLQLGRTNNNNSSTFSEISHQRELLVSSLISKLDDCINLNQIKQIHGHVLRKGLDQSCYILTKLIRTLTKLGVPMDPYPRRVIEPVQFRNPFLWTAVIRGYTIEGKFDEAIAMYGCMRKEEITPVSFTFSALLKACGSMGDLNLGRQFHAQTFRLRGFCFVYVGNTMIDMYVKCGSIVCARKVFDEMPERDVISWTELIAAYARVGNMESAADLFESLPTKDMVAWTAMVTGFAQNAKPQEALEYFDRMEKSGIRADEVTVAGYISACAQLGASKYADRAVQIAQKSGYSPSDHVVIGSALIDMYSKCGNVEEAVNVFVSMNNKNVFSYSSMILGLATHGRAQEALDLFHYMVTQTAIKPNTVTFVGALTACSHSGLVDQGRQVFASMYQTFGVEPTRDHYTCMVDLLGRAGRLQEALELIKTMSVEPHGGVWGALLGACRIHNNPDIAEIAAEHLFELEPDIIGNYILLSNVYSSAGDWGGVLSVRKLIKEKGLKKTPAVSWVVDKNGQMHKFFPGNLNHPMSKKIQDKLEELVERLTALGYQPDLSSVPYDVSDNAKRLILIQHTEKLALAFSLLTTNRDYTIKIMKNLRMCQDCHMFMRLASEVTGRVIIMRDNMRFHHFRSGACSCGDFW >scaffold_800603.1 pep chromosome:v.1.0:8:3640537:3641294:-1 gene:scaffold_800603.1 transcript:scaffold_800603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTDSPVIDLTVNGTKLSFQQDNGSMHVGTSVWPCSLILSKFAERWSTLDSSSSSSSTTTSPNPYAELFDFRRRRGIELGTGCGVAGMAFHLLGLTEIVLTDIAPVMPALKHNLKRNKTALGKSLKTSIVYWNNRDQISALKPPFDLVIAADVVYIEESVGQLVTAMELLVADDGAVLLGYQIRSPEADKLFWELCDVVFKIEKVPHEHLHHEYAYEETDVYIFRKKVKKNDAESES >scaffold_800604.1 pep chromosome:v.1.0:8:3643924:3646448:-1 gene:scaffold_800604.1 transcript:scaffold_800604.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MN23] MTSEVLQTISSGSGFAQSSSTLDHDESLINPPLVKKKRNLPGNPDPEAEVIALSPKTLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKRVYVCPEKTCVHHHSSRALGDLTGIKKHFCRKHGEKKWKCEKCAKRYAVQSDWKAHSKTCGTREYRCDCGTIFSRRDSFITHRAFCDALAEETAKINAVSHLNGLAAAGAAGSVNLNYQYLMGTFIPPLQPFVPQPQTNQNHHHQHFQPPPPSSLSLWMGQDIAPPQPQPQDYDWVFGNAKAASACIDNNNTHDEHITQNANASLTTTTTLSVPSLFSNDQPQNANANSNVNMSATALLQKAAEIGVTSTTTAATNDQSTFLQSFPLKSSDQTTTYDGGEKFFALFGSNNNIGLMSHSHNHQEIENPRNDVTVESALDELQNYPWKRRRVDGGGGAGGGQTRDFLGVGVQTLCHPSSINGWI >scaffold_800616.1 pep chromosome:v.1.0:8:3713085:3714223:1 gene:scaffold_800616.1 transcript:scaffold_800616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEKKRKKTSTTKKPSLQSIENPSLPDDLVLSCCTESCLYVCLLFPPDPNPCWSTLWKKLDRTSTEYIIKKKNKSSEYVLATVPIPHSPPACRSGLVAVGSDIYNMRRHLAPSMHVERMYPSANVVDEKIYVAGGCPNGDLSIEVFDAKTQIWNLVPSPYWDCGMGVYIQLSIKGRFHLMTGREGMAYNPMESRWDQVEQEMGLNWFCCSAVENVLYSYYRGEFKWYDNKVQCWRSLKGLEGLPKFVTYSRVKLADYGGNMAVLWDKYAPASGYMDKMIWCAEISLERRNSEEIWGKVKWFDAVLKVPKSYEFVHDLAATV >scaffold_800617.1 pep chromosome:v.1.0:8:3714941:3717888:-1 gene:scaffold_800617.1 transcript:scaffold_800617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASLYRRSLPSPPAIDFSSAEGKLIFNEALQKGTMEGFFRLISYFQTQSEPAYCGLASLSVVLNALSIDPGRKWKGPWRWFDESMLDCCEPLEVVKEKGISFGKVVCLAHCSGAKVEAFRTSQSTIEDFRKFVVKCTSSENCHMISTYHRGVFKQTGTGHFSPIGGYNAERDMALILDVARFKYPPHWVPLKLLWEAMDSIDQSTGKRRGFMLISRPHREPGLLYTLSCKDESWIDIAKYLKEDVPRLVSSQHVDSVDKIISVVFKSLPSNFNQFIRWVAEIRITEDSNQNLSAEEKSRLKLKQLVLKEVHETELFKHINMFLSSVGYEDSLTYAAAKACCQGAEILSGSPSKEFCCRETCVKCIKGPDDSEGTVVTGVVVRDGNEQKVDLLVPSTQTECECGPEANYPAGNDVFTALLLALPPQTWSGIKDQALMHEMKQLISMASLPTLLQEEVLHLRRQLQLLKRCQENKEEEDLAAPAY >scaffold_800624.1 pep chromosome:v.1.0:8:3760298:3762375:-1 gene:scaffold_800624.1 transcript:scaffold_800624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLFLSLTLAVLFTGMVSARDWNILNQLKGTTTTTKTSQTGLTSLKGPNLNGYCESWRVNVELNNIRDFLVVPQECVWFVQKYMTSSQYEDDVERAIDEAILYLGKTCCEKKKCDGMDAWIFDIDDTLLSTIPYHKSNGCFGGEQLNTTKFEEWQKLGKAPAVPNMVKLYHEIRERGFKIFLISSRKEYLRSATVENLIEAGYHGWSNLLLRGEDDEKKSVSQYKADLRSWLTSLGYRVWGVMGAQWNSFAGCPVPKRTFKLPNSVYYVA >scaffold_800626.1 pep chromosome:v.1.0:8:3773912:3774239:1 gene:scaffold_800626.1 transcript:scaffold_800626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQSLKRLQFRRWGKRFGSSTTASGTLGNSEERTIVAFAFSCCGKPRVLVKQFVWRLKSRLRWSRKSDHNNIQCSYDLRSYHLNFDDGWSRRR >scaffold_800631.1 pep chromosome:v.1.0:8:3814774:3815147:-1 gene:scaffold_800631.1 transcript:scaffold_800631.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MN46] MGSVSRPRSDSELGFSRLLVLSVALFFCSDCFSFAGLLVFSVVRLIRSWVCSLLRGLKLFIKEGDEAERKRRKRFQIL >scaffold_800634.1 pep chromosome:v.1.0:8:3826055:3828584:1 gene:scaffold_800634.1 transcript:scaffold_800634.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein [Source:UniProtKB/TrEMBL;Acc:D7MN49] MATPYPGATQVGSYFVGQYYQVLQQQPDLIHQFYSEPSRAIRIDGDSTETANTLLHIHNMVMSLNFTAIEVKTINSVESWEGGVLVVVSGSVKTKEFTNRRSFVQTFFLAPQEKGYFVLNDIFQFVDEGTVYYHQPSYLSETKHEAQLNPPSHHPEPQVPDYVLEEEARDYVNAVQIKDDLVDKYSLQEDQHQPQHEVYEDEVAIEETPREEVAVDVVHEHRAAPVEEPVGEKSKMSYASILKVAKEAAAVPVVATQPSYNKNSQDINEWDQPRRTPSPQLAAPLAPVQQSNASSTYVSDYGAEAEDGSGFEDFEFKSVYVRNLPSDISASEIEEEFKNFGTIKPDGVFLRTRKDVMGVCYAFVEFEDMTSVENAIKASPIYLGGRQVYIEERRPNPAGVRGARRGGGRGRGGYPTEAPRGRFGARGSGRGNQDGGDYRPRGNGYYRGGGR >scaffold_800652.1 pep chromosome:v.1.0:8:3938933:3939280:-1 gene:scaffold_800652.1 transcript:scaffold_800652.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNK5] MNFNFDTPPNFPSDFHDSSSSSDDNENMEMINNLEQEEQAIHLAIANNNSIIQYLFNQQNNNAIHGSSVQGRSFVHRDRETAHASLFNDYLSETPVYNRR >scaffold_800655.1 pep chromosome:v.1.0:8:3945626:3947017:1 gene:scaffold_800655.1 transcript:scaffold_800655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGLKCSPQETNENVEENHYGWANTSQRHTSEELLDILRETNRDSGDERFLLAMLLLTECIFLNMFKGNRFPAAHLKRAQDVHQFLNYPWGIDAFKVLLSSNKSNVPCKLLKGKYDIHGYLLALHLWIQESIPVLQSSLSRVSFLEPRTTFIYERYTSTTTPQIPQIENLEALNNDPSLQRDTEDGGVNAENREDSRPTPAQDYSGGLILMEEVEVFYKNEWNKGEVRAIMTDSIHVRIDELDQDYVFTLENVS >scaffold_800659.1 pep chromosome:v.1.0:8:3956505:3961154:-1 gene:scaffold_800659.1 transcript:scaffold_800659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRQMKDSSETHLVIKTQPLKNHNPKTVQNGKIPPSPVTTPATVTQSQASSPSPPSKNRSRRRNRGGRKSDQGDVCMRPSSRPRKPPPPCQTTSAVVSASTAGEIVAVSHQMQMGVRKNSNFAPRPGFGTLGTKCIVKANHFLADLPTKDLNQYDVTITPEVSSKSVNRAIIAELVRLYKESDLGKRLPAYDGRKSLYTAGELPFTWKEFTVKIVDEDDGIINGPKRERSYKVAIKFVARANMHHLGEFLAGKRADCPQEAVQILDIVLRELSVKRFCPVGRSFFSPDIRTPQRLGEGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSKPLSDSDRVKIKKGLRGVKVEVTHRANVRRKYRVAGLTTQPTRELMFPVDENCTMKSVIEYFQEMYGFTIQHTHLPCLQVGNQKKASYLPMEACKIVEGQRYTKRLNEKQITALLKVTCQRPRDRENDILRTVQHNAYDQDPYAKEFGMNISEKLASVEARILPAPWLKYHENGKEKDCLPQVGQWNMMNKKMINGMTVSRWACVNFSRSVQENVARAFCNELGQMCEVSGMEFNPEPVIPIYNARPDQVEKALKHVYHTAMNKTKGRELELLLAILPDNNGSLYGDLKRICETELGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEESSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDKSSTDRSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPEIMQDNGSPGKKNTKTTTVGDSGVKPLPALKENVKRVMFYC >scaffold_800672.1 pep chromosome:v.1.0:8:4068699:4069712:1 gene:scaffold_800672.1 transcript:scaffold_800672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGYAKRRVSEPNQAAGSSRRSKVLRVEKKPKTVSIKNQMRSVERFLRKQDAHTRLAVERKIFLRNRKIKFFERRKIERSIRRLEKLQRTSSAHVGDTDIAEQLSKLKEDLEYVRFFPKNEKYVSLFTGAEDSEVIEKRGQMRKQIKANIIVAAX >scaffold_800680.1 pep chromosome:v.1.0:8:4119491:4119937:-1 gene:scaffold_800680.1 transcript:scaffold_800680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNM9] MSIVTVRNVEAKRLLLEEIPQIVQHHEGSPQVVQLQDDDCMEGCHMRCIPNNRITPCLCNIRYYCVYINWHVFARSKIILMDGMLCQVFFACDLPVA >scaffold_800689.1 pep chromosome:v.1.0:8:4199508:4200138:-1 gene:scaffold_800689.1 transcript:scaffold_800689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVCPDSAGEGMKTSWPELVGRRGEEAKEIIDRENTKVTAEIISENAVVLTVVVCDRVYVRVNDNGIVTRTPVVG >scaffold_800693.1 pep chromosome:v.1.0:8:4220429:4220882:-1 gene:scaffold_800693.1 transcript:scaffold_800693.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MP51] MEIERSSSSTSSETGAVRHRRTASSSVSTVTRRMYECTFCKRGFTNAQALGGHMNIHRRDRLNKAKVQNDADVALSQTHRCFHVASDRGGYEQVDSVVLRTTTSNYIQHLRIGSMATRRENVVVEGDEIDLELRLGL >scaffold_800694.1 pep chromosome:v.1.0:8:4223030:4228621:-1 gene:scaffold_800694.1 transcript:scaffold_800694.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MP52] MAIVDDSEKLITESEAVSSSVDGKIVADTPDFIDESSLVVRTTTGVRISPHFVEQSLVDSDGSKSDFSGVVAPAVTLPAKDEVISDGFASVNKEIVESDSFRADSLETRVKEEPDLDVENREEAMIIDSIGNSVVETLPSASGDDCNVQVEVVEPELLVENLVVVKEDEVFVDSIAKSVVDKEEEMIVDSIENSVVEIVSSASGCDCNVKVVVVEPELCVETLVSVKEEDMSVDSIMESVVETVSRGSDNKCVDVKVKEEPDLDTKLEEDSVVLEKKDEVIKVQEDQPSEINGLLQENKKVDQEIVLFSSGDSDGASAKRRKIEMESSVPIGVQSCILAPTPLRVVKPEKLDTPEVIDLESEKSYTHVKMEPVEEIKAEAVKMSSQVKEMKFSREQKPVFVKKEPVEAKKVKVEDGDFPVEKDWYLVGRSLVTATSTSKGRKLEDNEIVNFTFSSVANWKVPNIVRFSTKRCGEIGRLPMEWSNWAVSLLRSGKVKMLGRCVAAPPFLTMMQEIMLYVSFYIHISIFTDVSKSTWRIGSSPNIESTLHPLLQLFKHLTIKPYQKAEFTPEELNSRKRSLNLEDDFDERAALLAIAKRRKGCQQSLEQNKDEEEAPDSYMNRVVGAADSYNLEEMEAPSTLTCNLRPYQKQALYWMSESEKGIDVEKAAETLHPCWEAYRICDERAPSIYLNIFSGEATIQFPTATQMARGGILADAMGLGKTVMTIALILARPGRGNPGNEDGLAADVNADKRKRKESHTALTIVKAKGGTLIICPMALLSQWKDELETHSKPDTVSVLVYYGGDRTHDAKAIASHDVVLTTYGVLTSAYKQDMANSIFHRIDWYRIVLDEAHTIKSWKTQAAKATFELSSHCRWCLTGTPLQNKLEDLYSLLCFLHVEPWCNWAWWSKLIQKPYENGDSRGLKLIKAILRPLMLRRTKETRDKEGSLILELPPTDIQVIECEQSEAERDFYTALFKRSKVQFDQFVAQGKVLHNYANILELLLRLRQCCNHPFLVMSRADSQQYADLDSLARRFLDNNPDSVSQNAPSRAYIEEVIQDLRDGNSKECPICLESADDPILTPCAHRMCRECLLTSWRSPSCGLCPICRTILKRTELISCPTDSIFRVDVVKNWKESSKVSELLKCLEKIQKSGSGEKSIVFSQWTSFLDLLEIPLRRKGFEFLRFDGKLAQKGREKVLKEFNETKQKTILLMSLKAGGVGLNLTAASSVFLMDPWWNPAVEEQAIMRIHRIGQKRTVFVRRFIVKDTVEERMQQVQARKQRMIAGALTDEEVRSARLEELKMLFR >scaffold_800695.1 pep chromosome:v.1.0:8:4233722:4233951:-1 gene:scaffold_800695.1 transcript:scaffold_800695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDKKVLKGEISKFDLEGKVETYDHMDHCATTVHPRGDGIVTWLMDRFAGEDAFSFRNP >scaffold_800697.1 pep chromosome:v.1.0:8:4244548:4244754:-1 gene:scaffold_800697.1 transcript:scaffold_800697.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MP55] MGLNGESGSGGADQTVQADQVEHMRIKQIWWNKCGSSGADQTVQADQAEHMRIK >scaffold_800698.1 pep chromosome:v.1.0:8:4244990:4245296:1 gene:scaffold_800698.1 transcript:scaffold_800698.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MP56] MSEAMDDDIPSSDSSGANSAASGEGYIADFSEGANSADSGNISMTNGEGDAGDYYVYTPSPTPYYVYNLQTESQNLPGIRSALDCFI >scaffold_800702.1 pep chromosome:v.1.0:8:4277955:4279249:1 gene:scaffold_800702.1 transcript:scaffold_800702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKSGEIGRLNELKAFVSSKTGVKGLVDTKITEVPRIFHVPSSTTISNNKPSDISSFNLTVPIIDLGDGNISSTRNVLVSKIKEAAENWGVFQVINHGIPLTILEEIKEGVRRFHEEDPEIKKQYFAKDFNKRFAYNTNFDIYHSSPMNWRDSFTCYTCVQDPLKPEEIPLACRDVVIDYSKHVMELGGLLFQILSEALGLDSEILKNMDCLKGLLMLCHYYPPCPQPDLTLGISKHTDNSFITILLQDQIGGLQVLHQDSWVDVTPVPGALVVSIGDFMQLITNDKFLSVEHRVRANREGPRISVACFVSSGVFPNSTVYGPIKELLSDENPAKYRDITIPEYTVGYLADIFDGKSHLSKFRI >scaffold_800705.1 pep chromosome:v.1.0:8:4304405:4304619:-1 gene:scaffold_800705.1 transcript:scaffold_800705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIGYRCLSISANIGVGPGVRPISCIILEDGPSILSDVWLAVQGPRNLHMGMAIKK >scaffold_800707.1 pep chromosome:v.1.0:8:4335959:4336401:1 gene:scaffold_800707.1 transcript:scaffold_800707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGGRGGGAEHGKYRGVRRRPWGKYAAEIRDSRKHGERVWLGTFDTAEEAARAYDQAAYSMRGQSAILNFPHEYNMGSTGGSSSTAMAGSSSSSASASSSSREVFEFEYLDDSVLEELLEEEEKPKKGKKK >scaffold_800711.1 pep chromosome:v.1.0:8:4360347:4361954:1 gene:scaffold_800711.1 transcript:scaffold_800711.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MP68] MAPSECREEGADVMRRFDRTYMPLLLMKLELKIRSLCGLNKPKCIECGNVAPASGDSNADFHVEDSKITLNVCLSKQGEGGEIFFAGTQCKKHMDTDPKPENARRDAEQQASQTRIANLERLVMYWKESDPAFAAFVASQPQPTAPANTQAANATATNATATANAPASAPTGTVAATTTPSSSF >scaffold_800716.1 pep chromosome:v.1.0:8:4409906:4410314:1 gene:scaffold_800716.1 transcript:scaffold_800716.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MP69] MKRNGSPPVLLQRAISNELEKSLEVSSGGFSALFALFALLLDASFRFEA >scaffold_800717.1 pep chromosome:v.1.0:8:4415991:4418004:1 gene:scaffold_800717.1 transcript:scaffold_800717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTTLLGPPSVTGDSQILKPVTIHSPETPVSDENSLISQTATLNLEEPPPMGLTENFSPTFLSSGNPCLDFFFHIVPDTSPDDLIKRLAISWSHDPLTTLKLVCNLRGVRGTGKSDKEGFYTAAFWLFKNHPKTLALNVPALVDFGYFKDLPEILFRILEGQQMERGKSRVWRKRVQRKFKGKSEKRDEISGDMEDRILENVEEIGGSVDKVKARALRKQREFEKAKKALERFNSDANYRLLFDRIADLFAVMLKSDLKCLNSNELNKIGLASKWCPSVDSSYDKTTLICEAIARRMFPREEYKDIEEAHYAYRIRDRLRKEVLVPLHKALEFPELFMSAKEWNLLKYNRVPSVAMKNYKKLFEEHDSERFSQFLEDVKSGKKKIAAGALLPHQIIKQLEDESGSEVGAEVAELQWARMVDDLAKKGKLKNSLAVCDVSGSMSGTPMEVCVALGLLVSELNEEPWKGKVITFSENPQLHIVTGASLREKTEFVREMDWGMNTDFQKVFDRILEVAVENNLTDEQMIKRLFVFSDMEFDDAMANSHSEVSYRLSVEDRLKIKKQRSKEKWETDYEVVQRKYKEKGFQNVPEMVFWNLRDSSATPVVANQKGVAMVSGFSKNLLTLFLEEGGIVHPEDVMWLAIKGEEYKKLVVYD >scaffold_800729.1 pep chromosome:v.1.0:8:4520884:4523571:1 gene:scaffold_800729.1 transcript:scaffold_800729.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphodiester phosphodiesterase [Source:UniProtKB/TrEMBL;Acc:D7MP85] MALEAMTLSLSNSAMFSSGVVEDDKKQEAFVFPKFVVMGHRGFGMNMLQSPDEKMKFIKENSILSFSVAADFPIDFIEFDVQVTRDGCPVIFHDIFMFTQEQGVIIEKRVTEMALHEFLSYGPQEDGTNVKPMLRKTKDGRVFEWTVEKDAPLCTLEEAFVKVKHSLGFNIELKFDDNTVYGEEELRQTLDNILKVVNEHSKNRPIIFSSFHPHAVRLIRNMQRNYPVFFLTNGGCEIYKDVRRNSLDEAIKLCKESGLQGIVSEVKAILRTPNAITRVKDSKLSLLSYGQLNNVVEVIYLQYLMGVEGVIVDMVKDISEAIANIEVTNKDDCEDDDGKKCQIRFGEERKKVKISKDEITLLTKFVPKLLQQ >scaffold_800730.1 pep chromosome:v.1.0:8:4525793:4526202:-1 gene:scaffold_800730.1 transcript:scaffold_800730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIVLFSTLALLILVLSCASNIKAESLEDHLPPDEHLGLSHATNLIGFCQECAHHCLRKKRVIGDCRKFVCHCSKRTIGVGL >scaffold_800731.1 pep chromosome:v.1.0:8:4530826:4531351:-1 gene:scaffold_800731.1 transcript:scaffold_800731.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MP87] MPPSSPKPPTTGKRRHPRRNQTQSAENHLRKQRRPKQPPTKRLQQPPRLSLATRTSTAPIHHHEPRSDTKDSISGEDRQTDERKLERTTTGERSPPRRRKGKPERQTGKPEKEILERGERVSRERERWSFKLNRIIFWFGLVQKYANSVQLGCKEDRKNSS >scaffold_800733.1 pep chromosome:v.1.0:8:4545444:4546697:1 gene:scaffold_800733.1 transcript:scaffold_800733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGYQWTRRCGNDAVEDIFVNEPPLFFLPQEQHHRLMPNEDSITNKFVTSTLYSGPRIQDIANALALVEPLSHPVPEISKSTVPRLERSTLNKVDKYTLKVKNNSNGMCDDGYKWRKYGQKSIKNSPNPRSYYKCTNPICNAKKQVERSIDEPNTYIITYEGFHFHYTYPFFLPDKTHQWPNKKTKIHKYYAQEMNKGSQTQEESKEAQLGEPANQNQPVHKAQENTPVNLEDELFFPVDQCRRQQGLLEDVVAPAMKNIPTKDSVLTASWSSLSSYTSSSLSPSSLCWSPNFDIVLSDEILELIGSRKF >scaffold_800734.1 pep chromosome:v.1.0:8:4551370:4552589:1 gene:scaffold_800734.1 transcript:scaffold_800734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGYQWTRRCGNDAVKDIFVNEPPLFFLPQEQHHRLMPNEDSITNKFVTSTLYSGPRIQDIANALALVEPLSHPVPEISKSTVPRLERSTLNKVDKYTLKVKNNSNGMCDDGYKWRKYGQKSIKNSPNPRSYYKCTNPICNAKKQVERSIDEPNVTHISSPTKTHQWPNKKTKIHKYYAQEMNKGSQTQEESKEAQLGEPANQNQPVHKAQENTPVNLEDELFFPVDQCRRQQGLLEDVVAPAMKNIPTKDSVLTASWSSLSSYTSSSCSSSRTSSPPLSPFLN >scaffold_800736.1 pep chromosome:v.1.0:8:4565395:4565783:-1 gene:scaffold_800736.1 transcript:scaffold_800736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNKLGLRREQLSTGFLKVITAVAFALENVDDDDDGCVNEMIIALGGFIFLMCTDIEFKYTLFLKTKWLLVVISNVFLFVVLGSYL >scaffold_800738.1 pep chromosome:v.1.0:8:4575622:4575814:1 gene:scaffold_800738.1 transcript:scaffold_800738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVDLLFFYALSIAQTTGPACLYMDGAFAAVVTVLRTCLDAVHLWHL >scaffold_800740.1 pep chromosome:v.1.0:8:4603979:4605709:1 gene:scaffold_800740.1 transcript:scaffold_800740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNAKPSFQWELEHLISFGTSSAEIPRKLKPMEWEIDGFDCTSLYSSSFAAVAYGGSSGSDLANAFSKSSKSTSSSSAEVRTHNFTSEAGESLPGELGSSEEFAKGIDTSPSRELSFGSGDPVLGLKLGKRTYFEDFWEVENAKGLGLPVSLASSSVSPVKKSKSIPQRLQTPHCQVEGCNLDLSSAKDYHRKHRICENHSKFPKVVVSGVERRFCQQCSRFHCLSEFDEKKRSCRRRLSDHNARRRKPNTGRTYDGKPQVDFVWNRFALIHPRSEEKFLWPSSKPVPSRGLMPQPAKTETSNELFTEHSRFGLLDPKTKTARTELFNKEKVTISSHMGASQDLDGALSLLSNSTTWVSSDQPRRFTLDHHPTSNLQPVANRSATQLNSVSGYWQPDPPAVEGPTALHRNRVGQFNENCFSLNQFYN >scaffold_800741.1 pep chromosome:v.1.0:8:4608110:4608503:1 gene:scaffold_800741.1 transcript:scaffold_800741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSNLLLEEPIMMASILKPFKSIVGTLGPKYRSVEALSGCLKTGMSGTNHRPNFFVCFPVC >scaffold_800742.1 pep chromosome:v.1.0:8:4614114:4614448:1 gene:scaffold_800742.1 transcript:scaffold_800742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIVITQLATGLSVLAGAVFIKSVLDQKPMAGQFPRCPTCNGTGRVTCFCSRWSDGDVGCRRCSGSGRAVCSNCGGSGTGRPLPAQITVQPPNRPY >scaffold_800743.1 pep chromosome:v.1.0:8:4615696:4616133:1 gene:scaffold_800743.1 transcript:scaffold_800743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEETSTRPEFPLGRVKKIMKLDKEINKINSEALHVITYSTELFLHFLAEKSAIVTAEKKRKTVNLDHLRTAVKRHQPTSDFLLDSLPLPAQPVKHTKSVSDKKAPPPPIGTRRIDDFFSKGKEKTDDSA >scaffold_800745.1 pep chromosome:v.1.0:8:4625492:4626611:-1 gene:scaffold_800745.1 transcript:scaffold_800745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRCHGWPFDVMTYNRNMFTISSLNPLIHEWSTCVKILHVWHELDDVSNALNLILMDNQGTKIRAVIRESLVTRFSPLLIEGLWMILRKFSLIPDVDLVRTTPHRFKIQFSPDTCVEYLNYLACDYDYFNFARFRDIRTGISNPYICVDLVGKVDNVNDIQLVQMVGSSKDISVVYFDLIDTEHTRLSIRLTGETAVRFHRQWKVNTDDVVICNIRFAKIVATSNRMWHCTNIGCSKIMVDAPLPGVVELKEWWADYCGSPRAGVKEARLA >scaffold_800746.1 pep chromosome:v.1.0:8:4626985:4628080:-1 gene:scaffold_800746.1 transcript:scaffold_800746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFTSSSIERYNDFSRLNPAIVGWHVHVKVLRRFHTDDYISKGGLGLLLVDDKGNQIEALICSPLTSHYSTFIEEDEFYTIMNFRVVENSGFTKLTRSDFKIMFYDGTIVKEASSFSQDDYIVATPFGAIFNGYHDTSYLITLIGRVVESSDLTNVTDEPSVIGGYRYSFTIEDQEKKTLKCCAYGGVAIECHDRFRNVIGTDVTCVLRWWKVYQLLDGWRHVRIYSHPPYSNILVQSDADNVEHS >scaffold_800758.1 pep chromosome:v.1.0:8:4675450:4676101:1 gene:scaffold_800758.1 transcript:scaffold_800758.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAIKLDVHVKAFSQARSLGFFSTVVISLVREVEEFIINDKDDSVTSLRSYPDSYLHDPLISLKLPSFKPNYVYQLLHTQLHDHVMSEQISYKIVEAQQRQRSQSFYFPQQQPLFMIVSVKLTHKVYNVVSCNSALDQESQEEEEESKTCAICLENLSRSEDYCEMPTCSHSFHEPCLTQWLTRDNNSCPLCRKPVDKQPE >scaffold_800762.1 pep chromosome:v.1.0:8:4700120:4700411:1 gene:scaffold_800762.1 transcript:scaffold_800762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKIWWLFMKINIIHIKSLTRHQLKEDEEAALIFPKDPPWFKAWMVPVMMLFVFFVFSIVGICRRCRNCRRGENSPSIHPIT >scaffold_800763.1 pep chromosome:v.1.0:8:4711581:4711770:1 gene:scaffold_800763.1 transcript:scaffold_800763.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPB5] MGGEKRTQNRAADQLSKFNMAQNVSFVYHHFVPHVLTNILHEDFCNSRS >scaffold_800764.1 pep chromosome:v.1.0:8:4734675:4735797:-1 gene:scaffold_800764.1 transcript:scaffold_800764.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPB7] MRLFSAATADRDSLGKTEISLWVYDGGFDCGFKSVSLVILATEVYCRRFQRRFLIQLILESKWSFSGYRRVFKVTEWLSIRVDDRRLLIRLFIESNGIPRGWIHGYRWILKATESLSMIFGDLCLGLMIVFQSMRIWNQEESEGCCVFRFGFKAKQWGLVPGRDLKAYGHILGFLIDVLLALGLFAMVWLDMMVPGGGRYLFRLPLVFCLYWEFEFLEWSLNGIHFLDYDVLSEFEFFLVAVWFWAADQRYFRLTTIEKRRLDRGVFNRWLFNNRNGRALISFQRASVDGHNFSKRYDVGRLCLDFECMELSFSGFNKRFYYGLISGFLWLVMDTIRVKIFRFNFDWVSLKKNQRNEAIT >scaffold_800768.1 pep chromosome:v.1.0:8:4766356:4766971:1 gene:scaffold_800768.1 transcript:scaffold_800768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEALNSPRLVDDSSRFNGVEQWTKGKKRSKRSRTDHHNNRLTEEEYLAFCLMLLARDGGDLDSVTAKEKPGYKCGVCYKTFSSYQALGGHKASQQGLYGGGDIDKTLSTAVKSHVCSVCGKSFATGQALGGHKRCHYDSGVSNSEGVGSTSHVSSSSHRRFDLNITPVQEFSLEDEVMSPMAMKKPRLK >scaffold_800770.1 pep chromosome:v.1.0:8:4783746:4784626:-1 gene:scaffold_800770.1 transcript:scaffold_800770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNLNRFNIYGDDFINHRMNHEDLFDSPPSFSSYQNSHASSFSFQINNSHMNYHMMRRNFDSFSGAKYFSIQNNSHITQISFTETITNRYTTIVPTNTLDNFQHEIKRVKRSMDSNTNIWNPVSYPQIFFDNQCEILNPTPLSVIYPRQHSAALHHSDFSSFTSKCNHVPHASRSSKKISKPTNLFERTTYYVHSEEDEKSDDNQYDGRTHSIPYVKYGPYTCPKCNGVFDTSQRFAAHMLSHYNSETNKEKALRFRARNKRKFRKLMAGQKISKQRM >scaffold_800771.1 pep chromosome:v.1.0:8:4787749:4788453:-1 gene:scaffold_800771.1 transcript:scaffold_800771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRWREWWNTMAFPTRRIWNRFTVRVGFRHSGLLRLQNDVSSCEYEDIHIMWNLLHKNEDLTRTAPIQQQQQRKKPCWNLLGSYLCQRF >scaffold_800772.1 pep chromosome:v.1.0:8:4793585:4795319:-1 gene:scaffold_800772.1 transcript:scaffold_800772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVGLSKRFFSDHRSLNGINNALVKTVFSGRKPIFGFYGRSFHELRKTGNFVVPRVFSVSRNLTTNASSSSKQPAFLRWYLRKLESHPFMTKSITTSLIYMAADLTSQMITMQPMGSFDLIRTARMASFGLIFLGPSQHLWFSYLSKILPKRDVLTTFKKIMMGQVLFGPVSNTVFYSYNAALQGENSGEILARLKRDLLPTLKNGLMYWPVCDFVTFKYVPVHLQPLMNSSCAYIWTIYLTYMANQTKADS >scaffold_800773.1 pep chromosome:v.1.0:8:4795707:4801527:1 gene:scaffold_800773.1 transcript:scaffold_800773.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor [Source:UniProtKB/TrEMBL;Acc:D7MQ05] MDPSIFKLLEEDEDESMHSGADVDAFQAALNRDIEGSMTTTLPLVTNPGNNHSPNQQFATWKNGIGDSNINVQTQHSLENTQMKEQQGSATAIENQHQHDLKHANESHLQHNQPQNLHRAGQLWENPSQVPQTTGLPSSEKNPTGNESDRSHNQESESQYMKLQKMSSQQARGVEPPVNPINVNPMNRNPKQVPFAALLPTLMNQLDKDRALQLRTLYARLKKNEIPKEGFTRHMKDIVGDQMLRMAVSKLQQVNYNQGKIGIQAPSTEINNQKSQSDPRAVHLNQLPSSASGTLGSSVPVQGLTKHPQHQMQHPPSSFSMYTTSGSFHTYPGPNTNASGSSLRPHLHDSHMRHVTHNQPMGSTGLGGPPQSTTNMMTMPKFERPSSVNDPNRVQGGATSHFQNSSSPLNSAPGQGSSVSNVKQELVDQSFEKNNAASVTSNEDLEKESSRMVLSTPNNMGHASSVSPSMTTQLDASTTMNSRGPLGSSQGGVNARMPPKKPSVGQKKPLETLGSSPPPPSKKQKVAGNSMDQSIEQLNDVTAVSGVNLREEEEQLFSGAKEDGRVSEASRRVVHEEEERLILQKNPLQRKLAEIMAKAGLKQISNDVERCLSLCVEERMRGLLSHIIRLSKQRVDAEKSRHRTFITSDIRLQINEMNQKVKEEWEKKQAEAEKLKKPSESEEGDGGVDSEKEKEDNRSKGVKVNKEDDDKMRTTAANVAARAAVGGDDAFLKWQLMAEARQKSVSEAGKDGNQKTTSGGGKNSKDRQDGGRRFSGTGGRRVGKNQGSSLQPKVVRTISVKDVVAVLEREPQMSKSTLMYRLIQ >scaffold_800774.1 pep chromosome:v.1.0:8:4803973:4805762:-1 gene:scaffold_800774.1 transcript:scaffold_800774.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MQ06] MDHFSCKNTNKMARTHVVVGSRPCCFFCAMEEKDPCVRKAWLELSLRDMHMTRDDTELALTLSFIWRYAMSDPENPELPTLGVFECMTRLMKKGLEDVEWVMTGQNVYVPYYAAHIIGSYTMKNSEFAAKAVESGVIAPLLELMRGKMSWVEQRVVVRALGHLASYETTFEAVAAYENEVMRLAMEIATTCVDVVYEEFVSVQEKGRVRYHSELLTRGLGGLEMEDRKAEEWASQLQCWSLHLLNCFAYKQRCISLICNKTFLKELSQMWGGLVNQTSPAGIGLIRIICYSKQGRRHVSGSREMILSLCNLSRSSDDWQYMGIDCLLLLLKDQETRYKVLEMSLFYLVDLVELKALNVRPNLGDRITKVLVMHYNTKKGCVYSHKAQKALKELWRNKVERRKRERKFMSKNKEFLTETSVVVNLIKQQANQLLCVGDIEGAIKCYNEAIGLCPLKLRRKRMILYSERGECYLLLGDVDAAISDCTRALCLSEPVNSHGKSLWTRSRAYDIKGLSRESLMDCIMFVNGRCFRGNIPYYAAQMISKQMEATWLFEEARASKLRRMMHKEKYHLTGIFIFLYICV >scaffold_800777.1 pep chromosome:v.1.0:8:4843001:4844671:-1 gene:scaffold_800777.1 transcript:scaffold_800777.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCA3_1 [Source:UniProtKB/TrEMBL;Acc:D7MQ09] MADEKENCVRMTRAATKRKASMEATIDEERINKKRVVLGDLPNLSNIKKPRKATKILTKQKKTVSIPTLVTLNSDIDTRSDDPQMCGPYVTSIFEYLRQLEVEAKSRPLVDYIEKIQKDITSNMRGVLVDWLVEVAEEYKLLSDTLYLAVSYIDRFLSLKTVNKQKLQLLGVTSMLIASKYEEITPPNVEDFCYITDNTYTKHEIVKMEADILLALRFELGNPTSNTFLRRFTRVAQEDFEMSHLQMEFLCSYLSELSMLDYQSVKFLPSIVAASAVFLARFIIRPKQHPWNVMLEEYTKYKAGDLKECVGMIHDLYLSRKGGALQAIRDKYKQHKFKCVATMPVSPELPLTLFEDVNI >scaffold_800778.1 pep chromosome:v.1.0:8:4848450:4849909:1 gene:scaffold_800778.1 transcript:scaffold_800778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKEQPQPQHQVFINFRGKNLYQSSHRYPGEDEGQGINNLFKRIEDSRIALVAFSSRYTKSRWCLDELAKIKERQDQGVLKSSFIWSIVSNVLKLLDKISSTEQTAKYQPNHLFEQGETSSALGYPKKSILTTPQENLKKTQPRKRIGFNVGGSSIANPANVSQIRSVPSEIYHGNPAWHGLSSGSSRGKSLALYDKVSGQSRLKWLAWFGLRSSLRAKTTH >scaffold_800779.1 pep chromosome:v.1.0:8:4851129:4852281:1 gene:scaffold_800779.1 transcript:scaffold_800779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVHDWSKLCDDLLRSILEGLNTTKDFHRASSVCSNWYTISRTCKRPINLFPWQILFKENSTLLFVPEENKNHEIQHPGIDFSDRYVLTSCSNWLLMIDYNVDFFLINVFTRERINLPSIESSIIGLGQAHFVEPTDIISAKKQACLWIDETTKDYVVAWSYNQYHLFINKKGDDSWSSLEDTKCVYIACNKDYKLYVYTLYSCIKIFDISSDSPEEIVEENPYRNHPFRFRQFSQPWEHYWKQRVAVTSFGEVLMIVSLKGLENKRLFYIYKMNLEKSNWERVDSLGGEMLIFGHGVTIRAPMKDISSLGIKSDSIFFSGEDLWPGSYPYNPNTQLYCGVFDLTTSTITWSKPLDVSFLKISWFVPA >scaffold_800780.1 pep chromosome:v.1.0:8:4866897:4867658:-1 gene:scaffold_800780.1 transcript:scaffold_800780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGRGRGGRGRAPKVSPNRPVANRPTASGTSNRRPSTLPSQYTFTPANPEAPETQQSPVNPVALESQPPTHRDYPPPTQLFQSGDDSPRGSGSHPFRESGSTQVRGSGSVQGRGSVGSIHRLASRSNQPPAPVQPPAPVQSPASTQPAASNRQIPSRQQRPSPQPRASVSHHSSQAQNSHEESEDEEADGESEEDVLRDSTLPEDVLADLHASLLIPGRENFTTVISPNLEPGTTW >scaffold_800782.1 pep chromosome:v.1.0:8:4887311:4888001:1 gene:scaffold_800782.1 transcript:scaffold_800782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTILFAVKTLKSYVIQVENMHPSGASEHDIGIPKFTDNVNIGISNIGNDTVDSTTTQSPKVSSFSINLSSDDGGSNSFQRPIGSKKAKLKRKIDEGNNSFVNTLVSSNEKILDLLRESASTRNKGYEMAQLRMQNQAKKLALKEIEQETKHC >scaffold_800784.1 pep chromosome:v.1.0:8:4901508:4902235:1 gene:scaffold_800784.1 transcript:scaffold_800784.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQ18] MVAAGEEGTAAEEIMEANRSRNRGLFNSSHEDVQLNAKRTLREMGLLLHQNVHGSRAYLRRRKVGGGV >scaffold_800786.1 pep chromosome:v.1.0:8:4912337:4912577:-1 gene:scaffold_800786.1 transcript:scaffold_800786.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQ20] MEKKNVFVVFLILFLVFSSLMFQRVDGRALRLKPFRDINGHDQSSATMKVKKNSSQRLLGKSFAYR >scaffold_800787.1 pep chromosome:v.1.0:8:4913502:4913779:-1 gene:scaffold_800787.1 transcript:scaffold_800787.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQ21] MENKNVFVLCMILLFVGSSLMFERVDCRVLRSKPFRYINVNDHDQSSATMKVKSSSTPLPKIYAYRLASGPSKRGSGH >scaffold_800788.1 pep chromosome:v.1.0:8:4914295:4914562:-1 gene:scaffold_800788.1 transcript:scaffold_800788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNMFVLCMILLLVGSSLMFERVDCRVVRSEPFRVINGHDQSTATKVKRSSCSRRPLMRILASGPGRRGRGH >scaffold_800789.1 pep chromosome:v.1.0:8:4917301:4917560:-1 gene:scaffold_800789.1 transcript:scaffold_800789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKNVFVLCMILLLVSSSLMFERGHCRALRSKPFRDTNDHDQSSATMKVKNSSTSFAFPLASGSSRRGRGH >scaffold_800791.1 pep chromosome:v.1.0:8:4962617:4964953:1 gene:scaffold_800791.1 transcript:scaffold_800791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKLSPMILLLAMIGVSYAIDMSIISYDENHHISTVSSRSDAEVERIYEAWMVEHGKKKMNQNGLGAEKDQRFEIFKDNLRYIDEHNTKNLSYKLGLTRFADLTNDEYRSMYLGAKPVKRVLKTSDRYEARVGDALPDSVDWRKEGAVADVKDQGSCGSCWAFSTIGAVEGINKIVTGDLISLSEQELVDCDTSYNQGCNGGLMDYAFEFIIKNGGIDTEADYPYKAADGRCDQNRKNAKVVTIDSYEDVPENSEASLKKALAHQPISVAIEAGGRAFQLYSSGVFDGICGTELDHGVVAVGYGTENGKDYWIVRNSWGNRWGESGYIKMARNIAEPTGKCGIAMEASYPIKKGQNPPNPGPSPPSPIKPPTTCDKYFSCPESNTCCCLYKYGKYCFGWGCCPLESATCCDDHSSCCPHEYPVCDINRGTCLMSKNSPLSVKALKRTPAIPFWAKSRKHIA >scaffold_800792.1 pep chromosome:v.1.0:8:4966101:4966623:-1 gene:scaffold_800792.1 transcript:scaffold_800792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSSFISTNLNSLVLNHHHQWTSYGTLVSSPFKCKRRFARSIRALQETEGPTPRRLIDIIRSVPEISRNYFKKPSRRTLFGGISLLGGFYVAQTISLSFGALGVNDVIAAVLCVLLTEYVTRFYYSRTTVTFPIALLNNFKMGFTYGLFIDAFKLAS >scaffold_800793.1 pep chromosome:v.1.0:8:4983112:4984177:1 gene:scaffold_800793.1 transcript:scaffold_800793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVLKSLLLLCQPRSQQWRPNLRNYVQKRIPKFLNVISKFPFLIISLIGLILCFYPEDYFSFSLRGEKIGWTCFYIGVTAVAFGSSYYHLHPNDAALLWDPLPFVPCILIPLMAILLPPMYTHSAYWLWACLIFKLDNLSVVLEIVLVFENCRTLGLMVNWWLITMLVPWH >scaffold_800799.1 pep chromosome:v.1.0:8:5080619:5082324:1 gene:scaffold_800799.1 transcript:scaffold_800799.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 16 [Source:UniProtKB/TrEMBL;Acc:D7MQ35] MTSSSSPSRKALSKIACNRLQKELSEWQVNPPTGFKHRVTDNLQKWVIEVTGAPGTLYANETYNLQVEFPQHYPMEAPQVIFVPPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPEKQRPTDNDRYVKNCKNGRSPKETRWWFHDDKV >scaffold_800800.1 pep chromosome:v.1.0:8:5082911:5084228:-1 gene:scaffold_800800.1 transcript:scaffold_800800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:D7MQ36] MAAEGEVIACHTVEEWTEKLKAANESKKLIVIDFTATWCPPCRFIAPIFPELAKKHLDVVFFKVDVDELNAVAKEFDVQAMPTIIFMKEGEVKDTVVGADKNEILTKLQKHTSVAAA >scaffold_800801.1 pep chromosome:v.1.0:8:5090859:5093986:1 gene:scaffold_800801.1 transcript:scaffold_800801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEALTNASAIGDQRQKIEQYKLILSSVLSSNDLLQAQRFIDHILSDDVPLVVSRQLLQSFSQELGRLEPETQKEVAQFTLTQIQPRGVSFEEQALIIREKLAGLYESEQEWSKAAEMLSGIDLDSPMRAVDDNFKLSKCIQIARLYLEDDDAVKAEAFINKASFLVSSSQNEVLNLQYKVCYARILDMKRKFLEAALRYYGISQIEKRQIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRRPEIDAFSEELRPHQKASLPDKSTVLDRAMIEHNLLSASKLYTNIRFDELGTLLGIDPRKAEKIAANMIGQDRMRGSIDQEEAVIHFEDDIEELQQWDQQISGLCQALNDILDGMAKKGLPVPV >scaffold_800803.1 pep chromosome:v.1.0:8:5098496:5098885:1 gene:scaffold_800803.1 transcript:scaffold_800803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFSSQITTLFIVVALVCAFVPVFSVEEAEAKSLWNTCLVKLTPKCALDIIAVVFENGTISDPCCNDLVKEGKMCHETLIKYIADKPMLIAHETEYLKKSDDLWNHCVSISKST >scaffold_800804.1 pep chromosome:v.1.0:8:5102209:5102598:1 gene:scaffold_800804.1 transcript:scaffold_800804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFSSQITTLFIVVALVCAFVPVFSVEEAEAKSLWNTCLVKITPKCALDIIAVVFENGTISDPCCNDLVKEGKMCHDTLIKYIADKPMLIAHETEYLKKSDDLWNHCVSISKST >scaffold_800805.1 pep chromosome:v.1.0:8:5105920:5106309:1 gene:scaffold_800805.1 transcript:scaffold_800805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFSSQITTLFIVVALVCAFVPVFSVEEAEAKSLWNTCVVKITPKCALDIIAVVFENGTISDPCCNDLVKEGKMCHDTLIKYIADKPMLIAHETEYLKKSDDLWNHCVSISKST >scaffold_800806.1 pep chromosome:v.1.0:8:5108920:5109309:1 gene:scaffold_800806.1 transcript:scaffold_800806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFSSQITTLFIVVALVCAFVPVFSVEEAEAKSLWNTCVVKITPKCALDIIAVVFENGTISDPCCNDLVKEGKMCHDTLIKYIADKPMLIAHETEYLKKSDDLWNHCVSISKST >scaffold_800808.1 pep chromosome:v.1.0:8:5390655:5391043:1 gene:scaffold_800808.1 transcript:scaffold_800808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFSSQITTLFIVVALVCVFVPVFSVEEAEAKSLWNTCLVKITPKCALDIIGVVFENGTISDPCCNDLVKEGKVCHDTLIKYIADKPMLIAHETEYLKKSDDLWNHCVSISKSA >scaffold_800809.1 pep chromosome:v.1.0:8:5395301:5395514:1 gene:scaffold_800809.1 transcript:scaffold_800809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFSSQITTLFIVVALVCVFVPVFSVEEAEAKSLWNTCLVKITPKCALDIIWCCL >scaffold_800810.1 pep chromosome:v.1.0:8:5397140:5397528:1 gene:scaffold_800810.1 transcript:scaffold_800810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFSSQITTLFIVVALVCVFVPVFSVEEAEAKSLWNTCLVKITPKCALDIIGVVFENGTISDPCCNDLVKEGKVCHDTLIKYIADKPMLIAHETEYLKKSDDLWNHCVSISKSA >scaffold_800820.1 pep chromosome:v.1.0:8:5506442:5506649:1 gene:scaffold_800820.1 transcript:scaffold_800820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQS5] MIKSRAVKRVKPAPQRVSKFLTRKKPAPHNLREKILDLHPLRLISREPRVTRGLL >scaffold_800821.1 pep chromosome:v.1.0:8:5508954:5509620:-1 gene:scaffold_800821.1 transcript:scaffold_800821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMRTNLEYAQRDAKEWHEAKSFFHHSNCQHQQSSHSVPANRNHVHRWTTPPVGFIKCNYDGSYVRDLTFKGGWILRSDFGRFLGAGQAVGKVTNNPLESEFQALTMAMQSCWSKGYKKVYFEGDNKEVVAIINGKQSNFAAFNWMRDIRYWKNKFDECYFVWTNRQCNKAADILAKAYLPRDSYSHFHSCISSVIANTLLDDSVL >scaffold_800823.1 pep chromosome:v.1.0:8:5584938:5585708:1 gene:scaffold_800823.1 transcript:scaffold_800823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPLGKGLDSGIYKFSWFVLGHAEAVLYVSFIPDGKQLASGLGNTIVRLLDLKSETPLFTFKC >scaffold_800826.1 pep chromosome:v.1.0:8:5602046:5603333:1 gene:scaffold_800826.1 transcript:scaffold_800826.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol carrier protein 2 (SCP-2) family protein [Source:UniProtKB/TrEMBL;Acc:D7MQT2] MANTQLKSDAIMDMMKEHLSSDAGKDLTEKIGLVYQINIAPKKLGFEEVTYIVDLKKGEVTKGKYEGGKVDATFSFKDDDFVKVATGKMNPQIAFIRGAMKIKGSLSAAQKFTPDIFPKPSKL >scaffold_800830.1 pep chromosome:v.1.0:8:5618590:5618795:-1 gene:scaffold_800830.1 transcript:scaffold_800830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERADLPSNTTTALPWLLWEIWKARNSTLYAGKANDPNFVLVTALEASDEWLKH >scaffold_800831.1 pep chromosome:v.1.0:8:5631555:5632114:1 gene:scaffold_800831.1 transcript:scaffold_800831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKKLDANPSTLESVLEQLKSDETNRSKINFILFLADNDPTTGQSWCPDCVRAEPVIYKTLEEFPEEVNLIRAYAGDRPTWRTPAHPWRVDPRFKLTGVPTLVRWDGDSVKGRLEDHQAHIPHLILPLLAPST >scaffold_800832.1 pep chromosome:v.1.0:8:5661181:5662041:1 gene:scaffold_800832.1 transcript:scaffold_800832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPSSMLNLVAEAGVYLTLCCARVASAFGAYLHVLWNIGDNLTTIGCVVTMIWLLSCPPYEHQKRLSLLFVSAVLEGASVGPLLKVAIDVGHSP >scaffold_800835.1 pep chromosome:v.1.0:8:5694662:5696357:-1 gene:scaffold_800835.1 transcript:scaffold_800835.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MQU2] MDSSSSVKIVSKCFVKPKTIPEESKQPYYLSPWDYAMLSVQYIQKGLLFHKPSLDSIDTLLEKLRESLAVTLVHFYPLAGRLSSLTTENPKSYSVFVDCNDSPGAGFIYATSDLCVADIVGAKYVPSIVHSFFDHHRVVNHDGHTLSLLSVQNWTVYEPCDGRRYCLLEVFTAWSEIFQGQESNKNDDLCLKNPPVLKRYIPEGYGPLFSLPYSHADEFIRTYESPVLKERMFCFSSETIRLLKAKVNQICGTTSISSFQSLTAVIWRCITRARRLPLDRETSCRIAADNRGRMYPPLHKEYFGNCLSSLRTAAKAGELMENDLGFAALKVHQAVAEHTSEKVSQMIDQWLKSPFIYHIDRLFEPMSVVMGSSPRFNKYGCEFGMGKGVTLRSGYAHKFDGKVSAYPGREGGGSIDLEVCLVPEFMEALELDEEFMSLVSL >scaffold_800841.1 pep chromosome:v.1.0:8:5759167:5759592:-1 gene:scaffold_800841.1 transcript:scaffold_800841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNTALTIFMVLLVIGMVMEETQGKTCHDYIQAEHCEINQCNQECSTKWKGVGVKGSCEPPGFEPLDQTCLCSFNCGN >scaffold_800842.1 pep chromosome:v.1.0:8:5770174:5770597:-1 gene:scaffold_800842.1 transcript:scaffold_800842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNTALTIFMVLLVIGMVMEETQGKTCHDYIQAEHCEINQCNQECSTKWKGVGVKGSCEPPGFEPLDQTCLCSFNCGN >scaffold_800843.1 pep chromosome:v.1.0:8:5781870:5782474:1 gene:scaffold_800843.1 transcript:scaffold_800843.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:D7MRF1] MGEWVIGAFINIFGSVAINFGTNLLKLGHNERERLALQDNGGGKMPLKPIIHFQTWRVGILVFLLGNCLNFISFGYAAQVNVQSEVNSVMARLDRHVKDAEVLITSGLLQDNGIVVFRFSISSKKEAIFYLF >scaffold_800845.1 pep chromosome:v.1.0:8:5801252:5803186:1 gene:scaffold_800845.1 transcript:scaffold_800845.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:D7MRF2] MFRNQYDTDVTTWSPTGRLFQVEYAMEAVKQGSAAIGLRSRSHVVLACVNKAQSELSSHQRKIFKVDDHIGVAIAGLTADGRVLSRYMRSESINHSFTYESPLPVGRLVVHLADKAQVCTQRSWKRPYGVGLLVGGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFESFGDSSREDLIKDAILAVRETLQGETLKSSLCTVAILGVDEPFHFLDQEAIQKVIDTFEKVPEEEEGEGEAGEGEAVAAAAAPAEQGGGGAGDVAPMEM >scaffold_800848.1 pep chromosome:v.1.0:8:5840174:5840944:-1 gene:scaffold_800848.1 transcript:scaffold_800848.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATHB27 [Source:UniProtKB/TrEMBL;Acc:D7MRF4] MDEIIKPKKEENSKRRRNATAICRETGDHVHYPPTRRTKPKSTRAHHAPPPIIESILKVNHKPHYGECRKNHAADIGTTAYDGCGEFVSSTGEEDSLNCAACGCHRNFHREESIPENGGVTETVLEVLKISSYQFRRIFCSPYGGGKSKGKKESYGGDRVVKDRFGGGDLAAEEEEEEEVGRVKRLKTKFTAEQTEKMRGYAEKLRWKVRPEKREEVEEFCVEIGVNRKNFRIWMNNHKDNN >scaffold_800853.1 pep chromosome:v.1.0:8:5864675:5864872:1 gene:scaffold_800853.1 transcript:scaffold_800853.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRF8] MAKVCPGWNFTSNHQSNDDGRIVIIWKDPASVRVLHQSKQSVTCEVSIANK >scaffold_800854.1 pep chromosome:v.1.0:8:5864913:5865362:1 gene:scaffold_800854.1 transcript:scaffold_800854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLILLLGLWEEISIKSSTDEHSSSTVNSLTPSMTEFRDCLDQMDLFDLRFQGPLFTWSHNQPSAPIAKKLDRILIYHHWLSLFPNSIATFLLFCPLTMLPALLTWHTNSQKLELDPLNSSTTLPITQTSSSW >scaffold_800855.1 pep chromosome:v.1.0:8:5866403:5867974:1 gene:scaffold_800855.1 transcript:scaffold_800855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLPSVQNVLQGLREFEQRSSLAISLQKSSFYAGGLSDAEISLIKSTTGLTHGILHVHYLGVPLYTKKLSIQDCEPLLQLVLINTVIDGISNFWCSTFVLPKLCIRKIHSICSAFMWKGSLDGHHSARVAWETVTKPKDQGGLALFKLHFLRGSLNNFWSMKQRPTYLWMANKLLKIREDVFHWIKLRVGNGATCRFWFDNWSPFGNLQKFLGTARETKLGILQDATLHQLNNQGVPKRSFLTSLLVLNRCPTRDRLLGWGLQTDPSCLLYNTSPESRDHIFFNCNVAWSSIASRCSLLPHRSWDASLCQMQDLHGSKLLIRLTRLAWQSSLYLIWAERNNRLHRNNFKSADSLIRLIDSTIRNRILSYRDHNSSVSSSMLQLWFSTG >scaffold_800862.1 pep chromosome:v.1.0:8:5965427:5966283:1 gene:scaffold_800862.1 transcript:scaffold_800862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRIPPILRSSAEDLSHGASFAMADATVLGSPLKTQMLLNRVGYSPKISHRELVEMAEHRKELEKMAKPVLDTLRSYQDLPPVIFLLCFH >scaffold_800867.1 pep chromosome:v.1.0:8:6005393:6006309:1 gene:scaffold_800867.1 transcript:scaffold_800867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGCCIKSTSRTFTCVISCGNAPAFHTGIQVHGFVIKSGFLHEEYASTSVITEDSRIRCLMRRLMRIILCGFHCGFLQKRRKLFDCMSSGPNHIDRKIPHYTCMIDILGRYRELKEAVELNMVVKPNELVWLALRSACRLHYD >scaffold_800877.1 pep chromosome:v.1.0:8:6102915:6104691:1 gene:scaffold_800877.1 transcript:scaffold_800877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEAQGSSPLINEDKLCHKCPRFGTLWPEAHLTSLCAYERKFLLKNLIQTRNIQLMFLLNMVVECVSIVKTVKNCGVQRRKLHIVGGFRNVTQCSLVLDHVKEEVKNFKFKKAKVKVSTQMMPPPAPLYYDYDNEEEEFEVQPSQRVKMLRLVDGEKNPPMCYIYEVIDRDSTARTFNDRDKSYKDAFEIMYMRWNYQLHHPLHAAEYFLNS >scaffold_800878.1 pep chromosome:v.1.0:8:6122321:6123255:-1 gene:scaffold_800878.1 transcript:scaffold_800878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIPNRFNIYGGDTSNHRESLPIEMNHNSKMVRSMFITSDRMNHRDSFPSPPSLSSYQNSHVSSSYVGFNNSHMTKRNFDSISRANYFPTKEKYHFTQVSFTQTIINRYTTIVPSNLFNNVHYDIERVKRAMDSTNTWNPISHLPKFLDNQSGILKPTPLNIVFPHQDFPDRQHLDMVSLSSKHNRVSQAGRSLKKIPKPTNIFEKIGSYIDSEKDEKNDDDHYDGRTHSLPYKKFGPYTCPKCNGVLDTSQKFAAHMLSHYNSETNKERDQRLRARNKKRYRKFMESLKRSKQKI >scaffold_800879.1 pep chromosome:v.1.0:8:6125032:6125233:-1 gene:scaffold_800879.1 transcript:scaffold_800879.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MS16] MSVLYVPAIFYTSAAAQKNPRSASFLASGVIGGHRRDRERAPRCSVMRLTLFR >scaffold_800880.1 pep chromosome:v.1.0:8:6153281:6153686:-1 gene:scaffold_800880.1 transcript:scaffold_800880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCTIFAVFIILILGMMVKEMEGKPITYCKTEMAEVDCATSNCNDACKQKYNDGTGQCMSKMETLKFRRRRKSKKKATMTCFCSHPCIF >scaffold_800881.1 pep chromosome:v.1.0:8:6159537:6160424:1 gene:scaffold_800881.1 transcript:scaffold_800881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWDVVGGSNTSPPTGDAATAEATKEWTRKNAKAEFALKRSISSGVFEHVSRCTSASSIWQALDRLFNKKNEARLQLLENELANAKQGESSISEFFIKVKNICSEINSLNPEESISDAQLKRFIIRGLRPEYTPFVTSVQGWATQPSLEEFENLLASQESLAVQMAGVKIHDDSGSAFVARRQQNFKAKSNDGGPRNNDGHEGSSKGDKKKFKCYRCGKLGHF >scaffold_800884.1 pep chromosome:v.1.0:8:6223388:6224921:-1 gene:scaffold_800884.1 transcript:scaffold_800884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAITKRLIDSYRSDVTQQHSFFRQLSPYKMKIYRQYMTSPNGPNLTDRIVGIHIESVAPPKREETAVKGLSVSETKKLLRIYQMEKVKARLREIPKSSVSYWEFVQICCESCGNDEQGSEMANSLDHSGCVVVLGDIVFLHPHQIAKSMEAMIKQTSILPNDPRKEELVLLETTKKCIDIEAQRIVKAELYCGLGFLAVQTICFMRLTFWELSWDVMEPICFFVTSIHFILGYLFFLRTSTEPSFEGLFRQRFKTKQKKLMERHGFDFLRYNELNSLFIPFPCKSHYPV >scaffold_800885.1 pep chromosome:v.1.0:8:6241114:6242897:-1 gene:scaffold_800885.1 transcript:scaffold_800885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSRNVQIGTRRSPRLKKMNTSEYNVDDVPPPSEKDEEKTAPESARKEADMSDNESAGLYYGGPSDEDEVICDSGEYDKGPLDSATKQQDKSDTEMEDISEDDKDVLPDEETNTGDDKDVLPDEEHKTGDDEDVLPEDETKTGDDQDMLHQEESNVGDDQELFNAPSSPKRGADELEASPADEPTIAGQRNAGGAIAVDGNLSSTPSPSSVIVYTVLKELGTSVDVTAGTNVIEVEPMVKADIILGGDNNTIVNEAKTTASAPQNLEETKNNFDVGVSESQKPEDVVPIKENKSIKRRVIFEDKEDITASTMENQEEPKKDAGVAGSDGQIPDDSKDVEILIESKESSSNSAFLVKAGNEPYNPLEKVNDAX >scaffold_800886.1 pep chromosome:v.1.0:8:6244759:6244965:-1 gene:scaffold_800886.1 transcript:scaffold_800886.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MS24] MTAKKKILLNKKTRRRAKVAKSSEKGEANSSPEKGIEIPSPSPEPESTSQGKYL >scaffold_800889.1 pep chromosome:v.1.0:8:6259561:6260494:1 gene:scaffold_800889.1 transcript:scaffold_800889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIPNRFNIYGGDTSNHRESLPIEMNHNSKMVRSMFITSDRMNHRYSFPSPPSLSSYQNSHVSSSYVGFNNSHMTKRNFDSISRANYFPTKEKYHFTQVSFTQTIINRYTTIVPSNLFNNVHYDIERVKRAMDSTNTWNPISHLPKFLDNHSGILKPTPLNIVFPQEDFVDRQHLDMVSLSSKHNRVSQAGRSLKKIPKPTNIFEKIGSYIDSEKDEKNDDDHYDGRTHSLPYKKFGPYTCPKCNGVLDTSQKFAAHMLSHYNSETNKERDQRLRARNKKRYRKFMESLKRSKQKI >scaffold_800890.1 pep chromosome:v.1.0:8:6263207:6263642:1 gene:scaffold_800890.1 transcript:scaffold_800890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIQPLISCKETSCILWKNSTCSTELESPKLSQGVHAAAANLALKTIFLSCLKCTHPTARKIKTLCNFLYVVNFLQWKDRLISAPKEIEGGGRAAKAKDLFYKTNGSTQDKDSVEDTKVKEEHDHERLFL >scaffold_800893.1 pep chromosome:v.1.0:8:6287958:6288291:1 gene:scaffold_800893.1 transcript:scaffold_800893.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MS32] MPFPQFPRPDFPTNPTPGFPQFPGQGFPNHPTPFPDPTPGSPQFPGQGFPKLHSPFFPQPLFPYDPPAASGSPSENFKLVPSPFALPCVPATSTSNL >scaffold_800896.1 pep chromosome:v.1.0:8:6302523:6303684:1 gene:scaffold_800896.1 transcript:scaffold_800896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNNNPFSSQNSSNYPFNYPNPNNYQFPNQSSNHPQHIPNYGYPPNFFMPSAVPNYRPYHGSMMSYSSQAPSYSSAPMGNETNTSVGTTEFPEFSTQMALGAMSGVHEAIPNEEDSTPARPRSFKWTTEQIMVLLNGWIKYGTYSVFGRNQKGDSYWGKIAEYCNEHGSFDTPRDGVSCRNHYNYVNKSLGKWVGTYDNAKHMQRSGWSEDDVLAKVYELYSSAGNGNFKYIKAWLAIRDQPRYGSQVGGNTGSGRNGSKRAHESDASDANSVGSSTRLMGRDASKKKANKKAMLQKKTNELIKEATQAKKMKMYLKLTEKEHLDDKGKELLQKLSHDLFGN >scaffold_800897.1 pep chromosome:v.1.0:8:6311423:6318340:-1 gene:scaffold_800897.1 transcript:scaffold_800897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLIQRYPLIIQEVIEEEPLEVNGGVTIPIDTSKPNPNGYEYDNLYLDMNGIIHPCFHPEDKPSPTTFTEVFQCMFDYIDRLFVMVRPRKLLFMAIDGVAPRAKMNQQRARRFRAAKDAAEAAAEEEQLREEFEREGKKLPPKVDSQVFDSNVITPGTEFMATLSFALRYYIHVRLNSDPGWKNIKVILSDANVPGEGEHKIMSYIRCNKNHPGYNPNTHHCLYGLDADLIMLSLATHEIHFSILREVVFFPGEEDKCFLCGQVGHRAADCEGKMKRKAGEMLDDTEADVVVKKPYEFVNIWILREYLEHDMQIPNQRSKKNLDRLIDDFIFICFFVGNDFLPHMPTLEIREGAIELLMSVYKNKFRSAKKYLTDSSKLNLRNVERFIKAVGTYENQIFQKRAQVQQRQSGRFIRDKAREKARDSVQASRKFSGKLVQLDSLDEVSDSLHSSPPRKHLRLSLDNNIGVANVETENSFKAEVSHLVSRLRNFNKFVACLPFFFELQFFCFGAFLSHLNCAKVHSGFQELDNGEDLKFKLKKLLRDKSDVFSSGKGEQDKVKLGATGWRERYYEEKFTAKSAEEMELIRRDVVLKYTEGLCWIMHYYYHGVCSWNWFYPYHYAPFASDLKGLDSLDIKFELGSPFKPFNQLLAVLPSASAHALPQCYRTLMTDPASPIADFYAADFEIDMNGKRYSWQGISKLPFVDERRLLEAAALVEKSLTNEEIRRNSVLFDMLFVVASHPLAELIRSLNSRTNNLSNEERATIIEKIDPGLSDGMNGYIASCGGDSQPPCFSSPVEGMEDVLANHVICAIYKLPDDIRGSEITHQIPTLKIPKKTIHLVDLKAEGLLWHEDGDRRRAPSKVKKIKRYNPEGSISGNRLGKAAHRLVLQTINAQPDSTHINSEPALCPNTIFQNQRVPKRIPAFRENGIQWISPPTQITPKKMKSLESQKTRKKNDTPQSRDKSKKLKSSLKVIPLNMKKTKNPQRDFTRGKKKENITPQRKPTKAERQAKHIRMMEEAKRIKERKKEKYLRKKAKYAQKDPNTA >scaffold_800898.1 pep chromosome:v.1.0:8:6336648:6337048:-1 gene:scaffold_800898.1 transcript:scaffold_800898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHVLPILKYSFDDLKHESAKLCLSIRIVGYARNIDINQGINRATNRGFEIVGVLSRAKLLMEDGGSKQYVEMHDVIRKIAMWITSNFGNDKERWVVQANTR >scaffold_800899.1 pep chromosome:v.1.0:8:6345807:6348393:1 gene:scaffold_800899.1 transcript:scaffold_800899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFTSSSIERYNDFSRLNPAIVGWHVHVKVLRRFHTDDYISKGGLGLLLVDDKGNQIEALICSPLTSHYSTFIEEDEFYTIMNFRVVENSGFTKLTRSDFKIMFYDGTIVKEASSFSQDDYIVATPFGAIFNGYHDTSYLITLIGRVVESSDLTNVTDEPSVIGGYRYSFTIEDQEKKTLKCCAYGGVAIECHDRFKNVIGTDVTCVLRWWKVYQLLDGWRHVRIYSHPPYSNILVQSDADNGTKIRAVIRESLVTRFSPLLIEGLWIILRKFSLIPDVDLVRTTPHRFKIKFSSDTCVEYLNYLACDYDYFNFARFRDIRTGISNPYICVDLVGKVDNVNDIQLVQMVGSSKDIFVVYFDLIDTEHTRLSIRLTGETAVRFHRQWKVNTDDVVICIIRFAKIVATSNRMWHCTNIGCSKIMVDAPLPGVVELKEWWADYCGSPRTGVKEARLA >scaffold_800900.1 pep chromosome:v.1.0:8:6356081:6356300:1 gene:scaffold_800900.1 transcript:scaffold_800900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLFAGGCRCNWSLNQRQTNEQLLVAVAGFSRQRQAYEQAYIVDMRYLIGKKLHQRSN >scaffold_800904.1 pep chromosome:v.1.0:8:6393780:6394377:1 gene:scaffold_800904.1 transcript:scaffold_800904.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7MS42] MAKRFLILLPLFSTILLLAVTVTESEAYSTTTPFQGYKPECFTHLHFYFHDVITGDKPTAIKVAEARPTNSLNVNFGVIMIADDPLTEGPDPSSKVVGRAQGMYASTAMKDIVFTMVFNLAFTEGEFNGSTLAMYGRNDIFSKVREMPIIGGTGAFRFARGYAQAKTYKIVGLDAVVEYNVFIWH >scaffold_800905.1 pep chromosome:v.1.0:8:6400909:6401538:-1 gene:scaffold_800905.1 transcript:scaffold_800905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSENPNKILVSTTLCLSSCKNPKRKMNSDDDPKVSCRPSDYKLTKEERKIARMRNLSNEEKAEDEWYGISTELTLFKRSLDHQEGWRMYFVRRRGLRKGDKIGLFWDRFASRLHFRVHGAAT >scaffold_800909.1 pep chromosome:v.1.0:8:6424103:6426820:-1 gene:scaffold_800909.1 transcript:scaffold_800909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEGLPPLIADQLHYLLNHSPDSIKIENVWSGNKINPKILDRFTLVIPYCLETIKWDVIYNSEYPVDPPDFVFGPDDEDFMPCSAIAPDDDQISSLKKALSQWDNEDSTRLLVIIQGLRDQYVAYQRRRVGQVDDDRVKFEISTVLTRKGIEMQMASGVDKPEEVKFAVPLVMDMNINKMVAGCPWKHQQKIYLQVVYPILRKYESAPSTPRLKLVSSSDLKALFSVEDVKLPPWMDGMCLAEYLPHLEETLERQMEEAVSAIDLRRSFIETLTLFLGRPLEADPTFCRKATFLTASGQFTFMVHFFFSTQFPKQQPTLMLQSCQHLNQSSVPVKSNLFTEYPWSPRWEVGRMAERLCDFLTDETVNFKKYCNEALLQH >scaffold_800913.1 pep chromosome:v.1.0:8:6463059:6464831:-1 gene:scaffold_800913.1 transcript:scaffold_800913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRANRHRGKVKAFPKDDQTKPCKFTAFMGYKAGMTHIVRDVEKPGSKLHKKETCEAVTIIETPAMVVVGVVAYVKTLRGLRSLNTVWAQHLSEEVRRRFYKNWAKSKKKAFTGYAKQYESDDGRKGIQAQLEKMKKYGTIIRVLAHTQIRKMKGLTQKKAHMMEIQINGGTIIPIDAVFQKDEMIDVIGVTKGKGYEGVVTRWGVTRLPRKTHRGLREVACIGAWHPARVSYTVARAGQNGYHHRTELNKKIYRLGKVGTETHSAMTEYDRTEKEITPMGGFAHYGIVKDDYLMIKGCCMGPKKRVVTLRQSLLRQTSRLAMEVINIKFVDTSSKQGHGHFQTSEEKAKFLGRSIR >scaffold_800916.1 pep chromosome:v.1.0:8:6482986:6483278:1 gene:scaffold_800916.1 transcript:scaffold_800916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKIKCFVLLIVVCFLLINNVQATREIKVRRDFCGSYTHIPFTPCTRREDCFEKCGGKPPYKNALCMPEHGKDRLCCCRNID >scaffold_800917.1 pep chromosome:v.1.0:8:6486189:6486506:1 gene:scaffold_800917.1 transcript:scaffold_800917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLETESSNSVVNGHSNGSVDLTYQGVLGVYFEATTGKAYPKSEDIRCDQFDVAFQAVELWIADRLVLPIET >scaffold_800918.1 pep chromosome:v.1.0:8:6489025:6489371:1 gene:scaffold_800918.1 transcript:scaffold_800918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKHRKTQNDGGERTIPINPAQTHVVNQVPEHCKPQILTPKPMKQAILQQISTPSSNPISVRDPDTILGKPFEDIRKSYNLGKELGRGQFGITYMCK >scaffold_800921.1 pep chromosome:v.1.0:8:6507679:6508130:-1 gene:scaffold_800921.1 transcript:scaffold_800921.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSS5] MARVRDGEGVYESTARRPTEPADASVEPGKETADPTEPDASMVKPGSESVGMQIDPTEEAALDDSESQLANETVELERDDAERQEAVDDSDIQVGNESPIEPSTEAREAAEEELVDASEEEEENVNAQVYISLRMD >scaffold_800923.1 pep chromosome:v.1.0:8:6519890:6520996:-1 gene:scaffold_800923.1 transcript:scaffold_800923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSIEDDEPKAPLALHPDIATKIWNLNIVPKLKHFLWRYASKAIGIAENLRRRNMNVNPYCSRCCNELETNDHTLFSCPSIRPIWRAAGIPTQDLWDRDKPFEGKIRFLLDLHDNKHIDKVCRYLPFWLLWRIWKTRNDLIFNHKVTKGEDIVGQALIDTKEWLDCQDRTHGPQHDGKLQGVRSSRSSKWCKPERGYVKCNFDASHYEGNQSSGLGRIIRDSNGTCLDCGMGKFQGRQTIEEAECSALIWAIQASWALGYRHVEFEGDNANIVNLINEGGINLRLKHYMEEIWQWRNMFNSIKFTFRHREQNGCADLLARKAVQSPNNYYLYHCCPSFLNSLVNNDADSVF >scaffold_800924.1 pep chromosome:v.1.0:8:6523927:6524427:-1 gene:scaffold_800924.1 transcript:scaffold_800924.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSS9] MMTHDAANCPLGNNPPLPPDPEDDDEGPDYNPEAPVDDPQTPETKKIEEPGQQEANPSASKKRKTEDSSSTNFTAAFPLICCEMRQAYAVEDTQQCFSKRNRKESEIIEVRNWFTMHTTPPEQSSRLTEQEIPTNPNPKGDGTVGHKPPEPQ >scaffold_800925.1 pep chromosome:v.1.0:8:6530869:6534010:1 gene:scaffold_800925.1 transcript:scaffold_800925.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MST0] MVNRLATKVDIIGFVIVTLMVDSVTTKMKLIILTRSGPKNVASLSWRDLISSSCSVMVIYELGHLVLSPKKSVCIFLAPTVALVNRANAIKKARGKLFPELR >scaffold_800926.1 pep chromosome:v.1.0:8:6535378:6535569:-1 gene:scaffold_800926.1 transcript:scaffold_800926.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MST1] MESRESLVENDLSSWNLQRRWSNRFTIGSSNLILLQATSEEFGINQNTL >scaffold_800927.1 pep chromosome:v.1.0:8:6535898:6536601:1 gene:scaffold_800927.1 transcript:scaffold_800927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDSRAQGRGRIARIHRRLLVIETEKRIMQYQSYIEEGRDKDAETVFGLLIYSLERLYRVVEKPARATDDWDLVKQDLIELGRPQHQTSYKLMVTYFMKIEACCRFTLHCWTTVLFRSNVARTVESYY >scaffold_800928.1 pep chromosome:v.1.0:8:6537440:6537827:-1 gene:scaffold_800928.1 transcript:scaffold_800928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNSSFKSSRTLPCVVVLVPARTMTLAPSLTPVRLSTMAIRSSIDSLLEDLSIIFDLTCTKKFHSFWLKALKESLSINLIYLFIYFMLALGNAFSYCILNFGISCSYLCTWFLF >scaffold_800929.1 pep chromosome:v.1.0:8:6540128:6541902:1 gene:scaffold_800929.1 transcript:scaffold_800929.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:D7MST5] MKMLVMMLMMRILTIPGYQFASVDFSLIPTDGFGEKGKPASVPPSATLVINLELVSWKTVSEVTDDNKVMKKILKEGKGYERPNKGSVVKVKLIGTLQNGTVFLKIGHGESEGPFKFKTDEEPEYAFASTVSRQELAVVPPNSTVNYEVDLVTFEKERELWDMNTEEKIEAAGKKKEEGNAKFKAGKYALASKRYDKAVKFIEYDTSFSEEEKKQAKALKVACNLQDVACKLKLKDYKQAEKLCTKVLELESTNVKALFVLVKAIPAMSELQSLMLEAWFAVLEGFACAFLFPFVVGGSTCSCSFSPARFVVVFISNLQNDRNTFRKTLVLLTRSDKSVNQIISEGIMS >scaffold_800930.1 pep chromosome:v.1.0:8:6548225:6549340:1 gene:scaffold_800930.1 transcript:scaffold_800930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MST6] MDYQTSLILATSTSSIFLLLIVFAVVMLVCRRRPPIENHPRRSLNFPDPDLNTETVTESFDPSICEISMAELTIATKNFSSDLIVGDGSFGLVYKAQLSNGVVVAVKKLDHDALQGFREFAAEMDTLGRLKHPNIVRILGYCISGLDRILIYEFLEKSSLDYWLHETDDENSPLTWPTRVNITRDVAKGLAYLHGLPKPIIHRDIKSSNVLLDSDFVAHIADFGLARRMDESRSHVSTQVAGTMGYMPPEYWEGNTAATVKADVYSFGVLMLELATRRRPNLTVFVDEKEVGLAQWAVIMVEQSRCYEMLDFGGVGGSEKGVEEYFRIACLCIKESTRERPTMNQVVELLEELCRLM >scaffold_800931.1 pep chromosome:v.1.0:8:6551984:6553533:-1 gene:scaffold_800931.1 transcript:scaffold_800931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENERKSPSAVSDMGAWAMNVISSVGIIMANKQLMSSSGFAFSFATTLTGFHFALTALVGMVSNATGFSASKHVPMWELIWFSIVANVSIAAMNFSLMLNSVGFYQISKLSMIPVVCVMEWILHSKRYSREVKISVVVVVVGVGICTVTDVKVNAKGFICAFVAIFSSSLQQILIGSLQKKYSIGSFELLSKTAPIQALSLLVVGPLVDYLLSGKFIMNYNMSSGCFLFILLSCALAVFCNISQYLCIGRFSAVSFQVIGHMKTVCILTLGWLLFDSAMTFKNVAGMIVAIVGMVIYSWAMELEKQSLIAAKALNSVKHSLTEEEFELFKEGVETTQSKDVELGRTKD >scaffold_800932.1 pep chromosome:v.1.0:8:6557605:6558053:-1 gene:scaffold_800932.1 transcript:scaffold_800932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHRAAYSYYRVGSNDGRETINLPYPKTKPEPNKESLLPRLYYSILKPLARVEASKSLKTRSLPLKEEISSIEDTYHIRTREDQAIEAANILKTSKPPFQPEDSSHEVISPSPRRLPLLSITGTNASPQLIPNG >scaffold_800934.1 pep chromosome:v.1.0:8:6565943:6566247:-1 gene:scaffold_800934.1 transcript:scaffold_800934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYYLLDLHSSSPLSSTSSTTPHRFKSATTYIATAAAVSGLSSAANLIGFVVYETYLSFDPPLCLFVLSHVDNIRRSRILLFLKS >scaffold_800935.1 pep chromosome:v.1.0:8:6570229:6570487:-1 gene:scaffold_800935.1 transcript:scaffold_800935.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSU1] MDCSRDLSRNNDCSCDWRKCVSRLIQEIPEPGHRNHSRTLRFLSIRGLMGETKSEVQFVTCLALTDDAKRLS >scaffold_800938.1 pep chromosome:v.1.0:8:6639903:6640500:1 gene:scaffold_800938.1 transcript:scaffold_800938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAKNQKSPLSRLYKKVSKKRSESSRNLEDEARTSSSSSGSNSLNVDELRAVFDYMDANSDGKISGEELQSCVSLLGGALSSREAEEVVKISDVDGDGFIDFGEFLKLMEGEDGNDEERRKELRVAFGMYVMEGEEFITAASLRRTLSRLGESCTVDACKVMIRGFDQNDDGVLSFEEFVLMMR >scaffold_800939.1 pep chromosome:v.1.0:8:6645467:6645765:-1 gene:scaffold_800939.1 transcript:scaffold_800939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVPYYRPYHGSMMSYPSQAPSYSSAPTGNETDSNVGATDFPEFSTQMALGGISGIHEVIPDADDSTLAFRRSPKWTTEQNLV >scaffold_800942.1 pep chromosome:v.1.0:8:6700780:6701558:-1 gene:scaffold_800942.1 transcript:scaffold_800942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRHSTVANAVQGTQWRVRRCRSQTLRNVVTKLQEIAPPQRAKGPDKPLWRYTLDDYDSSFTSRHTWNLLRKAKHKVLWHNSVWFPQRVPRYSFIVWLAVKDQLSTGTRMRAWGVEQPCVFCRERDESRDHLFFACPFTYSIWSELTSRLLRRKLNPDWSRTLLSLRSPQLTKRDQNLLCMIFQTTLYMVWKRGMAGTTIKVLTRHLFYYGPHLLIEPAADVEAW >scaffold_800943.1 pep chromosome:v.1.0:8:6704759:6705763:-1 gene:scaffold_800943.1 transcript:scaffold_800943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSPPASESPSLPAVGSPSPIQRSPWSANHIPKTIVKPVFDLDNGVARVSVPDEIVDEGSPLWKSFVVGYFMGDAPFVGRIHATVNRIWTSASRPSKTMPIPILELGWLRYLGDITGNFVRLHPNTERCTRLDVARVLVEVDLTKPLTEKICCTDKNGVVNMVTVDYPWLPPKCAICNSWGHKSEDCAQAKTASILTKQHGTVIQNEIPEKLAKSAAVSGESRQVAVVVQNLLSDLEKINALPAATEEANVVTDIMSMHDGYRKLSQQGM >scaffold_800946.1 pep chromosome:v.1.0:8:6728976:6729647:1 gene:scaffold_800946.1 transcript:scaffold_800946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNELLFNNKKWSISDTTNKAIIDQQQWQESLKFNEENSRLSPGYNHHQKPKELLDARTELRNIIKQTHDYCCFVDGSWTSSTECACIGWLLYNNNAQFALRGMASINPMPTALEAEAEVLRTAMIHMKRLGYKKVTSTLFHNIKRIQKHWWEHSSISMYLQDILRLTQDYDQVTFSKIPRVVNFVADNLAKNARLNSIGLVISWNDVS >scaffold_800947.1 pep chromosome:v.1.0:8:6731390:6731643:1 gene:scaffold_800947.1 transcript:scaffold_800947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLALNKGKAAMVRTEVVKISGSILSQRIQQFSLTLIGRLMNPSVQRMNSLVANMPKIWKLEDKVTGLT >scaffold_800948.1 pep chromosome:v.1.0:8:6732096:6732954:1 gene:scaffold_800948.1 transcript:scaffold_800948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSNKQSHRKIEKNQGPIWGQKKSFPGTWAESSETLEKVVARDSFKEAHSQSQGTSYGRKGAGPAWPKPLYQPKTVSKETQETKNSEQGDDLGDLAMEDVSEMEDKDINAGIQISESADDLLEDGECQVDEETDNQETTEEITDADGQIIPEGEKIGPQGIFSVPKDLSNDIDYVSQGMNGMELDSTKVQRNKGSTFLGAKGIKGRNNYSKLVASPGKRLLANVMSHKIADDVSKQRAAGKNKPKEGAKSGGINRMSKKGMVALPKPLAHT >scaffold_800951.1 pep chromosome:v.1.0:8:6787692:6790017:1 gene:scaffold_800951.1 transcript:scaffold_800951.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:D7MTF6] MVIVMDADEESTGDAENLEEEVTAEEPIHDEAVDAVEIHDEEVKNGDDDCDGLVSDIVSVIEFLDQINGYRKTQQKECFNLVRRLKILIPFLEEIRGFDSPSCRHFVNRLRKVILVAKKLLETCSNGSKIYMALDSETMMTRFHSIYEKLNRVLVKTPFDELTISEDVKEEIDALCKQLKKAKRRTDTQDIELAVDMMVVFSKTDPRNADSAIIERLAKKLELQTTEDLKTETIAIKSLIQEKGGLNIETKQHIIELLNKFKKLQGLEATDILYQPVINKAFTKSTSLILPHEFLCPITLEIMLDPVIIATGQTYEKESIQKWFDAGHKTCPKTRQELDHLSLAPNYALKNLIMQWCEKNNFKIPEKEASPHSENEQKDEVSLLVEALSSSHLEEQRRSVKQMRLLARENPENRVLIANAGAIPLLVQLLSYPDSGIQENAVTTLLNLSIDEVNKKLISNEGAIPNIIEILQNGNREARENSAAALFSLSMLDENKVTIGLSNGIPPLVDLLQHGTLRGKKDALTALFNLSLNSANKGRAIDAGIVQPLLNLLKDRNLGMIDEALSILLLLASHPEGRQAIGQLSFIETLVEFIRQGTPKNKECATSVLLELGSNNSSFILAALQFGVYEYLVEITTSGTNRAQRKANALIQLISKSEQI >scaffold_800953.1 pep chromosome:v.1.0:8:6801995:6802384:1 gene:scaffold_800953.1 transcript:scaffold_800953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFCSQITTLFIVVALVCAFVPVFSVEEAEAKSLWNTCLVKITPKCALDIIGVVFENGTISDPCCNDLVKEGKVCHDTLIKYIADKPMLIAHETEYLKKSNDLWNHCVTISKSA >scaffold_800956.1 pep chromosome:v.1.0:8:6831064:6833365:-1 gene:scaffold_800956.1 transcript:scaffold_800956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYRFKDPTPLGREFIVDKFNKEFNLNINYRFFKEKLDQLKRKYKKYKHLMKDSTGISVDTTTSVISASNSWWKEREVCKIVKSFKRKPPELWDVMQRCFILYDVHSQPQFSVNQRREQLRNDGLDNDEGHVYFETYDGDMQDSQVPEPQENEEVYRVNIDDETRQSNAFIRESLHQNSSPVAPFQIPTSRIQQRGGVRRGSSSQRGAGNSQISTRSGSRGSRRKQSFETTLTDTITGFREFQRQSLQQLRPKLIKAFRICQLRSQGVHNLNYEERIELWNLENEQFEELIIQPSLSYYNRNFERGPIQTDGGLGWRNIWRRIQEDSAACLQLLRMSLDCFRSLCDVMEIRYGLQPTLNVSIEKSVAMFLRICGHNEVQRDVGLRFGRTQETVNRKFFEVLRATELLACDYIKTPTRQELRRIPKKLQRDRRYWPYFSGFVGAIDGVHVCVKVKPELQGMYWNRHDRTSFNIMAICDINMLFTYVWNGAPGSCHDTAVLTMAQDLLPPRDKYYVVDSGYPNKQGFLAPYRSSISNYFDEDFVEVMGDTNINNVNSENDLDDMERGSIPDGNHMANIRENIADMLWANQNTFH >scaffold_800958.1 pep chromosome:v.1.0:8:6862570:6862831:1 gene:scaffold_800958.1 transcript:scaffold_800958.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein [Source:UniProtKB/TrEMBL;Acc:D7MTG3] MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRAEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >scaffold_800959.1 pep chromosome:v.1.0:8:6864741:6865109:-1 gene:scaffold_800959.1 transcript:scaffold_800959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHKKEESRDKYEPQQHQPPYISQMQPVTHEAYGGGLYGHDDEKDAKNLEKEAKNIEKEPRQHHIPASETQSADGPDEVKTLTPKHKQPASSGDRDVDITGQSYIQ >scaffold_800962.1 pep chromosome:v.1.0:8:6883000:6884166:1 gene:scaffold_800962.1 transcript:scaffold_800962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYIWSHTKDGRYTVKSGYWKAVNDNIHDDDPKPPLANAKNTIERAVMDTKELIENTVDRDSHPKDSHHQRSNNAKWETPPRGWVKCNYDSSHHEGDNLNVVRLINDNEEHPRLRHYFETIWRWRSMFTSVKFMFRHRKHNKCADMLARKPITCNNNGMLFHSCPTFLADTVTLILVINKAF >scaffold_800966.1 pep chromosome:v.1.0:8:6911785:6911988:-1 gene:scaffold_800966.1 transcript:scaffold_800966.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTH1] MLFAVGFRFSRQVFFLDAARPELCVDAGADKEHDGGRINHSRRTINCSSCGSS >scaffold_800967.1 pep chromosome:v.1.0:8:6913555:6913933:-1 gene:scaffold_800967.1 transcript:scaffold_800967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKKMLVVLLLTILFVTSSVQCSDSTLGIGIKQDWKKCFGPESCMNGGGTQGCMKWCRKNISLLLYGECTTNPAQCCCVTK >scaffold_800968.1 pep chromosome:v.1.0:8:6916187:6916565:-1 gene:scaffold_800968.1 transcript:scaffold_800968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKKMLVVLLLTILFVTSSVQCSDSTLGIGIKQDWKKCFGPEPCMNGGRTQGCMKWCRKNISLLLYGECTTNPAQCCCVTK >scaffold_800969.1 pep chromosome:v.1.0:8:6919304:6919683:-1 gene:scaffold_800969.1 transcript:scaffold_800969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKKMLVVLLLTILFVTSSVQCSDSTLGIGIKQDWKKCFGPEPCMNGGGTQGCMKWCRKNISLLLYGECTTNPAQCCCVTK >scaffold_800970.1 pep chromosome:v.1.0:8:6927309:6927690:-1 gene:scaffold_800970.1 transcript:scaffold_800970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKKMLVVLLLTILFVTSSVHCSDSTFGIGIKQDWKKCFGPEPCMNGEGTQGCMTWCRKNINVLLYGECTTNPAQCCCVTK >scaffold_800972.1 pep chromosome:v.1.0:8:6950903:6951283:1 gene:scaffold_800972.1 transcript:scaffold_800972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKKMLVVLLLTILFVTSSVQCSDSTLGIGIKQDWKKCFGPEPCMNGGGTQGCMKWCRKNISLLLYGECTTNPAQCCCVTK >scaffold_800973.1 pep chromosome:v.1.0:8:6953418:6953616:-1 gene:scaffold_800973.1 transcript:scaffold_800973.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTH8] MASVFNPYRVTFGFKFSTCSLKLLSSHVKPKGHDLFSFQCGLLIQNQHSLCK >scaffold_800975.1 pep chromosome:v.1.0:8:6975226:6975632:1 gene:scaffold_800975.1 transcript:scaffold_800975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKKCFAAFVLTLLFVMPFVYCSESDNTYGFEIKQEDKCYSPEPCKNGPEGCLFFCARIAYDLYGECIMKPDHQKHCCCVTKTK >scaffold_800976.1 pep chromosome:v.1.0:8:6978759:6979109:1 gene:scaffold_800976.1 transcript:scaffold_800976.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTI1] MERKLYVTYFPRLPASKPSKAFKLHNPVTTSRTSRAVSVKVLEVFSTSLCLTAVTNLSPSEVLMVVIPTNRLLSCGNLHNFLLDAFDLIVLISMLLNFVFF >scaffold_800982.1 pep chromosome:v.1.0:8:7067434:7067644:1 gene:scaffold_800982.1 transcript:scaffold_800982.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MU44] MPSGSRYIFPTDVTPSEITDGLRTSLDGLNSVGNSSVISDGLLSVRNTVRSCCVFL >scaffold_800983.1 pep chromosome:v.1.0:8:7130412:7133500:-1 gene:scaffold_800983.1 transcript:scaffold_800983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRLRHSLRSIFIYRHHISAAIAILSTLYMRVFLPDSIRDNSLGVPSILSEKLSSPLLEDCPAHRNRIFRAIRSVREMASLMKSSVPLSQVAMVSFFSSLAEAGLHASSMYYLKAKFHFNKDQFADLMIIFGAAGSISQLLFMPILVPALKEERLLSIGLFFGCAHMFLICVAWSSWVPYMAAIFTFFSIFPQSCMRSIVSKQVESYEQGKAQGIISSICSLANVISPLAFSPLTDWFLSERAPFNFPGFSIMCAGFTMTIAFIQSLMIRATTPISDMGSP >scaffold_800984.1 pep chromosome:v.1.0:8:7135023:7136171:-1 gene:scaffold_800984.1 transcript:scaffold_800984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTTFHGLGHLFFTIFLYCFSSFIVAPAITDISMAALCPGKDECSLAIYLSGFQQVITGVGSLMMMPLMGSLSDKHGRKCLLTLPMTLHILPLATLAYSRGTTIFYIYYVLKTFTSIVCEGSVLCLALAYVVRHFPPFFPFYCRQ >scaffold_800985.1 pep chromosome:v.1.0:8:7246256:7246920:-1 gene:scaffold_800985.1 transcript:scaffold_800985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRQQDRRHPRNSGSGPAELNHRTVPPGAVPNGTVPPGATPSPSATSSHANNCSQRTLDALLSAPERDSQPHLHPRKLNGALWFGVDPSVYKFIRTTWQSNFMGPWKNW >scaffold_800989.1 pep chromosome:v.1.0:8:7421753:7423118:1 gene:scaffold_800989.1 transcript:scaffold_800989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVRKITLKSSDGENFEIDEAVALESQTIKHMIEDDCADNGIPLPNVTSKILSKVIEYCKKHVEAAAKSETTTEAAATTTTVASGSSDEDLKTWDSEFIKVDQGTLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >scaffold_800990.1 pep chromosome:v.1.0:8:7423544:7424939:-1 gene:scaffold_800990.1 transcript:scaffold_800990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7MU52] MNAHMLNLLVMVIFVVTFDVQALSPHYYDHTCPQADHIVTNAVKKAMSNDKTVPAALLRMHFHDCFVRGCDGSVLLDSKGKNKAEKDGPPNISLHAFYVIDNAKKALEEQCPGIVSCADILSLAARDAVALSGGPTWAVPKGRKDGRISKAIETRQLPAPTFNISQLRQNFGQRGLSMHDLVVLSGGHTLGFAHCSSFQNRLHNFNTQKEIDPTLNPSFAASLEGVCPAHNKVKNAGSTLDGTVTSFDNIYYKMLIQGKSLFSSDEALLAVPSTKKLVAKYASSNEEFKRAFVKSMIKMSSISGSGNEVRLNCRRVR >scaffold_800992.1 pep chromosome:v.1.0:8:7432120:7433984:-1 gene:scaffold_800992.1 transcript:scaffold_800992.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron carrier/ protein disulfide oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7MU55] MRRVTGLAARTISSSVAINPRLSQTMAITTISSSEPISRRFGGLPEISTPSFAGGVAGIVFFSAAAASSLGQEVHAKEMAQKFNPKEVVLYQYEACPFCNKVKAFLDYNKIPYKIVEVNPISKKEIKWSDYKKVPILTVDGEQMVDSSVIIDSLFQKMHPEISKSEDDEETKWRKWVDNHLVHLLSPNIYRNTSEALESFDYITTHGNFSFTERLVAKYAGATAMYFVSKKLKKKYNITDERAALYDAAETWVDALKERPYLGGSKPNLADLAVFGVLRPIRYLRSGKDMVDNTRIGEWYSRMENTVGEPARIKE >scaffold_800996.1 pep chromosome:v.1.0:8:7451262:7451716:-1 gene:scaffold_800996.1 transcript:scaffold_800996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFINERRGGSWKRGWLEETLLTTSAPPLTLLALLAIISLLLFLSSYPRYKYEVEKTAANLKLFMLFLPILFVFLLLSLNFVHRLLFKSSYSVRANQAKSLFGEGNFPWGVLLMLILLLLLVSKQSYFHSLWYPTL >scaffold_800997.1 pep chromosome:v.1.0:8:7472513:7476886:1 gene:scaffold_800997.1 transcript:scaffold_800997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSYGNVVRDIEQALIALKKGAQLLKYGRKGKPKFCPFRLSNDETSLIWISNGGEKRLKLATVSKIVPGQRTAVFQRYLRPDKDYLSFSLIYSNRKRTLDLICKDKVEAEVWIAGLKALISGQAGRSKIDGWSDGGLSIADSRDLTLSSPTNSSVCASRDYNIAETPYNSTAFPRTSRTENSVSSERSHVASDSPNMLVRGPGSDVFRVSVSSVQSSSSHGSAPDDCDALGDVYIWGEVLCDNVAKFGAEKNATCVGSRSDVLIPKPLESNVVLDVHHIACGVRHAALVSRQGEVFTWGEASGGRLGHGMGKDVTGPQLIESLAATSVDFVACGEFHTCAVTMTGEIYTWGDGTHNAGLLGHGTDVSHWIPKRISGPLEGLQIASVSCGPWHTALITSTGQLFTFGDGTFGVLGHGDKETVFYPREVESLSGLRTIAVACGVWHAAAIVEVIVTQSTSSISSGKLFTWGDGDKSRLGHGDKESRLKPTCVSALIDHTFHRVACGHSLTVGLTTSGKVYTMGSTVYGQLGNPNADGKLPCLVEDKLIKDCVEEIACGAYHVAVLTSRNEVFTWGKGANGRLGHGDIEDRKAPSLVDTLKERHVKNIACGSNFTAAICLHKWVSGTEQSQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSKKSLKAALAPNPGKPYRVCDSCYSKLSKVSEASTDSRKNIMPRLSGENKDRLDKAEIRLAKSGIPSNIDLIRQLDSRAARQGKKADTFSLVRTSQTPLTQLRDALTNVADLRRGPPKPAVTPSGASSRSVSPFSRRSSPPRSVTPIPLTAGLGFSTSIAESLKKTNELLNQEVVKLRAQAESLRHRCEVQEFEVQKSVKKVKEAMNLAAEESAKSEAAKEVIKSLTAQVKDIAALLPPGAYKAETTRTANLLNGFEQNGFHFGNANGQRQSRSDSISDTSLASPMALPARSMNGLWRNSQSPRNTDASMGELLSEGVRISNGFSEDGDNRRNSRSSAASASNASQVEAEWIEQYEPGVYITLLALGDGTRDLKRVRFSRRRFREQQAEAWWSENRERVYEKYNIRGTDRSSVATSPISQSQF >scaffold_800998.1 pep chromosome:v.1.0:8:7477584:7478862:1 gene:scaffold_800998.1 transcript:scaffold_800998.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7MU61] MEARLSETLGLPSPNLNHCHFPNDFNSLFAHFSDLTSVQSPIVRNPKPKTKFSQKSTKFPANFRRSDPLFASTSISDPIHAKPGPEFLKWIKPASRSSPKIQTLMKQLSVWERAIIGAGAGGLAGAFTYVALLPLDAIKTKLQTKGASQVYRNTFDAIVKTFQAKGVLGFYSGVSAVIVGSTFSSAVYFGTCEFGKSLLSKFPDFPMVLIPPTAGAMGNIISSAIMVPKELITQRMQAGASGRSYQVLLKILEKDGILGLYAGYSATLLRNLPAGVLSYSSFEYLKAAVLEKTQQSHLEPLQSVCCGALAGAISASITTPLDVVKTRLMTQIHVEAVNKLGAAMYTGVAGTVRQILKEEGWVGFTRGMGPRVVHSACFSAIGYFAFETARLTILNEYLKRKEDSEATVAADS >scaffold_801000.1 pep chromosome:v.1.0:8:7531660:7531970:-1 gene:scaffold_801000.1 transcript:scaffold_801000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MU64] MAWWKTCKGRAGARPVGEGRDRSEQKGHPGHGLRGWSSGGFCGTVRSAQSLQWWLLRASEGECGMHRRSAHTYQVLVRTCDRPKALVML >scaffold_801001.1 pep chromosome:v.1.0:8:7541391:7544993:1 gene:scaffold_801001.1 transcript:scaffold_801001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSYIACQWMDGGGGFCNSTMPFQYSCNCREGYNNIMNITTFPCLKQSARIKNIANSELAPKHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTADMQDDPTDDIKEAAPAAPKPADEQQS >scaffold_801005.1 pep chromosome:v.1.0:8:9920808:9923219:1 gene:scaffold_801005.1 transcript:scaffold_801005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCVNSISPPPVTLYRPRASPSPASRSSFSFSGDSVHSFYRLRRVSRSPSIIAPKFQIVAAEKSEPLKIMISGAPASGKGTQCELITHKYGLVHISAGDLLRAEIASGSENGRRAKEHMEKGQLVPDEIVVMMVKDRLSQTDSEQNGWLLDGYPRSASQATALRGFGFQPDLFIVLEVPEDILIERVVGRRLDPVTGKIYHLKYSPPETEEIAARLTQRFDDTEEKAKLRLKTHNQNVRDVLSMYDDITIKEHRSKFTFKLNHSWYKPEEVLAQIDTTVSKLLQQRNTASSSLLS >scaffold_801012.1 pep chromosome:v.1.0:8:9954808:9959025:1 gene:scaffold_801012.1 transcript:scaffold_801012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRRGNSSTDHELGILRGANSDTNSDTESIASDRGAFSGPLGRPKRASKKNARFADDLPKRSNSVAGGRGDDDEYVEITLDIRDDSVAVHSVQQAAGGGAHLEDPELALLTKKTLESSLNNTTSLSFFRSTSSRIKNASRELRRVFSRRPSPAVRRFDRTSSAAIHALKGLKFIATKTAAWPAVDQRFDKLSADSNGFLLSSKFWECLGMNKESKDFADQLFRALARRNNISGDAITKEQLRIFWEQISDESFDAKLQVFFDMVDKDEDGRVTEEEVAEIISLSASANKLSNIQKQAKEYAALIMEELDPDNAGFIMIENLEMLLLQAPNQSVRMGDSRILSQMLSQKLKPAKESNPLVRWSEKIKYFILDNWQRLWIMMLWLGICGGLFTYKFIQYKKNKAAYDVMGYCVCVAKGGAETLKFNMALILLPVCRNTITWLRNKTKLGTVVPFDDNLNFHKVIASGIVVGVLLHAGAHLTCDFPRLIAADEETYEPMEKYFGEQPENYWWFVKGVEGWTGIVMVVLMAIAFTLATPWFRRNKLNLPKFLKKLTGFNAFWYSHHLFIIVYALLIVHGIKLYLTKIWYHKTTWMYLAVPILLYASERLLRAFRSSIKPVKMLKVAVYPGNVLSLHMTKPQGFKYKSGQYMFVNCRAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRKLRTVFSEVCKPPTAGKSGLLRADGGDGNLPFPKVLIDGPYGAPAQDYKKYDVVLLVGLGIGATPMISILKDIINNMKSPDSDIENNNSNNNSKGFKTRKAYFYWVTREQGSFEWFKGIMDEVSELDEEGIIELHNYCTSVYEEGDARVALIAMLQSLQHAKNGVDVVSGTRVKSHFAKPNWRQVYKKIAVQHPGKRIGVFYCGAPGLTKELKNLALDFSRKTTTKFDFHKENF >scaffold_801014.1 pep chromosome:v.1.0:8:10078953:10079811:-1 gene:scaffold_801014.1 transcript:scaffold_801014.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S27 [Source:UniProtKB/TrEMBL;Acc:D7MUU7] MVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGKARLQEGCSFRKK >scaffold_801018.1 pep chromosome:v.1.0:8:10088325:10088722:-1 gene:scaffold_801018.1 transcript:scaffold_801018.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUU9] MEKLDINIALDIVSRVGEDSFKALGGMLLASKFYHYLASHPIVLNNVSLQPSLQMQALLTKTLFIVYSSAYVWTLLIQLLPTLKASALLQNLGVLKMLFGCYTPLAIVHLKLGFHGHY >scaffold_801019.1 pep chromosome:v.1.0:8:10089048:10091957:-1 gene:scaffold_801019.1 transcript:scaffold_801019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDINFFLKYPWGRHSFHRILRTAKVGSYILDTASLVAKLRKSSIAIHGFPLAIQLFAFKYIPLLLKYLPHAGEEFNFLDQVIPRLPKCKSYHSSNILHVEYSRQLFVLPPASGDAAFLASPHCDPKVKQLEGLIASTFNFDKSIWPGGDSSLPSLRSSRKRKCNHCQSDSSSSAEEPDAKKVTRDLRSRSKSKAKRSQTLSQKNVKSLKASLIVEVRELIDKTLHDNTVVSATVNVTSPVNPASSLPRRVTRSASTAMSVESVANCSTARKESSVASAKLSASAHTLTESAHNALPSPIRSPSLSNVSSQGCTGFRNCPTGAPPNVKALRCPSLLHEAKKLTLKSSCIPSTSDQGPASNHAPEVSNPHCPHPSPYLSTTPGVAPKHNKNLLPNQLSTSSTQLKDVCALNSIAGPSPLSSPVKHLLQSTSRKTMTRSQARIASLPSAKCSQQLLVSGPAMNLRSKKQAPKPPVGDCSEYILSTLEAINSPTVSKFLVKLSKLQGSEYTINGQPYPAAFFSEISKPQNWVSSLSLSNDVPATWPSTGFTFMRVSHLAQNDRGGDCGPMSLKFIELHSHQLTSLLQHLTKKQVDSIRMHYALDLYAEYPSFS >scaffold_801021.1 pep chromosome:v.1.0:8:10108509:10114634:1 gene:scaffold_801021.1 transcript:scaffold_801021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDFLHKEVSLVWMCTGARLKWLHRELLRRRFRHQSLLQGLPREVINSKITPNLLKGFDSPDWKVHKIITHISSTAGKFPKKCVVLCITDIQTRASTAKCTIFFYEAVYHGFVYERMHLESTEALKKILVEANKRIQPTGIGMRLKRKSKDIQGPTLVFMLEKVFYSRLIIDVDSMDSQPPRQYEKIIAYTVKIDGGCSAHLEKHEKDQLCMELNYCLNKGNPHTDEVMRLESIEGVSKIQEEPNKPIQPNGTGVAAAKGSAVEKASNFDDTWQALEIIFTL >scaffold_801022.1 pep chromosome:v.1.0:8:10114680:10116185:-1 gene:scaffold_801022.1 transcript:scaffold_801022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFKKNLLCLRASEQQILNSMETFIGLGFSRDEFVMMVKCFPQCIGYSAEMVKKKTEFVVKKMNWPLKVMTLFPQVLGYSMEKRIVPRCNVIKALMSKGSLGSELPPMASVLACTDLTFLNRQKICQKEDYDQPFCKDAQHQAMNQE >scaffold_801023.1 pep chromosome:v.1.0:8:10116192:10116409:-1 gene:scaffold_801023.1 transcript:scaffold_801023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDPTTPKFVEALCIFYGLSDKRLEEKFNVYKRFGLTIDDVSELFKNCPTFLGYSER >scaffold_801024.1 pep chromosome:v.1.0:8:10120946:10121784:-1 gene:scaffold_801024.1 transcript:scaffold_801024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAELEKRSTNSNVLCNLQTLSSWTSSVRDASTFVACRKCDTVLCQSTGDVKQGSLRDA >scaffold_801025.1 pep chromosome:v.1.0:8:10122452:10122700:1 gene:scaffold_801025.1 transcript:scaffold_801025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYGGNIVVLWEDFVSSIGSIKTKIWCAEIALERHNGQGIYGKVNWCDVVLTVPKSCSFEEVFVTTF >scaffold_801028.1 pep chromosome:v.1.0:8:10137347:10138515:1 gene:scaffold_801028.1 transcript:scaffold_801028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGSAPASTCSVNNFDSFGNFRLLKELERGEKGIGDGTVSYGMDDGDDIYMQSWTGTIIGPHNTVHEGRIYVTDCSTTPEIMHFCHGIVKQKSCIFLELGLDYSLR >scaffold_801029.1 pep chromosome:v.1.0:8:10140720:10141282:-1 gene:scaffold_801029.1 transcript:scaffold_801029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDRVHCPFLPSNRIAPSVFRRNNQVRRAEIDVLNRSNLNSQSQNSDRSFPMPRTPPPRVNAPPPNAEEFAVMSPYFQSSGLRNFRQVEVPIPQYESSRRMQSEMGSSITPSSDSEATAKISKKFEVSETSKKFDIGESSKRQRQDLSNDMDKKEKQKVQDYGGFQIPPKKR >scaffold_801030.1 pep chromosome:v.1.0:8:10203903:10204134:-1 gene:scaffold_801030.1 transcript:scaffold_801030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUW0] MEPDHYLSDLNVNSMRCLVHVKILSIWKEPLVHGRVETRMVLADEKVKHYMTMDCVLSLLYR >scaffold_801031.1 pep chromosome:v.1.0:8:10206166:10206610:1 gene:scaffold_801031.1 transcript:scaffold_801031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSGLTSSFVSNSGVRKLCEYGLPAKVFKSKMDKNPNRRFFGCQLYKEGGNAHCKFFRWLDEEVIGWPKRALVEAQSVVKEKTEKIEELNATIFELRGDLERQNLEISSINTDDEKISIELGLQKRIDEMET >scaffold_801032.1 pep chromosome:v.1.0:8:10254188:10255442:1 gene:scaffold_801032.1 transcript:scaffold_801032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLEVVGREIIKPSSSAHHDRLQVSLIDVFFPQIYVSAIFFYQTDAGGESPAIISGKLKSSLSETLSRFYPLAGRIEGFSINCNDEGAVFTEARTDLLLSDFLKNNLDDTNNLGEFFPKIEPGESAGTWPLLSVKVCFFGSGSGVAVTVATSHQICDAASLLTFIQSWATGNGDMAVTTPHFIGATIYPPPQNSLQATSSDDLFGLKGKCVTNRFVFKSSKIADLKRKAASKSVPVPTRVEAITSLIWICATNASRDSRDAIGNLQSPCAITNTTFGQNLLISVMGNYLSEVKPDVDLYAMSSWCKKHFYKVDFGWGTPVWVGSALHTIYDNTVYALLMDSKDGEDVEAWVGLPEQDMLMFVRDQDLLTYAVLNPPVLI >scaffold_801038.1 pep chromosome:v.1.0:8:10437208:10437439:-1 gene:scaffold_801038.1 transcript:scaffold_801038.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKC2] MCVSPVKDDVPSRQEQSEFRKALACSPPPFPTFPASLIDRSGSALRSKQDEGAELCRQVSLP >scaffold_801040.1 pep chromosome:v.1.0:8:10478836:10479061:1 gene:scaffold_801040.1 transcript:scaffold_801040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSCTNEGICHRCGIAGHQAKVCTARQLPHGDLRLCNNCYKQSHFAAEVHCTSQYFYTRR >scaffold_801041.1 pep chromosome:v.1.0:8:10490157:10492473:1 gene:scaffold_801041.1 transcript:scaffold_801041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNQIEARICSPLTSHYSTFIEEDEFYTIMNFRVVENSGFTKLTRSDFKIMFYDGTIVKEASSFSQDDYVVATPFGAIFNGYHDASYLITLVGRIIEASGLTNVTDEPSVIGGYRYSFTIEDQEGDGWPFDVITYNRNMFTISSLNPLIHEWSICVKILHVWHDLDDVSNSLNLILVDNQGTKIRAAIRESLVTKFSPLLIEDLWVILRKFLLTPDVDLVRTTPHRFKIQFSPDTCVEYLNFLTCDYDYFSFGRFRDIRTGISNPYIYVDLVGRVDNVNDIQLVQTVGSSKDISVVYFDLIDTELTHLNFRLTGESAFKFHKQWKDNIDDVVVCIIRFAKIVATSNRMWHCTNIGCSKIMVDPPLPGVVELKEWFADDCGSPRAVFKEARLT >scaffold_801042.1 pep chromosome:v.1.0:8:10497599:10499897:-1 gene:scaffold_801042.1 transcript:scaffold_801042.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-dihydrouridine synthase [Source:UniProtKB/TrEMBL;Acc:D7MKC9] MTVSDAYSPPLFSIAPMMGWTDNHYRTLARLITKHAWLYTEMLAAETIVYQEDNLDSFLAYSPDQHPIVLQIGGRNLENLAKATRLANAYGYDEINFNCGCPSPKVSGRGCFGALLMLDPKFVGEAMSVIASNTNAAVTVKCRIGVDDHDSYNELCDFIHIVSSLSPTKHFIIHSRKALLSGLSPSDNRRIPPLKYEFFFALLRDFPDLKFTINGGINSVVEADAALRSGAHGVMLGRAVYYNPWHTLGHVDTVIYGSPSSGITRRQVLEKYQVYGESVLGKYGKGRPNLRDIVRPLINLFHSESGNGQWKRRTDAALLHCTTLKSFLDEVLPAIPDFVLDSFAFKEATGREDLFADVRRLLPPPYGKESSKALERMPTRPVILDEEE >scaffold_801048.1 pep chromosome:v.1.0:8:10553064:10555050:-1 gene:scaffold_801048.1 transcript:scaffold_801048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVVDLSRYLESSGDELGSDLLDSCREVSRILKETGALLVKDPRCTAQDNDRFIDMMETYFQKPDDFKRLQQRPNLHYQVGATPEGVEVPRSLVDEEMQEKFKTMPDEYKPHIPKGPDHKWRYMWRVGPRPSNIRFKELNSEPVIPEGFPEWKEVMDSWGYKMISAVEVVAEMAAIGFGLPKDAFTSLMKQGPHLLAPTGSDLNCYNEEGTIFAGYHYDLNFLTIHGRSRFPGLYIWLRNGEKVAVKVPVGCLLIQTGKQIEWLTAGECIAGMHEVVVTSKTKDAIKLAKEQNRSLWRVSSTLFAHIASDAELKPLGHFAESSLASNYPAIPAGEYVEQELSVINLKGKKGFS >scaffold_801050.1 pep chromosome:v.1.0:8:10568109:10569404:1 gene:scaffold_801050.1 transcript:scaffold_801050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLLQGRVNLSTSLCKCRERFDFLYQQRCGLVNVKLKWVKDKELDAVVVREKHLKAVCNLVSVISASPDLKLPIFKLLPHRGQLGLPQDLKLSAFIRRYPNIFVEHCYWDNAGTSVPCFGLTCEAIDLYCEEADVLRVNEKDVIVRLCKLLMLTCERTLSLHSIDHLRWDLGLPYDYRDSLIPKHPDLFCLVKLSSDLDGLKLIYWDEHLAVSQLQIKEDVGNNDHIAFPVKFTRGFGLKRKSMEWLQEWQRLPYTSPYVDASHLDPRTDLSEKRNVGVFHELLHLTIGKKTERKNVSNLRKPFALPQKFTKVFERHPGIFYISMKCDTQTVILREAYDRRHLIEKHPLVEIREKFANMMNEGFLDRSRGLYQKSVDTDSGKNNIKTIYPVWSEEEESDNNPKSRYDSDCHLVKET >scaffold_801051.1 pep chromosome:v.1.0:8:10576653:10576851:1 gene:scaffold_801051.1 transcript:scaffold_801051.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKD5] MPRLYARGDSLGNSRTALGEVSGFGVEKNDEVRGVFIVWVGRWDKHEVDGWA >scaffold_801053.1 pep chromosome:v.1.0:8:10607404:10607761:-1 gene:scaffold_801053.1 transcript:scaffold_801053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTFWLGIVGFVIIAYCLVKNVKGAMIYGIVFVTAVSWFRNTEVTAFPNTSARDAAHDYFKKIVDVHVIKHTAGALSFSGINKGHFWEALVTFLYVDILDTT >scaffold_801054.1 pep chromosome:v.1.0:8:10611512:10611814:-1 gene:scaffold_801054.1 transcript:scaffold_801054.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKD9] MAPKGKASRGRGGGRSGVRVFNGRNPKVAVGTSSNASNPSSSTATVPSQTASMSQTSRPTVPSQYPASSQPPPPARLPSPQVSQQ >scaffold_801060.1 pep chromosome:v.1.0:8:10856272:10856857:-1 gene:scaffold_801060.1 transcript:scaffold_801060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKE6] MLQSEEDRLSKHLRSVPSSAPPSASSSSPTLLYTSSEPSQNHPSTHGSGNHSYSNNSNYGGNRGNRGNRGRGRGGRGYRGRGGRFYNNNYWQPPYPSWPSPPYHNMLQPSSHTPLGTKTDCLIGPCLHKDANKLPVQIKDFFGRGKHMAPEAQNWIVPLSSWINSAFSSNSDNTYRAGYQE >scaffold_801069.1 pep chromosome:v.1.0:8:10898380:10898655:-1 gene:scaffold_801069.1 transcript:scaffold_801069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKNPSKMGRLKLIPDDDDDSQKKGSDCFEVDLEYGERLLHNQKRKDLQKYDELKDRGIINDDEGSESEEEESDSXX >scaffold_801071.1 pep chromosome:v.1.0:8:10903651:10903950:1 gene:scaffold_801071.1 transcript:scaffold_801071.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKE9] MESKKAKLATKKISEYLRTSRGSLDPIPHDLIPDILKKLHVKNPSKIHLHIQTICIDYSQQRFQEIVIDQVLYSSTKSHLHI >scaffold_801076.1 pep chromosome:v.1.0:8:10947282:10947852:-1 gene:scaffold_801076.1 transcript:scaffold_801076.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKF3] MARVKGGDNRVYESTEGSREGAANPDPTEASTDASVPTEASTDAAVPKDAPTDDAYPTEAPMDAAVIGPTVESAEATTELVVFSVSELSDKEEKEEVGEHDKEVRELSIDGQGCDNEEKERVVDSEGEDVAGDNEEEDVAGVVKVSNSLYLLYMVLKVSNRHSCTQLYVFEYAEYS >scaffold_801081.1 pep chromosome:v.1.0:8:10958463:10958810:1 gene:scaffold_801081.1 transcript:scaffold_801081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKAKLATKKISEYLRTSRGSLDPIPHDLIPDILKKLHVKTLARFICISKQYASIIRNKDFKKSYLTKSYTHPQSLIFTFDDNIYKKRVFFFTFDHHIL >scaffold_801084.1 pep chromosome:v.1.0:8:11033261:11033463:-1 gene:scaffold_801084.1 transcript:scaffold_801084.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML03] MEAEETKRQTTAEETEETNDGVHADDGRISEARRKRRRRRYAGDGKQKRKRSE >scaffold_801086.1 pep chromosome:v.1.0:8:11048759:11051894:-1 gene:scaffold_801086.1 transcript:scaffold_801086.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:D7ML06] MSHSFFHFFLISLFLYKNCIAHRYTFTVREVPYTKLCSTKTILTVNSQFPGPVIKVHKGDTIYVNVRNRASENITMHWHGVEQPRNPWSDGPEYITQCPIRPGSDFMYKVIFSIEDTTVWWHAHSSWTRATVHGLIFVYPRPPESLPFPKADHEVPLVLGEWWKRDVREVVEEFIRTGGAPNVSDALTINGHPGFLYPCSKSDTFHLKVEKGKTYRIRMVNAAMNLILFFAIANHSLTVVAADGHYIKPLKATYITISPGETLDMLLQADQNPKRIYYMAARAYQTGNIAFDNSTTIGILSYFSSHKAKTPSFSGYYPTLPFYNDTSAAFGFFTKIKSLYSGQVPVQISRRIITTISINLRMCPQNSCEGPNGSRLAASMNNISFVTPSHMDILKAYYYHIKGVYGTRFPEFPPLFFNFTAENQPLFLETPRLATEVKVIEFGQVVELVIQGTSLVNALDHPMHLHGFSFFVVGVGFGNFNISEGEPSSLYNLVDPPYKNTMTVPINGWIAIRFQANNPGVWFMHCHLDRHQTWGMNVVFIVKNGREPNQQILPPPDDLPPCYE >scaffold_801087.1 pep chromosome:v.1.0:8:11061773:11070647:1 gene:scaffold_801087.1 transcript:scaffold_801087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCCVKRDIIFLLTESRFDVEHGIEECYKTFDLHFKWLLQYWISPYFCKWFEVSKYVQPWDQLSRRRARLITIGVKVAMGSTVKKASKEFYQPMFQNVSQKHMRDCTLVSLDWWLGIVQIDKTKCVILCITDFCTAPTRNVTVKLLGALHKFVGSDFRGFLNDVKPTLLSGLDAEYEKNKKISFKMRLELTEAVNKILEEASKRIQPTGTVIGIITHISWTAAKFPMKCDVLSITGTNEQVVDIKTRSSAMKWPTAFYESFGPKFDIKKFRVGSLLKNMKEHKNPKVLSEGLLWMVSAVDDCGASLLNFKVLKFFLLRNFQLLISLIIKLQCNIEEKSFFCPFDNVSKKQMRECTLAALDSWLGTVHLDKTMRLELTEAVNKILEEASKRIQPTGTGTNEHVVDIKKRSSAMSGLLHSTNHLVLDLITRASADGKIGVEGRNDPFDWLTYRLLDLVTFG >scaffold_801088.1 pep chromosome:v.1.0:8:11076991:11078060:1 gene:scaffold_801088.1 transcript:scaffold_801088.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML09] MAPKGKSSRGHGGGQNTRATAAGGGHNSRAVAADPVESTRPAAAGGGETSRRGGGETSSRGGHQTSSRGGTRTCLGASLCSYLLGTRTLVSRTRSYNGFWMREVNAHPELLKLVGTHCHLSSTITKVYLRNMQNGLRFSHSPDLD >scaffold_801092.1 pep chromosome:v.1.0:8:11217773:11218978:1 gene:scaffold_801092.1 transcript:scaffold_801092.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML17] MLSPVIKFLDDTINLMEENVSPIPNEMGFDNIVILAGTNQHLLGQVSQISLNLFFQDPLPCQYIHPIICRCCWRSKKRFKDLI >scaffold_801093.1 pep chromosome:v.1.0:8:11255854:11256148:-1 gene:scaffold_801093.1 transcript:scaffold_801093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSLTVTVTAPSIRALFLQSCSKTHGSTLRVAYQGVPSVYFEATTGKAYPKSEDIRCDQFDVAFQAVELWIADRLVLPIET >scaffold_801095.1 pep chromosome:v.1.0:8:11270007:11270257:1 gene:scaffold_801095.1 transcript:scaffold_801095.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7ML20] MSPLLLLAVFYNGDEDVSHNHPHEYNMPNNGDDSLDDDSDSEDDGHINDTLINCNREFHFTRLPGYLKF >scaffold_801096.1 pep chromosome:v.1.0:8:11284377:11285156:1 gene:scaffold_801096.1 transcript:scaffold_801096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVVIQIFEYNESAVDAMEGKNCFAIASDQRHGVQLQTILREERDIKPETFASLVSAILYEKRFGPYLCQLVIAELGEDNKPFTCTMDSIAAK >scaffold_801100.1 pep chromosome:v.1.0:8:11298563:11300158:-1 gene:scaffold_801100.1 transcript:scaffold_801100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQPRQEIEAYSFEHNSAGKIMYLPVHNSRKRFCTLEPSPDSPAYNALSTATYEDTCGSCVTDDLNDFKHKIREIETVMMGPDSLDLVVDCTDSFDSTACQEINSWRSTLEAISRRDLRADLVSCAKAMSENDLMMAHSMMEKLRQMVSVSGEPIQRLGAYLLEGLVAQLASSGSSIYKALNKCPEPASTELLSYMHILYEVCPYFKFGYMSANGAIAEAMKEENRVHIIDFQIGQGSQWVTLIQAFAARPGGPPRIRITGIDDMTSAYARGGGLSIVGNRLAKLAKQFNVPFEFNSVSVSVSEVKPKNLGVRPGEALAVNFAFVLHHMPDESVSTENHRDRLLRMVKSLSPKVVTLVEQESNTNTAAFFPRFMETMNYYAAMFESIDVTLPRDHKQRINVEQHCLARDVVNIIACEGADRVERHELLGKWRSRFGMAGFTPYPLSPLVNSTIKSLLRNYSDKYRLEERDGALYLGWMHRDLVASCAWK >scaffold_801110.1 pep chromosome:v.1.0:8:11382310:11383528:1 gene:scaffold_801110.1 transcript:scaffold_801110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7ML35] MTFSPLSTSSRSSCLPMSSVAFLNLRPTRAPPPEPPSPPTPPEPPDPPDPQICLSFGESLSQPPSFTSFSTFDSLLQLSSARASFPSTTSLSHLPAKGPLTVQPPDESPFAICFGDLDARHCPTSSTIASFNDGCYLIDAYTFPPQTFPQVCSSSSHSNSFRMERIIWMFVEFLALVLWNSDLAYSVLMGLDTLVSTFVLSSSTLIALMRSLTAVCRVYLDYALLEVVSWQLGQRCLSIDNRPVNLVLWGFQSPHLSSKELIILPNTSLVFSGIVTGSIVFKTVLLDVEARIIVQDCSRSAFADCLASGLMEALFSPPCGFNKNFQTKDVCFVGCSWLDASLVELFSSPLSQSLILSFVVAVSFYSCSTSMYVVVSVYPALCSHVVSSLSRG >scaffold_801111.1 pep chromosome:v.1.0:8:11384794:11385933:1 gene:scaffold_801111.1 transcript:scaffold_801111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDGLNQIHIKYKLNKSFQFSNQNVDQQVLLPSSSCMHRRIRYSSAVAITATFPNRLLVINRSYSRLCPGNFPIYNKWTVRGCRSFLLPCLLGLDADCIPQMFIFAPLIPPSRRLRDHCSKTIIVP >scaffold_801116.1 pep chromosome:v.1.0:8:11414058:11414586:-1 gene:scaffold_801116.1 transcript:scaffold_801116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVDVIDTNGANVSLVEHDNIKGQINESDCVFNRIEAAVVRTVSPTLVLSRTEVSFDDKHLCEQRYIKGMYVQSILRYVAKDLRSDLSAIHKAKQWHSFELLTDLRILN >scaffold_801118.1 pep chromosome:v.1.0:8:11417783:11419236:-1 gene:scaffold_801118.1 transcript:scaffold_801118.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (B-box type) family protein [Source:UniProtKB/TrEMBL;Acc:D7MLL3] MGYMCDFCDEQRSMVYCRSDAACLCLSCDRNVHSANALSKRHSRTLVCERCNAQPASVRCSDERVSLCQNCDWLGHDGKNSTTTTSNHKRQTINCYSGCPSSAELSSIWSFFMDLNISSAGESACEQGMGLMTIDEDSTGEKSGVLNVNVDQPETSSAAQGMDRSSVPENSSLAKELGVCEDDFNGNLITDEVDLALENYEELFGSAFNSSRYLFEHGGIGSLFEKDEAPEGSNKGNEMQQPAVNNNASADSFMTCRTEPIICYSSKPTHSNISFSGITGESNAGDFQDCGASSMKQLLREPQPWCHPTAQDIIASSHATTRNNAVMRYKEKKKARKFDKRVRYVSRKERADVRRRVKGRFVKSGEAYDYDPMSPTRSY >scaffold_801120.1 pep chromosome:v.1.0:8:11449955:11450964:-1 gene:scaffold_801120.1 transcript:scaffold_801120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFRIPSIGGQPTAFAAKITDNPKTVAQLKSAATPSPHSTVTCGYQAHVAGFFRNVTVLWSKNLMNHSLTVMVSSLDNDMNYCCKIDLVKPWQFWSKRGSKAFDVEGNFVEVFWDLRSAKLAGNGSPEPVSDYYVAVVSDEEVVLLLGDLKQKAYKRTKSRPALVEGFIYFKKESIFGKKTFSTRARFDEQKKEHEVVVESSNGAADPEMWISVDGIVVVHVKNLQWKFRGNQMVLVDRTPVMVYYDVHDWLFASSETAATSGLFLFKPVPVGAMVDESFSDAEEGDSGGGSSPLSRYNSASSGYGPLHDFCLFLYAWKLE >scaffold_801123.1 pep chromosome:v.1.0:8:11489815:11494889:-1 gene:scaffold_801123.1 transcript:scaffold_801123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDKNLRIEVPFMNRRVTDCELELRKFALKNSTPVSERRPHTLSSKGSVYWDLEDIRTPSAPPIMEIGQEENISVEIEKIEDEICREAGVESSNQSSTHLYRVEEFGESVKDSKTVEDAKIWEVNSEELDCHSISGQYAWQSLLAYDACIRLCLYEWSKGSTEASEFLRDECRLLRGFLLQPRGVQSTEENKNVKAEQKPSLKSNNVVRKLRVEVKRLRLIPQRKLRGTDSLRSLMNMQIGMGAEYCRQVSSLVKTGMSSIKQATLSAVSEGSLSFHGNTKHIFNHFACFLIVNLICFTKWKITFVSSEMTWLILVILPAEQFSCYLQMKSTAEGGQIEQGSSVCLQSGTGSYHVFFPESEGDALLIEVQDKKKSVQGKAMISMTSLTENPVNTTLVVLPNLNLFHFASDNMLIKYTDENSTFVQNDNVRWWPIYHGEQECVGKIQLFLGSTTTSDEDYHIKSAPVVETLAYDLLLEAATRAQKFHPQNLRLNGSWKWLLSEFADYYGVSDSYTKLRYLSHVMNVATPTKTCLQLVHELLVPILSARSEKSLTRQEKSILMDCEIEIEKLMATVFENYKSLDENCPSGLADISCPVQESASTALSPAVQVFSLLHDILSPEAQEILKNYLQTAAKKRCRKHMVETDEYVSCNSEGFLLDSVTISTAYLKMKNLCLIISNEIEADIKITNEHVLPSSIDLANIAAAVYSTQLCNRLRAFLSAVPPSCPLPHVNELLIAVSDFERNLDSWGISSVQGGVNSRGLFHNYIMVWIHDMELRLLDRCRAEKVPWSGVITNHSTSPFAEDIYERIKDSLIEYEVVISRWPQYTLILENTAAIVERAIVKSLEKQCNDILIPLKDSFPKRLNMHVQKLTRRQSSVLYSVPSQLGTFINTIKRILDVLHPRVEDILRQWASCLPVVEDKKLLFGEQMNVITVLLRTKYRNYMQAAVDKLVSNTQSNKNTRLKRILEEIKDNEREVEVRERMKMLCSQITDSISNLHDVFTSQIFVASCRLFWDRMAQVVLKFLEGRKENEVGYKGSYYALGIIEDTFASEMQRLQGNSLQEKDMEAPRSVIEARSILSRDNNANHSSYFYV >scaffold_801129.1 pep chromosome:v.1.0:8:11538127:11539719:-1 gene:scaffold_801129.1 transcript:scaffold_801129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVETQSQVLTLVRVRLILVVQQKTAACLCLTTVKNEDKLEFSKILEAIKANFNDKYKEYRKKWGGGIMGSY >scaffold_801134.1 pep chromosome:v.1.0:8:11566183:11568948:-1 gene:scaffold_801134.1 transcript:scaffold_801134.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myrosinase [Source:UniProtKB/TrEMBL;Acc:D7MLM9] MKLLGLCLVLLLAVVTCKAEEITCEETKPFTCNQTDRFNRKHFDDDFIFGVASSAYQACAKGRGLNVWDEKGGPDLGNGDNTCGSYEHWQKDIDVMTELGVDGYRFSLAWSRIIPRGKVKRGINEAGVKYYNELIDGLLEKNITPFVTLFHWDLPQVLQDEYEGFLHRDIMYVIDVKNWITIKQLYTVPTRGYAMGTGAPGRCSYWLNKDRYAGDSGREPYIVAHNQLLAHAEVVDLYRKKYKPKQGGQIGVVMITRWFIPYDSTEANKKATERNKEFFLGWFMEPLTKGKYPDIMRKLVGRRLLNFSEREAKLVKGSYDFLGINYYQTQYVYAIPANPPNRLTVMNDSLSAYSYENKDGPIGPWLLPSKRNVNVLEHFETKYGNPLVYITENGYNSPGGNTTAHEVIADSNRTDYICSHLCFLRKAIKESGCNVKGYFAWSLGDNYEFGKGFTVRYGLSYVDFTNITADRVLKTSGKWYKQFLNGTTKIPDENQNFLRSRLFFENRDQKKVADT >scaffold_801141.1 pep chromosome:v.1.0:8:11638960:11641972:1 gene:scaffold_801141.1 transcript:scaffold_801141.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:D7MLN6] MERFCFKNPILLSFLLVLLLFISIASTQNDDVDHGRKRVQIRVGLVLDLGSVEGKIVKSSVSMALSDFYAINNDYKTRVSFSVRNSHGEPLLALASAVDLLQTEGVEAIIGGNSLLEAKLLGELGEQARVPVITLNSPMSLSLSKYSYLIQATHDSVSEAKGIIAFINGFDWNSVALLYEDHDDWRESMHFMVDHFHENNVRVQSKVGFTVSSSEDFVMDRLQKLKDLGTTVFVVHLSRVIATHLFPCAGRLGLMGDGFVWILTAKTMNSFNENIDDFSKEAMEGVVGFKSYIPMSKELRNFTLRWRKSLLVEEAEITQLSISGVWAHDIAWALASAAEVTRMPNVSSTLLEAISESRFKGLSGDFQLHDKKLLSKKFEIVNMIGSGERRVGYWNSNGSFSSRRHLSSTHDKLETIIWPGGSAQSPKGSSLRESGRKKLRVLVTSSNRFPRLMKVETDPVTNEVIVEGFCIEAFRASISPFNYEVEYIPWLNGSNYDNLAYALSSQKDKYDAAVGDITITSNRSMYADFTLPFTEMGLGIVALKERSMWVFFQPLTPDLWITSAAFFVLTGIIVWLIERSENKEFQGSWPQQIGVVLWFGFSTLVYAHRERLKHNLSRFVVTVWVFAVLILTASYTATLTSMMTVQQIRFNSNEDYVGHLSGSLIANVALTSSSLRAMRSLGLNSSADYAHALLNKTVSFVVDELPYLKVLLGENPTHFLMVKTQSTTNGFGFMFQKGFELVPNVSREIAKLRTSEKLNEMEKRWLDKQLPYTTDDTSNPITLYRFRGLFMITGVSFAFALAVLLILWLRDEWTVLVDSVKLFISRQIIHFRIHFARNIHPSPLDDPIGGNAVQMAQRNRQ >scaffold_801146.1 pep chromosome:v.1.0:8:11661697:11664902:-1 gene:scaffold_801146.1 transcript:scaffold_801146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGILVSDPWLQNQFTQVELRSLKSHFMSMKRESGKLTVSDLASRMGKSKVVGDQNLSNEERATLIQNFHPNLNDEVDFEFYLRIYLNLQAHVNAIIGSGVKNSSAFLKAATTTLLHTISDSEKSSYVAHINNYLSGDEFLNKYLPINPSSNDLFEVAKDGVLLCKLINVAVPGTIDERAINTKSMLNPWERNENHTLCLNSAKAIGCTVVNIGTQDIIEGRRHLVLGVISQIIKIQLLADLNLKKTPQLVELVGDSKDVEELMSLPPEKILLRWMNFQLRKTEYKKTVTNFSSDVKDAEAYTNLLNVLAPEHKNASHLAVKSSFERAKLVLEHADRMGCRRYLTAKDIVEGSPNLNLAFVAHIFQHRNGLSTQTKQISFLENLADDIQISREEKAFRFWINSFDGSLYINNVFEDLRDGWILLQTLDKVSPGIVNWKVASKPPIKLPFKKVENCNQVVKLGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRYNILQLLKNLRLHSNGKEITDVDILEWANAKVRNNGCKTHMYSFRDKSLSDGIFFLELLSSVQTRSVNWSLVTNGVTDEEKKMNATYVISIARKLGCSIFLLPEDIIEVNQKMMLTLTASIMYWTLKQPLHLNKPIGSPDSHNGSLLDDSTSDSSIE >scaffold_801148.1 pep chromosome:v.1.0:8:11675386:11676290:1 gene:scaffold_801148.1 transcript:scaffold_801148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDVTPAATNGAAPVETPLVFTEIKQMLIVEAQKVGDAVTFYKSAFGAIESGHSLYPKRKLDQELPHVLCSELNLAGSSFVVCDVSSLPGFSTAKSEGSRVNHLLGTNNVEAAVAKAVDAGAVKVEVSEAEVELGFKGKVTDPFGVTWIFEEKKTENVVENDENKEV >scaffold_801149.1 pep chromosome:v.1.0:8:11676859:11677282:-1 gene:scaffold_801149.1 transcript:scaffold_801149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKTAMAMMVMIVVVMAKLVDRSVAIDLCGMTQDELNECKPAVSKENPTSPSQPCCSALQHADFTCLCGYKNSPWLGSFGVDPELASGLPKQCGLTNAPTC >scaffold_801156.1 pep chromosome:v.1.0:8:11763723:11763936:-1 gene:scaffold_801156.1 transcript:scaffold_801156.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM24] MREWSNLIIHPRNAMIICGYDDFMYECLRLRQSGYNMMLAYRPRVTSDVVIKSATTK >scaffold_801167.1 pep chromosome:v.1.0:8:11929531:11931098:1 gene:scaffold_801167.1 transcript:scaffold_801167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLSHHGSLSFLPSRRNSVKQNRGGDCVFLPSRRKVRYDSLVVVAATSSGQSIDAPLVPRSAQGRFLSTVLVKKRQLFHFAVADLLKQLADDKEASLSRMFLSSGSDEASLHRRIAQLKESDCQTAIEDIMYMLILYKFSEIRVPLVPKLPSCIYNGRLEISPSKDWELESIHSFDVLELIKEHSNAVISLRVNSSLTDDCATTEIDKNHLSKVYTASVLYGYFLKSASLRHQLECSLSQHHGSFTKQLRHYISEFDPKILQRCAKPRSHEAKSLIEKQSLALFGPEESSKESIVTSFSNLKRLLLEAVAFGTFLWDTEEYVDGAFKLKENENAEEEENSSV >scaffold_801170.1 pep chromosome:v.1.0:8:11958469:11958872:1 gene:scaffold_801170.1 transcript:scaffold_801170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIFFFITLAVLVSSCASNIMTKSIPEEKTQFSNPPLSSDIDPADEHVGHSPDDMKIFFCQECAYHCLEKRKNVFGCENSICRCTFDDIL >scaffold_801172.1 pep chromosome:v.1.0:8:11982446:11984144:-1 gene:scaffold_801172.1 transcript:scaffold_801172.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein [Source:UniProtKB/TrEMBL;Acc:D7MM47] MASNFWTSTHYKELKDPEEVNVVHPLDAQRGISVEDFRLIKLHMSNYISKLAQNIKIRQRVIATAVTYMRRVYTRKSLSEYEPRLVAPTCLYLACKAEESVVHAKLLVFYMKKLYADEKFRYEIKDILEMEMKVLEALNFYLVVFHPYRSLPEFLQDSGINDTSMTHLTWGLVNDTYRMDLILIHPPFLITLACIYIASVHKEKDIRTWFEELSVDMNIVKNIAMEILDFYENHRLFTEERVHAAFNKLATNP >scaffold_801175.1 pep chromosome:v.1.0:8:11992786:11993113:1 gene:scaffold_801175.1 transcript:scaffold_801175.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MM50] MEKNNTKAKLLLALILMALLLSGAEGGRYVPEEQSEVIANNQKLWGWFHLPHYGWPFHKGRFGHFHLKAGLNKQNPVHGSHTTGDNNVAASTVFP >scaffold_801182.1 pep chromosome:v.1.0:8:12056897:12057379:-1 gene:scaffold_801182.1 transcript:scaffold_801182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLHDPDLVGNGPRVYVPEPPEGEEDKKMTKAEKKRKKGVNDESPARHSLIFRGLIPVLYAGSARASHDESTEEAIEFATQYGKEKELCKTGDSVVSLLRVGNASVIKILTVKR >scaffold_801183.1 pep chromosome:v.1.0:8:12058456:12058756:-1 gene:scaffold_801183.1 transcript:scaffold_801183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGVMENTETSKIKSLSNGEVLVEKRGVSVLENGGVCKLDCMTTGLKFKRRKVFAVKDFPPGCGTGVERFGSRTMEDEDEEFEDE >scaffold_801185.1 pep chromosome:v.1.0:8:12065065:12066813:-1 gene:scaffold_801185.1 transcript:scaffold_801185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETENAAIEVNRGLLKELEDMGFSMARASWALHHSGNSSLEAAVNWIIDHENDSQFEKMPLVEFNIEIESPNPSHDTAENAQARAKELMEQARKLREEEETKGEREREKERIRAGKEMMETKRIAEENERKRNIALRKAEKDEEKKAREKIMLKLNADKLERKRRRGLPTEESASTSIQVSLLDYKRKVMSSSRPASKAEEMRECLRSLRRNHKDEDPRITRTAFETLLTIVRNAAKKPDEERYRRIRLTNRLFHERVGRYKEGIEFMELCGFKTEEGSEFLSLSYHDADINRLRDAAFQLNSAITNPFFGLLSTKAEEDREK >scaffold_801189.1 pep chromosome:v.1.0:8:12104923:12106203:1 gene:scaffold_801189.1 transcript:scaffold_801189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKPRFQPLKLREQRSLGLSLKTIAELKASYEIEKVKIFCKVLQIDLDYSWYYYAHIKCNKKVFPSRKLLSSGAKKIFYRCEKCNAKVSAVEASQNLNQADPKDEADYPLDLSSMSSSDQEHDLSKFLHKLDEAGEQVITKASEDEQKKVLLMKIKVEKIEGQNGAK >scaffold_801195.1 pep chromosome:v.1.0:8:12143649:12144048:-1 gene:scaffold_801195.1 transcript:scaffold_801195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHESQIGLALISEQRSSHYKKTFKSLGSLPSTDAILIIDHDDGEEVSSYLEPKNDNEPSNVVKGLLKSKDDDDAQYRVDWRFSSTDIHEKKTEKKTKKKNEVERSISTPPESAMGSV >scaffold_801198.1 pep chromosome:v.1.0:8:12154350:12154602:1 gene:scaffold_801198.1 transcript:scaffold_801198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDMNASITITGVVPKAMDCNSRSVIKLKKVNKYNQTARNERLVSMVDLEKAVREVLNLFGLLTTQSYS >scaffold_801199.1 pep chromosome:v.1.0:8:12159053:12159243:-1 gene:scaffold_801199.1 transcript:scaffold_801199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKGAGLAPLPTRLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_801201.1 pep chromosome:v.1.0:8:12171841:12174265:-1 gene:scaffold_801201.1 transcript:scaffold_801201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRKSKNSLTFIDDINPIHDQNKIKVLILRLWKAYQRDAGNTIEMVLVDEKGSRIHATVEDKNIKKFDSVLKEGDVVTLNPFKLIKYSGDYKSNSLSFKILFYRTTQVKPCDDFPKEVPEKYFVEFGDVLNGSRDTRVFVDVIGQIVNVGPIEDIKIRGKSTPKLDIELRDRGNVRLICTLWADFAKQVKVYTEANPAAVVCVIRCAQVKEWKGNWTISNAMGATRVLLDPPGVFVDEFRSGLPTDGVVLTNHDNSELFAGSTVSIRDQFLVKNHKRTVRDIVEALEEGMCVTMVTVGSVERTSKWYYVSCKMCNKSVEPYPENSGDDGKPPLYYCGVCDKDVSAVVFRYRLVLEVSDATNYKARFLLFDAMGSTLLRRTAQELYNAVSENDPSILPSEIGALVGRRFLFKVSIGGDNLKSDRSHYVVQLFSDDDELIKDYSDGLDSEVLVPLPVTPITKEASVRRGGVSVENPKPPAKKIKIEGKKEESFATT >scaffold_801205.1 pep chromosome:v.1.0:8:12189241:12190138:-1 gene:scaffold_801205.1 transcript:scaffold_801205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFHDVSILRPCITGWHIRVKVLRMITVCINPRNALRLVLVDDKGFKIDCWINSEYAKHYAEFLKEDRWFSFTEFRVLENSDRVRLTNHHFRMSVFGTTVVLPADPPSTEPRDSFTPFSSIIDGTVDESVLIDLIGVLSDVGELVNVGTNPSDLTGFRLSFRIRGPWLRECYRWFGVGTLSDGVPKAL >scaffold_801211.1 pep chromosome:v.1.0:8:12219177:12221325:1 gene:scaffold_801211.1 transcript:scaffold_801211.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:UniProtKB/TrEMBL;Acc:D7MMM6] MEKHHHQHLLLQHHQHLHHHQKLSLAKSSRQSCSEWIFRDVPSDITIEVNGGNFALHKFPLVSRSGRIRRIVAEHRDSDISKVELLNLPGGAETFELAAKFCYGINFEITSSNVAQLFCISDYLEMTEEYSKDNLASRTEEYLESIVCKNLEMCVQVLKQSENLLPLADELNIIGRCIDAIASKACAEQIASSFSRLEYSSSGRLHMSRQVKNSGDGGDWWIEDLSVLRIDLYQRVMNAMKCRGVRPESIGASLVSYAERELTKRSEHEQTIVETIVTLLPVENLVVPISFLFGLLRRAVILDTSVSCRLDLERRIGSQLDMATLDDLLIPSFRHAGDTLFDIDTVHRILVNFSQQGGDDSEDEESVFECDSPHSPSQTAMFKVAKLVDSYLAEIAPDANLDLSKFLLIAEALPPHARTLHDGLYRATDLYLKAHQGLSDSDKKKLSKLIDFQKLSQEAGAHAAQNERLPLQSIVQVLYFEQLKLRSSLCSSYSDEEPKPKQQQQQSWRINSGALSAAMSPKDNYASLRRENRELKLELARLRMRLNDLEKEHICMKRDMQRSHSRKFMSSFSKKMGKLSFFGHSSSRGSSSPSKQSFRTDSKVMERTCASTD >scaffold_801217.1 pep chromosome:v.1.0:8:12257643:12258815:1 gene:scaffold_801217.1 transcript:scaffold_801217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPSQLLPSELIDRCIGSKIWVIMKGDKELVGILKGFDVYVNMVLEDVTEYEITAEGRRVTKLDQILLNGNNIAILVPGGSPEDGE >scaffold_801220.1 pep chromosome:v.1.0:8:12266947:12267514:1 gene:scaffold_801220.1 transcript:scaffold_801220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:D7MMN5] MEDHYHQVEVEEEEEIKPSKEANKTDEDTSSSRIFPCLFCSRKFHSSQALGGHQNAHKKERTAARRAKRAYDFINSNDLLHTLPVFLSSPSRRHLTILGYPASASVACFPAVHTDHPIFRSSGSHVVLATSHQGRECKGGYFGQQHVDILDHHYNVVNSDKGKDQCLDLSLHL >scaffold_801221.1 pep chromosome:v.1.0:8:12280182:12280952:-1 gene:scaffold_801221.1 transcript:scaffold_801221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGGKSKRGRGGGGRAPKVSPNRPVANRPTASGTSNRRPSTLPSQYTFTPANPEARETQQSPVNPVALESQPPTHRDYPPPTQLFQSGDDSPRGSGSHPFRESGSTQVRGSGSVQGRGSVGSIHRLASRSNQPPAPVQPPAPVQPPASTQPAASNRQIPSRQQRPSPQPRASVSHHSSQAQNSHEESEDEEAEGESEEDVLRDSTLPEDVLADLHATLLIPGREKYTTVISPNLEPETTW >scaffold_801226.1 pep chromosome:v.1.0:8:12309396:12309890:-1 gene:scaffold_801226.1 transcript:scaffold_801226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIEKLTKLIHVSTKNYIHGYIGDTPAYLRNIVDTICIMAWTCFSFMRLQLMRQTPSAYENYRKSGRYFDIVYHENARSLPHYQNIYQIE >scaffold_801227.1 pep chromosome:v.1.0:8:12310411:12311970:-1 gene:scaffold_801227.1 transcript:scaffold_801227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPFVDEGKTEDSLKEDEIETLLEQHEEVFMTLFLGTYVECIKEEVVLSTGHALYFTAAGKEHRHVGSNSINLLGSTSHTIFTQGLIDLAGSESSTSSETKITGLRRKEGAYINNSLLTLGTVIGKLSDGRTTHVPFRDSTLTCLLQSSLCGH >scaffold_801229.1 pep chromosome:v.1.0:8:12334584:12338351:1 gene:scaffold_801229.1 transcript:scaffold_801229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPRKALTVSHFSITLSLFLAFFISSTSASTNEVSALISWLQSSNSPPPSVFSGWNPSDSDPCQWPYITCSSSDNKLVTEINVVSVQLALPFPPNISSFTSLEKLVISNTNLTGSISSEIGDCSELRVIDLSSNSLVGEIPSSLGKLKNLQELSLNSNGLTGKIPPELGDCVALKNLEIFDNYLSGNLPLELGKIPTLESIRAGGNSELSGKIPEEIGNCGNLKVLGLAATKISGSLPVSLGKLSKLQSLSVYSTMLSGEIPKELGNCSELINLFLYDNDLSGTLPKELGKLQNLEKMLLWQNNLHGLIPEEIGFMKSLNAIDLSMNYFSGTIPKSFGNLSNLQELMLSSNNITGSIPSVLSNCTRLVQFQIDANQISGLIPPEIGLLKELNIFLGWQNKLEGNIPVELAGCQNLQALDLSQNYLTGALPAGLFHLRNLTKLLLISNAISGVIPPEIGNCTSLVRLRLVNNRITGEIPKGIGFLQNLSFLDLSENNLSGPVPLEISNCRQLQMLNLSNNTLQGYLPLPLSSLTKLQVLDVSSNDLTGKIPDSLGHLILLNRLVLSKNSFNGEIPSSLGHCTNLQLLDLSSNNISGTIPEELFDIQDLDIALNLSWNSLDGSIPARISALNRLSVLDISHNMLSGDLFVLSGLENLVSLNISHNRFSGYLPDSKVFRQLIRAEMEGNNGLCSKGFRSCFVSNSTQLSTQRGVHSQRLKIAIGLLISVTAVLAVLGVLAVLRAKQMIRDGNDSETGENLWTWQFTPFQKLNFTVEHVLKCLVEGNVIGKGCSGIVYKAEMPNQEVIAVKKLWPVTVTLPNLNEKTKSSGVRDSFSAEVKTLGSIRHKNIVRFLGCCWNKNTRLLMYDYMSNGSLGSLLHERSGVCSLGWEVRYKIILGAAQGLAYLHHDCVPPIVHRDIKANNILIGPDFEPYIGDFGLAKLVDDGDFARSSNTIAGSYGYIAPEYGYSMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHIVDWVKKVRDIQVIDQTLQARPESEVEEMMQTLGVALLCINPLPEDRPTMKDVAAMLSEIRQEREESMKVDGCSGSCNNGRERRKDDSTTSSVMQQTAKYLRSSSTSFSASSLLYSSSSSATSNARPNLK >scaffold_801231.1 pep chromosome:v.1.0:8:12348801:12349532:1 gene:scaffold_801231.1 transcript:scaffold_801231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKFSSCFLFSLMVFFLILLPLISGQMIPCLLGKCKNTRTCNASCKSRGYKGGACISMDVRSKTGAYCCKVRFE >scaffold_801237.1 pep chromosome:v.1.0:8:12378131:12378731:1 gene:scaffold_801237.1 transcript:scaffold_801237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHILSHKQSIISRGFLSHTLLDLHHHPLIREHALAAVSLLTSSSVVSRKTVFEQAYGGVTILIKACRSGSEEVQEHIAGAISNISAVEEIRTTLAEEGAVPVLLPLLISGSSLVKEKTVNFISLISSSGEYFRDLIVRERGLQIRR >scaffold_801238.1 pep chromosome:v.1.0:8:12382285:12382652:-1 gene:scaffold_801238.1 transcript:scaffold_801238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENPGAETNIENTKRKVLVYTPSNEVITSYSHLQQHLYALGWERYYEEPHLLQFHKASTVHLISLPSDFSRFKLMHMYDIVVKNRNMFQVKDM >scaffold_801241.1 pep chromosome:v.1.0:8:12405568:12405896:1 gene:scaffold_801241.1 transcript:scaffold_801241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQLVRAHEGLSLLEMLLVSKSFRTILTSPELYQTRTLLSLTETFLYVCLRFPDEAIIRWFTLYRKRNQTLTKKRSQLSSCGLMHRPKLGFFIN >scaffold_801242.1 pep chromosome:v.1.0:8:12408496:12409736:1 gene:scaffold_801242.1 transcript:scaffold_801242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPRTTVFVVLCFLFVLAPYFARATTDELQARCDSHSFNNGKHFRSCVDLPVLDSFLHFSYVRETGVLEVAYRHINVDSSSWIAWGINPTSKGMSGSQTLLAYRNSTSGVMRVYTSSIKGYSPTLQESPLSFRVLQLSGEYLNGEMTIFATIVLPSNITVVNHLWQDGPLKEDDRLGMHAMSGDHLKSMATLDLLSGQVTTTKAANDNMLLVKKIHGLVNAVCWGIFMPIGVLAARYMRTYKGLDPMWLYIHIIFQTTGYFGGLLGGLGTAIYIAKHTGMRSTPHTVIGIFLFALGFLQILALKARPDKEHKYRKYWNWYHHTIGYVVIVLSVYNIYKGLAILQPGSSWKIAYTTIIGVIGMFATVMEVMQFKSRWGGLCCKESEDREADQTVSTNV >scaffold_801243.1 pep chromosome:v.1.0:8:12424851:12426046:1 gene:scaffold_801243.1 transcript:scaffold_801243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSQLSWKKHKPSKYPTSNPSLPYDLIVSILARVSRSYYTNLSLVSKIFRSILASPELYQTGTLLGRIETFLYVCLRFLDEANPGWFNLYRKSNQTLTDQTTKKKKTKKKKKKKKEKSSVNLLAPISVPNSPPVEWSAIISVGHNLYAISADFEKAPYSNVPFLDCRTHTWHEAPRMRLAHTSSVFERRMYLPGSSEKPDSLNCVKVYNTKTQTWKTGAARKTDIRIGKFGRKGLLQEFDLVSAGKGLTLSSKSKNLTCGLDTDLYRYFSCMIENITYCYWDGNFVWRKFEGIAVNRMLKGIEGLPKFSRYCTVKLAEYGSKLVILWDKCVAASGYKEKMIWCAEISLEMRNSEEIWGKVEWFHAVLRVPKSYKILCATAATL >scaffold_801244.1 pep chromosome:v.1.0:8:12427590:12428791:1 gene:scaffold_801244.1 transcript:scaffold_801244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEKKRKSPTRKTKKRSLTPSPESTSNPSLPDDLVVNILARVSRSYHPNLSLVSKSFRSILASPELYQTRTLLGKTETFLYVCLRFPNEANPRWFTLYRKPNQTLTKKKKKKKKEDSIGNLLAPISILNPPPLEWSSLIAVGSYLYAITAAMDDSPCSNVWYLDCRTHTWLDSPRMRIAHTDTPYDGNVYLAESSESPDSLNCVEVYNTETQAWNPVPPKRPIFELENLEGTIYMNLEGMSPWQATAFKPKVSTSELVGLHMILGRVSYCTIDKVDYHYAPHYPPGVNLTWRTNNTSGILEGLEGLPQFANSCTVILADYGGKLVVLWDKYEQGFGYKKKMIWCAEISLEKRNTEEIWGKVEWFDAVLTVPKSYKFVCAKSVTV >scaffold_801245.1 pep chromosome:v.1.0:8:12429678:12430866:1 gene:scaffold_801245.1 transcript:scaffold_801245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFTEDLWSIILARLPLKSITTSKLVCKQWKSIVESPYFRKSLYQNLHSSSWSLLVWDDKKDLGTTLYGCEPSMGSYISSFLNNKFEIQRHKYVYLIRDYTDVGLILISEVSKKPSFRNSTVYVANPVSQDCVKLPSHLIENVYPLGIVTRTENGVVLDYKVVLLAFGDVKEKMEISLLIYSSETGLWSLSIVHLPSSLYYGYFSRSISLNGNLYWLNRNSDNEDVIVSHDFYATGTDSDRFRVTRFPDSGKHPKFKRACTIFQGFLMYMNVVSITKDDGSLEDKLIIWKLKSGEWQLVSEISADFVNPGFDHIPVTINSVDAKTVYFWNRKHQSLVSANLCNGKFVLHSELEHSGRSPNSVECIIRSDCPSFVLPRWLYP >scaffold_801246.1 pep chromosome:v.1.0:8:12432313:12433520:1 gene:scaffold_801246.1 transcript:scaffold_801246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLTEDLWSIILARLPLKSIITSKLVCKQWKSIVESPYFRKSLYQNLHSSSWSLLVWDDKKDVGTTLYGCEPSIGSYILSFLTNKFEIQRDKYEYSVWDYTDVGLILVSEVSKKPSILINAVYVANPVSQDCIELPSHLKEYVFPLGIVTRTENGVVLDYKVVLLDFGNVNENMEISLLIYSSETGLWSLSIVHLPSSLYYQYFYRSISLNGNLYWLNRNSDNEDVIVSHDFYATGTDSDRCRVTLYPDSGKHPKFRRGCTISQGFLMYMNVVSITKDDGSLEDKLSIWKLKSGEWQLVSEISVDCVNPSFDHIPVTINSVDAKTVYFWNRKHQSLVAANICNGKFVLHSELEHSGRSPNSFECFKRSDCPSFVLPQWLHLTPVRGV >scaffold_801247.1 pep chromosome:v.1.0:8:12434135:12434919:1 gene:scaffold_801247.1 transcript:scaffold_801247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLPPILSPIQSQGWVVFHNTQTLSLHVGRVDPRAVSFVSFHNTSTGLKFSIQYCFIFLFFRKKFVSGDPYHRRHLRLSTDEVSPNLWFGEVIWVFDPGINRQILLIEGSGIHDNILWSWILSDDGDVHIIPQLDYSGFMEEQIMVTNRQRWIRRYKRHDSEPFQAIYQCVRLFKVMRKAFGNFIYKMLAFYEYMKRGLNKCHLLPIRLSFGKQGYFHFFIEIVFNFLYFIQWLYFNGSCIDVTLL >scaffold_801250.1 pep chromosome:v.1.0:8:12441731:12442213:1 gene:scaffold_801250.1 transcript:scaffold_801250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSLKLRNSFKSTSQKRFQRMNSGKQNQSNQQKLLNGDKESGSPEISSGGGFAVVCRDAAMVLSCCYCCFCCGACIDDEEI >scaffold_801254.1 pep chromosome:v.1.0:8:12478252:12478546:-1 gene:scaffold_801254.1 transcript:scaffold_801254.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:D7MN54] MVLPLYLPVLFLQTLHHFKTGKYNGSTIMILGRNTVLSKVREMPVVGGSGMFRFARGYVEAQTKWFDIKTGDATVEYKCYILHY >scaffold_801255.1 pep chromosome:v.1.0:8:12479484:12480030:1 gene:scaffold_801255.1 transcript:scaffold_801255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSERVVVVGVDDSAHSYHALETALDLFFIPFKANPQFKLVVVHGRPTATSFLGVAGPGTVDIIPMVEQDLNKTAELVKKKCSEVCSAKSVEISSLEVIEGDPRNIMLEAVERHHACVIVLGSHGYGAVKRVFLGSVSDYLAHHAHCSVMIVKKPKAKPTSTETH >scaffold_801256.1 pep chromosome:v.1.0:8:12491116:12491443:-1 gene:scaffold_801256.1 transcript:scaffold_801256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFKSLLPVIDISPLVVKCDDSNMAEDADVAKVVRKLDKACRDAGFLQIADQLF >scaffold_801259.1 pep chromosome:v.1.0:8:12507996:12512678:1 gene:scaffold_801259.1 transcript:scaffold_801259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQNKLVLAPMVRVGTLSFRMLAAEYGADITYGEEIIDHKLVKCERRINVAYGTTEFVEKGTDNVVFSTCKEERNRVVFQMGTSDAVRALKAAEIVCNDVATVDINMGCPKAFSIQGGMGAALLSKPELIHDPIKKSSNFSWLRTLPPVITFQLKRCIFLPKTTAKKKITSSFSFPQVLDMGSRLAESSQNKLTYDLSAVLIHKGSAVNSGHYVAHIKDEKTGLWWEFDDEHVSELGKCPFNEASSSTPQSESNGTASSENITDVIQSGSSDCRSAIKSEVFSSSDAYMLMYSLRCDKQENQEGQKENPIDISKGKVDSLQQLKGGYLPLHLYEWINNMNAVFLESCKQYDLRKEKELSALTERRQEVRTILSEAAVQSLEEQYFWISTDWLRLWADTTLPPALDNTPLLCSHGKVLASKVNCMKLISELAWTKLESKEKRTTAGTALWDGARMVVSSDSYRDRRTFMKSVANDVLSGKCEDGMYYISRAWLQQWIKRKNLDAPTEADAGPTNAITCNHGELMPEQAPGAKRIVVPENFWSFLVEDALKVMPEDASDCTCFPVDSSQCCHCTEELSEVACLEDSLRTLKVKQRQNHEKLATGKNIPFTPQSRYFLLPSPWLVQWRIYINMTGKNSSSAPEPERLDGVINTLKCKKHTRLLERLPELVCRRGSYFQKNPSTDKLTIIPEIDWKYFCEEWGGLMENGISALIEVGNNTDQSSSPDIIDLEKHSSPDDNMEVDAQQLILRASPEVFALLS >scaffold_801263.1 pep chromosome:v.1.0:8:12536822:12537347:1 gene:scaffold_801263.1 transcript:scaffold_801263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTVEVGSCKHMVVVGTCSQPLEKESSTVEVGSCRGTVVVGTCSRPSEKESSMVVVGSCRHTVVVDICSQLLEKESCIVVVGSCRRKVVVETCFPPLEKESSTVEVGSCRRMMVVETYSEPLEKERSTVVVGSCRRMVVVENCSQLLGNESSTVEREEL >scaffold_801266.1 pep chromosome:v.1.0:8:12558506:12559665:-1 gene:scaffold_801266.1 transcript:scaffold_801266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEKPFWVKHEGLQIFSIDVQPNGERFATGGGDHKLVLQHNLSQLVQKMKTQVQHTNKDCITIDQLNKKRSYRRTIVRLTKKWEARYLKKNNELIGINFLLLDEKENTIQGSVHHSLIEKFGAHLCEGSLIEICNFNVQDCNKNYKVSDHKFQMILTERTTIASVNQNLCKISLEKFRFRNHEDLAKLKDMTQHLYVEWKSQQQYGQNKQNKLF >scaffold_801267.1 pep chromosome:v.1.0:8:12578377:12580213:-1 gene:scaffold_801267.1 transcript:scaffold_801267.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:D7MN67] MEDRISLIKNDVTELIGNTPMVYLNKIVDGCVAHIAAKLEMMEPCSSIKDRIAYSMIKDAEEKGLITPGKSTLIEATGGNTGIGLASIGASRGYKVILLMPATMSLERRIILRALGAEVHLTDMSIGIKGQLEKAKEILSKTPGGYIPHQFINPENPEIHYRTTGPEIWRDSAGKVDILVAGVGTGGTVTGTGKFLKEKNKDIKVCVVEPAESAVLSGGEPGPHLIQGIGSGEIPTNLDLSIVDEIIQVTGEEAIETAKLLALKEGLLVGISSGASAAAALKVAKRPENAGKLIVVIFPSGGERYLSTELFESVRYEAENLPVE >scaffold_801268.1 pep chromosome:v.1.0:8:12586359:12586561:-1 gene:scaffold_801268.1 transcript:scaffold_801268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVPGEPTKTETSMVDTAASAVQSFAPINQIHQHLCAYATELINPLFSFMF >scaffold_801269.1 pep chromosome:v.1.0:8:12596810:12597077:-1 gene:scaffold_801269.1 transcript:scaffold_801269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETLGQAPKTCFEALKDASKGASTCDSELCASLCKKKSADGVGTCRTKTTQPSKGQPECHCRFWCRSDGSPYK >scaffold_801270.1 pep chromosome:v.1.0:8:12616919:12617855:-1 gene:scaffold_801270.1 transcript:scaffold_801270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSRNVQIGTRRSPRLKKMNTSENNVDDVPPPSEKDVEKTAPESARKEADMSDNESAGLYYGGPSDEDEVICDGGEYDKGPLDSATKQQDKSDTEMADISEDDKDVFPAEEHKTGDDEDVLPEDETKTGDDQDMLHQEESNVGDDQELFNQEESNVGDDQELFNAPSSPKRGADELEASPADEPTIAGQRNAGGAIGVDGNLSSMPSPSSVIV >scaffold_801271.1 pep chromosome:v.1.0:8:12619743:12619966:-1 gene:scaffold_801271.1 transcript:scaffold_801271.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MN71] MTAKKKNLLKKKTRRRAKVAKSSKKGEANSSPEKEIEIPSPRRSPRAQVRVSICKNRNQI >scaffold_801273.1 pep chromosome:v.1.0:8:12627850:12628710:1 gene:scaffold_801273.1 transcript:scaffold_801273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSALPFSDRTFRKKPSSSNFFLRARAAAKEVHFNRDGSVTKKLQAGADMVAKLLGVTLGPKGRNVVLQNKYGPPKIVNDENVGVKLVRQAGAKTNDLAGDGSTTSIILAHGLITEGIKVVSAGTNPIQVARGIERTAKALVLELRSMSRKIVSYLVYMFTDMMTHVINWKRI >scaffold_801276.1 pep chromosome:v.1.0:8:12643431:12644626:-1 gene:scaffold_801276.1 transcript:scaffold_801276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVKDQDMGDGMQCINHPFTKNPGGICAFCLQEKLGKLVTSSFPLPKHLSSSSTSSSPSFRSDSVGSTTTASAASLSLSVSGATNNNKLPFLLAKKKKKMLTASSSATTANIVYKRSQSTRTTKTTYGDSDLSPRKRNGFWSFLHLYSSKHHGSSKKVGNFHQPTSQIEIKTELTETTTVGSSSSSSASSSMSKRVVGGSNSNRNGIDVIVEEDGSPNIEVTPSERKVSRSRSVGCGSRSFSGDFFERITNGFGDCTLRRVESQREGNNNKGNKVSSNPSNGVREMVRCGGIFGGFMIMTSSSSSSSSSSWVSSSSAEHHHHNHNMGHGGGRNRSWGWAFASPMRAFSSSSSFGKRGRTISDSTSKNTTPNLGAIPSLLSVRS >scaffold_801277.1 pep chromosome:v.1.0:8:12677309:12683123:1 gene:scaffold_801277.1 transcript:scaffold_801277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVAAAEEPTQRPFTDNDVIRLAQYHHCQTGFSLPPYLLSPSSHDSLLSYLKSRSSSPSPSKPVSEYVIALLSLISLSPTTPSLSSLLASLLISYTQIFNSGKIPSDSDSLKTIQLFGTLLRHLHVKEVKFVVDSILLGVSRVITVDEAQLFDLLPVCFDLLRNSREAKASEIDFVDSAIDRVLSCEWSKGLLTKMVSLAKEFSFLDKGRKSELVEKVFLGIKLIDLQDLPSLVYQLLVLASKGFCKREVIGGVVGFFGSKAETRVASVVRQIEGTVLLHVNFAVKQDPSLGLEVVALVKSDLRAFNHFTVAVLFSVARVRKFGENSLGILRTALLNAYNDYRLSKDCKWLPDELKEDSFLHAKLVEKSLLRAVSECRYGREHVVPSVIQFGFMLLESVEESRSNEFGDSNGVLGIEKLSIQILGTLFEVHDMTRNEIIEQCKFRILSLKCAKSKPIVRLLRYLVQRYSLIMLEFVHHLKELLGYFTFMEGNISCLLVSAVIPLIKFSHDLQDYTILVIRKAMFRREYTVRVAATKVITDLILAEKQAKRDGSFTLQDSSSQASSSQQTEMSCIVRGNLFTELNGLLQRCLYQQALVKEVVYDGLVKLVLIDPSTGGHVLDFLMPHFLRFFRQDTDFQLGIISCIKLEGGKYIIEEPLDRLLFCISWILLLQVHNSSDRPSDAAWPCFGFSLSQDNEQGGRNISHEVYSNALVKIRNFLLGKNLEDIVGQSQDTVSASLEDDKRKSYCLIILGIIQVQLNYIIVDLEKQPEGKKGAVRKEIVDLIDLYESLEKDVGKLKPSNIGKRVRFSTCNDTDAGNTSISEEREKVPFLATSSIYQLFLLSFKLYSSKSVGTLSGSQDHSQSSPAKTEKSISKIFSFTLQVCVGHIRSSLCMKEENPLKPLVCGDMKVLGPPLLKVLYLLKPDPPLATGQTKKDSKGKKDAEGRKQCLHLALLSLKELLNIYSSGSGLTGLLEDLLAVPASEDATLEECREASRIEDPLVKGIEIFMEKIMKPMITDFIAQNSNDVEEANTIIFNCAVQILCAIMLKLGNNLPDKSKQRHGSWAHQMCRSCETSNTTVAKSIVKLAISFTTSPGDLCIAVEVAKELQNIMGLDKSDTLEVSESYMVINQSTSASVTSCILQSTDSAIVEMDWATKKLKNFYVVSQKNIHLNDETESTVGLVLEEALYSMAESTVRILSSFVLMNLKDSQAAQFLRLAVRFYKQLAQIVKLRIAPKGCKQILPSLKFQKLVELTCKSLTVPLYPFLSEMQKEQQESVSSNSKGIINKIKQENKCIPDLIFQIEDCERYLIQLSKVTKLNLLRHAKRSTARDFKIIEDAEPPAGDEDGGNQEEETEIQNNNFGINEDDLRQESDDDGSEEMLSPRNASSVSSPGLDSDKAIAAETEEDDEEEKEEQEEGKEESGDNRPKKMAKKSWVVEDSDEDSETF >scaffold_801280.1 pep chromosome:v.1.0:8:12731850:12732435:-1 gene:scaffold_801280.1 transcript:scaffold_801280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHLNGHEIVRDSHYGSILQVSDESGLLCQNSISEDEASVSEFEEEDDIANKDDYVQTEEVVALEENKVNEKKDELCIGMEFSSDEAAYIAYKQYGGNHGFNVRKQRRTKKQEKVVRLLYVCSKQGYRKEPKVIKSYSQPITRCGCNAHMTCYLQKSGCVQMKMPFTCLRNWREKKRNL >scaffold_801281.1 pep chromosome:v.1.0:8:12735074:12735618:-1 gene:scaffold_801281.1 transcript:scaffold_801281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISNLPDEIICHIVSFISAKEAVLALVLSKKWQNLFTIIQNVELQDTALQHITYTTMWSSLKTMVKLDLGTRFTIDRFPKNAFLPALKTLNIAC >scaffold_801282.1 pep chromosome:v.1.0:8:12736729:12740629:1 gene:scaffold_801282.1 transcript:scaffold_801282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFNIVIDDENFKVLDSSLHFEVEPGLMYPSVSVVSWMGLANVFEAGMNASILILPKDAFGNNISFSGKEMEFQGFSLSLLNENGSIAAGVLNITHIRWIESGYISIEFVLVTTGKFLLLVEKESQTLNGVPLPLEVNSGPLDVSNCVSIWKSELNTWQIFSKMEILLHQKDRFGNLVSGFYEFDADVVEIETGLSIPVADFQFEYVEPGIQLMSFSLSEPGNFLLTLSDMKHNKSIASMPYLYTVYIGYCDGSRSIVNGSGINASIAGESLAFSIYLKDAYGYPSSIQVDRLQVRIVLETDSSFILPTIQPREALNGTGSSHQTATPLYEKHGGIASGSLPTQASIFDVTYTPKRSGIYRILISSGNIVLNGGQPFIKEVYAGEVNVAACSVTQFNAKVPKEIKNDVVVLLLDGFYNPVPSQSSRLKLEITSANTSSFTTWEFVDNNDGTYTGSYLAMEVGTYRMCISFDNKHIEPCPFDVNVYSNGYFPRAYDDPVNVWEDESISFNPLENDYFAGDNASMLGFSQPGHGSLLRDGNVLRYTPIKDFSGNDSFLYTIADINGNLAAATVYIFVLTAPPQFVSFSGGLQATEDLISPRYGGFSGLEISYSDLLENISVTVQALSGSVILSPMLMQFRPPASGRLSVSNGGEDGKVLILEGQIGVINPALQSIQYLGNENFAGVDSLRLSTKNKNGINHLDVPVFVEPVNDPPFINVPQYIMLESNGSESLIFHPERHKFNFSVGDPDLVNFPGGESHFLVTFSVEVTDGFLLTNLPSELINSTELKFKNMFQWQPIQTYAAISKHVNVKASGIRFRGTIKQCNDLMQQLLHRGGENGAVLTLKLSDMGNYGCFLDCTERISLPLHAKARVNLIRKRPLSSLGAHGTFMKHLVVVPFKLWFDFGLASIKLFSFLMVLFG >scaffold_801287.1 pep chromosome:v.1.0:8:12779346:12780492:-1 gene:scaffold_801287.1 transcript:scaffold_801287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEEKLPWELVDEILSRVPPTSLFRFRTVCKRWNVLFYDKTFMNNHKMTFRFILATESKIYSVSIDPKIEVCELTLYFPGLEYQKPQYLVDCDKFLLYGLDKGAVVWNPWLRQSRWIESSEIKQTPMQFSGIGDVDRNYKILASCSPTGLPNKSWCKIYDFASDAWKDYGSGDGIEERSSYVTFGDSVSLNGTLYWVAIEKTHKSFHLACFDFSRGKFIKGRNLPCEKTNSLKDALVLGVFKGDRLSLLKQCHKTKKIEIWVTKYKVNTNLAREDVEWINFMEVSSPNFPSLVYYSQPSYFIEDKRLVVCSWDKIGRAWIYVLGENKLISKSHIDYVVDPWPFHCTFIPSLVPVPRSQREELAELQV >scaffold_801290.1 pep chromosome:v.1.0:8:12789692:12790034:-1 gene:scaffold_801290.1 transcript:scaffold_801290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLDSNRRHLLRRRTLHRMMMNRRFHAYLGGVGSLDSTCKLVADLNLTRDLNITGKGNLHVLPGVRLVCQFSGCSISVNISENFSLAENSGKNRVKR >scaffold_801293.1 pep chromosome:v.1.0:8:12816585:12817732:-1 gene:scaffold_801293.1 transcript:scaffold_801293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSRTRRVTDPLDDDARLGSSAATATLLRSSRSQGQHANTHVCCKLLPNVFVGKEENLRTIVRESCDAAKRSLKSRGLSLPPWRRSSYLQHKWFSPYKRKVGSSLGVKPLNSDAVSCRSLGYDDGAVNTRLFIRA >scaffold_801294.1 pep chromosome:v.1.0:8:12828992:12829361:-1 gene:scaffold_801294.1 transcript:scaffold_801294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTPLLASIPAWAVGPLLILVGVMMIKSVTEINWEDMREAIPAFVTMILMPLTYSVAYGLIGGIGSYVVLHLWDWGEKGLVKLGFLKRKVEEEEEEEEEVGGEFDI >scaffold_801297.1 pep chromosome:v.1.0:8:12851787:12853124:1 gene:scaffold_801297.1 transcript:scaffold_801297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCNKLSRLVRQSENASMIGSLRSFSAEATHHRFHQETHNFLEPENYIGSWEAPSDPKDAERKLAQLRRDYAKKVKVYRKEYIHEIEMLRVEKQRKDEARLLAERAANEERRRLKAEAAKVRAEERKIADEEFRQTLIKERAEKLEIWKMMGQKREEKIKEREKLLREQSSLWIEPKELERKITEALVDTAVL >scaffold_801299.1 pep chromosome:v.1.0:8:12858097:12858475:1 gene:scaffold_801299.1 transcript:scaffold_801299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGGYRRNWVDLPSELTSSILLRLNIHDILNNAQKVCKLWRRVCQDPSMWTKIETRMSKNFDVWKYDLEAMCRHAVDLSRGGLLEINIEDFGSDSLLSCCNSQILLRFS >scaffold_801300.1 pep chromosome:v.1.0:8:12877523:12877922:1 gene:scaffold_801300.1 transcript:scaffold_801300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRICPKLCLFHCEYHVICRSSNLRRLGAVNCGGITSFGIFKAVVKLPLLEELEVVTHSFISGDHLKAVGKSCPNLRTLMIRQLKLNGMGYVDCGDEIALAIAETMHGLHHFHFLFTVV >scaffold_801302.1 pep chromosome:v.1.0:8:12890187:12890593:1 gene:scaffold_801302.1 transcript:scaffold_801302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSELNMYEFSSNSWRALGEVTLGCILQSRGVSFKGNTYWIALQVKEFLLVSFDFTRERFGRLNLPSSQCLGYQVLALSLVREEQFSILQQRRDISMVEIWVTTNDETKSCRGPSFWQWI >scaffold_801309.1 pep chromosome:v.1.0:8:12931127:12931620:-1 gene:scaffold_801309.1 transcript:scaffold_801309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRAQDKKTCADCGTSKTPLWRGGPAGPKSLCNACGIRNRKKRRGTEDNKKLKKSSSGGGNPKLGESLKQRLMDFGITKRSTVEKQRRKLGEEEQAAVLLMALSYGSVYA >scaffold_801310.1 pep chromosome:v.1.0:8:12933489:12933816:-1 gene:scaffold_801310.1 transcript:scaffold_801310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRLDLHLIVTSATLDAEKFSKYFFNCNIFTIPRRTFPVEILYTKQPETDYLDAALITVLQIHLRVAFSSFDGAGRDRFCMPVSVRENERTFLN >scaffold_801311.1 pep chromosome:v.1.0:8:12953990:12954485:-1 gene:scaffold_801311.1 transcript:scaffold_801311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSAKTEIRRSRYKVSVDAEEGRRRREDFLVEIRKNKRKESLMKKRRDGVSEVLPPASDDPFDSLLEIANMITGVFSDDPSLQLEYTTRFRKILSLGKILQLFLILI >scaffold_801312.1 pep chromosome:v.1.0:8:12957924:12958978:-1 gene:scaffold_801312.1 transcript:scaffold_801312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARVRAVTHQDLVPNPKTTDLSSKTGIFIAVLTIIFGLSCFVLCLYAEATRSQATWGSKTCVYNGSGKTPLLCGAIAFVGLAVAMVGLHMYLLIAVTTSPPLVLVEWDPDSVPAKRLTFQAAFFFVSTWVCFGVGEVLLLVALSVESGHLKNWSKPKPTCLVIRQGLFSAAGVFSLLTVFLATGLYLTALQAHRISKDLQNTHREILEASVLYASPPRSPTNRMATVAREGPATVRDESTSLEYLVSLKQIAHIV >scaffold_801313.1 pep chromosome:v.1.0:8:12961929:12964868:-1 gene:scaffold_801313.1 transcript:scaffold_801313.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB111 [Source:UniProtKB/TrEMBL;Acc:D7MNR3] MGRAPCCDKIGLKRGRWTAEEDEILTNYIQTNGEGSWRSLPKKAGLLRCGKSCRLRWINYLRRDLKRGNITADEEEIIVKLHSLLGNRWSLIATHLPGRTDNEIKNYWNSHLSRKIYAFTAVSGDEHNLVVDDLVLKKSCSSSTGAKNNIKTKKKKKGRTSRSSMKKHKQMVTASQCFSQPKELESEISDGEKNGNFEGESLGPYEWLDGEIERLLSGCVWECTSEEPVIGVNDEKACESGDNSSCCVGLFEEEQGNDTKIGHIGITNVDDHGMKVEKEREGSVLSSTSNENNDKDWWVGLCNSSEVGFGIDEELLDWEFQGNLTCQSVDLWDLSDIGEITLE >scaffold_801317.1 pep chromosome:v.1.0:8:12988113:12992470:-1 gene:scaffold_801317.1 transcript:scaffold_801317.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-xylosidase 1 [Source:UniProtKB/TrEMBL;Acc:D7MNR6] MSCNNKPLLIGNKVVVILVFLLCLVHSSESLRPLFACDPANGLTRTLRFCRVNVPIHVRVQDLIGRLTLQEKIRNLVNNAAAVPRLGIGGYEWWSEALHGVSDVGPGSKFGGAFPGATSFPQVITTAASFNQSLWEEIGRVVSDEARAMYNGGVAGLTYWSPNVNILRDPRWGRGQETPGEDPIVAAKYAASYVRGLQGTAAGNRLKVAACCKHYTAYDLDNWNGVDRFHFNAKVTQQDLEDTYNVPFKSCVYEGKVASVMCSYNQVNGKPTCADENLLKNTIRGKWRLNGYIVSDCDSVDVFFNQQHYTSTPEEAAAASIKAGLDLDCGPFLAIFTEGAVKKGLLTENDINLALANTLTVQMRLGMFDGNLGPYANLGPRDVCSLAHKHLALEAAHQGIVLLKNSGRSLPLSPRRHRTVAVIGPNSDVTETMIGNYAGKACAYTTPLQGISRYARTLHQAGCAGVACKGNQGFGAAEAAAREADATVLVMGLDQSIEAETRDRTGLLLPGYQQDLVTRVAQASRGPVILVLMSGGPIDVTFAKNDPRVAAIIWAGYPGQAGGAAIANIIFGAANPGGKLPMTWYPQDYVAKVPMTVMAMRASGNYPGRTYRFYKGPVVFPFGFGLSYTTFTNSLAKSPLAQLSVSLSNLNSANAILNSTSHSIKVSHTNCNSFPKMPLHVEVSNTGEFDGTHTVFVFAEPPKNGIKGLGVNKQLIAFEKVHVMAGAKQTVRVDVDACKHLGVVDEYGKRRIPMGKHKLHIGDLKHTILVQPQL >scaffold_801322.1 pep chromosome:v.1.0:8:13083420:13083666:-1 gene:scaffold_801322.1 transcript:scaffold_801322.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNS2] MNLSQGESHGFSQERRPDICGRRRTLVRQIGEAIREDPAKSRIMRVLPPSRNANQHEKFIMDFKNLI >scaffold_801324.1 pep chromosome:v.1.0:8:13097768:13100899:1 gene:scaffold_801324.1 transcript:scaffold_801324.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate lyase B-2 [Source:UniProtKB/TrEMBL;Acc:D7MNS5] MATGQLFSRTTQALFYNYKQLPVQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQEEIAIPVHAAIEAACAAHPTADVFINFASFRSAAASSMAALKQPTIKVVAIIAEGVPESDTKHLIAYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTVARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQIKMMVVLGELGGRDEYSLVEALKEGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALMDAGAIVPTSFEALEAAIKETFEKLVEEGKVSPIKEVTPPQIPEDLNSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIIEQGYGVGDVISLLWFKRSLPRYCTKFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDACDRNLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVELLQKFARSNFPSVKYMEYAVTVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVQIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >scaffold_801328.1 pep chromosome:v.1.0:8:13116883:13117400:1 gene:scaffold_801328.1 transcript:scaffold_801328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTLLQFDLRFGVVYSDAVSGSAAGIGCVGEIVKHERLVDDRFFLICKGHERFRVTDLVHTKPYLVAKVTWLEDRPSGEENLDELANEVEVLMKEVIRLSNRLNGKPDKESQDLRKNQFPTPFSFFIGSTFEGAPMEQQALLELEDTAAIKPKTTSF >scaffold_801332.1 pep chromosome:v.1.0:8:13140161:13142158:1 gene:scaffold_801332.1 transcript:scaffold_801332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKEEDHHHHQQQQQQKEIKNTETKIEQEQEQKQEISQASSSSNMANLVTSSDHHQLELAGNLSSIFDTSSLPFPYSYFEDHSSNNPNSFLDLLRQDHHQFASSSNSSSFSFDAFPLPNNNNNFFTDLPLPQAESSEVVNTTPTSPNSTSVSSSSNEAANDNNSGKEVTVKDQEEGDQQQEQKGTKPQLKAKKKNQKKAREARFAFLTKSDIDNLDDGYRWRKYGQKAVKNSPYPRSYYRCTTVGCGVKKRVERSSDDPSIVMTTYEGQHTHPFPMTPRGHIGMLTSPILDHGATTASSSSFSIPQPRYLLTQHHQPYNMYNNNSLNMINRSSSDGTFVNPEPSSSFPGFGYDMSQASTSTSSSIRDHGLLQDILPSQIRSDTINTQTNEENKK >scaffold_801333.1 pep chromosome:v.1.0:8:13148398:13149343:1 gene:scaffold_801333.1 transcript:scaffold_801333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVYAFLLRSLRITSCSSMSFSEVGNLIFSRPYPQQRLGKFIGPNALPLLTLLKNCPLIKDWLLGTNQSDECCLYTFATESRYHLMLLCELFPASQVVVYKFWRQHNNILHNSLRLAAQIIFKMVEWSYETSSLRDEQSLCLFGFVIYFQIFFYSTVVLFLSLFLPMLLLCNYLSFVKPQTSF >scaffold_801334.1 pep chromosome:v.1.0:8:13151079:13151891:-1 gene:scaffold_801334.1 transcript:scaffold_801334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMCDLYTPAGVPITTNKRHNAAKIFRHQSLPPRSLVRKIHINWTIATKKNRITGLILSKEIKPLISTVFEGKDANVIAQRARNCGKTYLIHVLKAIPLRKQTPLTVSATSRKQTVLRGNVTERKD >scaffold_801335.1 pep chromosome:v.1.0:8:13156268:13156563:-1 gene:scaffold_801335.1 transcript:scaffold_801335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGSTVVSVVIVGIPVAGGESFIPVAGVNLSYLSSGWNMRLLSLSGNLSIIDDLLWSFVSIVESLAIVTTICCFFLFCGCTL >scaffold_801348.1 pep chromosome:v.1.0:8:13246313:13246876:1 gene:scaffold_801348.1 transcript:scaffold_801348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEAMKAKAEVYHGDKICREKFGSLLSEIGLPNRLLSNKEIEECGYVKDTGFVWLKHKKKKKEDQKRRYQDLFRFDNVVVCFEDEVTAYFEPNRIKKLTGVKAKEFMVWISLGEIQVNRSSGLITFKTQVGLLSKSLPLSVFEDVQGDDHDLKEKPEKVQPKFNKTTIYLY >scaffold_801349.1 pep chromosome:v.1.0:8:13246986:13248102:-1 gene:scaffold_801349.1 transcript:scaffold_801349.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MPC5] MDNQKGALFPDEVILQILARLPVKSLFRFKSVCKSWYRLPSDKYFTSLFNKVSVKDQLLVAEVSDSSSLICVDNLGGVSELSLDFVRDRVRIRVSCNGLLCCSSIPEKGVYYVCNPSTREYRKLPKSRERPVTRFYPDGEATLVGLACDLSRNKFNVVLAGYHRSFGQRPDGSFICLVFDSETNKWRKFVSVLEECSFTHMSKNQVVFVNGMLHWLMSGLCYILALDVEHDVWRKISLPDEIRCGNGGNRVYLLESDGFLSVIQLSDGWMKIWKMNEYETETWSVVDSISLRCIKGLVPGIFPICQTGEYVFLATHKQVLVYQRRSKLWKEMFSVKGSSSLPLWFSAHAFRSTIVPCN >scaffold_801350.1 pep chromosome:v.1.0:8:13254126:13254406:1 gene:scaffold_801350.1 transcript:scaffold_801350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPC6] MDSGIKNYSETSIPLSRRTFTGNKPEIPTTNAGNKPAIRKDDIMILSNQHQIDATLRSKTITIEANRCNACQTRIQKSQ >scaffold_801354.1 pep chromosome:v.1.0:8:13283460:13284112:1 gene:scaffold_801354.1 transcript:scaffold_801354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRRQNNKKLRRRSKSSHRNISTDNFKWTPNRKIIFFELYDQAIAKNCFKDPTPLGREFIVDKFNKEFNLNINYRFFKENLDQLKRKCKKYKHLMKNFTGISVDTTTSVISASNSWWQESEVYLMEIIWQI >scaffold_801357.1 pep chromosome:v.1.0:8:13299306:13299513:1 gene:scaffold_801357.1 transcript:scaffold_801357.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPD3] MFIAKLVNFAAPSVKGTCEFERVYVAGPLDACQNLLNTPEEHSSNETSHFVLIVR >scaffold_801368.1 pep chromosome:v.1.0:8:13414632:13415486:1 gene:scaffold_801368.1 transcript:scaffold_801368.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:D7MPE3] MKGEYREQKSNEMFSKLPHQQQQQHSLTSHFHLSSTATPTIDDSSIEVVRRPRGRPPGSKNKPKPPVFVTRDTDPPMSPYILEVPSGNDVVEAINRFCRRKSIGVCVLSGSGSVANVTLRQPSPAAPGSTITFHGKFDLLSVSATFLPPPPRTSLSPPVSNFFTVSLAGPQGQIIGGFVAGPLISAGTVYVIAASFNNPSYHRLPAEEEQKHSAGTGEREGQSPPVSGGGEESGQMAGSGGESCGVSMYSCHMGGSDVIWAPTARAPPPY >scaffold_801371.1 pep chromosome:v.1.0:8:13430076:13433353:-1 gene:scaffold_801371.1 transcript:scaffold_801371.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATFRO6/FRO6 [Source:UniProtKB/TrEMBL;Acc:D7MPE6] MDDHKTPLLSKDSSSSSSSTSSVVTSSLKWVLKVVMSMIFVTWIVFLMILYPEQLGDDFLTVVASKTFLGTTGSMFLIFSGPILVIAVLASLYLIISGEDKVFTKNKISKFPRFRLWTFPVLVDGPFGVVSAAEFLGIMVFSVFFLWAIYAYTLRNLDLLERFHVLPKNRSILLLEVTGLRLGVIGLLCMVFLFLPISRGSILLRLIDIPFEHATRYHVWLGHITMAFFSLHGLCYVVGWTIQGQLLELIFSWNAIGIAVLPGVISLVAGLLMWVTSLHTVRKHYFELFFYTHQLYIVFVVFLALHVGDYLFSIVAGGIFLFILDRFLRFCQSRRTVDVISAKSLPCGTLELVLSKPPNMRYNALSFIFLQVRELSWLQWHPFSVSSSPLDGNHHVAVLIKVLGGWTAKLRDQLSNLYEAENQDQLISPDSYPKITTCVEGPYGHESPYHLAYENLVLVAGGIGITPFFAILSDILHRKRDGKACLPSNVLLVWAIKNSDELSLLSAIDIPSICPFFSKKLNLEIHIYVTRQSEPRLEDGMVHKVVHPSVKPPRTNRCSMSVLVGTGDNIWSGLYLIVSTIGFIAMITLLDIFYIKKYNITTWWYKGLLFVVCMVASVLIFGGLVVVFWHRWGHKIGEVEGNGNDKVNLNGEETHNPSAAELKGLATEEDVQSYTTIRYGTRPNFREIFASLNGKWGSVDVGVIVCGPGTLQTTVAKEIRSHSIWRSANHPLFHFNSHSFDL >scaffold_801372.1 pep chromosome:v.1.0:8:13435418:13438695:-1 gene:scaffold_801372.1 transcript:scaffold_801372.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATFRO7/FRO7 [Source:UniProtKB/TrEMBL;Acc:D7MPE7] MDDHESPLLSKESPPSSSSVLVSSLKWILKVVMSVIFVTWVVFLMMYPGSLGDQVLTNWRAISSNTLFGITGSMFLIFSGPILVIAVLASLYLIISGEERVFTKKKISKFPRFRLWTFPVLVDGPFGVVSAAEFLGIMVFSVFFLWAIYAYTLRNLNVLEYFHVLPKNRSIFLLELTGLRFGMIGLLCMVFLFLPISRGSILLRLIDIPFEHATRYHVWLGHITMAFFSLHGLCYVVGWTIQGQLLELLFEWKDTGIAVLPGVISLVAGLLMWVTSLHTVRKFYFELFFYTHQLYIVFVVFLALHVGDYLFSIVAGGIFLFILDRFLRFCQSRRTVDVISAKSLPCGTLELVLSKPPNMRYNALSFIFLQVRELSWLQWHPFSVSSSPLDGNHHVAVLIKVLGGWTAKLRDQLSNLYEAENQDQLISPDSYPKITTCVEGPYGHESPYHLAYENLVLVAGGIGITPFFAILSDILHRKRDGKACLPSKVLVVWAIKNSDELSLLSAIDVPSICPFFSKKLSLEIHIYVTRQSEPRLEDGMVQMVVHPSVKPPRTNGCSMSVLVGTGDNIWSGLYLIVSTIGFIAMITFLDVFYINKYNITTWWYKGLLFIVCMVASVLIFGGLVVVFWHRWGHKTGKVEANGNDKVYLNGEETHNPYAAELKGLDIEEDVQSYTTIRYGTRPAFREIFESLNGKWGSVDVGVIVCGPATLQTTVAKEIRSHSIWRSANHPLFHFNSHSFDL >scaffold_801377.1 pep chromosome:v.1.0:8:13475049:13475334:-1 gene:scaffold_801377.1 transcript:scaffold_801377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVERTTEMAEERCTTPRNMMYKISVASVCLPPSRKKSMVVRKRDPPRNGYFQPPDLETLFYAQPPDLQFTKMKMRFVF >scaffold_801387.1 pep chromosome:v.1.0:8:13544036:13544261:-1 gene:scaffold_801387.1 transcript:scaffold_801387.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MPG1] MGGERKKRATENQSRCSSEPMKGDRQSLRCSKHNEALGMRQEEAPHTEAIARTAAPLTPST >scaffold_801396.1 pep chromosome:v.1.0:8:13583111:13586881:-1 gene:scaffold_801396.1 transcript:scaffold_801396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPVAVPSQSPSQRITRLWTHFSLTHCLKFSCSFSFTYVMFLRSKVSRLEAENLILVTRCNSSRVPGSDNNEMEETNSRAVVLFYVIITFVLPFLLYMYLDDLSHIKNLLRRTNQKKEEVPLKKRIAYSLDVCFSVYPYAKLLALLLATVVLIVYGGLALYAVSDCGVDEALWLSWTFVADSGSHADRVGVGARIVSVAISAGGMLIFATMLGLISDAISKMVDSLRKGKSEVLESNHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMETDIAKFEFDLMGTSVICRSGSPLILADLKKVSVSNARAIIVLGSDENADQSDARALRVVLSLTGVKEGWKGHVVVEMCDLDNEPLVKLVGGERIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKKWPQLDGYRFEDVLISFPNAIPCGVKVAADGKIVLNPSDDYVLKEGDEILVIAEDDDTYAPGSLPEVRMCHFPKMQDPPKYPEKILFCGWRRDIDDMIKVLEALLAPGSELWMFNEVPDQEREKKLTDAGLNISKLVNIKLVHRQGNAVIRRHLESLPLETFDSILILAEQSLENSIVHSDSRSLATLLLIRDIQSKRLPYKDAKSNALRISGFPNCCWIRKMQQASDKSIVISEILDSRTKNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLKELFAEKGNELCIRPAEFYIYDQEEVCFYDIMRRARQRQEVIIGYRLAGMDQAVINPTDKSKHTKWSLDDVFVVIASSQ >scaffold_801401.1 pep chromosome:v.1.0:8:13619127:13621388:-1 gene:scaffold_801401.1 transcript:scaffold_801401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGNDGFVRADQIDLKSLDEQLERHLSRALTLEKNKKKDEEDTTAVAIGGSASSSPVTLNGGGFVGKRKQRLEWEIDPSKLIIKTVLARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSEAEIVSLRADFAQEVAVWHKLDHPNVTKFIGATMGASGLQLQTESGPLAMPNNICCVVVEYLPGGALKSYLIKNRRRKLTFKIVVQLALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLTFSEVTSAVVRQNLRPDIPRCCPSALAAVMKRCWDANPDKRPEMDEVVPMLESIDTTKGGGMIPNDQQQGCLCFRRKRGP >scaffold_801404.1 pep chromosome:v.1.0:8:13643597:13644096:1 gene:scaffold_801404.1 transcript:scaffold_801404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFFGVARLAISSLTGMGWSFIISSEVLLLDGSILSRKDWCFEDIQSHLASKKLSLRQCFIIVLVADSLALKKAFLDACFASLKQILFPKFPLVWSPMDDQDLSVLQGSSSRLIVSSTFVEEFITVQVIIHVVNQEDIEIVLVCWSLIFSL >scaffold_801406.1 pep chromosome:v.1.0:8:13648198:13649200:1 gene:scaffold_801406.1 transcript:scaffold_801406.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein [Source:UniProtKB/TrEMBL;Acc:D7MPI0] MASYSGCFLVVTLAVLSQFLLAPASALVNREFIDANCQRVKNKQFCIQTLTTYPPTAAATGLLPLAEAVVGLAISHCEKTAGFAAETAKKDATLKTQFNECHDAYVGILASLKSALLELKDSPDTANYDVMVSGDEIRRVKGLVEKNTDTASKTLMEMTLQMEKLLDLAAGATDAVDDDDENLHRRA >scaffold_801410.1 pep chromosome:v.1.0:8:13660879:13661747:1 gene:scaffold_801410.1 transcript:scaffold_801410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYSFVFVSVALLLQLLLGSASASTKYIEDICEHVNNKTFCVETLNAYPPAVSATGKFQAAKAVLRLGKSYALKSASFIRKAAKDKPSLMKQFKACQDAYVHVAMSLKSAASELKESPETANYDVMVCTDSTTIVKDLVGKNRDVAAKKVMTMTLKMENLIALAVGATEAVGG >scaffold_801412.1 pep chromosome:v.1.0:8:13677308:13678260:1 gene:scaffold_801412.1 transcript:scaffold_801412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDTARVVIQHPNGKEEKLSCPVSASYVMKMNPGHCVSLLISTTALSATSSSHGGPLRLTRIKLLRPTDTLVLGHVYRLITTKEVMKGLMAKKCSKLKKESKWSEDKLEMVKAINSTKLDNEDQLQMKKQEKERSRISRSWQPSLQSISEGGSS >scaffold_801415.1 pep chromosome:v.1.0:8:13685643:13686360:-1 gene:scaffold_801415.1 transcript:scaffold_801415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPRRTIALFSCRSSKIYFPADKLFPAPRSKDTCVEDNYVVEEEAGGKKYMHLAMMPKISDRLAKSLMPINQVETRRHKKASWVHHVEAVSGLALSRDGTLLYSESWDRTLKLKIWQTTNFKCLESITNSHDDVINAVEVSEN >scaffold_801417.1 pep chromosome:v.1.0:8:13700724:13700976:-1 gene:scaffold_801417.1 transcript:scaffold_801417.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPJ1] MAQYHLSGWRNWSQSGQLLDYLEANEHSLLVVVIPLYDRVSKVLPRVLRRDQHKVVKILFTLSLPPTCSS >scaffold_801420.1 pep chromosome:v.1.0:8:13713554:13717691:-1 gene:scaffold_801420.1 transcript:scaffold_801420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSFSSSTTSTITSKFIGILLLLSLQIDLLLGSALHLKNQTSFRPNRDIQKLRRVEAYLNRINKPSIKTIHSPDGDVIECVPSHLQPAFDHPQLQGQKPLDSPDRSSRSNETTNEESFNQLWSMSGESCPVGSIPMRKTTKNDVLRANSVRRFGRKLRRPIRRDSSGGGHEHAVVFVNGEQYYGAKASINVWAPRVTDAYEFSLSQIWLISGSFGHDLNTIEAGWQVSPELYGDNYPRFFTYWTTDAYQATGCYNLLCSGFVQTNNKIAIGAAISPRSSYNGRQFDIGLMIWKDPKHGHWWLELGNGLLVGYWPAFLFSHLRSHASMVQFGGEVVNSRSSGAHTGTQMGSGHFADEGFEKAAYFRNLQVVDWDNNLLPLKNLHVLADHPACYDIRQGKNNVWGTYFYYGGPGRNPRCP >scaffold_801422.1 pep chromosome:v.1.0:8:13735740:13736373:1 gene:scaffold_801422.1 transcript:scaffold_801422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIYDSKSLKSYGFLFPNSICWSFEDSHGRSVTMFQYLTILSKDFKHRPITISSKFRILLFQEDIECYKRDHEPLFCASKQALPDYKSVASRSLADALLRVALLASARTLALLSSSTSPCLMTVTILSSIELFVEDLSTNRDLTCAKTLHSLCLKALMESLSIYFIYIVKALGNVHLCYVLNFEYLQILLFVLGII >scaffold_801430.1 pep chromosome:v.1.0:8:13788579:13791515:1 gene:scaffold_801430.1 transcript:scaffold_801430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFSVAPTSSSSSSVLSGNPNPSPNFRTTHLNFGSQRRIFTINPLLRSFKCLQSSSKDLNASPFSVSAIASSSQTTELVPHKLQRLVKEFKSLTEPIDRLKWVLHYASLIPPMPESSKTESNRVMGCTARVWLEAELGQDGKMRFWADSDSDVSKGMCSCLIQVLDEASPEEVMELKTEDLAELNVGLLGGERSRVNTWYNVLVSMQKKTRRLVAERECKVPSFEPFPSLVLTAHGIEAKGSFAQAQAKYLFPEESQVEELVDVLKEKKIGVVAHFYMDPEVQGVLTAAQKHWPHISISDSLIMADSAVTMAKAGCQFITVLGVDFMSENVRAILDQAGFEEVGVYRMSDETIGCSLADAASAPAYLNYLEAASLSPPSLHVVYINTSLETKAFAHELVPTITCTSSNVVQTILQAFAQMPELTVWYGPDSYMGANIVKLFQQMTLMTDEEIANIHPKHSLDSIKSLLPRLHYFQEGTCIVHHLFGHEVVERIKYMYCDAFLTAHLEVPGEMFSLAMEAKKREMGVVGSTQNILDFIKQKVQEAVDRNVDDHLQFVLGTESGMVTSIVAVIRSLLGSSVNSKLKVEVVFPVSSDSMTKTSSDSSNSIKVGDVALPVVPGVAGGEGCSIHGGCASCPYMKMNSLSSLLEVCHKLPDMENVFGGFIAERFKRQTPQGKLIADVGCEPILHMRHFQANKELPEKLVRQVLSCESKR >scaffold_801433.1 pep chromosome:v.1.0:8:13797119:13798567:-1 gene:scaffold_801433.1 transcript:scaffold_801433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSILTSSLKLLAVANSSSSTLFCLPSISTISSSKPHHSNFSLPPRPINLPLSLKSKTLRNSSPIVTFVSQTSDWPEEEEGEDGSIGGTSVTVDESFDTEDGGKFPEPPEEAKLFVGNLPYDVDSQALAMLFEQAGTVEISEVIYNRDTDQSRGFGFVTMSTVEEAKKAVEKFNRYEVNGRLLTVNIAAPRGSRPERQPRQYDAAFRIYVGNLPWDVDNDRLQQVFSEHGKVVDARVVYDRETGRSRGFGFVTMSNENEVNDAIAALDGQNLEGRAIKVNVAEERPRRGF >scaffold_801434.1 pep chromosome:v.1.0:8:13800155:13802012:1 gene:scaffold_801434.1 transcript:scaffold_801434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQSRIESEDVRVRVSNDEDRQSSRRHLQIGGVADGDKIRKQTSSNEELARPSKKEVDRDALGKPSGVYIPPFKLASMLNDEKDKSSVEYQRLTWDAFRKSINGLVNKVNANNIKNIIPELFAENLIRGRGLFCRACIKSQMESPGFTDVFAALVAVINSKFPQVALLLVKRVVLILKRAYMRNDKPQFLAAVKFVAHLVNQQVADEIIAFELLTVLLENPTDARVEMAVAFVIECGALLQDVAPKGLHGIFDRFRGILHEGEIDKRTQYLIERLFAIGRAKFQGYPAVRAELDLVEEKYSHDVSLDEEIDPETALDVFKLDPDFVDKEQKYEALKKELLGEEESEDEDGGGCDASSEDNDAEEEEMRISDETATNLVNLRRTIYLTIMSTVDFEEAGHKLPKIKLEPGQEMELCIMLLECCSQEKTYLPYYGLLGQRFCMLKKIHQANFEKCFVQQYSMSHRLETTKLHNVATFFAHLLCRDALPWHVLGYIRLTEDYTTSSSRIFVKILFLKLSDDLGIKILNERLQDPTMEESLESIFPKDNPKNTRFAINFFTAIGLGGITENLREYLKNMRHQKQVAESSSSSSSDSDIEKQKRTRRS >scaffold_801435.1 pep chromosome:v.1.0:8:13802414:13803605:1 gene:scaffold_801435.1 transcript:scaffold_801435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEITRETTMIFDLPQNLIEEIFSRVPMISLRQLRSTCKRLNNLFKHRRFIKKQIAYAKATRQYHVLMVVNFRVYAMCSYIHEINKNVAPLFKRGLNLIDPDRKSEVDLSQAFHCDGILLCCTNGNMFVVWNLFSGKTKWIEPKNYLKIEENVYALGYDNNELCHSYKIMRFLHKYHKRLEIYEFSSNSWRNTRVTIPKGCLKSRGVSLKGSVYWLFKLREVNEYSLLSFDFSTERLQRLCVPFHQEAGCSKEDCFNEDCVHDTMALSVVREEHLSLLFQSGVTQKMEIWITTEIETTSVSWNKFLTVDFEPHPHMFSRGMSFFIDEEKKVAVCCEKVQENNIFNKVYIVGEDEYKVSPGFGFHEYRGIYSCPTMFGYVPRLL >scaffold_801437.1 pep chromosome:v.1.0:8:13806631:13807435:-1 gene:scaffold_801437.1 transcript:scaffold_801437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTDETTSLTQKEVNVAAELLIELSQYQPRYRRQSPEEKDTDDEEDIDDEDDTDDEVASTPLLQVSQAHQKKSRKREEKVSDKNQPKRVKKQSIMKINIKDFSEETRRSIEVWYKAEIDPQEIFGDNEVTRQFSKPIKKQLMSSDVDKDQSRLMLSKEQVKEKMLPFLEESENPVKGVDVSVYGPDGKVQQMKFKFWNGDKTPVLTTGWKEFVAKCGLLMTSDFVTVWMFRHIETRNICFAIHRARFPLKN >scaffold_801438.1 pep chromosome:v.1.0:8:13808212:13810519:1 gene:scaffold_801438.1 transcript:scaffold_801438.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1006 [Source:UniProtKB/TrEMBL;Acc:D7MQ43] MSIASSSSLATQSFYTSFPLPLRLHLHEPYLLLRSSLYRKPILYLSATSSPSSSSSSSIFLSCFDDPLPDKIQQPEISTNINQKDEDEEEEEGDDFTDPILKFFKSRTLTSELTQDPGRESKFSLQKNRRTSWHLASDFADPGTEIESEPEESVSVANQQTLGVHTSFESSIAGEIFEIAKSLTENQTLGEMLSGFDRRVSETECVEALVMMGESGFVKSCLYFYEWMSLQEPSLASPRACSVLFTLLGRERMADYILLLLSNLPDKEEFKDVRLYNAAISGLSASQRYDDAWEVYEAMNKINVFPDNVTCAIMITTMRKAGRSAKEVWEIFEKMSDKGVKWSQDVFGGLVKSFCDEGLKEEALVIQTEMEKKGIRSNTIVYNTLMDAYNKSNHIEEVEGLFAEIKAKGLKPTAATYNILMDAYARRMQPDIVETLLREMEDLGLEPNVKSFTCLISAYGRTKKMSDMAADAFLRMKKVGLKPSSHSYTALIHAYSVSGWHEKAYASFEEMWMEGIKPSVETYTSLLDAFRRSGDTEKLMEIWKLMLREKIKGTRITYNTLLDGFAKQGLYIEARDVVSEFGKMGLQPSVMTYNMLMNAYARGGQDAKLPQLLKEMAALNLKPDSITYSTMIYAFVRVRDFKRAFFYHKMMVKSGQVPDPRSYEKLRAILENKVKTKNRKDKTAILGIINSKFGRVKAKTKGKKDEFWKYKKNQTTSPDRRRS >scaffold_801444.1 pep chromosome:v.1.0:8:13852100:13855280:1 gene:scaffold_801444.1 transcript:scaffold_801444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLLLVFNILILLKDLDFGFADEASMVDGDRQVLLALKSQVSENKRVVLASWNHSIPLCEWAHVTCGRKHKRVTSLDLGGLQLGGIILPSLGNLSFLRVLNLGDNSFSGTIPKELGMLFRLQQLNMSYNSLEGEIPSLSNCSRLVTLDLMSNRLIHGLPSELGSSLSSLEKLLLSKNNLSGKFPTSLGNLTSLSQFAIAYNHMEGEVPDNIGRLSHMISVQLSQNNLSGVFPPAIYNLSSLRILSIVGNHFSGNLRPDFGNMLTTLKELYLGMNSFSGDLPKTISNISTLTHLEISQNLFTGSIPFGFGALHNIKMLGLNENSFGNNLVGDLDFLSALVNCSKLQVLDFGYNRLGGKLPIFVANLSIELAAMYMGGNLISGGIPHAIGNLINLQSLGMETNLLTGRIPTSLGKIIGLKELGLNSNRMSGEIPSNLGNITRLESLNLFNNSFEGSIPPSLGKCRFLLFLRIGSNKLNGSIPQEIMQMESLVGFYISKNLLTGPFPKDVGRLKLLVVLSAGNNRFHGNIPETLGNCLSMEEIYLGGNGFDGAIPDIRNLRALRIFSLSNNNLSGSIPEYLGNFLSLEYLNLSVNNLEGIVPTKGVFQTPEKFSVSGNGKLCGGIPELKLRPCPQNVVSKARRHSSNKKKIIIGVSIGVASLLLSVFALSLLYMLMKRKKKDGAKTADNLLSKSPFYERISYEELRSATCEFSSSNLIGSGNFSSVFKGLLGPESKVAAVKVLNLQKHGAAKSFMAECEALKSIRHRNLVKLVTACSSIDFKGNEFKALVYEFMPNGNLDTWLHPEEVGSSENHPRPLKLCERLNIAIHVASVLDYIHSHCHDPVAHCDLKPSNVLLDNDLTAHVSDFGLARILDQESFINQLSSTGVRGTIGYAAPEYGMGGKPSRQGDVYSFGVLMLEMFTGKRPTDQQFVGDLTLRSYVDSGLPEHVLDMADMLILHGEVRNNNINIAECLKMVFHVGIRCCEESPINRMTMAEALAELVSLRKRFFKTKRTTFRAGR >scaffold_801447.1 pep chromosome:v.1.0:8:13872832:13873108:1 gene:scaffold_801447.1 transcript:scaffold_801447.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MQ53] MKQRTRERRPRNLRRQPSTDSSPTKHPPSHLPLNHWRKLAKIKTKKQDKDLRPSHSSGEKPGHRGGQIGKIEAWAAGC >scaffold_801448.1 pep chromosome:v.1.0:8:13877420:13878819:-1 gene:scaffold_801448.1 transcript:scaffold_801448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSFNPNDHDLIDCLNKKITEEDGISETESLIKEVDLCNHEPQEIPTLATMKSNHTWHIISPVRKLNGSCQRIKRSSKTGRWKVNGKCTIIKDVVTGKEIGSKKYLDFMLKEKKNPSSSSSASSPRGMQRIKSGWSIHEYSSFIEDEPKKDAFVLCKLRKKATAVGEASQAVAAAVTDPAITPNHAVDASSAAAAVTHPPMVTPNEVWKNKHINYLYLEY >scaffold_801450.1 pep chromosome:v.1.0:8:13885232:13885605:1 gene:scaffold_801450.1 transcript:scaffold_801450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSHFAIFCIIFVSSFVLHECENMVEDIDASKIVIYKSPCVRTRCSSFSFLWNCHCCRGKFKKIKVCEAECLRLNPPITSS >scaffold_801452.1 pep chromosome:v.1.0:8:13893642:13893928:1 gene:scaffold_801452.1 transcript:scaffold_801452.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQ58] MASLLCSYFLSPPAVSLKKVVVKPLKNSNFFASFIEGISSNLYIPAEAVKYKHWVDLAQNSLRDLATSFDAQIDDEKMEKN >scaffold_801453.1 pep chromosome:v.1.0:8:13898939:13899848:-1 gene:scaffold_801453.1 transcript:scaffold_801453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRGGCCIARYGGGGGGGGGGNDMSKVDRIMLRYRPIAPRPDSGGSSASPTEKNGSALTNVSSKSRRGKRKYSKENNSSSSGSVNSNGNSRRQRNEETKNGSGVGGEIVTLPLLPETPEKKESPLKAVAVPELGAASLWLNSNRRYQTELMTETVVSSVLTVECVTERLMEGEYELGCTDDEIKMNLERDTCPGFISDGLGRVIWTNRSYRELVVGKDHEQCGKMSVWLVMKERPLVTYRTFTCRMRLQYTCRDKEVSSITSFCDVWRMSDGGFAWRLDVDAALCLGR >scaffold_801454.1 pep chromosome:v.1.0:8:13906446:13907008:-1 gene:scaffold_801454.1 transcript:scaffold_801454.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQ60] MTKSNSYTVTALFVLLFVGVLEANEKSLLHQSGDFRQSESLLKKSVDPIRVTRWFVPPSGPSTKESPDTRWFVPPSGPSTKEPPVTRWLVPPSGPSTKESPVTRWLVPPSGSSTKEPPVTRWLVPPSGPSTKEPPIANKENMNLWSPSQRLFFGMLPKNVPIPPMEPSGKKPL >scaffold_801458.1 pep chromosome:v.1.0:8:13925869:13926836:-1 gene:scaffold_801458.1 transcript:scaffold_801458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIFMSPCVTKAEVKVEPEAEVKVEQPPLRVSGPVNQEELVEEKLRRISEDAGIRRDSMELTPSMIRSTMMKTGQCIIELFLERLDSASPQNNVGSATKVPQDSKKSNAAKRVKCESKNTNDVIMEEEYDLMAMSVPDADFYNFEKDRVEASFGENQVWAAYDDYGMPRWYALVHKVVSQEPFKTCISWLDGKKNGYVGSMKKWIDSGNYVREPDQSVDCQAVGHIH >scaffold_801469.1 pep chromosome:v.1.0:8:13969433:13970111:1 gene:scaffold_801469.1 transcript:scaffold_801469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTAKPALVNKSQTQPSSWKKWILLLAYQLVIQVLLLGFNIATSWYIERHKIPINTRLAESSSMQSMSFYLMLSQHSIAVVWMGAVILCKTYGGDSTFQYLFVVGNILGCLSNILGFAVLAFLLFTISPGLALYFRLLFVLCSIVTFFHCLYICFLVKEMCKKQS >scaffold_801472.1 pep chromosome:v.1.0:8:13977958:13978303:-1 gene:scaffold_801472.1 transcript:scaffold_801472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFNQAKEMLMQDQNFKSGWKFDHVWNIIKNFEKFKDGATPTRKVSNLCGFGYTSSESENPTPDSVTQASPGLSSFSLNLDDEDDIIGGSPSQRPSGVKK >scaffold_801473.1 pep chromosome:v.1.0:8:13978434:13978673:-1 gene:scaffold_801473.1 transcript:scaffold_801473.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQ76] MSRFHSTGYSKEEDKFLCQVYIEISQDPITGVYQSSDRFWDRVAESFENGKNPTWSERSKKSLRC >scaffold_801474.1 pep chromosome:v.1.0:8:13982118:13984406:-1 gene:scaffold_801474.1 transcript:scaffold_801474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSSDDEEDHQHLIPQNDTRIRHREDPISSTATTTGGNQRSAFQIEDILQRVQRRWKISLNKRYVIVFVSLIISIGLLFLLTDPRELFSANFSSFKLDPLSNRVKESELRALYLLRQQQLALLSLWNGTLVNPSLNQSENDLRSSVLFEDVKSAVSKQISLNKEIQNVLLSPHRSSNYSGGTEVDSVNFSYDRCRKVDQKLSDRKTVEWKPRSDKFLFAICLSGQMSNHLICLEKHMFFAALLDRVLVIPSSKFDYQYDRVIDIEGINTCLGRNVVVSFDQFKEKAKKNHFRIDRFICYFSSPQLCYVDEEHIKKLKGLGISIDGKLEAPWSEDIKKPSKRTVQDVQTKFKSDDDVIAIGDVFYADMEQDWVMQPGGPINHKCKTLIEPSKLILLTAQRFIQTFLGKNFIALHFRRHGFLKFCNAKSPSCFYPIPQAAECIARIVERSNGAVIYLSTDAAESETSLLQSLVVVDGKIVPLVKRPPRNSAEKWDALLYRHGIEDDSQVDAMLDKTICAMSSVFIGASGSTFTEDILRLRKDWGTSSTCDEYLCRGEEPNFIAEDE >scaffold_801475.1 pep chromosome:v.1.0:8:13984969:13985413:-1 gene:scaffold_801475.1 transcript:scaffold_801475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIFLLSTLVIFVLSCTSNATVKSYSEEKSHSFYPTTNSLVDLKSEDELPPDERLGVSHARNRVGFCQECAHHCLRKKRIIGECRWFVCHCSIRTIGVGL >scaffold_801481.1 pep chromosome:v.1.0:8:14013350:14014026:-1 gene:scaffold_801481.1 transcript:scaffold_801481.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C [Source:UniProtKB/TrEMBL;Acc:D7MQ84] MEENNGTNHHQWPQQSSSQQLPPPSYSQPLPSPLYSLPPPYSLQTQTQNYWIERTGNASDVKHNEFPLARIKKIMKSDANVQKVTAEAPILISKACEMLILDLTMQSWLHTVEGRRETLKRSDISAAVTRDLKFTFLGDVVPRDPSVVTAYPVPKPHPEGEVLPPGMVIGHPVFGCNCTYAPPPQMQEWPAVPDDGEEAAEEIGGSSGGN >scaffold_801483.1 pep chromosome:v.1.0:8:14021534:14022589:-1 gene:scaffold_801483.1 transcript:scaffold_801483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDAELRPNMLGSAVVDRAPIKIKLSLKRPRSSSQDKKVGDAAAETNTLQDSKKCDAAKRVKIDESKNTTNMVNEDEYNMVVMSVPDADFYDFDKDRIQSSFGENQVWAAYDDYGMPRWYALVHRVVSQEPFKLCVSWLNGKKNGYVGSMKRWIDSGYYKTSGCFSIGKYSSNDSLNSFSHRVQWTICEKGLVHIYPRKGNVWALYENWSPSWDFSTSVEEMNKYEMVEVLQDFDEENGVKVVPLVKLSGFKTLFRRHPSQRTYPRKELFRFSHQVAYQLLTGEEGENAPDGCLELDPAALTPELLKVLTEEEMREVENAVDKPNEEADEVSGNY >scaffold_801484.1 pep chromosome:v.1.0:8:14027819:14028385:-1 gene:scaffold_801484.1 transcript:scaffold_801484.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C [Source:UniProtKB/TrEMBL;Acc:D7MQ87] MENNNHQQPPQPQDNEQLKSFWSKEMEGDLDLKNHEFPLSRIKRIMKFDPDVNMIAAEAPILFSKACEMFIMDVTMRSWLHAQERKRLTIKKSDVAAAVDRTLIFDFLLDVVNEEEGESFPAAADPVAVPCLDDGELPQGMVIGTPVCCGLPAWTAAPGEEEDADGENGGDSGN >scaffold_801485.1 pep chromosome:v.1.0:8:14030020:14031628:1 gene:scaffold_801485.1 transcript:scaffold_801485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISTVGVVTNSSSSSRHQWVLQIKSERKAIPVAPIASSRNIDLVYGGGSIGLMGLVSQAVHDSGRHVIGVIPKTLMPREVADLMAFVASASSPWEENKSNYIDSFGNQWLSVFRTICLPSTTVLIRDPLRIPTIGHEYF >scaffold_801486.1 pep chromosome:v.1.0:8:14034906:14035147:1 gene:scaffold_801486.1 transcript:scaffold_801486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSIAESVRDEARRAKAIVVEKYIVGNFAGAKEYAVKAKNLDPELCDLLRLNCLALTRTMRSWVQ >scaffold_801487.1 pep chromosome:v.1.0:8:14035395:14035798:-1 gene:scaffold_801487.1 transcript:scaffold_801487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCISWLNGKKNGYVASIKKWIYSGYYKTSGCFSIGKYSSNGSLNSFSHRVQWTICEKGLVHIYPRRGNIWALYENWSPSWDISTSVEEMNKYEMVEVLQDFDEERGVTVVPLVKLSGFKL >scaffold_801488.1 pep chromosome:v.1.0:8:14037977:14038580:-1 gene:scaffold_801488.1 transcript:scaffold_801488.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C [Source:UniProtKB/TrEMBL;Acc:D7MQ91] MENITGNHQQPQKDNEQLKSFWSKEMEGDLNFKNHEFPITRIKRIMKFDPDVTMVAGEAPILFSKACEMFIMDVTMRSWLHAQESNRLTIKRSDVAAAVDRTLIFDFLLDVVDEDEGESVVAAADLVAVPHLDNGELPPGMVIGTPVCSGLGIYAPQPQMQAWPGAWTAAPGEEEDAAGGNGEDGGN >scaffold_801489.1 pep chromosome:v.1.0:8:14039858:14040927:-1 gene:scaffold_801489.1 transcript:scaffold_801489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRGEMEKIGIDQLKALKEQADLEVNLLQNSLNNIRTATVRLDAAAAALNDLSPLIGTYDAKKKTGGPNGSIKFKEELNRPHNKGLEKAVAFLW >scaffold_801495.1 pep chromosome:v.1.0:8:14063262:14064564:-1 gene:scaffold_801495.1 transcript:scaffold_801495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNFKLSSGYLSGFDQEPDLSPMDGSISFGGSSQSKGEFSFDLKLGRNNGNSSSVFGDTEQVISLSKWKESSLAKPESSRSSSSKRTRGNGVGTNQMPICLVDGCNSDFSNCREYHKRHKVCDVHSKTPVVTINGHKQRFCQQCSRFHALEEFDEGKRSCRKRLDGHNRRRRKPQPEHIGRPANFFTGFQGSKLLEFSGGSHVFPTTSVLNPSWGNSLVSVAVAANGSSYGQNQSYVGSSPAKTGIMFPISSSPNSSRGIGKQFAFLQEEESSRTASLCERMTSCIHDSDCALSLLSSSSSSVPHLLQPPLSLSQEAVETVFYGSALFENASAVSDGSVISSNEAVALPQTFPFHWE >scaffold_801502.1 pep chromosome:v.1.0:8:14093027:14093732:1 gene:scaffold_801502.1 transcript:scaffold_801502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSISSTPWSRTPFVLRNLWYAYKGLKTYVLIISKRFCRCVICGTGLLLFNDLFSLSSSSIVFKHRIFTTISIIQILCYKVYVAIYRRDLNHSLLVLKLSAIDYSTIISRILVFVLPWVVQLAPLRIITLIHSSTSQHLLTVTNLSSFESFEDDLSIHHDLTGFNALPSPCLKALMDLKSMNLIFLFVALGNIFVVMF >scaffold_801503.1 pep chromosome:v.1.0:8:14094485:14095975:1 gene:scaffold_801503.1 transcript:scaffold_801503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYNLEGIKAAPLKDDVDIVIPTIRSLDFLEQWRPFLQHYHLIIVQDGDPSIKIKVPEGYDYELYNRNDINRILGPRANCISYKDGGCRCFGFMVSKKKYIYTIDDDCFVAKDPSGKEINVIAQHIKNLETPSTPHYFNTLYDPFREGTDFVRGYPFSLREGVQTAISHGLWLNIPDYDAPTQLVKPRERNTRYVDAVMTIPKGVLYPMCGMNLAFNRELVGPAMYFGLMGEGQPISRYDDMWAGWAAKVVCDQLGFGVKTGLPYLWHSKASNPFVNLKKEHKGLHWQEDMVPFFQNLCLSKESDTAAKCYMEISKMTKEKLTKVDPYFEKLADAMVTWIEAWEELNPPVKNEAFVGA >scaffold_801505.1 pep chromosome:v.1.0:8:14107771:14108290:-1 gene:scaffold_801505.1 transcript:scaffold_801505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSINKIINFVFPLLTLYALLVFYPTYQRVKSAFSIYRNLFSENVAGKVVLITGAASGIGEALAYEYGKRGAYLALVDIRDEALFHVAALAELYGSPEVIPIVADVSKLEDCERFIRATVLHFGRCKSISIMTF >scaffold_801508.1 pep chromosome:v.1.0:8:14134052:14135965:-1 gene:scaffold_801508.1 transcript:scaffold_801508.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:D7MQB2] MALTHNVWAFVFGIMGNIISFVVFLAPVPTFVRICKKKSTEGFQSLPYVSALFSAMLWIYYAMQKDGSGFLLITINAVGCVIETIYIVLFVTYANKKTRISTLKVLGLLNFLGFAAIVLACELLTEGSTREKVLGGICVGFSVSVFAAPLSIMRVVVRTRSVEFMPFSLSLFLTISAVTWLFYGLAIKDFYVALPNVLGAFLGAVQMILYIIFKYYKTPMAQKTDKSKAVSDHSIDIAKLTTVTPGPISDSAVHQPPVIHNVPETQIQVTEVKSQNITDPKDQINKDVENQSQV >scaffold_801515.1 pep chromosome:v.1.0:8:14187313:14187506:1 gene:scaffold_801515.1 transcript:scaffold_801515.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MQB9] MVSLRGEASGLAGGQLLLREVFCFGFRDLSIDGWLCLCKPLQRLESSSDS >scaffold_801517.1 pep chromosome:v.1.0:8:14191909:14192114:-1 gene:scaffold_801517.1 transcript:scaffold_801517.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQC1] MAEETFMLKLENTSYTQLRKDDEQTKPIRKAKEKEKIHNINYGTQSVWNLWMYV >scaffold_801519.1 pep chromosome:v.1.0:8:14196992:14198699:-1 gene:scaffold_801519.1 transcript:scaffold_801519.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MQC3] MPVPNSDDGDRSLTEVITSLLDSIPNLLSFKSKWSSIRAKLADLKTQLSDFSDFAGSSSNKLALDLLVSVRETLNDAVAVAARCEGPDLAEGKLKTQSEVDSVMARLDRHVKDAEVLIKSGLLIDNGIVVSGFSISSKKEAVRLEARNLVIRLQIGGVESKNSAIDSLIELLQEDDKNVMICVAQGVVPVLVRLLDSCSLVMKEKTVTVISRISMVESSKHVLIAEGLSLLNHLLRVLESGSGFAKEKACIALQALSLSKENARAIGCRGGISSLLEICQAGSPGSQAFAAGVLRNLASFVETKENFVEENAIFVLISMVSSGTSLAQENAVGCLANLTSGDEDLMISVVREGGIQCLKSFWDSVSNVKSLEVGVVLLKILALCPIVREVVISEGFIPRLVPVLSCGVLGVRIAAAEAVSSLGFSSKSRKELGESGCIGPLIDMLDGKAVEEKEAASKALSTLLVCTSNRKIFKKSEKGVVSLVQLLDPKIKKLDKIYTVSALEQLVTSKKCRKQVVAAGACLHLQKLVEMDIEGAKKLTENLARSKIWGVFTRP >scaffold_801525.1 pep chromosome:v.1.0:8:14236388:14237965:1 gene:scaffold_801525.1 transcript:scaffold_801525.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic Fe-S cluster assembly factor NBP35 [Source:UniProtKB/TrEMBL;Acc:D7MQV3] MENGDIPENANEHCPGPQSETAGKSDSCAGCPNQEACATAPKGPDPDLVAIAERMSTVKHKILVLSGKGGVGKSTFSAQLSFALAGMDHQVGLMDIDICGPSIPKMLGLEGQEIHQSNLGWSPVYVEDNLGVMSIGFMLPNSDDAVIWRGPRKNGLIKQFLKDVYWGEIDYLVVDAPPGTSDEHISIVQYLLPTGIDGAIIVTTPQEVSLIDVRKEVSFCKKVGVPVLGVVENMSGLSQPLKDVKFMKLATETGSSINVTEDVIACLRENAPELLDIVACSEVFDSSGGGAERMCREMGVPFLGKVPLDPQLCKAAEQGKSCFEDTECLISAPALKSIIQKVVPATVMTE >scaffold_801531.1 pep chromosome:v.1.0:8:14289701:14289908:-1 gene:scaffold_801531.1 transcript:scaffold_801531.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQW0] MTTKKKNLLKKKTRRRAKVAKSSKKGEANSSPEKGIEIPSPPPEPESTSQGKYL >scaffold_801534.1 pep chromosome:v.1.0:8:14293875:14295504:-1 gene:scaffold_801534.1 transcript:scaffold_801534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTESGSDPESSSNGWSRARGLVVKTLVLIGGALLIKRLTKSTTRRDHARVVSRSLTGEKFTREQASRDPENYFNIRMLSCPAAEMVDGSEVLYLEQAFWRTPQKPFRQRLYMVKPCPKELKCDVEVSSYAIRDAEEYKNFCDRPKDQRPLPEEVIGDIGEHLTTIHLNCCDRGKRCLYEGSTSPGGFPNSWNGASYCTSDLAVLKNNEIHLWDRGFDENRNQVWGPKEGPYEFKPATSSSINENLSALNILYQSSIDKPIQGSLILQD >scaffold_801535.1 pep chromosome:v.1.0:8:14296233:14297124:-1 gene:scaffold_801535.1 transcript:scaffold_801535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSGGFFSFTAFCYLIAAMGLQVIWSFGLAILDTFALVRKKTLLSPVLVSNIDIVISRSIIKMLIILYFGDLGSCSFEAECWKYQLSVALAFLCWITVAITPF >scaffold_801542.1 pep chromosome:v.1.0:8:14347459:14349806:1 gene:scaffold_801542.1 transcript:scaffold_801542.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:B0Z0C9] MANYPPTVAAQSSTAAIPLLHQRHQSERRRGELPAVVETESTAMEISIGQSKQPQFLKSIDELAAFSVAVEAFKRQFDDLQKHIESIENAIDSKLKSNGADLAASSNFHQPLLSPPRNNASVETTVSLSQSSQEPAETVPETSNKTEGERLCELMCSKGLRKYIYANISDRAKLMEEIPSALKLAKEPAKFVLECIGKFYLQGRRAFTKESPMVSARQVSLLILESFLLMPDRGKGKVKIESCIKDEAETAAVAWRKRLMSEGGLAAAEKMDARGLLLLVACFGVPSNFRSMDLLDLIRMSGSNEIAGALKRSPFLVPMISGIVESSIKRGMHIEALEMVYTFGMEDKFSASSVLTSFLRMSKESFERTKRKAQSPLAFKEAAAKQLAALSSVMRCMETHKLDPAKELPGWQIKEQIVNLEKDTLQLDKEMEEKARSISLMEEAVLAKRIYNQQMKRPRLSPMEMPPVASSSYSSIYLDRSFHSQRDEDRDEISALVSSYLGPSSSFPHRSSLRRSPEYIVPLPPGGLGRSVYAYEHLPPNSYSPGHGQRHPRQYSPPIHGQQQIPFGLQRVYRHSPSEERYLGLSNHRSPRSNSSLDPK >scaffold_801543.1 pep chromosome:v.1.0:8:14349933:14352282:-1 gene:scaffold_801543.1 transcript:scaffold_801543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVAVTAISSSLSYSPLLLPSQGPKRRMQWKRNDKRQFSRKVAVSGVITAGFELKPPPYPLDALEPHMSRETLDYHWGKHHRTYVENLNKQIVGTDLDTLPLEEVVLLSYNRGNMLPAFNNAAQAWNHEFFWESIQPGGGGKPTGELPRLIERDFGSFEEFLERFKSAAASNFGSGWTWLAYKANRLDVANAVNPLPKEEDKKLVIVKTPNAVNPLVWDYSPLLTIDTWEHAYYLDFENRRAEYINTFMERLVSWETVSTRLESAMARAVQREQEGTETEDEENPDDEEPEVYLDSDIDVSEVD >scaffold_801545.1 pep chromosome:v.1.0:8:14355194:14356839:1 gene:scaffold_801545.1 transcript:scaffold_801545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHEEQEHEVYGGEISEEEEEEGEMDTEEYEEHGGEEGAAAGDEELEPGSSSKDLEDMKKRIKEIEEEAGALREMQAKAEKDMGAGQDPSGGVSAAEKEEVDSRSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVEAVQNSLILNESELHGRQIKVSAKRTNVPGMRQFRGRRPFRPMRGFMPGVPFYPPYAYGRVPRFRRPMRYRPY >scaffold_801547.1 pep chromosome:v.1.0:8:14359943:14362497:-1 gene:scaffold_801547.1 transcript:scaffold_801547.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:D7MQX8] MKRKQQEDDGVEKAASPVVATTSNTMNTDLLLQSPSKLSQKQDYIFHGGRRHVRPYYFEFISHVNKRWTGKTIVDLFADEFKGRPREYYIGAVKSGRIKVDGEIVPVSYIVKSSQKITHFLHRHEPPVMIDDVVILHQEPDVVTVCKPASVPVHPCGQYRKNTVVGILDAEHDLGTLFPIHRLDRLVSGLLIIARTAAKADFFRQQIEGGMVKKRYIAKVIGVFPEDEMIVDANINYNGSEGRSTAEDPDSSGDDKKVKGKPACTKLTRIDTNGTHSLVSCEPVTGRTHQIRVHLQYTGHPIANDPLYLNQHIDNLETYIAKRIDAGEKKIVSPDDYVYSSEDFSIDPMCTNCPKLIPQGYEEHDEALWLHCVQYSGTGWEYECPYPSWASL >scaffold_801548.1 pep chromosome:v.1.0:8:14365793:14368300:1 gene:scaffold_801548.1 transcript:scaffold_801548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFSKPALDPSSSPPPISPLSQDSSEAERRLREAEERLRDAMAELQRRQRSAARGSHAELCDHADVSCVANAIGNLCQSFLLSYGVRVGIGILLRAFKLARGQSYSSLLDLKQLVSEKDLIVREEACRIGLLFGGFTGSYHALRCCLRKWRKKETPLNSVLAGSVAGLSIMALDDSNQRRTLALYLLARLGQAAYNSAKSKNKFHLWGSHWRHGDSLLFSLACAQVMYSFIMRPETLPKSYREFIQKTGPVARPVYQAVRECCRGGPIDVASLSAYISSKNEASDVKVEEFASIIPCAAIHPNTNSCLAQNANAMSATFKKTFPLYYSLTFVPYVVLHLQKFMASPYRTSWLAIRDSVRSTSFLSAFVGIFQAFICAHRKVATKDHKLVYWFAGGVAALSVMLEKKPRRSELALYVLPRAGDSLWEILINRHLLPDIKNAEVALFCGCMGGIMYYLEYEPDTMAPFLRGLIRRFLASQISNPSSKYPHNSSYTYLQTLDALKKPKTQESREGETPKAEEKYNLEAIPGL >scaffold_801554.1 pep chromosome:v.1.0:8:14403210:14405088:-1 gene:scaffold_801554.1 transcript:scaffold_801554.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol-cytochrome C chaperone family protein [Source:UniProtKB/TrEMBL;Acc:D7MQY5] MLPRLARVVTQTSKLRSLTTNGSMKNLSFFSRYGYATVAPAAADPPPKKDFPSKSPINLDKMFWSKPCSLALPKDSPLRIDEPDYVGIRRFILKLMMFYSKQSMSIRGANVIYKRIIAQVDKPAIYDVFNLEKTFKITFSLLVLHMWLVLRRLKEDGQEGVDLGQYVYEIYNHDVELRVSKAGVNLLLAKWMKELERIFYGNVVAYDAALLPEAKPNELQIKLWRNVFSDDGTTTPDNTDLKAAQAMARYVRRELGSLSLTDNESIFSGNFSFTPLENKPL >scaffold_801559.1 pep chromosome:v.1.0:8:14424868:14428052:-1 gene:scaffold_801559.1 transcript:scaffold_801559.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MQY9] MDSYFKNSYLDPKQVRAQLPKRASSDLASPSQPLTIAIAISGSSKSKNVVKWALKKFGSEENVIFKLIHIHPKLTSVPTPSGNTVSISEAPEDVAATYRQNVMQETKETLLKPFKKMCERKKVAVELQVLESNSVAVAITREVNEHLISNLVIGRSSHGAFSSSRYHDITAKISAYVSNLCTVYVVSKGVYILSKDKLSSDTERNETLRDSGNEKTDSSSWSSGSGPNSDVMSNALKSNPHALSKKRLQHLPTIIRGVSVPIDETSSAESDETRSMSSDVAEEVSKRGSPETSRSVSWNHQFRDFDERKDAMSSMSMYSNHEYGNVTQGGDYFTDNQDTLNEISKLRAELRHAQEMYAVAQVETLDASRKLNELKFEELTLKEHEMKGLAEKETQTFEKKLREERDTEQRREAEIKAACEAKEKEKLEEISLVAPKLQYQEFTWEEIITATSSFSEDLKIGMGAYGDVYKCNLHHTIAAVKVLHSAESSLSKQFDQELEILSKIRHPHLVLLLGACPERGALVYEYMENGSLEDRLFQVNNSEPIPWFVRFRIIWEVASALVFLHKSKPTPIIHRDLKPANILLDHNFVSKVGDVGLSTMIQVDPLLTKFTMYKQTSPVGTLCYIDPEYQRTGRISPKSDVYALGMIILQLLTAQPAMALTYTVEIAMENNNDDELIQILDKKAGNWPIEETRQLAALALYCTELRAKDRPDLEDQILPVLESLKKVADKARNSLSAAPSQPPSHFICPLLKDVMKEPCIAADGYTYDRRAIEEWMENHRTSPVTDSPLQNVNLLPNHTLYAAIVEWRNRNQ >scaffold_801560.1 pep chromosome:v.1.0:8:14432867:14435083:1 gene:scaffold_801560.1 transcript:scaffold_801560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDSYVEYVSVAERRAMEAQKILQRKGKASELEEEAEKEKLAEAKPSLLVQATQLKRDVPQVSATEQIILQEKEMMEHLSDKKTLMSVRELAKGITYTEPLLTGWKPPLHIRRMSNKQRDLIRKQWHIIVNGDDIPPPIKNFKDMKFPRPVLDTLKEKGIVQPTPIQVQGLPVILAGRDMIGIAFTGSGKTLVFVLPMIMIALQEEMMMPIAAGEGPIGLIVCPSRELARQTYEVVEQFVAPLVEAGYPPLRSLLCIGGIDMRSQLEVVKRGVHIVVATPGRLKDMLAKKKMSLDACRYLTLDEADRLVDLGFEDDIREVFDHFKSQRQTLLFSATMPTKIQIFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTSPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEDREYAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEEAETIANASGVKGCAYCGGLGHRIRDCPKLEHQKSVAISNSRKDYFGSGGYRGEI >scaffold_801567.1 pep chromosome:v.1.0:8:14469326:14469861:1 gene:scaffold_801567.1 transcript:scaffold_801567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQKVFRAVAVLGFDREAYQATQLDHTMFALCIWWFNISITPSFSGSHIVLSKHLGVVFCFANIRGWWSMVTNGTKQVHLPKCRTAGYTQLSHLSIPNQCLHKPGKSCI >scaffold_801570.1 pep chromosome:v.1.0:8:14480382:14482285:-1 gene:scaffold_801570.1 transcript:scaffold_801570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSQRPHNLLDITASPAGIMAGKRSCNINIPLDLMVEILKKLPAKSLARFQCVSKQWTSIIVINSIVTRSLTQPPSCDPHFIFSHSNSQFSFVFSHVHEPHQITNQEQQLYHEKICGKGYGIETRFQYLRGLVGFWRSTYWYVCLAKQIDPSKNLSCRVFTLGDPKKKWMRIQCGIGSHFPLDNAVCINGAIYYKATKMKPNEGSVLVSFDVRSEKFNHVQTPNNMTIYRRDYTLINYQGKLAFICRNKMINEDADMWVMEDAEKQEWSKITFFWHASRYNKSDQNWGCYSS >scaffold_801571.1 pep chromosome:v.1.0:8:14499261:14499735:-1 gene:scaffold_801571.1 transcript:scaffold_801571.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MR01] MHPRLKLAGSLDVAMMESVLEFLKEQDLTLDLVIIFLGLVTFIVFMISSFPYRKRIRDWQLNEFGVAISNHKYVVFLFFFFYVVTPNDSCAQNQREWGLVVLRFVTKSCFTIVSYVVLCKVCAILLSKPPLESTDDLQDKSQ >scaffold_801581.1 pep chromosome:v.1.0:8:14549189:14549707:-1 gene:scaffold_801581.1 transcript:scaffold_801581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNVVVCLLTLSFLLFSGLSNTVVARVQYESPIPQIGEGVWDQKVIVKEIKAVVDGSCSPHAHGRGRPSRPGSSNIPGSPKRCTKP >scaffold_801582.1 pep chromosome:v.1.0:8:14552698:14555439:1 gene:scaffold_801582.1 transcript:scaffold_801582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDFFFAFVFSFALLFGFSFAGDPYVSYDFSVSYITASPLGVPQQVIAVNGKFPGPVINATTNYNVDVNVFNHLDEPLLLTWPGVQMRRNSWQDGVLGTNCPIPPKWNFTYDFQLKDQIGSYFYSPSLNFQRASGGFGSIIINNRDLVPIPFTKPDGEIIFIIGDWYTQNHTALRRILDSGKELGMPDGVLINGKGPFKYNSSVPDGIEHETVNVDPGKTYRIRVHNVGISTSLNFRIQNHKLLLIETEGRYTSQINFTDFDIHVGQSYSFLVTMDQNATSDYYIVASARFVNETVWQRVTGVGILHYSNSKGPASGPLPVPATDVSHPWSAMNQPRAIKQNTSAGGARPNPQGSFHYGQINITSTYILRSLPPTEINGKIRATLNGISFVNPSTPMRLADHHKVKGDYKLDFPDRPLDERHQRMDSSIINASYKGFIQVIFQNNDTKIQSFHIDGYSFYVVAMDFGIWSEDRKGSYNNWDAIARSTIEVYPGAWTAVLVSLDNVGVWNIRVENLDRWYLGQETYMRIVNPEENGSTEMDPPQNVLYCGALQAMQKEQHHSSAGTSILNGQLKLIFSMMMFLLASVSAFC >scaffold_801584.1 pep chromosome:v.1.0:8:14560807:14561560:-1 gene:scaffold_801584.1 transcript:scaffold_801584.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7MR14] MRIYRSGTAGPAKGHAVALRSSSDLSVFYRCSIEGYQDTLMVHSQRQFYRECYIYGSVDFIFGNAAVVFQNCLILPRRPLKGQANVIIAQGRTDLIQNKGISIHNSIIIPAPDLKPVVRSVKTYMGRPWMKYSRTVVLKTYIDSVVSAVGWSSWTKGSTYGLNTLFYAKYKNIGPASSTRWRVRWKGFHVLSKASDVSAFTVGKFIAGTAWLPSTGIPFTLEL >scaffold_801590.1 pep chromosome:v.1.0:8:14600520:14601575:-1 gene:scaffold_801590.1 transcript:scaffold_801590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g51550/K17N15_10 [Source:UniProtKB/TrEMBL;Acc:D7MR20] MHSLPVIPVLTVLTVFLTSPELVIGYRPYPPKTNGSDQIFDASKKFEGSSNLVRLRYHMGPVLTNNITVHPIWYGTWQKSQKKIIREFINSISAVGSKRPSVSGWWKTVQLYTDQTGSNITGTVRLGAEKNDRFYSHGKSLTRLSIQSVIKSAVGSRSRPLPVNPKSGLYLLLTADDVYVQDFCGQVCGFHYFTFPSIVGFTLPYAWVGNSAKLCPGVCAYPFAVPEYIPGLKPVKSPNGDVGVDGMISVIAHEIAELATNPLVNAWYAGPDPVAPVEIADLCEGIYGTGGGGSYTGQMLNDHSGATYNVNGIRRRYLIQWLWSHVVSYCTGPNALD >scaffold_801595.1 pep chromosome:v.1.0:8:14631813:14632028:-1 gene:scaffold_801595.1 transcript:scaffold_801595.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MR26] MSGKKLFTVFIEAIHQEMLSWTPKPRFVFKDFWHALGTKEVEHVVQTQILEKEKKGT >scaffold_801598.1 pep chromosome:v.1.0:8:14656496:14657703:1 gene:scaffold_801598.1 transcript:scaffold_801598.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:UniProtKB/TrEMBL;Acc:D7MR29] MSEMEPKETKPPRKQLRQPPSVPFIWEERPGFPKKNWQPSLATFVPSPPLLPPPVPVPVKLVTSVPFRWEETPGKPLPPSSNDPPQLPHPPLETATTTPLPPPVPVPVKQVTSVPFDWEETPGQPYPCFVDTNPPELLDQPLPPPPMYGEVETSSDIFDDASSDSFSSVPSLLATNRSVSISGAVAVDEFDDNLNRVTRSMPTSPAYESDDSTSSYMTGASSLVGASFLEKLFPRLLPLEKVKSADSEDVQVSTHPLHEEVKLTTESDNMSIGFPVRAPQTLGELIMMSRRRSYMRRAVEMRKQNPYKEFTKNGADNCCLFVPGIRMIEGLEWKKYQPRLKLI >scaffold_801599.1 pep chromosome:v.1.0:8:14657922:14659923:-1 gene:scaffold_801599.1 transcript:scaffold_801599.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-amino-cyclopropane-1-carboxylate synthase 12 [Source:UniProtKB/TrEMBL;Acc:D7MR30] MRLIVPLRGVIHGRGGLFVGSLIPCCLFYFLQLYLKRRRPPPSDPAELPRTSSRTNLFSRGNSIGRVRVSSRAVPVAKPPDSPYYIGLERVKTDPYDRIKNTDGIIQLGLAESTLCFDLLQRWMSENLMDSMMQSDDGEFDISSIAMYKPFEGLLELRVAFADFMSRIMGGNVSFDPSNMVITAGGTPAIEVLAFCLADHGNAFLIPTPYYPGFDRDIKFRTGVELIPVHCRSSDNFTVTVSALEQALNQARKRGSKVSGILFSNPSNPVGNILSRETLQDILRFAQEKNIHVISDEIFAGSVYGDKEFVSMAEIAGSGEFDKSRVHIIYGLSKDLSIPGFRAGVIYSFHEDVVNAAKKLMRFSSVPVPVQRILISLLSDVRFIEEYMRAHRQRIRDKHIRFVEGLKQLGIPCAESGGGLYCWVDMSSLLTSYSEKGELELFEKLLTVAKINATPGTACYCIEPGWFRCCFTALADEDIPVIMERIRQLRESSRS >scaffold_801604.1 pep chromosome:v.1.0:8:14676552:14677042:-1 gene:scaffold_801604.1 transcript:scaffold_801604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKDKRKAVDEVDEAVITKKKHKTGHDSMIEANLSAIKRTVDSLKEDVDGIKTGINSILARLDSSKAHTEVPWSPSSSDDSSTYEYY >scaffold_801617.1 pep chromosome:v.1.0:8:14767007:14768829:1 gene:scaffold_801617.1 transcript:scaffold_801617.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-type carbohydrate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MRJ3] MGENAISGNLKNLTIDTRDSETLVVCFGEMLIDFVPTVGGVSLAEAPAFKKAPGGAPANVAVGVSRLGGSSAFIGKVGDDEFGRMLADILRLNNVDNSGMRFDHNARTALAFVTLRGDGEREFLFFRHPSADMLLLESELDKNLIQKAKIFHYGSISLIEEPCRSTQLAAMKIAKSAGSLLSYDPNLRLPLWPSEEAARKEIMSIWNLADVIKISEDEITFLTGGDDPYDDDVVLQKLFHPNLKLLVVSEGPNGCRYYTKEFKGRVAGVKVKPVDTTGAGDAFVSGLLNSLASDITILKDEKKLREALLFANACGAITVTERGAIPAMPSMDAVQDLLTSSRS >scaffold_801621.1 pep chromosome:v.1.0:8:14785375:14787935:-1 gene:scaffold_801621.1 transcript:scaffold_801621.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box protein [Source:UniProtKB/TrEMBL;Acc:D7MRJ7] MVRGKIEIKKIENVTSRQVTFSKRRSGLFKKAHELSVLCDAQVAAMIFSQKGRLYEFASSDIRNMIKRYAEYKREYFVAEAHPIEQYVQGLKKEMVTTVKKIEVLEVHNRKMMGQSLASCSVKELQEIATQVEKSLHIVRLRKAKLYEDELEKLKAKERELKDERVRLCRRVGERPMGMPSGNKEKEDVETDLFIGLP >scaffold_801623.1 pep chromosome:v.1.0:8:14795322:14796689:1 gene:scaffold_801623.1 transcript:scaffold_801623.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase [Source:UniProtKB/TrEMBL;Acc:D7MRJ9] MGDKGESIKWPKIKLKSNLNVSYLKNHDLFTVENCLSSDESKGFVKIAESLGFTHQGSRGPAYGEAFRDNHRISVNDPVLADTIWQSGLSDLFTDIKIRRKVAVGLNPNIRFYRYSAGQHFGRHIDESADLEDGKRTYYTLLIYLSGNGTKSKSKSSSSKTNDSSSAEPLVGGETVFYGSRNSIVAEVAPVEGMALFHIHGDKCMLHEGRNVSKGVKYVFRSDVVFA >scaffold_801625.1 pep chromosome:v.1.0:8:14805283:14806000:1 gene:scaffold_801625.1 transcript:scaffold_801625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVRGGRGRGRGKRGPKSPVKRPTVVPTRPTSSGVSSRRPRSLPSQYEFTPVNPEDPNHGTEHLPNRQLSPQLSLRDYPPPLQLFQSGEGSQHAAGGSPRGSGTTPFRASVSSVHRLASGSPHASQSLAPVQPPAPVPSPVVNQQRPPRASLSGHSSQAQNVEEEEAASDEEADDETALEDEGLRDSTLPEDVLATLHDTLLIPGRELYTTLISPTLEPRTTW >scaffold_801628.1 pep chromosome:v.1.0:8:14820493:14822253:-1 gene:scaffold_801628.1 transcript:scaffold_801628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPFPGDSPPPNCLHGCFSSSPFHGAAASKHPPDSIPTVTSATLRRHFAQTTVSTIFPDTEFVDPNSLPSHQKSFSDFIQAYPNYSDTYKIDRIRSDHYFHLGLSHYTCLDYIGIGLYSYSQLLNYDPSTYQISSSLSESPFFSVSPKIGNLKEKLLHDGGQETEFEYSIKRRIMGFLKISEEDYSMVFTANRTSAFRLVAESYPFNSKRKLLTVYDYESEAVNEINRVSEKRGAKVVAAEFSWPRLKLCSSKLRKMVTAGKNGSKKKKKGIFVFPLHSRVTGSRYPYLWMSVAQENGWHVMIDACGLGPKDMDSFGLSIYNPDFMVCSFYKVFGENPSGFGCLFVKKSTIPILESSTGSGMVNLVPTDNPLSLHALEEISRTQTELDETYSFSSSVEYKGLDHVDSLGLVATGNRSRCLINWLVSALYKLKHSTTSRLVKIYGPKVNFNRGPAVAFNLFNQNGEKIEPFIVQKLADSSNISIGKGFLKNILFEEDNEGVKDRVFEKKKNRDIDEPGISVLTAALGFLANFEDVYKLWIFVARFLDSEFVDKESGRCGVHVFEHKNREVV >scaffold_801631.1 pep chromosome:v.1.0:8:14830358:14832323:1 gene:scaffold_801631.1 transcript:scaffold_801631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDATLAPTYARFDYIVIGGGTSGCALAATLSQNANVLVLERGGSPYDNPAATDIEKFANTLLNTTPKAWSQLFISEDGVYNHRARVLGGDSVLNAGFYSRAEDYYVEKSEWEMEEVEAAYEWVEKKLVFNCDHIVGTKIGGTIFDPAGHRHSAANLLEYANPDTIVVYLHALVHKILFTTKGRSRPEACGVIYQDANGVFHKAKLAKNAMSEVILCAGAIGSPQPLMLSGVGPRAHLEAHGVHPMVLDQPMVGQGMGDNPMNLVLVPSPQLVELSLVEVVGITKFYDFIEGGSGLSLSHNLTRRFFDGNLNILNETLSTQSIVDFFKSLDLPLNMMENAGLIFHKVDGPVSRGYLELRNKNPDENPSVTFNYYQEPEDLERCVKGLNTIIKVIKSKAFSKYKYPNETVRGLLNRTLSLPINLRPKHISSKSNLTQFCIDTVMTIWHYHGGCQVGRVVDKNYKVLGIDALRVIDGSTFLKSPGTNPQATVMMLGRYMGQKILRERAAFREKDYDYLIVSPTKDETSI >scaffold_801634.1 pep chromosome:v.1.0:8:14838450:14838935:1 gene:scaffold_801634.1 transcript:scaffold_801634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVVIAARVYRGLLKAVLKHVGKEDYKSHFIDFVKQEFRKNANSENINLARNYTYLLNSIHSHKDLLFSYNIAVDRTEEMKRVLNKSAASVGLRLPEVYET >scaffold_801635.1 pep chromosome:v.1.0:8:14839602:14841127:1 gene:scaffold_801635.1 transcript:scaffold_801635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGMSHGEGSKVEEENMAAWLVGLNTLKIQPFLLPSVGPHDVRVRMKAVGICGSDVHYLKTMRCADFVVKEPMVMGHECAGIIEEVGEEVKHLVVGDRVALEPGISCWRCNLCREGRYNLCPEMKFFATPPVHGSLANQVVHPADLCFKLPENVSLEEGAMCEPLSVGVHACRRAEVGPETNVLVMGAGPIGLVTMLAARAFGVPRIVIVDVDENRLAVAKQLGADGIVQVTTNLEDVGSEVEQIQKTMGSNVDVTFDCAGFNKTMSTALAATRCGGKVCLVGMGHGIMTVPLTPAAAREVDVVGVFRYKNTWPLCLEFLTSGKIDVKPLITHRFGFSQKEVEDAFETSARGSNAIKVMFNL >scaffold_801637.1 pep chromosome:v.1.0:8:14845979:14846693:-1 gene:scaffold_801637.1 transcript:scaffold_801637.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-repeat binding factor 4 [Source:UniProtKB/TrEMBL;Acc:D7MRL2] MNPFYSAFSDSFLSISDDRSPVSDSSECSPKLASSCPKKRAGRKKFRETRHPIYRGVRQRNSGKWVCEVREPNKKSRIWLGTFPTVEMAARAHDVAALALRGRSACLNFADSAWRLRIPETTCPKEIQKAAAEAAMAFQNESMTEGSKTAAEVEAEAAGEGERERERRVEEQSSVFYMDEEALLGMPNFFENMAEGMLLPPPEIGWNHNDFDGDADVSLWSFDD >scaffold_801640.1 pep chromosome:v.1.0:8:14857858:14858421:-1 gene:scaffold_801640.1 transcript:scaffold_801640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEAAPEYVNSPTGVWWNMNRCPIPDGYNACQVGPRIDMVLKSLGYSGPLTITAVGDLEDIPVDVLRALSSTGILIRDIPHPSSVLLEMLDWQDVNQPPATVMLISDDLDLEAMSNHFCENYEEGYNTLLAYIHMLCLKNMLPILKN >scaffold_801641.1 pep chromosome:v.1.0:8:14862183:14862912:-1 gene:scaffold_801641.1 transcript:scaffold_801641.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MRL7] MSNNNNSPTTVNQETTTFREVSITLPTDQSPQTSPGSSSSPSPRPSGGSPARRTPTGLSGKHSVFRGIRLRNGKWVSEIREPRKTTRIWLGTYPVPEMAAAAYDVAALALKGPDAVLNFPGLALTYVAPVSNSAADIRAAASRAAEMKQPDPGGDEKVLEPGQPGKKEEEEEVSCSLEFMDEEAMLNMPTLLTEMAEGMLMSPPRMMITPTVEDDSPENHEGDNLWSYK >scaffold_801650.1 pep chromosome:v.1.0:8:14957575:14960525:1 gene:scaffold_801650.1 transcript:scaffold_801650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MRM6] MTQTNLPFQVGQIVEVKSFIKGYRGAWFRCKILEMTNENGELRYKFKYLDFPDEPLDNALVFETPKGGTESQLMLRPTYPPHYHESEYVNLEGDVEPLVVVHDSWKVGDLVDWLRDEIYWSGEIVAMKGRRSCQIELLPKPEGEGEVYLGLCKNLRPRLDWSVEDGWKVPCTVDGQKCAKIVTSKNEDEGIADECETAKEETKKATKQVEGSEGLRLNIMESESIEAAVLDIEELIVRLDWLKGKLKPDVGEGAKPYWKYEDYRPSSSGR >scaffold_801651.1 pep chromosome:v.1.0:8:14965427:14967362:1 gene:scaffold_801651.1 transcript:scaffold_801651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQKLRECFYLFICRALFLCLLTLTLCVAVGASTTTTTTSTTTTAINSPDGDIIDCTEILAQPAFRHPLLKDHKLQEVPRNLPNTAKNEDGVSGWQIWNSRNGSKCPEGTIPIRRVVSQDNGDTNEGTIPIRRVGSQENEATNSGAEDELTRGHEYAIAHLNSTTKIYGTKVTMSVGHPKVAQPGEFSLGQLWLTSGSVERGDMNTIEAGWQIYPSVYLDDQPRLFIFWTNDAYTIEKCENLRRPGFIQTSGNVLVEGAIHPHTEVITIQIWKDPNLGHWWLSVGPNSGTVLIPVGYWPREIFTCLTDHAESVQWGGEIIDKYVSGQHTTTQMGSGYLPSSAKAAYMRDLEIMVNTGNFQPAYDLVVGETNPDYYNIKKTSDTSFSYGGPESGAVHLRVNLVFLYLCFSLFLHL >scaffold_801654.1 pep chromosome:v.1.0:8:14972674:14976174:-1 gene:scaffold_801654.1 transcript:scaffold_801654.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-A14 [Source:UniProtKB/TrEMBL;Acc:D7MRN0] MGAASSSIAGSEPFAGKLCGLEDVPENCITAMFMYMEPPEICLLARVNKSFHRASRSDAVWEHKLPCNYKFLVRRILEDQQQVGEKENLIIRKKEIYARLCRPNLFDAGTKEAWLDKRSGKVYLAISPKAMKITGIDDRRYWEHISSDESRFGSMAYLRQIWWLEAVGKIIFEFSPGKYSLYFKIQLGKPIRKCGRKTYSLDQVHGWDMKPVRFQLSTSDGQCAMSERHLDEPGRWVYHHAGDFVVENQNSPVWVKFSMLQIDCTHTKGGLCLDCVIICPFEYIGKY >scaffold_801655.1 pep chromosome:v.1.0:8:14981229:14983141:-1 gene:scaffold_801655.1 transcript:scaffold_801655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRMQSNQMEMDEAFAASLLYDDLPELSNDTVTSRQFQRPQEVVAREWQNMQDYSLISLSDDENFAKSLQEQELNRSSSHQQSHVHGSFSDDSSDSFLSPPPDVDNMSYEELNQLGESIGAVNKGLSKTRICQIPTHKFRTASSSRTNNHVSEKTQCPICIIDFKEGDTLSTLPCAHIYHEECISLWLEQNKICCVCTTELTP >scaffold_801659.1 pep chromosome:v.1.0:8:14995434:14995763:1 gene:scaffold_801659.1 transcript:scaffold_801659.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor/seed storage/lipid transfer protein family protein [Source:UniProtKB/TrEMBL;Acc:D7MRN6] MAASCNSSKSFMMAVVMVALVLVVAATVVDGQSCNAQLSGLNVCGEFVVPGADRTNPSAECCNALEAVPNECLCNTFRIASRLPSRCNIPTLSCS >scaffold_801661.1 pep chromosome:v.1.0:8:15000414:15001816:-1 gene:scaffold_801661.1 transcript:scaffold_801661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLGAYRNLTLQILLSLFLTLFLSFFRISIIFLHGLCTYTQPENLNQNNAGNGLRPAIRRPSSTDDPKSNVEVRRRNRSKDKSEFDESNAQIFRIKLDEDHLRSRMYFTEYNSFFVLLFLAVCCFLLQNYFGVEDSHGVLGNGLMFPLVLGSIALCKVFVALGKISVERSASKKSEKKLSLIFGVLGFVFGIIISAGVFPKGFDFQLGSVNAFCCIVISFSMACIGGFLYMPAGRSARSFWVGTDQIRSNLSIISCGWFGRMILYVNYIVSVFTSLLWIHPLAEILVKRSDNAATSRSQTGLVGNVGMLSDDFVKFRVLCLLLSGLLQAIAVRPNLQMFLNEAVLSWYQRLHGSKTPDLEFSRAKMFLHNHYLCLVALQFLAPSVLVILFLGLSQIDLSSFAVSQLVCGSLPCSDFIKQVGLLMSWWVLFVWSGFASASLVFYRRGVLYVS >scaffold_801663.1 pep chromosome:v.1.0:8:15004685:15006759:-1 gene:scaffold_801663.1 transcript:scaffold_801663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKRGRVQWDEANIVEIESNKPVRQKITEPKTPYHPMIDDDGSLSPRGRSFDECVDDMQRAEELRIVLNDAAASSSRNSSQGSGGGGWSSSDEEEADPMEQDEEGSGSGKNERFNAHRKAHYDEFRKVKELRSSGSFYEEEEEEDDGAKGSKSEATTSRHTKGGNKELDAATTVSGMSSSSPS >scaffold_801672.1 pep chromosome:v.1.0:8:15048202:15054552:-1 gene:scaffold_801672.1 transcript:scaffold_801672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLNIDYFSTPSSQVFETLGFLNLPAPDNFPGPIVYDGEEDRLRFGSVENVCLPIGNFTIEAALSKFLSDVVPDCVSVDYGVFEIDDSSLGDYFSDEKNDGDAIEDKAVFNIMELETPELDFEMVKYVELDTILQNSNDIHQRIYSVDYISSDYFTENNTSVAENECSSKNQPWFKDARFPLLEVDEVNLRELSSLSTLDKVFTVLETIEPQDKNAASSLIINSKELIGSKGYDLLDILSTDCYLNKSGQSDVVPEDEFSEVDLVNILEISNAEENFLFEQQGKLALPVTFEEFQILDVEISDVFDIFLCLLKAIEPEICYGMFSKEMNFKNFDELVVSSELAFTDDAFKSLPTPILHDYEMTRSLDLIYEDVLSKIKPQSLSASNDIYLPWNLLEERNHNHCDYPFEEIVTLNIDFKWESSEGDKWVYDFIFSEDAFSEPLVEKCTEPFYGISTLDEHAPVNTSHGLLENLFQKTGARDCGVDDNAKKATLLFKSMSAFDDLTFFMDPQKAVTEDNLGSRVEAAKTANHKFMSIDSKASCISGGMHPNLKTEEMILHSVRPSENILVLVGDFVKSYLTLVKDESENLSEDRLKLLSISKGKLIDCIRKANVRNTQLADDKTFTFALLLAIKQMTWYMCFFGIHVAYLYLNKLCRSSNPMKLGLHTLYSAVETEHKSDETDVTRSHPSLAVIQGILHSEFARGNSKALLLAEKVFWSSLKRLLMSMGLSYNELNSPSPSENRPNVHEATGFKTVERGFLPISDCLIISYEQISPSFPVENFSVIVEYGGPNASPKFSFPSKLDSFPDFHFIKVEMDMSSACGQLCAGVKVPYSLKMIKEDEVETKTGWLEEVLNFVPLESVCYAGSSVTTNESEYISMPQESERKRGIIEQGVLSDQRSVIVVNSKTVDKEMIISRRSTYQKVLAMEKEGVQVVERDSDLPVDLMLSPAVCLLWYDCETVSKKSAATIGTSSSSLSWIGDIATNVLTSLSFSFSTCIMVFEGEPAFLATIMDSSDELYVAAGSLGISLQMFCSSSADFTDEIILRCIKSSVKLSKLHVKMPESESLAESFLTKFPSVNPLTAQVILSSSGSLLEFMKLPHKSKVDRMQKYHVPEESVDLFSSLCRYGAREDSRSVMTDSSSSVSSGPDSDTHHVSVHSGSKKKQYIAEKDEIDMDDLVHFAPSTEFADAQLKSSGDFQLDDSWSSRDNEIFHFDPVTEFSDAPFKPSGISHPNDSWPSKDPERFDKKPRPGSSSKDTFWEKDQPGFSVEDSLPGIPELEDWSFPVKDKFKSQNRGCKFPVMGDFNLHDNRNSESFIVDYKGEVINRADKFLEEDFPPSPGYNRFSPIVSDVDEEELPRKSKSARKLSFFGSLQPNFPKAAEIDLSSERFTTEKDSKYDNNASLRGYADNYPAKRQRTLLEEVLTRRSAVPTTELPFREEISHFGGSPLSNAIRSSNQVQSSPWTVDFLNRVRERSRARKQHQSLPSYVTPSSLETPGNIKKASTKRKSPSILEFFKYKGGKKIPEEKKQKRSKTSSASPKNERFYSPLKSWTPIDKRAKQSLSYAGNGTGGQTKLVWK >scaffold_801674.1 pep chromosome:v.1.0:8:15062364:15065032:1 gene:scaffold_801674.1 transcript:scaffold_801674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTAEPPLNTHQQQPEEVQHHENGASKMFRKVKARAKKFKNNITKHDQSNEHDHDVVKEDDEDDELEPEVIDTPGVKGKPESLSHPRETKVPAAEEIVPPGKKVFPVASSDYTKPTESVPSQDTSYGHDAPAHSVRTSVTSDKEESREAHHEAMDTPLLSATEDITRTFAPAGEDDYLDGQRKVNVETPIKLEEDPAVTGGGSDYLSGASNHQSKVTDPTKEGSGEARVPEITESLGNMKVTDESPDQKPPQGFERDLSTRSKEFKEFDQDFDSVLGKDSPVKFAGESEAELEKDFPMRSHTESGIDKNSPTGFGGESEAELEKDFPMRSHTDSGIDKNSPTGFGGESEAELEKDFPMRSHTDSGINKNSPTGFGGESGVELEKDFTTRSHDFDMKTEAGTDTNSPSRSYEFDLKTESGNDKNSPMGFGSETGAELGRELPTGSDEFDQKIESGRNEYSPESDGGLGAPLGGNFPVRSHELDLKNESGIEKDSPTGFDGEPDFLAKGRPGYGDASEENDFPAKSDDETHDQFSPELSRPKEKDEFKESRDDFEETRDEKTEEPKTSTYTEKFASMLGYSGEIPAGDQSEVTGTVDEKLTPVNEEDQETESALTTKLPLSGGGSGAEEQRGEDKNVSGRDYPAEKLTPDEEDKAFSEMNAEKLQIGGGEEKKTTTTKEVEKIPTEKASEEGEQGEAVDEEVNGGGGMVGRIKGWFGGAATEEVKPKSPHSVEEAPKTSGWFGGGATEEVKPKSPHSVEESPQSLGSTVVPLHKEL >scaffold_801681.1 pep chromosome:v.1.0:8:15088383:15089289:-1 gene:scaffold_801681.1 transcript:scaffold_801681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTVFVAITLLLAIYSQTTLGHDVKCENLDEDTCAFAVSSTGKRCVLEKSVRRSGIEVYTCRSSEIEADKVTNIIESDECIKACGLDRKSLGISSDALLESRFTQKLCSVKCLNQCPNVVDLFFNLAAGEGVYLPKLCESQEGKSRRAMSEIRSSGIVMDTLGPVGPVRLGEMAPEPATSMDHMPAPSPY >scaffold_801685.1 pep chromosome:v.1.0:8:15114072:15115183:1 gene:scaffold_801685.1 transcript:scaffold_801685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADTVLDYAVFELSPKHSRKRETGLASGLIEPFVNHLRVLESQASKRDQSSVRLEVEKNRKWLILAHKKNTRELEAARTLYSQDNGGVADATNEACYKYISLPQRRPDLITKNMNTNAQTLVNIEVPTLMILKTRLIGPGTS >scaffold_801686.1 pep chromosome:v.1.0:8:15115454:15116173:1 gene:scaffold_801686.1 transcript:scaffold_801686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNCVKLNNSAGFEQRQNSFGVEDDLEVSLVNADLAGKNDNNRVHSTSVDQTQRTRMSDSSSDLTFSQPQLKPKLRLRKKQRRKLILRNHVSLKSFSS >scaffold_801688.1 pep chromosome:v.1.0:8:15121885:15123498:1 gene:scaffold_801688.1 transcript:scaffold_801688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRLNHIKKLENDIIRDFVYSLYISLSNGETTCSNPTSTIVNSLTEHPPSYSVKIENLTYLKNDKYQSRRFTVGGHNCGFISMYVEIDSTSEVFAYVKFFVYNKNEQKYFTIQVLPLYLFEIPKNGYIFEGQKCEFGVEVMVVPPLTNWEVSFNQKLSTSIFSWTVICQGFLRIERESLCFPQLFNWRKRMMSLFLHVADSETLSEDDKVYVHADLRVLFCPRPREYCNHVTHKLNVCYKKSTQGWGCEHFVTIANLREGCLDNDTLTLEVEFKIVTATKYSHPRS >scaffold_801691.1 pep chromosome:v.1.0:8:15130502:15132317:1 gene:scaffold_801691.1 transcript:scaffold_801691.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCF106 [Source:UniProtKB/TrEMBL;Acc:D7MRR8] MAMAFQIIASSSSSSPTITKSHLFSYPPLQSCYKASKPKLSSWISLMGSSRFSPYIGLKHLGISISPKSSNPEKKRRCKSMMIRASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRTFQPTIKELQDVSRDFKSTLEREIGLDEISTPNVYNQNRTNLVQPPPPPPPPPSVPSTEAPVTANDPNDSQSPKAYTTEDYLKITEEQLKASSPAESQTEDQTQTQEPLQPTTVQTPTGETQPNGTARETTAASPPRQD >scaffold_801692.1 pep chromosome:v.1.0:8:15134738:15137545:-1 gene:scaffold_801692.1 transcript:scaffold_801692.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:D7MRR9] MRDDRERGEDELSWPLIGEKSSVKEEVKKQLWLSGPLIAVSLLQFCLQVISVMFVGHLGSLPLSAASIATSFASVTGFSFLMGTASALDTLCGQAYGAKKYGMLGIQMQRAMFVLTLASIPLSIIWANTEHLLVFFGQNKSIATLAGSYAKFMIPSIFAYGLLQCFNRFLQAQNNVFPVVFCSGVTTSLHVLLCWVLVFKSGLGFQGAALANSISYWLNVILLFCYVKFSPSCSLTWTGFSKEALRDILPFLRLAVPSALMVCLEMWSFELLVLLSGLLPNPVLETSVLSICLNTAGTMWMIPFGLSGAASTRISNELGADNPKVAKLAVRVVICIAVAESILIGSVLILIRNIWGLAYSSEPEVVTYVASMMPILALGNFLDSLQCVLSGVARGCGWQKIGAIINLGSYYLVGVPSGLLLAFHFHVGGRGLWLGIICALVVQVFGLALVTIFTNWDEEAKKATNRIESSSSVKDSAVDDGSIVVF >scaffold_801695.1 pep chromosome:v.1.0:8:15150824:15151311:1 gene:scaffold_801695.1 transcript:scaffold_801695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTPETGIKTKESYEVNSRGVEIFSKSWLPEASRPRALVCFCHGYGDTCTFFFEGMNCKKIGIVGIWSFCYGSGNKKSQSRFTIL >scaffold_801698.1 pep chromosome:v.1.0:8:15157149:15157948:-1 gene:scaffold_801698.1 transcript:scaffold_801698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHKIDLRIPKNFKALHYDFEAMYRYAVDLSRGGLLEINFVDIGSYSLLTYIANRSSNLRRLRVSDFLGITRIGIFEEVEKFPLLEEVEFSFWCIREVLIKGIGQPCPNLKTLKLNGKFYMKSADKVALTIAETMPGLCHLQLFGSGLLYTGLKAIFDNCPNLEHLDLRHCFDFDLVEDLENQFSEGIKVVRRANFF >scaffold_801703.1 pep chromosome:v.1.0:8:15188690:15191453:1 gene:scaffold_801703.1 transcript:scaffold_801703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNNGAELPWKKVAKGSRSLTRRSKKPVVRVPASGIEAEAKSSTATVAGKPKPEKLGVSVLGQHFAERVEHVPIKKRRFIVRSPSPSKRPSTQREGSEHKAQINHALPVSRLNPNLISDVRDEKPDCSDHDFSGIKILADAACSADVSNDFAPAVDCLPAEESVVQQQDTLTISTHVEGNDSSAGTADVSHTAVDSGDQTGQGKSNIVAPQKYPLTNLCKELADEQSVEHSRVTSGGMIAPKKTSIALSNESSTERPKANVEAGESETLAPDSGAVTVSEKSSAVEPTEKNNEGLKDVKFHWDLNFPCDVEDEASGRDLEGEITESIAPKESERFDGSKDSMDGVIASDEHTKFSSPSGPNTEAAATNDKECQSGYDSQFEDGELREPYPWEEIGEVEQLDYGSEPENERFYSMDSEKGILADKNFRHVKCESGDALRIGQANEASDIEKQVVVNMSDSHPKKGSSSPSRSFGSKPYKEVPSHDAIQRRRPDTYEEVSTGPDRFAGRDDRSGMRMRNKSPRRGYFNGWDSKRRFSPPNYKDGSYGSGRPWPKRMADDRGMMNNGFDESGSGPGPEPDGYVRRQFSNGGYRGRFKRFPDGGDRKFRGPHSDTNQFPGRMQNRMSGNRRERGGSPVLRRLHYPQSESRSRSRSPVSWNSRNRSSPPPPGGLRADERTTERVRLPFQKRFPADQEMGYMSPPRNRMSSPRFFEGRNNDTGENHNSFRERKFRPGQRFDVGNSGDNDDAGENHNSFRERKFRPGQRFDVGHSMRRPNSDNNNLRPFIRNRRFEGAEENTGRNRFEMAQQRRTRRSEATEEGGDDIRRFKFNEEQPVVVANNDNNNKES >scaffold_801704.1 pep chromosome:v.1.0:8:15200519:15202703:-1 gene:scaffold_801704.1 transcript:scaffold_801704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLPLLNVTGISLPSSRLFRRRPHIVSRKDLPEFSTKAVSSRLSLYPASLSQFRNGSDRFLSPDSLPESSSPIRSVTVSSLSTPLISPNDEWGTWTALFATGALGLWSENTKVGAAMSGALVSTLVGLAASNLGIISSQAPAFAVVLNFLLPLAVPLLLFRADLRRVVQSTGKLLLAFLIGSVATTVGTALAYYLVPMKSLGPDSWKIAAALMGRHIGGAVNYVAISNALGVTPSVLAAGLAADNVICAVYFTTLFALGSKIPAEAVPPPTTDANAETNQVSETKNKIPVLLIATGIAVSLAICKAGALLTKYFGISGGSLPAITAVVVILATVFPSQFGRLAPSGEAMALILMQVFFTVVGASGNIWSVINTAPSIFLFALVQIGTHLAVILGIGKLLNIELRLLLLASNANVGGPTTAAGMATAKGWNSLIVPGILAGIFGIAIATFLGIAFGVKVLKFM >scaffold_801707.1 pep chromosome:v.1.0:8:15208132:15208513:-1 gene:scaffold_801707.1 transcript:scaffold_801707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITVFRTVEDYGINIPFRALQALGCKGDTVTPNKKKGEVCATVVYDLEDESLDNFFVTASWDGVCVDDYKCVVLPRGR >scaffold_801709.1 pep chromosome:v.1.0:8:15214011:15215711:1 gene:scaffold_801709.1 transcript:scaffold_801709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRESSDEESSQSHENLEAQRSSLPSVQSSSPHMFLPSASAPEEPLNFSQVLTGVRELDLVPNRNSSHSYENPMFLPPPPMFLPHAPLLYPLSSLNSWSRAESGRAQQFRSRESIFYPYKEEQILSSEEELFGKVGLHCYNLQHVKISFFSLGPLMNFCLSLLLVLYIIQVVVILVELSSIISYAVTLEAVDPMRPRNSSCQFETLARHAIENKDCLSAIVTECRIKPQNPDSEERRGNNPFNKALVDDFFKGKMPDWMPEGALTGSNKLQYYEKTIQPVKPLELRKIVVRTKEDVESKKKVKAENAIFYISFKNCCGRDYNVIVRRTTDGIPEHFSLEVKCC >scaffold_801713.1 pep chromosome:v.1.0:8:15228875:15229964:-1 gene:scaffold_801713.1 transcript:scaffold_801713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEDLLVEILLRLPVKTLAICLCVSKLLASIIRSRHFINLYQSRSSTHQQHVMFALRDIFTFSRWHFFLSSQPSLVTNAICCVDNTSLTPDCVNGLICLEDMHRLWIYNPATRKGVLLPQSAPYKPFRKWYMGYDPINCQYKVLFFSKEKLVCPYKVEVFTLGGQGSWKMIEVENNHSPATRGVCIDGVVYYGSHTAHGLRLERFYVATEKFGNFIEIPVEASNFYGFFTFVNYQGKLALLVTKNINMYDLWVLEVAGKQEWSKVSIVLPRELFSYDLVCLELVGFVAGSGELIVTARDQSYHLYLVYVDLKMKRSNTVWLGGIRCSYRPSLLVLTFTDYVESIMFL >scaffold_801715.1 pep chromosome:v.1.0:8:15233287:15235094:1 gene:scaffold_801715.1 transcript:scaffold_801715.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MS71] MALNSSAFFVPCHNYNQICDLLLSSARSRSIVKGLQLHGYIVKSGLSLIPLVANNLINFYSKSQLPFDSRRAFEDSPQKSATTWSSIISCFAQNELPWMSLEFLRKMMAGSLRPDDHVLPSATKSCGILSRCDIGKSVHCLSMKTGYDADVFVGSSLVDMYAKCGEIVYARKMFDEMPLRNVVTWSGMMYGYAQMGENEEALWLFKEALFENLAVNDYSFSTVISVCANSTLLELGRQIQGLCIKSSFDSSSFVGSSLVSLYSKCGDLEGAYQVFDEVPMRNLGIWNAMLKACAQHSHTQKVIELFKRMKLSGMKPNFITFLNVLNACSHAGLVDEGKYYFDLMKESRIEPTDKHYASLVDMLGRAGKLEEALEIVTNMPIDPTESVWGALLTSCTIHKNTELAAFAADKVFELGPVSSGMHISLSNAYAADGRFEDAAKARKLLRDRGEKKETGLSWVEERNKVHTFAAGERRHERSKEIYEKLAELGEEMEKAGYVADTSYVLREVDGDEKNQTIRYHSERLAIAFGLITFPADRPIRVMKNLRVCGDCHNAIKFMSICTRRVIIVRDNNRFHRFEDGKCSCNDYW >scaffold_801721.1 pep chromosome:v.1.0:8:15252722:15253115:-1 gene:scaffold_801721.1 transcript:scaffold_801721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPSAGRELSNPPSDGFSNLRFSITRVRLYDVSTNSLKGEFLHGGAVLDCCPTVLSLISTPCFFVWFRLCSLK >scaffold_801730.1 pep chromosome:v.1.0:8:15280983:15283760:1 gene:scaffold_801730.1 transcript:scaffold_801730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDTDEGKTVMCLLTDPEGTHLGSAMYIPQNAGPLQLTQLVNRFLDNEEMLPYSFYVSDEELLVPVGTYLEKNKVSVEKVLTIVYQQQAVFRIRPVNRCSQTIAGHAEAVLCVSFSPDGKQLASGSGDTTVRLWDLYTETPLFTCKGHKNWVLTVAWSPDGKHLVSGSKSGEICCWNPKKGELEGSPLTGHKKWITGISWEPVHLSSPCRRFVTSSKDGDARIWDITLKKSIICLSGHTLAVTCVQWGGDGIIYTGSQDCTIKMWETTQGKLIRELKGHGHWINSLALSTEYVLRTGAFDHTGRQYPPNEEKQKALERYNQTKGDSPERLVSGSDDFTMFLWEPSVSKQPKKRLTGHQQLVNHVYFSPDGKWIASASFDKSVRLWNGITGQFVTTFRGHVGPVYQVSWSADSRLLLSGSKDSTLKIWEIRTKKLKQDLPGHSDEVFAVDWSPDGEKVVSGGKDRVLKLWKG >scaffold_801734.1 pep chromosome:v.1.0:8:15305888:15306460:-1 gene:scaffold_801734.1 transcript:scaffold_801734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDVELLKRAWREGGEETIEDYVENGIDPLMVSLYQMDLDRAQFLLRSYLRVRLLKVMLSAVRVVSEGAGDKGVFWSEGEAGD >scaffold_801736.1 pep chromosome:v.1.0:8:15321889:15322139:-1 gene:scaffold_801736.1 transcript:scaffold_801736.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MS93] MASVVFVGMPSLLLVAPFDPVFCVLAFVSFSLLPDVVIALDSVRFDLLPFILFGVVRIDLVLLVSTLKI >scaffold_801740.1 pep chromosome:v.1.0:8:15350865:15352065:-1 gene:scaffold_801740.1 transcript:scaffold_801740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPEKMNQLNQGSSSSLTNKRKRGRPRRDESQTQLPVNPLVSTTIDENLIGQVVSGVVEGSFEAGYFLNVKVADTEKQLKGVVFLPEKVTPVTPATDLFPQAKMYARNDIPIPSLNQQTPLQGKKNAGSQTDDIGSELQTDALIADKNQSATCTTSLPDIHPMSDAGVGSVAIGERNDPIDTLMKDVGGSSAEGKLIEPESQTLSLMPQFGSDGVPKEDHTVLRSEACAASKTAVTVTTTSPKDSIAKGSTTLVDFFPAPEATRKQDTGSSSTLNLELFQNETKRSGTEDEKSPADAEPRGMEEKPASTVEDVPEELQLELGNKKMSASGIATEANPDQSASSKSGFLANAFEGKEETAKDQEESNAASESGFPEATTQVDDNDS >scaffold_801742.1 pep chromosome:v.1.0:8:15361812:15362055:1 gene:scaffold_801742.1 transcript:scaffold_801742.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MS99] MGEERRKRGGETEVPGSVGVRDECCRKIREQKQKTENDVETHQSLISGAIFAWSCYGLRLRELEIRF >scaffold_801743.1 pep chromosome:v.1.0:8:15363168:15364152:-1 gene:scaffold_801743.1 transcript:scaffold_801743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFLLKYPEVTFVTDMKHRLHRFPTFLSTCESFKSHAVIEDLRERKPLKSLIYFGGCESFTAKGGKSGAVFAKTKDKRFIVKEINKTEYESFALFGPKYFEYLSDPNKKTCLTKIFGMYEVMFLKLIAAYHVFFFGHIMLANIRR >scaffold_801749.1 pep chromosome:v.1.0:8:15387470:15388470:1 gene:scaffold_801749.1 transcript:scaffold_801749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVSTFELIPSSALFPCAKLSIPAKFLHISSSKASIFPRCRSFTFSSSFSIRRKPLILVSSKSSDAEEVSDTEDEWLKKLPEKNKPLYSHSLPCIEAWLKKLGFYQSKDDRAVWLIKKPDWHAQLSLDVTDLCIRYLKSGPGNLERDMERRFSYALSREDTENAILGGP >scaffold_801751.1 pep chromosome:v.1.0:8:15392122:15394073:1 gene:scaffold_801751.1 transcript:scaffold_801751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTILSVVLIVSLCAAIFVTQGVAQTQTPWRRSIRNLINGYFVSLIPSMSSPEKKRKRKTTTKTNKKKPSPNPSLPDDLLMSIFARVSRLYYPTLSLVSKSFRSLLTSPELYKARSLSGQNECCCLYLCLRFKPYSNSTWFTLCRKPDQTLTNETTKTKSSGYVLAKVPIPPSPRAHFSSLVAVGSNIYNLGVSKSIYQPSSSVSILDCRTHTWREAPSLRVVPMSLSASVLDEKIYVAGSYKDDYGDSGSSKNLFEVFDTKTQIWDPDPIPCSETTCNFLNCKTACIDGKFYVVSDVIYRDVCAYESKEGRWDLVGLGSYMEHHLFLDSCCDIDNIWYFVFQGRFIWYDTKEIQWRHLKGLVGLPKFPPSARIRLANYSGKIAVFWDDVLPCNGGDNKKMIWCAEIALEKRKSYEIWGKVEWFDHVLTVPITCVFEKVLAVTV >scaffold_801755.1 pep chromosome:v.1.0:8:15399272:15402606:-1 gene:scaffold_801755.1 transcript:scaffold_801755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLAMEEMPLSALFEQARKIHLAASESGVDQDVVKKGCEMFQKCEDMIGKLALFSSNETKEDISTNNLKYLLVPYYLADLTEKIIQEDRIQIVKASYAKLKEFFSFCEAMELVPDEELEASSRGGSGAPADRKALKIARFKRQKAAEAKLLEIKERKERRGRSTKAAALSTPVESGEDDIPDDDSEEEREAWLSSINLAVCKDGEDGFSRDALDDRTKKAETWHRDAAARVRYSNPAQPITCATFAQDVLEGRASVSEGHEHKHQPLIFGPASIVGGPLSTQRERMIAQVFQPSHSWSFVLFASVACSVRLGRYFSGWTKKSDGTPMFIYGITTADEATEFVITSLSFGIATVVVAVPFGLSIAVRLKASTTWHRDAAARVRYSNPAQPITCATFAQDVLEGRASVSEGHEHKHQPLIFGPASIVGGPLSTQRERMIAQVFQPSHRMPTMCIEDAGLTEMNIMNDWQEQTKKAIEEATTSWYSDKPLRRKEEDEEDDDEDEEAVMKARAFDDWKDDNPRGAGNKKLTPCG >scaffold_801756.1 pep chromosome:v.1.0:8:15403400:15411037:-1 gene:scaffold_801756.1 transcript:scaffold_801756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFELSMFRFWKRPSNPKGGPGDIEVGLAAAGGYSNDDVAVPHLPEDLGGGSVPEAESGDLEAGLVTTDLPSSWRRGTLKRVSRALAFYRVISDMLRRNTTSDDQSAFCTEPSTSGGFGIGLDELVQLVKDGGSLEALNRYNGASTLVHGLTTLLKTDLKSGIDPCVDEIQHRRNTFGSNTYPSRKGKRFWCFLWRACKLSHFLVIFLAQVILSLLRVNTKGIFDGWYVEACIILAILLYIIVRGIVVQSLIFLSCLANFKLVFRRENMESARFILFVTHFAAIIEYKQSRQFEILRKEKRNVHLEVIRSGRRFLVSNYDIVVGDIVPLKNGGQVQKDLQRNPFLLSGSKLIDGIGTMLVTSVGMNTAWGFKMEIPQETDEEKPFQGYLKWLAISASWSFVLFASVACSVRLGRYFSGWTKKSDGTPMFIYGITTADEATEFVITSLSFGIATIVVAVPFGLSIAVRLNLAKTTRKMMTDKLLMSVVDVWAGGMRMQDMDNVSQLPPFLKELIIEGIAQNTNGSVVFETGVTEPELYGSPTEQAILSFGNKLGMKFNHARSASLVRHTIPFSPKKKYGGVALQIGAHAHAHWKGSAKTILSSCERYMDGANNPRGIDDEKRKFFEGTIEEMCNKGLRCAALAYQPCELESLPTTIKEPRNLVLLAIIGIKDPCRPGTRDAIQLCNSGSVKVCMVMDYDVLTAQAIAIECGILTDASGRNIRTGAQFRELTDPQREQIAGDILVFAQSSPDDNLLLVQALKKRGHIVAATGMGIHDPKTLREAHVSLAMGVGGTAAAKENSDIIILDDNFATIVKCIIWSRSLYTNVQRSILFRLTVSVSALAICVVEVVVYDAFPLNVVQFCFSLMQFLWLNLVIDILGALALAYRPSSGHHLMGKPPVGIRDPLITKAMWSKLIIQIKDKNIDLETSNNASVMKYLQVIYLVLSLVLINSEKLLKLKHGHTGNAEKVMNTFVFNSLVFCLVFNEFEIRSVDQTFKQILRENMFLVTITSTIISQIIVIELAGFLSSSTRLDLKKWVTTSLLGLLSQVANRYPYPVNQYYRN >scaffold_801757.1 pep chromosome:v.1.0:8:15413648:15413837:1 gene:scaffold_801757.1 transcript:scaffold_801757.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSB6] MTHKHIRNSTRLHLPNTCQVVVTTESTDFSFSCAHQLAKAWGVIGKVIE >scaffold_801760.1 pep chromosome:v.1.0:8:15421563:15422912:-1 gene:scaffold_801760.1 transcript:scaffold_801760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPDPKNGAGLILSVTEPMRSFLAIASGDLRLSEELRGIASDLSSKNTVSYKLLRAIWTGSDPLTRPGLLGLFSGSDFVFTSPKPREKSEELKMRLLKLKEIAERKEYAELVKDITPKKQVEEPFSSYKDQLGFGLHVGLTMFTGYLVGYASFRALFNRNPALSAAGGILGLVLAMLVETLLFIIKTSKDDQIQSSKSFTPSFTPTTKKNQ >scaffold_801763.1 pep chromosome:v.1.0:8:15427815:15435344:-1 gene:scaffold_801763.1 transcript:scaffold_801763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITQKQNQQTVIGLSNLLKTNLKVGIDDDDDEILHRRQTFGSNTYPCKKGKSLSRFIWKASQFPPSLLITLAAVIQSLLRIRRKVTRGGGSVWVSIYDIVVGDIVPLRNGGQVQKDLQIDPFLLSGSKLIEGIGTMLVTSVGMNTEWGQMMEIAHDTDEEKPFQVYLKWIANSASCLVVLFALVACIVQLCRYFYGRTKTSDGNPMFILGITTAKEATEFVIKSLSFGIATIIVGVPVGLPIAVLLKQVLSFICNQLFPASLDLPEYQFKHLFSAKQMSVVDVWAGEIRMQDMDNGSQLPTLLKELIIEGIAQNTNGSVVLETGVSPTEQAILSFGNKLGMKFDDVRSASLGRHTIPFNPDKKYGGVALKLSTRALVHWKGSAKIILNSCEKYMDGSDNPIAIDEQKRKGFEETIKYMCERGLRCAALAYQPYELEKLPSNEALSRLPSLPGKLVLLAIIGIEDPCRPGTKEEIQLCQSGGVKVRMVTDDDILTATAIAKKCGIFDEASDGNILTGAEFRNLSDLEREERVEDLLVLAESSPSENLLFVKALKKRQHVVAATGMGIHDSETLMAADVGLAMGIGGTAAAKEKSDIIILDGEFATIIKEDTKSLFWIMQVILWCRYLYTNIQRCVLFRLTVSVSVVAICVAEVVIHNAFPLNTVQLLLLNLTIDIFGALALAYRPPAHHLMGKPPVNIRDPLINTTMWNKLVIQVIHQVLSLALVHSEKILELKHGPTGNAVKVMNTLIFNSFVFCMAFNNDFEIRSLDQTFKEIFRENMFLVTITSTIIFQIFVLKLLGLFNSSVKLDLKEWLVASVLGLLSQLATHFPLQAHQYNRN >scaffold_801764.1 pep chromosome:v.1.0:8:15437684:15444996:-1 gene:scaffold_801764.1 transcript:scaffold_801764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVPIFRKIFRLNRGKGSAHAAGDASGDSEAAVENAPPDVEAGRVFVSTDRRRSLKGTLRKVYRLIKSTWKSNRAHAESASVHAHPQAEEQQTEISIGVTTASTSGGFEFGSNNLAQLLKDRTLEALNRCKGVPGLATLLKTDLGKGIDGHDDDLLHRRQIFGSNTYPCKKGKRFWRFIWKACQFPPSLLITLAAVIQSLLRIKRKVTRGGGSVWVSIYDIVVGDIVPLKNGGQVQKDLQKDPFLLSGSKLIEGIGTMLVTSVGKNTQWGKMMETAHETDEEMPFQVYLKWITNSASCLAVLFALVACIVQLCRYFYGQTKKKDGNPMFILGITTANEATEFVLKSLSFGIATIIVGVAVGLSIAVLLNLAITARKMLTDNALMSVVDVRAGEIRMQDMDGGSQLPTLLNELIIEGIAQNTNGSVVLETGVSGREQAMLSFAGNKLGMKFDDVRSASLVRHTIPFNPDKKYGGVALELSTRAHLHWKGSANIILNSCEKYIDGSDNPIAIDELKRKDFEETIKNMCMRGLRCAALAYRPYELEKLPTIEELSTLSSLPGNLVLLAIIGIEDPCRQGTKEAIQLCKSIDVKVCMVTDDDVLTATAIAKECGIFDEASDGNITTGAEFRNLSSLERTQRAEYLLVLAQSSPRDNLLFVKALKERGHVVAATGMGIHDSETLMAADVSLAMGIRGTAAAKEKSDIIVLDDKFATIVEVIRWCRYLYTNIQKHVLFRLTVSVSVVAICVVEVVFYDAFPLNTVQLLLLNLIIDIFGALSLVYRPPANHLMAKPPVGIRDPLINKTMWAKLVLQVIYVLLFLAVINSEKILKLMHGHNTSNAEKVKNTFIFNCFIFCLAFGEFEIGSLDRTLKEILRDNMFVITIASTIVFQASPLYKFTQHLFSFSLLTYPYTIIYTFFLFLLYQIIFIEYMIVFISPVKLDW >scaffold_801765.1 pep chromosome:v.1.0:8:15445964:15446757:1 gene:scaffold_801765.1 transcript:scaffold_801765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNPSLLSYTCIAKGTVVLAEFVSKEEPGIEAVALRCIENTPPHHSMFSHTVHKKTYTFAIDDDSFVYFAILDESMEKPESFWVLNRLRSAIEDLINDGGSDVETLINPVSHCLQLKIDPVFAEIVGVVDLELDMDLVGSPRSVARESRNPSIDSSKGRRAALMPLLGKPLKALKKKKRLHNEAKGEDPCEVGSIKEISEKNVDVCGNGNNGVLRKELRNGLLSDHHHRQKAKQIWKKHEESQACREKP >scaffold_801766.1 pep chromosome:v.1.0:8:15447022:15447423:-1 gene:scaffold_801766.1 transcript:scaffold_801766.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSC4] MRDIYLLVTIGALLSCGVDGENHTGGPYSDCQGLICKVEAEFSAECLQEFFSFCEAMELVPDEELEASSRSSGAPADRRALKVDLALFL >scaffold_801770.1 pep chromosome:v.1.0:8:15467679:15468668:-1 gene:scaffold_801770.1 transcript:scaffold_801770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVPATTRSDQTWDFSCNLDVNFESEEHALVAYTSLAVDKELQPDKVRRVMSVSNNKLSVHFEAIEARLLRASFSAFVDVLTLATRTIQEFGQK >scaffold_801774.1 pep chromosome:v.1.0:8:15479620:15480847:1 gene:scaffold_801774.1 transcript:scaffold_801774.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L9 family protein [Source:UniProtKB/TrEMBL;Acc:D7MSD1] MAYVGQSRNVIRHVVTRGTAYHKSENAIHHPLLFACQGVRYRKLEVILTTGIEKLGKAGETVKVAPGYFRNHLMPKLLAVPNIDKYAYLIREQRKMYNHEEEKEEVKVVHKTSEVQTKEYEKAAKRLANANLVLRKLVDKEKFKNRSSKDDKPDVQTPITKEEIVSEVARQLCVKIDPDNVVLPAPLETFGEYEVPLKFPKTIPLPQGTVQWILKIKVRGH >scaffold_801777.1 pep chromosome:v.1.0:8:15487305:15489639:1 gene:scaffold_801777.1 transcript:scaffold_801777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNDGLGDYILLQRMMASHLQTPFHLPPLNHLTCIVTGSTSGIGSETARQLAEAGAHVVMAVRNIKAAHELIQQWQTKWYASGEGFPLNIQAMELDLLSLDSVVRFSNAWNARLTPLHVLINNAGMFAMGGAQNFSEDGYEQHMQVNHLAPALLSLLLLPSLIRASRSRIINVNSVMHYVGFVDPNDMNVISGKRKFSSLRGYSSSKLAQVMFNNVLFKKLPLETGISVVCLSPGAVQTNVTRDLPRVIQDLYSALPYFIFSPQEGCRSSIFSATNPQIPQHYQKLRTNEKSVCTLFVSQNCKPTNCSEEAHNIKTANRVWEKTVELIGLPSDTVERLVDGEDVQCRYGTH >scaffold_801778.1 pep chromosome:v.1.0:8:15492896:15493338:1 gene:scaffold_801778.1 transcript:scaffold_801778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGGCALVLQTLAAGFKLDPLSNRVKESELCAFYLLRQQHLSLLSLWNGTLVNPSLNQSENALGSFVLFEDVKSAVSKQISLNKEIQEVSDDAKERDL >scaffold_801781.1 pep chromosome:v.1.0:8:15503399:15504205:1 gene:scaffold_801781.1 transcript:scaffold_801781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGKTHTHALIEKNEKLAIPRRARYAIAIGAGIPGALIVFGLFCFIYSKISSCIKRRRLVPTPEINNAQAHYLHSSVNVMGLDGPTIESYPKIVLGESKRLPKVDDATCAICLSEYEAKETLRTIPPCQHCFHADCIDEWLKLNGTCPVCRNSPEQIFPPENFNS >scaffold_801783.1 pep chromosome:v.1.0:8:15510210:15512917:-1 gene:scaffold_801783.1 transcript:scaffold_801783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRQEKFVRFQDWKSDKTSSDVEYTGRNEIQTGIFRRTISSISDKFYRSFESSSARIKLFKRSYKSYSFKEAVSKGIGSTHKILDPQGPFLQRWNKIFVLACIIAVSLDPLFFYVPIIDDTKKCLGIDKKMEITASVLRSFTDVFYIIHIIFQFRTGFIAPSSRVFGRGVLVEDKQQIAKRYLSSHFIIDILAVLPLPQMVILIVIPHMRGSPSLNTKNMLKFIVFFQYIPRFIRIYPLYKEVTRTSGILTETAWAGAAFNLFLYMLASHVFGAFWYLFSIERETVCWKQACEKTNSSCIPSLLYCDREIPGGNAFLNESCPIQTPNTTLFDFGIFLDALQSGVVESQDFPQKFFYCFWWGLQNLSSLGQNLKTSTYVWEICFAVFISIAGLVLFSFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPENLRKRIRRYEQYKWQETRGVDEENLLSNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDALCDRLQPVLYTEESYIVREGDPVDEMLFIMRGKLLTITTNGGRTGFFNSEYLGAGDFCGEELLTWALDPHTSSNLPISTRTVRALMEVEAFALKADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYIKKKLEESLKEEENRLQDALAKEACESSPSLGATIYASRFAANILRTIRRSGSVRKPRMPERMPPMLLQKPAEPDFNSDD >scaffold_801784.1 pep chromosome:v.1.0:8:15517056:15519989:1 gene:scaffold_801784.1 transcript:scaffold_801784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSSFIRSFILQAGGHIGVLAQGRHQFNHIKKTFSVGFGFRTSVIGFRRTCGVGFRTSAKMMADTSAGEKRISLVDMPPEKVDDGGYIGGGWKNDDGSLSCGYCSFRGKRSTMEDFYDVKASTIEGQTVCMFGIFDGHGGSRAAEYLKEHLFNNLMKHPQFLTDTKLALNETYKQTDVAFLESEKDTYRDDGSTASAAVLVGNHLYVANVGDSRTIVSKAGKAIALSDDHKPNRSDERKRIESAGGVIMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDLEIDHEAELLVLASDGLWDVVPNEDAVTLAQSEEEPEAAARKLTDTAFARGSADNITCIVVKFRHDKTESPKIEPNAMAEAEPELNPTAEVEPESNLNTEMETESSPKAEVESNPDAIPDPKPETEPETKGEKAGE >scaffold_801786.1 pep chromosome:v.1.0:8:15531848:15532837:1 gene:scaffold_801786.1 transcript:scaffold_801786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGSENLTNQNPNLEREFIRRHHNHELVENQCSSTLVKHINAPVHIVWSLVRRFDQPQKYKPFISRCVVKGNMEIGTVREVDVKSGLPATRSTERLELLDDNEHILSIRIVGGDHRLKNYSSIISLHPETIEGRIGTLVIESFVVDVPEGNTKDETCYFVEALIKCNLKSLADISERLAVQDTTTESINRV >scaffold_801800.1 pep chromosome:v.1.0:8:15605690:15605904:-1 gene:scaffold_801800.1 transcript:scaffold_801800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7M537] MEFRLIDLVNTNGINVQFLAMISHRNRFSTAKADVGFLSMTHPARLWFHPLDNTWLG >scaffold_801801.1 pep chromosome:v.1.0:8:15606857:15607494:-1 gene:scaffold_801801.1 transcript:scaffold_801801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATTICSDKTRTLTTDHMTVVKACICEQAKEIVVGKGNKTEILGTPTKTALLEFGSSLGGDFQEVRQASNVVRVEPFNSTKKRMRVVIEVPEGHFWAHCKGASEIVLDSCDKYINKDGEVVSLDEESTSHLKNIIEEFASEALQTLCLAYFEIGDEFSLEARIPSGQ >scaffold_801802.1 pep chromosome:v.1.0:8:15608733:15608988:-1 gene:scaffold_801802.1 transcript:scaffold_801802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESRFIRWFKVQFQLRAYQAGFNCGFNRAEAVNVAPVYRLAHGQNVVELYSKETRKISLSHDKLLLGASS >scaffold_801804.1 pep chromosome:v.1.0:8:15613560:15613824:1 gene:scaffold_801804.1 transcript:scaffold_801804.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSW8] MEKQLTRFSTKGFDLIQGLLIVYTKEPFPFPSPKSVAKSTVTSKELGFLKELESGIPRVLLQHNCDQTHTCHGG >scaffold_801805.1 pep chromosome:v.1.0:8:15614544:15615021:1 gene:scaffold_801805.1 transcript:scaffold_801805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAEHHSKNYGVLEEALQFVGSIKTTSHYVSPDHLYDSSPNPDGANSTPGGIKSNFVINAFASTFGFAKRALGFNHVKGVLGNAAIFAISMVAMLHLHQVATSEHHLQKKEDRFYRSQQRKTYGRDKSSGDRSLDHLDVMMARG >scaffold_801806.1 pep chromosome:v.1.0:8:15634529:15635614:-1 gene:scaffold_801806.1 transcript:scaffold_801806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYIDFRPLKYTEHKTSMTKYTKKSSEKLSGDQSLRKVSICYTDPDATDSSSDEDEEDFLFPRRRVKRFVNEITVEPSCNNIVTGVSMKDRKRLSSSSDDTQSPASSRQRPNKVSVSGQIKKFRGVRQRPWGKWAAEIRDPEQRRRIWLGTFETAEEAAVVYDNAAIRLRGPDALTNFSIPPQEEEEEEEEPEPVVPTMTTTTTSSSESTEDFQHLSSPTSVLNLRSEEIQQVQQPFKSAKPEPEISNAPWWHTGFNTGLGESDDSFPLDTPFLDNYFNESPPEMSIFDQPMGQVFTENDDIFNAMFLGSGETMNIGDDLTSSSINDIGSKFSDFDDLILDLLVA >scaffold_801808.1 pep chromosome:v.1.0:8:15656850:15658485:1 gene:scaffold_801808.1 transcript:scaffold_801808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFKEQRGPKFDGDESPRPDFDGGDEDRSVGEVDGGDQTPFAGGKVRRKASRYREHRGDYLHVSSRPGLMRILEKQGDTSILFADKVLKFTGSGKMKRRIFILTDFAIYLIDPETEAMTRRIALAAVEKMCLSKLSDNFFAIIIPTEYDLFMASTRKTELVQVMVDVTKSASDYELEVLLSNRFEYNASASLVKEVSFEESEGGIKTKFLWK >scaffold_801811.1 pep chromosome:v.1.0:8:15665073:15666597:1 gene:scaffold_801811.1 transcript:scaffold_801811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDYRNKSGPSYPRPMYGPPSTSPSPASNHPMYGYPKIGQQTGHGQQFFPPPERNSSFQHNTSPSSGLGIKVNLKPEYRITPPPQLLPRVGDIHRSSFQFDFGLERKVLAEAEKDNPDWSKFGSDNPPAKFLEPTSSSVNGSFVGVDPVVMKYAASGLNPEAVNIAVANYGDNPTKVQEFANGFTAIREMGFPTNSVADALFMFENDTEKALAHLLHGSS >scaffold_801813.1 pep chromosome:v.1.0:8:15672693:15676148:1 gene:scaffold_801813.1 transcript:scaffold_801813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRSNTSRETASLTLSQFRYFFFNRIHTARTATSPQCNHRSKSPNKISSLGTSFLDNRGGERRNSTNCYASQKLNGVGSSVVMLSSQGDPPDLWQPPGDGVSVRVNGSSVNLGRGGGGGGSSPGGPGNGTGSNSKEDCWGGSNLGSDFPTPKEICKGLNKFVIGQERAKKVLSVAVYNHYKRIYHESSQKRSAGETDSTAVKPADDDMVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLTVADYNVAAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFVDIEKTISERRHDSSIGFGAPVRANMRAGGVTNAAVASNLMETVESSDLIAYGLIPEFVGRFPVLVSLSALTENQLMQVLTEPKNALGKQYKKMYQMNSVKLHFTESALRLIARKAITKNTGARGLRSLLESILMDSMYEIPDESTGSDMIEAVVVDEEAVEGEGRRGSGAKILRGKGALGRYLSETKSKDSPQTTKDGSDGETEVEAEIPSVVASM >scaffold_801814.1 pep chromosome:v.1.0:8:15676776:15678159:1 gene:scaffold_801814.1 transcript:scaffold_801814.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:D7MSX7] MVLMETDSIECLIDNDEIHHIHQFSSTKSQAGATVVISPATSVYELLECPVCTNSMYPPIHLVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDEEDARKYTYSLEVGGSGRKLTWEGTPRSVRDSHRNIRESHDGLIIQRNMALFFSGGERKELKLKSHRKNLERATESRFWCLHTKPLCVAKSKPANSSNLSSGVRSLRFDLVSGSCVFFIQTASCRSKVYLEKKKRVVPSARNVQTGRKSCNLHNFQRLNLSINVYLNIVRSKQKQRI >scaffold_801818.1 pep chromosome:v.1.0:8:15692978:15695249:-1 gene:scaffold_801818.1 transcript:scaffold_801818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKNKARETVEEEPLSPCSRLFNSPDFNCAIIVTMGSRVKGDTPAIIHGLEHTLVNHPRFSSILEMNNGKKGKPRWVRTKVKVEEHVIVPDIDPDIENPDQYLEDYISKLTTIPMDLSKPLWEMHLLGLKTLNAESFAILKIHHSLGDGMSLMSLLLACTRKTSDPQALPTVAVQKKRFGPSCNSGFFNKIWWLFVGLWFIIRLLFNTFVDILMFALTIFFLRDTETPLLAKPGSELTPKRFIHRIISFDDVKLVKNAMKMTVNDVLLGVTQAGLSRYLSRRYDQEATPKSKESMRKIRLRSAIMINLRPNTGIEALADMMAKKSKCRWGNLFGYILLPFSVGLEADPLEYVRQAKATIDRKKNSLEAVFSMAFFKLILKVLGLKASVVLVRKVIHSTTLTFSNVVGPKEEITFHGHPLNYISPCVFGHPHALTLHFQSYANKVIISVTADPTVIPDPHKMCDDLVESLKIIKSAVLERGLYEIDV >scaffold_801821.1 pep chromosome:v.1.0:8:15726102:15731830:1 gene:scaffold_801821.1 transcript:scaffold_801821.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MSY3] MIIKRKLKTRMSSLKRCNSTNEEDDRAKKKRKVNFNGGGDYYYPLNLLDEIGVGIVPGKNGFSVSLCKEVEVVEVEEEIKSKRLVADTSQRGRDRMGEVSRPPLVRTSRGRVQVLPSRFNDSVIENWRKDSKSSGEEREGEIEEEACRKEKVKAKFTPRNYKYSSSALCEERDDEDKCEEIGRYGNSYEMKKHMMSSRTSLASLQEQRYVDDEPRPKKEGVYGPEDFYSGDLVWGKSGRKEPFWPAIVIDPMTQAPELVLRSCIPDAACVMFFGHSGTENERDYAWVRRGMIFPFVDYVDRFQEQSELRGCNPREFQMALEEALLADQGFTEKLMQDIHLAAGNQSFDDSVYRWIEEAAGSSQYLDHVAPNQDMKKYRNPRACVGCGMILSLKMAQKMKALIPGDQLLCKLCSRLTKPKQVCGICKKIWNHLDSQSWVRCDGCKVWIHSACDQISHKHFKDLGETDYYCPTCRTKFNFELSDSEKQDSKSKLGKNNAPMVLPDKVIVVCSGVEGIYFPSLHLVVCKCGSCGPERKALSEWERHTGSKAKNWRTSVKVKSSKLPLEEWMMKLAEFHANATAAKPPKRPSIKQRKQRLLSFLREKYEPVNVKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGTRNVRDFTSWVCKACETPEIKRECCLCPVKGGALKPTDVETLWVHVTCAWFQPEVCFASEEKMEPALGILSIPSSNFVKICVICKQIHGSCTQCCKCSTYYHAMCASRAGYRMELHCLEKNGRQITKMVSYCSYHRAPNPDTVLIIQTPSGVFSAKSLVQNKKKTGSRLILANREEVEESAAEDTIPIDPFSSARCRLYKRTVNSKKRTKEEGIPHHKGGPRHHPSAAIQTLNAFRHVAEEPKSFSSFRERLHHLQRTEMDRVCFGRSGIHGWGLFARRNIQEGEMVLEYRGEQVRGIIADLREARYRREGKDCYLFKISEEVVVDATEKGNIARLINHSCMPNCYARIMSVGDDESRIVLIAKTTVASGEELTYDYLFDPDEPDEFKVPCLCKSPNCRKFMN >scaffold_801824.1 pep chromosome:v.1.0:8:15759285:15768389:1 gene:scaffold_801824.1 transcript:scaffold_801824.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-dependent glutamate synthase 1 gene [Source:UniProtKB/TrEMBL;Acc:D7MSY6] MSAASSSSVLNLRTNQQLLSLRSLKNSTSVASQLAVTSGVSRRRSCTARCSVKKPVAPESPFLGTRVRRSGSETLQFWRSDGPGRSAKLRTVVKSSFSGVPEKPLGLYDPSYDKDSCGVGFVAELSGETSRKTVTDSLEMLIRMTHRGACGCESNTGDGAGILVGLPHDFYAEAATELGFVLPPAGKYAVGMFFLPTVESRREESKNVFTKVAESLGHSVLGWRLVPTDNSGLGNSALQTEPIIAQVFLTPTTKSKADFEQQMYILRRVSMVAIRAALNLQHGAMKDFYICSLSSRTIVYKGQLKPDQLKDYYYADLGSERFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMRAREGLLKCNELGLSKKELKKLLPIVDVSSSDSGAFDGVLELLVRAGRSLPEAVMMMIPEAWQNDKNIDPSRKEFYEYLSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYITHSGRVIMASEVGVVDVPPEDVMRKGRLNPGMMLLVDFEKHIVVDDDALKQQYSLARPYGEWLKRQKIELKDIIESVPAAERIAPSISGVVPASNDDDSMESMGIHGLLSPLKAFGYTVEALEMLLLPMAKDGSEALGSMGNDTPLAVMSNREKLCFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEEQCHRLSLKGPLLKIEEMEAIKKMNYRGWRTKVLDITYAKERGTKGLEETLDRICDEANEAIKEGYTLLVLSDRAFSATRVAVSSLMAVGAVHHHLVKTLARTQVGLVVESAEPREVHHFCTLVGFGADAICPYLAVEAVYRLQVDGKIPPKSNGEFHSKEELVKKYYKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIQKCFAGTPSRVEGATFEMLARDGLQLHELAFPTRRYAPGSAEASALTNPGNYHWRKNGEIHLNDPLAIAKLQEAARTNSVAAYKEYSKRINELNKQSNLRGLMKFKDADVKISLDEVEPASEIVKRFCTGAMSYGSISLEAHTTLAMAMNKLGGKSNTGEGGELPSRMEPLADGSRNPKRSSIKQIASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAITRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPGARISVKLVSEAGVGVIASGVVKGHADHVLIAGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAVAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEEVREIMSGLGFRTVTEMIGRADMLELDREVVKNNDKLENIDLSLLLRPAAEIRPGAAQYCVQKQDHGLDMALDQELIALSKSALEKSLPVYIETPICNVNRAVGTMLSHEVTKRYHLAGLPKDTIHIKFTGSAGQSLGAFLCPGIMLELEGDSNDYVGKGLSGGKVVVYPPKGSSFDPKENIVIGNVALYGATSGEAYFNGMAAERFSVRNSGAKAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVLDVDGKFHTRCNLELVDLDKVEDEEDKMSLKMMIQQHQRHTNSQLAQEVLADFENLLPKFIKVFPRDYKRVLSAMKHEEVSKQAIERASEEADEMEEKELEEKDAFAELKNMAAASSKEVSGNGVAAEAKPSQVDNAVKNGGFIAYEREGVKYRDPNVRLNDWNEVMEESKPGPLLTTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWQEALNRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFEEGWMVPRPPLKRTGKKVAIIGSGPAGLAAADQLNKMGHSVTVYERSDRIGGLMMYGVPNMKTDKIDVVQRRVDLMTKEGINFVVNANIGKDPSYSLDGLKEESDALILAVGSTKPRDLPVPGRDLSGVHFAMEFLHANTKSLLDSNLEDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCTNIVNLELLPQPPSTRAPGNPWPQWPRVFRIDYGHQEATTKFGKDPRTYEVLTKRFIGDDNGNVKGLEIVRVSWEKDDTGRFQFKEIEGSEEIIEADLVFLAMGFLGPEPTLAEKLGLECDNRSNFKAEYGRFSTTVEGVFAAGDCRRGQSLVVWAISEGRQAAAQVDKFLSKTDDDEDAKLQQDLNQMKHNTITN >scaffold_801839.1 pep chromosome:v.1.0:8:15842571:15843143:1 gene:scaffold_801839.1 transcript:scaffold_801839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDVELLKRAWREGGEETIEDYVENGIDPLMVSLYQMDLDRAQFLLRSYLRVRLLKVMLSAVRVVSEGAGDKGVFWSEGEAGD >scaffold_801842.1 pep chromosome:v.1.0:8:15852991:15853393:1 gene:scaffold_801842.1 transcript:scaffold_801842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRGKSKQARVNELSSRLKEDRISQLPDHLILYHILDHLPIKDLVTTSVLSTRWRSLWLSVPCLKLKSRKFSNSISFVSFGDMFFNSDPASKTCRKLIIYLETFKVHLRQCIYWYDM >scaffold_801843.1 pep chromosome:v.1.0:8:15866325:15866607:1 gene:scaffold_801843.1 transcript:scaffold_801843.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MT04] MDTNGKLVLGFHSLDSNEASLSSYINRLHSFFPGISKVRDMIIYLNTFKLI >scaffold_801844.1 pep chromosome:v.1.0:8:15880608:15880988:1 gene:scaffold_801844.1 transcript:scaffold_801844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLLTLLFLLSAAVIYHIPVVTCRTWCSAMPSSTAEQLQSNINFACNHVDCSPIQPGGFCYYPNTLLEHASFVMNLYYTSQDRIASACSFGNTGYISYSDPSAGTCGRL >scaffold_801845.1 pep chromosome:v.1.0:8:15882687:15883060:1 gene:scaffold_801845.1 transcript:scaffold_801845.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein 17 [Source:UniProtKB/TrEMBL;Acc:D7MT07] MSSQLLTLLFLLCAAVIYHIPVVTCEPWCSAMPSSTAEQLQFNINFACRHVDCAPIQPGGFCYYPNTLLDHASFVMNSYYQSQGRTYAACSFGNTGYLIYSDPSSGTCVF >scaffold_801846.1 pep chromosome:v.1.0:8:15889767:15890884:1 gene:scaffold_801846.1 transcript:scaffold_801846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMNAPNQNLATYVAFDDLQLGIHAQHVVARIVRMWEVHTEVRTVTDRHVFLGHSLLLLDEKNSATHCFIPASLAEKYNGIFKEGIILQIQGFEVRPCTKHNKITDHPFVIKFNNETTFIVEKESWLKIAKEKFRVHNHAHLVGLANTNLALPDVVGKIISIQGSNLCDPTSTNQIVVNLWLQPNVIVSLSLWGKTASRLRAILSGPISLTSVVLVTAVNPTILDGTTIFPNQKINPYFH >scaffold_801847.1 pep chromosome:v.1.0:8:15893555:15894291:1 gene:scaffold_801847.1 transcript:scaffold_801847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKQRASKLRQHQAKPLGTENSTPLDALPRDVISKIIGIVGQTSRADISNCLLTCKEIGKSVDDVQVFKRLELQMLQRQPLLAVGNYTDLMMKCLIHANPTAHYVQGVLEYFYYDNTIAGLHFLEKAANAPSPINEAIYLTGMINLCSGEFEIGKKYIDHLLRNTNESVVEECWEKIKTALHGIGILRKQEYINSLWAMMPPYMCNINDMQNTCEKCFHYKLMVKFVFMM >scaffold_801849.1 pep chromosome:v.1.0:8:15906717:15907836:-1 gene:scaffold_801849.1 transcript:scaffold_801849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVDLNHAHFSRAVKNSTVVPRGGAIDMEISKYLRQHSRTIAWKSQLFINSYAKALEVHFMVDLDVLTFVPLDFTYKKENITYFHVAC >scaffold_801850.1 pep chromosome:v.1.0:8:15912574:15913283:1 gene:scaffold_801850.1 transcript:scaffold_801850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MT12] MDTIRVCTEVPKSLKSTAPSFTESPFAPKPSMAPTNSRSVATLWRHRAVNYLRYTISSQSSDLFFLSPCRLLQPRFGALPTMKRLLSVMERFLIEDGGELEIRIKLDPVYGSITIMYE >scaffold_801854.1 pep chromosome:v.1.0:8:15965879:15966338:-1 gene:scaffold_801854.1 transcript:scaffold_801854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLENVTSPNETTLQKLISGSPVLEDLKLIRCSYQKAIQVRSHTLKRIDIDERTKVVIDAPLLQCLLTEMFLTKNYQIVNSGFPAKLDIDMRSMHLYPRPNEGVIRDILTDISRVRDLVISRNTWKVYISTFEHIYL >scaffold_801855.1 pep chromosome:v.1.0:8:15971863:15974982:-1 gene:scaffold_801855.1 transcript:scaffold_801855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRKKSCSKGLSQRLKEDRISPLTESLLCQILNYLPTKDVVKTSILSTRWRSLWLSVPRLELDSRDFSDFNAFVSFCDRYFDSNRVLCINKLKLTIGDNEEDGFYLKSWIDAAAKRKLQHLNVHFLPQFHKIPLNLYKCETLVYLSLVKVTLAKGRIVSFPCMKTMHLEDNVYPNEATFKKLISCCPVLEDLTVIIYGKDTKSFPVHSRSLKRLTLVRISSFHSGAISGVVINAPLLCALSINDNTSKSFIVNNMGSSAKLDLSILFGLWDFDEASLTSRRSSIHRFLPGISKVKELMIRTRTFKDSDPNSKKMCFNENDLISNSLVPECLLSSLEFVDIKSSILVSVAGMKVSDSNKALWIKKLKLTIDESVDNGASYLKSWIDAAAKRKLQHLIVHSLPHFYKTPENLYECETLVYLQLFEVALNDAKFVSFPCMKTMHLEDNVYPNEATFKKLISCCPVLEDLTVIIYGKDTKSFPVHSRSLKRLTLVRVSSFHSGAISGVVINAPRLCSLRIKDNVSKSFIVKNIGSNAKLDLSILFGLWYFDEASVRSRRSSIHRFLPGILSVREMTIHPRTFMVYTYLICQSRVLGHVSITIHILLCLVPAHVPILGINTATSPA >scaffold_801857.1 pep chromosome:v.1.0:8:15981666:15982987:1 gene:scaffold_801857.1 transcript:scaffold_801857.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtGRF7 [Source:UniProtKB/TrEMBL;Acc:D7MT20] MDFLKVSDKTTIPCRSDSLFSLNQQQYKESSFGFRDMEIHPHPHLTTPYSGNGVLGCYYYYPFTNAQLKELERQAMIYKYMIASIPVPFDLLVSSPSSASPCNNKNIAGDLEPGRCRRTDGKKWRCAKEVVSNHKYCEKHLHRGRPRSRKHVEPPYSRPNNNGGSVKNRDLKNLPRKLSNSSFKDKTLETIEVSSSISNYRESRGSEVFTISATTEQENKYLNFIDVWSDGVRSSEKQSTTTSTPVSSSNGNLSLYSLDLSMGGNNLMGQDEMGLIQMGLGVIGSGREDHNGYGPYGVTSSLEEMSSWLAPMSTTPGGPLAEILRPSPNLVISGDIESYSLMETPTPSSSPSRVVKKMASSVSDESSQI >scaffold_801861.1 pep chromosome:v.1.0:8:15990299:15990762:1 gene:scaffold_801861.1 transcript:scaffold_801861.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MT24] MSKQILISFALFQPNDRETKFFVAGLLWITRTESLISYFERFGVIVKANVVCDGVTFREVESATRACENPNHVTDGRTVNCKIAYLGARIHNNQPNQYDF >scaffold_801866.1 pep chromosome:v.1.0:8:16018282:16019549:1 gene:scaffold_801866.1 transcript:scaffold_801866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMVYSVFRILLQVLRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIWFLRGKAETNTYQERLKLKGWLKIQPLMLL >scaffold_801869.1 pep chromosome:v.1.0:8:16028887:16029610:1 gene:scaffold_801869.1 transcript:scaffold_801869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNFFRFDAQRAHGDGFVLKQKPNHIAVPTEHESGCFDCNICLETAHDPVVTLCGHLYCWPCIYRWLDVQKSSSFSIIQQQNCPVCKSNISIGSLVPLYGRGMSSSSSSSELTIIPQRTASSLNPSLQHHHQAHSSRHYGGFTATESSTDLANAVMMSFLYPVIGMFGDMVHTRIFGTFTNTLAHPFQNMRYINGNNNQRMVQMEKSLHRVSIFFLCCILLCLFLF >scaffold_801870.1 pep chromosome:v.1.0:8:16033139:16034524:-1 gene:scaffold_801870.1 transcript:scaffold_801870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRPYGCYEFRTNQVSKTVRVWGCFLDNNVSAFQSSRKSLCKLLLVVINKIYKCFAVFPYDDFSSSFHKQSQVEEESDHKDARFFSSSPKKQTPYMVLEGDKVGESSEAENIIMSFKLFDLSKEEIIQVIHKSFPKLLYEESRVIGSSRGWIAFMSKHDGTVHLSDVFNLGSLRVITLPPLPDPMYHPSTAIINVSLSYPPDQEDDYAMYIKFLCSEIYYCRPNHHSQWVLCDSNEIHTTASDIVYSPRNQMLFLVIMGASFLLSFDLNMNRKYTRLHLRNIPKMPQSEWELLAMCIKREHLVESPGGRIFVVKYVETYDQGDKDIIFNKTKRFMVFKLNTKAKDGEIAAYYTEDIGDLCIFFGNNETLCLEASKYHGLKPNYIYYVGYGLGVYDIGSQILHHFTSSMPLNWPLYLVPSLI >scaffold_801876.1 pep chromosome:v.1.0:8:16058502:16059920:1 gene:scaffold_801876.1 transcript:scaffold_801876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFGLVAKHSVEEGDMVRFCSYTRQTPYLLVNCSLDVDKYSNITDKVFLKSLPLSEGFIFFGTSRSWSAFMRIHDSVILLSGVFNPWSSESSTRTIALPPLVFNVSVTFFRSKLYYCMPNRDSEWTSINIPFSCDFDSQVVYSRKDQMFYLLTTGCPYIAALDLKNNKDPTFLQIQFQNFPLVPQHEWEILASCSRSDYIAESSSGERFIVQWYLTYVESWGNGNITKVLRKTKQFMVFREEGEHKFQRSKMITNYTENIGDLCIFIENNETFCLEASKYSGLRPNSIYYVGHGFGVYDISKKSVREYDVSDFPTNCFFCHRLYISYIVVFMGKNTTTRSEPE >scaffold_801879.1 pep chromosome:v.1.0:8:16075034:16076408:1 gene:scaffold_801879.1 transcript:scaffold_801879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFGLVAKHYVEEGDTTRLCSYPLQTPYMLVNYSFEQDESTNEEENMFVSYNLFNLRSEKIIKITGKKFPKVLYQRFILLGTSRGWAVFMGMNDSTIYLSDIFNPWSSKSSPKTISLPPLAFPNFEMTINVSLSTPFPDHDNNSIVSITFCGSKLSYCMPNRDLEWTTINIPFSSDIDSHVVYSRKDQMFYLLSTGCAYMVTLDLKNNKNPTFMQLQFENFPLIPQHEWEILSSCSRSDYMMETSSGERFIIHWYVTYVEPTRNGNRTKIYGKTKRFMVFRIEKDDKYKGRRMIASYTDNIGDLCIFIGENEIFCLEACKYPGLKPNSIYYVSYGFGVYDISNRSAREYKLNDFPTFCGNACFLSPLLH >scaffold_801883.1 pep chromosome:v.1.0:8:16088199:16088717:-1 gene:scaffold_801883.1 transcript:scaffold_801883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSNLLLEEPIMMASILKPFKSIVGTLGPKYRSVEALSGCLKTGMSVAPVDFSWGDADYITRRQWIISRFL >scaffold_801886.1 pep chromosome:v.1.0:8:16099815:16103182:1 gene:scaffold_801886.1 transcript:scaffold_801886.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2737 [Source:UniProtKB/TrEMBL;Acc:D7MTK9] MSRGPGRLIQNVTQFADAQFKQFSTRYGQQVIDILDFPIKLVLSPFTLAFDIAGSAPRGFGIPEFISKISYLSVFAVATLGTYDIALDLGKKVICQRDCKTCNGWQALRCTMCKGTGSVHYQIKDYNLRSGEKPTADCVADAIVENRAELVHLPSSINHSAPLPSKDCPTCDGTGVMSCTECKNKLQVRISADDIMEPPWKAYNVLKKMDYPYEHIVHSMKDPSIANFWLITLPQIVGGFDYDEDVKKKIWWQYEESMRYDQLRDLVAKRNPGWEYLQDALVSIDPVRAREDPVIVKNVPYYKAKKSLEAEVTKLSPPPRPQNWGELNLPLNTSSWSEEDLKNPAKLYEKTVLLNAQREIADKILDAQWEAKWRQEKVEEMLEEKVRPFIQNSSMAVLPQPILLKSQKKAQKGSRQRKWWFF >scaffold_801890.1 pep chromosome:v.1.0:8:16130134:16130386:-1 gene:scaffold_801890.1 transcript:scaffold_801890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFQCPCCSCFCFMKPKPGKPKAVGDIKPKEEKKKEVKKEEIKKEEKKEEIKKEEKKEAKAEKAE >scaffold_801896.1 pep chromosome:v.1.0:8:16152887:16153618:1 gene:scaffold_801896.1 transcript:scaffold_801896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPEFNYQIDVNPERKKIGTIQVYSSFVRPANMQPILTRSNFSCSLPANEFTDDEDSPHKQRLYYFLKDSGVDAEDAYTLIIKLTQLACKVTSNSFEYCSRYALLLWLTLDVAPSNEYERNSAFRPASKLRVEALSRRIYKRKRKTSSIANQCKRYKRKQESSSSGDKCTICFQKLKTGQEVATLLCGHEFDNKCIMEWFKVRHNCPLCRFELPPEHDQVPQLTTVF >scaffold_801897.1 pep chromosome:v.1.0:8:16154367:16155044:1 gene:scaffold_801897.1 transcript:scaffold_801897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIPCSGKSSGRTKTRRNADQKLNRKSSDCSVSTSVAKVVYKTASFKKQHWIF >scaffold_801899.1 pep chromosome:v.1.0:8:16159311:16161221:-1 gene:scaffold_801899.1 transcript:scaffold_801899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSSSSKIIKHSKDSSNKWRSVKKKKKSKRNKSKKIRRIKESESSGSDSSLYSSSEDDYRRKKKRRSKLSKKRSRKRYSSSESDDDDDDDDSRLLKKKKRSKRKDEYVGKKKKKVVSRKRRKRDLSSSSTSSEQSDNDGSESDGKRRSRDRGRRLGEVKDARSRSRDGLEGESEEPDECWQVEGEVIPEKNPRRLKSIVVVSYSYGNDERKEEDDRDVYMTRGGNRELGDSEESDERDGETTVSYSRTRADYNGLKTVGYDEFGESNSMKDDNLEAILKKRALENLKRFRGVTQKSGIAKKEVSSVSEGEPMQIESEKVEESQDHGLMEQKVCDSEVSKDLETLEKILHVVNVKESGTALANSASQQDQQSGDTAKVKASSGISSCSTKRKLVRPVLGKDSLNLASRKEATGSQDVEAESIGGSTIDKNCLESTLALVTKNEGEHIEPTKVRSTLNAESSSHADTEAVDEIKGRSQSEQKMDETKDESQYEQKTMTVMRGGEMVQVSYKVYIPKKTSSLGRRKLNR >scaffold_801901.1 pep chromosome:v.1.0:8:16166156:16169136:1 gene:scaffold_801901.1 transcript:scaffold_801901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRMKTRLIVLNILIRVMIVARSDFSATRDDDIDRLLKKLNKPALKSMKMRPSSYPEEWSNLSSNSKEQPSTVTQLWTINGKCPKNSIPIIRTRREDILRAESIERYGKKYFNNIHNLKQENATEYHEYAIIKVKGKFYGGRALINVWKPFVQTTREFSLAQMWVMGGIHDSQFNTIEAGWQVYPNRYNDTKPHYFIYWTSDGYQTTGCYNLACPGFVLINQKFAIGAPVREVSTLDGQQYHIPTTIWKDPRSGHWWLKFSTHTLVGYWPASLFNKFQNGATEVHWGGEILDFKDGSRHSWTQMGSGRFAKEGYQKASYFRNVEVINEREIPQQPVGAYPVATHKNCYTVELGNHLVWGTFFYHGGPEAPSFAQLGYYNHLYSIHGLYRHYRNVVVFCS >scaffold_801902.1 pep chromosome:v.1.0:8:16169845:16170846:-1 gene:scaffold_801902.1 transcript:scaffold_801902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSFPIEVELPKLLYKIGHEPNQTDVKINQHANYEYVKHVKNILSKNVASYMMKTKYDIHGFPLALHIWILESIPMLQTAYSCISLIERPTAFLCEKYTSLPSPQLSQIQNIEASNHLNVFCILPSIPDDPEDKVSLEDEDDPELSLLVELLGKGYKMKSDDWIKRTLDVGAVIEEVAMIQCHSMRIEDFSKLCDQDS >scaffold_801906.1 pep chromosome:v.1.0:8:16189515:16190281:-1 gene:scaffold_801906.1 transcript:scaffold_801906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRLLEELERGEKGIGDRTVSYGMDNGDDIYMRSWTGTTIGPHNVVISFSLSTFVLISAT >scaffold_801907.1 pep chromosome:v.1.0:8:16206842:16208832:1 gene:scaffold_801907.1 transcript:scaffold_801907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGATTSTITIKGILSLLMESISEDEEGKRVVSLGMGDPTLYSCFRTTQVSLQAVSDSLLSNKFHGYSPTVGLPQARRAIAEYLSRDLPYKLSLDDVFITSGCTQAIDVALSMLARPRANILLPRPGFPIYELCAKFRHLEVRYVDLLPENGWEIDLDAVESLADENTVALVVINPGNPCGNVYSYQHLMKIAETAKKLGFLVIADEVYGHLAFGSKPFVPMGVFGSIVPVLTLGSLSKRWIVPGWRLGWFVTTDPSGSFKDPKIIERFKKYFDILGGPATFIQAAVPTILEQTDESFFKKTLNSLKNSSDICCDWIKEIPCIDSTHRPEGSMAMMVKLNLSILEDVSDDIDFCFKLAREESVILLPGTAVGLKNWLRITFAADAPSIEEAFKRIKCFYLRHAKTQYPTL >scaffold_801909.1 pep chromosome:v.1.0:8:16211979:16213362:-1 gene:scaffold_801909.1 transcript:scaffold_801909.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MTN1] MGQKFHAFMFPWFAYGHMTPYLHLANKLAEKGHRVTFLLPKKAQKQLEHHNLFPDSIVFYPLTIPHVDGLPDGAETASDIPISLGKFLTAAMDLTRDQVEAAVRALKPDLIFFDFAYWVPEMAREHNVKSVLYFVVSANSIAHELVPGGELGVPPPGYPSTKVLYRGHDAHALLTFAIFYERLHYRITTGLKNCDFISIRTCKEVEGKFCDYIEKQYQRKVLLTGPMLPEPDNSRPLEDRWDHWLNHFEPGSVIYCALGSQITLEKDQFQELCLGMELTGLPFLVAVKPPKGAKTIQEALPEGFAERVKNHGVVWGEWVQQPLILAHPSVGCFVNHCGFGSMWESLVSDCQIVLLPYLCDQVLNTRLMSEELEVSVEVKREETGWFSKESLCVAITLVMDKDSELGNLVRTNHAKLKEVLVSHGLLTDYTDKFVETLQDLVNDTNLE >scaffold_801911.1 pep chromosome:v.1.0:8:16216877:16218257:-1 gene:scaffold_801911.1 transcript:scaffold_801911.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MTN3] MGSKFHAFMFPWFGFGHMTAFLHLANKLAEKGHKITFLLPKKALKQLEPLNLFPHCIVFHTLTIPSVDGLPAGAETTSDIPISLGSFLASAMDRTRNQVEEAVSIRQPDMIFFDFAHWIPEIAREYGAKSVNFITISAACVAISFVPGCSQDDLGIPPPGYPSSKVLLRGQETNSLSFLSYPFGDGTTFYERIMIGLKNCDVISIRTCQEIEGKFCDFIERQFQREVLLTGPMLPDPDSKPLEDQWRLWLSQFEPESVIYCALGSQIILEKDQFQELCLGMELTGLPFLVAVKPPKGAKTIQEALPKGFEERVKGRGVVWGGWVKQPLILAHPSIGCFVSHCGFGSMWEAIVNDCQIVFIPHLGEQILNTRLMSEELEVSVEVKREKAGWFSKESLSGAVRSVMDKDSELGNLVRRNHAKWKESLVSTGLMNGYVNKFIEELQKLV >scaffold_801912.1 pep chromosome:v.1.0:8:16228101:16228372:-1 gene:scaffold_801912.1 transcript:scaffold_801912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTDFQVATMPKLTFPFHHHPLSSYTFQLWPSFCSLCSFPDISSLQRHTVTHIGYHCTDCGLTLHKECVDLLYLN >scaffold_801917.1 pep chromosome:v.1.0:8:16244168:16246373:1 gene:scaffold_801917.1 transcript:scaffold_801917.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase [Source:UniProtKB/TrEMBL;Acc:D7MTP0] MEEKKKKLEDLKYQSGFGNHFSSEAIAGALPLDQNSPLLCPYGLYAEQISGTSFTSPRKLNQRSWLYRIKPSVTHEPFKPRVPAHKKLVSEFDASNSRTNPTQLRWRPEDIPESETDFVDGLYTICGAGSSFLRHGFAIHMYVANKGMKNSAFCNADGDFLLVPQTGRLWIETECGRLLVTPGEIAVIPQGFRFSVDLPDGKSRGYVAEIYGAHFQLPDLGPIGANGLAAPRDFLAPTAWFEEGLRPEYTIVQKFGAELFTAKQDFSPFNVVAWHGNYVPYKYDLQKFCPYNTVLLDHGDPSINTVLTAPTDKPGVALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIYGAYEAKADGFLPGGASLHSCMTPHGPDTTTYEATIARVNAMAPSKLTGTMAFMFESALIPRVCHWALESPFLDHDYYQCWIGLKSHFSRIDLNKTNVEPTEKEPGASE >scaffold_801920.1 pep chromosome:v.1.0:8:16252349:16254992:-1 gene:scaffold_801920.1 transcript:scaffold_801920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 family protein [Source:UniProtKB/TrEMBL;Acc:D7MTP3] MNQLALSRSGGYTAAVKFLPLLSAAVPKIISSLSAASTTTTVRNFSSTGSPLTSYLTKKPSPPKSFASRLLHQSSAATPPQQLSGVRNFSSPSSDFNSYHINPPANWGIRIVPERKACVIERFGKFHTTLPAGIHFLVPFVDRIAYVHSLKEEAIPIGNQTAITKDNVSIHIDGVLYVKIVDPKLASYGVENPIYAVMQLAQTTMRSELGKITLDKTFEERDTLNEKIVEAINVAAKDWGLQCLRYEIRDIMPPNGVRVAMEMQAEAERKKRAQILESEGERQAHINRADGKKSSVILESEAAKMDQVNRAQGEAEAILARAQATAKGLAMVSQSLKEAGGAEAASLRVAEQYIQAFGKIAKEGTTMLLPSSVDNPAGMIAQALTMYKGLSTKVPTVLSQKLQE >scaffold_801924.1 pep chromosome:v.1.0:8:16269043:16270187:1 gene:scaffold_801924.1 transcript:scaffold_801924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNLLQLENDITDEISFSMTKGEELRKQIGAAAYIECSSKTQQIPWPKPPTKWDDFCVL >scaffold_801928.1 pep chromosome:v.1.0:8:16296157:16298196:1 gene:scaffold_801928.1 transcript:scaffold_801928.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase 1 [Source:UniProtKB/TrEMBL;Acc:D7MTQ0] MGSTAETQLTPVQVTDDEAALFAMQLASASVLPMALKSALELDLLEIMAKNGSPMSPTEIASHLPTKNPGAPVMLDRILRLLTSHSVLTCSNRKLSGDGVERIYGLGPVCKYLTKNEDGVSIAGLCLTSQDKVLMESWYHLKDAILDGGIPFNKAYGMSAFEYHGTDPRFNKVFNNGMSNHSTITMKKILETYKGFEGLTSLVDVGGGIGATLKMIVSKYPNLKGINFDLPHVIEDAPSHPGIEHVGGDMFVSVPKGDAIFMKWICHDWSDEHCVKFLKNCYEALSEDGKVILAECILPETPDSSLSTKQVVHVDCIMLAHYGGKERTEKEFEALAKASGFKGIKVVCDAFGVNLIELLKKL >scaffold_801929.1 pep chromosome:v.1.0:8:16301427:16301726:-1 gene:scaffold_801929.1 transcript:scaffold_801929.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRTQDMRAKTNKTLPLDYKIVTKYLGLQNLYIFGGASLGLPSLYLWPALYAVCDKPAPCAEWTISTSHCNAYDSTSWNLCRTK >scaffold_801932.1 pep chromosome:v.1.0:8:16321158:16321609:-1 gene:scaffold_801932.1 transcript:scaffold_801932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLDIHTALDIVSRVGADSFISLRGMLLTSKFYYSLATHSTVLNHVSLQPFLADAGLINEDSVYRPFFRQCLDSHNATAAYLESIRLAVKLGRAEDALQLLSTIGNYPPHAWFSRALLQVCLGFYSESLDTIDSF >scaffold_801935.1 pep chromosome:v.1.0:8:16329740:16330013:1 gene:scaffold_801935.1 transcript:scaffold_801935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIFSPFEALYAESNGFKMIFSGTKNQSPATEQQKNVGKTPVSSDDEKNNKKKKIQPMRIAPELDGVHCFETILPF >scaffold_801936.1 pep chromosome:v.1.0:8:16330187:16332181:-1 gene:scaffold_801936.1 transcript:scaffold_801936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLESIILGLITGWLAFVVGLIIGWTWKPRWVSSSSSSNNRQVKLQCSAPRSFDLSLPSSSPSSVVTSPLKGFGSAPCLKALVCDTWTMALRQQRTVSPDSSSSSSDSSEQHVGLVSRLKKTEERLPNTVTELDLRHLVQLVERKDGGQAWIQMMDRFTSGMRYQAWLREPKNGPTEYRSRTVFEDATPEILRDFFWDDEFRPTWDTMLSSSTTVEECPSTGTMIVRWIRKFPFFCSDREYVIGRRIWNCGNSYYCVTKGVSVPSIPPNNKQKRVDLFYSSWCIRPVESRRDDGVTSACEVLLFHHEDMGIPREIAKLGVKRGMWGAVKKMEPGLRAYQTQRLSGGTKLSRSAFMAQINTRITQDHLISLSNGASPVTETPVTLNQGNRAANLKKLLIIGGAVAVVCSLSGGAFVPPAFLLGFGKRFVNGGRKRQLEGTTSQSQTTSP >scaffold_801938.1 pep chromosome:v.1.0:8:16336765:16337247:-1 gene:scaffold_801938.1 transcript:scaffold_801938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDIALYNSDSRLISLEFLPMQLCDDVNVTIHKLLLRNNFFRHCQFSRTCQTAVQPPRQGRTEPSNDPLQHRMRPKMIVAVNHALIARSWFINQG >scaffold_801941.1 pep chromosome:v.1.0:8:16361995:16362955:1 gene:scaffold_801941.1 transcript:scaffold_801941.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor family protein [Source:UniProtKB/TrEMBL;Acc:D7MTR5] MSVMQQNLSLEPKSKRRKIDSEINSSSSSSSNNCGHFFVRYGICCHCRSNVERHGGRAFDYLVDGLELSDVAVKVTKRVTTQITCFNDKKLHLVLDLDHTLLHTVMVSNLSKEETYLIGEADSREDLWKFNGGYSSEFLIKLRPYVHEFLKEANEMFSMYVYTMGDRDYANNVLKLIDPEKIYFGHRVITRNESPYIKTLDLVLADECGVVIVDDTPQVWPDDKRNLLEITKYNYFSDKTRRDVKYSKSYAEEKRDEGRNDGSLANVLKVIKEIYEGFFSGGVEKELDIDSKDVRLLLHNARRPN >scaffold_801942.1 pep chromosome:v.1.0:8:16365493:16366985:1 gene:scaffold_801942.1 transcript:scaffold_801942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNISLKTKKKGKKIDSEIDFSSSCNVSISIFITEYSITKVLTKSLLASYASLEVCAGPVARGSEVDGGNRILLSLASSPRRYCKAVGLESAGYGASGSLPPEFRAAAPIPCGDATVWDPKTDCCSWYCLECGDATVNHRVTSLIIQDGDISVQIPAEVGDLPYLTSLIFRKLTNLTGQIQPTIAKLKNLTFL >scaffold_801944.1 pep chromosome:v.1.0:8:16368886:16369315:1 gene:scaffold_801944.1 transcript:scaffold_801944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKLLVAFTLVVMMTISYDLFSGIGISARTVPPTCYESCNATFQNPECNKMCVGLAYKDGSCIYPPPEVDGLPPKRPYFPRCCCNPIILSPPSP >scaffold_801945.1 pep chromosome:v.1.0:8:16369716:16370187:1 gene:scaffold_801945.1 transcript:scaffold_801945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRDYAKNVLKLIDPEKVYFGDRVITRNESPYIKTLDLVLADECGVVIVDDTAQVWPDHKRNLLEITKYNYFSDKTRRDVKYSKSYAEEKRDEGRNDGSLGNVLKVIKEVYERFFSGGVEKELDIDSKDVRLLFHDARRPK >scaffold_801946.1 pep chromosome:v.1.0:8:16372172:16372560:1 gene:scaffold_801946.1 transcript:scaffold_801946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLMITFIVVAMLAISSDLFSVETRIRAQASPPTCGRDCTEKFLTQDCDKYCVGLSYRKGVCILSEGLPPKTSTYRFCCS >scaffold_801947.1 pep chromosome:v.1.0:8:16373460:16373900:1 gene:scaffold_801947.1 transcript:scaffold_801947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLLVAFTLVLMMTISYDLFSGIGINARTVSPTCFDESCNATFQNPECNKKCVLFAYKDGSCIYPPPKAYVLPPKRPYFRRCCCNPLILSPLSP >scaffold_801948.1 pep chromosome:v.1.0:8:16375081:16375495:1 gene:scaffold_801948.1 transcript:scaffold_801948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKLIVAFSLVIMVAISYELFCEMKINAETQTCFDHCNETYESKESRNCNKRCLEMAFTGGTWLVVLNNMNFLTNLLFIVLVVVNIVYKVF >scaffold_801960.1 pep chromosome:v.1.0:8:16462985:16463762:1 gene:scaffold_801960.1 transcript:scaffold_801960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSMSSSSSCPHKHDQKLKSSVVAVEVLEEETVNNPDMYYNKIYICYLCKGVFQTPHALGGHGTTEKDQELERQQKIQIESRLSNKDKSNLIFGGSSQDVLSNDNHLGLSLGQSIGGSSSSSNVYPLVNVGVTDMNMNNYSSHDLPNDINLDLTLGPSNSIGGSNDNNITNSYVNEEVTRKTTNMIIPVRPRVSRYHFVAGNPLDSITRNIPDPSIAPPHRNTYLFHDSFSLQENGSGSSHS >scaffold_801962.1 pep chromosome:v.1.0:8:16474423:16474756:-1 gene:scaffold_801962.1 transcript:scaffold_801962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRENNGKDRCRYFRKKLYKIVDDPSTNSIVSWSNNGNSFIIWNESEFCRDVLPRFSHYKEMAPFIRRLGNMGFKKVESEELEYGSDDFVLGLMGLA >scaffold_801965.1 pep chromosome:v.1.0:8:16486746:16488117:1 gene:scaffold_801965.1 transcript:scaffold_801965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVGHVETVNHVEAVNPAESRVSFFSLFLWVEEPNLLVTRFEYANLFHNVTDWYSAYVVTGLPNRPHIVFVDGHCTNFTKPVCFRLAILSSLGYETALFKGLTGEMPLSFFHLWN >scaffold_801971.1 pep chromosome:v.1.0:8:16523906:16524318:-1 gene:scaffold_801971.1 transcript:scaffold_801971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTFCCTLLWIFQENGYQLLFQSARAIVQAYLTRSFLNNLKQDGKALPNGPVVISPDGLFPALYREG >scaffold_801974.1 pep chromosome:v.1.0:8:16543982:16544923:1 gene:scaffold_801974.1 transcript:scaffold_801974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDSDHPQLPNIKIHHPPSPRHSHHHHSSSTPSSAATPTPTAGARRKIGVAVDLSEESSFAVRWAVDHYIRPGDAVVLLHVSPTSVLFGADWGPLPLKTQPSVEDPNAQSQPSQEDFDAFTSTKVADLAKPLKELGFPYKIHIVKDHDMRERLCLEIERLGLSAVIMGSRGFGAEKRGSDGKLGSVSDYCVHHCVCPVVVVRYPDDRDGPVPVVTVKSGGDDDEDVVAASASAHHEHIKDGSTGKL >scaffold_801975.1 pep chromosome:v.1.0:8:16548654:16548871:-1 gene:scaffold_801975.1 transcript:scaffold_801975.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MU95] MRSVFIVFLSSVMKEMRKTKVFEWKNQVKVGTQGVANHLQYELKLVVKPEVLTKE >scaffold_801977.1 pep chromosome:v.1.0:8:16555044:16557279:1 gene:scaffold_801977.1 transcript:scaffold_801977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVASKGDSNTPLLNLCKERKELIRAARDGRYHLARSHLLYFKSLLDFSKALNQFVHKDLVVIPYSDDDSSSSDIICSGSDSDSDTDSDSGSDSDCLVCDQSQTAPLSNNEDQNPRNPEFGDGTCGSSNNGQEGMEKSREDLGFEWKQFVSDPSEEEVKGSQNVSSVEQKTFANGDILGLYGPVYNTQQCEFIKPDQRDDEVESDGFREVREREGIPDLEPESDHNSLIRKNQKKTKKKKKVASEIDKQDVEANTCNGDVADETDNSSGACERETAKTPEIVTEEVTRSDEEVEEETRSDEEVSDEAYESSSSCFSESSGSGLTDLRNVVERITRICEKAVGDSEVSELLEVSRVVHHQPLGSQFKGFASRVLGGTGNSTRDLILKRRFRLDDVAVSLSMTLEKLYMWEKKLHAEVTVEEKLRVSYDKAYKILNNLDQTGAESSEIYEAETVVKLYLSKINVSVRAVESISMRIHKIRDEELSFQVIEIINGFKRMWRFLAKCHHKQFRVIARSKSCVHIVENGSSSRKATQQVEKQIRRYRESLKGYIDSQRGFVKLLNEWLSRNIMEDDETEIEAPEIFRVCSEWLREIENVDEIKVLSAVEEMRLRFRGLGFKQVEEEKQRLRTERLSKELEKKTKELEEIRGTAHGSGPTANMMVGPELLFLRESVTQETEKHERMIRELNDAVSMSMQECLVPVFEALEDFCFANFKAYQNISIVSTETLLLCQS >scaffold_801979.1 pep chromosome:v.1.0:8:16563122:16564419:-1 gene:scaffold_801979.1 transcript:scaffold_801979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILIALVNKIQRACTALGDYGEGSSLPTLWDSLPSSVVRILLHLMPLRSLVKLIQKVTLAGFRNGKFSTLVATNVAARGLDINDVQLIIQEFQSSIDMESHRSSPENDLLSSVALSGPLFRSTIYHLKVPRFSITSLGPEYTLQNKSYSLYSDKRQCRSLTETTVFEKKIGFYTFYLKVDPSWPLCMYELRGRCNNDECSWQHFKDFSDDSLQKRNSMQETCLVKMIDIKACMSLVV >scaffold_801981.1 pep chromosome:v.1.0:8:16574600:16577033:-1 gene:scaffold_801981.1 transcript:scaffold_801981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPKIVALEVSDESLAEKNKNKLQFIEDVTTNADDVQRRVLEEILSRNADVEYLKRHGLDGRTDRETFKHVMPVVTYEDIQPEINRIANGDKSQILCSNPISEFLTSSGTSGGERKLMPTIEEELDRRSLLYSLLMPVMNQFVPGLDKGKGMYFLFIKSESKTPGGLPARPVLTSYYKSSHFKNRPFDPYNNYTSPNQTILCSDSYQSMYSQMLCGLCQHKEVLRVGAVFASGFIRAIKFLEKHWSELARDIRTGTLSSEITDLSVREAVGEILKPDPKLADFVESECRKTSWQGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGVNLRPLCKPSEVSYTLIPNMAYFEFLPVHRNSGVTSSISLPKALTEKEQQELVDLVDVKLGQEYELVVTTYAGLYRYRVGDVLSVAGFKNNAPQFSFICRKNVALSIDSDKTDEVELQNAVKNAVTHLVPFDASLSEYTSYAETSSIPGHYVLFWELCLNGNTPIPPSVFEDCCLTIEESLNSVYRQGRVSDKSIGPLEIKMVESGTFDKLMDYAISLGASINQYKTPRCVKFAPIIELLNSRVVDSYFSPKCPKWAPGHKQWGSN >scaffold_801982.1 pep chromosome:v.1.0:8:16594517:16596915:-1 gene:scaffold_801982.1 transcript:scaffold_801982.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MUA3] MDLICNSYGNDSDDEPEPVSNERLTSEIATAPSIPSKRPYPVPEERQYKPPRRPYPPHGSYSDPQTSSSVSVPVPVPVPGRYVSKRERSLLASVSTIPTQDQSSDLNQKPSSSPTVLGSISDSDVPRHVLSSVTHRPKGSSLRTEMPSRMSISLTGHTKAVTAIDWSTSHVHLLASAGLDSVAYVWNVWSNDKKKVRAFLHHNAPVKDVKWSKQGLSLLSCGYDCMSRLFDVERGVEIQSFKEDQVIGVVKFNPDHCSVFLSGGSKGSLRLWDIRANKIVHEYIRDLGPILDVEFIAGGKRFISSSDVSGRNISENAVMIWDISREVPLSNQVYVEAYTCPCIKRHPQDPVFIAQSHGNYAAIFSTNPPFKLNKYKRFEGHWVAGFPIKCNFSPDGETLISGSSDGSIYMYSYKSTELIKKLKAYEQPCVDVSYHPVLPNVVAACSWNGQVSVFE >scaffold_801986.1 pep chromosome:v.1.0:8:16626813:16628451:-1 gene:scaffold_801986.1 transcript:scaffold_801986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLMRLVLVLFPFLVVFFVPLDHVSSESISRADFPDGFVFGTASSAYQNISSKELYKGESIWDTFTKKPDGTGEVNPDGVKYYNSLIDALLAKRIKPYVTLYHWDLPQALEDRYEGWLSREVVDDFERYAFTCFKAFGDRVKYWITFNEPHGVSIQGYDTGIQAPGRCSLLGHWFCKEGKSSVEPYVVAHNILLSHAAAYHTYQRNFKNKMWEIFICKEKQRGQIGISLNAKWYEPMSDCDEDNDAARRAMDFGIGR >scaffold_801987.1 pep chromosome:v.1.0:8:16633427:16633978:-1 gene:scaffold_801987.1 transcript:scaffold_801987.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUA8] MLLPRSRAVVTLRRERTVTRRKRLRKLLLRPLETIEKASSGRHEGVKDRGNNASKTPNRMGPLSEPSLSKGTSKEVVAGESGSRKRKTAEIVLGLAKKLRSFPSTEPTLPHFYNYLYKSQDIPLSSIKADCGNIIRIIPLVSKEPAADELLKAEAYKDRTRLECLVSYF >scaffold_801988.1 pep chromosome:v.1.0:8:16637081:16637496:1 gene:scaffold_801988.1 transcript:scaffold_801988.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUA9] MAARSNLSGGVLAFAGRTNVSGGVFALAARRNFSDHSDSSAEEARLNENQLRLQARLLADQALKDSKKRLGLVDQTYLARAIKTCERKSSKFWKRVYKVEKKMLAEKKKKMLAEKKKKNGDQIS >scaffold_801991.1 pep chromosome:v.1.0:8:16642377:16643538:1 gene:scaffold_801991.1 transcript:scaffold_801991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELEDTYIYKIHIPKAAFSNQKYVKYITTSYQINKPTPSKSFASGYLHQSSAASPLQQLSGVRNFSSPSSDFNSYNINPPSNWGIRIVPERKACVIERFGKFRKTLPAGIHFHVPLVDCIAYVKKRFLLVIRLRPQRITLASTSMVFSTLRFIFVSDSNFVYNFAILPVWLMLICHSS >scaffold_801992.1 pep chromosome:v.1.0:8:16643549:16644051:-1 gene:scaffold_801992.1 transcript:scaffold_801992.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUB3] MRESQKQEPFFVVLLSLNDIDLLKDLRMDAYRFSISWSRIFTRFVLQQWHTSSRDAIHGSTKKSPEICIGEEVDAGRQMHQFERRPVGINH >scaffold_801994.1 pep chromosome:v.1.0:8:16652148:16653500:1 gene:scaffold_801994.1 transcript:scaffold_801994.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MUB5] MAMAMRLPAISRAVTEVASAPVGLRRLFCSNASRFSFLSPQAESQTPARPQAEPSTNLFVSGLSKRTTSEGLRTAFAQFGEVADAKVVTDRVSGYSKGFGFVRYATLEDSAKGIAGMDGKFLDGWVIFAEYARPREQSQPYQPQNNMSRPPYYGNR >scaffold_802000.1 pep chromosome:v.1.0:8:16687035:16688722:-1 gene:scaffold_802000.1 transcript:scaffold_802000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSKKSQKNKKKKNKNKNNIPTVWFSLKKSLHCKSEPSDVHDPKSTTKQQHLSTISTKKISGVSSGGAAVCGGGLSGCSRSIANLKDVIHGSKRHFEKPPISSPRSIGSNEFLNPITHEVILSNSTCELKITGVGDMASPVGAADSGGGGGGGGGGNGRSTTYVGMLRPGTPMHYLNHSASYRSQTRKGSFALSERDRGGGGEGLGFHTNRRVSLEMNRENAVNGGNNSSVSCHKCGEQFNKLEAAEAHHLSKHAVTELVEGDSSRKIVEIICRTSWLKSENQCGRIDRVLKVHNMQKTLARFEEYRETVKIRASKLQKKHPRCLADGNELLRFHGTTVACGLGINGSTSLCTAEKCCVCRIIRNGFSSKREKNNGVGVFTASTSGRAFESILVNGGDESGDVDRTVRKVLIVCRVIAGRVHRPVENVEEMNGLMSGFDSLAGKVGLYTNVEELYLLNPKALLPCFVVICKP >scaffold_802001.1 pep chromosome:v.1.0:8:16691211:16692076:1 gene:scaffold_802001.1 transcript:scaffold_802001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:D7MUC0] MAGRGKTLGSGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGASKPQED >scaffold_802003.1 pep chromosome:v.1.0:8:16699201:16700368:1 gene:scaffold_802003.1 transcript:scaffold_802003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCSGSLKLEIHTDDKTPGKWSVPLGDDVFRRFLSGGGGSEKAVFGEGSLFSPFLFGKYFDPSDAFPLWEFEAEVLLASLRSLGGQCRVDWSQTDLAYVLKSDIPVVGKNNVQVYVDVNGKVMEISGQWNNNKKAAANGDWRSGRWWEHGYVRRLELPCDADAKNSEAFLSNNDDYSFLEIRIPKINSKNKF >scaffold_802014.1 pep chromosome:v.1.0:8:16743612:16744923:1 gene:scaffold_802014.1 transcript:scaffold_802014.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine thiazole synthase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7MUD1] MAAIASTLSLSSTKPQRLFDSSFHGSSISAAPISIGLKPRSGSVVSVRATTAGYDLNAFTFDPIKESIVSREMTRRYMTDMITYAETDVVVVGAGSAGLSCAYEISKNPNVQVAIIEQSVSPGGGAWLGGQLFSAMIVRKPAHLFLDEIGVAYDEQDNYVVVKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKGNRVGGVVTNWALVAQNHDTQSCMDPNVMEAKIVVSSCGHDGPFGATGVKRLKSIGMIDHVPGMKALDMNTAEDAIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAGQLALKALGLPNAIDGTNVGNLSPELVLAAADSAETVDA >scaffold_802017.1 pep chromosome:v.1.0:8:16777209:16779491:1 gene:scaffold_802017.1 transcript:scaffold_802017.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate transporter 1 [Source:UniProtKB/TrEMBL;Acc:D7MUW4] MVLSAKQTLPTKIGLFRRNPSSSLRRSPVSLSFPSTELPKRTVLAVSKPLHLSSLRAKSPVVRCEAYEADRSEPQPIDDAAAAETKSEAAKKLKIGIYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLAAGSLMMLISWAVGIVETPKTDFDFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGETFPTSVYLSLIPIIGGCALSALTELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLLILTPFAIAVEGPQMWVDGWQTALATVGPQFVWWVAAQSVFYHLYNQVSYMSLDQISPLTFSVGNTMKRISVIVSSIIIFRTPVQPVNALGAAIAILGTFLYSQAKL >scaffold_802019.1 pep chromosome:v.1.0:8:16787598:16789419:1 gene:scaffold_802019.1 transcript:scaffold_802019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKRIVSSIQSDRLSNLPDTLLIIIISSLSFKECLSTSVLSTRWSYLCRETRNIAFNESEYVDHSVSDKKSKRLSFVGYMSQWISRYHGRYIESFEICFSLPLGFMAEIESLIEFAVSRQVKNLVIDFKDPSWTINSSASWYAYLAVKLPVCVYSLTTLESLKIYACGFDPSKFTNSGLPRKLSIGWINFTEAESLLSNSPTLKSLSIDYCWSVDIKNIAGDMKEVVFDNSDFFPKKACSFDLPNVEIFKYSGSVLSFDVKGMNRIIKEVYLDFFCAEGEYDKPNRRTKLDGTDLSGFLNSFRGARTLSVCPYLLQSIQECEDPSSLLRPMDTEHLVLRMRMHVMEFKGIKLLLDNCPNLETLTFDIIRRSKFSYTKSYCGICPKTCWQNSLTYKSLPKTLKVVVVRNYSGHFNELNVLKFLIQSRRGHADGSMLERVELYMHNSMEESQRMLAHEEAEMLQSISGAVQVLVHNL >scaffold_802021.1 pep chromosome:v.1.0:8:16798767:16801511:1 gene:scaffold_802021.1 transcript:scaffold_802021.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOMON domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MUW8] MCDQRPNLLGSLVLLGFFIFFVNGEECSNSSSLIGHESEFKMLQHQLRGVFTVVDDCSFRVSRFDMLSGSDVHWWGAMTSDFDNMTNDGFVISDQKLNQTFKNASFIVRLIGNVTWDKLGVVSVWDLPTASDFGHVLLLNATESPPSEGNDVAPGKSNNSEPFKAPTMFDNCKKLSDKYRHRWSLNAEKGYVDIGLEATTGLLNYMAFGWAKPNSTSNLMLNADVVVTGIREDGFPFADDFYITESSVCSVKEGTATGVCPDTVYEEADSVGSSVNNTKLVYGHRIDGVSFVRYRRPLNDSDNKFDFPVNSTENLTVIWALGVIKPPDVINPYYLPVNHGGVESENFGHFSLNLSDHVDECLGPLDAENKYDQDVIIADAHAPLVVTAGPSVHYPNPPNPSKVLYINKKEAPVLKVERGVPVKFSIEAGHDVSFYITSDFLGGNASLRNRTETIYAGGQETHGVLSSPLELVWAPNRNTPDQLYYHSIFQEKMGWKVQVVDGGLSDMYNNSVNLDDQQVKFFWTIVGDSISIAARGEKKSGYLAIGFGSEMTNSYAYVGWFDRNGTGHVNTYWIDGESASAVHPTTENMTYVRCKSEEGIITLEFTRPLKPSCSHRDRPECKNMIDPTTPLKVIWAMGAKWTDGQLTERNMHSVTSQRPVRVMLTRGSAEADQDLRPVLGVHGFMMFLAWGILLPGGILSARYLKHIKGDGWFKIHMYLQCSGLAIVFLGLLFAVAELNGFSFSSTHVKFGFTAIVLACAQPVNAWLRPAKPAQGELISSKRLIWEYSHSIIGQSAVVIGVVALFTGMKHLGERNGTENVDGLNLALGLWVFLCVVTVAYLEYRERRRRKARNLSRGNWVLGNVEEDDSIDLIDSRGGFRDKDDEDGNGGRMEIQLEPLK >scaffold_802023.1 pep chromosome:v.1.0:8:16809379:16810027:-1 gene:scaffold_802023.1 transcript:scaffold_802023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKLELIKGGGGSIKIGATGTVATLMTRELDSMKQPSPQTPTTRPIRTTIPVSVDCGTSSSTPRRTKPRKSSDEASSSSNVNNVRTPKGHNAKTTHQLSMLGSDNVRTPKGHNTKSTHPLSMLGSDNVRTPKGHHNTKSTHQLPMLGSENVSLQGTPRREKRMNIVEIVDVKCGNPDRAWANPITSRLKKLGFSKLNESIG >scaffold_802024.1 pep chromosome:v.1.0:8:16811550:16813023:1 gene:scaffold_802024.1 transcript:scaffold_802024.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen ole e 1 allergen and extensin family protein [Source:UniProtKB/TrEMBL;Acc:D7MUX1] MDSKLRNFVMGLFVMTAILKGSGVDAWTGEIRGRVVCDVCADSSIGPEDHVLEGAEVAVLCITKSGEVVNYQAFTNSKGVYTVAETMPESERWDACLARPISSFHTPCNRLHQANTGIKFTYNRPSGFFHAVKPFVYRPQYAPSYC >scaffold_802027.1 pep chromosome:v.1.0:8:16820786:16822036:1 gene:scaffold_802027.1 transcript:scaffold_802027.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DTW domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MUX4] MCGMSLSGQMMGHVVARTQMILSRSNFADCIRCQGVRVSKTLTMGTKRRPTCPSCDKPSQLCLCKKMRSPCFDNQVSVTILQHSLERKHALNSTRIARLGLKNVGVTTVFDVHDEAEFVIRVIGSGCSKIGTNQSDFDYRLENVASLELDESFKLGINGNVENLESEKNVVLVAHRSLKIAESLKLSQHSGEMSCRDLRVTDKIGSCEESRSEDLIRICMKKQGVISNVSHSLMLETSVEDSSFDHILGSPAAMDVLAKGFVVTKFSEGKREFELEVPPGSALLFPSEKSVKINDLKEKEELQVRNLLVLDGTWSKARRIYLENPWLKLLRCHVKLEMEGTSIYKEVRRQPRAGCLSTIESIVYTMKEIGEDPEGLDNMLNVFESMVEDQRRCKDENFEKII >scaffold_802032.1 pep chromosome:v.1.0:8:16835887:16836869:-1 gene:scaffold_802032.1 transcript:scaffold_802032.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MUX9] MEKEGHEENNHTVSGDLMAKRKRGRPKKQLKLESNEQSLGHSPRFSSSQQQSRHRDGDEAMVGQPISGVIEATFEAGFLLSVKVGNSDSMLRGVVFKPGHCDPVSVDNDVAPDVPMIRRNSDVMHHDGSAKRGRKSRFREKRASGVRTRALVPVPIQPAHPTTPKNLVVPVVLQPSHLQNGGGRVPIHHSPMQTETGSQVSGASNSKPFETLLTQVMNKGQVHHTAQSIEPESDEQALSIEPLQAIHPIHPVHMPKPMTSYGRGKMTELLQAVQENVRETHFSQGH >scaffold_802033.1 pep chromosome:v.1.0:8:16838926:16839306:-1 gene:scaffold_802033.1 transcript:scaffold_802033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELDIQIPSAFDPFAEAKDSDAPGAKENIHIRIQQRNGKKSLTTVQGLKKEYSYERILKDLKKDFCCNGNVVQDKELGKIIQLQGDQRKKVSQFLVQTGIAKKDQIKIHGF >scaffold_802034.1 pep chromosome:v.1.0:8:16841322:16842341:-1 gene:scaffold_802034.1 transcript:scaffold_802034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNPPGPREVKDAYCLLNFGDNITTSSISPAGTIHEDSPAVMKRGTFASTCLVNKLLNGEVGPKTVHIPTGEKICIYDAASRYKTAGQDTIILAGAEYGSGSSRDWAAKGPMLLGVKAIIAKSFNRVHRSNLASIRIVPLCFKSGEDAETLGLTGHERYTIHLPSNVNEIKPGQDITVTTDTANSFVCTLRLDTEVITKALSRFDCDHIFDGLTWLIGIDQVELACYNHGGMLSYIIRSLSNE >scaffold_802039.1 pep chromosome:v.1.0:8:16853408:16856497:1 gene:scaffold_802039.1 transcript:scaffold_802039.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSDLSSRVRLNIGGKKFCTTIDTLTIREPDSMLAAMFSGRHAMCQESKKGYVFIDRDGKHFRHILNWLRDGVAPSLSDPDCSELLREADYYQLLGLKDGIKDSRREVGEVEAELTRIDIIKCIQSERVRFRGVNLSGIDLSKLDLSLVDFSYACLRNVFFSRTNLQCAKFRDADAAGSIFHNAILRECEFTGANLRGALLAGTNLQSANLQDACLVDCSFCGADLRTAHLQNADLTNANLEGANLEGANLKGAKLSNANFKGANLQRAYLRHVNLREAHLEGANLGGANMTGAIR >scaffold_802048.1 pep chromosome:v.1.0:8:16912937:16913253:-1 gene:scaffold_802048.1 transcript:scaffold_802048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLLIDGQVHWKVGTFITGEYHPHVRCTAYIPSGGIVPLIEDNAQHKSGYYVKKLLSRCLELGSRGEVKSAVVRASKWLAGPRSFLLLLT >scaffold_802050.1 pep chromosome:v.1.0:8:16920018:16920549:1 gene:scaffold_802050.1 transcript:scaffold_802050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific Stig1 family protein [Source:UniProtKB/TrEMBL;Acc:D7MUZ8] MAQFMKLLVTIALTTVVIIAIITTKTTTKTATFALEDPFKDLTPPGTIKIRPSRFLAEKVDQGKGPKARNPNAADHCNKDNEICSSSDYSTGANATMACCNNKCMDLSTDDKNCGACKNKCKFGQTCCRGQCVYVAYDKRHCGECNHRCDLGEFCVYGLCNYA >scaffold_802051.1 pep chromosome:v.1.0:8:16921757:16923890:1 gene:scaffold_802051.1 transcript:scaffold_802051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKIKRVPTVVSNYQKDETVEEGGCGRNCLSKCCINGARLPLYTCKNLDTFVGEKLESPVMFLESLVLGEWEDRFQRGLFRYDVTACETKVIPGKYGFIAQLNEGRLLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEELLFQFEASDNDDDSQIQFLPSIPLDADNSPSVVAINVSPIEYGHVLLIPRVLDCLPQRIDHKSLLLALQMAAEAANPYFRLGYNSLGAFATINHLHFQAYYLAMQFPIEKASSLKITTTNNGVKISKLLNYPVRGLLFEGGNSIKDLSDTVSDASVCLQNNNIPFNILISDSGKQIFLLPQCYAEKQALGEVSSKLLDTQVNPAVWEMSGHMVLKRKEDYEGASEEKAWRLLAEVSLSEERFKEVNTMIFEAIGFSSHEEEEVLEEQSSMNGSSFIRVHCPSVKEEAVSN >scaffold_802052.1 pep chromosome:v.1.0:8:16924864:16925136:1 gene:scaffold_802052.1 transcript:scaffold_802052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGLHPQMQWISYVTQSGRLMHVMMTRIHHVGKVYHFGAKRQMAQSIGQIAKFKRRFNEQEAEAAEENNNENQNK >scaffold_802053.1 pep chromosome:v.1.0:8:16925453:16928150:-1 gene:scaffold_802053.1 transcript:scaffold_802053.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyltransferase and sulfurtransferase MOCS3 [Source:UniProtKB/TrEMBL;Acc:D7MV01] MMSNGGDSSEIIRELEELKLKKADIEHRISTLEAKLQDTAAVERYDTVSNGDSYPTAPELKHGLSPDQIYRYSRQLLLPSFAVEGQSNLLKSSVLVIGAGGLGSPALLYLAACGVGRLGIIDHDVVELNNMHRQIIHTEAFIGHPKVKSAATACRSINSTIKVDEYVEALRTSNALEILSQYDIIVDATDNPPSRYMISDCCVLLGKPLVSGAALGMEGQLTVYNHNGGPCYRCLFPTPPPTSACQRCSDSGVLGVVPGVIGCLQALETIKLASMVGEPLSERMLLFDALSARMRIVKIRGRSSQCTVCGDNSSFNKQTFKDFDYEDFTQFPLFAGPLNLLPAESRISSTEFKEILQKKEQHVLLDVRPSHHYKIVSLPDSLNIPLANLEARLNELTSALKEKEDGHVNTGSCTNPSVYVVCRRGNDSQRAVHYLRESGFDSAKDIIGGLEAWAANVNPNFPTY >scaffold_802058.1 pep chromosome:v.1.0:8:16933695:16934878:-1 gene:scaffold_802058.1 transcript:scaffold_802058.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier [Source:UniProtKB/TrEMBL;Acc:D7MV06] MSATQEEDKKPDQGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDFNSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGAANGLKLFCF >scaffold_802059.1 pep chromosome:v.1.0:8:16935540:16936675:-1 gene:scaffold_802059.1 transcript:scaffold_802059.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier [Source:UniProtKB/TrEMBL;Acc:D7MV07] MSATQEEDKKPDQGAHINFKVKGQDDNEVFFRLKRSTQLKKLMNAYCHRQSEDINSIVYFFDGRRLRAGQTVDELDMEDGDVIYACHSQGGGLQADHGLRADQRQWSYMVFDHNRL >scaffold_802062.1 pep chromosome:v.1.0:8:16949531:16952297:1 gene:scaffold_802062.1 transcript:scaffold_802062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFVNNGGKGKRKRTTKKETEKKARATKTREELEYIEEKRNLEDLWKNAFPVGTEIESPFPPSEKLGIKVVQTSESRGGNRSNEADENGLGSLHSLRKLRRAALRHMKEDRVKMFEYCLPYFYNPLKEDELEQSTEVDILFPSEPPEFVDGLIEDEALPVEQKDEFNEFVKEQVRAAKKASKEAKVAREKAIEEMSEDTKEAFENMKLYKFYPRHSPDVPRFKTSSYINRYYGKAHQVL >scaffold_802063.1 pep chromosome:v.1.0:8:16952935:16954780:1 gene:scaffold_802063.1 transcript:scaffold_802063.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding nuclear protein [Source:UniProtKB/TrEMBL;Acc:D7MV11] MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDANLHFVESPALAPPEVQIDIAAQQQHEAELAAAASQPLPDDDDDTFE >scaffold_802071.1 pep chromosome:v.1.0:8:16988317:16990191:-1 gene:scaffold_802071.1 transcript:scaffold_802071.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ftsz1-1 [Source:UniProtKB/TrEMBL;Acc:D7MKG1] MAIIPLAQLNELTISSSSSSFLAKSLCSHSLHSSCVCASTRISQFRGGFSKRRSDSTRSKSMRLRCSFSPMESARIKVVGVGGGGNNAVNRMISSGLQSVDFYAINTDSQALLQSSAENPLQIGELLTRGLGTGGNPLLGEQAAEESKDAIANALKGSDLVFITAGMGGGTGSGAAPVVAQISKDAGYLTVGVVTYPFSFEGRKRSLQALEAIEKLQKNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSSKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFSQSFQKTLLTDPRAAKLLDKMGSSGQQENKGMSLPHQKQSPSTISTKSSSPRRLFF >scaffold_802072.1 pep chromosome:v.1.0:8:16990590:16991560:-1 gene:scaffold_802072.1 transcript:scaffold_802072.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit H family protein [Source:UniProtKB/TrEMBL;Acc:D7MKG2] MGFLITTLIFVVVGIIAALCARICCNRGPSTNLLHLTLVITATVCCWMMWAIVYIAQMNPLIVPILSETE >scaffold_802074.1 pep chromosome:v.1.0:8:16997850:17002217:-1 gene:scaffold_802074.1 transcript:scaffold_802074.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOP1alpha [Source:UniProtKB/TrEMBL;Acc:D7MKG4] MATEAFVKPVVPNGHDGYEDEDEDDIPLVFKRTSNTASTTNRSRPIDNAMRNSVIGSTKSPPPMRSPLTSPNRSASSSARSSMMKPTTLPSSSSVQRSTVKSPLRDDRSVVAKERNGLGKAPSVSKTDDEDSEDDKPLSARLKMDSKEVIKQPSSSGRGNTQQAVQKSNMRPQGSSDNTKKKVLDERAPVSSMVQTKTSVGTSSSKPVHNEQKRPLVNNINRNGLKPKTEGVSSQAPAKRPLEKGSSSNQSSVKRLKLSEPARPVKVEQGSHNAATQDAKGKNLDASKPLRANKAIVKEDNSDDDDHVPIASRIKSDSSKFSSAKPSSSKTIASSSRIIAKKPNKWVKDSKYSKSSKSLPSGDGQTKWKTLEHNGVIFPPSYKRHGVKILYQGKPVDLTPEQEEVATMFAVMRETEYYNKPKFRENFWNDWRRLLGKNHIIKNLDDCDFTPIYEWYIQEKETKKLMTAEEKRILKEEKLKQEEKYMWAVLDGVRERIGNFRVEPPGLFRGRGEHPKMGKLKKRIRPCDITINIGKEAPVPECPIPGERWKEVKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKNYIGSIRAAYTKDFNNKDVTKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVGNVECIPPNKLKFDFLGKDSIQYVNTVEVEPLVYKAIGQFQAGKSKTDDLFDELDTSKLNAHLKELMAGLTAKVFRTYNASITLDLMLSKETRDGDVPEKVVVYQQANKEVAIICNHQRTVSKSHGAQVEKLAVKIEELREQIKELNIDLNRAKKGRTPLMGSDGKRKRNLTPEALEKKIMQTQGKIEKMERDMQTKEDMKTVALGTSKINYMDPRITVAWCKRHDVPIEKIFNKSLLAKFAWAMDVDPEFRFCLSNDE >scaffold_802075.1 pep chromosome:v.1.0:8:17003909:17005275:1 gene:scaffold_802075.1 transcript:scaffold_802075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDKIRFESLTDKSKLDGQPELFLHIIPDKTNNTLNIIDSVIGMTKAVATPKQIRDLMKVKVDGLTNDEVKSHLHIAHKKTSYSGSNKRWRNPQQLQFMVVEGIWVLSHDTTNNRVYALVAVQSSPSGERSRRRCKSPTTSSTHTTPSSSIIDSSRFV >scaffold_802088.1 pep chromosome:v.1.0:8:17063132:17065181:1 gene:scaffold_802088.1 transcript:scaffold_802088.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtXylT [Source:UniProtKB/TrEMBL;Acc:D7MKI2] MSKRNPKILKIFLYMLLLNSLFLIIYFVFHSSSFSPEQSHPHLPLRFHVSVNNQSAIQKPWPILPSYLPWTPPQRNLPTGSCEGYFGNGFTKRVDFLKPMREGSWFRCFYSETLQSSICEGRNLRMVPDRIVMSRGGEKLEEVMGRKEEEELPEFRQGAFEVAEEVSSRLGFKRHRRFGGGEGGGGGSAVSRRLVNDEMLNEYIQEGGIDRHTMRDLVASIRAVDTKDFVCEEWVEEPTLLVTRFEYANLFHTVTDWYSAYVSSRVTGLPNRPHVVFVDGHCTTQLEETWTALFSGIRYAKNFTKPVCFRHAILSPLGYETALFKGLTGEIDCKGESAHNLWQNPDDKRTARISEFGEMIRAAFGFPVNRHRSLEKPPSSSTSTSVHNVLFVRREDYLAHPRHGGKVQSRLINEEEVFDSLHHWVATGSTGLTKCGINLVNGLLAHMSMKDQVRAIQEASVIIGAHGAGLTHIVSATPNTTIFEIVSVEFQRPHFELIAKWKGLEYHAMHLANSRAEPTAVIEKLKEIMKSLGC >scaffold_802089.1 pep chromosome:v.1.0:8:17065924:17066230:-1 gene:scaffold_802089.1 transcript:scaffold_802089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGHVTPPPHANSLNLPPPWPQLSPMYQSPSAPPYMNYQQFPFTEQQPPVTYPYYYYPYYVPEMLYSPPPQIPTRDGVTGEPQCRIL >scaffold_802098.1 pep chromosome:v.1.0:8:17102656:17103349:1 gene:scaffold_802098.1 transcript:scaffold_802098.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKJ0] MSSLPYERAGPATTNSASYVPTARSNNNHDIVPRFRPEETTDTISLDIGIGIASSSPDHTSNEHQQRQVLVLNLYSKLKPMELLDSGLSMGLPWDPTMVEIINITVREKLKTRLKPVTSLPLTHIHVTQKGSLLPFFILILLN >scaffold_802104.1 pep chromosome:v.1.0:8:17118609:17118894:1 gene:scaffold_802104.1 transcript:scaffold_802104.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7LS44] MEKTISSPPPISCLRSLRFFSGFISGFISSILFHLSVSSPASSPRFYFTFPRAIFDFSSPPPILTSFDLCFSPLLRSFCC >scaffold_802105.1 pep chromosome:v.1.0:8:17120080:17120426:1 gene:scaffold_802105.1 transcript:scaffold_802105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKLVFTTAFTQMFGYSNHMDQTSNCQSTRNKIIKMMKKEEFPSGFQVPLHYPKYSKSDYEAMDDLRLDLLLKQYGFSFEGSLEDKRVFAIESFLWPDQL >scaffold_802108.1 pep chromosome:v.1.0:8:17126259:17126766:1 gene:scaffold_802108.1 transcript:scaffold_802108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKSDDDEKHFSWCCFLLQMSLLFFLVTLISAVAFLSFLNSHGVSSLLITMAILFFSTSVFFLRFFKKKTKLCLAERSQEEGHDDDEDDEDGLIEIALVSEEEAETIEAMRNINESRQRIRIEEEQEEEIDDVNMEEDNLIEIDISIGSIKRRM >scaffold_802114.1 pep chromosome:v.1.0:8:17145802:17146471:-1 gene:scaffold_802114.1 transcript:scaffold_802114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISQLFAPLPSLTGTLTLTGRSFLPLNPSTQFPKPRLSRERAATLVLQSKGDDSVDASDRIISAVCYFYPFFDGIQYGKFIITQYQPFQILIQPLFPAIRAFKSFPFNGFLIFITLYFVVVRNPNFSRYVRFNTMQAIVLDVLLIFPDLLERSFNPRDGFGLDVVMSLDSTVFLFLLVSLIYGFSACLFGLIPRLPLVAEAADRQVL >scaffold_802117.1 pep chromosome:v.1.0:8:17152782:17155311:-1 gene:scaffold_802117.1 transcript:scaffold_802117.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MKK8] MASLPFNTIPINLPFSVSSKPSSKQHDDQALNPSSTSYFHRVSSLCKNGEIKEALSLVTEMDFRNLRIGPEIYGEILQGCVYERDLCTGKQIHARILKNGDFYAKNEYIETKLVIFYAKCDALDIAEVLFTKLRVRNVFSWAAIIGVKCRIGLCEGALMGFVEMLENEIFPDNFVVPNVCKACGALQWSRFGRGVHGYVVKAGLEDCVFVASSLADMYGKCGVLDDARKVFDEIPERNVVAWNALMVGYVQNGMNEEAIRLFSDMRKEGVEPTRVTVSTCLSASANMGGVEEGKQSHAIAIVNGLELDNILGTSLLNFYCKVGLIEYAEMVFDRMIDKDVVTWNLIISGYVQQGLVENAIYMCQLMRLEKLKYDCVTLATLMSAAARTQNLKLGKEVQCYCIRHSFESDIVLASTVMDMYAKCGSIVDAKKVFDSTAEKDLILWNTLLAAYAESGLSGEGLRLFYGMQLEGVPPNVITWNLIILSLLRNGEVDEAKDMFLQMQSSGIFPNLISWTTMMNGMVQNGCSEEAILFLRKMQESGLRPNAVSITVALSACANLASLHFGRSIHGYIIRNLQHSSSVSIETSLVDMYAKCGDINKAEKVFGSKLYSELPLYNAMISAYALYGNLKEAIALYRSLEGVGLKPDNITITNVLSACNHAGDNNQATEIVTEMVSKHGMNPCLEHYGLMVDLLASAGQTDKALRLIEEMPYKPDARMIQSLVASCNKQPKSELVDYLSRQLIESEPDNSGNYVTISNAYAVEGSWDEVVKMREIMKAKGLKKKPGCSWIQIKGEGVHVFVANDKTHIRINEIQRILALLLYDMWSDFT >scaffold_802118.1 pep chromosome:v.1.0:8:17155668:17156222:-1 gene:scaffold_802118.1 transcript:scaffold_802118.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKK9] MLSLGASLSIPLITFYLSFLNCVNLNSALDSNTGFCTPYPCNQPPQPPSSTDYSPYGNPPPPSPQSPQSSPPPPSSQSSPPSPPRSRCPPVPTTGCCNQPPPSTMYSPPYPYFYTPPYPYGAIGGGGQGGGGQGGGEAGGGTTGSAVAYYSSSMPVHLLMLVLANAFILF >scaffold_802127.1 pep chromosome:v.1.0:8:17193243:17195176:1 gene:scaffold_802127.1 transcript:scaffold_802127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVPTGRINGNRKLKGLKFNALMSVKNNPTVTSKSEEMSTSDKKPLIPSSHIKNQDDICVYFRIKRDVELRKMMHAYSAKVGVEMSTLRFLFDGNRIKLNQTPNELGLEDEDEIEAFGEQLGGFSFFHHH >scaffold_802133.1 pep chromosome:v.1.0:8:17232234:17234024:-1 gene:scaffold_802133.1 transcript:scaffold_802133.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2731 [Source:UniProtKB/TrEMBL;Acc:D7ML55] MGMGSNGELKYEISQNAYIKLVLHSLRHKTAAVNGVLVGRISHKDDGVVEISDSVPLFHSNLALLPPLEISLIMIEEHYVAQGLSIVGYFHANERFDDVELCGVAKNIGDHISRYFPQAPILLLNNKKLEALSKGKERSPVMQLCVKDASKNWRVVGADGGSKLLLKEPSANVILSDYISSEKWKDVTDVDDHLDDVTKDWLNPGLFN >scaffold_802135.1 pep chromosome:v.1.0:8:17240110:17242176:1 gene:scaffold_802135.1 transcript:scaffold_802135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPYDSETKSSIPTNLAWQEMFRSASSRKPQDPPSSSSSPPRKPSGDGSSSGKTSLSTADSQARLAMYIAMAHAGLVFAICVLYFVGKLLQEYLRPIQWAILCSIPLRGIQETLVDFWSEPLKLGLTEVVLAVPVSVFNVFIGSIVDIKNLCFRVFLRRSKPKRTRKKNGTGFSKLVRWLVSFGVFVIAYERIGGIGSLVILSLGFLFSSKNVDSSLSAVSSLRSNSFRRSHFTAYFTRGIMKRLNTIVAIGLIVLMIVGSLTGVIFFSYKIGVEGKDAVYSLKSHVEESNYAEKIGIKQWMDENDVPGMVDMYTTKFYETVSEQIDSLAMQYNMTELVTGIKHFVIGHPQNTSTPSTALITPSPYTEKLMSLRTRVKNREWSQIYSEVDVIFRELIITREDLVEKAKGFAVKGMDVSQRVFSSSASVVGGGAKFVFSIGNLIISGAAEFFNFISQLMVFIWVLYILITSESGGVTEQVMNMLPINASARNRCVEVLDLAISGVLLATAEIAFFQGCLTWLLFRLYNIHFLYMSTVLAFISALLPIFPYWFATIPAALQLVLEGRYIIAVTLSVTHLVLMEYGASEIQDDIPGSNAYITGLSIIGGVTLFPSALEGAIMGPLITTVVIALKDLYAEFVLNDPKKIN >scaffold_802140.1 pep chromosome:v.1.0:8:17263106:17263490:1 gene:scaffold_802140.1 transcript:scaffold_802140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKENPSTLNLGQPTTQEKYSTSLAKINPKPSDGSTVESKRQDHNSPSLKRWRSSSGKPTRQNCLCSPTTHAGSFRCRYHRVDSLTRVGSIGSNLAVLLSSKSSRFSDSLKAQ >scaffold_802141.1 pep chromosome:v.1.0:8:17265825:17267215:1 gene:scaffold_802141.1 transcript:scaffold_802141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCVDGFKHLCTSVLGCFDLDLYKQSGGLGDPELLARDTVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIHFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLKDTVIENIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVEDT >scaffold_802148.1 pep chromosome:v.1.0:8:17302984:17303507:-1 gene:scaffold_802148.1 transcript:scaffold_802148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLHQPPQSLVPETPPWETPLLVPDPVNFDVDMLLQLNFTNPNKKLSVMFEKVSVELWFADEMIATQGVLPFSLNSIFLPVNHMVELRRQVASNMIDYEIISKFTVKAVLGIIHYSYWLKGSCQLQLTSPPAGSLLSRNCTTKRW >scaffold_802149.1 pep chromosome:v.1.0:8:17311351:17312356:-1 gene:scaffold_802149.1 transcript:scaffold_802149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACENNLVVKQIIDLYNQISNLESLKPSKNVDTLFGQLVSTCLPTDTNIDVTEIHDEKVKDARSHLIKLCGEAEGYLEQHFSTILSSFEDNPLNHLHIFPYYNNYIKLGKLEFDLLAQHTTHVPTKVAFIGSGPMPLTSIVLAKFHLPNTTFHNFDIDSHANTLASNLVSHDSDLSKRMIFHTTDVLNAKEGLDQYDVIFLAALVGMDKESKVKAIEHLEKHMAPGAVLMLRSAHGLRAFLYPIVDSCDLKGFEVLTIYHPSDDVVNSVVIARKLGGSNGARGSQIGRCVVMPCNCSKVHAIMNNRGMEKNLIEEFSAIE >scaffold_802150.1 pep chromosome:v.1.0:8:17315526:17317541:1 gene:scaffold_802150.1 transcript:scaffold_802150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAVGSALKRNKEAFNGIARGFTTSSHRAFTSNITAATVTSASSSSLAGNSFYGLRSLLKGQNASMFRKMSTVASISSESKEGLKHLVTGGPQARKWVGIWLFGSATWVFSMVVLGGVTRLTRSGLSMTDWKFTGEFPPLSNEAWVNEFEKYKQSPEYKRVNKGMNLEDFKFIYWMEYAHRMWGRGLGIMFALPFSYFLRKGYITLRLGVQLSGLFALGAGQGFIGWWMVKSGLEEPPSEYSQPRVSPYRLAAHLTSAFAIYCGLFWTALSVVMPEPPAESLAWVRGAAKVKKLALPVSLIVGITAISGAFVAGNDAGRAFNTFPKMGDTWIPDNIFEMKPLLRNFFENTATVQLDHRLLATTTLIAIGTMWWFTRKLDIHPAVKALIGSTVGMTAVQVTLGVSTLLSYVPVSLGSAHQAGALTLLTLMLLLNHTLRRPSPSLLKSLPQVAKSNFS >scaffold_802151.1 pep chromosome:v.1.0:8:17318005:17318483:1 gene:scaffold_802151.1 transcript:scaffold_802151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHGGRRRDIAITDGERRTGSSVVAATVAAVAVVGPLFGLMSFSFVATVTLFLIASPLLLTFAPVFMVMVAVLVAAMVGVGVAAAMWMMGIAALVCCGREIGIETGVAGRMVESVVRELGYGRSRYLRNKSEYGYSSSSRAY >scaffold_802153.1 pep chromosome:v.1.0:8:17330248:17330438:-1 gene:scaffold_802153.1 transcript:scaffold_802153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKTKEAGLAPLPARLTSSPPRLADFRYSTGIFEKYTVLKPQPLILA >scaffold_802154.1 pep chromosome:v.1.0:8:17333480:17333955:1 gene:scaffold_802154.1 transcript:scaffold_802154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHGGRRDIAITDGERRTGSSVVAATVAAVAVVGPLFGLMSFSFVATVTLFLIASPLLLTFAPVFMVMVAVLVAAMVGVGVAAAMWMMGIAALVCCGREIGIETGVAGRMVESVVRELGYGRSRYLRNKSEYGYSSSSRAY >scaffold_802157.1 pep chromosome:v.1.0:8:17338983:17341636:-1 gene:scaffold_802157.1 transcript:scaffold_802157.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7ML82] MEETTIPFKSLHSREYQGHKKKVHSVAWNSNGTKLASGSVDQTARIWNIEPHGHSKAKDLELKGHTDSVDQLCWDPKHSDLVATASGDKSVRLWDARSGKCTQQAELSGENINITYKPDGTHVAVGNRDDELTILDVRKFKPLHRRKFNYEVNEIAWNMAGDFFFLTTGLGTVEVLSYPSLKPLDTLTAHTAGCYCIAIDPKGRYFAVGSADSLVSLWDISDMLCLRTFTKLEWPVRTISFNYSGEYIASASEDLFIDIANVQTGRTVHQIPCRAAMNSVEWNPKYNLLAYAGDDKNPKYNTDEGVFRIFGFESS >scaffold_802165.1 pep chromosome:v.1.0:8:17370476:17372308:-1 gene:scaffold_802165.1 transcript:scaffold_802165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESESSVLESVEDNNGLIGEVETIENKLVSASSTDLDKEVNSPVEISHLISKGFGLRKWKRRIRRDLVKDDTSANMENSKVLKRVLSGLVDPNAKHMHLPGPDVRQDSVGSVGSVNSVVGFAIGGDGHGNGLAFAAGVDSDNSEDWSSKSSTAASGPKVRYESTVAHSWDKHRGKYSGGKSVISSGDSSQQRKSNVEKSKKLRGERIKIEKENSHSSMESADSRSSNFVFMQGAFYSLSSREQGGRRMMDYDEEISDHDAHTSNRKDNVGDEEEEGTEDYSQGDSVEESQIKNNGSSDNLDPLIVAFNSFHTLQEALQKELQNLQELGKEPITSLHDGGESSSCIHVGPERASEASSSHRFGSKKMGETGLTSLDSELLNLVNNVEHLEIKLGEAKSILKVKETQIRELESTISVSKTHNGGTEIGIEEIFQQKMEAEIEYIIFSRSVGNLKRRIKLIEEEKTLGLSKLEKAETKAENLKLQAQDLQNHCVAITEIQEVEFLKKRVYKTTRCLLLQLCLLFMLYYFMLPESEIDVPT >scaffold_802166.1 pep chromosome:v.1.0:8:17374847:17377806:1 gene:scaffold_802166.1 transcript:scaffold_802166.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside-triphosphatase/ nucleotide binding protein [Source:UniProtKB/TrEMBL;Acc:D7ML91] MKGIEEEAEASLVGLTIRTSSPASSSSKKGKDLLQTNNNASDSSPSIKNSPFNSPSLVSPPSSAFVSALQSPYISPRATTPITTHKPSPPLSYKGSQSEDVPSSSYTPPSDQYEFSDEQPSDRKLKLSACTPDPAPPRISFSFPVPRVSLAKVSVSSPATNTKLRSSDVFIGFHGQNPNLVRFCKWLKSELELQGIACFVADRAKYSDTQSHEIADRVICSVTYGIVVVSCSSLLNYLSLEEVRFFAQKKNLIPIFYGTGPSEIMGLLNCNAIDKECKEAIDGLIKSHEFKLEANESNWRSCVGKTATILRAKLGRKSVADKEIVEGIDELPFPRNRYFLGREKEIIEMEMALFGNGEYLESTTPSTRGEASGQSEGLADEESDVVSTRNGKFISLELGKCSEPRSEAWSDPNGGKNSLKRLLKSKKYRNNSNCKSSTSVVCVNGVPGIGKTELALEFAYRYSQRYKMVLWVGGEARYFRQNLLNLSFSLGLDVSADAEKDRGRLRSFDEQEFEAFKRIKRELFRDMPYLLIIDNLEIEKDWWEGKDLNDLIPRNTGGTHVLITTRLPKVMTFDTVQLSVLPSPDAMVLLRGRRKKDYPVEEVEVLKLFDEKLGRLSYGLWVVGSLLSELAIPPSALFEAVNKIQIEERSASPFLNVIDEQYCKSNPFVAKVLAFSLAVLEQAEGNRNLLSLKMLLVGAWFAPVPIPVNLLAAAAKNMPTGGNRFSKWNKCLSHTFAWCGGCGLGRRSEEDAAFLLVRLGLARITNRQPGCWIQFHPITQTFARRRDYILAPKATVQGVRKIDNPLLNLDHLWASAFLVFGFKSEPPLVQLQAMDMVLYIKRTALPLAITAFTTFSRCNSALELLKVCTNVLEEVEKSFVSQIQDWRQGSLCWKKKTNKKVDEYVWQDVTLLKALLLETRAKLLLRGGHFDSGEELCRTCISIRTVMLGHNHDLTLAAQETLAKLVRMRSKI >scaffold_802167.1 pep chromosome:v.1.0:8:17378643:17379243:-1 gene:scaffold_802167.1 transcript:scaffold_802167.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:D7ML92] MTPPPPTTTYTSIPLPTGDVISRSIHNLTSAISRHRPWSELVFSGDFSLPESFSSLLLRSKTNFNYFFVNYTIVVATCAAFALITASPVALIVVGVIIVLWLLFHFFREDPLILWSFQVGDRTVVLFLVLASVWAVWFTSSAVNLAVGVGVGLLLGITHSVLRNSDELFLEEDDAVNGGLIGPNLQ >scaffold_802171.1 pep chromosome:v.1.0:8:17407377:17409738:1 gene:scaffold_802171.1 transcript:scaffold_802171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFDDNVAVMGEWVPRSPSPGTLFSSAIGEEKSSKRVLERELSLNHGQVIGLEEDTTGNHNKDSSQTNVFRGGLSERIAARAGFNAPRLNTENIRSNNDFSIDSSLRSPCLTISSPGLSPATLLESPVFLSNPLAQPSPTTGKFPFLPGVNGNALSSDKAKDEFFDDIGASFTFHPVSRSSSSFFQGTTEMMSVDYGNYNSRSSSHQSAEDVKPGSQNIESSNLYGIETDNQNGQNKTSDVTTNTSLETVDHQEEEEEQRRGDSMVGGAPAEDGYNWRKYGQKLVKGSEYPRSYYKCTNPNCQVKKKVERSREGHITEIIYKGAHNHSKPAPNRRSGMQVDGTEQVEQQKQQQRDSPATWVSCNSNQQQGGSNENNVEEGSTGFEYGNQSGSIQAQTGGQYKSGDAVVVVDASSTFSNDEDEDDRGTHGSVSMGYDGGGGGGGEGDESESKRRKLEAYAAEMSGATRAVREPRVVVQTTSDVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTAPDCTVRKHVERASHDLKSVITTYEGKHIHDVPAARNSSHGGGGSGNSNSGGSAAVSHHYHNGHHTEPPRGRFDRQVTTTNQSPFGRPFSFQPHLGPPSGFSFGLGQAGLANLSMPGLAYGQGKMPGLPHPYLTQPVGMSEAMMQRGMEPKVEQVSDSGQSVYNQIMSRLPQI >scaffold_802174.1 pep chromosome:v.1.0:8:17434743:17437450:1 gene:scaffold_802174.1 transcript:scaffold_802174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSLPMTAKDWTTTSLHRVFAMQGGEDDLSYVNNSDSQALAITLSKPILISTLESIKLFSDQTSPIRITDLGCATGANTFTTVDTVVETLQRRYTAVYGGGGSPEFEAFFCDLPSNDFNMLFKLLAQKQKVDSPAKYFAGGVAGSFYDRLFPRGTIHVAVSLSALHWLSQIPEKVLEKGSRTWNKGKTWIEGAKKEVVEAYAEQSDKDLDDFMSCRKEEMVKGGVLFVLMAGRPSGSSSQFGDQDTRAKHPFTTTMEQAWQDLIDEGLIDEETRDGFNIPAYMRSPEEVAGGIDRCGGFKIEKIELMKIVEYSDEKQEEWKKDPVSYGRARTNLVQAAIRPMVDAYLGPELSHELFKRYENRVSTNQEFLHITCFYGVVVFSAIRI >scaffold_802175.1 pep chromosome:v.1.0:8:17437751:17439386:1 gene:scaffold_802175.1 transcript:scaffold_802175.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MLP6] MIQRINALSLSSGLNWFVTSLKIHGTNLKTLKQSHCFMIITGLNRDNLNVAKFIEACSNAGHLRYAYSVFTHQPFPNTYLHNTMIRALSLVDERNAHSIAITVYRKFWAFCAKPDTFTFPFVLKIVVRVSDVWFGRQVHGQAVVFGFDSSVHVVTGLIQMYSSCGGLGDARKVFDEMRVRDVNVWNALLAGYGKVGEMDEARGLLEMMPCWVRNAVSWTCVISGYARSGRASEAIEVFQRMLMENVDPDEVTLLAVLSACADLGSLELGERICSYVDHRGMNRAVSLNNAVIDMYAKSGNITKALEVFESVNERNVVTWTTIITGLATHGHGAEALVMFDRMVKAGVKPNDVTFIAILSACSHVGWVDLGNRFFNSMRSKYGINPNIEHYGCMIDLLGRAGKLREAEEVIKSMPFESNAAIWGSLLAASNVHHDIDVGERALYQLIKLEPNNSGNYMLLANLYSNLGRWDESRMMRKMMKGIGVKKLAGESSIEVENRVYKFISGDLSHPQVEKIHELLQEMDLQIQSNEV >scaffold_802182.1 pep chromosome:v.1.0:8:17467393:17471835:-1 gene:scaffold_802182.1 transcript:scaffold_802182.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein [Source:UniProtKB/TrEMBL;Acc:D7MLQ3] MRVVVISFLVSVSLQLSFLLLLASAIRSTSSPPNDPFLGISPQDEKYYKSSSEIKCKDGSKKFTKAQLNDDFCDCPDGTDEPGTSACPNGKFYCRNAGHSSLILFSSRVNDGICDCCDGSDEYDGQVSCQNTCWEAGKAARENLKKKIETYNQGLVVRRQEIEQAKVGLEKDAAELKKLKSEEKILKGLVDQLKDRKEQIEKVEEKERLQKEKEEKEKKEAELAAQQGKGDAEEKTDDNEKVEESSHDEGAPEVSQHDENPDETTHHDEIGNYKDYPSEEEPAAEAEANPTATSILEEATHTHPADEHVVETKEESPSSEDSVTEGSQNDGSTKKEESNEVKKVEDLVTEKKEELSKEELGRLVASRWTGEKSDKPTEADDSPKADDQENHEHTPTTPHEVDEDDGFVSDGDEDTSDDGKYSDHEPEDDSYEEEYRHDSTSSYKSDADDDVDFSESTSNPTWLEKIQKTVKNILQAVNLFQTTPVDKSEADRVRKEYDESSSKLHKIQSRISSLEKKLKQDFGLEKEFYSFHGRCFESKQGKYTYKVCAYKEATQEEGYSKTRLGEWDKFENSYQFMSYTNGDKCWNGPDRSLKVKLRCGLKNELMDVDEPSRCEYAAILSTPARCLEDKLKELQQKLEKLMNQDKPQNHDEL >scaffold_802185.1 pep chromosome:v.1.0:8:17477160:17477641:-1 gene:scaffold_802185.1 transcript:scaffold_802185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLQQSPKLRSLKLNEIHHDCFVSRDPMVHWEEPSSPETLKFVLETFEWRNYRGWKKERDLARFILKHSRRLKIATFSPPDTTQLRTEFRTTFGMKYRMLTELARLPRGSTECELVFG >scaffold_802187.1 pep chromosome:v.1.0:8:17489298:17489634:-1 gene:scaffold_802187.1 transcript:scaffold_802187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYPQRHGCHIGELWEHRDDEPRCVPETLMFGLETLEWRNYRGWNVEKQLATFLLKHSFHLKKAIFSSVDTNLEDENEIFTELALLPRGSTTCQLVFS >scaffold_802188.1 pep chromosome:v.1.0:8:17498090:17498831:-1 gene:scaffold_802188.1 transcript:scaffold_802188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREGLVLQSLYLKLGRRCSYDDIAIWFGIAVKRGLMELKLEYDGCLDPRHSFLPKNLYTCDTLVVLKLKKGYLDVPDLVCLRSLKTLSLKSMIYSNASSLLRLLPNCPVLEDLFIQQCYIHSCALSFKIILPSLKKLSFVPKRKKRWYSMIDPSKTSSGITGLVLDAPSLKYLHIVDRSGGFSVSEIININAVVKATIEVILSQPEKLLHSLVSVEHIRLCLSATEVNLLVS >scaffold_802189.1 pep chromosome:v.1.0:8:17505782:17506424:-1 gene:scaffold_802189.1 transcript:scaffold_802189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISEFGDIRVWIRAADKCSVRRLILEFDSSSSASPAILPRSLYTGCRVFAILYTLQDAYPDGSIFCCLVFLTIGTWESEWLNLLMCVLKDSPNLRALKLEQVHIMRLKLFSFLGLVI >scaffold_802190.1 pep chromosome:v.1.0:8:17516025:17516859:-1 gene:scaffold_802190.1 transcript:scaffold_802190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKIATASVDIYSPQTTELLGSLTSVKRLFLCLPTSEDAYPVGNIFCSLVHLTVCICQTEWLNVLIRVLRDSPNLKTLIIQEATIKSSKSIDHDKKLEMLKDFPVSSRRSPACLIAFFWNLRSEF >scaffold_802191.1 pep chromosome:v.1.0:8:17531188:17531412:1 gene:scaffold_802191.1 transcript:scaffold_802191.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L3U1] MENLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCSVSRSSRRVF >scaffold_802192.1 pep chromosome:v.1.0:8:17536566:17538066:-1 gene:scaffold_802192.1 transcript:scaffold_802192.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MLR5] MVSYRDRLSQLPDDFLLQILSWLPTKDVMVTSLLSKRWRFLWTLVPRLNYDLRLHDNTYPRFSQFVDRSLLLHKAPTLESLNISIGSLCYTAEKDVGVWVRIGVDRFVRELNISYCSGEEPIRLPKCLFTCTTLAVLKLENISLVDASCYVCFQSVKTLHLLDVKYLDDQSLPRIISSCSSLEDLVVQRCPGDNVKVVSITAPCLKTLSLHKSSQAFEGDDDGFLIDAPRLKRVDIEDYWGGFCYIENMPEVVEANVDVIYKNTEKLLGSLTSVKRLALCLITSDAAYPTGTVFSQLVHLELCTCAPRWWDLLTRLFEDSPKLRVLKLRQKHIRRAPSPRACWKQPVSLPKCLLFHLETFKWELYEGSQKQKEVATFILKHAIRLKTAIISPKPTSTLLEKHEMLKELSSSPRGSSTCELLFD >scaffold_802195.1 pep chromosome:v.1.0:8:17550256:17551250:-1 gene:scaffold_802195.1 transcript:scaffold_802195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAPLTNSFRSLKRLTVIDGSSQVPGDDVGFVIKAPSLKSLTIANKFSCCYSLVSMPYLVKAYIKLQHGDSKNFKGLLNSAKHVSLCLQPPMDSCPIGIFNQLVSLNLCTCSLDWCRLILRHAPKLRVLRFELKEVRLFPKQNPPLQRCCISSVDVQAQLEQLSSVPQCLISSLETVEWIGYKGTQAEKKVVMYLLENSPQLKTMAIRSLKSTKDSEKLKMLQELSSTQRSSTKCRLSFT >scaffold_802197.1 pep chromosome:v.1.0:8:17556993:17557932:-1 gene:scaffold_802197.1 transcript:scaffold_802197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGESSASSDAILKASAATEAVEKIYQGQTISPITFQTKFRSHLVLALDQVSVSKIEFFFDFDEHEDSVNQFTLYHDHLVYCSTNLDADGLKLIPLISSDIEFTLFHRFDGIAPEPLKGNTKCVPFPQMFDSSQTMLPAEELKKRFEQEDLVQSTEKQKQQPDGEVSVLLILLIV >scaffold_802202.1 pep chromosome:v.1.0:8:17576431:17576653:1 gene:scaffold_802202.1 transcript:scaffold_802202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPGLASDGYVKVRFLSETIDPEEFHAAKVSLGVLGVISQVTFELQPMFKRSLTGPIIF >scaffold_802205.1 pep chromosome:v.1.0:8:17588714:17589059:1 gene:scaffold_802205.1 transcript:scaffold_802205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRGDTVVSVAHLSAEIFQRFRLIPQSDRISSGEMLQLVCCFPLQQLGRFVLWFWNYICVPPPEILYFDGRRDDDDDGYGSSSSSSNVTHHHNYYHLHLE >scaffold_802207.1 pep chromosome:v.1.0:8:17600168:17600390:1 gene:scaffold_802207.1 transcript:scaffold_802207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKMKLFVTVLVAMIAFSTVQQTVAAVDAPAPSPTSDASSFIPTFFASVAVMAFGFLF >scaffold_802208.1 pep chromosome:v.1.0:8:17605506:17606367:1 gene:scaffold_802208.1 transcript:scaffold_802208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKPNQEKNVKTITADGRRVGIKKALVFYAGKAPKGTKTNWIMHEYRLIEHSRSHGSSKKTSGSQRQAVTTPVQACREEHSTNGSSSSSSSQLDDVLDSFPEIKDQSFNLPRMNSLIYNEVKKKIKEKIKNI >scaffold_802211.1 pep chromosome:v.1.0:8:17610503:17611189:1 gene:scaffold_802211.1 transcript:scaffold_802211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENQQICDAYQEEPVDGHSIDVEASLDLMGTMEQDLQKEIGNADLVMIDDQEKDHEKETEMGTKEKDGDEEAKSEKPKKKKKIKERQDSS >scaffold_802213.1 pep chromosome:v.1.0:8:17615882:17617524:1 gene:scaffold_802213.1 transcript:scaffold_802213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLEQEEELTGNLKQLNQDNDDKTYPKTSHVGFGFVKPKDGKPFRTRDSDVVPLSYLLDDAKTRSRDVLIECGKLVLNHADERALGLHSLRFSETVEEVFTNLLPSVLCKYLFNLSEYYKFYTNFQVTGSEQETSRLLLCKATAIVMRKCFHLL >scaffold_802218.1 pep chromosome:v.1.0:8:17628752:17630693:1 gene:scaffold_802218.1 transcript:scaffold_802218.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 17 protein [Source:UniProtKB/TrEMBL;Acc:D7MLU0] MARDFKLIFSISLLLLLLDCCYGGKVGVCYGRSADDLPTPAKVVQLIQQHNIKYVRIYDYNSQVLKAFGNTSIELMIGVPNSDLNAFSQSQSNVDTWLKNSVLPYYPTTKITYITVGAESTDDPHINASSFVVPAMQNVLTALRKVGLSRRIKVSTTLSLGILSRSFPPSAGAFNSSYAYFLRPMLEFLAENNSPFMIDLYPYYAYRDSPNNVSLDYVLFESSSEVIDPNTGLLYKNMFDAQVDALYYALTALNFRTIKIMVTETGWPTKGSPKEKAAASPDNAETYNSNIIHHVVTNQGTPAKPGEAMNVYIFSLFNENRKAGLDSERNWGLFYPDQTSVYQLDFTGKSNGFHSNSSGTNSSGSSNSWCIASSKASERDLKGALDWACGPGNVDCTAIQPSQPCFQPDTLVSHASFVFNSYFQQNRATDVACSFGGAGVKVNKDPSYDKCIYITAGGNKTKATNATALTSSASTTSGNEPLRWILKLCLMISLFFSLHTMNSQAL >scaffold_802219.1 pep chromosome:v.1.0:8:17631124:17632095:-1 gene:scaffold_802219.1 transcript:scaffold_802219.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin [Source:UniProtKB/TrEMBL;Acc:D7MLU1] MSWQTYVDDHLMCDVEGNRLTAAAILGQDGSVWAQSSNFPQLKPEEIQGIKDDFTTPGTLAPTGLFLGGNKYMVIQGEPNAVIRGKKGAGGVTIKKTTQALVFGIYEEPMAPGQCNMVVERLGDYLIESGL >scaffold_802225.1 pep chromosome:v.1.0:8:17654079:17654638:-1 gene:scaffold_802225.1 transcript:scaffold_802225.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S30 family protein [Source:Projected from Arabidopsis thaliana (AT5G56670) TAIR;Acc:AT5G56670] MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRLQHNRRFVTAVVGFGKKRGPNSSEK >scaffold_802226.1 pep chromosome:v.1.0:8:17656603:17656867:-1 gene:scaffold_802226.1 transcript:scaffold_802226.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLU8] MNGASPAHTLVSTTTVASGQWKQWRRRWFRRFPFFTGYSLYARAKRRNYAWSVLTLSPFCFMISNLRVSNSSLN >scaffold_802234.1 pep chromosome:v.1.0:8:17695768:17696668:-1 gene:scaffold_802234.1 transcript:scaffold_802234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGLLSKMATLKTNHIRHTISGMQKITTIMPVISQVLNNRLIGREFSSVAVVPTMFKREDYKRTIYDAIFSDWKILTRPNDWNDFKNGKEGVRRYRHEDLPPIYRTGLYELGVAVIGQDDLGQKFDPDNVVPTYLGQSVDMKSRLQDYGRCGGHLPASLFEDLSSKEFCILFRYAMMRSKWEAAAIEGMILSTIDYPWNC >scaffold_802235.1 pep chromosome:v.1.0:8:17696995:17698985:-1 gene:scaffold_802235.1 transcript:scaffold_802235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGDGGSFAVVPTVFKRENYKRTKHDAVFSKWQVLIGSNDWEDFQTGKDGVGRYRVHNLPRKSCPGLYELGVAVIGQDQGRKLESDDVLAAYLGQAESVRSRLQRYGRSGAHLRNVNNLNDCETIESPVKKAVTGGLFEDIFSKQGSILYRWAPMRSKREAEATEGMLLSTFDYAWNKGSNGERRQLDLLKKLGDREFMSKRKSGISRVLFPFLRNQVGIRIKGEKHLLKEERKLSCDVDEEKSNNFFTSILKLTRSRPQPVSDRFEEVDGSSSDSVCGVLLENGGCCNRSPVKGRKRCIDHKGQRVCRVSPEKQTPPQPEIFTGQDHHTHKDSDVMCGVILPDMEPCNKRPVPGRKRCEDHRGMRINAFLFLLNQTDREKTVRDEISNPESHTESSEQEASTRFCEATTKNGLPCTRSSPKGSKRCWQHKEKTSCKQSPENVQPGAEKLVACGVKLCNGLICERSPVKGRKRCEEHKGMRIIT >scaffold_802237.1 pep chromosome:v.1.0:8:17704810:17705206:1 gene:scaffold_802237.1 transcript:scaffold_802237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKRKVKKCNPTEKLYVSLATYCLLNTVLFLQARDTRLKMIEEMSEETKEAFQNMKFYKFYPQLSPDVPRFKTSPCINRYYGNAHQVL >scaffold_802238.1 pep chromosome:v.1.0:8:17710255:17710463:1 gene:scaffold_802238.1 transcript:scaffold_802238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELVEANLQTLSRHENVLKSLNSIRRLSLCLDVEVKLFSIDSLMWFSNTCKL >scaffold_802240.1 pep chromosome:v.1.0:8:17726344:17727795:1 gene:scaffold_802240.1 transcript:scaffold_802240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7MM75] MGRRCSHCGNVGHNSRTCSSYHTRVIRLFGVHLDTTSSSPPPPPPPSILAAAMKKSFSMDCLPACSSSSSSFAGYLSDGLAHKTPDRKKGVPWTEEEHRTFLVGLEKLGKGDWRGISRNYVVTKSPTQVASHAQKYFLRQTTTLHHKRRRTSLFDMVSAGNVEENSTIKSICNDHIGSTSKVVWKQGLLNPRLGYPDPKVTVSGSGNSGGGLDLELKLASIQSPESNIRPISVT >scaffold_802250.1 pep chromosome:v.1.0:8:17786953:17787879:1 gene:scaffold_802250.1 transcript:scaffold_802250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVLMEVNCRRPQKIPKLDKDCQGSKSSSKQRVVKWGKGEDDEYLRQYLLFHYQFEKTQGFSIKWEQFDYLFRSRPMDNSTDQISETQSNAELIREMTLTAIEKHNEAHGTKLVFVEHVEANYQFTSGLTCWLTFWATDMASSPPESKIYQANVWRRGTRFHTFIFRVKPTDEEIESVEVQPPSPMRYEFDKPPIVFSRPGPEDEALPGVPFVFNRTGAGLDPDRFTPSWS >scaffold_802252.1 pep chromosome:v.1.0:8:17793282:17795879:-1 gene:scaffold_802252.1 transcript:scaffold_802252.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1789 [Source:UniProtKB/TrEMBL;Acc:D7MM85] MESSVAPFPHRRTHLSNRTYRSLLHHLCSSFDREPRVSLATPAVLHELVLRTEIAPVSPGETCKPPENLSMVESKSNGVSVDLRGENSQEKSLMLGDVFDGIDLQDASVGIRHTDFFDSFELMINENPDFVPESCVNLFEALDVNDYDGDGMVASLDNIAKQPLDFVQNVVEKPNISTESDKKVEEVPKSAESNEVKSSGFLEACDETVQREMELEKPVDSTPVLVDSVSRIVEDDDVEEGEISGDDNDDMLVEDDEPVERHEECQVSRDGIGNSHLTSHESFGKELGVEMDPGTSIKKRSAPSKDAKARKRAKARKKRAKERIALGVKKLKLKPVAPKPKPIKYCRHYLKGRCHEGDKCKFSHDTTPETKSSACCYFATQSCMKGDDCPFDHDLSKYPCNNFIIKGFCHRGDSCLFSHKGTPQSASDTPSANVTASSTNITAASFSPQKTNKQSVREAIAKLPGIQARVSSSVGFLKPLSQSNHRNPSDALSPKINEPVTHPQVLPLRKPSVAPKGMSFLSLDKTFQEDTVKVSPASKRNTDNSDNQTLKQSQLGSSLPLVPPKGISFLSFASEEPKTLNREPQEPSSSRNLKTTPSSHIQSSLLSAMKLAAEFESAKVERRNNDPTEAVNKSNVTTVNTTVTRNSGNISSKILEFLSSFSQGKN >scaffold_802253.1 pep chromosome:v.1.0:8:17796159:17797549:1 gene:scaffold_802253.1 transcript:scaffold_802253.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S16 family protein [Source:UniProtKB/TrEMBL;Acc:D7MM86] MVVRIRLSRFGCKNRPFFRVMAADSRSPRDGKHLEVLGYFNPLPGQDGGKRMGLKFDRIKYWLSVGAQPSDPVQRLLFRSGLLPPPPMVAMGRKGGARDTRPVDPMTGRYVDAENKTVNASDNQPKEEDTEDKSA >scaffold_802256.1 pep chromosome:v.1.0:8:17813480:17817268:-1 gene:scaffold_802256.1 transcript:scaffold_802256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYKFLSQVHLILITILIIVTLLTPITTNTSPQPWNILSRNDFAGKLTTASSSVESAAIDFGHVTKIFPSAVLNPSSVEDITDLIKLSYDSQSSFPLAARGHGHSHRGQASAKDGVVVNMRSMVNRDRGIKVSRTGLYVDVDAAWLWIEVLNKTLELGLTPVSWTDYLYLTVGGTLSNGGISGQTFRYGPQIANVLEMDVITGKGEIATCSKDINSDLFFAVLGGLGQFGILTRARIKLEVAPKRAKWLRFLYIDFSEFTRDQERLISKTDGVDFLEGSVMVDHGPPDNWRSTYYPPSDHLRIASMVKRHRVIYCLEVVKYYDETSQYTVNEEMEELSESLNYVRGFMYEKDVTYMDFLNRVRTGELNLKSKGQWDVPHPWLNLFVPKSQISKFDDGVFKGIILRNNITTGPVLVYPMNRNKWNDRMSAAIPEEDVFYAVGFLRSAGFDNWEAYDQENMEILKFCEDGNMGVIQYLPYHSSQEGWVRHFGPRWDIFVKRKYKYDPKMILSPGQNIFQ >scaffold_802259.1 pep chromosome:v.1.0:8:17840175:17842263:1 gene:scaffold_802259.1 transcript:scaffold_802259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRSNRKGGGGNGEEKKNRFTAEEKGKGKMPSKSSKEELWELPPSWDWRDYPGVIGPVMNQKLQAICWAIALVRAVTALLNINLPHENQIVDLSIQHAVNKVHYNKDDGIQNMKRAFSFATGEGFCTASQCTPNTRDNNVFKKLVCRHPDNIHYIKVDEFEYLTNVNDEELQAIVVQQPVIGILRNTNDEFLAIGSGIYRSPSGDVDVNFHQVLIIGYGYDNGKPYWIIQNSYGEGWGNGGFGYVYRRIKSGQGSEFFAVAYPKIRGFPRKPR >scaffold_802260.1 pep chromosome:v.1.0:8:17842945:17844325:-1 gene:scaffold_802260.1 transcript:scaffold_802260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGLTEYFSPPKKPKLDKASGESSSGSTYRKLRKYDPYDDEYIRQYILYYYQFHKSEGFVIDWDNFDYWFSNIPVIEPYPLSQYRTNAEVIRDVVCGAISQHNADTGTRLVFVDHVSASYRWCAGMLYWITFWARDLASSSPEPKLYQTNVLWCGPKVCEIQIFRPKPTDEEIAAVQVDPPPPLYDDVPELPTILFTVTGPGSGYMSIPEVSFTRIPAEVEPASSSKSTP >scaffold_802263.1 pep chromosome:v.1.0:8:17854709:17857415:1 gene:scaffold_802263.1 transcript:scaffold_802263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKYRLGRKIGSGSFGEIYLGTHIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGVPNVKWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFFHSKSFLHRDLKPDNFLMGLGRRANQVYIIDFGLAKKYRDNTTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYILMYFLKGSLPWQGLKAGTKKQKYERISEKKVSTSIESLCRGYPSEFASYFHYCRSLRFDDKPDYGYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLTAPPSRGLVSPAVGTSSGLPPGLTSVDRYAGEEEGGRPPMDSSRRRMSGALENSGNLSSRGPMMPSSSLFAQSAGSSRRVTSEELQRCRTGAGLRNYPVVTTPEGKRSSSTRKHYDSAIKGIETLQVSDERFHHH >scaffold_802265.1 pep chromosome:v.1.0:8:17860234:17862755:1 gene:scaffold_802265.1 transcript:scaffold_802265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVGARNFAAMAVSTFPSWSSRRKFPVVNRYSFRNIRFGLCSVRASGGGSSGSESCVVVREDFADEEDFVKAGGSEILFVQMQQNKDMDEQSKLVDKLPPISIGDGALDLVVIGCGPAGLALAAESAKLGLKVGLIGPDLPFTNNYGVWEDEFNDLGLQKCIEHVWRDTIVYLDDDNPITIGRAYGRVSRRLLHEELLRRCVESGVSYLSSKVDSITEASDGLRLVACDDNNVIPCRLATVASGAASGKLLQYEVGGPRVCVQTAYGVEVEVENSPYDPEQMVFMDYRDYTNEKVRSLEAEYPTFLYAMPMTKTRLFFEETCLASKDVMPFDLLKKKLMLRLDTLGIRILKTYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPKYASVIAEILEQETQTTSFTKQINSNISRQAWDTLWPPERKRQRAFFLFGLALIVQFDTEGIRSFFRTFFRLPKWMWQGFLGSTLTSGDLVLFALYMFIISPNNLRKGLINHLISDPTGATMIKTYLKV >scaffold_802270.1 pep chromosome:v.1.0:8:17887957:17891545:1 gene:scaffold_802270.1 transcript:scaffold_802270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:D7MMA3] MITGKDMYDVLAAMVPLYVAMILAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMNYHFLAADSLQKVVILAALFLWQAFSRRGSLEWMITLFSLSTLPNTLVMGIPLLRAMYGDFSGNLMVQIVVLQSIIWYTLMLFLFEFRGAKLLISEQFPETAGSITSFRVDSDVISLNGREPLQTDAEIGDDGKLHVVVRRSSAASSMISSFNKSHGGGLNSSMITPRASNLTGVEIYSVQSSREPTPRASSFNQTDFYAMFNASKAPSPRHGYTNSYGGAGAGPGGDVYSLQSSKGVTPRTSNFDEEVMKTAKKAGRGGRSMSGELYNNNSVPSYPPPNPMFTGSTSGASGVKKKESGGGGSGGGGGGVGGQNKEMNMFVWSSSASPVSEANARNAMTRGSSTDVSTDPKVSIPSHDNLASKAMQNLIENMSPGRKGHVEMDQDGNNEGKSPYMGKKGSDVEDGGPGPRKQQMPPASVMTRLILIMVWRKLIRNPNTYSSLFGLAWSLVSFKWNIKMPTIMSGSISILSDAGLGMAMFSLGLFMALQPKIIACGKSVAVFAMAVRFLTGPAVIAATSIAIGIRGDLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLVALPVTVLYYVLLGL >scaffold_802271.1 pep chromosome:v.1.0:8:17893540:17896678:-1 gene:scaffold_802271.1 transcript:scaffold_802271.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta [Source:UniProtKB/TrEMBL;Acc:D7MMA4] MEKSCTLLIYYDKGTPAIANEIKEALEGNDVSAKIDALKNAIMLLLNGETLPQLFITIIRYVLTSEDHTVQKLLLLFLETIEKTDSKGKVLPEMILICQNLRNNLQHPNEYIRGVTLRFLSRLRETEVMEPLIPSVLQNLEHRHPFVRRNAILAIMSIYKLPQGDQLLIDAPETIEKVLATEQDPSAKRNAFLMLFNCDQDRAVNYLLTNVDRVSEWNELLQMVVLELIKKVCRTKPSEKGKYIKIIIALLSAPSSAVTYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLIVLDRLNELKASHREIMVEMIMDVLRVVQTLKKEVVKTQSGELEKNGDYRQMLVQAIHACAVKFPEVASAVVHLLMDFLGDTNVASALDVAVFVREIIESNPKLRVSIITRLLDTFYQIRAAKVFPFTLWIVGEYCLSLSEVESGIATIKQCLGEMPFYSASEEAESNDSSNKTPQNTSAIVSSRRPAILSDGTYATQSAASETVFSPSTVAQGSLASGNLRSLILTGDFFLGAVVACTLTKLVLRLEDVQSSKTEINKVKTQILLIMVCMLQLGQSHVVPHSIDPDSYERIVLCIKLICHTSDEMKKIWLESCRQSFVKMLSEKQLRELEELKAKAQISNAQPDDLIDFFHLKSRKGMSLLELEDQVQDDLKRATGEFTKDENDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTIINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESKKKIKANIKVSSTETGVIFGNIVYETSNVMERNVVVLNDIHIDIMDYISPAVCSDSAFRSMWAEFEWENKVAVNTMIQDEKEFLDHIINSTNMKCLTPTSVLEGECGFLSANLYAKSVFGEDALVNVSIEKQSDEKLSGYIRIRSKTQGIALSLGDKITLKQKGKSS >scaffold_802272.1 pep chromosome:v.1.0:8:17897748:17899744:-1 gene:scaffold_802272.1 transcript:scaffold_802272.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g57100 [Source:UniProtKB/TrEMBL;Acc:D7MMA5] MLLTPKMFSSWLRKDVKKILKRKDSDAGERGKALEDLRASLFNRFRSPETPKRQQQQQHRICGPTLALTFNFIVAISIIFVNKWVLKNIGFEFPVFLTFIHYIVAYLLMALLKSFSLLPASPPSTKSSSLPLYTLGIVMSLSTGLANVSLKYNSVGFYQMAKIAVTPSIVFAEFLWYRKRVSFMKVVALTVVSVGVAVATVTDLQFSLFGACVAFAWIIPSATNKILWSNMQQRENWTALALMWKTTPITLLFLVSMIPFLDPPGALSFNWSYANTSAILVSALLGFFLQWSGALALGATSAITHVVLGQFKTCVLLLGNYYIFGSNSGLISVCGAFVAIMGTSLYTYLNTRGPSLKASSSSSALSDKKSRFSDLKDDDKNLEPYGSEAV >scaffold_802279.1 pep chromosome:v.1.0:8:17937615:17939022:1 gene:scaffold_802279.1 transcript:scaffold_802279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKYSYGLPYGSSNESSRSVPLIDSLGLKQNQRVTNSIAKFRRQNSCTIEFDLGGNEYEKGEKAENDKGNETITLDLGRSLFRSDSLTETKKKISDLVKVLEESLPWQTVTMRLIAESLMDCVTKKKDGWIMIEGRDTVAKRRVARTVSESVFGSIKSLVHIDLKKKGNESNTSPETLLSCELKNPEKVVFLIEDIDLADSRFLKLLADRFEERRRSKTGFDHHQAIFILTKEDSSNARNRDSVLQIGLEITAQSPGKKRKPESDLSVREGKNSKAGEIENGFWIKREVCSRQSSFNSSYLDLNIKAEEEEGEISPISSDLTGEEETEFSSSNFLNRIQNRFVLNRSCEPGIEKAMITAAFREVFPEKEGGGVRFSVEEKLAEELCGVQNGAFESWLKEVFQTGLLTVKKGGKKDTGVIRMVFGGIVDNKGYGGGVGGYMGTFLPNKVQVSKFE >scaffold_802284.1 pep chromosome:v.1.0:8:17954721:17955729:-1 gene:scaffold_802284.1 transcript:scaffold_802284.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Macrophage migration inhibitory factor family protein [Source:UniProtKB/TrEMBL;Acc:D7MMS4] MPTLNLFTNIPVDAVTCSDILKDATKAVAKIIGKPESYVMILLNSGVPIAFAGTEEPAAYGELISIGGLGPGVNGRLSETISEILQIKLSIDSSRFYIKFYDSPRPFFGFNGSTF >scaffold_802287.1 pep chromosome:v.1.0:8:17968891:17970097:-1 gene:scaffold_802287.1 transcript:scaffold_802287.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMS7] MENEESVFDAWSSSSEEFEHEALLEPTKLAAVKLDADISETEIKSFFSNIAEPGVDIYETRDENDCVVGSVAVMTFSSLEQTQALLYISVLMLAMKLKGEKMRGKPVYLTRWSSSLFTACVVGFDPTLSDNDLKQRFSEALGSIGTADKPESVGILIKTFIPRSTSNGASLGMPFEKVIGHGLLIAAGTVEDGLQVLEFQDSFGLEHGAQGFIKLAFFKYLLKEVYEF >scaffold_802289.1 pep chromosome:v.1.0:8:17979540:17981861:-1 gene:scaffold_802289.1 transcript:scaffold_802289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLLKCSTSTDRAPDDLEQQVILKKQKKVEEYKCIFEDEDAHVYDPRTILVQGLDCTLPVADIRSALRKHFGSCGLITRVFVPTECKTGSLLGCALINYFHPNDEKKALALDGSFLGGMQLEVLLEGNSSGSSIFPNFKRCERCVFLQRKRFHDLYITTNGGLRMNNGRLIFRRLLRNLSSISEFVRLISGDQSAVDESTRRLESDTIDIVNEPCGEREDGNGINRENANDGDGMDCLENDGIDNVNAAEEEHTMSAQELEHEPSLDKGDKMVARELKYYLLES >scaffold_802301.1 pep chromosome:v.1.0:8:18029595:18030432:-1 gene:scaffold_802301.1 transcript:scaffold_802301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTFVCKSKGGEWTAKQHEGDLEASASSTYDLQRKLVQTALSADSSGGVQSSFSLVSPTSAVFQVIIGGGGGGGFAAGGGAAAGGGGGGESAAATKEEEKKKEESEEEEGDFGFDLFG >scaffold_802303.1 pep chromosome:v.1.0:8:18034547:18034773:1 gene:scaffold_802303.1 transcript:scaffold_802303.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MMU3] MRVSETRIPLPRKPPFKATVMAGIVWFRDGERRNRRRIDEREVSSPATAAEVICAVRRRTR >scaffold_802305.1 pep chromosome:v.1.0:8:18041438:18043777:1 gene:scaffold_802305.1 transcript:scaffold_802305.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase family protein [Source:UniProtKB/TrEMBL;Acc:D7MN90] MATERHHFELAKGINGLDKIVLRESRGRSAEVYLYGSHVTSWKNENGEELLHLSSKAIFKPPKPIRGGIPLCFPQFSNFGTLESHGFARNRIWEVEANPPPLPLNSCSSAFVDLILRPTEEDLKIWPHNFEFRLRVALGTEGELTLTSRIRNTNSDGKPFTFTFAYHTYFSVSDINEVRVEGLETLDYLDNLKNRERFTEQGDAITFESEVDKIYLSTPTKIAILDHEKKRTFVIRKDGLADAVVWNPWDKKSKTISDLGDEDYKQMLCVEAAAIERPITLKPGEEWKGRLELSAVPSSYSSGQLDPKKVLE >scaffold_802306.1 pep chromosome:v.1.0:8:18047451:18047751:1 gene:scaffold_802306.1 transcript:scaffold_802306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLNMDGKKKKKSKVKRSSFVEEEDDNKYHHWLDRKEKREIGGYGEGKGRGGGVRADSSTRIGVGATAAGTTKFPWSNMRFVGL >scaffold_802307.1 pep chromosome:v.1.0:8:18053848:18055232:1 gene:scaffold_802307.1 transcript:scaffold_802307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIIERITTNPRGLKSVDHSGFIRFVVNGRQESSKETLTDEKEEEEEEGVFILRSMDRFLEKQNAESIRSTMQAQEDIFKQQVRELHRVYNTQKMMMNQLKHRRQYWTVNNKDQTGSRERTGSCSGIDLENVVRATQTTTDHIEESELELTLSIGLSSSSTTMTTTTNKDMDYSSTTSMRSSSDNCNNQSNNNNNNSNNNQESSGPNTPMSSSSTTSLDREKKRPHWLFQGLSINRTSS >scaffold_802310.1 pep chromosome:v.1.0:8:18069608:18072413:1 gene:scaffold_802310.1 transcript:scaffold_802310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSGSDLSADDASSVSDDEEGGLFPGGGPIPFPVGNLLHTAPCGFVVTDAVEPDHPIIYVNTVFEMVTGYRAEEVLGGNCRFLQCRGPFAKRRHPLVDSMVVSEIRKCIDEGIEFQGELLNFRKDGSPLMNRLRLTPIYGDDDTITHIIGIQFFIETDIDLGPVLGSSTKEKSIDGIYSALAAGERNVSRGMCGLFQLSDEVVSMKILSRLTPRDVASVSSVCRRLYVLTKNEDLWRRVCQNAWGSETTRVLETVPGAKRLGWGRLARELTTLEAAAWRKLTVGGSVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSDYPEWQHVKVSSPPPGRWGHTLSCVNGSNLVVFGGCGQQGLLNDVFVLNLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSIEKPVWREIPAAWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEEPCWRCLTGSGMPGAGNPGGVAPPPRLDHVAVNLPGGRILIFGGSVAGLHSASQLYLLDPTEDKPTWRILNIPGRPPRFAWGHGTCVVGGTRAIVLGGQTGQEWMLSELHELSLASYLT >scaffold_802320.1 pep chromosome:v.1.0:8:18110504:18110837:-1 gene:scaffold_802320.1 transcript:scaffold_802320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVVEKAEMTPADVSEALIKNRRDKEKAIRELLEDLKSRGERNVKDGKLRGGSGILTELEVVEEQEKRAIDSQNEDEDHDEEEIELEDNICKTRED >scaffold_802327.1 pep chromosome:v.1.0:8:18147932:18149186:-1 gene:scaffold_802327.1 transcript:scaffold_802327.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MNA5] MKSSPRSEGRKFIIPSFFFIIALCVLAFINEIRFDSLLSFGRCALSNVPMNNGSSETPLLSSSSVDDEIRILIGILTLPDQYPRRHFLRMIYGTQNVPDGVKVDVKFVFCNLTKEDQKVLVALEIMRYEDIIILNCNENMNKGKTYTYFSSLPDIFNETDAPNPPYHYVMKADDDTYIRLESLVASLRPLAREDLYYGYVIPCPSMDPFVHYMSGMGYLVSWDIAVWLKDSEIPKKHLEGPEDKVFGDWIREGRRGKNRFNAKWSMYNFPEPPTRCTHELWPDTIAVHLLKNQEKWIRTLNYFNVTSNLKPSKLYHIP >scaffold_802328.1 pep chromosome:v.1.0:8:18151201:18151633:-1 gene:scaffold_802328.1 transcript:scaffold_802328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKDRSLLNRLRQAVNKVRFVLSFKINSLWDLVPMLGSSSSSSSLRLSFNDRPGLTAAFAENEPDLNGSSRGALYRTVSYDQSSDEDIDNKAEMFIANFYRQLKIERQISLELKYFQGNNQSFNYRSP >scaffold_802331.1 pep chromosome:v.1.0:8:18168862:18169837:-1 gene:scaffold_802331.1 transcript:scaffold_802331.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:D7MNA9] MSITYLLPLFISLIISSVSANFQRDVEITWGDGRGQIKNNGELLTLSLDKSSGSGFQSKNEYLFGKIAMQMKLVPGNSAGTVTTLYLKSPGTTWDEIDFEFLGNSSGDPYTLHTNVYTQGKGDKEQQFKLWFDPTADFHTYTILWNPQRIIFTVDGTPIREFKNMESLGTLFPKNKPMRMYSSLWNADDWATRGGLVKTDWSKAPFTASYRGFKQEACVWSNGKSSCPNASKQGTTGSWLSQELDSTAQQRMRWVQRNYMIYNYCTDAKRFPQGLPKECLAA >scaffold_802334.1 pep chromosome:v.1.0:8:18176803:18178086:-1 gene:scaffold_802334.1 transcript:scaffold_802334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLSRVLTLSGRRDNARFFSSLPQFPYLLMGGKKLGESPEGKIRKHRLFDPTTEETVYSKEKTIPKKLNGQPLVGASQGWIASLENKDLTVHITDVYKPWLSSSKVISLPSIGFKPSTHATRVSFSSSDPVQDDFSVAAKFDEYRLSVCRPYWDSKWTHIETAYSLLPASDLMYSKRDKVFYFTSFKGNYMASLDLTNNFEPEYQHLRLRNLPKIPEVGWEMLDKCFMTHHLVESPSGELFFIKWYTQCIHKEDKDGDLEFIHSNTKRFMIFRQDGMSKDFCYTEDIGDLCIFLSKSEAFCLSASMYPRLKPNSIYYIGPRLGSYNLASGTDRPFTFDRLGDPSLVRTPFWLHPTDPIA >scaffold_802336.1 pep chromosome:v.1.0:8:18184089:18184557:-1 gene:scaffold_802336.1 transcript:scaffold_802336.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNB4] MRRISQAVSSAAKATVSRAKARPVASTTSQWYSTVPPPKDTEEGEEEEAEEEETKMIPFPSHIPGGLVVKTKKKKGPETSTGKNKQDKSSSGQDYGQGQGTNGAGKPDYGGGCSGCPGCSRCGCPGCDGGCKFCFGCDSCKY >scaffold_802340.1 pep chromosome:v.1.0:8:18228501:18230179:1 gene:scaffold_802340.1 transcript:scaffold_802340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDVKLKDYIDKYGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDSIILSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGRQKQMNRQDSITDSTENNLNNNNHNKSPQNLSNSALERLQLHMQLQNLQSPFSSFYNNPILWPKLHPLLQSTTTTPNQNPKLASQESFHPLGVNVDHQHNNMKLAEINNGVSSLYSENVEQSQNPAHEFQPNFGFSQDLRIDNHNMDLMNRGVSKELFQVGNEFELTNSSSWWSEEVEVERKTTSSSSWGSASVLDQTTEGMVMLQDYAQMSYHSV >scaffold_802342.1 pep chromosome:v.1.0:8:18238179:18240284:-1 gene:scaffold_802342.1 transcript:scaffold_802342.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:D7MNC1] MGLFGTKKIGKYEIGRTIGEGNFAKVKLGYDTTNGTYVAVKIIDKALVIQKGLESQVKREIRTMKLLNHPNIVQIHEVIGTKTKICIVMEYVAGGQLSDRLGRQKMKESDARKLFQQLIDAVDYCHNRGVYHRDLKPQNLLLDSKGNLKVSDFGLSAVPKSGDMLSTACGSPCYIAPELIMNKGYSGAAVDVWSCGVILFELLAGYPPFDDHTLPVLYKKILRADYKFPPGFTKEQKRLIFNILDPNPLRRMTIAEIIIQDSWFKIDYAPAYHQVSDSIKENVAEINAATASSNFINAFQIIAMSSDLDLSGLFEENDDKRYKTRIGSKNTAQETIKKIEAAATDVSLSVERIKHYKVKIQPKEIRSRSSYDLLSAEVIEVTPTNCVIEISKSAGELRLYMEFCQSLSSLLTAEVS >scaffold_802343.1 pep chromosome:v.1.0:8:18244455:18247263:1 gene:scaffold_802343.1 transcript:scaffold_802343.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylose isomerase [Source:UniProtKB/TrEMBL;Acc:D7MNC2] MKKVDFFMLLLCLIAASFLVSADPPTCPADLGGKCSDSDDWQGDFFPEIPKIKYEGPSSKNPLAYRWYNAEEEILGKKMKDWFRFSVAFWHTFRGTGGDPFGAATKYWPWEDGTNSVSMAKRRMRANFEFLKKLGVDWWCFHDRDIAPDGTTLEESNKNLDEVIELAKELQKGSKIRPLWGTAQLFLHPRYMHGGATSSEVGVYAYAAAQVKKAMEVTHYLGGENYVFWGGREGYQTLLNTDMGRELDHLARFFEAAVAYKKKIGFKGTLLIEPKPQEPTKHQYDWDAATAANFLRKYGLIDEFKLNIECNHATLSGHTCHHELETARLNGLLGNIDANTGDAQTGWDTDQFLTDVGEATMVMMSVIKNGGIAPGGFNFDAKLRRESTDVEDLFIAHISGMDTMARGLRNAVKILEEGSLNELVRKRYATWDSELGKQIEEGKADFEYLEKKAKEFGEPKVSSAKQELAEMIFQSAM >scaffold_802352.1 pep chromosome:v.1.0:8:18303987:18304587:1 gene:scaffold_802352.1 transcript:scaffold_802352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEQNSILIKFLNSVASGSPKPNTKKNSSLLCACFTSNGNITFREQVSASVFLGTRQGHYVLVIRAHDSSGRGSTLVTPPSSPAVNDGGGGKLAVGSVIGSMIGAFLLRLLVVAMVVKGKKKTMREEMERRAYEEEALPVSMVGHVRANPNASRTRTVPRLDNTRYSKSVTSNNNRKLGRSSFLI >scaffold_802353.1 pep chromosome:v.1.0:8:18307643:18310084:-1 gene:scaffold_802353.1 transcript:scaffold_802353.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XBAT32 [Source:UniProtKB/TrEMBL;Acc:D7MNV2] MRFLSLVGNSFGCSASGERLVSAARDGDLQEAKALLDYNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLVESGVDINLRNYRGQTALMQACQHGHWEVVLILILFGANIHRSDYLNGGTALHLAALNGHPRCIRILLSEYIPSVPNCWSLLKNKKSSVAGFDPSVLHEVINRAADGGITPLHVAALNGHIETVQLLLDLGASVTQVTVEDGTTIDLIGAGSTALHYASCGGNTQCCQLLISKGACLAAVNSNGWTPLMVARSWHRNWLEEILNPTTEQPQLHLPNVPSPFLCLPLMSIVKIAQECGWRENDCLTPCRDPCAVCLERKCTVAADGCAHEFCTNCALYLSTTNITSSKTSQATPGSVPCPLCRNGIVSFTKLPHTITATTTTSSRTSISLSFCTCSSDVLDTALLTNPHYSCRPVVSRTGTRIPQSARSSSFRSLSCRRFPPSLCLGGSDVDEPQSRLMGGSYSRSGVGFRRSTSQVEGKRSWFSALNHCVTTGGSAC >scaffold_802354.1 pep chromosome:v.1.0:8:18312311:18315807:-1 gene:scaffold_802354.1 transcript:scaffold_802354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCLAAVTQRKGLHLSRAKMTFCAASVTNHSIKIEGKPEDVLNPKKKQLEKITPGLYTDENGVATYKGIPFMTSAGPSALNGHIETVQLLLDLGASVTQVTVEDGTTIDLIGAGSTALHYASCGGNTQCCQLLISKGACLAAVNSNGWTPLMVARSWHRNWLEEILNPTTEQPQLHLPNVPSPFLCLPLMSIVKIAQECGWRENDCLTPCRDPCAVCLERKCTVAADGCAHEFCTNCALYLSTTNITSSKTSQATPGSVPCPLCRNGIVSFTKLPHTITATTTTSSRTSISLSFCTCSSDVLDTALLTNPHYSCRPVVSRTGTRIPQSARSSSFRSLSCRRFPPSLCLGGSDVDEPQSRLMGGSYSRSGVGLRRSTSQSVSCSSHTKKGSPCIEGEDDILRSKRHESLYQAS >scaffold_802355.1 pep chromosome:v.1.0:8:18320705:18320981:1 gene:scaffold_802355.1 transcript:scaffold_802355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVKCKKFTHRSLKGRKEKPKFRETCMQRNLGILRRIVPGCEEIEDEEALFLKSIQHLLLLKSQVTLLRNLADVCGV >scaffold_802359.1 pep chromosome:v.1.0:8:18331623:18331890:-1 gene:scaffold_802359.1 transcript:scaffold_802359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRALNIRRRKRVMVNVSSRKLMTRLRRMVAPETSFSDEVDGATLYRLTADHIFLLQARIQLLRRISSVCGL >scaffold_802363.1 pep chromosome:v.1.0:8:18350698:18351819:1 gene:scaffold_802363.1 transcript:scaffold_802363.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit [Source:UniProtKB/TrEMBL;Acc:D7MNW1] MEDEIELKTAPADFRFPTTNQTRHCFTRYIEFHRCTTAKGEASNDCERFAKYYRALCPGEWVEKWNEQRESGTFPGPL >scaffold_802366.1 pep chromosome:v.1.0:8:18355544:18355754:1 gene:scaffold_802366.1 transcript:scaffold_802366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIPDIKLIRTDTTLDLSQKAEKGMNCLITRPCYLYYCLLLKDILSYRCGTRGK >scaffold_802367.1 pep chromosome:v.1.0:8:18355758:18357708:-1 gene:scaffold_802367.1 transcript:scaffold_802367.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MNW5] MKIQVKQATIVKPAEETPTHNLWLSNLDLIQVRFHMGTLYFYNPCSSSDRPNTQSLIDALSKVLVLFYPAAGRLQKDTNGRLEVQCNGEGVLFVEAETDSTVQDIGLLTQSLDLSQLVPTVDYAGDISSYPLLLFQVTYFKCGTICVGSSIHHTFGEATSLGYIMEAWSLTARGLPVKLTPFFDRTVLRARDPPSPVFPHAEYQPPPFHNPPMKSLAYRSNPESDSAIASLKLSRLQLKALKAKAEFADSKFSTYEVLVAHTWRCACFANEDLSEEHSTRLHIIIDGRPRLQPKLPQGYIGNTLFHARPVSQLGDFLRESFSETVERVHGEIRKMDNEYLRSAIDYLERHPDLDQFVPGEGNPIFSCAANFCIVGLTKQTAYEMDFGWGRAFYKRASHLNEGKGYVTGSPDEVGSLMLTMCLKKTQLGMFRKLFYEFLNVSAL >scaffold_802371.1 pep chromosome:v.1.0:8:18367026:18369516:1 gene:scaffold_802371.1 transcript:scaffold_802371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVAEANHTEKEESLRLAIAVSLLRSKIQNHQSSSSTSRCDVPSESDALRWKQKAKERKKEIIRLQEDLKEAESAFHRDLFPANASCKCYFFDNLGVFSGRRIGEASESRFNDVLRRRFLRLACVVILCFSEEKEQKEVNSIIAKISVLCFKPEYEEEAEHLRISIDFLLQLSEADSNASNFSNWSHQAVDFILASLKKLISMGRNLESVEESISFMITQLITKMCTPSKGNEVKQLETSVGFYVQHLIRKLGSEPYIGQRAIFAISQRISILAENLLFMDPFDESFSVMDECMFLLIQLIEFLICDYLLPWVENIAFENVVFEEWIASVVNARKAVTALEERNGLYLLYMDRVTGELAKRVGQVTSFREVEPAILEKILAYQEIE >scaffold_802373.1 pep chromosome:v.1.0:8:18370540:18370834:1 gene:scaffold_802373.1 transcript:scaffold_802373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIKRLLILSILLLLFLSQSLLLCSGLGDDEAGVTRKLGAFIKKGARYGRRPTTTSDSATLLSGSFHMITCLATSFLLFLLF >scaffold_802378.1 pep chromosome:v.1.0:8:18385017:18385343:-1 gene:scaffold_802378.1 transcript:scaffold_802378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLAEASLELSIRNLTRFLDAIKSANRLYLCLHRDITSAIPLVSFTQLHDLTLCTCGGDAWSFWLDLLQQCPEMRVLRFSISLYPLLLDG >scaffold_802379.1 pep chromosome:v.1.0:8:18386313:18386653:-1 gene:scaffold_802379.1 transcript:scaffold_802379.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNX9] MSCSLLSRAWAGLWKTSPVMKLFIETTIPIAFKDSSKVFFNTKEILRSFTLSSIHVHLRWILPLGLVLHIVRVCNGSSSKAWQDSVYYYMRPYSRIDT >scaffold_802382.1 pep chromosome:v.1.0:8:18396188:18399718:-1 gene:scaffold_802382.1 transcript:scaffold_802382.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:UniProtKB/TrEMBL;Acc:D7MNY2] MSSFYLSSSPIFKLQWHANAKPKPSRPIVSLPLSRLHANCYSWRLSCNLTQHGLQLEETVEEDEIPQVLELPTEEEPNFDKETTASPSKMLRKKKGDEESLDDRFKLRNGKEIFEEKAYLVGVERKGDGECLFNIEESLEELEQLADTAGLAVVGSTYQKLASPNPRTYIGSGKVAEIKSAINALDVETVIFDDELSPGQLRNLEKAFGGDVRVCDRTALILDIFNQRAATHEAALQVALAQMEYQLPRLTRMWTHLERQSGGQVKGMGEKQIEVDKRILRTQIGVLKKELESVRKHRKQYRSRRVAIPVPVVSLVGYTNAGKSTLLNQLTGANVLAENRLFATLDPTTRRVQMQNGKEFLLTDTVGFIQKLPTTLVAAFRATLEEIAESSLLVHVVDISHPLAEQQIEAVEKVMSELDVSSIPKLVVWNKVDRVDDPQKVKLEAEKSGDTICISALTGEGLDDFCNAVHEKLKDSMVWVEALLPFDKGDLLSTIHKVGMVKETEYTENGTLIRAHVPLRFAQLLKPMRHLVKDTSISQKG >scaffold_802391.1 pep chromosome:v.1.0:8:18420321:18421878:-1 gene:scaffold_802391.1 transcript:scaffold_802391.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport protein particle component Bet3 family protein [Source:UniProtKB/TrEMBL;Acc:D7MNZ0] MIGVGKMKQYSNVLDKPLSKGKQEVSLTAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGSRVLELLCNREKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGTFNCGAFVAGIVKGVLDNAGFPAVVTAHFVPIEGQQRPRTTILIKFAEEVLKREARLSQ >scaffold_802393.1 pep chromosome:v.1.0:8:18427827:18430711:-1 gene:scaffold_802393.1 transcript:scaffold_802393.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7MNZ2] MLRFFILFSLFLHSCVAAPKTPAAAAAVPAKKWLTLNGQEPAVVARGGFSGLFPESSAPANDMAVSTSSPGLTMLCNLQMTKDGVGLCLSDIRLDNATTISSLFPKAQKTYKVNGQDLKGWFVLDYDADTIFSNVSLVQNIFSRPSIFDGQMPVSAVEDVLGTKPPKFWLSVQYDAFYMEHKLSAAEYLRSLRFRGINVISSPEIGFLKSIGMDAGRAKTKLIFEFKEPEAIEPTTNKKYSELQQNLAAIKAFASGVLVPKDYIWPLDSAKYLKPATTFVADAHKAGLEVYASGFANDMRTSFNYSYDPSTEYLQFVDNGEFSVDGVITDFPPTASQAITCFSHQKGNLPKAGHALVITHNGASGDYPGCTDLAYQKAIDDGADVIDCSVQMSKDGIAFCHDAADLTASTTAMTTFMSRATSVPEIQPTNGIFSFDLTWAEIQSVKPQIENPFTSTGFLRNPANKNAGKFTTLADFLELSKEKAVTGVLINIENAAYLASKKGLGIVDAVKSALTNSTLDKQSTQKVLIQSDDSSVLSSFEAVPPYTRVLSIDKEIGDAPKPSVEEIKKHADAVNLMRTSLVTVSQSFATGKTNVVEEMHKANISVYVSVLRNEYISIAFDYFSDPTVELATFIAGSGVDGVITEFPATATRYLRSPCSDLNKDQPYAILPAEAGALLSVADKEAQPPASAPNPPLDAKDVIDPPLPPVANMASSNGTGEGPPHTPPSSGTGATAANLSLSLLAMMALGILYTA >scaffold_802395.1 pep chromosome:v.1.0:8:18433874:18434565:-1 gene:scaffold_802395.1 transcript:scaffold_802395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKKEMQVVKGLDLERYMGRWYEIASFPSRFQPKNGVDTRATYTLNPDGTVHVLNETWSNGKRGFIEGSAYKADPKSDEAKLKVKFYVPPFLPIIPVTGDYWVLYIDPDYQHALIGQPSRSYLWILSRTAHMEEETYKQLVEKAVEEGYDIGKLHKTPQSDTPPESNTAPEDSKGIWWFKSLFGK >scaffold_802397.1 pep chromosome:v.1.0:8:18439488:18441735:-1 gene:scaffold_802397.1 transcript:scaffold_802397.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPK6] MKFLLPPSESHLVPSLSKKQNEDDSDRKEEDGKGNEHNGMESCTRMKRTVWTVELHQKFVNAVQQLGGVDKASPEQIHALMNVEGLPVINVASHLQKYRLYLKKIDEGQQLYMATRQLLLSAGSHLPQTPSTKHCSSFIQQGHHQNSSNSANPSETYHTTLSPRVQKVNTFQPSSSPLKPLLFPKSNISAYKEDFKGIKDTANVGNSSIDSSKPRNSFQTASKFPKTDPCTGSYIIDIMTEPYYYGKASRRHRFFFPMLLAAGDCDLNQIKTLITEAEHSLLIYHRTPLPRVEKVNLSQPSSNPLEPLVFPKSNFSAYMGDFKSIKEPEIVQESRTRKNHGRVVWSHELHQKFLHAIDQLGGNDKAIPKKILAVMNVEGLTRLNVATHLQKYRQCCSTEAQQLNMATRKLPSSEHLPQSPSTNHHSSLSPRVQDVNIRFNQLHLH >scaffold_802399.1 pep chromosome:v.1.0:8:18446271:18453208:1 gene:scaffold_802399.1 transcript:scaffold_802399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRTGNRSVSKLVFTICVAILFIPSLSYGASQGNRKTAKSSVFSLFNLRDKSRFWSESVFRTDFDDLESSVHSNSGVLNYTKSGNIASYLELMEVDSVYLPVPVNFIFIGFEGKGNQDFKLRPEELERWFNKLDHMFEHTRVPQIKEVLNPFFKTNIEKEVKHHLPIISRVNYNFSVHAIQMGEKVTSVIERAIKVLARKDDVSTNKDEESALLQVDVEMMEFIFTSLVEYFHLEDAYNVFVLNPKHDNKKARYGYRRGFSESELSYLKENKEILKKLLQSGKPSENILAFDMVRKPLYDRHPMLKFSWTNAEETDTVEWFNACQDALNKLEQLSLGKDAAEVIQSKVLQLLRGKNEDMKVFLEKDLKAGDFGNLNAECLTDIWIGKGRWAFIDLTAGPFSWGPSVGGEGVRTELSLPNVGKTIGAISEISEDEAEDKLQAAIQDKFSVFGENDHQAVDILLAEIDVYELFAFKHCKGRKVKLALCEELDERMRDLKTELQSFDGEEYDETHKRKAMDALRRMESWNLFSDEHEEFQNYTVARDTFLAHLGATLWGSMRHIISPSVADGAFHHYEKISFQLVFITQEKVRQIKQLPVDLKALMDGLSSLLFPSQKPMFSQHMLTLSEDPALAMAFSVARRAAAVPLLLVNGTYRKTVRSYLDSSILQYQLQRVNDHTSLKGGHAHSRSTLEIPIFWLISGDPLLIDKHYQAKALSNMVVVVQSEASSWESHLQCNGRSLLWDLRSPVKAAMASVAEHLAGLLPLHLVYSVAHESAIEDWTWSVGCNPFSVTSQGWLLSQFQSDTIARSYMITALEESIQAVNSGIHLLRLERTNKKTFKLFHSRERELMNKYKYVVSLWRRLSNVAGETRYGDAMRFLHTLEEATSSFVREVNATVGVLHPIHCTKERKVKVEVDMTTIPAFIIVLILLYAVLRPRAPKPKIN >scaffold_802405.1 pep chromosome:v.1.0:8:18474164:18477107:-1 gene:scaffold_802405.1 transcript:scaffold_802405.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase family protein [Source:UniProtKB/TrEMBL;Acc:D7MPL3] MLRFIIFFSLFIHLCVAAPKTPASAAAVPTKKWLTLNGQEPAVVARGGFSGLFPESSAPANDMAISTSSPGLTMLCNLQMTKDGVGLCLSDIRLDNATTISSLFPKAQKTYKVNGQDLKGWFVLDYDADTIFSNVSLVQNIFSRPSIFDGQMPVSAVEDVLGTKPPKFWLSVQYDAFYMEHKLSAAEYLRSLRFRGINVISSPEIGFLKSIGMDAGRAKTKLIFEFKEPEAIEPTTNKKYSELQQNLAAIKAFASGVLVPKDYIWPLDSANYLKPATTFVADAHKAGLEVYASGFANDMRTSFNYSYDPSAEYLQFVDNGQFSVDGVITDFPPTASQSITCLSHQKGNLPKAGHALVITHNGASGDYPGCTDLAYQKAVDEGADVIDCSVQMSKDGIAFCHDAADLTASTTAMTTFMSRATSVPEIQPTNGIFSFDLTWAEIQSVKPQIESPFTATGFQRNPANKNAGKFITLAEFLDFSKAKAVAGVLINIENAAYLASKKGLGVVDAVKSALAKSTLDKQSTQKVLIQSDDTSVLASFEAVPPYTRVLSIEKEIGGAPKPSVDEIKKYADAVNLMRTSLVTVSQSFATGKTNVVEEMHKANISVYVSVLRNEYISIAFDYFSDPTVELATFIAGSGVDGVITEFPATATRYLRSPCSDLNKDQPYAILPAEAGALLSVADKEAQPPASAPNPPLDAKDVIDPPLPPVANLAASNATGGAQPHPPPPSGTVSITANLGLSLLAMLALGVLCVA >scaffold_802406.1 pep chromosome:v.1.0:8:18478130:18479270:1 gene:scaffold_802406.1 transcript:scaffold_802406.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATYKT62 [Source:UniProtKB/TrEMBL;Acc:D7MPL4] MKITALLVLKCDPETPEPVILANVSDLSQFGKFNFYRSNLEEFIVFIASTVAKRTPPGQCQSVKHEEYKVHAYNINPLCAVGFMDDHYPVRSAFSLLNQVLDAYRKDFGDTWRSANSSQPWPYLKEALEKFQDPAEADKLLKIQRELDETKIILHKTIDGVLDRGEKLDSLVEKSSELSLASKMFYKQAKKTNSCCTLL >scaffold_802407.1 pep chromosome:v.1.0:8:18481059:18482289:1 gene:scaffold_802407.1 transcript:scaffold_802407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKCDPKTPKPVILANVSNLLQFEICTFYRSNLEEFIVFIARTVAKRTPPGQCNSVKHEEYKVHAYNINGLCAVGFMDDHYPVRSAFSLLDQYETTDDVLGRGEELDSLVERSTKLSLASKMFYKQAKKTNSCCTIL >scaffold_802413.1 pep chromosome:v.1.0:8:18503898:18504738:1 gene:scaffold_802413.1 transcript:scaffold_802413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVTGALTVAVPPTAAACRPKPFFVSSSFPKQTKKLHLSPPSLSLPSSHFSSSFKTAATSIEQQSDNKGESIKYHFVVANAKFMLDEEEHFQEQLFERLRYYGERDLVQDFWLVIEPKFLDNFPKITQRLRRPAVALVSTNGSWITFMKLRLDRVLYDSFEATSLGEALASNPTTLEFDKPKNWVAPYPKYEPGWWDTFLPKVTQESAV >scaffold_802424.1 pep chromosome:v.1.0:8:18533091:18533312:-1 gene:scaffold_802424.1 transcript:scaffold_802424.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPN3] MACPHVAGVAAYPKTFHPEWYQPVNKSHIHLLLSCPASNIYADNSALIQSFNYTLQLGR >scaffold_802425.1 pep chromosome:v.1.0:8:18533617:18536902:1 gene:scaffold_802425.1 transcript:scaffold_802425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERLLKCSTSTDVEATTEPSQNDDLEQQVFPKKQKKKALALDGSFLGGRQLGVVKNNTGSSSDFFPNFKGCERCVLTQRKYLHDQFIATRGGRMMAKVFPYLRRHCITVTHDLLKWETDFKKALEEPELYQRIRETNIRYTAISFLTQLLKEQWALLGSFSLESVSQRRFLLEGAGGDESRGEREDVNGIDRENATDGDGMECLENDGIDNVNAAEEEHTMSAQEQEHEQSLDKGDKMVARELEDYLLEIQRHIDPSIRRGEEPNTAINHSVDVTPQPTRVNRTGTRGQDHNEATDNVNEKGSDSQRTWSGRVRPRLPTPVTLNVSPLKKDGLAKPHVRRPKKFWTPEEVEALREGVKRNGNPAVFAEKTELDGSYLGSMKLEVAMDNTRSGSDIFPNFKKCERCVLVQRKRFHDHFVATNGGLRMPNLAVWKKYKAKKVEEEKRS >scaffold_802428.1 pep chromosome:v.1.0:8:18543852:18544239:1 gene:scaffold_802428.1 transcript:scaffold_802428.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPN7] MSLPHPRRRPREHLPPSLHQPIPCNLLRHLTPTTNRSLCLHHATTKTPRASPSDPPQHHPARPSPARDSHLHWIHEPSPPTSFFIRSPAHVLHSDIFFLREQNPSFARERHFFPV >scaffold_802434.1 pep chromosome:v.1.0:8:18569057:18569525:-1 gene:scaffold_802434.1 transcript:scaffold_802434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSGAHTIGRAQCVTFRNRIYNESNIDTSFAISRRKSCPAANGSRDNKEANLDVRTPDRFDHNYYKQLLSNKGLLTPDQVLFNNGPTDSIVVSYSRSVRSFYRDFVTAMIKMGNISPLTGLGLGIRFRFGCIRFRLVWIW >scaffold_802439.1 pep chromosome:v.1.0:8:18593062:18594977:-1 gene:scaffold_802439.1 transcript:scaffold_802439.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXO70B1 [Source:UniProtKB/TrEMBL;Acc:D7MQD4] MAENGEEKLLAVARHIAKTLGHNESMADDILQIFSNFDGRFSREKLAEGQAGEDGSGVATLERALNSIDGQISRFVAADQPIWADPADSAAFLDTIDELVAIIREWSPMASEKPIGVCLARADDMMQQAMFRIEEEFRSLMERGAESFGLNPQGDAGAMNHRFDSEEEDDDDRDFNNGDDIQIPVAQPLTDYDLIIDALPSATINDLHEMAKRMLGAGFGKACSHVYSSCRREFLEESMSRLGLQKLSIEEVHKMPWQELEDEIDRWIKAANVALRILFPSERRLCDRVFFGFSSAADLSFMEVCRGSTIQLLNFADAIAIGSRSPERLFKVLDVFETMRDLMPEFESVFSDQFCSVLRNEAVTIWKRLGEAIRGIFMELENLIRRDPAKAAVPGGGLHPITRYVMNYLRAACRSRQTLEQVFEESNGVPSKDSTLLTVQMSWIMELLESNLEVKSKVYKDPALCYVFLMNNGRYIVQKVKDGDLGLLLGDDWIRKHNVKVRQYHMNYQRSSWNKMLGLLKVDNTAEGMSGLGKTMKEKLKQFNTQFDEICKVHSTWVVFDEQLREELKISLARLLVPAYGSFIGRFQNLGDIGKNADRYIRYGVEDIEARINELFKGTTTGRK >scaffold_802446.1 pep chromosome:v.1.0:8:18622231:18624090:-1 gene:scaffold_802446.1 transcript:scaffold_802446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLFLLLLAVTVELSLSGTIVVAFGVNWGTEASHPLPPSKVVELLKSNGIAKVKLFDADPKVLRALSGSNIGVTVGIQNSMLKSLNASVKVAESWVHDNVTRYFNGGNRVRIEYVAVGDEPFLQSYGNQYRPFVIGAAMNIQNALAKASLANVVKVVVPSSFDSFLSESGRPSSGHFRADLNKTMIELLSFLTKHHSPFFVTISPFLSFHQNKNISLDFSLFKQTAQAHKDGRKTYRNSFDLSYDILVSALSTIGFSEVDIVVSKIGWPTDGAANATSPTAETFFKGLMGHLEKKTGSLPRPPVETYIESLLDEDQRNLSSGNFERHWGVFTFDGQAKYNFNFSHNPKKVGNAQNVQYLPPKWCVVNNNKDLSNASARALEACAVADCTSILPGGSCSGIRWPGNVSYAFNSLYQQNDHSAESCNFGGLGLITTVDPSEDNCRFSIQLDTSHSASQIPTFFQRWPLLIVPTVWTLLKL >scaffold_802448.1 pep chromosome:v.1.0:8:18627710:18628295:-1 gene:scaffold_802448.1 transcript:scaffold_802448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETAAKAAASSSSSPSRYESQKRRDWNTFLQYLRNHKPPLNLSRCSGAHVLEFLKYLDQFGKTKVHATACPFFGQPNPPSQCTCPLKQAWGSLDALIGRLRAAFEEIGGGLPESNPFAAKAVRIYLKEVRQTQAKARGIPYDKKKRKRPHTATATPIAGDGDDAGGSGAALVVTTATTV >scaffold_802460.1 pep chromosome:v.1.0:8:18665176:18665519:-1 gene:scaffold_802460.1 transcript:scaffold_802460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MQF0] MGNKEEAKIDGVSDEVDKLSNKRNHAGVAFDRGPEVCYSFPPKTSTIPIQQWELLD >scaffold_802464.1 pep chromosome:v.1.0:8:18685695:18685942:-1 gene:scaffold_802464.1 transcript:scaffold_802464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENLCGKIREDCAGVMGKRKILRKENDYGDTSRFVEVASIWVHVFITELAPLPRPEHRSTINVQITS >scaffold_802470.1 pep chromosome:v.1.0:8:18705956:18706296:-1 gene:scaffold_802470.1 transcript:scaffold_802470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVVRLLVCLLLTLTITSLARNPVSVSGGFEISGFERRRLLMVNVEDYGDPSANPKHNPGVPPSTTGQRVAGRG >scaffold_802473.1 pep chromosome:v.1.0:8:18722354:18723467:-1 gene:scaffold_802473.1 transcript:scaffold_802473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKSFFTYIDHKFSDSSLNFDSSAFSDCNSDRSCDFPTTSSESRQPKLFLSCAVDNSDDLIRCLITHLESSSSSIEEQKQAAMEIRLLSKNKPEERNKIAKAGAIKPLVSLISSSDLQLQEYGVTAVLNLSICDENKEMIISSGAIKPLVNALRLGTPTTKENAACALLRLSQLEDNKIAIGRSGAIPLLVNLLENGGFRAKKDASTALYSLCSTNENKIRAVESGIMKPLVELMADFESEMVDKSAFVMNLLMSVPESKPAVVEEGGVPVLVEIVEAGTQRQKEMSVSILLQLCEESVVYRTMVAREGAVPPLVALSQSSSASRGAKVKAEALIALLRQPRQQQQHFESTDNER >scaffold_802477.1 pep chromosome:v.1.0:8:18734299:18737211:-1 gene:scaffold_802477.1 transcript:scaffold_802477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKGQHKKKKKRSCAAKSSGDGTASDGNKKDVEEERKDGEGTKELEKVEKKIIESLMEAFCSVSMEEAMAAYKEAGGDLNKAAEILSDLVESGDDPSTSSVASGSSGQETASTSEYGAGSSSSCSEDLTRDRWFKGNKQSRVIAATGMVSSVIAKDYLKPNSVRKEFPMMERSKELCGNGKKAADREKAEQFLNSMLGDDCELSMAVVRDVLCQCGYDVDMALNVLLDMSSSSTDDSLSGKCFGIGASGSLAETSFDTDTSESELFWGVDSQRDYAKALMSSEDPFATTQGIDELGLPQKVLESLFNIRQSPKHEPKTMSWRNVAKKMQSLGIDTSSSSGEEPQPNTFVKDDSYHELRKGANDQWNVTKSYYQKAAEAYSKGGRAHAAYLSDKGRVASKLAQRADERASQDIFVARNKGIENVVTIDLHGQHVKPAMKLLKLHLLFGLYVPSIQTLRVITGCGASGFGKSKVKQSVSYFNSLSQLNRIRKQEFSIAVMVYDCYLWMIR >scaffold_802484.1 pep chromosome:v.1.0:8:18755129:18756232:-1 gene:scaffold_802484.1 transcript:scaffold_802484.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl transferase [Source:UniProtKB/TrEMBL;Acc:D7MQH5] MGEKEKRASNRKMPKHVAVILDGNRRWAEKRGLGTSEGHEAGARRLLENAKDCFAMGTNTISLFAFSTENWERPEDEVKCLMALFEKHFRAEMPFFQRDKIKILVIGNRAKIPESLRGLIEEVEEATKSYEGKNLIIAIDYSGRFDILQACKSLATKVKDGLIQVEDINEEAMEKELLTKCSEFPNPDLLIRTSGEQRISNFFLWQSAYTELYFPTVLWPDFGEAEYLEALTWYQQRQRRFGRRV >scaffold_802488.1 pep chromosome:v.1.0:8:18765834:18766227:-1 gene:scaffold_802488.1 transcript:scaffold_802488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPSAGRELSNPPSVGFSNLRFSITRVRLYDVSTNSLKGEFLHGGVVLDCCPTVLSLISTPCFFVWFMLCSLK >scaffold_802490.1 pep chromosome:v.1.0:8:18771064:18773916:1 gene:scaffold_802490.1 transcript:scaffold_802490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MQI1] MAKGADFFCLLVLFLSSVSAIIDDPQTKQVYVVYMGSLPSQLEYAPMSHHMSILQEVTGESSVEGRLVRSYKRSFNGFAARLTESEREKVAEMEGVVSVFPNMNYKLQTTASWDFLGLKEGKNTKHNLAIESDIIIGVIDSGIWPESDSFSDKGFGPPPKKWKGVCSGGKNFTCNNKLIGARDYTSEGARDLQGHGTHTTSTAAGNAVANTSFYGIGNGTARGGVPASRIAAYKVCSERNCTSESILSAFDDAIADGVDLISISIAPGYPHKYEKDAIAIGAFHANVKGILTVNSAGNSGPFPATIESVAPWMLTVAASTTNRGFFTKVVLGNGKTLVGRSVNAFDLKGKKYPLVYGANFNESLVQGKILVSTFPTSSEVAVGSILRDGYQYYAFISSKPFSLLLPDDFDSLVSYINSTRSPQGSFLKTEAFFNQTAPTVASFSSRGPNFVAVDLLKPERQWLVDGLQPDVSAPGVEILAAYSPLSSPSEEGSDKRHVKYSVLSGTSMACPHVAGVAAYIKTFHPEWSPSVIQSAIMTTAWPMNANRTGFASTDVLASTEFASGAGHVDPIAALNPGLVYKLDKSDHIAFLCGLNYTSKTLQLIAGEAVTCSGKTLPRNLNYPSMSAKIYDSNNSFTVTFKRTVTNLGTPNSTYKSKIVLNRGAKLNVKVSPNVLSFKRVNENQSFTVTVSGNNLNRKLPSSANLIWSDGTHNVRSVIVVYIGDYS >scaffold_802494.1 pep chromosome:v.1.0:8:18803543:18804465:1 gene:scaffold_802494.1 transcript:scaffold_802494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEYYKEDEGIHFDPTYEEVIHKYLKPKQRGEDCGDFILMKDVYAKEPWLLDHPNNSFFKEDEWYYFSTRTQISEKKIGRGKYPKRKIDNNDGIDRGNWRINAKEDIIDKDTGDIIGIKKNLTYKGTNTNKKQKRGDGASAPSSESGWIMDEFVIVLPKPDEDKFQELVVCKIHKKKESKKDHQHEASSSSEQQPIKKRKSKKEHESVLAASSEQQQPLLCGCNESEIPKIASSPCSAAETERNGEQSGEGNMVHQTEKNAMEMTREEEGDWIADNDIFVNRQYRDQPYSWI >scaffold_802497.1 pep chromosome:v.1.0:8:18812802:18813012:-1 gene:scaffold_802497.1 transcript:scaffold_802497.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7L582] MAAAGSKSINAKLVRLLFLLTSSILMGSLLNFSSLRLYLSFCGFLLLFCLVNAMSE >scaffold_802501.1 pep chromosome:v.1.0:8:18838645:18839566:1 gene:scaffold_802501.1 transcript:scaffold_802501.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MR43] MPPKKVDLQRIANDKTRITTYKKRKASLYKKAQEFSTLCGVQTCLIVYGPTKATDEVVPEPEIWPRDETNVRDIIRKYKDTVSTSCRKETNVETFVNDLGKTNEVETKKRVKRENKYCSWEEKLDKCSREQLHEIFCAVDKKLHEAVMRQDLSMYRVHHQAMDTPIPQNFMDQHFMPQYFHEQPQFQGFPNNFNNMGFSLISPHDGQIQMDPNLMENWRDLALTQSLMMSKGNAGTQLMQMQAQPYYNREPIVPRSAGFNVNPLTGYQVPFNIPWRLSGNQVEDWELSGKKQI >scaffold_802504.1 pep chromosome:v.1.0:8:18857824:18858628:1 gene:scaffold_802504.1 transcript:scaffold_802504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKQFQQAKTGIEALKSMDANKYLKKVGLGRDDMFFWKQVGKALLCTYTIFGIAWIYNETSPLGWWTLKPRPKEERELAHLYERREFPYPGDTEAMEDFVAKGGMIGTAIGPKGIVESEGEADNYQKEMEKKKFDKEAQKLWLRMRNEVMTELQEKGYDLE >scaffold_802506.1 pep chromosome:v.1.0:8:18860642:18860856:-1 gene:scaffold_802506.1 transcript:scaffold_802506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTLKRTSSFPDPERSTLIMRPKFGSPFLSRENLYNLFSQIVVFPLAVIFLTNI >scaffold_802508.1 pep chromosome:v.1.0:8:18863826:18864593:1 gene:scaffold_802508.1 transcript:scaffold_802508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYKDIPSWWFYLMLATTLLISLALCVFLNDEVQMPWWGLVFASAMAFTFTLPISIITATTNQTPGLNIITEYAMGIIYPGRPIANVCFKVYGYMSMAQAVSFLNDFKLGHFMKIPPRSMFLVQFIGTILAGTINITVAWWQLTSIKNICQEELLPPNSPWTCPGDRGNQSRFCPMAALVLEFTKYETGSSGWSRPFPLDSGFSIF >scaffold_802509.1 pep chromosome:v.1.0:8:18864647:18865375:-1 gene:scaffold_802509.1 transcript:scaffold_802509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALLSSSHDRKHLAFDILLLLLPKLPVSFVQHVLSFKFVQCLMDILSTKDSWLHKVATHFLVELIDWVKDDDTKRVAVTMALQKHSEGKSDNITRTKTVKDLAAEFETEDGCTLFLQNLMNLFVDEQHVPEEPSNMKWSLEPCSLNSDQSQTTDDNSEIGSNEEKDSVGTAGNSDLLKSWVIESLPGILKHTKLAPEAKLRVQHFSGHRSYIV >scaffold_802511.1 pep chromosome:v.1.0:8:18866966:18867792:-1 gene:scaffold_802511.1 transcript:scaffold_802511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTETDERPRFLSDSLHLNSLRMTFAPYKDTYNGMWFSLDKEFIQSGKNGQIEENPAGYAKLYKMLRDLFLMEYGTVTI >scaffold_802513.1 pep chromosome:v.1.0:8:18873848:18875012:-1 gene:scaffold_802513.1 transcript:scaffold_802513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSGLLTLLGLGVLMITNCVIARRSLSQEENLELEMQLKLLNKPALKTVKTEYGDVYDCVDFYKQPAFDNPLLKDHDFHFDMKPNEIQISTTRGSKDFSSNKMKAFEFKGVGCPRGTVPIKRITKEDLIRLRNSTGSIIHPQTVDELGLHFAGAHVNNRELDNMKLGGAEAYFSLYQTPDVGQMQFSSGLIKVAAGDDVIKTGWTVNPTLYGDNLCRFLCI >scaffold_802515.1 pep chromosome:v.1.0:8:18880997:18883094:1 gene:scaffold_802515.1 transcript:scaffold_802515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRSKTPTPLRFSSGKHQKADSEYSWSDVGTGEKARNVSVLGAIRRAAKKVFAIIFLGQQKFKPTECRSDPGESSTLDRESTLSGWTGYSSPSSFGRSAERKISGQYRFSGSRFQSPGKDSSSSKSWLQGPVIFSFGELQRATANFSSVHQIGEGGFGTVFKGKLDDGTIVAIKRARKNNYGKSWLLEFKNEIYTLSKIEHMNLVKLYGFLEHGDEKVIVVEYVGNGNLREHLDGLRGNRLEMAERLEIAIDVSHALTYLHTYTDTPIIHRDIKASNILITNKLRAKVADFGFARLVSEDLGATHISTQVKGSAGYVDPDYLRTFQLTDKSDVYSFGVLLIELLTGRRPIELKRPRKDRLTVKWALRRLKDDEAVLIMDPFLKRNRAAIEVAEKMLRLASECLAPTRATRPAMKDIAEKLWAIRREMKETMICSSASNSSCSSTTHSFIGRDSDRFALPRIEDNENSIELLSP >scaffold_802528.1 pep chromosome:v.1.0:8:18937489:18940434:-1 gene:scaffold_802528.1 transcript:scaffold_802528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVSVQSHFAIRDLKRDQFKKNPSPRTSCSCFKSRSDSSYLSLKERTCLVSKLGLVTTRYRAKFQVGAGTGGELADGGEVADSIASDALESFSWSSVILPFIFPALGGLLFGYDIGATSGATLSLQSPVLSGTTWFNFSPVQLGLVVSGSLYGALLGSISVYGVADFLGRRRELIIAAVLYLLGSLITGCAPDLNILLVGRLLYGFGIGLAMHGAPLYIAETCPSQIRGTLISLKELFIVLGILLGFSVGSFQIDVVGGWRYMYGFGTPVALLMGLGMWSLPASPRWLLLRAVQGKGQLQEYKEKAMLALSKLRGRPPGDKISEKLVDDAYLSVKTAYEDEKSGGNFLEVFQGPNLKALTIGGGLVLFQQITGQPSVLYYAGSILQTAGFSAAADATRVSVIIGVFKLLMTWVAVAKVDDLGRRPLLIGGVSGIALSLFLLSAYYKFLGGFPLVAVGALLLYVGCYQISFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNAIVTFAFSPLKEFLGAENLFLLFGGIALVSLLFVILVVPETKGLSLEEIESKILK >scaffold_802532.1 pep chromosome:v.1.0:8:18954977:18957633:1 gene:scaffold_802532.1 transcript:scaffold_802532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHHASLGRRTLEEIRQKRAAQRLSKTSSGPDLSEIPNPADFPVIRKSESGNRLSETDVGALYSQLKELQKKNADMEERNKILSSKLQTKEVENESLETRLNVLEQNTVPSLRKALKEIAMEKDAAVVLREDLSAQVRTLKRRVKEAEEEQYRAEEDAASLRAELNSIQQQAMGTSFTGLSPMGVSSDQLPILEKEMANLKLELQKESVLRQQEQQRLAEEQTRVASLMSEKQELEQKISVLSSRASEVSESGQKALSVEDKEKLEKQLHDMAVALERLESSRQKLLMEIDNQSSEIERLFEENSNLSASYQESINVSNQWENQVKECLKQNIELREVLDKLRTEQASALSRKSSEIEANGSHSHGTETLSLKGELAKEQSRVESLSAQVLQLSAQLQQATQAYNGLMRVYKPVLRNIESSLIKLKQDGSVTVAQ >scaffold_802534.1 pep chromosome:v.1.0:8:18959774:18962782:-1 gene:scaffold_802534.1 transcript:scaffold_802534.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MR73] MGTLPEIEYSPPSHHLSMLQKLVGTNAASNLLIRSYKRSFNGFAANLSQAESQKLQNMKEVVSVFPSKSHELTTTRSWDFVGFGERAKGESVKESDVIVGVIDSGIWPESESFDDKGFGPPPKKWKGSCKGGLNFTCNNKLIGARFYNKFSESARDEEGHGTHTASTAAGNAVQAASFYGLAQGTARGGVPSARIAAYKVCFKRCNDVDILAAFDDAIADGVDVISISISVDYVSNLLNASVAIGSFHAMLRGIITAGSAGNNGPDQGSVANVSPWMITVAASATDRRFIDRVVLGNGKALTGISVNPFNLNGTKFPIVYGQNVSRKCSQAEAGFCSSGCVDSDLVKGKIVLCDDFLGYREAYLAGAIGAIAQNTLFPDSAFVFPFPASSLGFEDYKSIKSYIVSAEPPQAEILRTEETVDREAPYVPSFSSRGPSFVIQNLLKPDVSAPGLEILAAFSPVASPSSLLNPEDKRSVRYSVMSGTSMACPHVAGVAAYVKSFHPDWSPSAIKSAIMTTATPMNLKKNPEQEFAYGSGQINPTKASDPGLVYEVETDDYLKMLCAEGFDSTSLTKTSGQNVTCSERTEVKNLNYPTMTTFVSALDPFNVTFKRTVTNVGIPNSTYKASVVPLQPDIQIRIEPEILRFGFLKEKKTFVVTISGKELRDGSILSSSVVWSDGSHSVRSPIVAYSIQP >scaffold_802535.1 pep chromosome:v.1.0:8:18964741:18966287:-1 gene:scaffold_802535.1 transcript:scaffold_802535.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II [Source:UniProtKB/TrEMBL;Acc:D7MR74] MFFHIVLERNMQLHPRFFGRNLRENLVSKLMKDVEGTCSGRHGFVVAITGIESIGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVTLVNKMGFFAEAGPVQIFVSKHLIPDDMEFQAGDMPNYTTSDGSVKIQKECEVRLKIIGTRVDATEIFCVGTIKDDFLGVINDPAAA >scaffold_802538.1 pep chromosome:v.1.0:8:18971930:18973445:-1 gene:scaffold_802538.1 transcript:scaffold_802538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVEQDYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVLRWLRELRDHADSNIVIMMAGNKADLNHLRSVAEEDGQTLAETEGLSFLETSALEATNVEKAFQTVLAEIYHIISKKALAAQEAAAANSAIPGQGTTINVGDTSGAGKRGCCST >scaffold_802539.1 pep chromosome:v.1.0:8:18974695:18975693:1 gene:scaffold_802539.1 transcript:scaffold_802539.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 family protein [Source:UniProtKB/TrEMBL;Acc:D7MR79] MRETVKLISMEGFEFVIDREAAMVSQTIRSMLTSPGGFSESKDGVVTFPDISTTILEKICQYFYWSLQYSRGKETEFHIEPELTLELMMAANYLHT >scaffold_802541.1 pep chromosome:v.1.0:8:18980466:18980661:-1 gene:scaffold_802541.1 transcript:scaffold_802541.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MR81] MVSEYLKTLFIFFLLLPFMRYKSVATTACEGQGEMITKLIISRFHNADQRT >scaffold_802544.1 pep chromosome:v.1.0:8:18993340:18993724:1 gene:scaffold_802544.1 transcript:scaffold_802544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIKTKLVVYIFTLLFILSYVHCHATSASSPGFDIGELCKRFKFNPCEYGGNRGCTVFCRRKLFTRGHCRASEGSEGCWCCPI >scaffold_802547.1 pep chromosome:v.1.0:8:19014236:19015289:1 gene:scaffold_802547.1 transcript:scaffold_802547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLAASTCLHSCLLVLFLSSVSAVIYEDQQVYIVYMGSLSSRADYIPTSDHMSILQQVTGESYKRSFNGFAARLTESERTLIAEMEGVVSVFPNKMLQLQTTTSWDFMGQTS >scaffold_802549.1 pep chromosome:v.1.0:8:19033154:19035369:-1 gene:scaffold_802549.1 transcript:scaffold_802549.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 1 protein [Source:UniProtKB/TrEMBL;Acc:D7MRS7] MARENQLMSFSFSFRYLCHFALLLSLLSFVSFLFRHNTSLCSCLYDHNPKANTFDHYHHNNETIDLLRFSSAWNHLTFPSKPKKTLKIAVVVKKWPRKSQAGGLERHALTLHLALANRGHEVHVFTAASPSFPEYQLKNLLFHLSEPTAAGYLDQASVSLQLQTQNASGRPFDVIHTESVGLLHTRAKNLRNVVASWHGIAYETFHSDIIQELLRQADIAAAAAAGTEEEQPPPSSPALTERAKRVVEEVKFFQRYAHHVATSDHCGDVLKRIYMIPEERVHIILNGVDENVFKPDVSKRESFREKYGVRSGKNKKPPLVLGIAGRLVRDKGHPLMFAALKRVFEESKEARENVVVLVAGDGPWGNRYRDLGSNNVIVLGPLDQERLAEFYNAIDVFVNPTLRAQGLDHTLLEAMVSGKPVLATRLASITGSVVVGPHLGYTFSPNVESLSEAISRVVSDGTEELQRKGKEARERSLRLFTANKMADSYERFFLCISDQSFCTLQASNI >scaffold_802553.1 pep chromosome:v.1.0:8:19049250:19049937:1 gene:scaffold_802553.1 transcript:scaffold_802553.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRT0] MIPEKYLVQRKASSSQCFVHDYMRRRPHMMILHQPFMNVILEYDASEDELGFLLKIDEASTSPRWPLVFLDWVLVYLGSQVDSMNGMSDFKKRKHLPWQQIWVIRQRRLPTDQSESFPESSNVLISTKRQDTVLSPTTLSSHFKSKVMLKAFWSLSSKLIANNKYVKEGHNRFHLLARKAFDSRVTLHSFIEIVSIFYICVQWLFCIYYVPCKLL >scaffold_802555.1 pep chromosome:v.1.0:8:19057667:19058556:-1 gene:scaffold_802555.1 transcript:scaffold_802555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDVYAKDPWLLDHPNNSLFKEDEWYYFSTRTQISEKKIGRGKYPKRKITGDNDDGIDRGNWRINRKENIIDEDTGAIIGIKKNLTYKGTNTNKKQKRGDGASSPGSESGWIMDEFVILLPEPDDDKFQELVLCKIHKKKKKDKKDHQHESPSSSSEQQPIKKRKSKKSKKEHESVLAASSEQQQPLLCSGNESEIPKIASSPCSAAETERNGEQSGEGNMVNQTDVLATEKNAMEMTREEEGDWIADMYDIFVNHQYRDMMINNADQPTISIPPVEYPWI >scaffold_802557.1 pep chromosome:v.1.0:8:19063691:19064707:-1 gene:scaffold_802557.1 transcript:scaffold_802557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTNPFSMSTMFNALPELRFAPAREIINEEPPAMDLRWMFNFMTRSEDVPRFKELISVLDTRTLMWMASSLTSDSNYFMVIARNQYGSKSIQRLMGMSDDVDVFFFEAIMRLFLYVMTDKYASYVAIKGMRVFQQDKRELMYEHILQNALYLARDQHGCIALNEIITDLDDPYYRNQLLDIVANNALLLSNDAYGNFVVQHVLKLRDFRCTRNIADNLCGHCVELSFKKYGSYIVERLLEVGDSVMELVVMDLLACKREMLMRLARSEYGNFVVYNALDLTKDILTADLFYGLVRKLMPYRHLLRRSPGSKIAAILDSMPVPN >scaffold_802559.1 pep chromosome:v.1.0:8:19069287:19070657:-1 gene:scaffold_802559.1 transcript:scaffold_802559.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 7 [Source:UniProtKB/TrEMBL;Acc:D7MRT6] MASQASLLLQKQLKDLCKHPVDGFSAGLVDDKNIFEWSVTIIGPPDTLYEGGFFNAIMTFPQNYPNSPPTVRFTSDMWHPNVYSDGRVCISILHPPGDDPSGYELASERWTPVHTVESIMLSIISMLSGPNDESPANVEAAKEWREKRDEFKKKVSRCVRKSQEML >scaffold_802560.1 pep chromosome:v.1.0:8:19072522:19073005:1 gene:scaffold_802560.1 transcript:scaffold_802560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHDIIIKLLLLICFFLSRNMTRECREVHFKIGPAKIIAKPNYERVMPTWVEEKKWHKHPSGPNPTGNRHPPVKP >scaffold_802561.1 pep chromosome:v.1.0:8:19077158:19077637:-1 gene:scaffold_802561.1 transcript:scaffold_802561.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:D7MRT8] MAFALRFFTCLVLTVFIVASVDAAITCGTVASSLSPCLSYLSKGGVVPPPCCAGVKKLNGLAQTTPDRQQACRCLQSAAKGVNPSLASGLPGKCGVSIPYPISTSTNCATIK >scaffold_802568.1 pep chromosome:v.1.0:8:19110090:19111422:1 gene:scaffold_802568.1 transcript:scaffold_802568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSVSGDFPPDPLLASGAFISSAGDGTLDSSSKRRPIQGGIGVSGSGESVRIGMANGTDQVNPSPDSSSHQAESKSRKRAAPGDNWLPPGWRVEDKIRTSGATAGSVDKYYYEPNTGRKFRSRTEVLYYLEHGTSKRGGTKKVENTDFLSDHFEGQGSNRARRKAKEPPPPPPPLDFDFKNPPEKVSWSMAKAGEEAWIPIIGDVKVQDSVRRDWSTAFTFITNRNPSKLSS >scaffold_802571.1 pep chromosome:v.1.0:8:19118024:19119047:-1 gene:scaffold_802571.1 transcript:scaffold_802571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESKSAKLNQLQEDNHQNVHLSPSKLAKLFDPDASWDKDQLGDVLHWIRQVVGLICGLLWGSIPLVGGIWIILFLAISSGIVYGYYAMILKVDEEDFGGHAALLQEGLFASLTLFLLAWILVYSLAHF >scaffold_802574.1 pep chromosome:v.1.0:8:19129563:19130802:1 gene:scaffold_802574.1 transcript:scaffold_802574.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidylate kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MSE9] MKRICSFSSVQLFSRSFRALASPRSLNYPLQSLKRSSVRMESSNFSSGVRTDTSVKPRGALIVLEGLDRSGKSTQCAKLLSFLEGLGHPTELWRFPDRETSVGQMISAYLSNKSQLDDHTIHLLFSANRWEKRSLMEEKLKTGTTLIVDRYSYSGVAFSSAKGLNIDWCKAPEIGLLAPDSVLYLDISPERAAERGGYGDERYERVEFQKKVADFYQTLRDSSWKIINAGEAMEEVEKKIQEVALDKVKECTKGKPLSLLWSS >scaffold_802577.1 pep chromosome:v.1.0:8:19137479:19138323:1 gene:scaffold_802577.1 transcript:scaffold_802577.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MSF2] MDYLGIDLSCAIGTLRNGEFPAKDCLLPLISKLLGYFLVAASMTVKLPQIMKIVDNKSVKGLSVVAFELEVVGYTIALAYCLNKKLHFSAFGELAFLLIQALILVACIYYFSQPLSVTTWVKAILYFALAPTVFAGKIDPLLFEALYASKHLIFLSARIPQIWKNFRNKSTGQLSFLTCLMNFGGAMARVFTSIQEKAPLSMLMGIVLAIFTNGIIMSQMLLYRSKGKEDKQVKGIKIS >scaffold_802579.1 pep chromosome:v.1.0:8:19142245:19143976:-1 gene:scaffold_802579.1 transcript:scaffold_802579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLNFTFIFSQIRNSEEKTEFVTNSTPRYECLLFDLDDTLYPLSSGLSEACANNIMEKTPGDSSFMNRLFAEFMVPKLGIEEDKVVELNQLLYRKYGTSMAGLKAIGYEFDNDEYHSYVHGRLPYENLKPDPVLRNLLLSLPFRKLVFSNGDDVHVVKALKRLGIEDCFERIISFETLNPKTNEAEVSCVTGHLSENLVICKPTEIAFEKAFDIAQLNPHKSLFFDDSIRNIQTGKVMGLHTVLVGKSRKVDGSDYALESIHNMKEAFPELWLESNSNNNNEKSKRISYAAQFSIATSVEA >scaffold_802587.1 pep chromosome:v.1.0:8:19165987:19166863:-1 gene:scaffold_802587.1 transcript:scaffold_802587.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sensitivity to red light reduced protein 1 [Source:UniProtKB/TrEMBL;Acc:D7MSG2] MEETVKNSSSDGEWIVVLPSKGRQGRRKKPKPKGPAEEEEQPWKSDDLEIDPERQARLKQKMEISLKKIESSQFYAAFLEQLKSPEVSDQIRLVLGNETKLQMVMYGIGSIESYESPRLQLSIAILMKREFDWVGDNIEVFDPVLSATESCFLESLGCTVLSVNEQARREALRPTLFFMPHCEANLYGNLLEANWRMDRLSRIALFGNSFQMYEEQVSFDPEVICATKRIIAAQRVTSEFAIETVSEDYFAAFHDSSWHFFSSGIDSELPLLVSEEF >scaffold_802595.1 pep chromosome:v.1.0:8:19183170:19183410:1 gene:scaffold_802595.1 transcript:scaffold_802595.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSG8] MDDDDHHAQASPSTCHHRRESLYSEQKPVKEVCSVSEKERNIEQCVEGSMHVSSSRFILFDGEE >scaffold_802599.1 pep chromosome:v.1.0:8:19202647:19203840:1 gene:scaffold_802599.1 transcript:scaffold_802599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSLGFISLDCGLPANEQSPYEESFTGLRFSSDEKFVRSGKNGRIRENPEGYAEYMKDVYDRTWVSYGASFQTGWTQIYTALEVNNSNNYAPPKDALKNAAIPTNASAPLTIEWPSGSPRDQYYLYAHFAEIQDLQAET >scaffold_802600.1 pep chromosome:v.1.0:8:19207020:19207452:1 gene:scaffold_802600.1 transcript:scaffold_802600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSH3] MLSTVMSSHRRELLKSKRSSLSLDGRFPHRRWSFCHRKFPSGSLAADWVIKTVGGLSSPIKMSTTIDCFSKFLRAVMVLRLVVINANIYLLRD >scaffold_802606.1 pep chromosome:v.1.0:8:19236968:19238928:-1 gene:scaffold_802606.1 transcript:scaffold_802606.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXO70H7 [Source:UniProtKB/TrEMBL;Acc:D7MSH8] MGKHLFRSSPPPEKPTAHLSLAESAVQECMSNINAVISKWTSPAASSSDEFLFSTNSRREAEEFVTAVRHLQSTMHRLVSVNPSSEKLIYAQNLMQSAMRLLESEFHRVLKANREYLDPESVSVRSCRSSRFSTSTTTSVSDSEDEITYEENADEEHRFSGGDSDAMDDLKMIADCMISTGYAKECVRVYKTVRKSIVDETLHNLGMERFNLHQIQKMDWEILDSKIKTWLKAVKLAVRSLFFGERILADHVFASSGLIVESSFTEITQEGALILFTFPEYAAKIKKLTPEKMFRFLDMYEALANLYVEIESIFYFESAAAVRSQVINSLARLGDATRLMMTDFESAIQKETSKTPIIGGGVHPLTRYVMNYLSFLADYSESITAIFENWKLSVPTPLPDSLYISGGDEANPEDLYSSPVSVRIAWVILLTLCKIDGKAQPYKDVALSYLFLANNLQYVVVKVRSSNLKLLLGDDWVFRHEEKVKLYADKFEKLAWGRVLDLLPEIPTEENSPEEAKDLVGRFNDEFETSYRKQTSWVIPDPKLRDQIKISLSQKLILVCTEFYQMNRFGLVGDNEAVVRYTPEDVGNYLSDLYFGSRGSGSVSTTGGSGSGSGSGSGSGSGAGSSTTGKSRGGRSY >scaffold_802612.1 pep chromosome:v.1.0:8:19268490:19269094:-1 gene:scaffold_802612.1 transcript:scaffold_802612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILCWRELEKGLLASMALDLDYPDEYITYISGSFSLCSITGTKTHRLFKLRFQTSYGRSQMFSFYSLLGGSEKFELMKDGVKVIGFHGRCTHVPNAIGAYIRATDFRKSVFEGGLIQKFEEDTDIA >scaffold_802614.1 pep chromosome:v.1.0:8:19279040:19280686:-1 gene:scaffold_802614.1 transcript:scaffold_802614.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MSI5] MSEPCCKPSRDSAKSPEDMLFQHSVVSISETTRTYSPPHLNHLTTNLPAGSRILSGLFRRSLPPCTAVELSSTSAAGSAACPKYRFSFSRFVDASCIIPPDLLPSILQAVRRVLSRPRVRLAPTVQIAFPVLSLASPGSRCLCFVSKNLCRLDETLNVVGWFLHSWFLGQSSHFLLLGLMRQGKNLMNMGQLLLRLMTLAKLLPPWLLGQWLVLVLLGLLRPKRSPRLCLCLVVSQNKNLKSFNGSRCRLGSDLAPTTLLFGSNLLAVIKRSCSAIWMLLSFDFEDPVSTNRDSKWDRRIWRKTNHVQHVVNHSFLRPVAFGCNKSVSKVGKMNQGGQGMIGILTLDLSFPDSINPSLEERHGFNFLFDERETSSASISHRRGKRLMCLLLRSLVEFQNTMLSRVIPFTRRGAWHSGKGQPSASTRILPSWWNSLSVVFLYLLL >scaffold_802617.1 pep chromosome:v.1.0:8:19289335:19292719:-1 gene:scaffold_802617.1 transcript:scaffold_802617.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:UniProtKB/TrEMBL;Acc:D7MT31] MSMTRRYSATQYSNPMSLQSLSSLILLVTLFSSPAFALKKSYIVYLGSHAHPSQISSAHLDGVAHSHRTFLASFVGSHENAQEAIFYSYKRHINGFAAVLDENEAAEIAKHPDVVSVIPNKGRKLHTTHSWNFMLLEKNGVVHKSSLWNKAGYGEDTIIANLDTGVWPESKSFSDEGYGAVPARWKGRCHKDVPCNRKLIGARYFNKGYLAYTGLPSNASLETCRDHDGHGSHTLSTAAGNFVPGANVFGIGNGTASGGSPKARVAAYKVCWPPVNGAECFDADILAAIDAAIDDGVDVLSASVGGDAGDYMSDGIAIGSFHAVKNGVTVVCSAGNSGPKAGTVSNVAPWIITVGASSMDREFQAFVELNNGQSFKGTSLSKPLPEDKMYSLISAEEAKVSNGNATDALLCKKGSLDPEKVKGKIVVCLRGDNARVDKGQQALAAGAAGMILCNDKASGNEIISDAHVLPASQIDYKEGEVLFSYLSSTKDPKGYIKAPTATLNTKPAPFMASFSSRGPNSITPGILKPDITAPGVNIIAAFTEATSPTDLDSDHRRTPFNTESGTSMSCPHISGVVGLLKTLHPQWSPAAIRSAIMTTSRTRDNRRKPMVDESFKKANPFSYGSGHVQPNKAAHPGLVYDLTIGDYLDFLCAVGYNNTVVQLFAEDPQYMCRQGANLLDFNYPSITVPNLTDSITVTRKLTNVGPPATYNAHFREPLGVSVSVEPKQLTFNKTGEVKIFQMTLRPKSAKPSGYVFGELTWTDSHHYVRSPIVVEL >scaffold_802618.1 pep chromosome:v.1.0:8:19295912:19296451:1 gene:scaffold_802618.1 transcript:scaffold_802618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSDIKSTVDVTAANCLMLLSRVGQENVDGGDQKRVFRCKTCLKEFHSFQALGGHRASHKKPNNDTLSSGLVKKVKTTSHPCPICGVEFPMGQALGGHMRRHRNESGAGGGALVTRALLPEPTVTTLKKSSSGKRVACLDLSLGMVDNLNLKLELGRTVY >scaffold_802628.1 pep chromosome:v.1.0:8:19319744:19322507:-1 gene:scaffold_802628.1 transcript:scaffold_802628.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MT42] MKLPCTIRSLSSSHLRYSFRNLSSVIDSSQEACRIGEDKQFVDSVRRIVRGKRSWEIALSSELVSRRLKTIHVEEILIGTIDDPKLGLRFFNFLGLHRGFDHSTASFCILIHALVQANLFWPASSLLQTLLLRALKPSEVFDALFSCYEKCKLSSSSSFDLLIQHYVRSRRVLDGVLVFKMMMTKVSLLPEVRTLSALLHGLVKFRHFGLAMELFDDMINVGIRPDVYIYTGVIRSLCELKDLSRAKEMIVQMEATGCDVNIVPYNVLIDGLCKKQKVWEAVGIKKDLAGKELKPDVVTYCTLVCGLCKVQEFEVGLEMIDEMLRLRFSPSEAAVSSLVEGLRKRGKVEEALNLVKRVAEFGVSPNIFVYNALIDSLCKGRNFDEAELLFDRMGKIGLCPNDVTYSILIDMFCRRGKLDTALSFLGEMIDMGLKPSVYPYNSLINGHCKFGDISAAESLMAEMINKKLEPTVVTYTSLMGGYCSKGKINKALRLYHEMTGKGIVPSIYTFTTLLSGLFRAGLIRDAVKLFTEMAEWNVKPNRVTYNVMIEGYCEEGNMSKAFEFLNEMIEKGIVPDTYSYRPLIHGLCLTGQASEAKVFVDGLHKGNCELNEICYTGLLHGFCREGKLEEALSVCQDMGLRGVDLDLVCYGVLIDGSLKHKDRKVFLGLLKEMHDRGLKPDDVIYTSMIDAKSKTGDFKEAFGIWDLMINEGCVPNEVTYTAVINGLCKAGFVNEAEILCSKMRPGNSVPNQVTYGCFLDILTKGVGDMKKAVELHNAILKGLLANTATYNMLIRGFCRQGRMEEASELITRMIGDGVSPDCITYTTMISELCRRNDVKKAIELWNSMTEKGIRPDRVAYNTLIHGCCVAGEMGKATELRNEMLRQGLKPNTETSETTISNDSSSKS >scaffold_802631.1 pep chromosome:v.1.0:8:19329961:19330225:1 gene:scaffold_802631.1 transcript:scaffold_802631.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MT44] MDLLGEAAVWLRRWSTSPQRVVVGCPRSVGCSVLVSLGDDSGSLLISRSGGSWIRGRCLVCFSSPVSQPSSCLG >scaffold_802636.1 pep chromosome:v.1.0:8:19343043:19345012:-1 gene:scaffold_802636.1 transcript:scaffold_802636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGHVSTSITSGDNCPCFLYSDDIVAPPQLHDDHHHQFPPPPLPLLMMCHNSLYAAEAVSGFGYFDSGINGGGGSSSCDSPSSMGSGGESLVMQRSVSSHNGFSGNYATAHDFVNDDDGPARRALSAGDLPRSSRRESSTVWSESNAIIEGMSKAYKYSPEEKKEKIDKYRSKRNLRNFNKRIKYECRKTLADSRPRIRGRFARNDEIPQQEQVDVMEAVVGDVDTWASFLDSFSANHFLN >scaffold_802638.1 pep chromosome:v.1.0:8:19356907:19357396:-1 gene:scaffold_802638.1 transcript:scaffold_802638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLIIVSIMTMMIFSTFATTRAATLLVSNELKRRGDVPVFVTCHPTPWLSKPVPLGQKLLIEIPKTVGDNSVDEKAAGSRPWRPTTCIGTFYREAHFGRHETPYVLYNSDKDATECKNSCFVVVKDDGFYRWNNNKRVWDKVFPIIWK >scaffold_802650.1 pep chromosome:v.1.0:8:19404063:19405089:1 gene:scaffold_802650.1 transcript:scaffold_802650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APUM18 [Source:UniProtKB/TrEMBL;Acc:D7MT63] MAVADNSFSMSTMFNALPYSKGISGFIPPPGFAPRASATPLHAALFNLMTDGEGVSYFKGMISKSDKTVLQRMASLLTSDSDYFMAIVTNKYGSRRVQKLLGKSDDADALFCAAILRRFLHITTDKYATYVTIRAMVVFDKEMKKAMYEHILYHALDLACDQHGCIALNDIITDSDDPYYRDQLLDLVASNALCLSNDASGNFVVQHVLTLYDLRCTHNIAVNLYGHCIDLSFKKYGSYIVEKLLEAEESMVVVVVELLDCDGDTLMRLARNEFGNFVVVKALRFTKAMSKIDLFWGLVQKLMPFLHLLRRSHGSNIASILDSFRLRC >scaffold_802651.1 pep chromosome:v.1.0:8:19407479:19407821:1 gene:scaffold_802651.1 transcript:scaffold_802651.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MT64] MPITNKNPVGGINFSSIDCCNQFDRNHCNPNLNSINPDTGRVTKLELMNK >scaffold_802652.1 pep chromosome:v.1.0:8:19407918:19409056:-1 gene:scaffold_802652.1 transcript:scaffold_802652.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHMAAAACDTPFNFLKRGSDHLTNRHALPSAFFSPHESKIGALAEKETPPIVLQFAPLNSSVYEGFWYSFSSLKLDKLGIDDSPIPITGRSHWRKDQDFAKLGELYFFGFRRRDH >scaffold_802655.1 pep chromosome:v.1.0:8:19419100:19420346:-1 gene:scaffold_802655.1 transcript:scaffold_802655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGNFGDYCLPKFFKVYLPDESGDDLVLPISFNSCLPKPLPETVTVRSIYGKVWKLVLRKCGSEVESFVMVNGWKSFVKDEDLKGGDFLEFEFDGSRLFNFCIYEHGTLCKRIRRSSEQSEEIKMESDSDEKNQYLDDRENPSFTLTLNPKKKSQLLIPARVIKDYDLHFPESITLVDPLVNKFGTLEKKIKIQTNGSVFVKGFGSIIRRNQVKTTDKMMCEIKKTGDNNLVQTIKIHIISG >scaffold_802657.1 pep chromosome:v.1.0:8:19423745:19425232:-1 gene:scaffold_802657.1 transcript:scaffold_802657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGNSGGNCLPKFFKVYLPDESGDDLELPISFNSFLPKSLPKNVIVRSIYGNIWKMALKKCCGHVERFTMVNGWKKIVKNEDLKGGEFLEFEFDGSWCFNFCIYGRATFKKLRSSVHYLDNHMNPFFTVNQHRQIKYNLLRIPTKVITKSGLHFPEFINLIDPLEKMFGKLKRKVEGQTIKGFRSIIRRNNVKLTDKVICELVKEMDGLVREIKVHVIRG >scaffold_802658.1 pep chromosome:v.1.0:8:19427075:19432293:1 gene:scaffold_802658.1 transcript:scaffold_802658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDLLDISGEDDEDNWLLKNTPKKVHSGREKSYLNCSPLQIPRSSRIVPTRPPFSPIGRVTGTSNNMEQPCASVDTDSVGKENSKVELPKLSVERQQMKKKKKNAGFNLRKSLAWDRAFSTEEGVLDSSELSKITGTACQFGGDRLPAIQEEFRESMSASKCTSVSPGLQALEENLFNDLPVNSKNREKKLLSASRSRELSISKVPTTKPEPLTVANNMKRTTPSPSKAKKSQPTQLKNSQRSLGSEGFSKNTSSTKSKTKSSLASKSSIPKPSLKQARRNVISKTSEIPSVSNSQHSVVAKSNVGPMTASDVAMFGPASDIPESNVITLGTSLTQSSCNRLGNTQSAVSRLGKPSGLRVPKPSIGYFSQSDSQPSHSAGDKHSQLPRSDVSSASHISLIPTFKKPQVAEKLPGVKSKAATGIFGSSGSAARFSAQSICLKSSQEKVKVDLNSTQKLESNALCCSLSSQINENLQHQIAIQGDTGKVLLDDVTYCTSEKNSTASQEFQSNNELPLSGCKNNVQDGSNMSDDNRDEKRKSCRSVEEYCALPLKDSVDSPMQGPPSDELTLFDTYSQLKVSNPGEEDMCTTNDFSGDFDTLDVHGQPLNECVHPGNEDEISPCLSGEDDALIVYHSTKNVAKQPEVLYSVTADPTFFEDFASIKGDVDNLSSSENRLGNTKYVSIPLGPSEAPECVQSPCNHLEENAVANSILCDHSMVCDGQAVLETEKRIEISESTETNCEADFIGPSSECKDWFRESEEQHLSSQLVLEVKEGGHEFDVLIRNEGADGPGMQIECLPPVEVRMEINPLESSHEPFSEKSTSEKQKQHNCSSSENTSDVNDGYVMKQADQLGTLVGCSPEKDASSAVFSYSNEELEDYSELEDMDLGTDSDCSDEEAEDNLERTEMLGVTDLVLISDLDEFSVKGILNQEYPQEEDIHTASDLCEKTKTLLSESISSSDSMFGDPECLNEGTNFSRISEDMSKEDSNSGRIEHKYVVKAEYQIDAEKNFSAQVTGQELVPDEGKEVKVVKISPDPVVFALREEESGTPIPTKETFSVRDDMQISEFNVLCDDSLTSETNASEGKEKMILLDTKLEKKPDPIIVKPPNAVPFSDEWLAAIEAAGEEILTLKSGRVQHSPTEKSAPEPGPWSPVKKKSNQVVGPFDCTKYNNKGLPPAFD >scaffold_802661.1 pep chromosome:v.1.0:8:19449743:19450779:-1 gene:scaffold_802661.1 transcript:scaffold_802661.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APUM18 [Source:UniProtKB/TrEMBL;Acc:D7MTS5] MAVADNSFLMSTMFNASPYPKGISGYIPPPGFAPGASATPLHTALFNLMTDGEAVSYFREMISKSDKTVLQRMASLLTSDSDYFMAIVTNKYGSRRVQKLLGKSDEVDAFFCAAILRRFLHITTDKYASYVTIRGMVVFDKEMKKPMYEHILYHALDIACDQHGCIALNDIITDADDPYYRDQLLDLVASNALCLSNDASGNFVVQHVLTLYELRCIHNIAVNLFGHCIDLSFKKYGSYIVEKLLEAEESMLVVVVELLDCDGDTLMRLARNEFGNFVVVKALRFTKAMSKIDLFWGLVQKLMPFIHLLRRSHGSNIASILDSFRLRC >scaffold_802662.1 pep chromosome:v.1.0:8:19453265:19453985:1 gene:scaffold_802662.1 transcript:scaffold_802662.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ulp1 protease family protein [Source:UniProtKB/TrEMBL;Acc:D7MTS6] MGNTSGDDKILSYEDVVLRRSDLDILNGPNFLNDRVIEFYLSFLSTVHSSPTISLIPPSIAFWISNCPDTEYLKDFVKPLNLRDKDLLIFPVNDNTNVEVAEGGLHWSLLVYYKEANTFVHHDSFMGVNKWSAKDLFKAVSPFVSNGDASYKECTDTPQQKNGYDCGVFLLAIARVICEWFSAGGMKNRDELWFTNVKETLPDLVNHLREEILGLIKRLMSEGASK >scaffold_802669.1 pep chromosome:v.1.0:8:19483846:19485867:1 gene:scaffold_802669.1 transcript:scaffold_802669.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin protein kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MTT3] MVPRLDLIWMLISFFLLIHQSSQQETGFIFNGFRQGDLHVDGVAQILPGGLLQLTNTSEQKMGHAFFRQPFEFNSSESLSFSTHFVCAMVRKPGVTGGNGIAFFLSPSMDLSNADATQYLGLFNTTTNRSPSSHIFAIELDTVQSAEFDDIDNNHVGIDVNSLTSVESAPASYFSDKKGLNESISLLSGDSIQVWVDFDGTVLNVSLAPLGIQKPSQSLLSRSINLSEVIQDRMFVRFSAATGQLANNHYILGWSFSRSKASLQSLDISKLPQVPHPKKKPSLVLILLLIVLGIILLVLMGGAYLYRRNKYAEVREEWEKEYGPHRYSYKAMYKATKGFHKDGFLGKGGFGEVYKGTLPDEGDIAVKRFSHDGERGMKQFVAEIASMGCLDHRNLVPLFGYCRRKGEFLLVSKYMPNGSLDQFLFHNREPYLTWFKRLGILKGIASALKYLHTEATQVVLHRDIKASNVMLDTDFTGKLGDFGMARFHDHGANPTTTGAVGTVGYMAPELTAMGASTKTDVYAFGALILEVTCGRRPVELNLPIEKQLLVKWVCDCWRRKALISARDPRLSEDLIPEIEMVLKLGLLCTNLVPESRPEMVKVVQYLDGQVSLPDFSADSPGIGILTPVLVGGSSTVISNNSSPETHQFITHSIQYGVGR >scaffold_802671.1 pep chromosome:v.1.0:8:19490792:19504622:1 gene:scaffold_802671.1 transcript:scaffold_802671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASDISFFHLIELSSQQETRFVYENFRGQENLYLDGSARVLPSGLLHNSRPLSFSTHFVCALVPQPGVEGGHGMAFVVSPSMDFSHAESTRYLGIFNVSKNGSPSSHVLAVELDTIWNPDFEDIDHNHVGIDVNSPLSDGIASASYFSDIKRKNESINLLSGNPLQVWVDYEDNMLNVSMAPCEVQKPSRSPLLSQPINLSDIFPNRRLFVGFSAATGTAISYQYILSWSFSTSRGSLQRLDISRLPEVPHPRAEHKNLSPLFIVLLGFLAIMGLCTLTGMYFFRRSKYAEVTEAWEKEFGAHRFSYKSLYKATKGFNKDGFLGRGGFGEVYRGNLFSNREIAVKRMSHDGDQGVKQFVAEVVSMRCLKHRNLVPLLGYCRRKHELLLVSEYMPNGSLDEHLFDDQKPVLSWRQRLVIIKGIAYLHSVTFIQVRTKLFCTEISKLQTLCWTFHDHGGISDSTCAVGTIGYMAPDILHMGASTRTDEYAFGVFMVEVACGRRPVEPQLQLEKRVLIEWVYESRPTMEQVVLYLNKNLPLPDFSPYTVGISNHSSVLIDAASLVASRSWSAVSSANNSP >scaffold_802690.1 pep chromosome:v.1.0:8:19572253:19574619:1 gene:scaffold_802690.1 transcript:scaffold_802690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDSILFARTMGCFGCFGTSGGRTRQPPKPYDDDTYSCDSDVTSTARGEEEEDEEEVEQKSRSKRSEEILKYRLDNGLICRHIPVRETNELIRGEDENGDKTINEYVRVCKIGAGSYGKVVLYRSTLDGQYYAIKAFHKSHLLRLRVAPSETAMSDVLREVMIMKILEHPNIVNLIEVIDDPETDHFYMVLEYVDGKWVYDGSGPPGALGEKTARKYLRDIVTGLMYLHAHDVIHGDIKPDNLLVTSSGTVKIGDFSVSQVFKDDDDQLRRSPGTPVFTAPECCLVSGITYSGRAADTWAVGVTLYCMILGQYPFLADTLQDTYDKIVNNPLIIPDGLNPLLRDLIEGLLCKDPSQRMTPKNVSEHPWVIGEDGHVPEYFCWCKRNAASKIEEEEANGISETSDPN >scaffold_802691.1 pep chromosome:v.1.0:8:19575023:19575304:1 gene:scaffold_802691.1 transcript:scaffold_802691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKMKFAALLIVVISLLVNNVKSTREINLSGEICGFYEPAVFCKRKEDCFEKCGGRPSNKVFCVRTGHGFDRVCCCRA >scaffold_802695.1 pep chromosome:v.1.0:8:19589854:19593782:1 gene:scaffold_802695.1 transcript:scaffold_802695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVLPAPVSGVKVPDSKLGFGKSMNLARICDVRSLRSARRRVSVIRNSNQGSDVAELQPASEGSPLLVPRQKYCESLHKTVRRKTRTVMVGNVALGSEHPIRIQTMTTSDTKDIAGTVDEVMRIADRGADIVRITVQGKKEADACFEIKDKLVQLNYNIPLVADIHFAPAVALRVAECFDKIRVNPGNFADRRAQFETIEYTEDEYQKELQHIEQVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDYHNFVFSMKASNPVIMVQAYRLLVAEMYVHGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRRLANLGIKAAELQQGVAPFEEKHRHYFDFQRRTGDLPVQKEGEEVDYRNVLHRDGSVLMSISLDQLKAPELLYRSLATKLVVGMPFKDLATVDSILLRELPPVDDHMARLALKRLIDVSMGVIAPLSEQLTKPLPNAMVLVNLKELSGGAYKLLPEGTRLVVSLRGDEPYEELEILKNMDATMILHDVPFTEDKVSRVHAARRLFEFLSENSVSFPVIHHINFPTGIHRDELVIHAGTYAGALLVDGLGDGVMLEAPDQDFEFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGSPGKIDLYVGKTVVKRGIAMTEATDALIGLIKEHGRWVDPPVADE >scaffold_802697.1 pep chromosome:v.1.0:8:19596397:19598874:1 gene:scaffold_802697.1 transcript:scaffold_802697.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MTV9] MSSKAGKLVTSRSELDLDHPNIEDYLPSGSSINEPRGKLSLRDLLDISPTLTEAAGAIVDDSFTRCFKSNPPEPWNWNIYLFPLWCFGVVVRYCILFPLRCFTLAFGWIIFLSLFIPVNALLKGQDRLRKKIERVLVEMICSFFVASWTGVVKYHGPRPSIRPKQVYVANHTSMIDFIVLEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVAKKLRDHVQGADNNPLLIFPEGTCVNNNYTVMFKKGAFELDCTVCPIAIKYNKIFVDAFWNSRKQSFTMHLLQLMTSWAVVCEVWYLEPQTIRPGETGIEFAERVRDMISLRAGLKKVPWDGYLKYSRPSSKHSERKQQSFAESILARLEEK >scaffold_802701.1 pep chromosome:v.1.0:8:19605775:19607294:-1 gene:scaffold_802701.1 transcript:scaffold_802701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDDVQEGGAPAARDYRDPPPAPLLDMEEIRKWSLYRAVIAEFVATLLFLYVSVLTVIGYKAQTDATAGGVDCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTVGLFLARKVSLVRTVLYIVAQCLGAICGCGLVKAFQSSYYTRYGGGANELADGYNKGTGLGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNEKAWDDQWIFWVGPMIGAAAAAFYHQFILRAAAIKALGSFRSFA >scaffold_802702.1 pep chromosome:v.1.0:8:19612065:19612286:1 gene:scaffold_802702.1 transcript:scaffold_802702.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTW4] MKKPKKTHTTTLTFTMAPDKPSWTIDSSSSNNFSSKAIWDSDQESWELNIDDSCPLCC >scaffold_802717.1 pep chromosome:v.1.0:8:19720764:19721226:1 gene:scaffold_802717.1 transcript:scaffold_802717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSKFDIRFPALPCSILSVDAMDISGELLCDVKHDIIKRRLDSNGNTLRGKT >scaffold_802719.1 pep chromosome:v.1.0:8:19735868:19737150:1 gene:scaffold_802719.1 transcript:scaffold_802719.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein [Source:UniProtKB/TrEMBL;Acc:D7MUE3] MAELSCLQIHDANDAVFNHYHHQRRLHDHLRDQSFSQILYPLPHWVQSDDGDLYVSESDFSPGDVSVSDLVFTAADGLDLLDRRSFVMDLFHQRVEQSQVCPLDDDGIDDFENYEMRGDNVELDFGLELESGFVDSDLVVNLNEHDFVDGMRLLGIESRDVIVTVESGFDSDDEDDQEKDESEIWGIDLNEEDEYVNEEDDEEEDVSVTIPLCWDSLQLEDLGINNEEFEWEEVDGDDEREVSSVLDDNNSVSVSVSATISLEDLAISERRGSSSLGWEVLLNSRTLEFNLDDSESNLELYIGDIDHEEEDYEDYLHTTEYEMLFEAEISSGIGKPPASKSFIKNLKVSPLTNEDVMENDDDAVCCAVCKEEMNVGKEVAELPCRHKYHSECIVPWLGIRNTCPVCRFELPSD >scaffold_802722.1 pep chromosome:v.1.0:8:19744151:19744948:1 gene:scaffold_802722.1 transcript:scaffold_802722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSISLSCAPSLATSLFSTTSCSSPTLLSSRFLGTRNLKLQIRPARLGPSNGSRTTCWFKFGKNGVDAENAGIYGSQSRDDFDRDDVEQYFNYMGMLAVEGTYSKMEALLSLNIHPVDILLMLAATEGDRPKIEELLKAGADYSVKDADGRTAIDRANSEEIRDLILGYNAQKA >scaffold_802723.1 pep chromosome:v.1.0:8:19744981:19747772:-1 gene:scaffold_802723.1 transcript:scaffold_802723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTQVKALEEKLKSQLGQLELEQAVFERMVYKNKNQHRRCSYFQYLLKVRRDLRLLRTANMESMLRPCFHVISGRISKQKIHVLESLKLKKSDTGKPNILERLLGALHLLSQMTEPILKAASGISTLLARSFFIGFSVTFLALLARLRVLIQQILLDAVSVFNSVTSTSLKKQSVKIAQDGVEFYPKEEECITLLDCVWKTDKYVLLETLQNCENSKPMEEIFSEDATIRDSLVQYQTSVSSLGEDLSPLLEADNSGVTVRESSTPIAEAASSKTNIGLQPEDSEKPEDVTTRDCSVQYETFVSPLGEDLSPLREADNSGVTMRESSTPIVEAASSKTNNALQPEDSENPEDATTRDCSIQCQTFASPLGEDSKKVEDESTNPVRPTKINRDTVKPRCRATKVAFLPVKRPSSAIMPNTIEEPLRKKQETGEKDEKEKDGFYNLLIRGTQKDSLF >scaffold_802724.1 pep chromosome:v.1.0:8:19755067:19756046:1 gene:scaffold_802724.1 transcript:scaffold_802724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIHDYSMTGVGGGGGGGGRFFGGGMGGGGGGDRRMRAHQNNILNHHQSLKCPRCNSLNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGVLRNVPVGGGCRKAKRSKTKQVSSSSSADKPTTTQDDHHVEEKSSTGSHSSSESSSLTASNSTTVAAVSVTAAAEVASSVIPGSVIPGFDMPNMKLYGNGIEWSTLLGQGSSDGGVFSDIEGFTAASAIGTTAFGFGGKFVNQDDHLKLEGETVQQQQFGDRTAQVEFQGRSSDPNMGFEPLDWGSGGGDQTLFDLTSTVDHAYWSQSQWTASDQDQNGLYLP >scaffold_802725.1 pep chromosome:v.1.0:8:19760071:19760895:1 gene:scaffold_802725.1 transcript:scaffold_802725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENLERWLKELRDHTDSNIVIMFVGNKADLRHLRAVSTEDAKAFAERENTFFMETSALESMNVENAFTEVLSQIYRVVSRKALDIGDDPAALPKGQTINVGSKDDVSAVKKVGCCSN >scaffold_802727.1 pep chromosome:v.1.0:8:19763707:19764911:-1 gene:scaffold_802727.1 transcript:scaffold_802727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQWTIPKLVTWRVKDWASCFLACKIPLDVDEDGVNNNGNTTNNNNLTFKRIKRKIKSTKKKRSERKLSLSPPGTRHHHLHLRSSSVSPTTSASQHRRLSWPQPPVSEESGFIVFCFDREDGGFDVVKEGKQERKETELSSEKSPRTVNRKLVYGGDQGVGETEKNKSPENKGTEQDQNNNTSCQETKDVSCDVTQKTEVEEEDIDASDKSSGSSHSDEGRGSFAFPILGVEWMGSPVKMPESDDLSPKKQKPVALGFQCCRF >scaffold_802730.1 pep chromosome:v.1.0:8:19786529:19790137:-1 gene:scaffold_802730.1 transcript:scaffold_802730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSSKGKLFEYSTDSCMERILERYDRYLYSDKQLVGRDVSQSENWVLEHAKLKARVEVLEKNKRNFMGEDLDSLSLKELQSLEHQLDAAIKSIRSRKNQAMFESISALQKKDKALQDHNNSLLKKIKEREKKTGQQEGQLVQCSNSSSVLLPQYCVTSSRDGFVERVGGGNGGASSLTEPNSLLPAWMIRPTTTNE >scaffold_802738.1 pep chromosome:v.1.0:8:19832921:19835528:-1 gene:scaffold_802738.1 transcript:scaffold_802738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENEVVKTFAELGVREELVKACERLGWKNPSKIQAEALPFALEGKDVIGLAQTGSGKTGAFAIPILQALLEYVYDSEPKKGRRPDPAFFACVLSPTRELAIQIAEQFEALGADISLRCAVLVGGIDRMQQTIALGKRPHVIVATPGRLWDHMSDTKGFSLKSLKYLVLDEADRLLNEDFEKSLNQILEEIPRERKTFLFSATMTKKVRKLQRACLRNPVKIEAASKYSTVDTLKQQYRFVAAKYKDCYLVYILSEMPESTSMIFTRTCDGTRFLALVLRSLGFRAIPISGQMTQSKRLGALNKFKAGECNILVCTDVASRGLDIPSVDVVINYDIPTNSKDYIHRVGRTARAGRSGVGISLVNQYELEWYIQIEKLIGKKLPEYPAEEDEVLSLLERVAEAKKLSAMNMKESGGRKRRGEDDEESERFLGGNKDRGNKDRGNKDKKSSKKFKR >scaffold_802741.1 pep chromosome:v.1.0:8:19843745:19845707:1 gene:scaffold_802741.1 transcript:scaffold_802741.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATEXO70E2 [Source:UniProtKB/TrEMBL;Acc:D7MUG6] MAEFESKLPVSGGKNHVFEACHHVVKALRASDNNLDANLRKLLSDLESHLSTFGIADTKVEDAGFSEIKERFKEAVKKICSWETNQSTILEAGLSAANQFFQALYEVQSVLVGFKAFPIKTNPKEKDVYNQATVALDIAMLRLEKELRDVLHQHKQHVQPEYLAVSSHRKDIVYDESFVSLDDEVVVEASSHEDDEQISDFYNSDLLDPIVLPHIKAIANTMFACEYDQPFCEAFIIVQREALDEYMVTLEMERFSCVDVLKMDWEDLNGAMRKWTRVVKIITQVYLTSEKQLCEEILGDFESISTACFIEISKDTVLSLLNFGEAVALRSCKPEMLERFLSMYEVSAEILVDVDNLFPDETGSFLRIAFHDLSKKLADHTTATFLKFKYAIASDESTRPFHGGGIHHLTRYVMNYLKLLPEYTDTLNSLLQNIHIDDSIPEKTGEDVLASTFSPMARHLRSIVTTMESSLERKAQLYADEALKSIFLMNNFRYMVQKVKGSELRHLFGDEWIRKHIASYQRNVTNYERSTWSSILALLTDNNNSVKSLRERCRLFSLAFDDVYKNQTRWSVPDPELRDDLHISTSVKVVQSYRGFLGRNAVRIGEKHIRYTCEDIENMLLDLFECSPSPRSLLSSRRR >scaffold_802745.1 pep chromosome:v.1.0:8:19856512:19860369:-1 gene:scaffold_802745.1 transcript:scaffold_802745.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:D7MUH0] MAMAGESSGKKCGDGDGRVAGKSQRKVGLIYDEAMCKHDTPDGEDHPECPDRIRVIWEKLQLAGVSQRCVLLGSSKAEDKHLQLVHTKDHVNLVKSISTKQKDYQRNRIASQLNSIYLNRGSSEAAYLAAGSVVKVAEKVAEGELDCGYAIVRPPGHHAEADEAMGFCLFNNIAVAASYLLNQRPDLGVKKILIVDWDVHHGNGTQKMFWEDPRVLFFSVHRHEYGSFYPAGDDGDYNMVGEGPGEGFNINVPWDQGRCGDADYLAAWDHILIPVAREFNPDIILLSAGFDAAIGDPLGGCRVTPYAYSVMLKKLMEFAHGKIVMALEGGYNLDSIAKSSLACVQVLLGDKQIHGSSEAYPFESTWRVIQAVRKRLCAYWPSLADELSWKLINQKTPTPIILISSSDSDNEDDAHGLLDQMSKLNIENHQGTLLENHQVDTASTSWRADLANVDVWYASFGSNMWKPRFLCYIQGGQVDGMKKTCVGSMDKSPPKEIVWETFPHRLFFGRESSVGWGVGGVAFTNPLANLIDQTHMCLYRITLEQFNDVLSQENGLNVDSDSPVFDLASLQLVENKGSILEAALNSWYGNVVCLGKEHDIPILTMTCTLSAVEKFKSGEIPLRPPAKAYANTLIRGLVEGGRFSKEEAEAYIDNAVSKPL >scaffold_802746.1 pep chromosome:v.1.0:8:19860814:19864432:-1 gene:scaffold_802746.1 transcript:scaffold_802746.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:D7MUH1] MTMTGESSGKKCGDGGGKVAGKSQRKVGLVYDETMCKHDTPNGEVDVECPDRIRFIWEKLQLAGVTQRCVVLGGSKAEDKHLKLVHTKKHVNFVKSISTKQKDSRRNRIASVLDSIYLNGGSSEAAYLAAGSVVNVAEKVAEGELDCGFAIVRPPGHHAEADEAMGFCLFNNVAVAASYLLNERSHSFGVFVLMFVCFLQMQPDLGVKKILIVDWDIHHGNGTQKMFWKDPRVLIFSVHRFKVAGNAYVARYDHGSFYPASDDGDYNMVGEGPGKGFNINVPWEQGGCGDADYLAAWDHILIPVTKEFNPDIILLSAGFDAAIGDPLGGCCITPDGYSVMLKKLMEFAQGKIVLALEGGYNLESLAKSSLACVQVLLEEKGIQCSSGAYPLESTRRVIRAVRERLCTYWPSLADELSCKLIDQKTLTPVGHLSSCLPFPSSFFVGREFIVTGARTEGPNLTVLPSLEPRSTSHLSPHSHLSVTNKRKAPASGLTSSYRFSELEDVMVEKVLPSFQEEVMGMEFQDCIAFGKEISYKENRELRYNVFAASDTLMTSNENLKNLSADKNPADAILHEVEELKSLWAARDGELEARRKELKAKKMELETWLMLVGAREDEFRGLRAKVESLMRERDEAVAKAERLDKELQEERPRSRLENEEMDMDSEDEDMDMDSEELEHDLKTMSREFKKDINLVFEKFKKDNELFIGELKKERELAITAAVITTRYQVCREWKSQGTLSLELKFAAEVYHKLRSLIEKRGKSHIYATVEMYEEEWKEFVGPRHHPTVTVKSKD >scaffold_802752.1 pep chromosome:v.1.0:8:19877640:19877841:-1 gene:scaffold_802752.1 transcript:scaffold_802752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFCPTSIGFLCGGWSVSFPASSGSVSGDGRLLPGKFGFHMRFWPVSVFIPP >scaffold_802753.1 pep chromosome:v.1.0:8:19879647:19881776:-1 gene:scaffold_802753.1 transcript:scaffold_802753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVLSLLLIAMAGHSSASWCVCKTGLSDTVLQGTLDYACGNGADCNPTKPKQSCFNPDNVRSHCNYAVNSYFQKKGQSPGSCNFDGTATPTNSDPSYTGCTFPTSASGSSGSTTVTPGTTNPKGSSSTTTLPGSGTNSPYSGNPTNGVFGGNSTGGTTGTGINPDYTTESSAFALKNSSKLFVCLLLVASSGFCSFLML >scaffold_802754.1 pep chromosome:v.1.0:8:19883469:19896238:1 gene:scaffold_802754.1 transcript:scaffold_802754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHMLLSTPFNASDRLLPRRAETTEKKNLKRRKYRVFVMLAQLPRLTSSLREPFDIDQAYLRRKTILQTLNKPRSSGNRLDESDLAKGIVHQWEGASPEVRQAYKQFIGAVVELIDREVPSDEFREVAFSTYRLFGKPVEEDSDINDNKNIAENKLELQNLVGHAVSDANVKNVASLAQALYSIQPTHQSETYLNEVNGGAEFGADLVFNLPARFLVEASLDEKGFLDVESNDAHASFSEGWSDVSDTKNNHSAGKFNLSWLRDACGQMVRETNSQLSREELAMAICRFLDSDKPGEEIAGDLLDLVGDGAFETVQDLIMHRKEIVDAIHHGQMILKSDKTASNTQSRMPTYGTQVTVQTESAKQIEKLRRKEEKKNKRNADLGLESEISEANFSSLLEASEKKTAFEDLIGSGEANSLALALPQGTVRKHLKGYEEVFIPPTPTAQMKPGEKLIEIKELDDFAQAAFHGYKSLNRIQSRIFQTVYHTNENILVCAPTGAGKTNIAMISVLHEIKQHFRDGYLHKNEFKIVYVAPMKALAAEVTSAFSRRLAPLNMVVKELTGDMQLTKSELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGAVIEALVARTLRQVESTQTMIRIVGLSATLPSYLQVAQFLRVNPDTGLFYFDSSYRPVPLAQQYIGITEHNFAARNELLNEICYKKVVDSIKQGHQAMIFVHSRKDTSKTAEKLVDLARQYETLDLFANETHPQCQLMKKDVMKSRNKDLVKFFEAGFGIHHAGMLRSDRTLTERLFSDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDAKAGGWKDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFISSLKDNLNAEVVLGTVTNVKEACAWLGYTYLSIRMKLNPLAYGIGWDEIIADPSLSLKQRALVADAARSLDKAKMMRFDEKSGNFYCTELGRVASHFYIQYSSVETYNEMLKRHMNESEIINMVAHSSEFENIVVREEEQHELETLARSCCPLEVKGGPSNKHGKISILIQLYISRGSIDAFSLVSDASYISASLARIMRALFEICLRKGWCEMTLFMLEYCKAVDRQLWPHQHPLRQFDRDLPSDRGADLDRLYEMEEKDIGALIRYNPGGRQHLGYFPSIQLAATVSPITRTVLKVDLLITPDFIWKDRFHGAALRWWILIEDTENDYIYHSDLFTLTKRMARGEPQKLSFTVPIFEPHPPQYYVHAVSDSWLHAESFFTISFHNLALPEARTSHTELLDLKPLPVTSLGNKLYESLYKFSHFNPIQTQIFHVLYHTDNNVLVGAPTGSGKTISAELAMLRLFSTQPDMKVVYIAPLKAIVRERMNDWKKHLVAPLGKEMVEMTGDYTPDLVALLSADIIISTPEKWDGISRNWHTRSYVKKVGLVILDEIHLLGADRGPILEVIVSRMRYISSQTERSVRFVGLSTALANAGDLADWLGVGEIGLFNFKPSVRPVPIEVHIQGYPGKYYCPRMNSMNKPAYAAICTHSPTKPVLIFVSSRRQTRLTALDLIQFAASDEHPRQFLSVSEEDLQMVLSQITDQNLRHTLQFGIGLHHAGLNDHDRSAVEELFTNNKIQVLVSTSTLAWGVNLPAHLVIIKGTEYFDGKTKRYVDFPLTEILQMMGRAGRPQFDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLKEKLHDHFNAEIVSGTIGNKEDAVHYLTWTYLFRRLMANPAYYGLEGTQDETICSYLSRLVQTTFEDLEDSGCLNVNEDSVEPTMLGTIASQYYLCYMTVSMFGSNIGPDTSLEAFLHILAGASEYDELPVRHNEENYNKTLSDKVRYPVDNNHLDDPHVKANLLFQAHFSQLALPISDYNTDLKSVLDQSIRILQAMIDICANSGWLSSSLTCMRLLQMVMQGMWSDQDSSLWMIPCMNDDLLGSLTARGIHTLHQLLDLPKETLQSVTGNFFASRLSQDLQRFPRIQMNVRLQKKDSDGKKKPSTLEIRLEKTSKRNSSRALAPRFPKVKDEAWWLVLGDISTSELFAVKRVSFTGRLITRMELPPTITSFQDTKLILVSDCYLGFEQEHSIEQLARR >scaffold_802760.1 pep chromosome:v.1.0:8:19912874:19914250:1 gene:scaffold_802760.1 transcript:scaffold_802760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLILASLPRAFSRAKTQRDMNGVAYNNSVQQTLSFNGFGLKYLTTTATTEAEFATAKTSVWWDFDNCNVPKGCDGHAIAQNIKSALLKRNYCGPLTIYAYGDTNQIPSSVQQALSPTALSLIHVPPGVKDGSDKKILVDMLLWAMENQAPANIMLISGDRDFAYVLHQLGMKKYNILLAQPENASPILIAAAKTVWLWTNIVASKVPNEQAQPSEKNQRYCEKCNVTCTSMIDFNSHLSSKKHKKKCANVIIGVTKPKAKPKALCCKLCQVHCPNEAMYASHISGRKHKNKLKSQAAESR >scaffold_802763.1 pep chromosome:v.1.0:8:19923842:19925326:1 gene:scaffold_802763.1 transcript:scaffold_802763.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptosomal-associated protein 33 [Source:UniProtKB/TrEMBL;Acc:D7MUI6] MFGLRKSPANLPKHNSVDLKSSKPNPFDSDDELDNKHTLNPSKRTTSEPSLADMTNPFHGERVEKGFSSSSKQSLISTSKYQYKNNFRDSGGIENQSVQELEGYAVYKAEETTKSVHGCLKVAEDIRSDATRTLVMLHEQGEQITRTHHKAVEIDQDLSRGEKLLGSLGGMFSKTWKPKKTRPINGPVITRDHSPTRRVNHLEKREKLGLNSAPKGQSRTREPLPESADAYQRVEMEKAKQDDGLSDLSDILGELKNMAVDMGSEIEKQNKGLDHLHDDVDELNFRVQQSNQRGRRLLGK >scaffold_802777.1 pep chromosome:v.1.0:8:19974498:19975517:-1 gene:scaffold_802777.1 transcript:scaffold_802777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTMEDPSKIMRRSIHTFLQNYHRVTTAAAVALPFSAGLLLSQPFFSSSSSILHMRLNMLFRGAGFSSSHNFFNILSLKLSQTLSSSLFTLPFSLTFLLLSKAYVIKLLSNNHSADSSVFYLRLLKTYVCNFFFLLSANASAFALFFLAYNILEAFGFSSRNFYTFLSVSSPIIYSIIIANAFVISNLALVSSPSSSSGGYTNILKACLLIHGRSSTALAIALPTNLGLAGVEALFQYRVLRSYYKGDRDITSIALEGTFIAYLYALFLVLDSIVNFLFYQSCIKNEEDQKIGREDEYSIKIQISETENTKIHIKGSKNLQEIL >scaffold_802778.1 pep chromosome:v.1.0:8:19982108:19984677:1 gene:scaffold_802778.1 transcript:scaffold_802778.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MUJ9] MGGEFRHFSSHVSLLLLLFLVVKSSSSFTPADNYLIDCGSSGETKLSDGRNFKSDQQSVAFLQTDEDIKTSVDSIPISDSNSSTLPLYLTARIFAGKSTYSFYISRPGRHWIRLHFYPLNHPLYNLTNSVFSVTTDATVLLHDFSAGDTSSIAFKEYLIYAAEKLSLYFKPHKGSTAFINAVEIVSVPDELVPDSASSVPQAPDFKGLSSFSLEILHRINIGGDLISPKIDPLSRTWLSDKPYNLFPEGSRNVTVDPSTITYPDGGATALIAPNPVYATAEEMADAQTSQPNFNLSWRMSVDFGHDYFIRLHFCDIVSKSLNDLVFNVFINKLSAISALDLSSLTNALGAAYYADFVLNASTITNGSILVQVGPTPSLQSGKPNAILNGLEIMKLNNAAGSLDGLFGVDGKYKGPIGGMSSKKLAIAGIGFVMALTALLGVVMLLVRWQRRPKDWQKQNSFSSWLLPLHASHSSYISSKGGSTSRRMSIFGSKKSKSNGFSSFFSNQGLGRYFPFTELQIATQNFDENSVIGVGGFGKVYIGEIDGGTQVAIKRGSQSSEQGINEFQTEIQMLSKLRHRHLVSLIGFCDENKEMILVYEYMSNGPLRDHLYGSKENDPNPIPTLSWKQRLEICIGSARGLHYLHTGAAQGIIHRDVKTTNILLDENLVAKVSDFGLSKDAPMEQGHVSTAVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPVINPQLPREQVNLAEYAMNLHRKGMLEKIIDPKIVGTISKGSLRKFVEAAEKCLAEYGVDRPGMGDVLWNLEYALQLQEASAQVDLSEDKTTMNIEMDYIPGEEMQTPSHSVL >scaffold_802783.1 pep chromosome:v.1.0:8:19996600:19999024:1 gene:scaffold_802783.1 transcript:scaffold_802783.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MUK4] MNINRNKPTRVLYHYGTSRFVQSLVQMLKHLFLQHRRTSSAYFYKFQFFTFRLFSQSPYLPNSASSLLSLSSSSSSSLAEAILKCRSAEEAFRLFETSSISRLSKTTDLQSFSAVIHVLTGAHKYTLARCLIKSLIERLRRYSEPTNISHRLFNALEDIQSPKFSIGVFSLLIMEFLEMGLFEDALWVSREMRCSPDSKACLAILNGLVRRRRFDSVWVDYQLMISRGLVPDVHIYSVLFQCCFKQGFPSKKEKLLDEMTSLGVKPNVYIYTIYIRDLCRENKMEEAEKMFELMKIHGVVPNLYTYSAMIDGYCKTGNLRQAYGLYKEILVAELLPNVVVFGTLVDGFCKARELVAARSLFVHMVKFGVDPNLYVYNCLIHGQCKSGNMLEAMGLLSEMESLNLSPDVFTYTILINGLCTEERLAEANRLFQRMKNERIFPSSVTYNSLIHGFCKEYNIEKALDLCSEMTSSGVEPNIITFSTLIDGYCKVRNIKAAMGLYFEMTIKGIVPDVVTYTTLIDAHFKEANMKEALRLYSDMLEAGIHPNDHTFACLVDGFWKEGRLSDAIDFYLENNQAATGKSIVQRSCWKYVGFTCLIEGLCQNGYILRASRFFSDMKSGGVTPDIWSYVSMLKAHLREKRITDTMMLHCDMIKTGILPNLMVNQLLAMFYQENGYLRSACFLTNSSRLGTIFKNTCNSIFLITVFHCKMYGICISSIYKTMVQNNIEEPTL >scaffold_802784.1 pep chromosome:v.1.0:8:19999283:20001119:-1 gene:scaffold_802784.1 transcript:scaffold_802784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAASLCCSSAQVNGFGLRPERSLLYQPTSFFFSRRRSHGIVKASARVDKFSKSDIIVSPSILSANFAKLGEQVKAVELAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQQSTIHLHRTVNQIKSLGAKAGVVLNPGTPLSAIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRKMCAEKGVNPWIEVDGGVTPANAYKVIEAGANALVAGSAVFGAKDYAEAIKGIKASKRPEAVAV >scaffold_802785.1 pep chromosome:v.1.0:8:20004655:20006067:-1 gene:scaffold_802785.1 transcript:scaffold_802785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKPCCVGERLKKGAWTTEEDKKLISYIHDHGEGGWRDIPQKAGLKRCGKSCRLRWTNYLKPEIKRGEFSSEEEQIIIMLHASRGNKWSVIARHLPRRTDNEIKNYWNTHLKKRLIEQGLDPVTHKPLASSSNPTVDENLNSLNDSSSDKQYSRSSSMPTLSRPLPSSCNMVSKVCEISSNDGTPVQGSSLSCKKRFKKSSSTSRLLNKVAAKATSIKDILSASMEGSLSATTISHASFFNGFTEQIHNGEDSSNTSLTNTLAEFDPFSPSSLYPEHEINATSDLNMDQDYDFSQFFEKFGGDNHNEENSMNVEYSHDLLMSDVSQEVSSTSVDDQDNMVGNFEGWSNYLDHTNFMYDTDSDSLEKHFI >scaffold_802796.1 pep chromosome:v.1.0:8:20066497:20068309:-1 gene:scaffold_802796.1 transcript:scaffold_802796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSESGGKITYFVVASCVMAAMGGVLFGYDIGVSGGVMSMGPFLKRFFPKVYKLQEEDRRRRNIHNHYCLFNSQLLTSFTSSLYVSGFIATLLASSVTRSWGRKPSIFLGGVAFLVGAALGGSAQNVAMLIIARLLLGVGVGFANQSVPLYLSEMAPAKYRGAISNGFQLCIGIGFLSANVINYETQKIKHGWRISLATAAIPASILTLGSLFLPETPNSIIQTTGDVHKTELMLRRVRGTNDVQDELTDLVEASSGSDTDSNAFVKLLQRKYRPELVMALAIPFFQQVTGINVAAFYAPVLYRTVGFGESGSLMSTLVTGIVGTTSTFLSMLVVDRIGRKTLFLIGGLQMLVSQVTIGMIIMVADVNDGVIKEGYGYAVVVLVCVYVAGFGWSWGPLGWLVPSEIFPLDVRSAAQSVTVAVSFVFTFAVAQSAPPMLCKFRAGIFFFYGGWLVVMTVAVQLFLPETKNVPIEKVAGLWEKHWFWRRMTSKRDIQETTILGSS >scaffold_802802.1 pep chromosome:v.1.0:8:20095160:20095476:-1 gene:scaffold_802802.1 transcript:scaffold_802802.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MV35] MVGTTPAINTLATPTQPGSLANEFVPGNVYGSNMFASLDSGVTTGFEMDDFSLKTRGGRSIKPTKKIQEMQWTMVGGRGKRGRGGRGGHG >scaffold_802804.1 pep chromosome:v.1.0:8:20098691:20101067:-1 gene:scaffold_802804.1 transcript:scaffold_802804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGISFPLAVKLPEVPSSRGSSTVFLPAQIKNKTREISISSNSPSSLTVNDGNCCYSETSLIELIRESADERCLKKAKSIHGFVIKFQLIEKSLTVMLNQLVIAYSKCSDFGSARQVFDEIPQKSVFSWTVLMVGATENGFYRDGIDYFVEILGCDIVPDEYALSAAIQACIGVDSIVVGEMVHAQVITRGFSSRTFVNTSLLGMYAKLGRIGDSCKVFNSLENRNQVSWNAMISGFVSNGLYAEAYNSFLRMLGEEIRPNVACFISVSKAIGQLGDVEKGRYINRIAFEIGMQSNIHVGTALIDMFAKCGCVTESWSVFVSNFSGCGVNLPWNAMISGFTISGHGEEAMLLFLRMCQNNIKRDVYTYCSTLNSIADMRSLEYVKQLHGMIWKSGSIGVSLCNALMDAYAKCGELDAMRKLFDTWEESNQISWTTLVTAYSQSSEWEDALSVFSQMREMGFQPNQVTFSGVLASCASLCSLEYGQQVHSLTCKTGFARDKCVESVLIDMYAKCGSVRDAIKVFESLKDPDVISWTAMISGYAQHGMAKDALELFRKMELVLPNPNSATFLCLLFACSHGGLVDEGLRYFHLMEERYGLVPEIEHYACVVDILGRVGRLTEAWKFIMKMPIEPDEKVWSTLLGACRVHGNIQLAKIAAQKVLSYNPDDFAALVLLSNTYREAGNIEGGLNVRNMMKSQAMRKETGMSWICIGGKIHKFCSGDQYHPQKDDIYKTLNVLMEKVQGKKC >scaffold_802811.1 pep chromosome:v.1.0:8:20116134:20117242:1 gene:scaffold_802811.1 transcript:scaffold_802811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILNKLGIGSSRQTNMNLSPTAQGPDEDAPAPGNQFAQFGAGCFWSVELAYQRVPGVTQTEVGYSQGITHNPSYGDVCSGTTNHAEIVRVQYDPKECSYESLLDLFWSKHDPTTLNRQGNDVGTQYRSGIYFYNPEQEKLARESLERHQQQVDRKIVTEILPAKKFYRAEEYHQQYLSKGGRFGLKQSTAKGCNDPIRCYG >scaffold_802817.1 pep chromosome:v.1.0:8:20148200:20148541:1 gene:scaffold_802817.1 transcript:scaffold_802817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLNKKVAAVVMMFFCITLVYISCVKAETELFLVDCLNSIKQVRGCSDAIGGILHWDFSHLKRACCEALEGLQDHCWFILFPHQPLVHAMVKGVCFFP >scaffold_802821.1 pep chromosome:v.1.0:8:20161614:20161955:1 gene:scaffold_802821.1 transcript:scaffold_802821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLNKKVAAVVMMFFCITLVYISCVKAETELFLVDCLNSIKQVRGCSDAIGGILHWDFSHLKRACCEALEGLQDHCWFILFPHQPLVHAMVKGVCFFP >scaffold_802822.1 pep chromosome:v.1.0:8:20162849:20164259:1 gene:scaffold_802822.1 transcript:scaffold_802822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKISLAVCLTLLVTLSTVYETQGTFSLPLYLKNFPKVGKDYEAFANKGISDFLGELEGMCPKTAEFKDFFEKLKDYMASFNSASPGSKDNLFEMSVKSEKLFKAMSAFNSSNGGTSEDSWKLVDGLLSMGKGLVEMKKSGSKEITFEQRRDLISSMVKWARAIGLFVKAASEDKGQSIDLASFGIDYDNHVESPFSKRAMYEKQGTFSLPHYLKNAPKMGKDIEPFAYNGMSDFLGSLESKCPATPEFQDFFVKLEDYMACFKLVSPESKDDMSVKSEKLFRAMILLDGTKGGTSVDSWRMLDGMLSMGKVLVEMKKSGSKEITFEQRRDLISSMVKWARAIGLFVKTASGNKGKPIDLAPFGIDYENNVGNGKGNYRTGPVLIDDGSEL >scaffold_802827.1 pep chromosome:v.1.0:8:20177390:20179005:1 gene:scaffold_802827.1 transcript:scaffold_802827.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brix domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MKM7] MGRFKNNKKSRVIVKPIPKKNQEDVSHVTGDKIPKSFVFSRMKLPGPVKQLQMDLRKLMLPYTALSLKEKKRNTLRDFLNVSGPMGVTHFLMLSKTASSLSLRVARTPQGPTLTFKIHQYSLASDIAQSQLRPRCPQDLFKSPPLIVLSGFGSQELHLKLATIMFQNIFPAIDINTVKLSTCQRLVLLNYNKDTKLIDFRHYSIRLQPVGVSRRIRKFVQNHQVPDLRNLQDVSDFVTKAGYGSESEGDEEAATVTLSSDLGRVNKGSTKSAVKLQEIGPRMTMQLVKVEEGLCTGGIIFSEYG >scaffold_802828.1 pep chromosome:v.1.0:8:20184520:20189074:1 gene:scaffold_802828.1 transcript:scaffold_802828.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MKM8] MATGAATENQWLKGRVKAVTSGDCLVITALTHNRAGPPPEKTITLSSLMAPKMARRGGIDEPFAWESREFLRKLCIGKEVAFKVDYKVEAIAGREFGSVYLGHENLAKLVVQNGWAKVRGPGQQNQDKVSPYIAELVQLEQQAQQEGFGRWSKVPGAAEASIRNLPPSAVGDSGNFDAMGLLAASKGKPMEGIVEQVRDGSTLRVYLLPEFQFVQVFVAGLQAPSMGRRQSTQEAVVEPDVTATSNGDASAETRGPLTSAQRLAASAASSVEVSSDPFAMEAKYFTELRVLNRDVRIVLEGVDKFNNLIGSVYYSDGDTVKDLGLELVENGLAKYVEWSANMLDEEAKKKLKATELQCKKNRVKMWANYVPPASNSKAIHDQNFTGKVVEVVSGDCLVVADDSIPFGSPMAERRVCLSSIRAPKMGNPRREEKPAPYAWEAKDLLRLKLIGKEVIVQMEYSRKISPGDGVTTSGAGDRVMDFGSVFLPSTTKGDADVAAAATPGANIAELIIARGYGTVVRHRDFEERSNHYDALLAAEARAIAGKKGIHSAKDSPAMHITDLTVASAKKAKDFLPSLSRGKRISAVVEYVLSGHRFKLYIPKETCSIAFAFSGVRCPGRGEPYSEEAIALMRRKIMQRDVEIVVETVDRTGTFLGSMWESKTNAGTYLLEAGLAKMQTGFGADRIPEAHILELAERSAKNQKLKIWENYVEGEEVVNGSSKVETRQKETLKVVVTEVLGGGRFYVQTVGDQKVASIQNQLASLSLKDAPIIGSFNPKRGDIVLAQFSLDNSWNRAMIVDGPRGAVQSPEEKFEVFYIDYGNQETVPYSAIRPVDPSVSSAPGLAQLCRLAYIKVPGLEDDFGPDAGEYLHTVTLGSGKEFKAVVEERDTSGGKVKGQGTGTKLAVTLIAVDDEISVNAAMLQEGIARMEKRRKWEPKDKQAALDALEKFQDEARKSRTGIWQYGDIQSDDEDTVPVRKPGRG >scaffold_802831.1 pep chromosome:v.1.0:8:20194294:20196563:-1 gene:scaffold_802831.1 transcript:scaffold_802831.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7MKN1] MEAEKSKKNPVKKPVEATMEHVLVALRETKEERETRIRKLFEFFDNSKLGFLDDTQIEKGLSSLSIPQNYRYASDFLKVCDANRDGRVDYQEFRRYMDSKELELYKIFQAIDVEHNGDICPEELWEALDKAGIKIKDEELASFMEHVDKDNNGIITFEEWRDFLLLNPHEATIENIYHHWERVCLIDIGEQAVIPDGISTHAQRSKLLLAGGIAGAVSRTATAPLDRLKVALQVQRTNLGVVPTIKKIWREDKLLGFFRGNGLNVTKVAPESAIKFAAYEMLKSIIGGVDGDIGTSGRLLAGGLAGAVAQTAIYPMDLVKTRLQTFVSEVGTPKLWKLTKDIWIQEGPRAFYRGLCPSLIGIIPYAGIDLAAYETLKDLSRSHFLHDTAEPGPLIQLGCGMTSGALGASCVYPLQVIRTRMQADISKTSMIQEFLKTLRGEGLRGFYRGIFPNFFKVIPSASISYLVYEAMKKNLALD >scaffold_802833.1 pep chromosome:v.1.0:8:20200203:20201717:1 gene:scaffold_802833.1 transcript:scaffold_802833.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MKN3] MTNKEKARERREKRMQEISLLRTIPYSDHHRWWSSENVAVVTGSNRGIGLEIARQLAGHGLTVVLTARNVDAGLEAVKSLRHQEEVLKVDFHQLDVKDSSSIREFGFWIKQTFGGLDILVNNAGVNYNLGSDNSVEFAETVISTNYQGTKNMTKAMIPLMRPSPHGARIVNVSSRLGRVNGRRNRLANVELRDQLSNPDLLTEELIDRSVSIFINQVKDGTWESGGWPQTFTDYSMSKLAVNAYTRLMAKELSRRGEEEKIYVNSFCPGWVKTAMTGYAGNMPPEDAADTGVWLSLVLSEEAVTGKFFAERREINF >scaffold_802837.1 pep chromosome:v.1.0:8:20215342:20216266:1 gene:scaffold_802837.1 transcript:scaffold_802837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVLASLFVMTSGIIARACTQAYRQALANASKTGVVHEAAQKIKRGLIISEPEARQILGVTEKSSWDEVIKKYDTLFQRNAQNGSFYLQSKVHRAKECLEAAYQKNSTRSV >scaffold_802838.1 pep chromosome:v.1.0:8:20217446:20218607:-1 gene:scaffold_802838.1 transcript:scaffold_802838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKGPFGGDESEEKEEDENLFPVFSARSQHDMRVMVSALTQVIGSNSHDNMSSIDNYPSVYNPQDPNQQVAPTHQDQGNLRRRHYRGVRQRPWGKWAAEIRDPQKAARVWLGTFETAESAALAYDEAALKFKGSKAKLNFPERVQLGSNSTYYVSNQIPQIEPQNIPNYNQYYQDGSSNDMLSFNLGGGYGSGSGYSMSHDHSTTTAATASSSSGGSSRQHEDQDYARFWRFGDSSSSPHSGF >scaffold_802845.1 pep chromosome:v.1.0:8:20245024:20249605:-1 gene:scaffold_802845.1 transcript:scaffold_802845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDIMEQRGVSTPSHFHEDIHITSERQFGFMKTDLMPENQGGRDRLSSMPKSSWTPESYQLRPQSSFSGSHPSVSPNARNTTNGSQWESSLFSSSMSDLFSRKLRLQGSDMLSTMSANTVVTHREEEPSESLEEIEAQTIGNLLPDEDDLFAEVTGEVGRKSRANTGDDLDEFDLFSSVGGMELDGDVFSSVSHRNGERGGNNSFGELHRGEIPSRTLLVGNISSNIEDYELRVIFEQFGEIQALHTACKNRGFIMVSFYDIRAAQNAARALQNKLLRGTKLDIRYSISKENPLEKDTCKGALLVNNLDSSISNQELNRLVKSYGEIKEIRRTMHDNPQIYIEFFDVRAAAAALGGLNGLEVAGKKLQLVPTCPEGTRYTSQCAAHDAEGCLPKTSYSNTSSGHIGRHFPGMMSSTSNDGGSMRVIHNSVGSPVNSFIERHRSLSIPIGFPPSANAISASKPVGLQEHGHHFDNSNMGIQSMPNLHPHSFSDYVDNFANGSPYKSSAFSEMVNDGSKANESFMIHNVRGVDGFSGGGIGSPMHQSSRRPNLNLWSSSNTQQQNPSSGMMWPNSPSHINSIPTQRPPVTVFSRAPPIMVNMASSPVHHHIGSAPVLNSPFWDRRQAYVAESLESSGFHIGSSPSHPMDIGSHKTFSVGGNRMDVTSQNAVLRSPQQLSHLFPGRSPMGSMPGSFDSPNERYRNLSHRRSESSSSNADKKLYELDVDRILRGDDRRTTLMIKNIPNKYTSKMLLSAIDEHCKGTYDFLYLPIDFKNKCNVGYAFINLIEPEKIVPFFKAFNGKKWEKFNSEKVATLTYARIQGKTALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQEPFPMGSNIRSRPGKPRSSSIDNYNSFSISSVSENREEPPNGTDPF >scaffold_802849.1 pep chromosome:v.1.0:8:20283270:20283477:-1 gene:scaffold_802849.1 transcript:scaffold_802849.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MKP9] MDEEARRYRKRKKDEKMGRENSRVGNALAKRCGTQEYCVAFVCGLKATIVGIFVR >scaffold_802850.1 pep chromosome:v.1.0:8:20286182:20290138:1 gene:scaffold_802850.1 transcript:scaffold_802850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:D7MKQ0] MASSEVSMKGNRGGDNFSSSAFSDPKETRNGEGQKSNSSRPAAAERAVDPEAALYRELWHACAGPLVTVPRQDDRVFYFPQGHIEQVEASTNQAAEQQMPLYDLPSKLLCRVINVDLKAEADTDEVYAQITLLPEANQDENAIEKEAPPPPPPRFQVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHANEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAISTGTMFTVYYKPRTSPSEFIVPFDQYMESVKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDSDITRWPKSKWRSLKVRWDETSSIPRPDRVSPWKIEPALAPPALSPVPMPRPKRPRSNIAPSSPDSSMLTREGTTKANMDPLPASGLSRVLQGQEYSTLRTKHTESVECDAPENSVVWQSSADDDKVDVVSCSRRYGSENWMPSARHEPTYTDLLSGFGANIEPSHGQRIPFYDHSSSPSMPAKNILSDPEGKFDFLANQWQMMQSGLSLKLHESPKVPAATDASFQGRGNVKYSEYPVLNGLSTENAGGNWPIRPRALNYYEEVVHAQAQAQAQAQAREQATKQPVTIQEETAKSREGNCRLFGIPLSNNMNGTDSAMSQRSNLNDAAGLTQLASPKVQDLPDQSKGSKSTNDHREQGRPFQTNNPHPKDAHTKSNSSRSCTKVHKQGIALGRSVDLSKFQNYEELIAELDRLFEFNGELMAPKKDWLIVYTDDENDMMLVGDDPWQEFCCMVRKIFIYTKEEVRKMNPGTLSCRSEEGVVGEGSDAKDAKSASNPSLSSAGNS >scaffold_802851.1 pep chromosome:v.1.0:8:20291632:20292647:1 gene:scaffold_802851.1 transcript:scaffold_802851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPVDAMITGESSSQRSIPTPFLTKTYNLVEDSSIDDVISWNEDGSSFIVWNPTDFAKDLLPKHFKHNNFSSFVRQLNTYGFKKVVPDRWEFSNDFFKRGEKRLLREIQRRKITTTHQTVVAPSSEQRAQTMVVSPSNSGEDTNNNNNMNNQVMSSPSSWYCQTSGNGGLSVELLEENEKLRSQNIQLNRELTQMKSICDNIFSLMSNYVGSQTTDRSYSPGGSSSQPVEFLPAKRFSETEVEEEASPRLFGVPIGLKRTRSEGVQVKRVSTAAVVGENSDEWLTRHCNRTNQRVCN >scaffold_802853.1 pep chromosome:v.1.0:8:20297148:20297579:1 gene:scaffold_802853.1 transcript:scaffold_802853.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MKQ3] MFVSHSGHFFSQFFVFFLSQLLAPVLGFSVDSDDDEDPKAHGSSSVTCCISMAKQSDQNQGSSGGEKLLPDTNGKSSTGGVALWSGRRRSTVRFERGLQLELGTGDSGGFTESLSMGEVDSDPAKLSQR >scaffold_802854.1 pep chromosome:v.1.0:8:20297655:20297916:1 gene:scaffold_802854.1 transcript:scaffold_802854.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MKQ4] MATNPGSPSHSSSVVQAHRRSRSDENLQIWMAAAIKFFDRRLEFQPVPGLVRFVNPSRFLVLGCFIIGLMFMS >scaffold_802858.1 pep chromosome:v.1.0:8:20306410:20306897:1 gene:scaffold_802858.1 transcript:scaffold_802858.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid binding protein [Source:UniProtKB/TrEMBL;Acc:D7MKQ8] MTRPFSPVVFFFLLLLPAICSATVENAADCAAVGTLISSCTEFVNYGYPDPIPGSRCCDAMTVIGTYSDSLEKRKWLCNCFMDLINVYNPNATAISTLSGFCGVVLGFTIDPNTDCNFIQ >scaffold_802861.1 pep chromosome:v.1.0:8:20312559:20315963:-1 gene:scaffold_802861.1 transcript:scaffold_802861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSGIFFQGDDESQSFINSHLTSSYGNSSNSVPGCGPTGGYHNLSMVSGDMHNPVMMTVSTPGPSAGASSLVTDANSGLSGGGPHLQRSASINNESYMRLPASPMSFSSNNISISGSSAVDGSTVVQRHDPSVQLGGSSATSLPTSQTNQIPLSMARRASESFFQDPNNLNQARKKPRIDSKQDDALQQQILRQWLQRQDILQQQQHQQQQGQNPQFQLLLQQQKLRQQQQYLQSLPPLQRIQLQQQQQVQQQQQLQQQHQQQKQQLQQQGMQLQLTGGPRPYENSVGARRLMQYLYHQRQRPSENSIVYWRKFVTEYFSPRAKKRWCLSHYDNVGHSALGVSPQAATDEWQCDLCGSKSGRGFEATFDVLPRLNEIKFASGVLDELLYLGVPSERRYGSGIMVLEYGKAVQESVYEHIRVVREGHLRIIFSQELKIISWEFCTRRHEELLPRRLVAPQVNQLLQVAEKCQSTIDQSGSDGIHQQDLQANSNMVMAAGRQLAKSLESHSLNDLGFSKRYVRCLQISEVVSSMKDMIDFCRDQKVGPIEALKSYPYRMKAAKPQMQEMEQLANAARGLPPDRNSLNKLMALRNSGINIPMNNMSGQGTLPGSAQAAAFALTNYQTMLMKQNHLNSDPNNTTIQQEPSRNRSASPNYQGTSPLLPGFVHSPSISGVSSHLSPQRQMPSSSYNSSAQQYHQQSPSCSSGNQTLEQQMIHQIWQQMANSNGGTGQQQQSLSGQNMMNCNANMGRNRTDYVPAAAETPSTSNRFRGIKGLDQSQNLEGIISNTSLNFGTNGFFSNEVDENMGYSWKS >scaffold_802864.1 pep chromosome:v.1.0:8:20330571:20332922:-1 gene:scaffold_802864.1 transcript:scaffold_802864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLPWVLLIVVVSCLVSTLEASDGDSDPLYKSCVDQCQKTGCVGDTCFQHCKFSADGKAIDGPWYMQEPLYLRWKQWDCQSDCEYECMMTREEERKRNGERPTKYFGKWPLKHVYGIQEPVSVAFSALDLAMQFQGWVSYFILVYYKLPLQPNRKTYYEYNGLVHIYAIIVLNSLFWSSICHSRDVELTVRLDYSSATVLAGFSLILAILRSFSIQDQSVKIMVTAPILAVVATHILYLNFYNLDEGLHWKVMFGIGGIELVVWGLWAALTSHPSKWKLRAFFILSVLTLCLRMLDFPSYKGYIDAHALWRGAGIPLSYLWWSFVCDDAVFRTTVNLKKSK >scaffold_802866.1 pep chromosome:v.1.0:8:20340582:20340930:1 gene:scaffold_802866.1 transcript:scaffold_802866.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding LysM domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MLA8] MRGSRAGSSDMSAWCSAVVLLSLILLLSVRENNASNSIRGSQFSTKPCEEIYVVGEGETLHTIGDKCGDPFIVERNPHIHDPDDVFPGLVLKIAPFYFSRKL >scaffold_802868.1 pep chromosome:v.1.0:8:20346364:20347361:1 gene:scaffold_802868.1 transcript:scaffold_802868.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVDFKQIGGTADVIYLRRSFLLQIIIPTDAGRRGIMYLVAPSTRSSHSRPRLSIRLTSRTRKMAKAYNGQDVNGTA >scaffold_802870.1 pep chromosome:v.1.0:8:20360279:20362693:-1 gene:scaffold_802870.1 transcript:scaffold_802870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDWSWLGGGGGGKKKSSSKSKKEDVKPPPSPPSLAGNTATAAGCMSAVFNIFDFQHLQFPINHHHLHLPKGVDAPRNSLESTEETEEETPFSPTRKDGNLNISMGIKIKTKQQARSSSASLTPTETYSPSIKTPTLVARLMGLDPVPDNYRSSPTPSSSSSSTLIDLKTPTRSSHTKKHRHYSLQRNSVDGGTRSLPETPRISLGRRSVDVNCYEHQRSSLHLRDNNNNSNVFPEREAGINNVRLTRVKEMKIHEDKENRSPREYARQIVMQLKENVSRRRRMGTDITNKEQQSREIHESKKASSKITTIITHDSSSSPRLGLTEIPKTKPTSPQTNNVATKILEKTTVKVQDKTRLSTAQEEPQGEQGQQVKEKQRESTKKCKKPENFKSRLVKPPQTMQEEPFVRSPLTSNNKNNNGLLLIQGDKSSCKKTPLSINHLINFTSVPTTKKKDSSLHHKSSNLKLRETQTPRNRASSEIPSFPSPPIAGGELEYITRTLRRTGIDRDTPISYAKWFSPSHPLDPSIFYFLEHFAVTSTRPRNSPENLALRCNRKLLFHLVDEILADILKPHINLKPWVCHYPIQSQRNLKGSELIDELSRRIERFPLAECLVLEDIDALVAGDFPEIESAFEEDGEGIVTEIERGILETLVTETTTDYFATWMVKTAPLKRNDDVSGTWGVHVTRYSSNVGSHHDSSSLRLRLPRG >scaffold_802871.1 pep chromosome:v.1.0:8:20367838:20368403:1 gene:scaffold_802871.1 transcript:scaffold_802871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESITNLPHIGFVAKVINQQPNSIPIEFIWPDKEKPSNDVKELQVPIMDISRLLSGQDHHVSASQASRLVTEAAKIHGFFIITNHGVQEGILSRKVKREWGEISGYSDSFVGRFYTEFPWKETLSFRFSAEEKLKNGTETVKDYISRTMGDINEEFG >scaffold_802876.1 pep chromosome:v.1.0:8:20380639:20382221:-1 gene:scaffold_802876.1 transcript:scaffold_802876.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:UniProtKB/TrEMBL;Acc:D7MLB7] MLPVSNPSSPEHLLKKSRTPDTSTAIDRKSSFNSLNSAVNRSSYITASRSHCTWLIISLLSLQLILFLTLRSIPFPHRHIPENFPSPVAVATTVTVISAASSNPPLSSSSDERCDSGRVFVYDMPKIFNEVILQQCDNLNPWSSRCDALSNDGFGKEATSLSNVIPKDLVQSWFWTDQFVTEIIFHNRILNHRCRTLDPQSATAFYIPFYAGLAVGQYLWSNYAAADRDRHCKMMTQWVKDQPYWNRSNGWDHFITMGRITWDFRRSKDEDWGSNCIYIPGMRNITRLLIERNSWDHFDVGVPYPTGFHPRTDSDVVNWQDFVRNRRRETLFCFAGAPRAGIVNDFRGLLLRHCEESRGKCRTVDCTVGKCSNGSSAILETFLGSDFCLQPRGDSFTRRSIFDCMLAGSIPVFFWRRSAYMQYQWFLPDKPDSYSVFIDRNGIKNGTTSIKEVLGRYSKEDVRKMRERVIDLIPNFVYAKSPNGLETFKDAFDVAIDGVFRRFKEQENWYKWR >scaffold_802885.1 pep chromosome:v.1.0:8:20415231:20416152:-1 gene:scaffold_802885.1 transcript:scaffold_802885.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S20 [Source:UniProtKB/TrEMBL;Acc:D7MLC6] MTTYQQPMKPGKAGLEEPLEQIHKIRITLSSKNVKNLEKVCTDLVRGAKDKRLRVKGPVRMPTKVLKITTRKAPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >scaffold_802887.1 pep chromosome:v.1.0:8:20422847:20423797:-1 gene:scaffold_802887.1 transcript:scaffold_802887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKPCCDEVGLRKGPWTVEEDGKLVDFLRTRGNCGGGGGGWCWRDVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLFSEEEIQLVIDLHARLGNRWSKIAVELPGRTDNDIKNYWNTHIKRKLIRMGIDPNTHRRFDQLKVNEDKKVLVNDLKPLPEPEVPVVLKNDTSAVLSGNLNQLADVDGDDQPWSFLMENDGEGGGGAAGELMMLLSGDITSSCSSSSSLWLKYGDLGYEDLELGCFDD >scaffold_802891.1 pep chromosome:v.1.0:8:20427790:20428454:1 gene:scaffold_802891.1 transcript:scaffold_802891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQYQALFLLLSVSYLLFSELTTASVSPTGTSTKALNFIQSSCKFTTYQSLCVETLSVYASTIQTSPRRLVDAAIAVSLNQALSTKLFLSHLTKNQFRTLADCQPTTESYTTDCECSVQQLQEVVICKSWTECLFHVNNAEVCAISAEEYSVENSCSNPFTGPVKMSVRGRISDAIRKSLHTQFTKLHHEINNVKMLFKAFPKN >scaffold_802892.1 pep chromosome:v.1.0:8:20429377:20430040:1 gene:scaffold_802892.1 transcript:scaffold_802892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQYQALFLLLSVSYLFSSELTTATISPTGTSTKALNFIQSSCKSTTYQSLCVETLSVYANTIKTSPRRLLDAAIAVSLNQALSTKLFISHLRKSPFQTLQDCAPSTDTFNTDCQCSIEELQEVENCNGWTECLSKINNAEVCAIAGESHSVENTCSSPFAGPVKMSVQGRISDAVRKSLQTRFAKLHQEINNAKLLFKAFPNNHK >scaffold_802894.1 pep chromosome:v.1.0:8:20437096:20437328:1 gene:scaffold_802894.1 transcript:scaffold_802894.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLV4] MSGGTTFSKAACFTNLAIAWLFLSIDDGCFHDFRYGFEFLYQSYIRSHTYVYKHVTHTVPVIS >scaffold_802898.1 pep chromosome:v.1.0:8:20450286:20452182:-1 gene:scaffold_802898.1 transcript:scaffold_802898.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 7 [Source:UniProtKB/TrEMBL;Acc:D7MLV8] MSWFHRLDLIDPYTCSPLIVRETSIVEPSSLFLGFPSFVDEDVEDLFDFSSPSPLDLFETVTDLVQIEKSPSSCKYKVIRRRLDPEYPLKYLCDRVSDLESKFDRLVSPKSDRKYTLTKEIKGSGERKYRWEAEIQGPPERKFKLEAEIEGSGERKYKWTTEIKGGKKDEEGLKLAALKKEKAKAIAAAEAEKKNKNKKKKSYNWTTEVKSERENGEISHTYIIKASTGGEKKKKHEEKEKKEKKEKVETKSKKKEKTRVVVIEEEEEEDDESAEHGAIVLRKAFSRRTGAVRTKKGKNKEMPPEYAAVMIQRAFKAYLIRRSKSLRALRDLAIAKTKLKELRASFHNFSYRRLIARDAEERQKFSEKIIVLLLTVDAIEGVDVMVRGAKRSMVDELEAMLDVVDPQPQGKSLSMRRRTFDMPDSLIRKEIADGVTQIVQMLETEEE >scaffold_802901.1 pep chromosome:v.1.0:8:20461515:20463879:-1 gene:scaffold_802901.1 transcript:scaffold_802901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLQVCPLQKIHDESLAELKLIHARMRECDTHVSGFVTEQEKCLQKLSYMKLERKKLENELLSVSVILSCLGFEKATSHACFFVTTSLLEKRVNKKVMAMFEKAEDEYNALISKKNTTEKEKLTRKVIAMFEKAEDEYNALVSKKNYLRGGPFLKATDGDGWRSLISETSVSIWLEVGSQSRLIAVSRPIIRTIDCKKIQDIPVQYIA >scaffold_802902.1 pep chromosome:v.1.0:8:20464908:20465432:-1 gene:scaffold_802902.1 transcript:scaffold_802902.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLW2] MAEAALAFQAQREEDVINFVKYIVGGVMAVPVSVALQGTIQSFWITILIFVGVGFGVFIQTFLDYALKCKDFWTCMSEATYAVVIFVYGGFFPLIAGVLVPERIGRIITEVVVTGVVTWAAMKYLAEELPKRSLKIQPAFLWLIIMVSIAIIVGLGDLCQ >scaffold_802903.1 pep chromosome:v.1.0:8:20467535:20467869:1 gene:scaffold_802903.1 transcript:scaffold_802903.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLW3] MASEQSCGSTVFQGGSAASPSGNKTKPTKTRRRPNQWKRKAQAREKASGPIVSQDVKKNEAAMVYKRNATDELANSSKLAKCNEDKVVPPQQACAL >scaffold_802907.1 pep chromosome:v.1.0:8:20488797:20490308:-1 gene:scaffold_802907.1 transcript:scaffold_802907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPFPTVLEVTTDEEEEEKNQNETLTDQSDKDKTLKKPTKILPCPRCNSMETKFCYYNNYNVNQPRHFCKACQRYWTSGGTMRSVPIGAGRRKNKNNSSSSTSHYRHVTISETKGPVLSFSLGDDQKVSSNRFGNPKLMARIENNDERSNNNTSNCFPGVSWPYTWNPAFYPVYPYWNIPMLSSPVNSSPTSTLGKHSRDEDETVKQKQRNVSVLVPKTLRIDDPNEAAKSSIWTTLGIKNEVMFNGFASKKEVKINNKEETETSLVLCANPAALSRSINFHEQM >scaffold_802909.1 pep chromosome:v.1.0:8:20495467:20495821:-1 gene:scaffold_802909.1 transcript:scaffold_802909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIILQMPKTCISPKAPSKPHTHFPRSNYDSSPRQHLPLPKKNARSWSSKAWKWCLSSFSDYFLRFSDLEFIQNHNKALCLSAGAGYPPMVLFQIGLAYVTAV >scaffold_802910.1 pep chromosome:v.1.0:8:20495845:20496240:-1 gene:scaffold_802910.1 transcript:scaffold_802910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKMKPTLFNERVATTLKSWHHTSMKQINYETWKNFRIKPFSSRPTTPTHGSSPIHLLHNVPHKRSRSIDDSFVIRCLREETLISIHGIMNLNTKLLRLRIQIIVNDGGGANSDYD >scaffold_802920.1 pep chromosome:v.1.0:8:20529463:20530293:1 gene:scaffold_802920.1 transcript:scaffold_802920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 29 [Source:UniProtKB/TrEMBL;Acc:D7MMB9] MTTPARKRLMWDFKRLQKDPPVGISGAPQDNNIMHWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPIVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAVLTSIQSLLCDPNPDSPANAEAARLFSENKREYNRKVSEIVEQSYV >scaffold_802930.1 pep chromosome:v.1.0:8:20570706:20571818:-1 gene:scaffold_802930.1 transcript:scaffold_802930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILFRCEECGKGFRYEKCFSNHRATMHLSTNQKVYEESMMTLCRIFSFVRKKKRSRLVRYKKTSFSCSYSTFLEPRSVFDANDEELEVADCLILLSESTPKFVDGLKLLAEKPRKGGEFEYGVFSDEQRFMEERFSSYGTSKEPASFLRDDNRLDQQKRRKAGEFESGFLSNEQRMLKEEITTPVTFKGPASFLGYKCVLDQQKLRNGGEFGSLSNEQKLMEGTWKEPASFLGTKIELEQTELGVGAMECSDSDTEMITESDKKNVEHQCRFCNKIYSSYQASGGHRMSKCKNKKNFREESVNSVRLVTMTKYLII >scaffold_802933.1 pep chromosome:v.1.0:8:20586381:20587493:-1 gene:scaffold_802933.1 transcript:scaffold_802933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILFRCEECGKGFRYEKCFSNHRATMHLSTNQKVYEESMMTLCRIFSFVRKKKRSRLVRYKKTSFSCSYSTFLEPRSVFDANDEELEVADCLILLSESTPKFVDGLKLLAEKPRKGGEFEYGVFSDEQRFMEERFSSYGTSKEPASFLRDDNRLDQQKRRKAGEFESGFLSNEQRMLKEEITTPVTFKGPASFLGYKCVLDQQKLRNGGEFGSLSNEQKLMEGTWKEPASFLGTKIELEQTELGVGAMECSDSDTEMITESDKKNVEHQCRFCNKIYSSYQASGGHRMSKCKNKKNFREESVNSVRLVTMTKYLII >scaffold_802936.1 pep chromosome:v.1.0:8:20596747:20597779:1 gene:scaffold_802936.1 transcript:scaffold_802936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTSLQVCMDSDWLQNIRLHTLLQSVTLPAIKFNIFVQGNDHSVLYFLGHDPVLDQYKVVCNFVSSSSQDLDMINLEHWVFVLEVGDSWKRIEFDQPHISTRPGLCIGGVIYYLAFTSMFEDIVVSFDVRSEEFNIIQAPLVVSAYVGSLGFIEYGGKPAIFYHTSLKENGLVDLWVLENAGNWSRKALSLQPSQLL >scaffold_802941.1 pep chromosome:v.1.0:8:20618062:20618526:-1 gene:scaffold_802941.1 transcript:scaffold_802941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRGTRRKRRRQRGDDFVAPEITLDLQIEILTRLPAKSLMRFKCVSKLWSSLILSRYFSNCYLTVASPLRPPPRLYMTLVDHECSSTEVCHNPHESALLSLSSSSSQSATSFYQDLTMQGMGGRNKSTDKPILVKSKCN >scaffold_802942.1 pep chromosome:v.1.0:8:20622986:20628537:1 gene:scaffold_802942.1 transcript:scaffold_802942.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:D7MMV1] MGDKEEVLEAILKETVDLENVPIEEVFESLRCSREGLTTEAADERLALFGHNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWGEQDAAILVPGDIVSIKLGDIVPADARLLEGDPLKIDQSSLTGESLPVTKGPGDGVYSGSTCKQGELEAVVIATGVHTFFGKAAHLVDTTNQVGHFQQVLTAIGNFCICSIAVGMIIEIVVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFTKGVDADTVVLMAAQASRLENQDAIDAAIVGMLADPKEARAGVREVHFLPFNPTDKRTALTYIDSDGKMHRVSKGAPEQILNLAHNKAEIERRVHAVIDKFAERGLRSLAVAYQEVPEGTKESAGGPWQFMGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQHKDESIGALPIDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFATGVVFGSYMAMMTVIFFWAAYKTDFFPRTFGVSTLEKTAHDDFRKLASAIYLQVSIISQALIFVTRSRSWSYVERPGMWLVIAFILAQLVATLIAVYANWSFAAIEGIGWGWAGVIWLYNIVFYIPLDIIKFLIRYALSGRAWDLVIEQRVAFTRQKDFGKEQRELQWAHAQRTLHGLQAPDAKMFPERTHFNELSQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIETIQQAYTV >scaffold_802945.1 pep chromosome:v.1.0:8:20650725:20653762:1 gene:scaffold_802945.1 transcript:scaffold_802945.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MMV4] MGISIWVFSVISAATLFVSCSSALTPDGFALLELKSGFNDTRNSLENWKDSDESPCSWTGVSCNPQDQRVVSINLPYMQLGGIISPSIGKLSRLQRLALHQNSLHGIIPNEITNCTELRAMYLRANFLQGGIPPNLGNLTFLTILDLSSNTLKGPIPSSISRLTRLRSLNLSTNFFSGEIPDIGVLSRFGVETFTGNLDLCGRQIRKPCRSSMGFPVVLPHAETDDESDPPKRSSRLIKGILIGAMSTMALAFIVIFVFLWIWMLSKKERTVKKYTEVKKQKDPSETSKKLITFHGDLPYSSTELIEKLESLDEEDIVGSGGFGTVYRMVMNDLGTFAVKKIDRSREGSDRVFEREVEILGSVKHINLVNLRGYCRLPSSRLLIYDYLTLGSLDDLLHERAQEDGLLNWNARLRIALGSARGLAYLHHDCSPKIVHRDIKSSNILLNDKLEPRVSDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQNGRATEKSDVYSFGVLLLELVTGKRPTDPIFVKRGLNVVGWMNTVLKENRLEDVIDKRCTDVDEDSVEALLEIAARCTDANPEDRPAMNQVAQLLEQEVMSPSSGIDYYDDSHSDYC >scaffold_802953.1 pep chromosome:v.1.0:8:20682002:20685079:-1 gene:scaffold_802953.1 transcript:scaffold_802953.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose-5-phosphate reductoisomerase [Source:UniProtKB/TrEMBL;Acc:D7MMW1] MMTLNSLSPAESKAFSFLDTSRFNPIPQISGGFSLRRRNQGRDFGKGVKCSVKVEQQQPPPAWPGRAVPEAPRQSWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLADQVRRFKPALVAVRNESLINELKEALADLDYKPEIIPGEQGVIEVARHPEAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLANKHNVKILPADSEHSAIFQCIQGLPEGALRKIILTASGGAFRDWPVEKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMIETQDSSVLAQLGWPDMRLPILYTMSWPDRVPCSEVTWPRLDLCKLGSLTFKKPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVEMFIDEKISYLDIFKVVELTCDKHRNELITSPSLEEIVHYDLWAREYAANVQLSSGARPVHA >scaffold_802956.1 pep chromosome:v.1.0:8:20693413:20694524:-1 gene:scaffold_802956.1 transcript:scaffold_802956.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 4A2 [Source:UniProtKB/Swiss-Prot;Acc:D7MMW4] MKMKRTVSSNSEAYSYNESPHSPLRFHSPLSDAGDLPESRYVSPEGSPFKIENPKSIVAGNKLTQFSPLPSPIPPPPPQIPPPRRQRNARVPMNSSLDKSPSSMVVQNSWVREDGQQNTTRKAGAPMNGEESATTAVNRARRDDLVSVTALGFRITEVILCVISFSIMAADKTQGWSGDSYDRYKEYRYCLAVNVIAFVYSAFEACDAACYMAKESYMMNCGFHDLFVFSMDQLLAYLLMSASSCAATRVDDWVSNWGKDEFTQMATASIAVSFLAFGAFAVSALISSYRLFTHASS >scaffold_802957.1 pep chromosome:v.1.0:8:20696126:20696440:1 gene:scaffold_802957.1 transcript:scaffold_802957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAELLIKGTDVLKLNPAGLRRCSNFFKNLGKKSLEIIFFLTRVSDSNIDETCRIYVSCDCIIEQESTYLHTTVSVVTFIIVVLGKRK >scaffold_802961.1 pep chromosome:v.1.0:8:20712881:20714040:-1 gene:scaffold_802961.1 transcript:scaffold_802961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGELHYDYGDLNEAFRIFHEELESCTMETQVIESHLKLILVSLKRGKLEDVLQWVDKVRERGGGSMESIVAAKVTCACALGNMGLQRYKTAAREFLDVSCGLGDTFNEVILPRDVAIYGGLCALATYDRSEIKARTQGVLANNHAWRVLGFAPEIRKIITEFHKGHYTYCLNYLQSIKPNLLLDIYAFKHVERLFDQIREKALLEYAQPFESLNLDTMAREFNSSVSGLEKELVVLITDNKLQVPFLSVASTCRFEALKLKTISLFYPAQALVDSDKKVLYRGSFQ >scaffold_802962.1 pep chromosome:v.1.0:8:20714371:20714596:-1 gene:scaffold_802962.1 transcript:scaffold_802962.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMX0] MEGNRDFSDSVDKCKLPNFFSFLVIFLLRAAPVSFEVSLGFVQIFMVCLPRFHYVRPSFED >scaffold_802963.1 pep chromosome:v.1.0:8:20716231:20718356:-1 gene:scaffold_802963.1 transcript:scaffold_802963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRDVIKCLILAINWNKYLLCYKPLPIWLVVDYTTVFIFGLLMFVDNWLATGLALDFGRQQRDSSWFCRRAVVMSILALLLYPFMWVWTVVGALLFINARDCLLDDLQKWDFITWLVSSCCVLVCIAWTCVVKWLMRRRAQLLRAQQGIPISEFGILVDMVRVPDWAFDAAGQEMRSMGQDAATYDRLNPSQREALIQELPKFRLKSVPTDCTECPICLEEFYIGNEVTQLLMFVLVLVSVLCIDDLFFLLFVQVRGLPCAHNFHVECIDQWLRLNAKCPRCRCSVFPDLELSAVSNLESSDAGHQFSNSETTTESRYMRSQPPSQSYLF >scaffold_802969.1 pep chromosome:v.1.0:8:20734269:20739330:-1 gene:scaffold_802969.1 transcript:scaffold_802969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRVCQVDERKKKGHRRLMFRPPSRVISSVHASCSSSLSISSSTSFSKDGRRISVGDCALFKPPQDCPPFIGLIRLVIPEKEGKFKLRVNWLYRPAELKLGKGILLEAQPNEIFYSFHEDEIPAASLLHPCKVTFLPRGVELPSGVSSFVCWRVYDVMNDCIWWLTDQNYIDERQQEVDKLLCKTRSEMHTTLQGGRSPRMNSPTTSQVKAGADGMQNSNSFSSQGKGRKRERADQGSESVKRERSSRVDDSGSGFLRTESSLKSEIAKITEKGGLVDSDGVERLVQLMLPERNEKKIDLVCRSILAGVVAATDKFDCLSRFVQLRGLPVFDEWLQEIHKGKIGDASSPKDNDRSVDDFLLILLRALDKLPVNLNALQTCNIGKSVNHLRSHKNSEIGKKARSLVDTWKKRVEAEMDAKSGSNQGASWPGRPRQSEVSHGGRHSGVSADATKASTSHLHPSKSVSVKIPSENSMKSATTSPSSTRSAPSPGTGVSVANDGQQRNTGALHREAGLSRSFSSHRSATSEKVSQSTLASEKTCDVPMAEGFSNKLIVKLPKRGRSPAQSVSGGSFEDPAVGNSRAPSPVPSEKHDQFDRNVKEMNDTYRANFSPDTNTESSQNNDLKNLSTVSDEVAGSPSVNAGGEHGKAVNDSSKVVGNAKPTSPTLGDDVQTEKRHCGSHSSMNALIESCVRDSETNACMAGADDVGMNLLATVAADEMSKSPVASPSVSRVSDSLMNDSSIAPRITNSLDGLPREQAEPIAKNPMVDIEQHVNSSGEQLAALENVNDSKPGDLDENSDSEIEELQRLVDQCLESNENSDDVVAASTVATTGIGENVSDDSDSGVVSVLKTDIASETERVADTTKKTGNSFLSECKAIGRCQNAYSLVAEHSHPDVVGDSSKEEKPKVIVSSELVKEMGEHVSVLSDFTKNMSTANVDRPMTEKVSDIDCGTVNDQKMDATSVTDRISDTAERVETSVPAECKFIELSPKVDCLAVANSPSDLVGENEKEQKPRVVLSSDIPVSSGFSKGMAAYVDRLVTEKINDMGVTHINQIEKKKNKRVTAHLDSSGSNGEVEHVEASQKSIEVDKWCTAALDTQVVLPKVSEDFRRPNGSRVRGANGDEAEECTSVASDVPSVSASARSEMEGRVEFDLNEGFNGDDTRNGSSNNFSGSLSMTPIPLQPTRLPASITVAAAAKGAFVPRDDLLRNKATVGWRGSAATSAFRPAEPRKMQEVVPLGMNNVSSSDASTTAGKQTKTFLDFDLNVPDERVLEDLASQRSANPTNSSGGLDLDLNKLDDPTDMNNYTISSGHRVDSSFQQANFSGGRRDFDLNDGPAVDDSSVESSMVFTQHSRSGLTSQPMISGIRMNGEHMAAGFSSWFPAANNYSAMSIPQVLPDRGDHPFPVITSNGPQRMVGPTSGVSSFTPDMYRGPVLLSSPAVSFPPTAFQYPAFPFGTSFPLASANFPGSSTPYMDSSSSGRLCFPPVNSQILGPGVAIPSNYPRPYVVNLPNGSNGGVSDNNSAKWFRSGLDLNSGPGGHETDGRDEAALVQRQLSSSGSLPLKDDQARMYQMSGGSLKRKEPDGGWDGYKQSSWQ >scaffold_802971.1 pep chromosome:v.1.0:8:20754759:20755301:-1 gene:scaffold_802971.1 transcript:scaffold_802971.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNC4] MQQEELDNDDLLEDNLQEEDAQVSGEHTVSEQIQPVSRRKQPLSPSSLSLNSKAAPSPTRRPAKERIEYPKASGSKASHRRRRAEAKSQTNKGPTELLGMASKKMNILNAGSPKKRAEAKSQTARSRNGKPSSSLPRSGVFPSAINKKSKSRSGLVGSQNPPSKKI >scaffold_802976.1 pep chromosome:v.1.0:8:20766923:20768482:-1 gene:scaffold_802976.1 transcript:scaffold_802976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTSLQVCMDSDWLQEAESSGGSMLDSSTTSPSAADILAACGTRPQASAVAVAAAALMDGGRRLRPPHDHPQKCPRCESTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKPSSSNSSPSTSSGKKTSNIVTTNTTDLMALAHSHQNYQNYQNSPLGFSHFGGMIGSYSTPEHGNVGFLESKYGGLLSQSPRPIDFLDSKFDLMGVNNDNLVMVDHGSNGDHRHHSSHHMGLNHGLGLNNNNNNGGFNGISPGGNGNGGGLMDISACQRLMLSNYDNHHYNHQEDHQRVATIMDVKPNAKLLSLDWQQDQGYSNGGGSGSVGKSDGGGYGSGGYINGLGSSWNGLMNGYGSSTKTNSLV >scaffold_802978.1 pep chromosome:v.1.0:8:20780432:20782537:1 gene:scaffold_802978.1 transcript:scaffold_802978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLLSPSSYTELKDAWHPSTTANTTESSYWFNWRVMICCIWMAIATVITAFLIFKYEGFRRKRSDGGDVDGGEKEWSGNVYEDETWRPCLRNIHPAWLLAFRVVAFFVLLVMLIVIGLVDGPTIFFYYTQWTFALITLYFGLGSLLSLHGCYQYNKRAAGDRVDSIEAIDSERARSKGADNTIQQSQYSSNPAGFWGYVFQIIFQMNAGAVLLTDCVFWFIIVPFLEIHDYSLNVLVINMHSLNAIFLLGDAALNSLSFPCFRIAYFFFWTIAYVIFQWALHSLVHIWWPYPFLDLSSHYAPLWYFSVAVMHLPCYGAFALLVKLKHRLLQRWFPESYQSPR >scaffold_802985.1 pep chromosome:v.1.0:8:20802537:20803775:-1 gene:scaffold_802985.1 transcript:scaffold_802985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMFSGNRLNKEEMEVVMNKAKEIVSAYPVVVFSKTYCGYCQRVKQLLTQLGASFKVFELDEMSDGGEIQAALSEWTGQSTVPNVFIKGKHIGGCDKVMESNKQGKLVPLLTEAGAIANNSSQL >scaffold_802989.1 pep chromosome:v.1.0:8:20815138:20815660:-1 gene:scaffold_802989.1 transcript:scaffold_802989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINESEFATAVAVATKKRTFKKFSFRGFNVDALLKMSNVDLAKLFNARVRRRFYRGLKKKPLILIKKLRRAKKEASDENKKKPQVVKTHLRNMIIVPEMIGSVVGVHNGKKFNEIVIKPEMIGHYLAEFSLTCKKVNHYRPRICGCGCFRRSTRFIPLR >scaffold_802990.1 pep chromosome:v.1.0:8:20817604:20817979:1 gene:scaffold_802990.1 transcript:scaffold_802990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MNE4] MERHLVMIMILVVMVAMEVEADGSCESNCVIHCSDISWYSRKICQNRCLVEQCNNPPETTKFTFSDYVYAHIFVIVAMCYSKFLSLYVAHWTRFIITKYKLFGDIDYIKRD >scaffold_802995.1 pep chromosome:v.1.0:8:20834366:20834973:-1 gene:scaffold_802995.1 transcript:scaffold_802995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPHKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISYLQRQVHRLQKELDAANADLAHYGLSTSAAGTPGNVVDLVFQPQPLPPQQPPPLNPVYRLSGANPVMSQMPRSTGGSYGTFLPWNNGHDQQGNM >scaffold_803001.1 pep chromosome:v.1.0:8:20854656:20855587:1 gene:scaffold_803001.1 transcript:scaffold_803001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRLEGAKTVMTSEEASDGRCIKDCRQSKKLLVRIKQTQVIDSSKIYLLIIDGVENDDESNGQKEFYHDQNVAYAHVCVELALALGGYVSTKAAGHALVF >scaffold_803002.1 pep chromosome:v.1.0:8:20857635:20859009:1 gene:scaffold_803002.1 transcript:scaffold_803002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPAP29/PAP29 [Source:UniProtKB/TrEMBL;Acc:D7MNF5] MADNRRRRSLFLLSAFLACLCLAPVPVAAHRRKLRFGVNGEFKILQVADMHFANGATTRCQNVLPNQKAHCSDLNTTIFMSRVIAAEKPDLIVFTGDNIFGFDVKDAVKSLNAAFAPAIASKIPWVAILGNHDQESTFTRQQVMNHIVKLPNTLSQVNPPEAAHYIDGFGNYNLQIHGAADSKLQNKSVLNLYFLDSGDYSSVPYMEGYDWIKTSQQFWFDRTSKRLQREYKAKPNPQEGIAPGLAYFHIPLPEFWSFDSKNATKGVRQEGTSAASTNSGFFTTLVARGDVKSVFVGHDHVNDFCGELKGLNLCYGGGFGYHAYGKAGWARRARVVVVDLNKKRKGNWGDVKSIKTWKRLDDKHLSVIDSQVLWKSSANKLVVR >scaffold_803004.1 pep chromosome:v.1.0:8:20863313:20865296:-1 gene:scaffold_803004.1 transcript:scaffold_803004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITATQNDGVSLIADKFSYDLVETDVEIITSGRRRIPAHSGILASGSPVLTNIIEKPRKIHGRSSKRVIKILGVPCDAVSVFVRFLYSPSLTEKEMEKYGIHLLALSHVYMVTQLKQRCTKGVGERVTAENVVDILQLARLCDAPDLCLKCMRFIHYKFKTVEQTEGWKFLQEHDPFLELDILQFIDEAESRKKRRRRHRREQNLYMQLSEAMECIEHICTEGCTLVGPSSNLDDKSTSQVKTGPCSAFSTCYGLQLLIRHFAVCKKRVDGKGCVRCKRMIQLLRLHSSICDQSESCRVPLCRQYKSRGEKDKKMVEDTKWKVLVRRVASARAMSSLSQTKKKKSEVLLKEEAEDFIRIRNKLR >scaffold_803014.1 pep chromosome:v.1.0:8:20893255:20893690:-1 gene:scaffold_803014.1 transcript:scaffold_803014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKTKTMSLSLTLLLFILVSTVSVTSTQRNLSPAAENKGVWCVANNKATDEQLQANIDWCCSYEGGFRDCTPINPGGVCYEPNTLRDHASYVMNLYYQNLGSTKDQCTFNGTGTQVRKDPSHGACIFISY >scaffold_803026.1 pep chromosome:v.1.0:8:20922526:20924419:-1 gene:scaffold_803026.1 transcript:scaffold_803026.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MP04] MAAGGVDVSRSSVAVEKDYDFYRNGSRDVYVRQSGRDAERRQIKRPSDHDLRRNDGRHRSRLAYEKGELREEAEVQRPSEKRRKFSPILWNAKEDKVGRAPSGEKTRSPFPIPTTTVISNQAVAGKTSSKDQVNFLMSPEPSYLVPVQPLEAMLSVKHSVDDLEEGQLEEEQVMQKHVKEGLLEEEQVMQEPCIKTSRWGTGLTSPKEELISHADNVSKTSRWNRSSLTPECEEVMVSEEPQCYSSGSGSGHRSVENLSADENSDREYCSSDHDELENEDPDSSTQGGMDMMLGSRSVNEFQKLNKINEGTYGIVYKARDEKTKEIVALKKIKMKEDRFEEEYGFPLTSLREINILLSCNHPAIVNVKEVVVGGKNDSDVYMVMEHLEHDLRGVMDRRKEPFSTSEVKCLMMQLLDGLKYLHTNWIIHRDLKPSNLLMNNCGELKICDFGMARQYGSPIKPYTQMVITQWYRPPELLLGAKEYSTAVDMWSVGCIMAELLSQKPLFPGKSELDQLQKIFAVLGTPNEAVWPGFSSFPNAKAKFPTQPYNMLRKKFPAISFVGGQILSERGFDLLNSLLTLDPEKRLTVEEALNHGWFHEVPLPKSKDFMPTYPPKW >scaffold_803027.1 pep chromosome:v.1.0:8:20925265:20927766:-1 gene:scaffold_803027.1 transcript:scaffold_803027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKNNDSRSIDRSSGFDQRTGIYHSLRPSLSLPPIDQPLSTAEFVLSLLRKSSPPATAGKNIEALTFLVNSSSGDSLTYGELLRGVRSLAVSLRERFPSLSSKNVAFILSPPSLHIPVLYLALMSIGVVVSPANPIGSESEVSHQVEVSEPVIAFATSQTVKKLRSSSFPLGIVLMDSPEFLSWLNRSDASSVNPFQVRVHQSDPAAILFSSGTTGRVKGVLITHRNLIASTAVSHQRTLQDPVDYDRVGLFSLPLFHVFGFTMMIRAISLGDTLVLLGRFELEAMLKAVEKYKVTGMPVSPPLIVALVKSELTKKYDLRSLRSLGCGGAPLGKDIAERFKQKFPDVEIVQGYGLTESSGPAASTFGPEETVKYGSVGRISENLEAKIVDPSTGEALPPGKTGELWLRGPVIMKGYVGNEKASAETVDEEGWLKTGDLCYFDAEGFLYIVDRLKELIKYKAYQVPPVELEQILHSNPDVIDAAVVPFPDEDAGEIPMAFIVRKPGSNLNEAQIIDFVAKQVAPYKKVRRVAFINAIPKNPAGKILRRELTKIAVDGNASKL >scaffold_803034.1 pep chromosome:v.1.0:8:20964066:20966006:-1 gene:scaffold_803034.1 transcript:scaffold_803034.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MPN9] MEASSSQPSDSSDQTASKFLSDLPSRGFLSSTVVSSNPGSLRVYICEHDTSPPEGQHIKTNQQNILIRSLLLKKQKGESSSKDSKGTAEDGPKKRAANRALDDRSSAKRAANASRQEGSSSRTGERDFQSLTVEKLRALLKEKGLPTKGRKDELIARLKSAN >scaffold_803038.1 pep chromosome:v.1.0:8:20974102:20974354:1 gene:scaffold_803038.1 transcript:scaffold_803038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVLIAVILFVVLSPGLLFQIPAGGRVVEFGNMQTSGASILVHAIIFFGLITIFTIAINVHIYSG >scaffold_803040.1 pep chromosome:v.1.0:8:20976918:20977318:1 gene:scaffold_803040.1 transcript:scaffold_803040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKARAIASLVLVVVLYATGLITPGVVAHEEPLQSKSPPSSPIDLEKCWSSLFNVHGCVLELCKSVFSGKFGNVGIACCKAYSTIDANCWPHMFPLNPFFPPLLKDNCAHIVPNLPAH >scaffold_803044.1 pep chromosome:v.1.0:8:21001753:21005123:-1 gene:scaffold_803044.1 transcript:scaffold_803044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRLQLRYSSDEEEEEGEETGTSGIGDSSQRIGHASSVQPETSVASNSNPNPGETIAVSEVEIIDVFGNPQPTPPDSSIPTPYPVYPSESESELVRGNNYESPISEVLSRMGIKLKREWWVSCLSGLETSIPQFSYLDVAAKAKHCFEQFMFSDMNLCGAGVLPRNVASMNLIELAGPFVLQVDEIVNIGCPLKGRYENANAGLKRCLKLSMTDGVQRVFGMEYRPIKDLQVLAPAGLKVVVSSVQVRHGLLMLVPEIVEVLGGMVEELEEARKRLVVEVNKPPRGKRTRIGVVPSLTTRATLAAWSLNGNDTGNHVDSSTSGNASHAQANPQGIPVNVTRTHISSRAMDEHPASTNVEATVSRVEHMQIDTASAHGERTFSGIHSTSSNIHRPASTAGTSCSGTRSFSNNVGRNTLDQQTSNVTSFVEEMHIDTGRVRDTTSHVYGSGSGGVADAEVSNKIVDLEGPSVMSTNTEKPFTYLAELSQKWAVMKDTIHFVQGRIKCFLTGVKKFQFKQQSTYELLCYVDDGSLICEILLHNDVVQKRIGHTSMEVTAALSSSAPTSVNAMMKEKLKRFQLFLADFEGIMMVEMNRSSQYPVAIEMNQGCSLTDARLLLERIKSSSRTSSSLNPVVVLSP >scaffold_803053.1 pep chromosome:v.1.0:8:21038210:21039660:-1 gene:scaffold_803053.1 transcript:scaffold_803053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSSTRSNSSNSSSEKPEWLQQYNLVGKIGEGTYGLVFLAKTKAPPKRPIAIKKFKQSKDGDGVSPTAIREIMLLREISHENVVKLVNVHINFADMSLYLAFDYAEYDLYEIIRHHRDKVGHSINTYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGIVKIADFGLARIYQAPLKPLSDNGVVVTIWYRAPELLLGSKHYTSAVDMWAVGCIFAELLTLKPLFQGAEAKSSQNPFQLDQLDKIFKILGHPTMDKWPTLVNLPHWQGDVQHIQAHKYDSVGLHNVVHLNQKSPAYDLLSKMLEYDPLKRITASQALEHEYFRVDPLPGRNAFVATQPMEKNVNYPIRPVDTNTDFEGTTSINPPQAVAAGNVAGNMAGAHGMGNRSMPRPMVAHNMQRMQPQGMMAYNFPAQAGLNPSVPLQQQRGMAQSHQQQQLRRKDPGMGMSGYAPPNKSRRL >scaffold_803059.1 pep chromosome:v.1.0:8:21065778:21067652:-1 gene:scaffold_803059.1 transcript:scaffold_803059.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:D7MPR2] MDKYEVVKDLGAGNFGVARLLRHKETKELVAMKYIERGRKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYASGGELFERICNAGRFSEAEARYFFQQLICGVDYCHSLQICHRDLKLENTLLDGSPAPLLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKHADVWSCGVTLYVMLVGGYPFEDPDDPRNFRKTIQRIMAVQYKIPDYVHISLECKHLLSRIFVTNSAKRITLKEIKKHPWYLKNLPKELTESAQAAYYKRETPSFSLQSVEDIMKIVGEARSPAPSSNAVKGFDEDEEDVEDEVEEEEEEEEEEEEEEDEYEKHVKEAHSCQDPPKA >scaffold_803061.1 pep chromosome:v.1.0:8:21071017:21073126:-1 gene:scaffold_803061.1 transcript:scaffold_803061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEPPSKRLKMMGSKGFINESEFIRIITDTLYSLGFDQIGKLLEDESGIPLHSTVAKQFLEQVKNREWDNSIATLRGIELQNEKAVIFLLLEYKFFEVLKMQKDTDALATLRKEMTPLGFNKKRVHELASILISASAEDKESVNSGSKVVETLQNLFPPATFIPEKRLEYLIEKALDYELFLCECHNIPNCDLSLSSDHHCGIHKIPSKTVQTLKNHTDEVWFLEFSHDGKYLASSSKDKSAIIWEIDAQGKFSEKHKLVGHEKPVVMVLWSPDDKQVATCGENEVIRSWDVESGEFIQSYERNGVGSVSCGWFHDGSGIIAGMTDRSICLWNLDGTELVHEQGPREQKLSDVAMTNDGKWLVSVDSVHVISLFNRETRAVRLITEEDMITSFSLSKNNKHLLINLITQKIHVWNIEGQPYKCFEYNGHKRSRFIIRSCFGGYEDSFIASGSEDSQVYIWIIRRLQKEPCIVLRGHSGAVNCVSWNPINLHMLASASDDGTIRIWGLDTKLK >scaffold_803062.1 pep chromosome:v.1.0:8:21073192:21073442:-1 gene:scaffold_803062.1 transcript:scaffold_803062.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MPR5] MYFYSIAVTYDCSLVYGFYYDFSQPLIYLDFESNAASVTDFGLIHHYLSNVSLIISSLILFFVYWIVI >scaffold_803063.1 pep chromosome:v.1.0:8:21075719:21076987:1 gene:scaffold_803063.1 transcript:scaffold_803063.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor SPT4 homolog [Source:UniProtKB/TrEMBL;Acc:D7MPR6] MGSAPAQIPTSFGHELRACLRCRLVKTYDQFRDAGCENCPFFKMEEDHERIVEVTTPNFNGIISVMDPSRSWAARWLRIGKFAPGCYTLAVSEPLPEEMQHLCQEERVQYVLPKRM >scaffold_803064.1 pep chromosome:v.1.0:8:21078463:21080589:1 gene:scaffold_803064.1 transcript:scaffold_803064.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:D7MPR7] MSNIDIEGILKELPNDGRTPKTKIVCTLGPASRSVTMIEKLLKAGMNVARFNFSHGSHEYHQETLENLRTAMQNTGILAAVMLDTKGPEIRTGFLKDGNPIQLKEGQEITITTDYDIKGDEKTISMSYKKLPVDVKPGNTILCADGSISLAVVSCDPKSGTVICRCENTAMLGERKNVNLPGVVVDLPTLTEKDVEDILKWGVPNNIDMIALSFVRKGSDLVNVRKVLGSHSKSIMLMSKVENQEGVLNFDEILRETDAFMVARGDLGMEIPIEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEIAVKTMAKICIEAESSLDYNTIFKEMIRATPLPMSTLESLASSAVRTANKAKAKLIIVLTRGGTTAKLVAKYRPAVPILSVVVPVFTSDTFNWSCSDESPARHSLIYRGLIPVLGEGSAKATDSESTEEIIESALKSATEKGLCNHGDAVVALHRIGAASVIKICVVK >scaffold_803065.1 pep chromosome:v.1.0:8:21082819:21083276:-1 gene:scaffold_803065.1 transcript:scaffold_803065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFLKDIVPAAQNNINTRFIILDKAKSPAANGKNCIALAADETAAVHIQLWGDECDAFEAGDIVKLTNGIFSYVRNSGLILRAGKRGKMEKMGEFTVAFVETPNISEIQWNPDPENPKRYIQSGVVSAYSRIFPPLP >scaffold_803068.1 pep chromosome:v.1.0:8:21096865:21097700:1 gene:scaffold_803068.1 transcript:scaffold_803068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDNVERNLQSLRRLYCLLDLNARNESIPEPYLLDENTQFLLKRLLDFASHELFVTQSKILATQLGLFPRTDLHSAKPSTVADSSVTMPPPMSSQVTRISKPLEVKGALRRDLRVDQIQSNPSKDGLTEEVADAIEQIDTQLSALSFVSSRVDSDDRTGSCKSSVTPPIEEQKCEWWCRCNSSKP >scaffold_803077.1 pep chromosome:v.1.0:8:21141988:21146156:1 gene:scaffold_803077.1 transcript:scaffold_803077.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:D7MPT0] MNRVTESIASTAILVGLVFLFSWRSIDAANVSYDHRSLSIGNRRQLIISAAIHYPRSVPAMWPSLVQTAKEGGCNAIESYVFWNGHEPSPRKYYFGGRYNIVKFIKIVQQAGMHMILRIGPFVAAEWNYGGVPVWLHYVPGTVFRADNEPWKHYMESFTTYIVNLLKKEKLFAPQGGPIILSQVENEYGYYEKDYGEGGKRYAQWSASMAVSQNIGVPWMMCQQWDAPPTVISTCNGFYCDQFTPNTPDKPKIWTENWPGWFKTFGGRDPHRPAEDVAYSVARFFGKGGSVHNYYMYHGGTNFGRTSGGPFITTSYDYEAPIDEYGLPRLPKWGHLKDLHKAIMLSENLLINGEHQNFTLGHSLEADVYTDSSGTCAAFLSNLDDKNDKTVMFRNTSYHLPAWSVSILPDCKNEVFNTAKVTSKFSKVEMLPEDLRSSSGLKWEVFSEKPGIWGEADFVKNELVDHINTTKDTTDYLWYTTSITVSTNEEFLKKGSPPVLFIESKGHTLHVFINKEYLGTATGNGTHVPFKLKKSVALKAGENNIDLLSMTVGLSNAGSFYEWVGAGLTSVSIKGFNKGTLNLTNSKWSYKLGVQGVHLELFKPGDSGAVKWTVTTKPPKKQPLTWYKVVIDPPSGSEPVGLDMMSMGKGMAWLNGEEIGRYWPRIARKSTPNDECVKECDYRGKFMPDKCLTGCGEPSQRWYHVPRSWFKSSGNELVIFEEKGGDPMKITLSKRKVSVV >scaffold_803079.1 pep chromosome:v.1.0:8:21147992:21149444:1 gene:scaffold_803079.1 transcript:scaffold_803079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVAISEFGRLEIARSSTSRLRMKKLRAWLKKNHQLAYLGLVCAMIMIISPFMLGLVSTATIFTSDAGCTDDDTYVVDTWRIFACRPTCNKPVDDYWDRDEEIDPFYTGEMPKWLSDEALTSDNKKYYVVQESELHENEWLHLFIEIAFYSKAKTNPELVEINKVVVETKEDYISEAREKLHAENAIFYISYKYTGVSSSGLAGDHKAIIRKTMDGITEHMSLEVASEHG >scaffold_803083.1 pep chromosome:v.1.0:8:21157136:21161053:1 gene:scaffold_803083.1 transcript:scaffold_803083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLFVLSLICFCSQTALSWKKEEFRSCDQTPFCKRARSRTPGACSLIVGDVSITDGDLVAKLLPKTPNHGDGDQIKPLILSLSVYKDGIVRLKIDEDHSLNPPKKRFQVPDVVVSEFEEKKIWLQKVATETISGDTSPSSVVYLSDGYEAVVRHDPFEVYVREKSGDRRRVVSLNSHGLFDFEQLGRKTEGDNWEEKFRTHTDSRPSGPQSISFDVSFYDSSFVYGIPEHATSFALKPTKGPGVEESEPYRLFNLDVFEYDHESPFGLYGSIPFMVSHGKSGKTSGFFWLNAAEMQIDVLANGWDAESGISLPSSQSRIDTFWMSEAGIVDTFFFVGPEPKDVVKQYASVTGTSAMPQLFATGYHQCRWNYKDEEDVAQVDSKFDEHDIPYDVLWLDIEHTDGKRYFTWDSALFPHPEEMQKKLAAKGRKMVTIVDPHIKRDDSYFLHKEATQMGYYVKDSSGKDFDGWCWPGSSSYIDMLSPEIRKWWGGRFSYKNYVGSTPSLYTWNDMNEPSVFNGPEVTMPRDALHVGGVEHREVHNAYGYYFHMATSDGLVMREEGKDRPFVLSRAIFPGTQRYGAIWTGDNTAEWGHLRVSIPMILTLGLTGITFSGADIGGFFGNPEPELLVRWYQVGAYYPFFRGHAHHDTKRREPWLFGERNTELMRDAIHTRYTLLPYFYTLFREANVTGVPVVRPLWMEFPQDEATFSNDEAFMVGNGLLVQGVYTKGTTQASVYLPGKESWYDLRNGKTYVGGKTHKMDAPEESIPAFQKAGTIIPRKDRFRRSSSQMDNDPYTLVVALNSSQEAEGELYIDDGKSFEFRRGSYIHRRFVFSNGVLTSTNLAPPQARLSSQCLIDRIILLGHSSGPKSALVEPLNQKAEIEMGPLRMGGLVASSGTKVLTIRKPGVQVDQDWTVKIL >scaffold_803091.1 pep chromosome:v.1.0:8:21195600:21196073:-1 gene:scaffold_803091.1 transcript:scaffold_803091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDGTQKEQRKEEERQASAEARARAAEAAQRRKEQFDKSAAGRAAQAQLQQMDKQSANINKGEPVLKWQMT >scaffold_803093.1 pep chromosome:v.1.0:8:21200952:21201349:1 gene:scaffold_803093.1 transcript:scaffold_803093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTLENDPSHDSILKKATRLAGYALTVQMNRLALILHLDVLVDEYHSATRCYEVTIQILQLCYETLKDHKVFLSGTLLIINLVTFSASLVHLSHMSRKKSMRCFYLAARVGRKPFLS >scaffold_803096.1 pep chromosome:v.1.0:8:21217193:21220267:1 gene:scaffold_803096.1 transcript:scaffold_803096.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein [Source:UniProtKB/TrEMBL;Acc:D7MQJ4] MNPTGEGGGGKVAGVEETVAEGSALLIVGVKPDEWSREVLTWSLVNVARPGDRIVALHVLDYSLEGSTSLISLVRNFDTMLGVYESFCNLKQVDLKLKVFRGKSARKVLVQEVKSCGATSLIVGSSRKHHTIRSSASLAKYCARNLAKDVSVFAVKSGKIMFRRVPNTSGADGPQMNLPSLVCGSPDIAIEAAKIGNSFSPARTSSRWTRTSRSSSLQSSESLGVDNSLALVPVQTNETDSGSLESGPGWHFLRGIYGNRKSWPKVSAKKTVLQWVSRLRGRHSETVIYLDRKRSDSGCDEDCSSSIDGEDVSISRFGSELMQSPLSPCTGSNNIPEELEGLHEKYSSTCRLFTYDEVLSITSNFASENLVGEGGNSYVYRGDLPDGRELAVKILKPCLDVLKEFILEIEVITSVHHKNIVSLFGFCFENNNLMLVYDYLPRGSLEENLHGNRKDAKKFGWLERYKVAVGVAEALDYLHNTHEPEVIHRDVKSSNVLLADDFEPQLSDFGFASLASSTSQHVAGGDIAGTFGYLAPEYFMHGKVTDKIDVYAFGVVLLELLSGRKPICIDQSKGQESLVLWANPILESGKFAQLLDPSLENDNSNDLVEKLLLAATLCIKRTPHERPQIGLVLKILQGDEEATEWGKQQVRASEDESAYLTNIESHINLALLDLEDDAASDSSPEASSISVEEYLKGRWSRTASFNFN >scaffold_803111.1 pep chromosome:v.1.0:8:21293240:21293488:-1 gene:scaffold_803111.1 transcript:scaffold_803111.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MQK8] MSRLSVLRFGLASWWWVASGSLCTAHHHLQISFRRLEWSVVASVWLQVSVSSLRSVLLRFSQATGSGA >scaffold_803114.1 pep chromosome:v.1.0:8:21300638:21301935:-1 gene:scaffold_803114.1 transcript:scaffold_803114.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7MQL1] MSRGYNLLFVLVTFLVLVAAVTAQGNRGSNNGGGRRPRFGFYGNRCRNVESIVKSVVESHVRSIPANAPGILRMHFHDCFVRGCDGSVLLAGNTSERTAVPNRSLRGFEVIEEAKARLEKACPRTVSCADILTLAARDAVVLTGGQRWEVPLGRLDGRISQASDVNLPGPSDSVAKQKQDFAAKTLNTLDLVTLVGGHTIGTAGCGLVRGRFFNFNGTGQPDPSIDSSFVPLIQAQCPQNGGTRVELDEGSVGRFDTSFLRKVTSSRVVLQSDLLLWRDPETRVIIERLLGLRRPSLRFGSEFGKSMVKMSLIEVKTRSADGEIRRVCSAIN >scaffold_803115.1 pep chromosome:v.1.0:8:21304491:21306261:-1 gene:scaffold_803115.1 transcript:scaffold_803115.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7MQL2] MGSSTNFNPCYVNVLLPFFLVLAANATHKNFFLPRPRIGYYGSACWNVESIVRSVVESNYLANPANAPGILRMHFHDCFVQGCDASVLLAGPNSERTAIPNLSLRGFNVIEEAKTQLEIACPRTVSCADILALAARDFVSLAGGPWWPVPLGRLDGRVSLASNVILPGPTDSVAVQKLRFAEKNLNTQDLVVLAAGHTIGTAGCVVFRDRFFNYDNTGSPDPTIAPSFVPQIQAQCPLNGDPATRVVLDTGSGDQFDTSYLNNLRNGRGLLESDQVLWTNPETRPIVERLLGLRFPFLIFGLEFARSMTKMSQIEVKTGLDGEIRRVCSAVN >scaffold_803118.1 pep chromosome:v.1.0:8:21319089:21319323:-1 gene:scaffold_803118.1 transcript:scaffold_803118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQIKHAVVVKVMGRTGSRGQVTQVRVKFTDSDRYIMRNVKGPVREGDILTLLESEREARRLR >scaffold_803122.1 pep chromosome:v.1.0:8:21328346:21329461:1 gene:scaffold_803122.1 transcript:scaffold_803122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQIGGSDERWKGSLENITEMASNLDSLQKLLLKKAVFVEEDTFARASLVSEQARTIKILEQRVQTLERELDAAITAAAHARSEKRQAESSQKAAESRAQEVTKELENTTKVFKLHMEELRGMQEQIFKRDNEIKLLEAIIQTLGGKERLGKSGMNG >scaffold_803130.1 pep chromosome:v.1.0:8:21351394:21356987:1 gene:scaffold_803130.1 transcript:scaffold_803130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein [Source:UniProtKB/TrEMBL;Acc:D7MR88] MADRGSFGFAPRLDIKQLLSEAQHRWLRPAEICEILRNHQKFHIASEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSIDVLHCYYAHGEDNENFQRRCYWMLEQDLMHIVFVHYLEVKGNRMSTSGTKENHSNSLSGTGSVNVDSTATRSSILSPLCEDADSGDSRQASSSLQQNPEPQTVVPQIMHHLNANTMNSYNTTSVLGNRDGWTSAPGIGIVSQVHGNRVKESDSQRSGDVPAWDASFENSLARYQNLPYNAPLTQTQPSNFGLIPMEGKTEKGSLLTAEHLRDPLRNQVNWQLIYIPVQESVPLQKWPMDSHSGMTDATDLALFGQGAHENFGTFSSLLGSQNQQPSSFQAPFTNNEAAYIPKLGPEDLIYEASANQTLPLRKALLKKEDSLKKVDSFSRWVSKELGEMEDLQMQSSSGGIAWTSVECENAAAGSSLSPSLSEDQRFTMIDFWPKWTQTDSEVEVMVIGTFLLSPQEVTSYSWSCMFGEVEVPADILVDGVLCCHAPPHEVGRVPFYITCSDRFSCSEVREFDFLPGSTRKLNATDIYGANTIETSLHVRFENLLALRSSVQEHHIFENVGEKRRKISKIMLLKDEKESLLPGTIEKDLAELEAKDRLIREEFEDKLYLWLIHKVTEEGKGPNILDEDGQGVLHLAAALGYDWAIKPILAAGVSINFRDANGWSALHWAAFSGREDTVAVLVSLGADAGALADPSPEHPLGKTAADLAYGNGHRGISGFLAESSLTSYLEKLTVDAKENSSADSSGAKAVLTVAERTATPMSYGDVPETLSMKDSLTAVFNATQAADRLHQVFRMQSFQRKQLSELGGDKKFDISDELAVSFAAAKTKKPGHSNGAVHAAAVQIQKKYRGWKKRKEFLLIRQRIVKIQAHVRGHQVRKQYRAIIWSVGLLEKIILRWRRKGSGLRGFKRDTITKPTEPVCPAPQEDDYDFLKEGRKQTEERLKKALTRVKSMAQYPEARAQYRRLLTVVEGFRENEASSSSALKNNTEEAANYNEEDDLIDIDSLLDDDTFMSIAFE >scaffold_803135.1 pep chromosome:v.1.0:8:21375599:21376985:-1 gene:scaffold_803135.1 transcript:scaffold_803135.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-nitropropane dioxygenase family [Source:UniProtKB/TrEMBL;Acc:D7MR91] MKGILGFEHGIVQAPLGPDISGPELVAAVANAGGIGLLRCPDWECPDYLRELIRKTKTLTDKPFGIGVVLAFPHDLNIKAILEEKVAVLQLYWGDCSQELVDDAHRAGLKVVPQVGNVEEARKAVAVGVDAIIVQGHEAGGHVIGKDGLFSLLPRVVDLVGERDIPVIAAGGIVDARGYVAALSLGAQGVCLGTRFVATHESYAHPIYKRKLVEYEKTEYTDVFGRARWPGAPQRVLETPFFDDWRSLPAGENEVNQPVIGRSTIHGVEKEIRRFSGTVPNMTTTGDLESMAMYAGQSVGLIKEILPAGEVVKSLVEEAQALILQKFNNATT >scaffold_803137.1 pep chromosome:v.1.0:8:21397805:21398118:-1 gene:scaffold_803137.1 transcript:scaffold_803137.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MR92] MNNNTWRGIVVCCLLILFVLSTFFIRIESSRLPPRGLELGCLKDDPNRSYPNGTRPCNPSLPPGTPVVFCRMSAKKTAIWWCNTPDPAGP >scaffold_803141.1 pep chromosome:v.1.0:8:21414013:21414971:1 gene:scaffold_803141.1 transcript:scaffold_803141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNYRFDIFLTLLFAIVGFSAAALVEEQPLVLKYHNGVLLKGNITVNLIWYGKFTPIQRSVIVDFIRSLNSKDVASSAAVPSVASWWKTTEKYKGGSSTLVVGKQLLLENYPLGKSLKNPHLRALSTKLNGGLRSITVVLTAKDVTVERFCMSRCGTHGSSSSKPRRAANGAAYVWVGNSETLCPGYCAWPFHQPIYGPQTPPLVAPNGDVGVDGMIINLATLLANTVTNPFNNGYYQGPPTAPLEAVSACPGIFGSGSYPGYAGRVLVDKTTGSSYNARGLAGRKYLLPAMWDPQSLTCKTLV >scaffold_803143.1 pep chromosome:v.1.0:8:21424073:21426253:-1 gene:scaffold_803143.1 transcript:scaffold_803143.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIT2.2 [Source:UniProtKB/TrEMBL;Acc:D7MR98] MESLALRSLSLSTSSSASYLSLRRSSSKSFSLLPPLISVHSPPTLRSLSISSPRFTLRATASSSPEKPQSLPSPSPPQPQGAKLIPLTISISIGLIVRFLIPRPEQVTSQGWQLLSIFLFTISGLVLGPLPVGAWAFIGLTASIVTKTLPFSTAFAAFTNELIWLIAISFFFARGFIKTGLGDRIATYFVKWLGKSTLGLSYGLAFCETLMGLIMPSTMARAGGVFLPVIKSLALSAGSYPGDPSSSKLGSFLIQTQLQCSGASGAILLTSAAQNLLCLKLAREVGVVISNPWITWFKVASVPAFVSLLCTPLIIYKLYPPDLKHTPEAPAAAAKKLERLGPITKNEWIMLGAMAFTVSLWVFGEAIGIASVVSAMIGLSTLLLLGVINWDDCLSDKSAWDSLTWFAVLIGMAGQLTNLGVVAWMSDCVAKLLQSLSLTWPASFIILQACYLLIHYLFASQTAHAGALYPPFLAMQIAAGVPGVLAALCLAFNNNLSGALSHYSGGPAALYYGAGYVDLRDMFRVGFVMALVQAIIWGGVGSFWWKFLGLY >scaffold_803144.1 pep chromosome:v.1.0:8:21427812:21429985:-1 gene:scaffold_803144.1 transcript:scaffold_803144.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCT/DIT2.1 [Source:UniProtKB/TrEMBL;Acc:D7MR99] MESFALQSLSTTATSNLLSHHHHHPSRLSLLRRTSSRSPPSTISLRSLPVQPLSFPLLKPIPRISTRIAAAPQDNAPPPPPPPSPSPSPQGAKLIPLILSISVGLILRFAVPVPEGVTPQGWQLLSIFLSTIAGLVLSPLPVGAWAFIGLTASIVTKTLSFSAAFSAFTSEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGKSTLGLSYGLTLSEALIAPAMPSTTARAGGIFLPIIKSLSLSAGSKPGDSSSRKLGSYLIQSQFQCAGNSSALFLTAAAQNLLCLKLAEELGVVISNPWVSWFKAASLPAIISLLCTPFILYKLYPPETKDTPDAPGIAATKLKQMGPVTKNEWIMVGTMLLAVTLWICGETLGIPSVVAAMIGLSILLLLGVLNWDDCLSEKSAWDTLAWFAVLVGMAGQLTNLGVVTWMSDCVAKVLQSLSLGWPAAFGLLQAAYFFIHYLFASQTGHVGALFSAFLAMHIAAGVPGILGALALAYNTNLFGALTHYSSGQAAVYYGAGYVDLPDVFKIGFVMATINAIIWGVVGTFWWKFLGLY >scaffold_803147.1 pep chromosome:v.1.0:8:21438479:21438705:-1 gene:scaffold_803147.1 transcript:scaffold_803147.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRA2] MKNLETESSNSIIGGLADKVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCNVSRSSRRVF >scaffold_803158.1 pep chromosome:v.1.0:8:21473713:21474798:-1 gene:scaffold_803158.1 transcript:scaffold_803158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYACLHKILRGCPYLQNLKMCVNEEEHEGEDTTIALPCLRHLSLWDMMRYKSKSGVFVIEAPRLKYLKIIDDVVYDSRRIENMPNLTEAYVDITQGVTHKFLRALVSARRLYLCVPLLSEVPSMIIYFYRLVHLNLNICAQGWWELLTQMLQNSPKLVSLKLTDEQQYPTDETPDCWKRMPFWYFSSQANQKQTYPKDQKT >scaffold_803160.1 pep chromosome:v.1.0:8:21482542:21483093:1 gene:scaffold_803160.1 transcript:scaffold_803160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREDSLPSLILKILYPNISRGTIVHRGNYWTNALCTSPAVVGGSMLALRISQRTVATVGGLLFFGFSVSSYFYPPLQSMRFFRKWVLETFCK >scaffold_803163.1 pep chromosome:v.1.0:8:21497550:21502127:1 gene:scaffold_803163.1 transcript:scaffold_803163.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acylethanolamine amidohydrolase [Source:UniProtKB/TrEMBL;Acc:D7MRU1] MGKYEVMKRASEVDLSTVKYKAENIKAPHLTGFSFKLFVNLLEAPLIGSLIVDSLKKDNGMTKIFRNTVIPEEPMFRPEFPSQEPEHDVVVVGEDESPIDRLETALKCLPQYDPSRSLHTEPMSSFRYWKIRDYAYAYRSKLTTPLQVAKRIISIIEEFGYDKPPTPFLISFDANEVIKQAEASTQRFEQGNPISVLDGIFVTIKDDIDCLPHPTNGGTTWLHEDRSVEKDSAVVSKLRSCGAILLGKANMHELGMGTTGNNSNYGTTRNPHDSKRYTGGSSSGSAAIVAAGLCSAALGTDGGGSVRIPSALCGITGLKTTYGRTDMTGSLCEGGTVEIIGPLASSLEDAFLVYAAILGSSSADRFNLKPSPPCFPKLLSHNGSNAIGSLRLGKYTKWFNDVNSSDISDKCEDILKLLSNNHGCKVVEIVVPELEEMRAAHVISIGSATLSSLTPYCEAGKNSKLTYDTRTSFAIFRSFSASDYITAQCLRRRLMEYHMNIFKDVDVIVTPTTGMTAPVIPPDALKNGETNFQVTTDLMRFVLAANLLGFPAISVPVGYDKEGLPIGLQIMGRPWAEATVLGLAAAVEELAPVTKKPAIFYDILNTN >scaffold_803164.1 pep chromosome:v.1.0:8:21506485:21508547:-1 gene:scaffold_803164.1 transcript:scaffold_803164.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGAGIGLYPLHRCKTIYLVRHAQGIHNVDGEKNYKAYMSHDYFDAELTQLGWQQVDSLRKHVHSSGLHKKIELVISSPLMRTLQTAVGVFGGEGYTDMSDVLPLMVANAGNSSRAAISSSNCPPIITEESCREHLGVHPCDQRRSISDYQFLFPAVDFSLIKSEEDKLWKADVRETIEELAARGKKFLNWLWTRKEKEIAIVTHSGFLFHTLNALQNECHPDVKKEICSHFANCELRSMVIVDRSMLGSDTSVTDYPGKIPKGSDLPSDAVVDDNNIKVE >scaffold_803167.1 pep chromosome:v.1.0:8:21512914:21514261:-1 gene:scaffold_803167.1 transcript:scaffold_803167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGLENHKAEVPSQQPLISRAPSYRTNPHGFSTMAEALGRETTNYMEQPQEISLTINSPYFSTYQSIRTISRQNYLQQLRMRLHTLLTSVEGEGSTEFNDMILRNNGRELHGVVSKLTSESDYFVEIVSDKEGSRRVRRLFGKFHQTDEILLNAIVRRFIDIMTGKYSYLVAITAFKVSESFELVSLTLRDALYFASDEIGCIALNQIITESNNIFRYEFFHEISENADWLSMDDSGNFVVQHVLNFHDLECTNRVAFRLCGYYVELSFERRGSYIVEQILESGSMIALDLVVSELMECGGFTLWRLAQDAFGNYVVQKALRVTRRQGRVDLFFGLVKKLKLLPFLHLLRGSHHGRNIVAIIDSTITLEFAKERRVDLFRELVEKLKPLIDLLRGYQGINIAAIIDLDKIEGVD >scaffold_803168.1 pep chromosome:v.1.0:8:21514826:21518174:1 gene:scaffold_803168.1 transcript:scaffold_803168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGEGDRGQNPRIMERDSDSTKDQITEPSWFTPKKLLFVFCVVNLINYIDRGAIASNGINGSRGTCTSSGTCSAGSGIQGDFNLSNFEDGVLSSAFMVGLLVASPIFASLAKSVNPFRLIGVGLSIWTLAVIGCGLSFDFWSITICRMFVGVGEASFVSLAAPFIDDNAPRDQKSAWLAVFYMCIPTGYAFGYVYGGVVGSVLPWRAAFWGEAILMLPFAVLGFVIKPLHLKGFAPDDTGKPRTDNLNVLPIGYGLSAVMKDLKLLLVDKVYVTNILGYIAYNFVLGAYSYWGPKAGYNIYKMENADMIFGGVTVICGIVGTLSGGVILDYMDATISNAFKVLSVSTFIGALFCFAAFCFKSMYAFLALFAVGELLVFATQGPVNFIVLHCVKPSLRPLAMAMSTVSIHIFGDVPSSPLVGVLQDYVNNWRVTSLVLTFVLFPAAAIWSIGIFLNSVDRYNEDSEPDAVPRESTAAPLLQEA >scaffold_803175.1 pep chromosome:v.1.0:8:21539039:21539406:-1 gene:scaffold_803175.1 transcript:scaffold_803175.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRV2] MLPPLVILVGTWSFSDTVAANYWSLYFESLNIVTSRNLYYTIDSNAFVIISLKKFLLKMDRADTWGFVSGGRCLYLKLLLCL >scaffold_803181.1 pep chromosome:v.1.0:8:21587514:21588093:1 gene:scaffold_803181.1 transcript:scaffold_803181.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C/VIF2 [Source:UniProtKB/TrEMBL;Acc:D7MRV9] MATSLIFLLLVTLTFSASTLISAKSNTTIIESTCKTTNYYKFCVSALKSDPRSPTADTKGLAAIMVGVGMTNATSTATYLAGNLSTTVNDTVLKKVLQDCSEKYTLAADSLRLTIQDLDNEAYDYASMHVLAAQDYPNVCRNIFRRVKGLAYPVEIRRREASLRRICGVVSDILDRLVE >scaffold_803184.1 pep chromosome:v.1.0:8:21592581:21594823:1 gene:scaffold_803184.1 transcript:scaffold_803184.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:D7MRW1] MDSPKLPYSLSASSSTPFASAAVKPHRNKLLSRNGILIIIAVSCILLLLISLLIYATVSKSSRSHRNPSHQTPTSDDQPPPETPPSPPPIAQIRLACNATRFPDHCVASLSKPGQVPPDPKPVQIIHSAISVSFENLKSGQSKIKSILDSSAGNQNRTNIATICLEILSYSQHRTESTDIAVTSGEIKDARAWMSAALAYQFDCWSGLKTVNDTKQVVDTITFFEDLVNLTGNALSMMLSFDNFGDDVVSWIRPATERDGFWEKAGPSLGSGTGTEANLGFPSGLTEDVTVCENGGKACNYKTVQEAVDAAPDTNGTVKFVIRIKEGVYEETVRVPFEKKNVVFIGDGMGKTVITGSLNVGQPGMTTFNSATVGVLGDGFMARDLTIENTAGADAHQAVAFRSDSDFSILENCEFLGNQDTVYAHSLRQFYKQCRIQGNVDFIFGNSAAVFQDCDILIASKHSKLEQGGANNAITAHGRIDASQSTGFVFLNCSINGTEEYMKEFQANPKAHKNFLGRPWKEFSRTVFVNCNLESLISPDGWMPWSGDFALKTLYYGEYKNKGPGSVRTNRVPWSSEIPEKHVDVYSVANFIQADEWASTTA >scaffold_803191.1 pep chromosome:v.1.0:8:21613226:21613529:1 gene:scaffold_803191.1 transcript:scaffold_803191.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MRW8] MTSRNLRLFNRRFFDRSPQRLRAFLNPISVICLFGFGLHESVTTKSYFFVYDVFAGLVKDCPGVRKDLRIPEEMQLSAQTTRNIRG >scaffold_803194.1 pep chromosome:v.1.0:8:21625099:21627303:-1 gene:scaffold_803194.1 transcript:scaffold_803194.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:D7MSI8] MDMESKKPYMMVTIIQVIYTIMFLISKAVFNGGMNTFVFVFYRQAFATIFLAPLAFFFERKSAPPLSFVTFIKIFMLSLFGVTLSLDLNGVALSYTSATLAAATTASLPAITFFLALLFGMERLKVKSIQGTAKLVGITVCMGGVITLALYKGPLLKLPLCPHFYHGQEHPHHNNPGHVSGGSTSWLKGCVLMITSNILWGLWLVLQGRVLKVYPSKLYFTTLHCLLSSIQSFVIAIVLERDISAWKLGWNLRLVAVIYCGFIVTGVAYYLQSWVIEKRGPVFLSMFTPLSLLFTLLSSAILLCEIISLGSIVGGLLLIIGLYCVLWGKSKEKKNSGDDKTDLQKENDVVCNEVKVVVS >scaffold_803195.1 pep chromosome:v.1.0:8:21630356:21633169:1 gene:scaffold_803195.1 transcript:scaffold_803195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFASTKSLFLRLAGFSSTSVFKPPRAIAVLVANFSSSSSISGHFTSLRRHQYEEESRSVRVHVWWDFENCHLPSGANVFKLAQTITSAIRISGIKGPITITAFGDLIQLSRTNQEALFATGINLTHVPQGGKNSTDRSLITDLMYWVLQNPPPAHIFLITSDRDFANVLHRLRMNNYNILLAGYEEATHGVLCSAASIMWDWDALVRGKNPTAKYYNQPPDGPYNSWYGHYRTPLLDPFATSSTTTNKQISCTSVKTIELVESNSNATNSGSSKACLPIPKEVVKQIGLILSWYPKGAPITELREQLRKRKVHLDRDFYGYKSFSRFLLSMPKILQVVPVGDGMFSIHAVTQGINNKASSPNVTSENHEVVSLDKMCEDMKQNDENVKEESQLQENSQESVQVIRQIDVKAKEEPVKTTQLALTVVDDVSPSEEKDGFLKKLNRLWFGSPEIVELEHLEEKKHISGNGDEGKGVVGEEKVVNTNLESRIASSTSSESAEEVKADTEVGNKKSKSPWLLSRLLRRCTFSWGGNIELSNATVTGPQVDDVFAKDSFWKDIESFINSPRGFVLVSHSRSREAMAKNLKEEGPSSLKPLNVSKMLDLVSMLISEKKWIQENPSDALPFRVTWFTEKSSCLSNPPATEGLRSIFVNMSKSLCDEANGEKKIKDVGMSQKPKERLRSQVIADCHKLIKKIREENPGGYNMSNFKKDFLVKFGYRLEHHNLGYPKLQSLIQMIPEARIESGYIVPSSTPVPYESDSLFEDLGPVSKKVHENESSVSEEEEYNSETDEEASLKQNDEERKKKKKEVEAECDLLQILGSWDTDKKQKKSAKTFGEDKLVEGILLSLRKKPSGDSRIQD >scaffold_803196.1 pep chromosome:v.1.0:8:21633500:21634005:-1 gene:scaffold_803196.1 transcript:scaffold_803196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSTSKPLLLSFLMMSYLISIFHVITVAEGRTLQITTLAKDHSGAGNLMDCWNAGLELKSCTDEIVKFFLSQTGTTEPAVKGGIDKDCCGAIGLVVKDCWSVMFTSLGLTTMEGNNLREYCEFQAEKPELSPSPAPETLALSPVEITYPGLD >scaffold_803197.1 pep chromosome:v.1.0:8:21634398:21636162:1 gene:scaffold_803197.1 transcript:scaffold_803197.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein [Source:UniProtKB/TrEMBL;Acc:D7MSJ1] MSAAAELPTKEAHILKGHEGAVLAARFNGDGNYALTCGKDRTIRLWNPHRGILIKTYKSHGREVRDVHVTSDNAKFCSCGGDRQVYYWDVSTGRVIRKFRGHDGEVNAVKFNDSSSVVVSAGFDRSLRVWDCRSHSVEPVQIIDTFLDTVMSVVLTKTEIIGGSVDGTVRTFDMRIGREMSDNLGQPVNCISISNDGNCVLAGCLDSTLRLLDRTTGELLQVYKGHISKSFKTDCCLTNSDAHVIGGSEDGLVFFWDLVDAKVVSKFRAHDLVVTSVSYHPKEDCMLTSSVDGTVRVWKK >scaffold_803207.1 pep chromosome:v.1.0:8:21688253:21688681:1 gene:scaffold_803207.1 transcript:scaffold_803207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVWWSLIGAAVPALIAGQAWRIKKRHGEEERIKSARGREKSSDEIFVCERVCTSKRMLKKVGAFSKDPIPDTCVTVCGVSELDACSDACARTVCVNQHQVANWNDICLRRCQSECLKLSSSSSRSS >scaffold_803216.1 pep chromosome:v.1.0:8:21711099:21711331:1 gene:scaffold_803216.1 transcript:scaffold_803216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSDRRSEEESYLCIPLQCLDQTLKAILKCLGLFHQDSPTTSSPGISNQPVIKLSSVYFGLI >scaffold_803217.1 pep chromosome:v.1.0:8:21713377:21713708:1 gene:scaffold_803217.1 transcript:scaffold_803217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRNGQENGSHWIPFTFFDQSSVTIPLLKCFGLDSSSSSSSSSGDFSSSHSEEDESIDIKEEEEEEYDMTIEIKARGKTKTKPQPSSGKGGKHN >scaffold_803221.1 pep chromosome:v.1.0:8:21722837:21727064:1 gene:scaffold_803221.1 transcript:scaffold_803221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSSSLLLPNINFNSRQSTTVAGIFLPRNRLSYNHNLQLRTRIIRASKDDNVAVEDRGNAVINGDYNNGSARLNGNGSARKSVNGDYNGSARLNGNGNGSLVKYVNGSVTVETEEVTKKRKEEVRKKRVEDIGQEDAWFKNTQQKQVEVSVAPGGRWNRFKTYSTIQRTLEIWGFVVQFIFRTWLSNQKFSYKGGMTEEKKVLRRKILAKWLKENILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSATALSIVEEELGGSVEDIFDRFDYEPIAAASLGQVHRARLKGQEVVLKVQRPGLKDLFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANSELFANNFKNLEYVKVPSIYWEYTTPQVLTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLEAFYGVYEKDPDKVLQAMVQMGVLVPTGDLTAVRRTALFFLNSFEERLAAQRKEKEEIAAAEELGFKKPLSKEEKQEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLRFREAGVEVVVKDLRKRWDRQSQAFYNLFRQADRVEKLAVVIERLEQGDLKLRVRALESERAFQRVAAVQKTVGSAVAAGSLVNLATILYLNSLKTPATIAYTVCAFFSLQVLIGVIKVKKFDQREKLITGTA >scaffold_803222.1 pep chromosome:v.1.0:8:21727536:21728781:1 gene:scaffold_803222.1 transcript:scaffold_803222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLASLVSNSRNFRRRWNRALSLHHFSWNAREASEPNAVFVEFLRDNGFQKPQAMAIAMRYPNLKSLEQPRSVIQMLKSYSFSDTQIQKSIRVHPQMMFYKVEKNLEPKLRFFEEIGFSGSDLGKFVSQHSSGIGISLVRKMIPTVEILKSIVAPKHEHLTVILSRCGWLLGRDPNLFLLPNISYLKTCGIVGSQLASLLRRQPRIFNVPEEKLRGYVSRALELGFNLNSRMLVHAVLSLSSLNEITDIIRRSPGLIRCAEDKLTLGFEFYMKRMGIEREALVKRPCVLMYNLEKRVIPRLKVLQILRERGLLLKEEKKKKKKMFDIVEMTEEANLEKYVVRFGDETAEELLVAYKSHLLNNDDDADSSCSSHEDCSLITD >scaffold_803223.1 pep chromosome:v.1.0:8:21729294:21732285:1 gene:scaffold_803223.1 transcript:scaffold_803223.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase C_2 [Source:UniProtKB/TrEMBL;Acc:D7MSL7] MAVAAFGQLNLEEPPPIWGSRSVDCFEKLEQIGEGTYGQVYMAKEIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIHLKEIVTSPGRDRDDQGKPDNNKYKGGIYMVFEYMDHDLTGLADRPGLRFTVPQIKCYMKQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSHDHSGNLTNRVITLWYRPPELLLGATKYGPAIDMWSVGCIFAELLNGKPILPGKTESEQLNKIYELCGSPDENNWPGVSKMPWYGQMKSSRPLKRRVREIYRHFDRHALELLEKMLVLDPAQRISAKDALDAEYFWTDPLPCDPKSLPTYESSHEFQTKKKRQQMRHNEEAAKKQKLQHPPQQHSRLPPQQHGVGQSHAAPHWPAGPNHPMNNNAPPPQIPAGGPGGHYYGKPRGGAPGQNRYPPSGNQPGGYNNQSRGGYSNGAYPPQGRGAPYAAGPRGPSGGYGVGPPNYSQGGGQYGGSGGSGRGQNPMGGARNQQYGWQ >scaffold_803224.1 pep chromosome:v.1.0:8:21732680:21734318:-1 gene:scaffold_803224.1 transcript:scaffold_803224.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:UniProtKB/TrEMBL;Acc:D7MSL8] MRGLDRWIAEAIRPDSLDHNGQILGGLFLDDSVPSSSVSFLSSKDCSVSSCRFSQKSRFLKFRRRNGTREPLFLSVSLSIKEGNGEEEEGEGYNGQNGFKSEKGSVLIGGCQEATEKLMVKENGTGALNTTKHLWAGAFAAMVSRTCIAPLERMKLEYIVRGEQGNLLELIQRIATNEGIRGFWKGNLVNILRTAPFKSINFYAYDTYRGQLLKLSGNEETTNFERFVAGAAAGVTASLLCLPLDTIRTVMVAPGGEALGGVVGAFRHMIQTEGFFSLYKGLVPSLVSMAPSGAVFYGVYDILKSAYLHTPEGKKRLEHMKQEGEDLNAFDQLELGPMRTLLYGAIAGACSEAATYPFEVVRRRLQMQSHAKKLSAVATCVKIIEQGGVPALYAGLIPSLLQVLPSAAISYFVYEFMKVVLKVESA >scaffold_803237.1 pep chromosome:v.1.0:8:21774622:21777316:-1 gene:scaffold_803237.1 transcript:scaffold_803237.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:D7MT79] MESRREKNLMAEEESDGLIAARRIQRLSLHISPSLTPPPLLQPETCSARSKKLDVDGQALSLYMRGKHMDIQEKIFDFYNSRPDLQTPIEISKDDHRELCMNQLLGLVREAGIRPFRYVADDPEKYFAIMEAVGSVDMSLGIKMGVQYSLWGGSVINLGTKKHRDKYFDGIDNLDYTGCFAMTELHHGSNVQGLQTTATFDPLKDEFVIDTPHDGAIKWWIGNAAVHGKFATVFARLILPTHDSKGVSDMGVHAFIVPIRDMKTHQTLPGVEIQDCGHKVGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGTYTSSLPTINKRFGATLGELVGGRVGLAYASVGVLKISATIAIRYSLLRQQFGPPKQPEVSILDYQSQQHKLMPMLASTYAYHFATLYLVEKYSEMKKTHDEQLVADVHALSAGLKSYITSYTAKALSVCREACGGHGYAAVNRFGSLRNDHDIFQTFEGDNTVLLQQVAADLLKRYKEKFQGGTLTVTWSYLRESMNTYLSQPNPVTARWEGEDHLRDPKFQLDAFRYRTSRLLQNVAARLQKHSKTLGGFGAWNRCLNHLLTLAESHIETVILAKFIEAVKNCPDPSARAALKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLTEYLSFQVRNVAKELVDAFELPDHVTRAPIAMQSDAYSQYTQVVGF >scaffold_803239.1 pep chromosome:v.1.0:8:21782221:21783121:1 gene:scaffold_803239.1 transcript:scaffold_803239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALNMNGYIDEFTKALEPFMKVTSSSSSSSSSSYSFSSNPKTLTTNNNNQALPESNQTGPIGLNQLTPTQILQIQTELHLRQNQPRRRAGSHLLTTKPTLMKKTDVAAKPVKLYRGVRQRQWGKWVAEIRLPKNRTRLWLGTFETAQEAALAYDQAAHKIRGDNARLNFPDIARQGHYKHTLSPSINAKIDSICHSSDLPLPQLRKQNKTEEVLSAFHYTGHEPEQEPEFGEIYGSGFSGSSPESDITLLDFSSDCMKEDESFLMGLHKYPSLEIDWDAIEKLF >scaffold_803240.1 pep chromosome:v.1.0:8:21784856:21787168:-1 gene:scaffold_803240.1 transcript:scaffold_803240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:D7MT82] MVSQNVVVSDAKTGIITVSTVSNSSVFTPSAQKPPTAPGYISISKKKLLKNLEINGAQSQRLNSWVDSMRASSPTHLKSLSSFSSEEEHNSWIKRHPSALDMFERIIEEARGKQIVMFLDYDGTLSPIVDDPDRAFMTSKMRRTVKKMAKCFPTSIVTGRCIDKVYSFVKLAELYYAGSHGMDIKGPTKGFSRYNKDKPSVLYQPAGDFLPMIDEVYKQLVEKTKSTPGAKVENNKFCLSVHFRCVDEKKWSELALKVRSVVKNYPTLKLSQGRKVFEIRPMIKWDKGKALEFLLESLGFENCNDVFPIYIGDDKTDEDAFKLLRERGQGFGILVSKFPKDTSASYSLQDPPQVMNFLQRLVDWKQMQQ >scaffold_803244.1 pep chromosome:v.1.0:8:21803514:21803751:1 gene:scaffold_803244.1 transcript:scaffold_803244.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MT86] MAKTRGKPRGCSSMARVRQRVQTQIFGDVIKAHIQPIYKKEPVLFLNSGFHDRVSYRVGSKRGPS >scaffold_803250.1 pep chromosome:v.1.0:8:21823411:21824570:-1 gene:scaffold_803250.1 transcript:scaffold_803250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase family protein [Source:UniProtKB/TrEMBL;Acc:D7MT92] MGSSSEETPVVLITGCSQGGIGHALAREFSANGCRVVATSRSQSTMTELEKDPKFFVQELDVQSEQSVSKIVSKVIDKFGQIDVLVNNAGVQCIGPLAEIPISAMENTFNTNVLGSMRMTQAVVPHMASKKKGKIVNIGSISIMAPGPWAGVYTASKAALHALTDTLRLELKPFGIDVINIVPGGIQSNIANSGISSFNNLPELKLYKPFEDAIRERAFLSQNIKPIPTETFAKETVSVVLKKNPPAWYSTGRLSTVMAIMHHMPIFMKDFLLTKSFMKKGAKPE >scaffold_803253.1 pep chromosome:v.1.0:8:21834591:21835846:1 gene:scaffold_803253.1 transcript:scaffold_803253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSIATPGTAAIFRRGTDSATSTSSSFHGVRIQPQVSARVPAAATISSSRKPSVVMMSKREAELKEIRSKTTEQLQEEVVDLKGELFMLRLQKSARNEFKSSDFRRMKKQVARMLTVKREREIKEGIKKRLSRKLDRQWKKSIVPRPPPSLKKLQEEEAAEEAAEAAKSA >scaffold_803257.1 pep chromosome:v.1.0:8:21858679:21861171:-1 gene:scaffold_803257.1 transcript:scaffold_803257.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein family protein [Source:UniProtKB/TrEMBL;Acc:D7MT99] MEEEEHEVYGGEIPDVGEMDGDMEALNPDLDMAAADDDAVKELDEMKKRLKEMEDEAAALREMQAKVEKEMGAQDPASIAANQAGKEEVDARSVFVGNVDYACTPEEVQQHFQTCGTVHRVTILTDKFGQPKGFAYVEFVEVEAVQEALQLNESELHGRQLKVLQKRTNVPGLKQFRGRRFNPYMGYRFRRPFMPPYMYSPYGYGKAPRFRRPMRYMPYQ >scaffold_803258.1 pep chromosome:v.1.0:8:21861565:21862707:1 gene:scaffold_803258.1 transcript:scaffold_803258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGGYGDPSQKIDYVFKVVLIGDSAVGKSQILARYARDEFSLDSKATIGVEFQTRTLVIDHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITRRQTFDHIPRWLEELRAHADKNIVIILIGNKSDLVDQRAIPTEDAREFAEKEGLFFLETSAFNATNVESAFSTVLSEIFNIVNKKSLAASEDQENGNPGSLAGKKIDIVPGPGQVIPNKSNMCCNS >scaffold_803261.1 pep chromosome:v.1.0:8:21868616:21872971:1 gene:scaffold_803261.1 transcript:scaffold_803261.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LMBR1 integral membrane family protein [Source:UniProtKB/TrEMBL;Acc:D7MTA3] MWVFYLISLPLTLGLVVFTLRYFAGPEIPRYVLITVGYTWFCSVSVIILAPADIWTTLSLQPDHPENGAISFLWSWSYWSTFLLTWAVVPLIQGFEDAGDFTVSERLKTSVHVNLVFYLVLGFIGLLGLILLVMMHRNWKGSILGYAMACSNTFGLVTGAFLLGFGLSEIPKTLWKNADWTTRQKVLSHKIAKIAVKLDNAHQELSNAIVVAQATSTQMSKRDPMRPYMNVIDAMLAKMFREDPSFKPQGGQLGENDMDYDTDEKSMATLRRHLRNAKDEYYRYKSEYLTYVTEALVLEDTMKNYERRDATGWKYISSFRATRTGKMGNLLDTLEFMWRCILKKQIQMVLAVVMGIMSAAILLAEATLLLSKLDLSLFSILISSVKSDELLVQAFAFVPLVYMCVCTYYSLFKIGMLMIYSLTPRQTSSVNLLMICSMIARYAPPISYNFINLIQLHSETIFEKKMGRIDDAVPVFGQRFNEIYPLIMVIYTLLVASNFFDRIFNYFGSWKRFRFQTETEDTDGFDPSGLMILKKERTWLEEGQKVGEHVLPLARNFNDVDIEPGSNFSENSSVEMKMSSSYDIDTVKGSSSKDDMSRKYGSAREAITNKYAAIREQQNKHSPSSMTKPENMSSAKVSLLETDNSGPSNGQGSGEPSSRLASTWRNMKLGIQNFKENVATKKFLPLRQSPETTTLTSTRVVTSSVPQSLDEIFQRLKNRSVEHGHYLDDDDEV >scaffold_803266.1 pep chromosome:v.1.0:8:21896411:21896679:-1 gene:scaffold_803266.1 transcript:scaffold_803266.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MTX3] MYELRATFHLLVSADSDKTDTKLQVCKKKSKGFLSLCSLYQLIAIETVLSVSVFLDYNLFHGSFCERFLWMCIYM >scaffold_803267.1 pep chromosome:v.1.0:8:21903234:21904036:-1 gene:scaffold_803267.1 transcript:scaffold_803267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPTNPHRRRLASTTTSATAAVDCHKQVRSWRLLRTIAQLLIPSCYCTIIDPNDIHEDKLSHRQIKPRTSSVSSTAINSSFTGTIFGFRRGKVNFCIQATNSKTLNPIIVLLELIVPTEVLAREMGGGVLRIALESNNNEGYDSREDSSSSSLLTTPLWNMYCNGRKVGFAIKRQPSKAELAALKVLTPVAEGAGVVNGEEINREKSDHMMYLRASFKRVFGSFDSESFHLVDPRGIIGQELSIFFFRSSRK >scaffold_803270.1 pep chromosome:v.1.0:8:21915655:21916073:-1 gene:scaffold_803270.1 transcript:scaffold_803270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKIFEAFFIVAIFSTSCLAQAPAPSPTTTVTPPPPAATPAPATTPPPAATPAPTASPPSSSPSPSSDVPTASPPAPEGPGVSPGDLAPTPSDAAAPPPNAAFSNKAFVVGTVFAAVIYAVVLA >scaffold_803272.1 pep chromosome:v.1.0:8:21922674:21923654:1 gene:scaffold_803272.1 transcript:scaffold_803272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDNNNDEEQEEDMNLHEEEEDDDAVYESPPLSRVVPKASTESHETTGTTSTGGGGGFMVVHGGGGSRFRFRECLKNQAVNIGGHAVDGCGEFMPAGIEGTIDALKCAACGCHRNFHRKELPYFHHAPPQHQPPPPPPGFYRLPAPVSYRPPPSQAPPLQLALPPPQRERSEDPMETSSAEAGGGGIRKRYRTKFTAEQKERMLALAERIGWRIQRQDDEVIQRFCQETGVPRQVLKVWLHNNKHTLGKSPSPLHHHQPPPPPPPQSSFHHEQDQP >scaffold_803277.1 pep chromosome:v.1.0:8:21945332:21945697:-1 gene:scaffold_803277.1 transcript:scaffold_803277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGASPRIVDATDNSLDKIKQQLESRVIGTCFWDLLFKRAETCSEHS >scaffold_803279.1 pep chromosome:v.1.0:8:21952315:21959745:-1 gene:scaffold_803279.1 transcript:scaffold_803279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKSTTNRWNWEVTGFESKKLPSSEEGVHRSPSSMLRRYSIPKNSHPPHSSELASKVQSLKDKVQLAKDDYVGLRQEATDLQEYSNAKLERVTRYLGVLADKTRKLDQYALETEARISPLINEKKRLFNDLLTTKGNVKVFCRARPLFEDEGPSIIEFPDNCTIRVNTSDDTLSNPKKEFEFDRVYGPQVGLASLFRDVQPFMQSALDGSNVSIFAYGQTHAGKTYTMVAPPFPFLSEIRYRSCLEGSNHDRGLYARCFEELVDLANSDSTSASQFSFSVSVFELYNEQVRDLLSGCQSNLPKINMGLRESVIELSHEKVDNPSEFMRVLNSAFQNRGNDKSKSNVTHLIVSIHICYSNTITKENVISKLSLVDLAGSEGLTVEDDNGDHVTDLLHVTNSISALGDVLSSLTSKKDTIPYENSFLTRILADSLGGSSKTLMIVNICPSARNLSEIMSCLNYAARARNTVPSLGNRDTIKKWRDVANDARKEVLEKERETQRLKQEVTGLKQALKEANDQCVLLYNEVQRAWRVSFTLQSDLKSENTMVADKHKIEKEQNSQLRNEIAQLLQLEQEQKLQVQQQDSTIQNLQSKVKDLESQLSKALKSDMTRSRDPLESQPRAAENTVNSSAVTKKLEEELKKRDALIERLHEENEKLFDRLTEKSMASSTQVSSPSSKASPTVQPADVDSADALPSSVDKNEGTVTLVKSSSESVKTTPAGEYLTAALNDFDPEQYEGLAAIADGANKLLMLVLAAVIKAGASREHEILAEIRDSVFSFIRKMEPRRVMDTMLVSRVRILYIRSLLARSPELQSIKVSPVERFLEKPYTGRTRSSSGSSSPGRSPVRYYDEQIHGFKVNLKPEKKSKLVSVVSRIRGHDQDTGRQQVTGGKLREIQDEAKSFAIGNKPLASLFVHTPAGELQRQIRSWLAESFEFLSVTADDVSGVTTGQLELLSTAIMDGWMAGVGAAVPPHTDALGQLLSEYAKRVYTSQMQHLKDIAGTLASEEAEDAGQVSKLRSALESVDHKRRKILQQMRSDAALFTLEEGSSPVQNPSTAAEDSRLASLISLDAILKQVKEITRQGSVHVLSKSKKKALLESLDELNERMPSLLDVDHPCAQREIDTAHQLVETIPEQEDNLQDEKRASLDSISSTETDVSQWNVLQFNTGGSSAPFIIKCGANSNSELVIKADARIQEPKGGEIVRVVPRPSVLENMSLEEMKQVFGQLPEALSSLALARTADGTRARYSRLYRTLAMKVPSLRDLVGELEKGGVLKDTKSS >scaffold_803281.1 pep chromosome:v.1.0:8:21967948:21968593:1 gene:scaffold_803281.1 transcript:scaffold_803281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFPRLPRWLCGGNTKEREKKESMSKGSSSLSPSLKKTTTKTGSSSSSVKKTKRGWIGRGGSGSGEEDKMGNVVFPEPDDPEWSIGWVEPHGPGFKTQEDDCGGFVVLVPLYKKVLDGSGNQIPNGFFSPAAPDGKNMEQWLSSMGKL >scaffold_803291.1 pep chromosome:v.1.0:8:21993820:21996609:-1 gene:scaffold_803291.1 transcript:scaffold_803291.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MTZ6] MIRRIQSRCESGLTGSISAVEVVKKKFSADVKIPSPFTRRQFCSVSPLIRNLPEDESDPTSVPHRLFSILSKPNWHKCPSLKSMVPAISPSHVSSLFSLDLDPKTALNFSHWISQNPRYKHSVYSYASLLTLLINNGYVGVVFKIRLLMIKSCDSVADTLFVLDLCRKMNKDESFELKYKLIIGCYNTLLNSLARFGLVDEMKQVYMEMLEDKVCPNIYTYNKMVNGYCKVGNVEEANQYVSMIVEAGLDPDFFTYTSLIMGYCQRKDLDSAFKVFKEMPLKGCRRNEVAYTHLIHGLCVERRIDEAMDLFVKMKDDDCYPTVRTYTVLIKALCGSERKSEALNLVKEMEEKGIKPNIHTYTVLIDSLCSQCKLEKARELLGQMLEKGLMPNVITYNALINGYCKRGMIEDALDVVELMESRNLRPNTRTYNELIKGYCKRNVHKAMGVLNKMLERKVLPDVVTYNSLIDGQCRSGNFDSAYRLLSLMNDRGLVPDPWTYTSMIDSLCKSKRVEEACDLFDSLEQKDVIPNVVMYTALIDGYCKAGKVNEAHLMLEKMLSKNCLPNSLTFNALIHGLCTDGKLKEATLLEEKMVKIDLQPTVSTDTILIHRLLKDGDFDHAYRRFQQMLSSGTKPDAHTYTTFIQTYCREGRLQDAEDMVAKMKENGVSPDLFTYSSLIKGYGDLGRTNSAFVVLKRMHDTGCEPSQHTFLSLIKHLLEMKYGKVKGGEPGVCVMSNMMEFDIVVELLEKMVEHGVTPNAKSYEKLMLGICEIGNLRVAEKVFDHMQQKEGISPSELVFNALLSCCCKLEKHNEAAKVVDDMICVGHLPQLESCKILICRLYKKGEKERGTSVFQNLLQCGYYDDELAWKIIIDGVGKQGLVEAFYELFNVMEKNGCTFSSQTYSLLIEGPPDST >scaffold_803296.1 pep chromosome:v.1.0:8:22014012:22014291:1 gene:scaffold_803296.1 transcript:scaffold_803296.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MU01] MIVFFLHHRRQWKRESFDLLSVVSLSPSPPPVSGFILVEEESTSTSMTLLFCLVWLVKIWRRATANQQIKRRTSWSQR >scaffold_803298.1 pep chromosome:v.1.0:8:22017777:22021605:1 gene:scaffold_803298.1 transcript:scaffold_803298.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M3 family protein [Source:UniProtKB/TrEMBL;Acc:D7MU03] MLMATPTSRASLNLLRRSPKPKYFSSSSCQFRPSTFRKSYPCPIWSSSFSFCLPPPRSTTSTSLSSSFRPFSSPPSMSSAAAAAVDSVISEETLSSNPLLQDFDFPPFDSVDASHVRPGIRALLQHLEAELEELEKSVEPSWPNLVEPLEKIVDRLTVVWGMINHLKAVKDTPELRAAIEDVQPEKVKFQLRLGQSKPIYNAFKAIRESPDWSSLSEARQRLVEAQIKEAVLIGIALDDEKREEFNKIEQELEKLSHKFSENVLDATKKFEKLITDKKEIEGLPPSALGLFAQAAVSKGHENATAENGPWIITLDAPSYLPVMQHAKNRALREEVYRAYLSRASSGDLDNTAIIDQILKLRLEKAKLLGYNNYAEVSMAMKMATVEKAAELLEKLCSASWDAAVQDMEDLKSFAKDQGAAESDSLTHWDTTFWSERLRESKYDINEEELRPYFSLPKVMDGLFSLAKTLFGIDIEPADGLAPVWNNDVRFYRVKDSSGNPIAYFYFDPYSRPSEKRGGAWMDEVVSRSRVMAQKGSSVRLPVAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRNIEWDAVELPSQFMENWCYHRDTLMSIAKHYETGETLPEEVYKKLLAARTFRAGSFSLRQLKFASVDLELHTKYVPGGPESIYDVDQRVSVKTQVIPPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDDIKAVKETGQRFRDTILALGGGRAPLKVFVEFRGREPSPEPLLRHNGLLAASASA >scaffold_803299.1 pep chromosome:v.1.0:8:22022065:22024012:-1 gene:scaffold_803299.1 transcript:scaffold_803299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVLGTLNEPSYQEQCGAVFMRKFTNQSVTENTNNLPLVNPNPNPNFDRSNSSKQFDDSSEFGSYATFNIAGYTSNQLRELKKRFTSELEQIRILRERIESGTFETQQAYTIPEVPAVRSAPLNSFAGEKNDLGPKKKKQKKNVSGLKRGNQFATSDPESEKLLAGMLNTCGQILVKLMKHKWAWVFNTPVDVVGLGLHDYHQVVKKPMDLGTVKLNLDKGFYVSPIDFATDVRLTFNNAMTYNPKGQDVYFMADKLLDHFDGMFNPAFKKFEAQQLKLTGSSSRLEPEVKQRHWNQNSPMVANPRKGTEQISIAKKLDSVKPPLPALPPQLVEPSRVQSPSPPPPPPPPVVEPQLPQLVIEVEAPPDVSDVTKGRKGKLPKPKAKDPNKRLMTMEEKSKLGMNLQDLPPEKLGQLVQILRKRNGHLAQDGDEIELDIEAVDNETLWELDRFVTNYKKMASKIKRQGFIRNVSTPPRNMTSVAEMGSAEKRTRRGDAGEEDVDIGEDIPIEDYPSVEIERDGTAVAGSGSSSSGSSSSSGGSSSSSDSGSGGSSSGSDSDADSVQSPFVEAKEAQ >scaffold_803301.1 pep chromosome:v.1.0:8:22044021:22045693:1 gene:scaffold_803301.1 transcript:scaffold_803301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGDSLPLSTSSVAATTVNAKKETGYSALFSKGRYKFWALAAILLLAFWSMLTGTVNLRWSAGNINHFTDDLVFPIHEDLDVLEMEEREKVVKHMWDVYNNGRRIRLPRFWQEAFEAAYEELTSDVPDVVEAAISEIARMSIRSVVIDPPPLHSTNVRELTKTLKLADNGRSTQISKPSSRRLK >scaffold_803302.1 pep chromosome:v.1.0:8:22050348:22051249:-1 gene:scaffold_803302.1 transcript:scaffold_803302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTQDFSPPHMDASRPSLGFPLGTALLLIIIFSLSGIFSCCYHWDKHRSLRRSLANGRPSSASADIESNPYKPKPPFPDMKKPQNLSVPVLMPGDNTPKFIALPCPCAPPRPEKPTVDVQTPPQSPPVKPPRFPVPLY >scaffold_803310.1 pep chromosome:v.1.0:8:22095807:22096622:1 gene:scaffold_803310.1 transcript:scaffold_803310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVKGGCFIPYIHSQTLNFHSHAVSSVKFSTDGRLLASASGDKTIRTYAIDIAQEDSIAKPVHEFSGHDNGVSDIAFSSDARFLASASDDKTLKLWDVETGSVIKTLIGHSNYVFCANFNPQSNMIVSGSFDETVRIWDVKSGKCLKVLPAHSVPVTCVDFNRDGSLIVSSSYDGLCRIWDSGTGHYVKTLIDDENPPVSFVKFSPNGKFILIGTLDNKFFAFFNFAVILDQNIVSSLVLSDFIIQKYIFQVSIK >scaffold_803311.1 pep chromosome:v.1.0:8:22099549:22102810:-1 gene:scaffold_803311.1 transcript:scaffold_803311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGENAPAMRRDYIGGLIGFFSFFATKTCSPESSIHSQLRFLSITCDSVRITFPPLLRQCMDERSISALKKIQAQMLKSANSGRTFRTHASLKCGEIGYARQMFDGMSERHIVTWNSLIAYFIEHRRSKEAAEMYRLMITNNVLPDEYTLSSVFKAFSDLSLLRRRHRGATDFLVDDSLRVLKGFRYPNQVTWTSLLSGLAQNGREEMALIEFRKMMRGSVKPNSFTLSSALRGCSNLTMFEQGLIDLYGKTWMLRHGKVGFFDNLSEVAVISLSTMVYCYAQNGFGRKALALFEIMINLGLQPNDVTVLSVLLACNNSGEEGCEFFDSFRKDKITIELEYKRGEIGNVSGIPEEHLSRKVIIYSPARTATQQGSGKLGKWKINFVSTLKWENPLMGWTSSTGFAPDDTGKTRTDSLNVLPTGYGFSSVMNEVKMLFVDKVYITNVIGNHFFLPVCKHSCLISLTVFDLLS >scaffold_803312.1 pep chromosome:v.1.0:8:22102958:22103415:1 gene:scaffold_803312.1 transcript:scaffold_803312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRIQPRCNSGLTGSISAVEFLKKKFSTDVTVPSPFTRRQFCSVSPLILNLPEEESDPHRLLSILSISPSLKSMVPAISPSHVSSLFSLDLDPKTAPNFFSLDLTESEIQSQCTHPFLLFSSTMDMWVLCLRSGYG >scaffold_803316.1 pep chromosome:v.1.0:8:22131260:22132665:1 gene:scaffold_803316.1 transcript:scaffold_803316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVISATIRRALTNPHGAFSRCRHLSTAAAATAVNYEDESILMKGVRISGRPLYLDMQATTPIDPRVFDAMNDSTIHEYGNPHSRTHMYGWEAENAVEVARIQVAKLIEASPKEIIFMSGATEANNTAVKGVMHFYRDTKKHVITTQTEHKCVLDSCRHLQQEGFEVTYLPVKTDGLVDLEMLKEAIRPDTGLVSIMAVNNEIGVVQPMEEIGRICKEHNVPFHTDAAQAIGKIPVDVKKWNVALMSMSAHKIYGPKGVGALYVRRRPRIRLEPLMNGGGQERGLRSGTGATQQIVGFGAACELAMKEMEYDEKWIKGLQERLLNGIREKLDGVVVNGSMDSRYVGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVDEDMAHTSIRFGIGRFTTKEEIDKAVELTVKQVEKLREMSPLYEMVKEGIDIKNIQWSQH >scaffold_803322.1 pep chromosome:v.1.0:8:22151238:22153397:1 gene:scaffold_803322.1 transcript:scaffold_803322.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:D7MUM0] MERSAVASGFHQNDILYPSRAATSTTRLHSFSSLRNFPSSSLRIRQSPSPVSSNLIISCEISRNRCDAVSSSSTHVTELAEIDWDKIDFGLKPTDYMYAMKCSRDGEFSQGQLQPFGNIEINPSAAVLNYGQALFEGLKAYRKQDGNILLFRPEENAIRMRNGAERMCMPSPTVQQFVEAVTTTVLANQRWIPPPGKGSLYIRPLLMGTGAVLGLAPAPEYTFLIFVSPVGNYFKEGVAPINLIVENEFHRATPGGTGGVKTIGNYAAVLKAQSIAKAKGYSDVLYLDCVHKRYLEEVSSCNIFIVKDNVISTPEIKGTILPGITRKSIIEVARSQGFKVEERNVTVDELVEADEVFCTGTAVVLSPVGSITYKSQRFSYGEDGFGTVSKQLYTSLTSLQMGLSEDNMNWTVQLS >scaffold_803330.1 pep chromosome:v.1.0:8:22192090:22192684:-1 gene:scaffold_803330.1 transcript:scaffold_803330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISPVFSSEPVFANHVPALETGFTPWDASDLFSFFDSPVSPMEMNPGLEKTNPSQIQNQSYSNPGLKDKPLDCTGSNDVDERRKKRKLSNRKSAQRSRIKKQKHLEDVRIELNKLKIENRELENRLRHVLYHCQREQMENDSLRLGLRVLHEKLLNLRQALVMRQIQQSSTCATWSCINSLV >scaffold_803332.1 pep chromosome:v.1.0:8:22205006:22207173:1 gene:scaffold_803332.1 transcript:scaffold_803332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIAYQPRIDSEIESLVERINPPRVCVDNDSDPECTLIKVDSANKYGILLDMVQVLADLDLVISKCYISSDGEWFMDVFHVTDQLGNKLTDRSLILYIQQAICSSRTGGITKEMQSNLKREVQQRHVSTEHTAFEITGINRPGLLSEISAVLSDIGCHVTAAVAWTHHERAAMVIYLEDGFNGGPIIDPIRKAQVKDHLDTVMEAHHRVGDVSHVVVRVVEAKGAPVGWAHTERRLHELMYGEGDYENCFDCDCFGDRCDALWRGRCERIHVTIEACNGYSMVNVKCRDRPKLLFDTVCALKELQFVVFHAVAGAKGSTAEQEYFIRKKNGCTLETEVQRERLRHCLVAAISRRASRGLKLEIRTENKMGLLSDVTRVVRENGLSITRAEMCTQGEIAVGSFYVTDVNGGETNANAVEAVVRELGGAVVSAVKAVGMVPTRMGSTSDSVEQDKAKSSIGRLFWSKLERLSTSIRSL >scaffold_803339.1 pep chromosome:v.1.0:8:22228254:22229161:1 gene:scaffold_803339.1 transcript:scaffold_803339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVLIHHPAENKVERIYWSVTASDVMKSNPGHYVAVVVTSPTMKNEKGSPLKQLKLLRPDDTLLIGHVYRLVSFEEVLNEFATKKCVKLGKLLKEGGGLDLTKKKTKKHRKKKLDHQETGRVNPDPNQDGANDAVAGENGGDGFMRRSHGGGRGGGGWRPALHSIPEFGSS >scaffold_803344.1 pep chromosome:v.1.0:8:22242518:22245090:-1 gene:scaffold_803344.1 transcript:scaffold_803344.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1408 [Source:UniProtKB/TrEMBL;Acc:D7MUP2] MDASVVRFSQSPARVPPEFEPDMEKIKRRLLKYGVDPTPKILNNLRKKEIQKHNRRTKRETESEAEVYTEAQKQSMEEEARFQTLRREYKQFTRSISGKSGGDVGLMVGNPWEGIERVKLKELVSGVRREEVSGGELKKENLKELKKILEKDLRWVLEDDVDVEEYDLDKEFDPAKRWRTEGEAVRVLVDRLSGREITEKHWKFVRIMNQSGLQFSEDQMLKIVDRLGRKNSWKQASAVVHWVYSDKKRKHNRSRFVYTKLLSVLGFARRPKEALQIFSEMLGDRQLYPDMAAYHSIAVTLGQAGLLKELLKVIEHMRQKPTKLMKNLRQRNWDHVLEPDLVVYNAILNACVPTLQWKAVSWVFVELRKNGLRPNGATYGLAMEVMLESGKYDRVHDFFRKMKSSGEAPKAITYKVLVRALWREGKIEEAVEAVRDMEQKGVIGTGSVYYELACCLCNNGRWRDAMLEVGRMKRLENCRPLEITFTGLIAASLNGGHVDDCMAIFQYMKDKCDPNIGTANMMLRVYGRNDMFSEAKELFEEIVSRKETHLVPNEYTYNFMLEASARSLQWEYFEHVYQLMILSGYQMDQAKHASMLIEASRAGKVTNLLIVKVFMEAKAVV >scaffold_803353.1 pep chromosome:v.1.0:8:22266765:22267134:1 gene:scaffold_803353.1 transcript:scaffold_803353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNIVRLIAPSGSASSRLLQPVSRFLSSGTPPPPQAPSPSPNQDLNQNVKPDQNLQQNLQKEEEGEEEGEGGGEFVNKDTGEIGGPRGPEPTRYGDWEQRGRCSDF >scaffold_803354.1 pep chromosome:v.1.0:8:22270137:22270348:1 gene:scaffold_803354.1 transcript:scaffold_803354.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MUQ1] MQSRVSIDKAIIKTNLTKLFQNEDKPVKARGFSAVCFVTVGSTRLSVIFAGGCLVF >scaffold_803356.1 pep chromosome:v.1.0:8:22273381:22276786:-1 gene:scaffold_803356.1 transcript:scaffold_803356.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:D7MUQ3] MRAFQFRFFFFFIFFSVSVSSEVHRRILHQPLFPETSTPPPPDFESTPSPPIPNTPDQPFFPENPSTPQQTQYPPPPPPVSADVNGGLPIPTATTQSAKPGKKVAIVISVGIVTLGMLSALAFFLYRHKAKHASDTQKLVSGGGDGGCSRRFQEDPGPPTTTSSTFLYMGTVEPSRVSASESNGGTNGPVNSSPYRKLNSAKRSERYRPSPELQPLPPLAKPPQPSDNSPSALSPSSSSSGEECRDTAFYTPHGSAISSDDGYYTAFPRSAKSLPHSKRTSPRSKFGSAPATAASRSPEMKHVIIPSIKQKLPPPVQPPPLRGLESDEQELPYSQNKPKFSQPPPPPNRAAFQAITQHKSPVPPPRRSPPPVQTPPPPPPPPPLAPPPPPQKRPRDSQLLRKVTNSEATTNSTTSPSRKQAFKTPSPKSKAVEEVNTVSAGSLEKTGDGDTDPSKPKLKPLHWDKVRASSDRATVWDQLKSSSFQLNEDRMEHLFGCNSGSSAPKEPVRRSMMPPAENENRVLDPKKSQNIAILLRALNVTREEVSEALTDGNPESLGAELLETLVKMAPTKEEEIKLREYSGDVLKLGTAERFLKTILDIPFAFKRVEAMLYRANFDAEVKYLRNSFQTLEEASLELKASRLFLKLLEAVLMTGNRMNVGTNRGDAKAFKLDTLLKLVDIKGVDGKTTLLHFVVQEITRTEGTTATKDETILHGNSDGFRKQGLQVVAGLSRDLVNVKKAAGMDFDVLSSYVTKLEMGLDKLRSFLKTETTQGKFFDSMETFLKEAEEEIRKIKGGERKALSMVKEVTEYFHGNAAREEAHPLRIFMVVRDFLGVLDNVCKEVKTMQEMSTSMGSASARSFRISATASLPVLHRYKARQDDTSSDSEHSSNSST >scaffold_803365.1 pep chromosome:v.1.0:8:22318555:22319924:-1 gene:scaffold_803365.1 transcript:scaffold_803365.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:D7MUR0] MARFGLVLVVALSITISMLPDTTTAQLKTNFYGKSCPNVEKIVKQVVNQKIKQTFVTIPATLRLFFHDCFVNGCDASVMIQSTPNNKAEKDHPDNLSLAGDGFDVVIKAKKAIDAIPSCKNKVSCADILTLATRDVVVAAGGPSYTVELGRFDGLVSTAASVNGNLPGPNFKVTELNALFAKNKLTQEDMIALSAAHTLGFAHCGKVFNRIYNFNRTHSVDPTINKAYAKELQLACPKKVDPRIAINMDPTTPRKFDNIYFKNLQQGKGLFTSDQVLFTDGRSRPTVNDWAKDPVAFNKAFVTAMTKLGRVGVKTRRNGNIRRDCGAFN >scaffold_803373.1 pep chromosome:v.1.0:8:22355725:22356665:1 gene:scaffold_803373.1 transcript:scaffold_803373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTCTEDDEETLLISHRNQDDEEDDDIEEEALSLCDLPNENGELRSIVKEEDEEFDSGFEFGIGSSFRAGSDSCEPAPEMSTADELFFKGRILPLRHSVSLDAGLNEPTRLITRSESVEYRRTGVRSDRKIKNNFIDYSQPSPQPQIRRSSSMTARVNSIRNPKSSSIWDFLRLGLVRTPEIELRTTAGNARLSVSRNSSCSSTSTSSNSKKTGSGESRSRNRRRSFLFSDCKCSVSTETMMVPVKIKVETEEKQRVMEKKTAKKEEKTAMARKRTFEWLKELSQVGFVVDHGRRSLV >scaffold_803376.1 pep chromosome:v.1.0:8:22372345:22376066:-1 gene:scaffold_803376.1 transcript:scaffold_803376.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:UniProtKB/TrEMBL;Acc:D7MKQ9] MSSLTSVELNFLVFRYLQESGFTHSAFTLGYEAGINKSNIDGNMVPPGALIKFVQKGLQYMEMEANLSNSEVDIDEDFSFFQPLDLISKDVKELQDMLREKKRKERDREKERDRSKENDKEVEREHEGDRNRAKEKDRHEKQKEREREREKLEREKEREREKIEREKEREREKMEREIFEREKDRLKLEKEREMEREREREKIEREKCHEKQLGDADREMVIDQTDKENAGDLEHGRSTGAEPMDIVMTPTSQTSHIPSSDVRILEGHTSEVCACAWSPSASLLASGSGDATARIWSIPEGSFRSVHTGRNINALILKHAKGKSNEKSKDVTTLDWNGEGTLLATGSCDGQARIWNLNGDLISTLSKHKGPIFSLKWNKKGDYLLTGSVDRTAVVWDVKAEEWKQQFEFHSGPTLDVDWRNNVSFATSSTDSMIYLCKIGETRPAKIFAGHQGEVNCVKWDPTGSLLASCSDDSTAKIWNIKQNTFVHDLREHTKEIYTIRWSPTGPGTNNPNKQLTLASASFDSSVKLWDAELGKMLCSFNGHREPVYSLAFSPNGEYIASGSLDRSIHIWSIKEGKIVKTYTGNGGIFEVCWNKEGNKIAACFADNSVCVLDFRM >scaffold_803378.1 pep chromosome:v.1.0:8:22378579:22379537:-1 gene:scaffold_803378.1 transcript:scaffold_803378.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtMYB44/AtMYBr1 [Source:UniProtKB/TrEMBL;Acc:D7MKR1] MADRIKGPWSPEEDEQLRRLVVKYGPRNWTVISKSIPGRSGKSCRLRWCNQLSPQVEHRPFSAEEDETIARAHAQFGNKWATIARLLNGRTDNAVKNHWNSTLKRKCGGYDHRGFDGSEDHRPVKRSVSAGSPPVVTGLYMSPGSPTGSDVSDSSTIPILPSVELFKPVPRPGAVVLPLPIETSSSSADPPTSLSLSLPGADVSEESNRSHESTNINNTTSSRHNHNNTVSFLPFSGGFRGAIEDMGKSFPGNGGEFMAVVQEMIKAEVRSYMTEMQRNNGGGFVGGFKDNGMIPMSQIGVGRIE >scaffold_803382.1 pep chromosome:v.1.0:8:22396074:22396333:1 gene:scaffold_803382.1 transcript:scaffold_803382.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEKIQEDGSSKVVVETTEHRSSAGKGSEQRNVEVVHQSHPKTSGGVLVGAAAAVESTLKSAKEVISQNKK >scaffold_803383.1 pep chromosome:v.1.0:8:22398155:22399540:-1 gene:scaffold_803383.1 transcript:scaffold_803383.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCD3_2 [Source:UniProtKB/TrEMBL;Acc:D7MKR6] MALEKEEDASQNAPFCVLDGLYCEEETGFVEEEDLDDGDLDFLEKSDESVVKFQFLPLLDMFLWDDDELMSLISKENETNPCFGEEILDGFLVSCRKEALDWVFRVKSHYGFSSLTAILAVNYFDRFMTSIKLQTDKPWMSQLVAVASLSLAAKVEEIQVPLLLDLQVEEARYVFEAKTIQRMELLILSTLQWRMHPVTPISFFDHIIRRFGSKWHQQLDFFRKCERLLISVIADMRFMSYFPSVLATAIMFFVIEELKPCDEVEYQSQIMTLLKVNQEKVNECYELLLEHNPSKKRMMNLLDQDSPSGVLDFDDSSNSSWNVSTASMSSSSSFPEPLLKRRRVQEQQMRLPSINRMFLDVLSSPR >scaffold_803384.1 pep chromosome:v.1.0:8:22402599:22404243:1 gene:scaffold_803384.1 transcript:scaffold_803384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAPSSTAESNGREIDLRLWSPVIVSGGESMALGNVVAVRDRDFTGDLPDECLAHVFQFLGAGDRKRCSLVCKRWLYVDGQNRHRLSLDAKDEIFPFLTSMFNRFDSVTKLALRCDRKSVSLSDEALAMISVRCLNLTRVKLRGCREITDLGMEEFARNCKNLKKLSVGSCNFGAKGVNAMLEHCKLLEELSVKRLRGIHEAAELIHLPAGSSSSLRSICLKELVNGQVFEPLVATTRTLKTLKIIRCLGDWDRVLQMIGDGKSSLSEIHLERLQVSDIGLSAISKCSNVETLHIVKTPECSNYGLINVAERCKLLRKLHIDGWRTNRIGDEGLISVAKHCLNLQELVLIGVNATHMSLAAIASNCEKLERLALCGSGTIGDTEIACIARKCGALRKFCIKGCPVSDRGIEALAVGCPNLVKLKVKKCKVVTGEIGDWLREQRRTLVVSMDSDETEAVVVVDGEVETVVEEPRVVQAGGIVAEIGSGNSGGNNGGSRLATIRSKLGFLAGRNLVTCTFRRWSHNDNASSSAEL >scaffold_803385.1 pep chromosome:v.1.0:8:22407728:22411301:1 gene:scaffold_803385.1 transcript:scaffold_803385.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease [Source:UniProtKB/TrEMBL;Acc:D7MKR8] MEHKLATAEKKVLVDLVKLVQKRGLEGENGGWKEFLNVYDKKFGSSLSDPSRRSNDVLVAFLLTFKKKEDLQLIARVMQCGANRELIEKFKQETPDKETPEQRLVRLTITHFQYPTNYLFPSYAEDWFVTELGKKKSKVMKSTRMLAIDCEMVTCDDGTEAVVRVGAVDRDLKVVLDKFVKPDKTVFNYKTDITGVTAEDLERATLSVTDIQKKLRRFLSQGTILVGHGLHNDLKVLRIDHARVIDTSFVFEFENAPKTHRPSLNNLCKAVLGQELRMPDAAHNCVHDAAASMKLVLAVVEKGVDTTIQKSEEMLVAEKKRQEERQEAGKTQLFLHKIPHYVPSEELQGVLNGDFTLVVKPPQKEGGYSTAVLDFNSPEEANEAFENVEGDVAKDKSGLPQKMVTLKLSSGLVASLYVRKMVKDESLSEISTTKRARTEENNVSSKRQKTEDDSEEPKEANVNQKEADKTKLFLHKIPHDVPSQELHGVLNGDFTLEVKPPKRKGGYYNAVVGFNSPEEANKAFENVVGDVVKDKAGLPQKMVALKLSSGSGASLYVRKMVQDESPGEISTTEENNVSSKRQKTEDESEETKEANVNQREADKRKLFLHKIPLNVPSQELKGVITGKFTLEVMRPKRKGGYYNAFVVFNSPEEANKAFDKVKGEAAKEKGGLAQKMVAFKLSSGSGASLYVRKMVQDESEEAEEANANHCEDHLKEIEELKEKLKAKDFANSCEGHSKEIEELNHKLKAKEHQIQAQDKIIDNLKMKLEKKQSKSR >scaffold_803387.1 pep chromosome:v.1.0:8:22413812:22415484:1 gene:scaffold_803387.1 transcript:scaffold_803387.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrogen regulation family protein [Source:UniProtKB/TrEMBL;Acc:D7MKS0] MKLNLSNLRFLLTRKSFISQTRAMTQNPDPKPDPSKVIDDLLCSEQRDGQIEETVDAVAASLGSPSRVLSIDTRVERAWAHWKKLGRPKYIVAPMVDNSELPFRLLCQKYGAQAAYTPMLHSRIFTETEKYRNQEFTTCKEDRPLFVQFCANDPDTLLEAAKRVEPYCDYVDINLGCPQRIARRGNYGAFLMDNLPLVKSLVEKLAQNLNVPVSCKIRIFPNLQDTLKYAKMLEDAGCSLLAVHGRTRDEKDGKKLRADWSAIKEVKNALRIPVLGNGNVRCIEDVDNCIKETGVEGVLSAETLLENPAAFAGFRTAEWVSENEEEGFVDGGLDQGDLVVEYLKLCEKHPVPWRMIRSHVHKMLGEWFRIHPQVREQLNAQNILTFEFLYGLVDQLRELGGRVPLYKKKEIDTLTPQESPQRI >scaffold_803390.1 pep chromosome:v.1.0:8:22426405:22427005:1 gene:scaffold_803390.1 transcript:scaffold_803390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGVADVAVAGTRKRERPYKGIRMRKWGKWVAEIREPNKRSRLWLGSYSTPEAAARAYDTAVFYLRGPTARLNFPELLPGEKFSDEDMSAATIRKKATEVGAQVDALGTAVQNNRHRVFGHNRDSDDDNKNFHRNYQNGEREEEEEDEDDDDKRLKSGGRLLDRVDLNKLPDPESSDEEWESKH >scaffold_803391.1 pep chromosome:v.1.0:8:22430520:22430719:1 gene:scaffold_803391.1 transcript:scaffold_803391.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKS4] MLDRLCASRSCRLFSFFFDAQDWDPPASSSSSSSLPLMFSASHIPEELFEGQ >scaffold_803392.1 pep chromosome:v.1.0:8:22431218:22431416:-1 gene:scaffold_803392.1 transcript:scaffold_803392.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MKS5] MKTRDSNRRYRRRSGVSSSSPPPHFLMHPLLLSLLGFSTDMYCLSLWDLDLF >scaffold_803395.1 pep chromosome:v.1.0:8:22439224:22440617:1 gene:scaffold_803395.1 transcript:scaffold_803395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLVVVKSTSIVHPKTLNQSDRVKKIHLTPWDLTRLRFCYPQRGLFFPKPDSNIDTIISMLKTSLSVALDHFYPLAGRLVKIFNENDNTVSIFICCDSGFGVKFDHAVAKTITVGDLVKSGFVDGLFGSLFFPATGIKNYQGVSNPLLMVQVTELEDGILLSYGYNHTVADGKSIWMFINKWSEICSIDTGSKSMEISLSLRGWFLDAIEYPIHTPDPEMKPPSYGASTTTTNIFQEIVFHLTKENVLKLKAKANNEAGFEDGKISSLQAVLGHIWRSRVKNSDMSREEETHCRVPIDMRERLNPKLKEECFGNVIQTGIAIVNVGELLDHGLGWLAMQINKMVRSQTDENAKTFAENWVKNVEIPAAVSGNLLVTSCPRFNVYGNDFGWGKPIGSRSGPPFSDGKLVVFQGVEEGGFEFQACFPRDVVVKLIKDAEFLEYVDIAS >scaffold_803397.1 pep chromosome:v.1.0:8:22444390:22445781:1 gene:scaffold_803397.1 transcript:scaffold_803397.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source:UniProtKB/TrEMBL;Acc:D7MKT0] MGAEVIVISNSIVRPESYSEESDRVKIHLSPWDLFFLRSEYPQRGLLFPQPDSETRIISQLKSSLSVALKIFYPFAGRLVKIENEDDGTASFYVDCDGSGVKFVHASAKTVSVSDVLEPVDGNVPEFLNRFYPANSVTSFEGISDSLIAFQVTELKDGVFIGFGYNHMVADGSSFWSFFNTWSEICFNGFDSDHRRKFPPLLLRGWFLDGIEYPIRIPISETETPNRVVVTSSLIQEKIFRVTSRNISELKAKANGEVSSNDRKISSLQAVSAFMWRSIIRNSGLNPEEVIHCKLLVDMRRRLNPPLEKECFGNMVGFATVTTTVAEMLNNGLGWAALQINKTVGSQTNEEFREFAENWVKKPSILNAKAFSNCITIASSPRFNVYGNDFGWGKPIAVRAGPGNTTNGKLIAYPGIEEGNIEFQTCLSSSVLEKLSTDAEFLKYVCVV >scaffold_803403.1 pep chromosome:v.1.0:8:22466739:22467158:1 gene:scaffold_803403.1 transcript:scaffold_803403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALAFTGILSNTLALPASNAPNKQSQSIKCARVGGVEIPVNKRIEYSLQYIHGIC >scaffold_803405.1 pep chromosome:v.1.0:8:22471513:22472588:-1 gene:scaffold_803405.1 transcript:scaffold_803405.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPKKK19 [Source:UniProtKB/TrEMBL;Acc:D7MKT8] MEWIRGETIGYGTFSTVSLATPSNNDSGELPPLMAVKSADSYGAASLENEKSVLDKLGDDCNEIVRCFGEDRTVENGEEMHNLFLEYASRGSLESYLKKLAGEGLPESTVRRHTGSVLRGLRHIHANGFAHCDLKLGNILWFGDGAVKIADFGLAKRIGEVTAINEGVQIRGTPLYMAPESVNDNEYGSEGDVWALGCAVVEMFSGKTAWSLKEGSNFMSLLIRIGVGDELPMIPEELSEQGKDFLSKCFVKDPKKRWTAEMLLHHPFVAVDLDYDGLVEEEDFVVKLKTEEVSTSPRCPFEFPDWVSVSSGSQMIDSPEERVTSLVTDLIPDWSVTNSWVTVR >scaffold_803406.1 pep chromosome:v.1.0:8:22478513:22478943:-1 gene:scaffold_803406.1 transcript:scaffold_803406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSLNLILILSLLVFISLPRSESLSDNPSLVLLPDGFDWPISHSDEFDIIDGEESFEVAEEDDGVDRRSLYWRRTKYYISYGALSANRVPCPPRSGRSYYTHNCFRARGPVHPYSRGCSSITRCRR >scaffold_803409.1 pep chromosome:v.1.0:8:22489468:22490129:1 gene:scaffold_803409.1 transcript:scaffold_803409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFISRFSNLSARRLASARFFSSSPCCILSDKILGESSDGGKIVNYRVFDPSTEQVVTSCKKKFPKKLLGQTCVGASQGWVASMEFKDLPVLNTCEVEAFCLTASMYPGLKPNSIYYIGHGLCSYDLASGTVHSFNPPRVPMLNYVPFWIPSHL >scaffold_803416.1 pep chromosome:v.1.0:8:22513409:22513706:-1 gene:scaffold_803416.1 transcript:scaffold_803416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVILEGEVSSKEEQVVSKQLHLISSETQTQTQTLNRRAVLNRIRNHKCIHKIKSLLHTTAANDGATVDADYRWIDSGDVFSCP >scaffold_803419.1 pep chromosome:v.1.0:8:22517990:22518365:1 gene:scaffold_803419.1 transcript:scaffold_803419.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLD6] MESMTDEELDNPNVTQMSEERMMEIAEASKVDVKQVVQMMITFKIKAKGVMELEERSRNESDRPPKLNCAFPTSELTNYA >scaffold_803422.1 pep chromosome:v.1.0:8:22530224:22530936:1 gene:scaffold_803422.1 transcript:scaffold_803422.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger domain-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MLD9] MPSEFSESRRIPKLPHGGAVVIPTDQQEQLPCPRCESTNTKFCYYNNYNFSQPRHFCKSCRRYWTHGGTLRDIPVGGVSRKSSKRSRTCSSAATTTVVGSRNFPLQATPVLFPQSSSNGVSTTLKGNASSLYGGFTSLINYNAAVSRNGPGGGFNGPDGFGLGLGHGSYFDDVRYGQGITVWPFSSGATDAATTASHVAQIPAPWQFEGQESKVGFVSGDYLA >scaffold_803424.1 pep chromosome:v.1.0:8:22535440:22536496:1 gene:scaffold_803424.1 transcript:scaffold_803424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRGSSFTQQEDELLCRVYLEISQDPIGSNNQMEKAKKLLVQVEKLKKGFKFDHVWNLLKDIPKFTDNVSVGIPDTPNTESDIASSPTSQSPGMSSFSINLSSEDGGSNSSQRPIGSKKAKLKRKIAEGNNSSVDTLVSSNKQILDFLKESAATREKSYELAELRMQNQAKKLALKEMHEENKILLKDLDTIADSNTREYIRSEQARIIQKRNQEQPQQSPISPNFYGPYFGDLGGSGSNLPEY >scaffold_803426.1 pep chromosome:v.1.0:8:22541584:22541790:1 gene:scaffold_803426.1 transcript:scaffold_803426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDWLSLVLGALASEGLKLLIAEAKKVLAFKSVSNELASDMEFLLPVTSPGSDG >scaffold_803427.1 pep chromosome:v.1.0:8:22542073:22544623:1 gene:scaffold_803427.1 transcript:scaffold_803427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQDLKDMIVEALVVVEECSRIKKWNILLKSKYTTKVVEINRKMFKFCQVQLQLLQFRNQLQFNNYLQIINKKLDLLSVSSPSPVFSKRCSVPKLNTVLVGLDWPLMELKKKLLGNSVVVVSGPPGCGKTTLVTQLCDDDKIKETGLRDLLEELTKDGPILLVLDDVWRGSEFLLRKFQIELEDYKILVTSRFDFSSLWSTYHLETLKDEDAKALLIQWILKRCNGFPLVIEVVGISLKGQALYLWKGQVESWSEGETILGNAHPTVLKRLQPSFSALKPHLKECFLDMGSFLEDQKIHASLIIDIWVELYGRGSTSTNMYMKYLNDLASQNLLKLVPLGTNEYEDGFYNELLVTQHDILRELAIFQSELEPILERKKLNLEIREDNYPDWCSNLRQPINARLLSISTVLNVSSSDYALPSFIAGMKKLKVLTITNHGFVPTRLSNFSCLSSLPNLKRIRLEKVSVTLLDIPRLQLGSLKKLSSLMCSFGEVFYGTEEIDVAKALSSLQEIDIDYCYDLLELPYWVSEVVSLKTLSITNCDKLSILPEALGNLSKLEMLRSCSCNNLTELPQEIGKLEKLKMIWMRNYTGCKLPDSVTNLENLEVKCDEETGFLWERLKPKMINLRVVKEEIDLSSTWA >scaffold_803430.1 pep chromosome:v.1.0:8:22550523:22552626:-1 gene:scaffold_803430.1 transcript:scaffold_803430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPVTTGPMDMPIMHDSDRYDFVKDIGSGNFGVARLMRDKLTKELVAVKYIERGDKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIIMEYASGGELYERICNAGRFNEDEARFFFQQLISGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLRQEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPRDYRKTIQRILSVKYSIPDDIRISPDCCHLISRIFVADPATRISIPEIKTHNWFLKNLPADLMNESNTGSQFQEPEQPMQSLDTIMQIISEATIPAVRNRCLDDFMTDNLDLDDDMDDFDSESEIDIDSSGEIVYAL >scaffold_803432.1 pep chromosome:v.1.0:8:22561648:22563102:1 gene:scaffold_803432.1 transcript:scaffold_803432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWWRGLRSVANLPASSFGGSIRRIHQYQTIQAIPREATGRGVSARDRTIGRIPAVVFPQSLLETDASKRGVSRKQLLTADNKQIKSIIDSVGLPFFCSTTFQLQIRAGQGSSTLVESGRVLPLKIHRDEENGKILNLVFVWADDGEKLKVDVPVVFNGLDNCPGLKKGGNLRSIRSTLKLLGPAEHIPSKIEVDVSELDIEDKVLLQDVVFHPSLKLLSKNETMPVCKIVATSPVKEPEAVQA >scaffold_803435.1 pep chromosome:v.1.0:8:22574009:22574241:-1 gene:scaffold_803435.1 transcript:scaffold_803435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIKANSIYFSNPTPYFQDQYDKNEIFIFDLDSNTVEQPHRCVSSSFPRSRSRWFLPCFKRE >scaffold_803436.1 pep chromosome:v.1.0:8:22574279:22574572:-1 gene:scaffold_803436.1 transcript:scaffold_803436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKDHKLYCLNYYKLKIFDFSGDIPVKVFTTCVSECLKPRGGGGGFMRLPGFPVNDQLSHRKDNMVVRVFPRSGNLKSTRW >scaffold_803438.1 pep chromosome:v.1.0:8:22580916:22581220:-1 gene:scaffold_803438.1 transcript:scaffold_803438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVFLNICIYLLIIAIIVCHEIIPTEARHLRTLRKSIKNGTLKVHGGASGLRTGGGSVNSGIGKEEYGVDEFRPTTPGNSPGIGH >scaffold_803439.1 pep chromosome:v.1.0:8:22585387:22585657:-1 gene:scaffold_803439.1 transcript:scaffold_803439.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MLX7] MAKCTLSSLVLLLIVLFLIQESHIVEGRPLNSSGISNISKKFAVRNSNLSSKLTTEDHSLDAFRPTNPGNSPGIGH >scaffold_803440.1 pep chromosome:v.1.0:8:22587940:22588288:-1 gene:scaffold_803440.1 transcript:scaffold_803440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVYIILSILFISTVFYKIQFTEARQLRKTNDQDHDDRHFTVGYTDDFGPTSPGNSPGIGHKMKENEENVEGYKDDFEPTTPGHSPGVGHTVKNNEPNC >scaffold_803441.1 pep chromosome:v.1.0:8:22592231:22592588:-1 gene:scaffold_803441.1 transcript:scaffold_803441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSMGQKKTLYACIFLMMVFFLGFNCGHGRTLKVDDKIDGGHDDSKTMMALAKHNVMMVDDKTVQFSPPPPPPSPSQSGGKEAEDFRPTTPGHSPGIGHSLSHN >scaffold_803447.1 pep chromosome:v.1.0:8:22613205:22614280:-1 gene:scaffold_803447.1 transcript:scaffold_803447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVKTKGSHGEISGGLLVAFATSGRLGSSKDESSLLKAVTNVHAIIDKEIAGEINPENVYICGFSQGGALTLASVLLYPKALGGGSVFSGWIPFNSSIINQFTEDAKKPPIVWSHGIDDKTVLFEAGQAALPFLQQAGMTCELKELQYLELWIKQRMQSSWY >scaffold_803456.1 pep chromosome:v.1.0:8:22670440:22673248:1 gene:scaffold_803456.1 transcript:scaffold_803456.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase 48 kDa subunit [Source:UniProtKB/TrEMBL;Acc:D7MME2] MMNLSRSVALISLFLLPLLSFSFSVDNPTDRRVLVLLDDLSLKSSHSIFFNTLKSRGFDLDFKLAEDSKLALQRYGQYLYDGLIIFAPSTERLGGSLDSKSIADFIDSGRDLILSADTSASDLIRGIATECGVDFDEDSSAVVIDHTSFSVSDVDGDHTLIAADDLVKSDVILGKTKIEAPVLFRGVAHSLNPTNNLVLKVLSASPSAYSANPSSKLSSPPQLTGSAISLVSVMQARNNARVVISGSLQLFSDRLIRSGVQKAGSPNQYEKSGNEQFVTELSKWVFHERGHLKAGSLVHHRVGETDEPAIYRIKDDLEFSVEIYEWSGKSWEPYVANDVQVQFYMMSPYVLKTLSTDKKGLFHTSFKVPDVYGVFQFKVEYEKLGYSTLSLSKQIPVRPYRHNEYERFIPTAYPYYGACFTTMAGFFVFSFVYLYHK >scaffold_803458.1 pep chromosome:v.1.0:8:22676428:22677701:1 gene:scaffold_803458.1 transcript:scaffold_803458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCGLFSELTKGNSSPNSNGTNTSPAKRVQTNMRSLYSSDLSSYTSACKKYSSLKSFDSSLHERTNSIISSLAAQAKTQSLNLESLMEVYGYLLELNQDTVRVIIESKEDVLKNNDLKALVDVYFKSTSKTLDFCNTVEKCVKKAEISQLIIRFAVKQFETETVDTDLGESKKKKYVKTLEELNKFKAMGDPFDGEFVTQYESVYEQQILLLDELRKLKVKLGKKQRNIKTWRILSNVVFVTAFVTVFVLSVVAAAMMAPPVLSAVASGLTTPIEVVGTWCNKMWKEYEKAVKRQRGLVLTMELGVQANNVTMVNIKFEVENLSIRISSILKTVDFAVEREENEMATRFAMQEIKKKVEGFTEKIEEVGERAANCSKLIALGRLVVLGHILSLQIVEGGAANTNISGV >scaffold_803459.1 pep chromosome:v.1.0:8:22678992:22680229:1 gene:scaffold_803459.1 transcript:scaffold_803459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCGCLPELMTGESSSKRNGPNTLPVKAVRTDMRSKYSSDLSSYTSACMKDSNLKSFDSSLHQRTNIIITSLAARAETQSLNLDSLMEVYGFLLELNQNAVRVIIESREDVWKNKDLKSLVDIYFRSTSKTLDFCNTVENCVKRTEISQLIIRFAVKQFETESEDTDLGENNKKKKYAKTLEELNKFKAMGDPFNGEFVTQFDSVYDQQVLLLEELRKQRKKLDKKQRNVKTLRTLSNVFFATAFVSVLVLSVVATTMSAPPVVSAVASGSTAPIEITGKWFSQMWKKYERAVKRQRGLVLLMESRAQVNNEAMKNVRSEVDELRIRVSLILETVEFAVEREEEEEATRLAMQGIKKHVDGFTEKMEEVGENAAKCCKFIALGRLLVLEHILRLPAN >scaffold_803464.1 pep chromosome:v.1.0:8:22694048:22696075:-1 gene:scaffold_803464.1 transcript:scaffold_803464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLIFSNPVKPFNSVIIYQIRFFSRDPFPNKVQHYLYRANLIDSIRLSLRSTTTSDPTLPSLLDHRLLDSFVVKNALRSSPSVASAWSIFKTLRGKKPHFSFETETLHAFATVLAKFQRSSELNSLIGLVNAGKFGQVHFSFMNLMNLYATAGDFDSVLKTWDEYRCSGEEKKGCTESYNIVMQVYMTLGKDSEAVQTFDQITNQGGIPNSRTFTIMIEHLVKLGNLDAAMKVFETLPLMRITRTLKHYSVLVEAFVNAQRFGEVKTLLAEMKADGKFPSRRMLEPLKLMREAGFEQETEEFLREMLPDERIKDISIYSMDNPSDSEEEGDEHNDNVNEGQVKLKPWLDPKALATSLKKWSSDAVTALEEANFVWTNLLVCKMLRNFRSPETAWSFFCWVAIQPGFTHDAYTIERMMAMLARNGQVELVDKLISKVRMEGIKLPFSTIRLIIDLYGISKKPDAAIKVFRQDRTLCGSISDFNLMLLYSSLLRTLTKCKRNAEALETLEDMILTGVSPDIQTFSGLMYHFALQGEIQTVERLFSMVRQIGLDPDPYMLKLLVQAYCRCERSVLAYRVFQDMKDSNLMPDKETKELLVKSLWREEKRKEAAAVEESYEEENNNKNSSNVLRLALKGHVWTISSTDISRVYNLYRDCVLKTST >scaffold_803467.1 pep chromosome:v.1.0:8:22705020:22705367:1 gene:scaffold_803467.1 transcript:scaffold_803467.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMF2] MMIKDVQNANRVEMSANDAPFQSPGDYVRFISPEVYRSNRQKYLRSYTFKSYDDEEILSAKKQRKWFKNKKVMNMKMKKTTESLGNSLKSCLRLLFSCFHV >scaffold_803471.1 pep chromosome:v.1.0:8:22716996:22718515:-1 gene:scaffold_803471.1 transcript:scaffold_803471.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxygen-evolving enhancer 33 [Source:UniProtKB/TrEMBL;Acc:D7MMF6] MAASLQSTATFLQSAKIATAPSRGSAHLRSTQSVGKSFGLDTSSARLTCSFQSDFKDFAGKCSDAVKIAGFALATSALVVSGASAEGAPKRLTYDEIQSKTYMEVKGTGTANQCPTIDGGSETFSFKPGKYAGKKFCFEPTSFTVKADSVSKNAPPEFQNTKLMTRLTYTLDEIEGPFEVASDGSVNFKEEDGIDYAAVTVQLPGGERVPFLFTVKQLDASGKPDSFTGKFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELVKENVKNTAASVGEITLKVTKSKPETGEVIGVFESLQPSDTDLGAKVPKDVKIQGVWYGQLE >scaffold_803473.1 pep chromosome:v.1.0:8:22725732:22727251:1 gene:scaffold_803473.1 transcript:scaffold_803473.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf family protein [Source:UniProtKB/TrEMBL;Acc:D7MMF8] MTTKAMQRGFKLILGSQSMARKRILADMGYDYTIVTADIDEKAIRTEKPEDLVVALAEAKANEIISKLGGESQFAQDPQPTLLITADTVVVYKGVIREKPTTKEEAREFIKGYSGSHGGVVGSVLVRNLKTGVRRGGWDKAEVYFHEIPEQIIDDLIDDAITYKVAGGLTLEHPLISPFIDAVVGGVDTVMGLPKELTEKCINDVLCRKNSSPF >scaffold_803475.1 pep chromosome:v.1.0:8:22731549:22733318:1 gene:scaffold_803475.1 transcript:scaffold_803475.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase family protein [Source:UniProtKB/TrEMBL;Acc:D7MMG0] MAIVSVSNSFLTFNSPNQLRLSRRRFSAMATSTTGVRVAEGEGNLPKLVLTSPQNSEAEIYLFGGCITSWKVASGKDLLFVRPDAVFNKIKPISGGIPHCFPQFGPGLIQQHGFGRNMDWSVVDSQNADDNAAVTLELKDGPYSRAIWDFAFQALYKVIVGADSLSTELKITNTDDKPFSFSTALHTYFRASSAGASVRGLKGCKTLNKDPDPKNPIEGKEERDVVTFPGFVDCVYLDAPNELQFDNGLGDNIIIKNTNWSDAVLWNPHTQMEACYRDFVCVENAKLGDVKLEPGQSWTATQLLSIS >scaffold_803476.1 pep chromosome:v.1.0:8:22733329:22735629:-1 gene:scaffold_803476.1 transcript:scaffold_803476.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein [Source:UniProtKB/TrEMBL;Acc:D7MMG1] MKSKRGFSPEPEEEERVQSLTTLPHRWFCAQISVGPLLSGSCYCMMPNTETRCSKQEELKQIHARMLKTGLIQDSYAITKFLSCCISSTSSDFLPYAQIVFDGFDRPDTFLWNLMIRGFSCSDEPERSLLLYQRMLCCSAPHNAYTFPSLLKACSNLSALEETTQIHAQITKLGYENDVYAVNSLINSYAATGNFKLAHLLFDRIPKPDAVSWNSVIKGYAKAGKMDIALTLFRKMVEKNAISWTTMISGYVQAGMHKEALQLFHEMQNSDVEPDNVSLANALSACAQLGALEQGKWIHSYLTKTRIRMDSVLGCVLIDMYAKCGDMGEALEVFKNIQRKSVQAWTALISGYAYHGHGREAISKFMEMQKMGIKPNVITFTTVLTACSYTGLVEEGKLIFYNMERDYNLKPTIEHYGCVVDLLSRAGLLDEAKRFIQEMPLKPNAVIWGALLKACRIHKNIELGEEIGEILIAIDPYHGGRYVHKANIHAMGKKWDKAAETRRLMKEQGVAKVPGCSTISLEGTTHEFLAGDRSHPEIEKIQSKWKIMRRKLEENGYVPELEDMLLDLVDDDERETIVHQHSEKLAITYGLIKTKPGTTIRIMKNLRVCKDCHKVTKLISKIYKRDIVMRDRTRFHHFRDGKCSCGDYW >scaffold_803483.1 pep chromosome:v.1.0:8:22748872:22751029:1 gene:scaffold_803483.1 transcript:scaffold_803483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTVRNGGTIQRFCTAASISLLEKPVEKIVRISNQGAARHLFDQMPHRDIVSWTAIINGYVTAANSFEALNLFSALLFDPAVSPDTSVLSVALKACGQSSNIGYGESLHAYAFKTSLLTSVFVGTNLLNMYMRTGKIDKGCRIFTEMQFRNTVTWTAIVSGMVEAGRHKEGLTYFSEMSRSKGLSDDFAFATALKACARLRQVKYGKEIHTHVIVRGSDASLCVVNSLINMYTECGEMHDGVHLFESMSERDVFSWTTLIDAYSQMGQEEKAVATFLKIEIFRRTSQWTYFCLGDSLSVSNSMMKMYSTCGKLDSASVLFQGMRRRDIITWSTIIGGYSQAGLGEEVFMCFSWMRQAGPKPTDPALASLLSVSGTMAVLEQGRQVHALALYLGLEQNSTIRSALINMYSKCGSIEEASKIFEETDTDDIVSLTAMINGYAEHGNTKEAIDLFEKSLKVGLRPDTVTFISVLTACSHSGQLDLGFRYFNLMQDEYNIRPVKEHYGCMVDLLCRAGRLSDAEKMINEMPWKKDDVVWTTLLNACKAKGDTERGRRAAERILELDPTSETAFVTLANIYSSTGKLEEAAHVRKAMKSKGVIKVPGWSSIKIMDRASTFVSGSQSHSQSEDIYSILDLVVSGAEVHRFDCRIKGAFGFYSYS >scaffold_803492.1 pep chromosome:v.1.0:8:22776678:22778039:-1 gene:scaffold_803492.1 transcript:scaffold_803492.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:D7MMY5] MILRLKNQTPGKINLTRSYLSSLVSRRDSHSHDRRRRGYERDVGIEEKKEHDGLFLCKSKGQHLLTNTRILDAIVRCSDVRPTDTVLEIGPGTGNLTMKLLEAAQDVVAVELDKRMVEILRKRVSDHGFAHKLTIIQKDVLKTDFPQFDLVVANIPYNISSPLVAKLVYGSNTFRSATLLLQKEFSRRLLANPGDSDFNRLAVNVKLVADVKFVMDVSKREFVPPPKVDSSVVMITPKEIIPDVNVQEWLAFTRTCFGKKNKTLGSMFRQKKKVMELLSLSAGRNGSKVGVMNQTCGDSDSDVEEDGDGKDDLLCLDTDASMFKERVIGILRTNGFEEKRPSKLSHSELLHVLSLFNRAGVFFHDITSLPLDLHES >scaffold_803493.1 pep chromosome:v.1.0:8:22783654:22785132:1 gene:scaffold_803493.1 transcript:scaffold_803493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFSLEQGGGRNSQDEHNHHRTNTTNPSSSGTESWLWCRNPNSNADGGEVAPSYKGTLELWQHPNNQEIIFQQQQQERLDLYTSAAGLGVGPSNRSLIETSGGALMMMRSSSGGGGPSCQDCGNQSKKDCAHMRCRTCCKSRGLECPTHVKSTWVPAAKRRERQQQLASGQQPQSQPQGESVPKRQREHIQATTTSLVCTRIPTNNTSVLEVGNFPPEVRSPAVFRCVRVSSVDDEEEEYAYKTAVSIGGHVFRGILYDQGPAERSSSGGGSQPLNLITAGPSASSSSPNVSCNNGVVGSTSDHYIDPASLNYPTPINTFMTGTHFFSNSRS >scaffold_803494.1 pep chromosome:v.1.0:8:22788030:22788384:1 gene:scaffold_803494.1 transcript:scaffold_803494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPKLSALSLENNNFLGMIPTEYVWKLVSPGSEFAGFQTLLLGGNFLFGVVPGPLMAMKPGSANVQLAGNCFSWCPATLFFCQGQEQRSLLRNAESLAALSL >scaffold_803497.1 pep chromosome:v.1.0:8:22806861:22807085:-1 gene:scaffold_803497.1 transcript:scaffold_803497.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MMZ0] MENLETESSNSIIGGLADEVTVVNGHSNGSVDLSLVSSKLQQNAWIYSPCSVSKSSRRVF >scaffold_803505.1 pep chromosome:v.1.0:8:22826219:22826569:-1 gene:scaffold_803505.1 transcript:scaffold_803505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKSSGAGCVPRVKTNARSTGDREISVTSLTLLDRFREAVLHLIMISAVSKSTSRRHNNKQTPTQKYYKTTDTYHSEAVADCIEFIRTKKAIINEENDR >scaffold_803509.1 pep chromosome:v.1.0:8:22831441:22832554:-1 gene:scaffold_803509.1 transcript:scaffold_803509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVHVSVAYGLYSERESIPKWMTFISSVKMVMISSCRYDGSIGSACQAMAAVDQFALQFGQKIIFLQEPYIFLHV >scaffold_803511.1 pep chromosome:v.1.0:8:22838275:22840273:1 gene:scaffold_803511.1 transcript:scaffold_803511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVKQILAKPIQLSDQVVKAADEASSFKQECGELKAKTEKLAGLLRQAARASNDLYERPTRRIIDDTEQMLEKALSLVLKCRANGLMKRVFTIIPAAAFRKMSAQLENSIGDVSWLLRVSAPAEDRGDAGYLGLPPIAANEPILCLIWEQIAILYTGSLEDRSDAAASLVSLARDNDRYTKLIIEEGGVVPLLKLLKEGKPEGQENAARALGLLGRDPESVEHMIHGGACSVFGKVLKEGPMKVQAVVAWATSELVSNHPKCQDVFAQHNAIRLLVGHLAFETVQEHSKYAIATTNKATSIHHAVALAKENPNSTSSAAALPKGLDEDQSSIPHPTGKQMPNQMHNVVVNTMAVRANPPRKSTSNGVSQSNGVKLPSNLQQHQNSTSSASKTRELEDAATKCQIKAMAARALWKLAKGNSTICKSITESRALLCFAVLIDKGNEEVRYNSAMALMEITAVAEQDADLRRSAFKPNSPACKAVVDQVLRIIEIADSELLIPCIRTIGNLARTFRATETRMIGPLVKLLDEREPEVTVEAAVALTKFACTDNYLHKDHSRGIIEAGGGKHLVQLAYFGESGVQIPALELLCYIALNVPDSEQLAKDEVLAVLEWASKQSWVTQLERLEALLLEAKSRLDLYQSRGSRGFNFN >scaffold_803518.1 pep chromosome:v.1.0:8:22873253:22874441:1 gene:scaffold_803518.1 transcript:scaffold_803518.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:D7MN09] MARYDRAITVFSPDGHLFQVEYALEAVRKGNATVGVRGTDTVVLAVEKKSTPKLQDSRSARKIVSLDNHIALACAGLKADARVLINKARIECQSHRLTLEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYSRLPSLYQTDPSGTFSAWKANATGRNSNSIREFLEKNYKESSGQETIKLAIRALLEVVESGGKNIEVAVMTREETGLRQLEEAEIDAIVAEIEAEKAAAEAAKKGPPKET >scaffold_803524.1 pep chromosome:v.1.0:8:22885656:22886761:-1 gene:scaffold_803524.1 transcript:scaffold_803524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAHSLAAVPVLAAASNSKQATLPSSSSSSSYTLHSLSSLRSKPRQQHLSLRSVALKDKGGPKAQAKEAQGNPITEADAFSNVKHLLLPVIDRNPYLSEGTRQAAATTASLANKYGADITVVVIDEEKRESSSEHETQVSNIRWHLSQGGFEEFKLLERLGEGKKATAIIGEVADELRMELVVMSMEAIHSKYIDANLLAEFIPCPVLLLPL >scaffold_803533.1 pep chromosome:v.1.0:8:22911866:22913069:-1 gene:scaffold_803533.1 transcript:scaffold_803533.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein [Source:UniProtKB/TrEMBL;Acc:D7MNH0] MYGSRGAMFGSGGYEVGSKRQRMMQSNPYLAVGTGPTSFPPFGYACGFPVVRLRGLPFNCADIDIFKFFSGLDIVDVLLVSKNGKFSGEAFVVFAGPMQVEISLQRDRHNMGRRYVEVFRCSKQDYYNAVAAEEGAYEYEARASPPPTVPSRAKRFSEKEKLEYTEVLKMRGLPYSVNKPQIIEFFSGYKVIEGRVQVVCRPDGKATGEAFVEFETGEEARRAMAKDKMSIGSRYVELFPTTREEARRAEARSRQ >scaffold_803539.1 pep chromosome:v.1.0:8:22930987:22932806:-1 gene:scaffold_803539.1 transcript:scaffold_803539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPGVLVVGSSGVGKRTLLSRLLSVEFEDSSESPSQTEVHGWTINTKYYTADVSVCISHICDDYSLPNLPHSHPLVALVMVFDLSELSTLVALQDWVSHTDINNFDILLCIGNKVDRVPHHLAHDEYRRRLLKATDPSRILYSDIDDFGISETEGSSLLGSQDTSLDIRGACLEWCSENNIEFIEACASNPDFDKCLSVDGDSQGVERLFGALSAHMWPGMILKSGDKINDPVLAQGEELSEEESEYELEYEVLSAGSGDPWENIDERWVSASETHSHADAGGSTSQENLHVENNMVKSNNVVDEELRPSGSQLEPQNDTDRAIVTDERSLDTENEKCYEFEDVEQLMSEIGNIRDNLRLMPDFQRREIAANLAMKMASMFGGDSDDEEEPE >scaffold_803541.1 pep chromosome:v.1.0:8:22938824:22941517:1 gene:scaffold_803541.1 transcript:scaffold_803541.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hydroxyisobutyryl-CoA hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:D7MNH9] MAVEMASQSQVLVEEKSSVRILTLNRPKQLNALSFQMISRLLQLFLAFEEDSSVKLVILKGNGRAFCAGGDVAAVVRDIEQGNWRLGANFFSDEYMLNYVMATYSKAQVSILNGIVMGGGAGVSVHGRFRIATENTVFAMPETALGLFPDVGASYFLSRLPGFFGEYVGLTGARLDGAEMLACGLATHFVPSTRLTALEADLCRVGSNDPTFASTILNAYTQHPRLKPQSAYHRLDVIDRCFSRRTVEEIISALEREATQEADGWISATIQALKKASPASLKISLRSIREGRLQGVGQCLIREYRMVCHVMKGEISKDFVEGCRAILVDKDKNPKWEPRRLEDMKDSMVEQYFERVEEEEEWEDLKLPPRNNLPALAIAKL >scaffold_81000002.1 pep scaffold:JGI8X:scaffold_810:1309:1994:1 gene:scaffold_81000002.1 transcript:scaffold_81000002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQGGKLKPLKQPKSGKKEYDEHDMELMQKKKDEEKALKELRAKASQKGSFGGTGLKKSGKK >scaffold_81000004.1 pep scaffold:JGI8X:scaffold_810:5325:5579:1 gene:scaffold_81000004.1 transcript:scaffold_81000004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRQRSGAAAVHHQRQLSDNPLDMSSSNGRWLQSTGLQHFQSSANVISESDPFLLLLLIFPSIQKFKFL >scaffold_81400001.1 pep scaffold:JGI8X:scaffold_814:7:199:-1 gene:scaffold_81400001.1 transcript:scaffold_81400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPSVILATASYDHTIRFWEAETGRCYRTIQYPDSVCFSLSIEFVNSEL >scaffold_81400002.1 pep scaffold:JGI8X:scaffold_814:589:3006:1 gene:scaffold_81400002.1 transcript:scaffold_81400002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKALGFVLPTGLFIQPSLNRRGGVRVSVFQCSVSSLTSTGTDFPISLFALFDLFPELWIEIGLLEKPWSSYNARLVLEDGSMWSAKSFGASGTRVAELVFNTSLTGYQEILTDPSYAGQFVLMTNPQIGNTGVNPDDEESRQCFLVIRSLSISTSNWRCTKTLGDYLAERNIMGIYDLDTRVIAYDFGIKQNILRRLASYGCEITVVPSTWPAAEALKMNPDGILFSNGPGDPSAVPYAVETVKELLGKVPVYGICMGHQLLGQALGGKTYKMKFGHHGGNHPVCNNRTGHVEISAQNHNYAVDPASLPSGVEVTHVNLNDGSCAGLSFPAMNVMSLQYHPEASPGPHDSDNGITLFFSLLSGNYQNAKAMH >scaffold_81400003.1 pep scaffold:JGI8X:scaffold_814:4045:4485:1 gene:scaffold_81400003.1 transcript:scaffold_81400003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESSTAAALKIRSCRRKGLRRNPTKIKEAVLDLISSLPDDILQHILSFIQTKIAIKTSLLSKRWRHVWCGTPSLSFSCNRVEAPFINKTLTLGSSSSYHVTWRICL >scaffold_81700001.1 pep scaffold:JGI8X:scaffold_817:2680:3400:-1 gene:scaffold_81700001.1 transcript:scaffold_81700001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ulp1 protease family protein [Source:UniProtKB/TrEMBL;Acc:D7MY67] MGNTSGDVKILSYEDVVLRRSDLDILNGPNFLNDRVIEFYLSFLSTVHSSPTISLIPPSIAFWISNCPDTEHLKDFVKPLNLRDKDLLIFPVNDNTNVEVAEGGLHWSLLVYYKEANTFVHHDSFMGVNKWSAKDLYKAVSPFVSNGDASYKECTDTPQQKNGYDCGVFLLAIARVICEWFSAGGMKNRDELWFTNVKETVPDLVNHLREEILGLIKRLMSEGASK >scaffold_83100002.1 pep scaffold:JGI8X:scaffold_831:3093:3335:-1 gene:scaffold_83100002.1 transcript:scaffold_83100002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEMESTNESLANAHEAACKSFFCAAMTSKRAMEDFALALDLMRSYWFLIYIMIDLHFGEKRQNT >scaffold_83200001.1 pep scaffold:JGI8X:scaffold_832:123:996:1 gene:scaffold_83200001.1 transcript:scaffold_83200001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGENGTGKTTFIRMLAGAFPREEGVESEIPEFNVSYKPQGNDSKRECTVRQLLHDKIRDAYTHPQFVSDVIRPLQIEQLLDQVVKTLSGGEKQRVAITLCLGKPADIYLIDEPSAHLDSEQRITASKVIKRFILHAKKTAFIVEHDFIMATYLADRVIVYEGQPAVKCIAHSPQSLLSGMNLFLSHLNITFRRDPTNFRPRINKLESIKDKEQKTAGSYYYLDD >scaffold_83500002.1 pep scaffold:JGI8X:scaffold_835:3321:3699:1 gene:scaffold_83500002.1 transcript:scaffold_83500002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQLLTLVLLVSTVAIPVVTCRQWCMAMPGTSDEQLQANIDFGCSNGVDCTPIQPGGTCYHPNTLFDHASYVMNAYYQSHGRIEDACRFGRTGCFTFADPSNGSCIYYT >scaffold_84000001.1 pep scaffold:JGI8X:scaffold_840:102:2806:-1 gene:scaffold_84000001.1 transcript:scaffold_84000001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPYDSETKSSIPTNLAWQEMFRSASSRKPQDPPSSSSSPPRKPSGDGSSSGKTSLSTADSQARLAMYIAMAHAGLVFAICVLYFVGKLLQEYLRPIQWAILCSIPLRGIQETLVDFWSEPLKLGLTEVVLAVPVSVFNVFIGSIVDIKNLCFRVFLRRSKPKRTRKKNGTGFSKLVRWLVSFGVFVIAYERIGGIGSLVILSLGFLFSSKNVDSSLSAVSSLRRIMKRLNTIVAIGLIVLMIVGSLTGVIFFSYKIGVEGKDAVYSLKSHVEESNYAEKIGIKQWMDENDVPGMVDMYTTKFYETVSEQIDSLAMQYNMTELVTGIKHFVIGHPQNTSTPSTALITPSPYTEKLMSLRTRVKNREWSQIYSEVDVIFRELIITREDLVEKAKGFAVKGMDVSQRVFSSSASVVGGGAKFVFSIGNLIISGAAEFFNFISQLMVFIWVLYILITSESGGVTEQVMNMLPINASARNRCVEVLDLAISGVLLATAEIAFFQGCLTWLLFRLYNIHFLYMSTVLAFISALLPIFPYWFATIPAALQLVLEGRYIIAVTLSVTHLVLMEYGASEIQDDIPGSNAYITGLSIIGGVTLFPSALEVRKLND >scaffold_84800001.1 pep scaffold:JGI8X:scaffold_848:1017:1580:-1 gene:scaffold_84800001.1 transcript:scaffold_84800001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPYERAGPATTNSASYVPTARSNNNHDIVPRFRPEETTDTISLDIGIGIASSSPDHTSNEHQQRQVLVLNLYSKLKPMELLDSGLSMGLPWDPTMV >scaffold_8500004.1 pep scaffold:JGI8X:scaffold_85:21423:23113:-1 gene:scaffold_8500004.1 transcript:scaffold_8500004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMKSMQMGVIGALFLSVASSVSIVICNKALMTNLGFPFATTLTSWHLMVTYCTLHVAYKLNFFENKPIDVRTVVLFGLLNGISIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETLFFNKKFSQKIKFSLFLLLVGVGIASITDLQLNFVGSVLSLLAIATTCVGQILTNTIQKRLNVTSTQLLYQSAPFQAAILFVSGPFVDKYLTRLNVFSFHYSPIVAGFITLSCLIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYTLLHDPFTPRNIAGILIAVLGMLLYSYFCSVASKSKQASSESTFLGKDRDTTPLLGQEKENHHEAKKLDKHSPV >scaffold_85100002.1 pep scaffold:JGI8X:scaffold_851:3696:4086:1 gene:scaffold_85100002.1 transcript:scaffold_85100002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIVSSSTILIRSYLTPPVRSCSSATSVSVKSVSSVQVTSVAANRHLLSLSSGGRGSKKFSSSAIRCGGIKEIGESEFSSTVLESDRPVLVEFVATWCGPCKLIYPAMEALSQV >scaffold_85500001.1 pep scaffold:JGI8X:scaffold_855:355:968:-1 gene:scaffold_85500001.1 transcript:scaffold_85500001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAFHQSCSGVVGEWDKLVSDKGSSYEVDVWPGLVSMTADVISRTAFGRSYKEGQRIFELQAELAQLIIQAFRKAFIPGFSLTLDLLVAEFNPERFKDGLSKATKSQVSLFPFAWGPRICIGQNFALLEAKMPMALILQRFSLELSPSYVHTPQTVVTIHPQFGAHLILHKL >scaffold_85500002.1 pep scaffold:JGI8X:scaffold_855:2039:2323:-1 gene:scaffold_85500002.1 transcript:scaffold_85500002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKKKSKVKRSSFVEEEDDNKYHHWLDRKEKREIGGYGKGKGCGGGVRADSSTRIGVGATAAGTTKFPWSNMRFVGL >scaffold_86500001.1 pep scaffold:JGI8X:scaffold_865:1931:2446:-1 gene:scaffold_86500001.1 transcript:scaffold_86500001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESALGTEGMVEVKEVIHNAIESSSQGHPQKSSTPSLPPLSLAGETSGSSQPNLPPHNLNSTEPLSSAEAIRFPPPEEEYVIAAAPSEAHGPSLEVITGTTKSISLDSKSSEPKSTNQDGDLDPEADDKIESVRIPLH >scaffold_86500002.1 pep scaffold:JGI8X:scaffold_865:2461:2885:-1 gene:scaffold_86500002.1 transcript:scaffold_86500002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLPKEERRALHATNKSSSYLDDKSSLPAGNLQVDRVLVCPIQGLTKTSLCSALSDVLCSDNLQANDQRDTNAESVVAEGRT >scaffold_87100002.1 pep scaffold:JGI8X:scaffold_871:2824:4182:1 gene:scaffold_87100002.1 transcript:scaffold_87100002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLALGLCVYLLFTQGAQGNIDDFDCVEIYKQPAFKHPLLKNHKIQETFSLDGNIERSNKYKTKEHCPKGTVPILRQGNESQSVHLNTAEYSGQHFATIETTLDGSIYRGTEAEISVHDLKLQNNQYSKSQIWLENGPRAQLNSIQAGWAVHPRLYGDSVTRFTIYWTVSNF >scaffold_87700001.1 pep scaffold:JGI8X:scaffold_877:1068:1347:1 gene:scaffold_87700001.1 transcript:scaffold_87700001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSKKKNNQTENQENIPPNSEANPISPMQPNRVSPNSLSDITNGIINY >scaffold_87700002.1 pep scaffold:JGI8X:scaffold_877:2436:2625:1 gene:scaffold_87700002.1 transcript:scaffold_87700002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKEKIQNVEKGPCNARAITLVYNSKHESVNGICQGQSRMQPNEKQS >scaffold_87700003.1 pep scaffold:JGI8X:scaffold_877:3031:3303:-1 gene:scaffold_87700003.1 transcript:scaffold_87700003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLNFILSTHFTITGVVGNVEQKSQDWCWSVVNKDRCLQKECESLCLKKNPKGKFICAPSTPGGPFQCQCRHPCR >scaffold_88000001.1 pep scaffold:JGI8X:scaffold_880:1814:2598:1 gene:scaffold_88000001.1 transcript:scaffold_88000001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHIYLFLKDQLQLSTMPASGILHGTLIGKLDQLSVCGKSKEEADELATKIWLALLDNLEDTKHTFIVLKSIAQEYDGFLPYPYSRPIKIQWKVFEKLFVDFRDLLDHSEYCDLIGIAKKKFQTIPYVWLGY >scaffold_88000002.1 pep scaffold:JGI8X:scaffold_880:2746:3953:1 gene:scaffold_88000002.1 transcript:scaffold_88000002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMKVMTILVLFVSVSSTLAQSNNGGHISILVSEMGLDFAKDYLIKKVITVTLPLQLPDIENKVKIPLIGKVRMGLSNIQIDAVHVQSSKIETRKDGIVLSVLGATANLSMDWSYTYRASFFEISDHGDASVEVKGMDLKITATLVNDNGSLKIVSRENDCTVENIDIHINGGASWVVDAFQKMIISTVEKTVSTKIVENMKKLDSFLQSLPKQRKIDDAAAVNLTFTGNPVLGNSSVEVDINGLFLPKGDDVKVSGSRSSSVFGGVKRMVTISVEEEVFNSATLVYFNVSSQILI >scaffold_8800003.1 pep scaffold:JGI8X:scaffold_88:7167:7542:-1 gene:scaffold_8800003.1 transcript:scaffold_8800003.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWA0] MAMAKQMKVNTSQFRSKEAEQTNLKYQLKDLQKSLESLVQNQKRVNLYNPFDYMSSGLPTYQESYSPGFLSGYQDPKPKQPVPGFLSSDEAFTSRFGTTSISKFQNKGGKN >scaffold_8800006.1 pep scaffold:JGI8X:scaffold_88:16503:17344:1 gene:scaffold_8800006.1 transcript:scaffold_8800006.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWA1] MPEEVLCFLWYLCAVYTVGIAFDIQWLYHYVNTVQDKRDSFSTFLRWFSPLTVWSGKLRRSANATNLTFKKLKKGGLNPFFNPHSIYFFHRPVKVNRKTSKILALPTLIHHGDFSRGVRPDFNLQDNEAYENFQTHAFHLNNARQTFPIPFIPNWVYYPVDYQMQLDEDLQYHMMKFNKGRPSSPKEDKQEETNPQVTSQDYCADYFNDAQSPDDEVNVEEIEKKFQFYINVQPGEDAQMIENSYECPGDHYGCCEEHILEFEMDH >scaffold_8800007.1 pep scaffold:JGI8X:scaffold_88:19272:21089:-1 gene:scaffold_8800007.1 transcript:scaffold_8800007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSWSDRLASATRRYQLAFPSRSDTFLGFEEIDGEEEFREEFACPFCSDYFDSVSLCCHIDEDHPMEAKTGVCPVCAVRVGVDMVAHITLQHRKSRRGGSHSTLSILRREFPDGNFQSLFGGSSCIVSSSSSSNVAADPLLSSFISPIAEGFFTTESCISAETGSVKKPLTQSIPEGNAKIPSLSAEDHKQKLKRSEFVRELLSSTILDDGL >scaffold_8900001.1 pep scaffold:JGI8X:scaffold_89:3155:5844:1 gene:scaffold_8900001.1 transcript:scaffold_8900001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEYSRSVCASSFACTCFVFLYFFFSVLINRQFLQLFVLLPQTPAPGFVGSAHCDPKVTHLETLIASAFEFHTSIWPGGDASLPSLRSSRKRKCNACASESSSSGEVNGQKKVKRDGRSPPVSKHKAAATLVEKKFKSFKESLLVEVRDLIDNGYQPAVVAPSTIKSTPTLAVSPSLARVTRSGCAGIPAQSLSNRSTSSKQVDNRSAKQLASSCSGRGSRHDVLSQSCSLSTASSEACTGFRDTTANAPPPTKETRCTSLLDEAAKYTVDTSRFASKISEPASSDHCPQLTQPHVPPSSPLPLLSSLVPPTVLAAAMPDSEKGSSFVPPIVPVSNLPLPRNSTDVLPTHHPALVKHLSQPCPNDGSADHTTCVFSDKHHPLSSLEKTSQRKKNPASFSLAKPSKQQLLSGPAMNLRSKKHGSQPKNVVDSSTYIISTLDSVGSASITKFVHQLSQFSGSEYAINGQPYPASFFSAIYKPKNWLSSLHIDCMVTFLWKKHGSYLASRRITVLDSMFTSIMSSRFMSFSQNLNTTAYSWNPLLIAYARGLVDGRPSQLAWLTDVDIVYLPMNWGKRHWVALAVDLPRGHIDILDPFEDCTSARKVVSYMSPVAQMLPSLLRSVCVDVPSTWPSTGFTFNRLPNITQNHRGGDCGPMCLKFIELHSHQLIEPLRAMTNQQVDNIRMHYAMDLYAEFVSRA >scaffold_8900002.1 pep scaffold:JGI8X:scaffold_89:6193:6856:1 gene:scaffold_8900002.1 transcript:scaffold_8900002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDINIALDIVSRVGEDSFKSLGGLLLASKLCHTLASHPIVLNNVSLQPFLDDAALINEDSIYRPFFRRCFESRNPTAIYLESIRLVAKCGRSEDALYLLYTIGNSPPHAWFARALLEICLGFYQNALHTIDTFVSHIGSWRAADAVGSKVFRHIIQLGPVKIRSHGNTWNYVDIPTCFRTRCRIDRRCSHCFFYWFTVMFLLLC >scaffold_8900005.1 pep scaffold:JGI8X:scaffold_89:13971:14308:1 gene:scaffold_8900005.1 transcript:scaffold_8900005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNWRRVGSEIQRLVEVDCYRGSRHRHGMPCRGQRTKTNARTKKGKAVAIAGKKKAPRK >scaffold_900012.1 pep scaffold:JGI8X:scaffold_9:713267:715508:1 gene:scaffold_900012.1 transcript:scaffold_900012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSTNMDARKMLFAAILSICALSSKKISIYNEEMIVALCFIGFIIFSRKSLGTTFKVTLDGRIQAIQEESQQFPNPNEVVPPESNEQQRLLRISLRICGTVVESLPMARCAPKCEKTLQALLCRNLNVKSATLTNATSSRRIRFQDDLVTKFYTLVGNQFAYSCISKAERVEFIRESLVVLRMVRGGGGFLLRIKKRN >scaffold_900027.1 pep scaffold:JGI8X:scaffold_9:1623346:1633842:-1 gene:scaffold_900027.1 transcript:scaffold_900027.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 5, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0U5CLA4] MEHTYQYSWIIPFIPLPVPILLGVGLLLFPTATKNLRRMWTFLSIFLLSIVMIFSLYLSIQQIFLSCIHQNVWSWTINNEFSFEFGYFIDPLTSIMSILITTVGILVLIYSDNYMSHDQGYLRFFAYMGFFNTSMLGLVTSSNLIQVYFFWELVGMCSYLLIGFWFTRPIAANACQKAFVTNRVGDFGLLLGILGLYWITGSFEFQDLFEIFNNLILNNRVNLLFLTLCAFLLFVGPIAKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLVARLLPLFIVIPSIMYIISLIGIITVLLGATLALAQKDIKRGLAYSTMSQLGYMILALGMGSYRSALFHLITHAYSKALLFLGSGSIIHSMEAIVGYSPDKSQNMILMGGLTKHVPITKMAFLIGTLSLCGIPPLACFWSKDEILNDSLLFSPIFAIIACSTAGLTAFYMFRIYLLTFEGHLNTYFLNYSGKKSSSFYSLSLWGKEEEKKLNKNFGLVPLLTMNNTKRASFFCNKTYKISNNVRNQIFITVENFGLNTRTFYYPHESDNTILFPMLILVLFTLFIGAIGIPFNQEGIDFDILSKLFTPSINLLHKNSQNFVDWYEFLRNATFSVSIAFFGIFIAYCLYKPFYSSLLNLTLLNSFQKWNSKRIRWEKLINFVYNWSYNRGYIDAFFKTSLIESIRRLAKQTTFFDKQIIDGIINGVGITSFFVGEVIKYIGGSRISSYLFLYLSYVFIFLMILFFFYFEKF >scaffold_900028.1 pep scaffold:JGI8X:scaffold_9:1634604:1634880:1 gene:scaffold_900028.1 transcript:scaffold_900028.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L32, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7MVL3] MAVPKKRTSISKKRIRKKIWKRKGYWTSLKAFSLGKSLSTGNSKSFFVQQNK >scaffold_900029.1 pep scaffold:JGI8X:scaffold_9:1635817:1637859:1 gene:scaffold_900029.1 transcript:scaffold_900029.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein CcsA [Source:UniProtKB/TrEMBL;Acc:D7MVL4] MIFSILEHILTHISFSVVSIVLTIYFVTLLVNLDEIIGFFDSSDKGIIITFFGITGLLFTRWIYSGHFPLSNLYESLIFLSWAFSIIHMVSYFNKKQENNLNAITAPSVIFIQGFATSGLLNKMPQSAILVPALQSQWLMMHVSMMILGYGALLCGSLLSIALLVITFRKVGPTFWKKKKKKNFLLNELFSFDVLYYINERNSILLQQNINFSFSRNYYRYQLIQQLDFWSFRIISLGFIFLTVGILSGAVWANETWGSYWNWDPKETWAFITWTIFAIYLHIKTNRNVRGINSAIVASIGFLLIWICYFGVNLLGIGLHSYGSFTSN >scaffold_900031.1 pep scaffold:JGI8X:scaffold_9:1638987:1639604:-1 gene:scaffold_900031.1 transcript:scaffold_900031.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 4L, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7MVL6] MILEHVLVLSAYLFLIGLYGLITSRNMVRALMCLELILNAVNMNFVTFSDFFDNSQLKGEIFCIFVIAIAAAEAAIGLAIVSSIYRNRKSTRINQSTLLNK >scaffold_900032.1 pep scaffold:JGI8X:scaffold_9:1639635:1640275:-1 gene:scaffold_900032.1 transcript:scaffold_900032.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 6, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7MVL7] MGLPGPIHDFLLVFLGSGLLVGGLGVVFLPNPIFSAFSLGFVLVCISLLYILSNSHFVAAAQLLIYVGAINVLIIFAVMFMNDSEYSTDFNLWTVGNGITSLVCTTILFLLMSTILDTSWYGVIWTTKLNQILEQDLISNSQQIGIHLSTDFFLPFELISIILLVALIGAISVARQ >scaffold_900034.1 pep scaffold:JGI8X:scaffold_9:1642913:1644559:-1 gene:scaffold_900034.1 transcript:scaffold_900034.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit H, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7MVM0] MKRPVTGKDLMIVNMGPHHPSMHGVLRLIVTLDGEDVVDCEPILGYLHRGMEKIAENRAIIQYLPYVTRWDYLATMFTEAITVNGPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFREREFVYDLFEAATGMRMMHNFFRIGGIAADLPYGWIDKCLDFCDYFLTEVVEYQKLITRNPIFLERVEGIGIIGGEEAINWGLSGPMLRASGIPWDLRKVDRYESYDEFEWEIQWQKQGDSLARYLVRLSEMTESIKIIQQALEGLPGGPYENLESRGFDRKRNPEWNDFEYRFISKKPSPTFELSKQELYVRVEAPKGELGIFLIGDQSGFPWRWKIRPPGFINLQILPELVKRMKLADIMTILGSIDIIMGEVDR >scaffold_900036.1 pep scaffold:JGI8X:scaffold_9:1660240:1661799:1 gene:scaffold_900036.1 transcript:scaffold_900036.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S7, chloroplastic [Source:UniProtKB/TrEMBL;Acc:D7KMT0] MSRRGTAEEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQIIYRALKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPIEIGSTQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >scaffold_90300001.1 pep scaffold:JGI8X:scaffold_903:3233:4099:-1 gene:scaffold_90300001.1 transcript:scaffold_90300001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFIAAAGTNTEEHRLLSWELSSTLDIEKADSKIGLVVGISVSGFVLLIVLVHTIVVVWSRKQRKKKDRDIANMISINEDLEREAAKERVLNEVKIISKLRHRNMVQLIGWCNEKNEFLLIYELVQNGSLNSQLFGKRPHLLSWDIRYKIALGLASALLYLHEEWDQCVLHRDIKASNIMLDSDFNVKLGDFGLARVMNHEHGSHTTGLAGTFGSWHMNM >scaffold_91800001.1 pep scaffold:JGI8X:scaffold_918:3084:3706:1 gene:scaffold_91800001.1 transcript:scaffold_91800001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10A family protein [Source:UniProtKB/TrEMBL;Acc:D7LJ31] MSKLNGDAVREAITNIVLASKERKLKMRQLESEALREAITTIEGKSEEKKRNVGKTVELKSEALREAIATIKDKSKEKKPL >scaffold_91900001.1 pep scaffold:JGI8X:scaffold_919:138:613:-1 gene:scaffold_91900001.1 transcript:scaffold_91900001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPTTTSLSVTQTINGSHSFTIKGYSLAKGIGIGKHIASDTFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASDGTDVRALFELSLLDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWVW >scaffold_91900002.1 pep scaffold:JGI8X:scaffold_919:914:2135:-1 gene:scaffold_91900002.1 transcript:scaffold_91900002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:D7MYB8] MSDSNEKKTCNDSKQPILVLDLVRLVLERLSFVDFHRARCVSSGWYSASKSCIGGTNPTAPWIILFPNEHVKTNNDSCKLFDPRDHSSYTIRDLGFDMVRSRCLASSGSWFLMLDHKTDFHLLNLFTRERIPLPSLESIDGWQMKFVRTGDSDFEMSMYYKAHGLVSYGKNSDLRIRGAVLWVDEKTRDYFVVWFHHSTFAYHKKGGDNNSWKVFQPSKHQGCLHMVYKESKLYVLSPARNISVFDFSGGHSPVEYATLPSPKDCYVRNLAVTLSGEVLIISSNPKKCFFTLYKIDPKSSKWRLIKSIGDEALILDLGITVAAKDGVMRNCIYFSHDDLHRYKGVSLCNDDKYGICVYHIKTKKKVQVFEHLTTSSPIPFKDARWFFPTFGGK >scaffold_92000001.1 pep scaffold:JGI8X:scaffold_920:154:548:1 gene:scaffold_92000001.1 transcript:scaffold_92000001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7KMS2] MAKQCMFLRLMIPAMMSAFVPINIRKKSNAMLDVKKITKKVPLNKDPGDCAIYTLKYIECLALGKSFDGLCDENINAIRVKLAAELFDEVREAAKPSNLDLCGVGFKIPSLMDESIE >scaffold_9200004.1 pep scaffold:JGI8X:scaffold_92:11073:11551:1 gene:scaffold_9200004.1 transcript:scaffold_9200004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTAAPEPNTVPETSPTGHPMFSRIRLATPTDVPFIHKLIHQMAVFERLTHLFVATESGLASTLFNSRPFQAVTVFLLEISPSPFSTNDVSSPEFTPFLETHKVDLPIEDPDKDKFLPDKLNDVVVAGFVLFFPNCWNCILD >scaffold_9200006.1 pep scaffold:JGI8X:scaffold_92:31588:32713:1 gene:scaffold_9200006.1 transcript:scaffold_9200006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGEKFAERVILTALREKSCLICLENLTERRSAAVITVCKHGYCLACIRKWRPYRLKYHKEHLPILRDRETLTYHRNNPSGRRRIIRRWRDVLENSSSRSRPLPWRRSFGRPGSVPDSIIFQRKLQWRASVGSKCSIYDKQLRAVRLHSRRSLELSLAVNDHTKAKITERIEPWIRRELQAVLGDPDPSIIVHFASALFIKRLERENNRQSGHTGMLVEDEVSSLRKFLSDKVDIFWHELRX >scaffold_9200009.1 pep scaffold:JGI8X:scaffold_92:35925:37802:1 gene:scaffold_9200009.1 transcript:scaffold_9200009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSTSVRRLVSSKAMKCFYFSKDKTQDDEAKTRKFGSITMARGGSGSEFNSDTSTATSITSSLHVLSETHSNNLKVFALDDLKTATKNFSRSLMIGEGGFGGVFRGVIQNPQDSRKKIEIAVKQLSRRGLQGHKEWVTEVNVLGVVEHPNLVKLIGYCAEDDERGIQRLLVYEYVPNRSVQDHLSNRFIVTPLPWSTRLKIAQDTARGLAYLHEGMEFQIIFRDFKSSNILLDENWNAKLSDFGLARMGPSDGITHVSTAVVGTIGYAAPEYIQTGHLTAKSDVWSYGIFLYELITGRRPFDRNRPRNEQNILEWIRPHLSDIKKFKMIIDPRLEGNYYLKSALKLAAVANRCLMVKAKARPTMSQVSEMLERIVETSDDAPSGLPLMKSLTPKAAFEASRRERVKRRFVELLIGENGCPNLPTWSPKLVTST >scaffold_9200010.1 pep scaffold:JGI8X:scaffold_92:37998:39204:1 gene:scaffold_9200010.1 transcript:scaffold_9200010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYICRHAKTLASSCTNLTQKRTYVDVYMKWKRDPYFDNIEHILRSSQLKSVIGLKNCIVQDPNRCIPISAISKKTRQFDVSTKIAQFLRKFPSVFEEFVGPEYNLPWFRLTPEATELDRQERIVYQTSAEDLRDRLKKLILMSEDNVLPLSIVQGMKWYLGLPDDYLQFPEMNLDSSFRFVDMEDGVKGLAVDYNGDKVLSVLQKNAMKKRRRRGELDLEEIEFPLFPSKGCRLRVKIEDWLKEFQKLPYVSPYDDYSCLDSSSDVAEKRVVGFLHELLCLFVEHSAERKKLLCLKKHFGLPQKVHKAFERHPQIFYLSMKNKTCTAILREPYRDKASVETHPVLAVRKKYIQLMKNSELILKSRRNRFGFRDEGIVEKDLDLDFEG >scaffold_92400001.1 pep scaffold:JGI8X:scaffold_924:145:414:1 gene:scaffold_92400001.1 transcript:scaffold_92400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDYNDVEDTYRPELLREFQHQPEDFFADLDELEGDSLTMLLSHSSGGVNMENKTTIPDVFSDFFDSSSSSRSL >scaffold_93900001.1 pep scaffold:JGI8X:scaffold_939:176:2316:1 gene:scaffold_93900001.1 transcript:scaffold_93900001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAISIEDVRREVKILRALSGHQNLVQFYDAFEDNANVYIVMELCGGGELLDRILARGGKYSEDDAKAVLIQILNVVAFCHLQGVVHRDLKPENFLYTSKEENSLLKVIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYTTEADVWSIGVIAYVLLCGSRPFWARTESGIFRAVLKADPSFDEPPWPSLSFEAKDFVKRLLYKDPRKRMTASQALMHPWIAGYKKIDIPFDILIFKQIKAYLRSSSLRKAALMALSKTLITNELLYLKAQFALLAPNKNGLITLDSIRLALATNATEAMKESRIPDFLALLNGLQYKGMDFEEFCAASISVHQHESLDCWEQSIRHAYELFEMNGNRVIVIEELASELGVGSSIPVHTILHDWIRHTDGKLSFLGFVKLLHGVSTRQPLAKTR >scaffold_93900002.1 pep scaffold:JGI8X:scaffold_939:3030:3582:-1 gene:scaffold_93900002.1 transcript:scaffold_93900002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFSPNGSGSPPENLFDGMLMKGRSVVVEAIGLLKARWKILQSLNVGVNHAPQTIVACCVLHNLCQIAREPEPEIWKDPDEAGTPARVLESERQFYYYGESLRQALAEDLHQRLSSR >scaffold_94100001.1 pep scaffold:JGI8X:scaffold_941:214:1360:1 gene:scaffold_94100001.1 transcript:scaffold_94100001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLSRSTASRIANRLFSTSKAAASPSPLPSHLISRRSSPTIFHAVGYIPALTRFTTIRTRMDRSGGSYSPLKSGSNFSDRPPTEMAPLFPGCDYEHWLIVMEKPGGENAQKQQMIDCYVQTLAKIVGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEFKDYGAELFENGEVVPRPPERQRRMVELTTQRGSDKPKYHDRTRNVRRRENMR >scaffold_94600001.1 pep scaffold:JGI8X:scaffold_946:2243:2661:-1 gene:scaffold_94600001.1 transcript:scaffold_94600001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEVPTLSPEHKAERLALLFESSWPKTSENSSHPVRTTLLRCLWKEILFTAILAIVRLGVMYVGPVLIQSLVDFTSDKRSSLWQGYYLVLILLDLSFFFLYRFRLLVLRLSGWVSSMATSISRL >scaffold_94700001.1 pep scaffold:JGI8X:scaffold_947:528:802:1 gene:scaffold_94700001.1 transcript:scaffold_94700001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLFDSSAVTCYVEAVKQLACEILDLTAEGLRLPPHTFSKLIRAVDSDSVLRFNHYPSSDQFLSGANLSDKSVSLXX >scaffold_95400002.1 pep scaffold:JGI8X:scaffold_954:2306:3503:1 gene:scaffold_95400002.1 transcript:scaffold_95400002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MYD1] MSGTARSIPVRKSHTSTVVRRYAYLVGGSIAGFSLIRFSLRRSFPPGSVSRLQCGAGASVHYRRRNGTLW >scaffold_9600001.1 pep scaffold:JGI8X:scaffold_96:143:808:-1 gene:scaffold_9600001.1 transcript:scaffold_9600001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMSIALPAALALAADPITSLVDTAFVGHIGSAELAAVGVSVSVFNLVSKLFNVPLLNVTTSFVAEEQAIAAKDDNDSTETSKKVLPSVSTSLVLAAGVGIAEAIALSLGSDFLMDVMAIPFVMSKLMFDLVLYRLFFEVLYK >scaffold_9600004.1 pep scaffold:JGI8X:scaffold_96:7244:7552:1 gene:scaffold_9600004.1 transcript:scaffold_9600004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGQILSKKLLLAEDSDMNVASERRKMSLGQMLAKNSNSDKPVSKRSEGKRSTTRKRKSFGFAKISVLLNKDSKNKKKKKKIALNLR >scaffold_96100001.1 pep scaffold:JGI8X:scaffold_961:57:1130:-1 gene:scaffold_96100001.1 transcript:scaffold_96100001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:UniProtKB/TrEMBL;Acc:D7MYD3] MSSLASRLKSYGVPKVISHKQQKKKEKEGCNTRTSREVTHPSTTLAQARLPAEF >scaffold_96200001.1 pep scaffold:JGI8X:scaffold_962:1116:1434:1 gene:scaffold_96200001.1 transcript:scaffold_96200001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTENPDPETGKSEPIPASATTPPPSAASFLDCRKIDVIIRVLLFSATLTALIVMVTSDQCRPGGQATQATAWGTTFFFLRFSFFGKRAQF >scaffold_96200002.1 pep scaffold:JGI8X:scaffold_962:2197:2452:1 gene:scaffold_96200002.1 transcript:scaffold_96200002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:D7MYD5] MLGILASATGTAGGVAYIALKGNKEVGWNKICNVYDKFCRYIATSLALSLFATLLLLVLSICSALSKRTP >scaffold_96400001.1 pep scaffold:JGI8X:scaffold_964:208:1049:-1 gene:scaffold_96400001.1 transcript:scaffold_96400001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHRITLTIYKNEIFDIINGYLSDYEQPDSDFPKTPIVCNPSEFRTAIVKALHIANIDPQRTEALPELWESEAKPEKVSYSGKLAIETPVTA >scaffold_97000002.1 pep scaffold:JGI8X:scaffold_970:3626:3962:1 gene:scaffold_97000002.1 transcript:scaffold_97000002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVRSSPGSSHPPPPPPHHPPSSPVPVTSTPVVPPIRRHLAFASTKPPFHPSDDYHRFNPSSLTNNNDRSFVNACGVVDREEDAVVVRSPVSFGCF >scaffold_9700001.1 pep scaffold:JGI8X:scaffold_97:2180:3433:-1 gene:scaffold_9700001.1 transcript:scaffold_9700001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGYQWTRRCGNDAVEDIFVNEPPLFFLPQEQHHRLMPNEDSITNKFVTSTLYSGPRIQDIANALALVEPLSHPVPEISKSTVPRLERSTLNKVDKYTLKVKNNSNGMCDDGYKWRKYGQKSIKNSPNPRSYYKCTNPICNAKKQVERSIDEPNTYIITYEGFHFHYTYPFFLPDKTHQWPNKKTKIHKYYAQEMNKGSQTQEESKEAQLGEPANQNQPVHKAQENTPVNLEDELFFPVDQCRRQQGLLEDVVAPAMKNIPTKDSVLTASWSSLSSYTSSSLSPSSLCWSPNFDIVLSDEILELIGSRKF >scaffold_9700003.1 pep scaffold:JGI8X:scaffold_97:13634:13865:1 gene:scaffold_9700003.1 transcript:scaffold_9700003.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MWD0] MPPSSPKPPTTGKRRHPRRNQTQSAENHLRKQRRPKQPPTKRLQQPPRLSLATRASTAPDPPP >scaffold_97100001.1 pep scaffold:JGI8X:scaffold_971:695:889:1 gene:scaffold_97100001.1 transcript:scaffold_97100001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted protein [Source:UniProtKB/TrEMBL;Acc:D7MYE1] MKKAVVNCEFRLESEDSPTRMTAGRRSSSRPSSPPSPVVHGVFNSPKQRG >scaffold_97500002.1 pep scaffold:JGI8X:scaffold_975:2078:3326:-1 gene:scaffold_97500002.1 transcript:scaffold_97500002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKQDSDGRLNAAICCAPALALGTWGLLEGKKATGYPVFMEKLAATCATAVESRVQIDGRIVTSRGPGTTIEFSVTLIEQLFGKEKADEVSSILLLRPNPGEEFTFTELNQTTWSFEDTPQILVPIAEESEEIEAIALVDILRRAKANVVIAAVGNSLEVVGSRKAKLVAEVLLDEVAEKSFDLMVLPVSSGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTTHPVVSDKLSDKSHIEHRVVVDGNVITSRAPGTAMEFSLAIVEKFYGREKALQLAKATLV >scaffold_9800001.1 pep scaffold:JGI8X:scaffold_98:265:520:1 gene:scaffold_9800001.1 transcript:scaffold_9800001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSNRFGSNSKTAIPNDSSSDENRSSSAVTAATSVVMPPCSSNVLGAKRSVLFFEDNSLYAAFYLWRIIP >scaffold_98600001.1 pep scaffold:JGI8X:scaffold_986:2940:3297:-1 gene:scaffold_98600001.1 transcript:scaffold_98600001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTFWLGIVGFVIIAYCLVKNVKGAMIYGIVFVTAVSWFRNTEVTAFPNTSARDAAHDYFKKIVDVHVIKHTAGALSFSGINKGHFWEALVTFLYVDILDTT >scaffold_98900001.1 pep scaffold:JGI8X:scaffold_989:49:543:-1 gene:scaffold_98900001.1 transcript:scaffold_98900001.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAPPSLVSKARTAFNSAAAKAERVFTDLKSDREEEKQSTRDVNDSQVGSLLRIDSISEENE >scaffold_98900002.1 pep scaffold:JGI8X:scaffold_989:3674:3963:-1 gene:scaffold_98900002.1 transcript:scaffold_98900002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSDFRFYLPCLPLAKLCSDRTKYLFWDRYGHPTEAAARTIVDLMLTDDSHYSSPITLTQLVST